@@ -77,40 +77,40 @@ port (
7777
7878 QSFP1_LED_R : out std_logic ;
7979 QSFP1_LED_G : out std_logic ;
80- QSFP1_LED_B : out std_logic
81-
82- -- -- =========================================================================
83- -- -- BMC INTERFACE
84- -- -- =========================================================================
85- -- -- QSPI interface from FPGA to Max10:
86- -- QSPI_CSN_1V2 : out std_logic;
87- -- QSPI_D0 : inout std_logic;
88- -- QSPI_D1 : inout std_logic;
89- -- QSPI_D2 : inout std_logic;
90- -- QSPI_D3 : inout std_logic;
91- -- QSPI_CLK : out std_logic;
92-
93- -- -- SPI Ingress (Seen from BMC) FPGA > MAX
94- -- SPI_INGRESS_SCLK : out std_logic;
95- -- SPI_INGRESS_CSN : out std_logic;
96- -- SPI_INGRESS_MISO : in std_logic;
97- -- SPI_INGRESS_MOSI : out std_logic;
98-
99- -- -- SPI Egress (Seen from BMC) MAX > FPGA
100- -- SPI_EGRESS_MOSI : in std_logic;
101- -- SPI_EGRESS_CSN : in std_logic;
102- -- SPI_EGRESS_SCLK : in std_logic;
103- -- SPI_EGRESS_MISO : out std_logic;
104-
105- -- -- Misc signals between FPGA and BMC:
106- -- -- Heart beat from BMC NIOS in the BMC
107- -- MAX_FPGA_HB_1V2 : in std_logic;
108- -- -- Heart beat from PMCI NIOS in this FPGA
109- -- FPGA_MAX_HB : out std_logic;
110- -- -- Single Event Upset. Active high.
111- -- FPGA_MAX_FPGA_SEU_1V2 : out std_logic;
112- -- -- Thermal shutdown. Active Low
113- -- FPGA_MAX_THRM_SHTD_N_1V2 : out std_logic
80+ QSFP1_LED_B : out std_logic ;
81+
82+ -- =========================================================================
83+ -- BMC INTERFACE
84+ -- =========================================================================
85+ -- QSPI interface from FPGA to Max10:
86+ QSPI_CSN_1V2 : out std_logic ;
87+ QSPI_D0 : inout std_logic ;
88+ QSPI_D1 : inout std_logic ;
89+ QSPI_D2 : inout std_logic ;
90+ QSPI_D3 : inout std_logic ;
91+ QSPI_CLK : out std_logic ;
92+
93+ -- SPI Ingress (Seen from BMC) FPGA > MAX
94+ SPI_INGRESS_SCLK : out std_logic ;
95+ SPI_INGRESS_CSN : out std_logic ;
96+ SPI_INGRESS_MISO : in std_logic ;
97+ SPI_INGRESS_MOSI : out std_logic ;
98+
99+ -- SPI Egress (Seen from BMC) MAX > FPGA
100+ SPI_EGRESS_MOSI : in std_logic ;
101+ SPI_EGRESS_CSN : in std_logic ;
102+ SPI_EGRESS_SCLK : in std_logic ;
103+ SPI_EGRESS_MISO : out std_logic ;
104+
105+ -- Misc signals between FPGA and BMC:
106+ -- Heart beat from BMC NIOS in the BMC
107+ MAX_FPGA_HB_1V2 : in std_logic ;
108+ -- Heart beat from PMCI NIOS in this FPGA
109+ FPGA_MAX_HB : out std_logic ;
110+ -- Single Event Upset. Active high.
111+ FPGA_MAX_FPGA_SEU_1V2 : out std_logic ;
112+ -- Thermal shutdown. Active Low
113+ FPGA_MAX_THRM_SHTD_N_1V2 : out std_logic
114114);
115115end entity ;
116116
@@ -301,52 +301,52 @@ begin
301301 MISC_OUT => open
302302 );
303303
304- -- -- BMC controller
305- -- QSPI_D0 <= qspi_data_out(0) when qspi_data_oe(0) = '1' else 'Z';
306- -- QSPI_D1 <= qspi_data_out(1) when qspi_data_oe(1) = '1' else 'Z';
307- -- QSPI_D2 <= qspi_data_out(2) when qspi_data_oe(2) = '1' else 'Z';
308- -- QSPI_D3 <= qspi_data_out(3) when qspi_data_oe(3) = '1' else 'Z';
309-
310- -- pmci_i : entity work.PMCI_FB2CDG1
311- -- generic map(
312- -- DEVICE => "AGILEX",
313- -- G_SWB_RD_TYPE => 1 -- Thunderfjord
314- -- ) port map(
315- -- CLK => boot_mi_clk,
316- -- RESET => boot_mi_reset,
317-
318- -- MI_DWR => boot_mi_dwr,
319- -- MI_ADDR => boot_mi_addr,
320- -- MI_RD => boot_mi_rd,
321- -- MI_WR => boot_mi_wr,
322- -- MI_BE => boot_mi_be,
323- -- MI_DRD => boot_mi_drd,
324- -- MI_ARDY => boot_mi_ardy,
325- -- MI_DRDY => boot_mi_drdy,
326-
327- -- FLASH_CTRLR_ATOM_PORTS_DCLK => QSPI_CLK,
328- -- FLASH_CTRLR_ATOM_PORTS_NCS => QSPI_CSN_1V2,
329- -- FLASH_CTRLR_ATOM_PORTS_OE => open,
330- -- FLASH_CTRLR_ATOM_PORTS_DATAOUT => qspi_data_out,
331- -- FLASH_CTRLR_ATOM_PORTS_DATAOE => qspi_data_oe,
332- -- FLASH_CTRLR_ATOM_PORTS_DATAIN => QSPI_D3 & QSPI_D2 & QSPI_D1 & QSPI_D0,
333-
334- -- M10_GPIO_FPGA_USR_100M => '0',
335- -- M10_GPIO_FPGA_M10_HB => MAX_FPGA_HB_1V2,
336- -- M10_GPIO_PMCI_NIOS_HB => FPGA_MAX_HB,
337- -- M10_GPIO_M10_SEU_ERROR => '0',
338- -- M10_GPIO_FPGA_THERM_SHDN => FPGA_MAX_THRM_SHTD_N_1V2,
339- -- M10_GPIO_FPGA_SEU_ERROR => FPGA_MAX_FPGA_SEU_1V2,
340-
341- -- SPI_INGRESS_SCLK => SPI_INGRESS_SCLK,
342- -- SPI_INGRESS_CSN => SPI_INGRESS_CSN,
343- -- SPI_INGRESS_MISO => SPI_INGRESS_MISO,
344- -- SPI_INGRESS_MOSI => SPI_INGRESS_MOSI,
345-
346- -- SPI_EGRESS_MOSI => SPI_EGRESS_MOSI,
347- -- SPI_EGRESS_CSN => SPI_EGRESS_CSN,
348- -- SPI_EGRESS_SCLK => SPI_EGRESS_SCLK,
349- -- SPI_EGRESS_MISO => SPI_EGRESS_MISO
350- -- );
304+ -- BMC controller
305+ QSPI_D0 <= qspi_data_out(0 ) when qspi_data_oe(0 ) = '1' else 'Z' ;
306+ QSPI_D1 <= qspi_data_out(1 ) when qspi_data_oe(1 ) = '1' else 'Z' ;
307+ QSPI_D2 <= qspi_data_out(2 ) when qspi_data_oe(2 ) = '1' else 'Z' ;
308+ QSPI_D3 <= qspi_data_out(3 ) when qspi_data_oe(3 ) = '1' else 'Z' ;
309+
310+ pmci_i : entity work.PMCI_FB2CDG1
311+ generic map (
312+ DEVICE => " AGILEX" ,
313+ G_SWB_RD_TYPE => 1 -- Thunderfjord
314+ ) port map (
315+ CLK => boot_mi_clk,
316+ RESET => boot_mi_reset,
317+
318+ MI_DWR => boot_mi_dwr,
319+ MI_ADDR => boot_mi_addr,
320+ MI_RD => boot_mi_rd,
321+ MI_WR => boot_mi_wr,
322+ MI_BE => boot_mi_be,
323+ MI_DRD => boot_mi_drd,
324+ MI_ARDY => boot_mi_ardy,
325+ MI_DRDY => boot_mi_drdy,
326+
327+ FLASH_CTRLR_ATOM_PORTS_DCLK => QSPI_CLK,
328+ FLASH_CTRLR_ATOM_PORTS_NCS => QSPI_CSN_1V2,
329+ FLASH_CTRLR_ATOM_PORTS_OE => open ,
330+ FLASH_CTRLR_ATOM_PORTS_DATAOUT => qspi_data_out,
331+ FLASH_CTRLR_ATOM_PORTS_DATAOE => qspi_data_oe,
332+ FLASH_CTRLR_ATOM_PORTS_DATAIN => QSPI_D3 & QSPI_D2 & QSPI_D1 & QSPI_D0,
333+
334+ M10_GPIO_FPGA_USR_100M => '0' ,
335+ M10_GPIO_FPGA_M10_HB => MAX_FPGA_HB_1V2,
336+ M10_GPIO_PMCI_NIOS_HB => FPGA_MAX_HB,
337+ M10_GPIO_M10_SEU_ERROR => '0' ,
338+ M10_GPIO_FPGA_THERM_SHDN => FPGA_MAX_THRM_SHTD_N_1V2,
339+ M10_GPIO_FPGA_SEU_ERROR => FPGA_MAX_FPGA_SEU_1V2,
340+
341+ SPI_INGRESS_SCLK => SPI_INGRESS_SCLK,
342+ SPI_INGRESS_CSN => SPI_INGRESS_CSN,
343+ SPI_INGRESS_MISO => SPI_INGRESS_MISO,
344+ SPI_INGRESS_MOSI => SPI_INGRESS_MOSI,
345+
346+ SPI_EGRESS_MOSI => SPI_EGRESS_MOSI,
347+ SPI_EGRESS_CSN => SPI_EGRESS_CSN,
348+ SPI_EGRESS_SCLK => SPI_EGRESS_SCLK,
349+ SPI_EGRESS_MISO => SPI_EGRESS_MISO
350+ );
351351
352352end architecture ;
0 commit comments