forked from jhspuk/FPGAIgnite-VGA
-
Notifications
You must be signed in to change notification settings - Fork 0
/
project_1_dump.txt
415 lines (415 loc) · 17.1 KB
/
project_1_dump.txt
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
project_name=${_xil_proj_name_}
project_1_project_board_part=
project_1_project_compxlib.activehdl_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/activehdl
project_1_project_compxlib.funcsim=1
project_1_project_compxlib.ies_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/ies
project_1_project_compxlib.modelsim_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/modelsim
project_1_project_compxlib.overwrite_libs=0
project_1_project_compxlib.questa_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/questa
project_1_project_compxlib.riviera_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/riviera
project_1_project_compxlib.timesim=1
project_1_project_compxlib.vcs_compiled_library_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/compile_simlib/vcs
project_1_project_compxlib.xsim_compiled_library_dir=
project_1_project_corecontainer.enable=0
project_1_project_default_lib=xil_defaultlib
project_1_project_enable_optional_runs_sta=0
project_1_project_enable_vhdl_2008=1
project_1_project_generate_ip_upgrade_log=1
project_1_project_ip_cache_permissions=read write
project_1_project_ip_interface_inference_priority=
project_1_project_ip_output_repo=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.cache/ip
project_1_project_is_readonly=0
project_1_project_legacy_ip_repo_paths=
project_1_project_mem.enable_memory_map_generation=1
project_1_project_part=xc7a35tcpg236-1
project_1_project_platform.board_id=arty-a7-35
project_1_project_platform.default_output_type=undefined
project_1_project_platform.design_intent.datacenter=undefined
project_1_project_platform.design_intent.embedded=undefined
project_1_project_platform.design_intent.external_host=undefined
project_1_project_platform.design_intent.server_managed=undefined
project_1_project_platform.rom.debug_type=0
project_1_project_platform.rom.prom_type=0
project_1_project_platform.slrconstraintmode=0
project_1_project_project_type=Default
project_1_project_pr_flow=0
project_1_project_sim.central_dir=/home/chao/git/FPGAIgnite-VGA/project_1/project_1.ip_user_files
project_1_project_sim.ip.auto_export_scripts=1
project_1_project_sim.use_ip_compiled_libs=1
project_1_project_simulator.activehdl_install_dir=
project_1_project_simulator.ies_install_dir=
project_1_project_simulator.modelsim_install_dir=
project_1_project_simulator.questa_install_dir=
project_1_project_simulator.riviera_install_dir=
project_1_project_simulator.vcs_install_dir=
project_1_project_simulator.xcelium_install_dir=
project_1_project_simulator_language=Mixed
project_1_project_source_mgmt_mode=DisplayOnly
project_1_project_target_language=VHDL
project_1_project_target_simulator=XSim
project_1_project_tool_flow=Vivado
project_1_project_webtalk.activehdl_export_sim=5
project_1_project_webtalk.ies_export_sim=5
project_1_project_webtalk.modelsim_export_sim=5
project_1_project_webtalk.questa_export_sim=5
project_1_project_webtalk.riviera_export_sim=5
project_1_project_webtalk.vcs_export_sim=5
project_1_project_webtalk.xsim_export_sim=5
project_1_project_webtalk.xsim_launch_sim=2
project_1_project_xpm_libraries=XPM_CDC
project_1_project_xsim.array_display_limit=1024
project_1_project_xsim.radix=hex
project_1_project_xsim.time_unit=ns
project_1_project_xsim.trace_limit=65536
sources_1_file_file_type=Verilog
sources_1_file_is_enabled=1
sources_1_file_is_global_include=0
sources_1_file_library=xil_defaultlib
sources_1_file_path_mode=RelativeFirst
sources_1_file_used_in=synthesis implementation simulation
sources_1_file_used_in_implementation=1
sources_1_file_used_in_simulation=1
sources_1_file_used_in_synthesis=1
sources_1_file_file_type=VHDL
sources_1_file_is_enabled=1
sources_1_file_is_global_include=0
sources_1_file_library=xil_defaultlib
sources_1_file_path_mode=RelativeFirst
sources_1_file_used_in=synthesis simulation
sources_1_file_used_in_simulation=1
sources_1_file_used_in_synthesis=1
sources_1_file_file_type=Verilog
sources_1_file_is_enabled=1
sources_1_file_is_global_include=0
sources_1_file_library=xil_defaultlib
sources_1_file_path_mode=RelativeFirst
sources_1_file_used_in=synthesis implementation simulation
sources_1_file_used_in_implementation=1
sources_1_file_used_in_simulation=1
sources_1_file_used_in_synthesis=1
sources_1_file_generate_files_for_reference=0
sources_1_file_generate_synth_checkpoint=1
sources_1_file_is_enabled=1
sources_1_file_is_global_include=0
sources_1_file_library=xil_defaultlib
sources_1_file_path_mode=RelativeFirst
sources_1_file_registered_with_manager=1
sources_1_file_synth_checkpoint_mode=Singular
sources_1_file_used_in=synthesis implementation simulation
sources_1_file_used_in_implementation=1
sources_1_file_used_in_simulation=1
sources_1_file_used_in_synthesis=1
sources_1_fileset_design_mode=RTL
sources_1_fileset_edif_extra_search_paths=
sources_1_fileset_elab_link_dcps=1
sources_1_fileset_elab_load_timing_constraints=1
sources_1_fileset_generic=
sources_1_fileset_include_dirs=
sources_1_fileset_lib_map_file=
sources_1_fileset_loop_count=1000
sources_1_fileset_name=sources_1
sources_1_fileset_top=top
sources_1_fileset_top_auto_set=0
sources_1_fileset_verilog_define=
sources_1_fileset_verilog_uppercase=0
sources_1_fileset_verilog_version=verilog_2001
sources_1_fileset_vhdl_version=vhdl_2k
constrs_1_file_file_type=XDC
constrs_1_file_is_enabled=0
constrs_1_file_is_global_include=0
constrs_1_file_library=xil_defaultlib
constrs_1_file_path_mode=RelativeFirst
constrs_1_file_processing_order=NORMAL
constrs_1_file_scoped_to_cells=
constrs_1_file_scoped_to_ref=
constrs_1_file_used_in=synthesis implementation
constrs_1_file_used_in_implementation=1
constrs_1_file_used_in_synthesis=1
constrs_1_file_file_type=XDC
constrs_1_file_is_enabled=1
constrs_1_file_is_global_include=0
constrs_1_file_library=xil_defaultlib
constrs_1_file_path_mode=RelativeFirst
constrs_1_file_processing_order=NORMAL
constrs_1_file_scoped_to_cells=
constrs_1_file_scoped_to_ref=
constrs_1_file_used_in=synthesis implementation
constrs_1_file_used_in_implementation=1
constrs_1_file_used_in_synthesis=1
constrs_1_fileset_constrs_type=XDC
constrs_1_fileset_name=constrs_1
constrs_1_fileset_target_constrs_file=$PSRCDIR/
constrs_1_fileset_target_part=xc7a35tcpg236-1
sim_1_file_file_type=VHDL
sim_1_file_is_enabled=1
sim_1_file_is_global_include=0
sim_1_file_library=xil_defaultlib
sim_1_file_path_mode=RelativeFirst
sim_1_file_used_in=synthesis simulation
sim_1_file_used_in_simulation=1
sim_1_file_used_in_synthesis=1
sim_1_fileset_32bit=0
sim_1_fileset_generic=
sim_1_fileset_hbs.configure_design_for_hier_access=1
sim_1_fileset_include_dirs=
sim_1_fileset_incremental=1
sim_1_fileset_name=sim_1
sim_1_fileset_nl.cell=
sim_1_fileset_nl.incl_unisim_models=0
sim_1_fileset_nl.process_corner=slow
sim_1_fileset_nl.rename_top=
sim_1_fileset_nl.sdf_anno=1
sim_1_fileset_nl.write_all_overrides=0
sim_1_fileset_source_set=sources_1
sim_1_fileset_systemc_include_dirs=
sim_1_fileset_top=vga_driver_test_top_tb
sim_1_fileset_top_auto_set=0
sim_1_fileset_top_lib=xil_defaultlib
sim_1_fileset_transport_int_delay=0
sim_1_fileset_transport_path_delay=0
sim_1_fileset_verilog_define=
sim_1_fileset_verilog_uppercase=0
sim_1_fileset_xelab.dll=0
sim_1_fileset_xsim.compile.tcl.pre=
sim_1_fileset_xsim.compile.xsc.more_options=
sim_1_fileset_xsim.compile.xvhdl.more_options=
sim_1_fileset_xsim.compile.xvhdl.nosort=1
sim_1_fileset_xsim.compile.xvhdl.relax=1
sim_1_fileset_xsim.compile.xvlog.more_options=
sim_1_fileset_xsim.compile.xvlog.nosort=1
sim_1_fileset_xsim.compile.xvlog.relax=1
sim_1_fileset_xsim.elaborate.debug_level=typical
sim_1_fileset_xsim.elaborate.load_glbl=1
sim_1_fileset_xsim.elaborate.mt_level=auto
sim_1_fileset_xsim.elaborate.rangecheck=0
sim_1_fileset_xsim.elaborate.relax=1
sim_1_fileset_xsim.elaborate.sdf_delay=sdfmax
sim_1_fileset_xsim.elaborate.snapshot=
sim_1_fileset_xsim.elaborate.xelab.more_options=
sim_1_fileset_xsim.elaborate.xsc.more_options=
sim_1_fileset_xsim.simulate.add_positional=0
sim_1_fileset_xsim.simulate.custom_tcl=
sim_1_fileset_xsim.simulate.log_all_signals=0
sim_1_fileset_xsim.simulate.no_quit=0
sim_1_fileset_xsim.simulate.runtime=1000ns
sim_1_fileset_xsim.simulate.saif=
sim_1_fileset_xsim.simulate.saif_all_signals=0
sim_1_fileset_xsim.simulate.saif_scope=
sim_1_fileset_xsim.simulate.tcl.post=
sim_1_fileset_xsim.simulate.wdb=
sim_1_fileset_xsim.simulate.xsim.more_options=
utils_1_fileset_name=utils_1
synth_1_run_constrset=constrs_1
synth_1_run_description=Vivado Synthesis Defaults
synth_1_run_flow=Vivado Synthesis 2020
synth_1_run_name=synth_1
synth_1_run_needs_refresh=0
synth_1_run_part=xc7a35tcpg236-1
synth_1_run_srcset=sources_1
synth_1_run_incremental_checkpoint=
synth_1_run_auto_incremental_checkpoint=0
synth_1_run_rqs_files=
synth_1_run_incremental_checkpoint.more_options=
synth_1_run_include_in_archive=1
synth_1_run_gen_full_bitstream=1
synth_1_run_write_incremental_synth_checkpoint=0
synth_1_run_strategy=Vivado Synthesis Defaults
synth_1_run_steps.synth_design.tcl.pre=
synth_1_run_steps.synth_design.tcl.post=
synth_1_run_steps.synth_design.args.flatten_hierarchy=rebuilt
synth_1_run_steps.synth_design.args.gated_clock_conversion=off
synth_1_run_steps.synth_design.args.bufg=12
synth_1_run_steps.synth_design.args.directive=Default
synth_1_run_steps.synth_design.args.retiming=0
synth_1_run_steps.synth_design.args.fsm_extraction=auto
synth_1_run_steps.synth_design.args.keep_equivalent_registers=0
synth_1_run_steps.synth_design.args.resource_sharing=auto
synth_1_run_steps.synth_design.args.control_set_opt_threshold=auto
synth_1_run_steps.synth_design.args.no_lc=0
synth_1_run_steps.synth_design.args.no_srlextract=0
synth_1_run_steps.synth_design.args.shreg_min_size=3
synth_1_run_steps.synth_design.args.max_bram=-1
synth_1_run_steps.synth_design.args.max_uram=-1
synth_1_run_steps.synth_design.args.max_dsp=-1
synth_1_run_steps.synth_design.args.max_bram_cascade_height=-1
synth_1_run_steps.synth_design.args.max_uram_cascade_height=-1
synth_1_run_steps.synth_design.args.cascade_dsp=auto
synth_1_run_steps.synth_design.args.assert=0
synth_1_run_steps.synth_design.args.more options=
impl_1_run_constrset=constrs_1
impl_1_run_description=Default settings for Implementation.
impl_1_run_flow=Vivado Implementation 2020
impl_1_run_name=impl_1
impl_1_run_needs_refresh=0
impl_1_run_part=xc7a35tcpg236-1
impl_1_run_pr_configuration=
impl_1_run_srcset=sources_1
impl_1_run_incremental_checkpoint=
impl_1_run_auto_incremental_checkpoint=0
impl_1_run_rqs_files=
impl_1_run_incremental_checkpoint.more_options=
impl_1_run_include_in_archive=1
impl_1_run_gen_full_bitstream=1
impl_1_run_strategy=Vivado Implementation Defaults
impl_1_run_steps.init_design.tcl.pre=
impl_1_run_steps.init_design.tcl.post=
impl_1_run_steps.opt_design.is_enabled=1
impl_1_run_steps.opt_design.tcl.pre=
impl_1_run_steps.opt_design.tcl.post=
impl_1_run_steps.opt_design.args.verbose=0
impl_1_run_steps.opt_design.args.directive=Default
impl_1_run_steps.opt_design.args.more options=
impl_1_run_steps.power_opt_design.is_enabled=0
impl_1_run_steps.power_opt_design.tcl.pre=
impl_1_run_steps.power_opt_design.tcl.post=
impl_1_run_steps.power_opt_design.args.more options=
impl_1_run_steps.place_design.tcl.pre=
impl_1_run_steps.place_design.tcl.post=
impl_1_run_steps.place_design.args.directive=Default
impl_1_run_steps.place_design.args.more options=
impl_1_run_steps.post_place_power_opt_design.is_enabled=0
impl_1_run_steps.post_place_power_opt_design.tcl.pre=
impl_1_run_steps.post_place_power_opt_design.tcl.post=
impl_1_run_steps.post_place_power_opt_design.args.more options=
impl_1_run_steps.phys_opt_design.is_enabled=1
impl_1_run_steps.phys_opt_design.tcl.pre=
impl_1_run_steps.phys_opt_design.tcl.post=
impl_1_run_steps.phys_opt_design.args.directive=Default
impl_1_run_steps.phys_opt_design.args.more options=
impl_1_run_steps.route_design.tcl.pre=
impl_1_run_steps.route_design.tcl.post=
impl_1_run_steps.route_design.args.directive=Default
impl_1_run_steps.route_design.args.more options=
impl_1_run_steps.post_route_phys_opt_design.is_enabled=0
impl_1_run_steps.post_route_phys_opt_design.tcl.pre=
impl_1_run_steps.post_route_phys_opt_design.tcl.post=
impl_1_run_steps.post_route_phys_opt_design.args.directive=Default
impl_1_run_steps.post_route_phys_opt_design.args.more options=
impl_1_run_steps.write_bitstream.tcl.pre=
impl_1_run_steps.write_bitstream.tcl.post=
impl_1_run_steps.write_bitstream.args.raw_bitfile=0
impl_1_run_steps.write_bitstream.args.mask_file=0
impl_1_run_steps.write_bitstream.args.no_binary_bitfile=0
impl_1_run_steps.write_bitstream.args.bin_file=0
impl_1_run_steps.write_bitstream.args.readback_file=0
impl_1_run_steps.write_bitstream.args.logic_location_file=0
impl_1_run_steps.write_bitstream.args.verbose=0
impl_1_run_steps.write_bitstream.args.more options=
drc_1_gadget_active_reports=
drc_1_gadget_active_reports_invalid=
drc_1_gadget_active_run=0
drc_1_gadget_hide_unused_data=1
drc_1_gadget_incl_new_reports=0
drc_1_gadget_reports=impl_1#impl_1_route_report_drc_0
drc_1_gadget_run.step=route_design
drc_1_gadget_run.type=implementation
drc_1_gadget_statistics.critical_warning=1
drc_1_gadget_statistics.error=1
drc_1_gadget_statistics.info=1
drc_1_gadget_statistics.warning=1
drc_1_gadget_view.orientation=Horizontal
drc_1_gadget_view.type=Graph
methodology_1_gadget_active_reports=
methodology_1_gadget_active_reports_invalid=
methodology_1_gadget_active_run=0
methodology_1_gadget_hide_unused_data=1
methodology_1_gadget_incl_new_reports=0
methodology_1_gadget_reports=impl_1#impl_1_route_report_methodology_0
methodology_1_gadget_run.step=route_design
methodology_1_gadget_run.type=implementation
methodology_1_gadget_statistics.critical_warning=1
methodology_1_gadget_statistics.error=1
methodology_1_gadget_statistics.info=1
methodology_1_gadget_statistics.warning=1
methodology_1_gadget_view.orientation=Horizontal
methodology_1_gadget_view.type=Graph
power_1_gadget_active_reports=
power_1_gadget_active_reports_invalid=
power_1_gadget_active_run=0
power_1_gadget_hide_unused_data=1
power_1_gadget_incl_new_reports=0
power_1_gadget_reports=impl_1#impl_1_route_report_power_0
power_1_gadget_run.step=route_design
power_1_gadget_run.type=implementation
power_1_gadget_statistics.bram=1
power_1_gadget_statistics.clocks=1
power_1_gadget_statistics.dsp=1
power_1_gadget_statistics.gth=1
power_1_gadget_statistics.gtp=1
power_1_gadget_statistics.gtx=1
power_1_gadget_statistics.gtz=1
power_1_gadget_statistics.io=1
power_1_gadget_statistics.logic=1
power_1_gadget_statistics.mmcm=1
power_1_gadget_statistics.pcie=1
power_1_gadget_statistics.phaser=1
power_1_gadget_statistics.pll=1
power_1_gadget_statistics.pl_static=1
power_1_gadget_statistics.ps7=1
power_1_gadget_statistics.ps=1
power_1_gadget_statistics.ps_static=1
power_1_gadget_statistics.signals=1
power_1_gadget_statistics.total_power=1
power_1_gadget_statistics.transceiver=1
power_1_gadget_statistics.xadc=1
power_1_gadget_view.orientation=Horizontal
power_1_gadget_view.type=Graph
timing_1_gadget_active_reports=
timing_1_gadget_active_reports_invalid=
timing_1_gadget_active_run=0
timing_1_gadget_hide_unused_data=1
timing_1_gadget_incl_new_reports=0
timing_1_gadget_reports=impl_1#impl_1_route_report_timing_summary_0
timing_1_gadget_run.step=route_design
timing_1_gadget_run.type=implementation
timing_1_gadget_statistics.ths=1
timing_1_gadget_statistics.tns=1
timing_1_gadget_statistics.tpws=1
timing_1_gadget_statistics.whs=1
timing_1_gadget_statistics.wns=1
timing_1_gadget_view.orientation=Horizontal
timing_1_gadget_view.type=Table
utilization_1_gadget_active_reports=
utilization_1_gadget_active_reports_invalid=
utilization_1_gadget_active_run=0
utilization_1_gadget_hide_unused_data=1
utilization_1_gadget_incl_new_reports=0
utilization_1_gadget_reports=synth_1#synth_1_synth_report_utilization_0
utilization_1_gadget_run.step=synth_design
utilization_1_gadget_run.type=synthesis
utilization_1_gadget_statistics.bram=1
utilization_1_gadget_statistics.bufg=1
utilization_1_gadget_statistics.dsp=1
utilization_1_gadget_statistics.ff=1
utilization_1_gadget_statistics.gt=1
utilization_1_gadget_statistics.io=1
utilization_1_gadget_statistics.lut=1
utilization_1_gadget_statistics.lutram=1
utilization_1_gadget_statistics.mmcm=1
utilization_1_gadget_statistics.pcie=1
utilization_1_gadget_statistics.pll=1
utilization_1_gadget_statistics.uram=1
utilization_1_gadget_view.orientation=Horizontal
utilization_1_gadget_view.type=Graph
utilization_2_gadget_active_reports=
utilization_2_gadget_active_reports_invalid=
utilization_2_gadget_active_run=0
utilization_2_gadget_hide_unused_data=1
utilization_2_gadget_incl_new_reports=0
utilization_2_gadget_reports=impl_1#impl_1_place_report_utilization_0
utilization_2_gadget_run.step=place_design
utilization_2_gadget_run.type=implementation
utilization_2_gadget_statistics.bram=1
utilization_2_gadget_statistics.bufg=1
utilization_2_gadget_statistics.dsp=1
utilization_2_gadget_statistics.ff=1
utilization_2_gadget_statistics.gt=1
utilization_2_gadget_statistics.io=1
utilization_2_gadget_statistics.lut=1
utilization_2_gadget_statistics.lutram=1
utilization_2_gadget_statistics.mmcm=1
utilization_2_gadget_statistics.pcie=1
utilization_2_gadget_statistics.pll=1
utilization_2_gadget_statistics.uram=1
utilization_2_gadget_view.orientation=Horizontal
utilization_2_gadget_view.type=Graph