Skip to content

Releases: FPGAwars/icestudio

v0.4.0

30 Aug 14:32
dab577c
Compare
Choose a tag to compare

Changelog

Since 0.3.3

Support UP5K boards (#281)

Bugfixes

  • Fix FTDI issue in Windows (toolchain-icestorm 1.11.1)
  • Fix low resolution retina and improve performance (#303)
  • Fix clossing app with multiple windows (#304)
  • Fix blank syntax error messages (#306)
  • Fix regular expressions to parse error messages (#308)

General

  • Add cs_CZ translation (by nerakino)
  • Add nl_NL translation (by @elektor-labs)
  • Add el_GR translation (by @ratmole)
  • Add ru_RU translation (by Олег Бахарев)
  • Add it_IT translation (by @wifasoi)
  • Use venv --always-copy flag only for Windows (#244)
  • Improve navigation control
  • Improve project conversions

v0.4.0-dev

26 Oct 05:14
Compare
Choose a tag to compare
v0.4.0-dev Pre-release
Pre-release
Improve navigation control

v0.3.3

16 Sep 15:33
Compare
Choose a tag to compare

Changelog

Since 0.3.3-rc

General

  • Check apio debug settings for dist
  • Update fr_FR translation (#254, #272 by @pcotret)
  • Add Alhambra II pinout SVG (#257 by @EladioDM)
  • Update eu_ES translation (#262 by @Lorea-Aldabaldetreku)
  • Add de_DE translation (#263 by @PhilippvK)
  • Add Bootloader not active for TinyFPGA boards
  • Add prompt for selecting the board at the beginning
  • Add Live command output: View > Command output
  • Fix removeAllCollections for one item
  • Fix translations for info blocks
  • Use collection-default 0.3.3
  • Fix selection-box render for info blocks
  • Load translations of the default collection
  • Enable pointer events for details tag in Info block
  • Propagate double click for selected blocks
  • Update apio to 0.3.6
  • Update gl_ES translation (#273 by @xoan)

v0.3.3-rc

17 Aug 18:42
Compare
Choose a tag to compare
v0.3.3-rc Pre-release
Pre-release

Changelog

Since 0.3.3-beta

Memory address (#250)

  • Add Address format combo (bin, dec, hex)
  • Refactor forms
  • Improve forms style

External collections (#251)

  • Add Edit > Preferences > External collections form
  • Refactor Select > Collections:
    • Default collection
    • Internal collections
    • External collections
  • Reload collection when a block/example is saved
  • Improve collections load speed
  • Allow symbolic linked dirs as collections

Support for TinyFPGA BX

  • Add TinyFPGA BX information (#232 by @tinyfpga)
  • Auto install tinyprog programmer

General

  • Update fr_FR translation (#240 by @pcotret)
  • Update gl_ES translation (#245 by @xoan)
  • Update es_ES translation
  • Fix check toolchain (#246 by @set-soft)
  • Fix project.version on save
  • Fix restore input form values
  • Improve Memory block BLIF errors
  • Improve select box size
  • Disable undo/redo while adding blocks
  • Add View > Toolchain output
  • Show scroll only on focus
  • Refactor Edit > Preferences > Board rules menu
  • Refactor Select > Boards menu
  • Update documentation

v0.3.3-beta

24 May 11:16
Compare
Choose a tag to compare
v0.3.3-beta Pre-release
Pre-release

Changelog

Since 0.3.2

Support Alhambra II (#227)

  • Add PCF
  • Add pinout
  • Use Apio 0.3.3

File format!

  • New version 1.2
  • Add Memory block
  • No state (zoom, pan) information
  • Improve version check. Add update message

Memory block (#233)

  • Add Basic > Memory block
  • Contains: name + local flag + editor
  • Exportable as a parameter
  • Resizable block
  • Use Verilog syntax highlight
  • Generate an internal "list" file

Improve Info block (#235)

  • Add syntax highlight
  • Render checkbox task list
  • Improve render font size
  • Improve rendering: fix tables

General

  • Fix installation bug Mac (#237)
  • Improve block connectors (#223)
  • Update eu_ES translation (#221 by @Lorea-Aldabaldetreku)
  • Add Collections > Reload function
  • Rotate constant port labels
  • Wires avoid port labels :D
  • Allow any character for I/O/Constant/Memory block names
  • Improve findBlockInArea function
  • Disable events in ports
  • Improve toolchain status check
  • Fix driver installer for Windows
  • Update driver instructions for Windows (#208)
  • Merge documentation repo in docs directory
  • Blocks style (#238)
    • Allow empty names: optimize size
    • Increase blocks interactive border
    • Refactor header for I/O/Constant/Memory blocks
    • Improve blocks replacement

0.3.2

14 Apr 13:14
Compare
Choose a tag to compare

Changelog

Since 0.3.2-beta

  • Fix drivers configuration for Windows
  • Fix "profile not found" message
  • Add Python packages management
  • Add Chinese translation (#212 by @VladimirDuan)
  • Install scons package from apio
  • Update fr_FR translation (#216 by @pcotret)
  • Update ca_ES translation (#217 by @fsayas)
  • Update virtualenv package to v15.2.0
  • Add virtualenv "--always-copy" option to avoid symlink issues
  • Improve tmp build_dir management
  • Allow Chinese chars in I/O/Constant blocks
  • Improve blocks edition alerts
  • Center replaced blocks
  • Fix undo/redo bug with ace-builds v1.2.9

0.3.2-beta

03 Feb 06:19
Compare
Choose a tag to compare
0.3.2-beta Pre-release
Pre-release

Changelog

Since 0.3.1

  • Update fr_FR translation (#181 by @pcotret)
  • Add Kefir I SVG pinout (#182, #183 by @set-soft)
  • Update Icezum Alhambre SVG pinout (by @Obijuan)
  • Add Breakout Board HX8K SVG pinout (#186 by @yomboprime)
  • Update gl_ES translation (#188 by @DanyD)
  • Serial drivers (#192)
    • Refactor drivers configuration
    • Install drivers for the selected board (FTDI/Serial/None)
  • Improve Info block
    • Fix zoom and font family
    • Add support for emoji and web links
    • Avoid interaction with wires
    • Toogle info block with double-click
  • Add Drag & Replace blocks (#198)
  • Add FFs and LUTs to FPGA resources (#199)
  • Optimize FPGA resources detection
  • Style improvements

Support for TinyFPGA B2 (#193)

  • Add TinyFPGA B2 information (#185 by @tinyfpga)
  • Detect bootloader and disconnected errors
  • Auto install tinyfpgab programmer

Support for BlackIce (#195)

  • Add BlackIce I information
  • Add BlackIce II information
  • Auto install blackiceprog programmer

0.3.1

01 Nov 10:37
Compare
Choose a tag to compare

Changelog

Since 0.3.1-rc

  • Add iCE40-HX8K Breakout Board SVG pinout (#173 by @yomboprime)
  • Add FPGA resources section (#177)
    • View > FPGA resources
    • Show used/total resources for each board
  • Update modals' style
  • Add more Kéfir I iCE40-HX4K pins (#178 by @set-soft)
  • Update Basque translation (#179 by @Lorea-Aldabaldetreku)
  • Add Olimex iCE40HX8K-EVB pinout (#180 by @brianredbeard)
  • Improve Basic menu style (fix for Mac OS)
  • Detect yosys libffi error
  • Fix cursor padding on zoom
  • Improve menu auto show/hide behavior

0.3.1-rc

15 Oct 16:09
Compare
Choose a tag to compare
0.3.1-rc Pre-release
Pre-release

Changelog

Since 0.3.0

  • Use zip instead of tar.gz (internal toolchain)
  • Add Project information changes to undo stack
  • Add clickable notification to install the toolchain
  • Fix setup drivers in Linux from AppImage (#163)
  • Refactor read/save files using Promises
  • Check toolchain version on init
  • Move up Project information menu option
  • Export BLIF, ASC and Bitstream files
  • Refactor apioRun using Promises
  • Show/Hide tooltip in the selected blocks
  • Allow enter in the selected blocks
  • Remove Reset view menu option
  • Improve footer: better breadcrumbs collapse
  • Fix blocks offset on created
  • Change header/footer colors
  • Improve endmodule error detection in code blocks
  • Detect errors in constant blocks
  • Reset warning/error notifications
  • Improve toolchain install notifications
  • Add setup drivers clickable message before toolchain install
  • Improve close alert
  • Explicit include .list files in code blocks
  • Use tmp build directories for each open window
  • Improve menu show/hide/click behavior
  • Update spanish translations

0.3.0

04 Jun 20:37
Compare
Choose a tag to compare

Changelog

Since 0.3.0-rc

  • Fix v/vh/list files installation from a collection
  • Fix Python 32-bit in win32 installer (#153)
  • Update eu_ES translations (#156 by @1138-4eb)
  • Improve selected board/collection initialization
  • Rearrange selected board/collection when lang changes
  • Fix verilog errors detection in Windows
  • Fix rules update on board change
  • Enable code/info blocks scroll-zoom
  • Improve clock render
  • Enable Ctrl+U in code/info blocks
  • Improve Verify errors
  • Improve render style
  • Fix content changes detection
  • Check Alt key in shortcuts
  • Update apio to 0.2.4:
    • Improve upload speed ~70%
  • Fix restore I/O values on board change undo/redo
  • Improve 'Project load' message
  • Fix code block ports edition
  • Check max bus size up to 96
  • Update fr_FR translations (#159 by @pcotret)
  • Update iCEstick IrDA_SD pin
  • Update Default collection to 0.3.0
  • Verify/Build/Upload optimization: run only if changed
  • Show FPGA resources in upload command
  • Improve code/info blocks zoom
  • Update Icestudio logo