From d52a88e2c6d30eaf7a5bb2ac59071e86ce37b63c Mon Sep 17 00:00:00 2001 From: Yinan Xu Date: Wed, 17 Jan 2024 13:36:51 +0800 Subject: [PATCH] Bump Chisel 6.0.0-RC2 (#163) --- build.sc | 4 ++-- difftest | 2 +- src/main/scala/nutcore/backend/seq/EXU.scala | 2 +- src/main/scala/utils/LookupTree.scala | 2 +- 4 files changed, 5 insertions(+), 5 deletions(-) diff --git a/build.sc b/build.sc index d004b163c..ec7479269 100644 --- a/build.sc +++ b/build.sc @@ -3,8 +3,8 @@ import coursier.maven.MavenRepository object ivys { val scala = "2.13.12" - val chisel = ivy"org.chipsalliance::chisel:6.0.0-RC1" - val chiselPlugin = ivy"org.chipsalliance:::chisel-plugin:6.0.0-RC1" + val chisel = ivy"org.chipsalliance::chisel:6.0.0-RC2" + val chiselPlugin = ivy"org.chipsalliance:::chisel-plugin:6.0.0-RC2" } trait CommonModule extends ScalaModule { diff --git a/difftest b/difftest index 9af8b1ed4..207cceb65 160000 --- a/difftest +++ b/difftest @@ -1 +1 @@ -Subproject commit 9af8b1ed4b39b9e325a483c9016005b5c692c8f5 +Subproject commit 207cceb65e168f69c6830d618bfbf712600274c8 diff --git a/src/main/scala/nutcore/backend/seq/EXU.scala b/src/main/scala/nutcore/backend/seq/EXU.scala index a1c7501db..c855cb7ef 100644 --- a/src/main/scala/nutcore/backend/seq/EXU.scala +++ b/src/main/scala/nutcore/backend/seq/EXU.scala @@ -103,7 +103,7 @@ class EXU(implicit val p: NutCoreConfig) extends NutCoreModule { Debug(mou.io.redirect.valid || csr.io.redirect.valid || alu.io.redirect.valid, "[REDIRECT] flush: %d mou %x csr %x alu %x\n", io.flush, mou.io.redirect.target, csr.io.redirect.target, alu.io.redirect.target) // FIXME: should handle io.out.ready == false - io.out.valid := io.in.valid && MuxLookup(fuType, true.B, List( + io.out.valid := io.in.valid && MuxLookup(fuType, true.B)(List( FuType.lsu -> lsu.io.out.valid, FuType.mdu -> mdu.io.out.valid )) diff --git a/src/main/scala/utils/LookupTree.scala b/src/main/scala/utils/LookupTree.scala index db083222a..9103dac77 100644 --- a/src/main/scala/utils/LookupTree.scala +++ b/src/main/scala/utils/LookupTree.scala @@ -26,5 +26,5 @@ object LookupTree { object LookupTreeDefault { def apply[T <: Data](key: UInt, default: T, mapping: Iterable[(UInt, T)]): T = - MuxLookup(key, default, mapping.toSeq) + MuxLookup(key, default)(mapping.toSeq) }