-
Notifications
You must be signed in to change notification settings - Fork 3
/
fir_core.vhd
48 lines (45 loc) · 1.61 KB
/
fir_core.vhd
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
library IEEE;
use IEEE.std_logic_1164.all;
entity fir_core is
port (
ce_1: in std_logic;
clk_1: in std_logic;
i0: in std_logic_vector(7 downto 0);
i1: in std_logic_vector(7 downto 0);
i10: in std_logic_vector(7 downto 0);
i11: in std_logic_vector(7 downto 0);
i12: in std_logic_vector(7 downto 0);
i13: in std_logic_vector(7 downto 0);
i14: in std_logic_vector(7 downto 0);
i15: in std_logic_vector(7 downto 0);
i2: in std_logic_vector(7 downto 0);
i3: in std_logic_vector(7 downto 0);
i4: in std_logic_vector(7 downto 0);
i5: in std_logic_vector(7 downto 0);
i6: in std_logic_vector(7 downto 0);
i7: in std_logic_vector(7 downto 0);
i8: in std_logic_vector(7 downto 0);
i9: in std_logic_vector(7 downto 0);
sync: in std_logic;
q0: out std_logic_vector(17 downto 0);
q1: out std_logic_vector(17 downto 0);
q10: out std_logic_vector(17 downto 0);
q11: out std_logic_vector(17 downto 0);
q12: out std_logic_vector(17 downto 0);
q13: out std_logic_vector(17 downto 0);
q14: out std_logic_vector(17 downto 0);
q15: out std_logic_vector(17 downto 0);
q2: out std_logic_vector(17 downto 0);
q3: out std_logic_vector(17 downto 0);
q4: out std_logic_vector(17 downto 0);
q5: out std_logic_vector(17 downto 0);
q6: out std_logic_vector(17 downto 0);
q7: out std_logic_vector(17 downto 0);
q8: out std_logic_vector(17 downto 0);
q9: out std_logic_vector(17 downto 0);
sync_out: out std_logic
);
end fir_core;
architecture structural of fir_core is
begin
end structural;