diff --git a/flow/scripts/global_place.tcl b/flow/scripts/global_place.tcl index 0d3f5ca60e..83a3489f40 100644 --- a/flow/scripts/global_place.tcl +++ b/flow/scripts/global_place.tcl @@ -2,9 +2,6 @@ utl::set_metrics_stage "globalplace__{}" source $::env(SCRIPTS_DIR)/load.tcl load_design 3_2_place_iop.odb 2_floorplan.sdc -# Temporary: remove after fixing instability in GPL with multithreading -set_thread_count 1 - set_dont_use $::env(DONT_USE_CELLS) # set fastroute layer reduction diff --git a/flow/scripts/global_place_skip_io.tcl b/flow/scripts/global_place_skip_io.tcl index dd7d87a00f..227b029c03 100644 --- a/flow/scripts/global_place_skip_io.tcl +++ b/flow/scripts/global_place_skip_io.tcl @@ -1,7 +1,6 @@ source $::env(SCRIPTS_DIR)/load.tcl load_design 2_floorplan.odb 2_floorplan.sdc -set_thread_count 1 if { [info exists ::env(FLOORPLAN_DEF)] } { puts "FLOORPLAN_DEF is set. Skipping global placement without IOs" diff --git a/tools/OpenROAD b/tools/OpenROAD index 423009d8e6..28f8d02f6e 160000 --- a/tools/OpenROAD +++ b/tools/OpenROAD @@ -1 +1 @@ -Subproject commit 423009d8e671e859b890e90d96ca02172bc1b7de +Subproject commit 28f8d02f6eb17e8077ea4e29490db20d78ee6ab4