From eedd2886e62a872894df88ec4e2a96afc31dc853 Mon Sep 17 00:00:00 2001 From: Eder Monteiro Date: Tue, 30 Jul 2024 18:45:26 +0000 Subject: [PATCH] update metrics Signed-off-by: Eder Monteiro --- .../gf180/aes-hybrid/metadata-base-ok.json | 428 ++++++++---------- flow/designs/gf180/aes-hybrid/rules-base.json | 2 +- flow/designs/gf180/ibex/metadata-base-ok.json | 234 +++++----- flow/designs/gf180/ibex/rules-base.json | 6 +- 4 files changed, 319 insertions(+), 351 deletions(-) diff --git a/flow/designs/gf180/aes-hybrid/metadata-base-ok.json b/flow/designs/gf180/aes-hybrid/metadata-base-ok.json index 945209b821..3bcbbc7a8f 100644 --- a/flow/designs/gf180/aes-hybrid/metadata-base-ok.json +++ b/flow/designs/gf180/aes-hybrid/metadata-base-ok.json @@ -3,322 +3,290 @@ "constraints__clocks__details": [ "clk: 60.0000" ], - "cts__clock__skew__hold": 0.1442, - "cts__clock__skew__setup": 0.065474, - "cts__cpu__total": 26.45, - "cts__design__core__area": 1773340.0, - "cts__design__die__area": 1800200.0, - "cts__design__instance__area": 401369, + "cts__clock__skew__hold": 0.147393, + "cts__clock__skew__setup": 0.0634132, + "cts__cpu__total": 17.05, + "cts__design__core__area": 1795040.0, + "cts__design__die__area": 1820700.0, + "cts__design__instance__area": 404988, "cts__design__instance__area__macros": 0, - "cts__design__instance__area__stdcell": 401369, - "cts__design__instance__count": 17416, + "cts__design__instance__area__stdcell": 404988, + "cts__design__instance__count": 17454, "cts__design__instance__count__hold_buffer": 0, "cts__design__instance__count__macros": 0, "cts__design__instance__count__setup_buffer": 0, - "cts__design__instance__count__stdcell": 17416, + "cts__design__instance__count__stdcell": 17454, "cts__design__instance__displacement__max": 0, "cts__design__instance__displacement__mean": 0, "cts__design__instance__displacement__total": 0, - "cts__design__instance__utilization": 0.226335, - "cts__design__instance__utilization__stdcell": 0.226335, + "cts__design__instance__utilization": 0.225615, + "cts__design__instance__utilization__stdcell": 0.225615, "cts__design__io": 388, "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 0, - "cts__mem__peak": 336020.0, - "cts__power__internal__total": 0.207909, - "cts__power__leakage__total": 2.95527e-06, - "cts__power__switching__total": 0.140084, - "cts__power__total": 0.347996, - "cts__route__wirelength__estimated": 1004550.0, - "cts__runtime__total": "0:26.77", + "cts__mem__peak": 339208.0, + "cts__power__internal__total": 0.215671, + "cts__power__leakage__total": 2.98338e-06, + "cts__power__switching__total": 0.142983, + "cts__power__total": 0.358657, + "cts__route__wirelength__estimated": 1005980.0, + "cts__runtime__total": "0:17.20", "cts__timing__drv__hold_violation_count": 0, - "cts__timing__drv__max_cap": 0, - "cts__timing__drv__max_cap_limit": 0.093237, + "cts__timing__drv__max_cap": 1, + "cts__timing__drv__max_cap_limit": -2.40991, "cts__timing__drv__max_fanout": 0, "cts__timing__drv__max_fanout_limit": 0, - "cts__timing__drv__max_slew": 0, - "cts__timing__drv__max_slew_limit": 0.0787627, + "cts__timing__drv__max_slew": 87, + "cts__timing__drv__max_slew_limit": -2.17766, "cts__timing__drv__setup_violation_count": 0, "cts__timing__setup__tns": 0, - "cts__timing__setup__ws": 43.8467, - "design__io__hpwl": 339973245, - "detailedplace__cpu__total": 9.97, - "detailedplace__design__core__area": 1773340.0, - "detailedplace__design__die__area": 1800200.0, - "detailedplace__design__instance__area": 399019, + "cts__timing__setup__ws": 39.9533, + "design__io__hpwl": 331818728, + "detailedplace__cpu__total": 6.96, + "detailedplace__design__core__area": 1795040.0, + "detailedplace__design__die__area": 1820700.0, + "detailedplace__design__instance__area": 402329, "detailedplace__design__instance__area__macros": 0, - "detailedplace__design__instance__area__stdcell": 399019, - "detailedplace__design__instance__count": 17355, + "detailedplace__design__instance__area__stdcell": 402329, + "detailedplace__design__instance__count": 17392, "detailedplace__design__instance__count__macros": 0, - "detailedplace__design__instance__count__stdcell": 17355, - "detailedplace__design__instance__displacement__max": 41.44, - "detailedplace__design__instance__displacement__mean": 5.109, - "detailedplace__design__instance__displacement__total": 88670.5, - "detailedplace__design__instance__utilization": 0.22501, - "detailedplace__design__instance__utilization__stdcell": 0.22501, + "detailedplace__design__instance__count__stdcell": 17392, + "detailedplace__design__instance__displacement__max": 49.28, + "detailedplace__design__instance__displacement__mean": 5.118, + "detailedplace__design__instance__displacement__total": 89018.1, + "detailedplace__design__instance__utilization": 0.224133, + "detailedplace__design__instance__utilization__stdcell": 0.224133, "detailedplace__design__io": 388, "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 277904.0, - "detailedplace__power__internal__total": 0.20591, - "detailedplace__power__leakage__total": 2.93957e-06, - "detailedplace__power__switching__total": 0.13845, - "detailedplace__power__total": 0.344363, - "detailedplace__route__wirelength__estimated": 987578, - "detailedplace__runtime__total": "0:10.19", + "detailedplace__mem__peak": 278628.0, + "detailedplace__power__internal__total": 0.213361, + "detailedplace__power__leakage__total": 2.96588e-06, + "detailedplace__power__switching__total": 0.141285, + "detailedplace__power__total": 0.354649, + "detailedplace__route__wirelength__estimated": 990019, + "detailedplace__runtime__total": "0:07.12", "detailedplace__timing__drv__hold_violation_count": 0, - "detailedplace__timing__drv__max_cap": 0, - "detailedplace__timing__drv__max_cap_limit": 0.0941634, + "detailedplace__timing__drv__max_cap": 1, + "detailedplace__timing__drv__max_cap_limit": -2.41227, "detailedplace__timing__drv__max_fanout": 0, "detailedplace__timing__drv__max_fanout_limit": 0, - "detailedplace__timing__drv__max_slew": 0, - "detailedplace__timing__drv__max_slew_limit": 0.0796867, + "detailedplace__timing__drv__max_slew": 87, + "detailedplace__timing__drv__max_slew_limit": -2.17974, "detailedplace__timing__drv__setup_violation_count": 0, "detailedplace__timing__setup__tns": 0, - "detailedplace__timing__setup__ws": 43.1499, - "detailedroute__antenna__violating__nets": 2, - "detailedroute__antenna__violating__pins": 2, - "detailedroute__cpu__total": 1734.35, + "detailedplace__timing__setup__ws": 39.2288, + "detailedroute__antenna__violating__nets": 5, + "detailedroute__antenna__violating__pins": 5, + "detailedroute__cpu__total": 1472.98, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 8, - "detailedroute__mem__peak": 1916072.0, + "detailedroute__mem__peak": 1963620.0, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 4884, - "detailedroute__route__drc_errors__iter:10": 1, - "detailedroute__route__drc_errors__iter:11": 1, - "detailedroute__route__drc_errors__iter:12": 1, - "detailedroute__route__drc_errors__iter:13": 1, - "detailedroute__route__drc_errors__iter:14": 1, - "detailedroute__route__drc_errors__iter:15": 1, - "detailedroute__route__drc_errors__iter:16": 1, - "detailedroute__route__drc_errors__iter:17": 1, - "detailedroute__route__drc_errors__iter:18": 1, - "detailedroute__route__drc_errors__iter:19": 1, - "detailedroute__route__drc_errors__iter:2": 611, - "detailedroute__route__drc_errors__iter:20": 1, - "detailedroute__route__drc_errors__iter:21": 0, - "detailedroute__route__drc_errors__iter:3": 362, - "detailedroute__route__drc_errors__iter:4": 3, - "detailedroute__route__drc_errors__iter:5": 1, - "detailedroute__route__drc_errors__iter:6": 1, - "detailedroute__route__drc_errors__iter:7": 1, - "detailedroute__route__drc_errors__iter:8": 1, - "detailedroute__route__drc_errors__iter:9": 1, - "detailedroute__route__net": 16587, + "detailedroute__route__drc_errors__iter:1": 6167, + "detailedroute__route__drc_errors__iter:2": 1027, + "detailedroute__route__drc_errors__iter:3": 754, + "detailedroute__route__drc_errors__iter:4": 24, + "detailedroute__route__drc_errors__iter:5": 0, + "detailedroute__route__net": 16615, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 110727, + "detailedroute__route__vias": 111168, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 110727, - "detailedroute__route__wirelength": 1266892, - "detailedroute__route__wirelength__iter:1": 1272450, - "detailedroute__route__wirelength__iter:10": 1266860, - "detailedroute__route__wirelength__iter:11": 1266860, - "detailedroute__route__wirelength__iter:12": 1266860, - "detailedroute__route__wirelength__iter:13": 1266861, - "detailedroute__route__wirelength__iter:14": 1266860, - "detailedroute__route__wirelength__iter:15": 1266860, - "detailedroute__route__wirelength__iter:16": 1266860, - "detailedroute__route__wirelength__iter:17": 1266860, - "detailedroute__route__wirelength__iter:18": 1266892, - "detailedroute__route__wirelength__iter:19": 1266892, - "detailedroute__route__wirelength__iter:2": 1267957, - "detailedroute__route__wirelength__iter:20": 1266892, - "detailedroute__route__wirelength__iter:21": 1266892, - "detailedroute__route__wirelength__iter:3": 1266835, - "detailedroute__route__wirelength__iter:4": 1266860, - "detailedroute__route__wirelength__iter:5": 1266860, - "detailedroute__route__wirelength__iter:6": 1266861, - "detailedroute__route__wirelength__iter:7": 1266860, - "detailedroute__route__wirelength__iter:8": 1266860, - "detailedroute__route__wirelength__iter:9": 1266860, - "detailedroute__runtime__total": "2:06.97", - "fillcell__cpu__total": 2.2, - "fillcell__mem__peak": 241464.0, - "fillcell__runtime__total": "0:02.40", - "finish__clock__skew__hold": 0.385315, - "finish__clock__skew__setup": 0.253563, - "finish__cpu__total": 20.79, - "finish__design__core__area": 1773340.0, - "finish__design__die__area": 1800200.0, - "finish__design__instance__area": 401494, + "detailedroute__route__vias__singlecut": 111168, + "detailedroute__route__wirelength": 1269934, + "detailedroute__route__wirelength__iter:1": 1275326, + "detailedroute__route__wirelength__iter:2": 1271121, + "detailedroute__route__wirelength__iter:3": 1270011, + "detailedroute__route__wirelength__iter:4": 1269932, + "detailedroute__route__wirelength__iter:5": 1269934, + "detailedroute__runtime__total": "1:28.47", + "fillcell__cpu__total": 1.5, + "fillcell__mem__peak": 241912.0, + "fillcell__runtime__total": "0:01.64", + "finish__clock__skew__hold": 0.348187, + "finish__clock__skew__setup": 0.214939, + "finish__cpu__total": 14.99, + "finish__design__core__area": 1795040.0, + "finish__design__die__area": 1820700.0, + "finish__design__instance__area": 405262, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 401494, - "finish__design__instance__count": 17438, + "finish__design__instance__area__stdcell": 405262, + "finish__design__instance__count": 17483, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 17438, - "finish__design__instance__utilization": 0.226405, - "finish__design__instance__utilization__stdcell": 0.226405, + "finish__design__instance__count__stdcell": 17483, + "finish__design__instance__utilization": 0.225767, + "finish__design__instance__utilization__stdcell": 0.225767, "finish__design__io": 388, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 437128.0, - "finish__power__internal__total": 0.251584, - "finish__power__leakage__total": 4.25254e-06, - "finish__power__switching__total": 0.2176, - "finish__power__total": 0.469188, - "finish__runtime__total": "0:21.27", + "finish__mem__peak": 442464.0, + "finish__power__internal__total": 0.25269, + "finish__power__leakage__total": 4.29277e-06, + "finish__power__switching__total": 0.224823, + "finish__power__total": 0.477517, + "finish__runtime__total": "0:15.35", "finish__timing__drv__hold_violation_count": 0, - "finish__timing__drv__max_cap": 9, - "finish__timing__drv__max_cap_limit": -0.359253, + "finish__timing__drv__max_cap": 3, + "finish__timing__drv__max_cap_limit": -0.423798, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, - "finish__timing__drv__max_slew": 357, - "finish__timing__drv__max_slew_limit": -0.367977, + "finish__timing__drv__max_slew": 124, + "finish__timing__drv__max_slew_limit": -0.430888, "finish__timing__drv__setup_violation_count": 0, "finish__timing__setup__tns": 0, - "finish__timing__setup__ws": 42.7805, - "finish__timing__wns_percent_delay": 230.283733, - "finish_merge__cpu__total": 39.83, - "finish_merge__mem__peak": 555204.0, - "finish_merge__runtime__total": "0:40.23", - "floorplan__cpu__total": 4.86, - "floorplan__design__core__area": 1773340.0, - "floorplan__design__die__area": 1800200.0, - "floorplan__design__instance__area": 512472, + "finish__timing__setup__ws": 43.6214, + "finish__timing__wns_percent_delay": 244.66406, + "finish_merge__cpu__total": 33.27, + "finish_merge__mem__peak": 556104.0, + "finish_merge__runtime__total": "0:33.62", + "floorplan__cpu__total": 3.57, + "floorplan__design__core__area": 1795040.0, + "floorplan__design__die__area": 1820700.0, + "floorplan__design__instance__area": 516448, "floorplan__design__instance__area__macros": 0, - "floorplan__design__instance__area__stdcell": 512472, - "floorplan__design__instance__count": 15627, + "floorplan__design__instance__area__stdcell": 516448, + "floorplan__design__instance__count": 15656, "floorplan__design__instance__count__macros": 0, - "floorplan__design__instance__count__stdcell": 15627, - "floorplan__design__instance__utilization": 0.288987, - "floorplan__design__instance__utilization__stdcell": 0.288987, + "floorplan__design__instance__count__stdcell": 15656, + "floorplan__design__instance__utilization": 0.287708, + "floorplan__design__instance__utilization__stdcell": 0.287708, "floorplan__design__io": 388, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 1, - "floorplan__mem__peak": 209552.0, - "floorplan__power__internal__total": 0.516613, - "floorplan__power__leakage__total": 3.26292e-06, - "floorplan__power__switching__total": 0.207077, - "floorplan__power__total": 0.723693, - "floorplan__runtime__total": "0:04.99", + "floorplan__mem__peak": 208672.0, + "floorplan__power__internal__total": 0.490012, + "floorplan__power__leakage__total": 3.2884e-06, + "floorplan__power__switching__total": 0.210281, + "floorplan__power__total": 0.700297, + "floorplan__runtime__total": "0:03.70", "floorplan__timing__setup__tns": 0, - "floorplan__timing__setup__ws": 38.4416, - "floorplan_io__cpu__total": 1.74, - "floorplan_io__mem__peak": 173720.0, - "floorplan_io__runtime__total": "0:01.86", - "floorplan_macro__cpu__total": 1.77, - "floorplan_macro__mem__peak": 173416.0, - "floorplan_macro__runtime__total": "0:01.87", - "floorplan_pdn__cpu__total": 4.07, - "floorplan_pdn__mem__peak": 192972.0, - "floorplan_pdn__runtime__total": "0:04.21", - "floorplan_tap__cpu__total": 1.77, - "floorplan_tap__mem__peak": 163988.0, - "floorplan_tap__runtime__total": "0:01.86", - "floorplan_tdms__cpu__total": 1.76, - "floorplan_tdms__mem__peak": 172948.0, - "floorplan_tdms__runtime__total": "0:01.87", + "floorplan__timing__setup__ws": 39.7231, + "floorplan_io__cpu__total": 1.19, + "floorplan_io__mem__peak": 173632.0, + "floorplan_io__runtime__total": "0:01.29", + "floorplan_macro__cpu__total": 1.21, + "floorplan_macro__mem__peak": 172068.0, + "floorplan_macro__runtime__total": "0:01.32", + "floorplan_pdn__cpu__total": 2.62, + "floorplan_pdn__mem__peak": 193576.0, + "floorplan_pdn__runtime__total": "0:02.84", + "floorplan_tap__cpu__total": 1.19, + "floorplan_tap__mem__peak": 163408.0, + "floorplan_tap__runtime__total": "0:01.29", + "floorplan_tdms__cpu__total": 1.14, + "floorplan_tdms__mem__peak": 173128.0, + "floorplan_tdms__runtime__total": "0:01.26", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 238.77, - "globalplace__design__core__area": 1773340.0, - "globalplace__design__die__area": 1800200.0, - "globalplace__design__instance__area": 520024, + "globalplace__cpu__total": 438.44, + "globalplace__design__core__area": 1795040.0, + "globalplace__design__die__area": 1820700.0, + "globalplace__design__instance__area": 524052, "globalplace__design__instance__area__macros": 0, - "globalplace__design__instance__area__stdcell": 520024, - "globalplace__design__instance__count": 16965, + "globalplace__design__instance__area__stdcell": 524052, + "globalplace__design__instance__count": 17003, "globalplace__design__instance__count__macros": 0, - "globalplace__design__instance__count__stdcell": 16965, - "globalplace__design__instance__utilization": 0.293246, - "globalplace__design__instance__utilization__stdcell": 0.293246, + "globalplace__design__instance__count__stdcell": 17003, + "globalplace__design__instance__utilization": 0.291944, + "globalplace__design__instance__utilization__stdcell": 0.291944, "globalplace__design__io": 388, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 353504.0, - "globalplace__power__internal__total": 0.513524, - "globalplace__power__leakage__total": 3.34385e-06, - "globalplace__power__switching__total": 0.212883, - "globalplace__power__total": 0.72641, - "globalplace__runtime__total": "1:08.85", + "globalplace__mem__peak": 353080.0, + "globalplace__power__internal__total": 0.486615, + "globalplace__power__leakage__total": 3.36988e-06, + "globalplace__power__switching__total": 0.216147, + "globalplace__power__total": 0.702766, + "globalplace__runtime__total": "0:57.61", "globalplace__timing__setup__tns": 0, - "globalplace__timing__setup__ws": 37.7335, - "globalplace_io__cpu__total": 1.93, - "globalplace_io__mem__peak": 191440.0, - "globalplace_io__runtime__total": "0:02.07", - "globalplace_skip_io__cpu__total": 7.85, - "globalplace_skip_io__mem__peak": 211436.0, - "globalplace_skip_io__runtime__total": "0:07.97", + "globalplace__timing__setup__ws": 39.1556, + "globalplace_io__cpu__total": 1.3, + "globalplace_io__mem__peak": 190548.0, + "globalplace_io__runtime__total": "0:01.41", + "globalplace_skip_io__cpu__total": 5.14, + "globalplace_skip_io__mem__peak": 211688.0, + "globalplace_skip_io__runtime__total": "0:05.27", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, - "globalroute__clock__skew__hold": 0.145909, - "globalroute__clock__skew__setup": 0.0635211, - "globalroute__cpu__total": 149.08, - "globalroute__design__core__area": 1773340.0, - "globalroute__design__die__area": 1800200.0, - "globalroute__design__instance__area": 401494, + "globalroute__clock__skew__hold": 0.149322, + "globalroute__clock__skew__setup": 0.0635349, + "globalroute__cpu__total": 89.82, + "globalroute__design__core__area": 1795040.0, + "globalroute__design__die__area": 1820700.0, + "globalroute__design__instance__area": 405262, "globalroute__design__instance__area__macros": 0, - "globalroute__design__instance__area__stdcell": 401494, - "globalroute__design__instance__count": 17438, + "globalroute__design__instance__area__stdcell": 405262, + "globalroute__design__instance__count": 17483, "globalroute__design__instance__count__hold_buffer": 0, "globalroute__design__instance__count__macros": 0, "globalroute__design__instance__count__setup_buffer": 0, - "globalroute__design__instance__count__stdcell": 17438, + "globalroute__design__instance__count__stdcell": 17483, "globalroute__design__instance__displacement__max": 0, "globalroute__design__instance__displacement__mean": 0, "globalroute__design__instance__displacement__total": 0, - "globalroute__design__instance__utilization": 0.226405, - "globalroute__design__instance__utilization__stdcell": 0.226405, + "globalroute__design__instance__utilization": 0.225767, + "globalroute__design__instance__utilization__stdcell": 0.225767, "globalroute__design__io": 388, "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 0, - "globalroute__mem__peak": 953132.0, - "globalroute__power__internal__total": 0.208062, - "globalroute__power__leakage__total": 2.9586e-06, - "globalroute__power__switching__total": 0.141547, - "globalroute__power__total": 0.349612, - "globalroute__route__wirelength__estimated": 1004550.0, - "globalroute__runtime__total": "0:30.23", - "globalroute__timing__clock__slack": 43.759, + "globalroute__mem__peak": 614296.0, + "globalroute__power__internal__total": 0.208335, + "globalroute__power__leakage__total": 2.98837e-06, + "globalroute__power__switching__total": 0.144291, + "globalroute__power__total": 0.352629, + "globalroute__route__wirelength__estimated": 1005980.0, + "globalroute__runtime__total": "0:20.89", + "globalroute__timing__clock__slack": 44.651, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 0, - "globalroute__timing__drv__max_cap_limit": 0.0900746, + "globalroute__timing__drv__max_cap_limit": 0.102183, "globalroute__timing__drv__max_fanout": 0, "globalroute__timing__drv__max_fanout_limit": 0, "globalroute__timing__drv__max_slew": 0, - "globalroute__timing__drv__max_slew_limit": 0.0764357, + "globalroute__timing__drv__max_slew_limit": 0.0916743, "globalroute__timing__drv__setup_violation_count": 0, "globalroute__timing__setup__tns": 0, - "globalroute__timing__setup__ws": 43.7588, - "placeopt__cpu__total": 13.75, - "placeopt__design__core__area": 1773340.0, - "placeopt__design__die__area": 1800200.0, - "placeopt__design__instance__area": 444659, + "globalroute__timing__setup__ws": 44.6511, + "placeopt__cpu__total": 9.92, + "placeopt__design__core__area": 1795040.0, + "placeopt__design__die__area": 1820700.0, + "placeopt__design__instance__area": 446493, "placeopt__design__instance__area__macros": 0, - "placeopt__design__instance__area__stdcell": 444659, - "placeopt__design__instance__count": 17355, + "placeopt__design__instance__area__stdcell": 446493, + "placeopt__design__instance__count": 17392, "placeopt__design__instance__count__macros": 0, - "placeopt__design__instance__count__stdcell": 17355, - "placeopt__design__instance__utilization": 0.250747, - "placeopt__design__instance__utilization__stdcell": 0.250747, + "placeopt__design__instance__count__stdcell": 17392, + "placeopt__design__instance__utilization": 0.248737, + "placeopt__design__instance__utilization__stdcell": 0.248737, "placeopt__design__io": 388, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 0, - "placeopt__mem__peak": 262548.0, - "placeopt__power__internal__total": 0.236798, - "placeopt__power__leakage__total": 3.44019e-06, - "placeopt__power__switching__total": 0.162285, - "placeopt__power__total": 0.399086, - "placeopt__runtime__total": "0:13.95", + "placeopt__mem__peak": 279616.0, + "placeopt__power__internal__total": 0.238883, + "placeopt__power__leakage__total": 3.45464e-06, + "placeopt__power__switching__total": 0.164217, + "placeopt__power__total": 0.403104, + "placeopt__runtime__total": "0:10.08", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 0, "placeopt__timing__drv__hold_violation_count": 0, "placeopt__timing__drv__max_cap": 0, - "placeopt__timing__drv__max_cap_limit": 0.886596, + "placeopt__timing__drv__max_cap_limit": 0.936633, "placeopt__timing__drv__max_fanout": 0, "placeopt__timing__drv__max_fanout_limit": 0, "placeopt__timing__drv__max_slew": 0, - "placeopt__timing__drv__max_slew_limit": 0.185077, + "placeopt__timing__drv__max_slew_limit": 0.296414, "placeopt__timing__drv__setup_violation_count": 0, "placeopt__timing__setup__tns": 0, - "placeopt__timing__setup__ws": 44.0508, + "placeopt__timing__setup__ws": 44.1404, "run__flow__design": "aes-hybrid", - "run__flow__generate_date": "2024-07-04 17:27", + "run__flow__generate_date": "2024-07-30 16:24", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-14426-g25d536811", + "run__flow__openroad_version": "v2.0-14841-gff2927de1", "run__flow__platform": "gf180", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -329,12 +297,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "252728a4-348e-418a-94f8-cc5dfd6b0820", + "run__flow__uuid": "23000b24-2c50-4a26-90ed-a183a71a46f0", "run__flow__variant": "base", - "synth__cpu__total": 31.24, - "synth__design__instance__area__stdcell": 536845.8816, - "synth__design__instance__count__stdcell": 16475.0, - "synth__mem__peak": 335144.0, - "synth__runtime__total": "0:31.76", - "total_time": "0:06:39.320000" + "synth__cpu__total": 18.07, + "synth__design__instance__area__stdcell": 542978.9568, + "synth__design__instance__count__stdcell": 16498.0, + "synth__mem__peak": 336400.0, + "synth__runtime__total": "0:18.44", + "total_time": "0:04:48.800000" } \ No newline at end of file diff --git a/flow/designs/gf180/aes-hybrid/rules-base.json b/flow/designs/gf180/aes-hybrid/rules-base.json index faf8d1a299..704a37439d 100644 --- a/flow/designs/gf180/aes-hybrid/rules-base.json +++ b/flow/designs/gf180/aes-hybrid/rules-base.json @@ -36,7 +36,7 @@ "compare": "<=" }, "detailedroute__antenna__violating__nets": { - "value": 2, + "value": 6, "compare": "<=" }, "finish__timing__setup__ws": { diff --git a/flow/designs/gf180/ibex/metadata-base-ok.json b/flow/designs/gf180/ibex/metadata-base-ok.json index b83eabf603..e2d18ccdbd 100644 --- a/flow/designs/gf180/ibex/metadata-base-ok.json +++ b/flow/designs/gf180/ibex/metadata-base-ok.json @@ -5,7 +5,7 @@ ], "cts__clock__skew__hold": 1.51821, "cts__clock__skew__setup": 4.85426, - "cts__cpu__total": 32.58, + "cts__cpu__total": 47.97, "cts__design__core__area": 1445570.0, "cts__design__die__area": 1458710.0, "cts__design__instance__area": 686506, @@ -25,13 +25,13 @@ "cts__design__violations": 0, "cts__flow__errors__count": 0, "cts__flow__warnings__count": 0, - "cts__mem__peak": 262020.0, + "cts__mem__peak": 261652.0, "cts__power__internal__total": 0.961588, "cts__power__leakage__total": 4.14678e-06, "cts__power__switching__total": 0.411881, "cts__power__total": 1.37347, "cts__route__wirelength__estimated": 932098, - "cts__runtime__total": "0:32.76", + "cts__runtime__total": "0:48.14", "cts__timing__drv__hold_violation_count": 0, "cts__timing__drv__max_cap": 0, "cts__timing__drv__max_cap_limit": 0.0390455, @@ -43,7 +43,7 @@ "cts__timing__setup__tns": 0, "cts__timing__setup__ws": 0, "design__io__hpwl": 179178079, - "detailedplace__cpu__total": 12.47, + "detailedplace__cpu__total": 17.03, "detailedplace__design__core__area": 1445570.0, "detailedplace__design__die__area": 1458710.0, "detailedplace__design__instance__area": 671649, @@ -61,13 +61,13 @@ "detailedplace__design__violations": 0, "detailedplace__flow__errors__count": 0, "detailedplace__flow__warnings__count": 0, - "detailedplace__mem__peak": 231392.0, + "detailedplace__mem__peak": 230612.0, "detailedplace__power__internal__total": 0.901114, "detailedplace__power__leakage__total": 4.05224e-06, "detailedplace__power__switching__total": 0.391272, "detailedplace__power__total": 1.29239, "detailedplace__route__wirelength__estimated": 916937, - "detailedplace__runtime__total": "0:12.59", + "detailedplace__runtime__total": "0:17.19", "detailedplace__timing__drv__hold_violation_count": 1, "detailedplace__timing__drv__max_cap": 0, "detailedplace__timing__drv__max_cap_limit": 0.0385636, @@ -78,76 +78,76 @@ "detailedplace__timing__drv__setup_violation_count": 0, "detailedplace__timing__setup__tns": 0, "detailedplace__timing__setup__ws": 0, - "detailedroute__antenna__violating__nets": 2, - "detailedroute__antenna__violating__pins": 2, - "detailedroute__cpu__total": 905.64, + "detailedroute__antenna__violating__nets": 0, + "detailedroute__antenna__violating__pins": 0, + "detailedroute__cpu__total": 2008.5, "detailedroute__flow__errors__count": 0, "detailedroute__flow__warnings__count": 8, - "detailedroute__mem__peak": 2052356.0, + "detailedroute__mem__peak": 2075976.0, "detailedroute__route__drc_errors": 0, - "detailedroute__route__drc_errors__iter:1": 4155, - "detailedroute__route__drc_errors__iter:2": 284, - "detailedroute__route__drc_errors__iter:3": 169, - "detailedroute__route__drc_errors__iter:4": 2, - "detailedroute__route__drc_errors__iter:5": 2, - "detailedroute__route__drc_errors__iter:6": 2, + "detailedroute__route__drc_errors__iter:1": 5838, + "detailedroute__route__drc_errors__iter:2": 760, + "detailedroute__route__drc_errors__iter:3": 534, + "detailedroute__route__drc_errors__iter:4": 26, + "detailedroute__route__drc_errors__iter:5": 3, + "detailedroute__route__drc_errors__iter:6": 3, "detailedroute__route__drc_errors__iter:7": 2, "detailedroute__route__drc_errors__iter:8": 0, - "detailedroute__route__net": 13978, + "detailedroute__route__net": 13970, "detailedroute__route__net__special": 2, - "detailedroute__route__vias": 108263, + "detailedroute__route__vias": 110113, "detailedroute__route__vias__multicut": 0, - "detailedroute__route__vias__singlecut": 108263, - "detailedroute__route__wirelength": 1271522, - "detailedroute__route__wirelength__iter:1": 1277278, - "detailedroute__route__wirelength__iter:2": 1271937, - "detailedroute__route__wirelength__iter:3": 1271527, - "detailedroute__route__wirelength__iter:4": 1271518, - "detailedroute__route__wirelength__iter:5": 1271518, - "detailedroute__route__wirelength__iter:6": 1271518, - "detailedroute__route__wirelength__iter:7": 1271523, - "detailedroute__route__wirelength__iter:8": 1271522, - "detailedroute__runtime__total": "1:08.61", - "fillcell__cpu__total": 0.97, - "fillcell__mem__peak": 190708.0, - "fillcell__runtime__total": "0:01.06", - "finish__clock__skew__hold": 10.2789, - "finish__clock__skew__setup": 14.0897, - "finish__cpu__total": 33.47, + "detailedroute__route__vias__singlecut": 110113, + "detailedroute__route__wirelength": 1262568, + "detailedroute__route__wirelength__iter:1": 1267789, + "detailedroute__route__wirelength__iter:2": 1263387, + "detailedroute__route__wirelength__iter:3": 1262732, + "detailedroute__route__wirelength__iter:4": 1262571, + "detailedroute__route__wirelength__iter:5": 1262570, + "detailedroute__route__wirelength__iter:6": 1262564, + "detailedroute__route__wirelength__iter:7": 1262569, + "detailedroute__route__wirelength__iter:8": 1262568, + "detailedroute__runtime__total": "2:16.06", + "fillcell__cpu__total": 1.28, + "fillcell__mem__peak": 190224.0, + "fillcell__runtime__total": "0:01.43", + "finish__clock__skew__hold": 3.19779, + "finish__clock__skew__setup": 7.03412, + "finish__cpu__total": 47.12, "finish__design__core__area": 1445570.0, "finish__design__die__area": 1458710.0, - "finish__design__instance__area": 687037, + "finish__design__instance__area": 686552, "finish__design__instance__area__macros": 0, - "finish__design__instance__area__stdcell": 687037, - "finish__design__instance__count": 15205, + "finish__design__instance__area__stdcell": 686552, + "finish__design__instance__count": 15199, "finish__design__instance__count__macros": 0, - "finish__design__instance__count__stdcell": 15205, - "finish__design__instance__utilization": 0.475272, - "finish__design__instance__utilization__stdcell": 0.475272, + "finish__design__instance__count__stdcell": 15199, + "finish__design__instance__utilization": 0.474936, + "finish__design__instance__utilization__stdcell": 0.474936, "finish__design__io": 264, "finish__flow__errors__count": 0, "finish__flow__warnings__count": 1, - "finish__mem__peak": 427668.0, - "finish__power__internal__total": 1.05333, - "finish__power__leakage__total": 5.65976e-06, - "finish__power__switching__total": 0.643343, - "finish__power__total": 1.69668, - "finish__runtime__total": "0:33.77", - "finish__timing__drv__hold_violation_count": 255, - "finish__timing__drv__max_cap": 7, - "finish__timing__drv__max_cap_limit": -0.241005, + "finish__mem__peak": 429240.0, + "finish__power__internal__total": 1.05754, + "finish__power__leakage__total": 5.65863e-06, + "finish__power__switching__total": 0.651147, + "finish__power__total": 1.70869, + "finish__runtime__total": "0:47.49", + "finish__timing__drv__hold_violation_count": 341, + "finish__timing__drv__max_cap": 8, + "finish__timing__drv__max_cap_limit": -0.244726, "finish__timing__drv__max_fanout": 0, "finish__timing__drv__max_fanout_limit": 0, "finish__timing__drv__max_slew": 313, - "finish__timing__drv__max_slew_limit": -0.191693, - "finish__timing__drv__setup_violation_count": 93, - "finish__timing__setup__tns": -112.227, - "finish__timing__setup__ws": -2.63965, - "finish__timing__wns_percent_delay": -18.031107, - "finish_merge__cpu__total": 40.89, - "finish_merge__mem__peak": 564592.0, - "finish_merge__runtime__total": "0:41.26", - "floorplan__cpu__total": 6.15, + "finish__timing__drv__max_slew_limit": -0.200491, + "finish__timing__drv__setup_violation_count": 95, + "finish__timing__setup__tns": -133.241, + "finish__timing__setup__ws": -2.9696, + "finish__timing__wns_percent_delay": -19.837537, + "finish_merge__cpu__total": 46.85, + "finish_merge__mem__peak": 566176.0, + "finish_merge__runtime__total": "0:47.22", + "floorplan__cpu__total": 7.79, "floorplan__design__core__area": 1445570.0, "floorplan__design__die__area": 1458710.0, "floorplan__design__instance__area": 623739, @@ -161,32 +161,32 @@ "floorplan__design__io": 264, "floorplan__flow__errors__count": 0, "floorplan__flow__warnings__count": 1, - "floorplan__mem__peak": 177292.0, + "floorplan__mem__peak": 176924.0, "floorplan__power__internal__total": 1.41904, "floorplan__power__leakage__total": 3.75053e-06, "floorplan__power__switching__total": 0.349104, "floorplan__power__total": 1.76815, - "floorplan__runtime__total": "0:06.24", + "floorplan__runtime__total": "0:07.91", "floorplan__timing__setup__tns": -7968.88, "floorplan__timing__setup__ws": -6.41414, - "floorplan_io__cpu__total": 0.62, - "floorplan_io__mem__peak": 136848.0, - "floorplan_io__runtime__total": "0:00.69", - "floorplan_macro__cpu__total": 0.65, - "floorplan_macro__mem__peak": 137352.0, - "floorplan_macro__runtime__total": "0:00.69", - "floorplan_pdn__cpu__total": 2.04, - "floorplan_pdn__mem__peak": 159632.0, - "floorplan_pdn__runtime__total": "0:02.12", - "floorplan_tap__cpu__total": 0.68, - "floorplan_tap__mem__peak": 128904.0, - "floorplan_tap__runtime__total": "0:00.71", - "floorplan_tdms__cpu__total": 0.63, - "floorplan_tdms__mem__peak": 136076.0, - "floorplan_tdms__runtime__total": "0:00.69", + "floorplan_io__cpu__total": 0.89, + "floorplan_io__mem__peak": 136484.0, + "floorplan_io__runtime__total": "0:00.94", + "floorplan_macro__cpu__total": 0.89, + "floorplan_macro__mem__peak": 135644.0, + "floorplan_macro__runtime__total": "0:00.96", + "floorplan_pdn__cpu__total": 2.96, + "floorplan_pdn__mem__peak": 160016.0, + "floorplan_pdn__runtime__total": "0:03.09", + "floorplan_tap__cpu__total": 0.91, + "floorplan_tap__mem__peak": 129296.0, + "floorplan_tap__runtime__total": "0:00.98", + "floorplan_tdms__cpu__total": 0.9, + "floorplan_tdms__mem__peak": 135896.0, + "floorplan_tdms__runtime__total": "0:00.94", "flow__errors__count": 0, "flow__warnings__count": 0, - "globalplace__cpu__total": 71.25, + "globalplace__cpu__total": 88.43, "globalplace__design__core__area": 1445570.0, "globalplace__design__die__area": 1458710.0, "globalplace__design__instance__area": 634555, @@ -200,63 +200,63 @@ "globalplace__design__io": 264, "globalplace__flow__errors__count": 0, "globalplace__flow__warnings__count": 0, - "globalplace__mem__peak": 305088.0, + "globalplace__mem__peak": 305108.0, "globalplace__power__internal__total": 1.44415, "globalplace__power__leakage__total": 3.86641e-06, "globalplace__power__switching__total": 0.365106, "globalplace__power__total": 1.80926, - "globalplace__runtime__total": "0:52.17", + "globalplace__runtime__total": "1:06.23", "globalplace__timing__setup__tns": -9171.76, "globalplace__timing__setup__ws": -6.93637, - "globalplace_io__cpu__total": 0.76, - "globalplace_io__mem__peak": 159372.0, - "globalplace_io__runtime__total": "0:00.88", - "globalplace_skip_io__cpu__total": 3.21, - "globalplace_skip_io__mem__peak": 179496.0, - "globalplace_skip_io__runtime__total": "0:03.34", + "globalplace_io__cpu__total": 1.07, + "globalplace_io__mem__peak": 158772.0, + "globalplace_io__runtime__total": "0:01.15", + "globalplace_skip_io__cpu__total": 4.72, + "globalplace_skip_io__mem__peak": 180144.0, + "globalplace_skip_io__runtime__total": "0:04.81", "globalroute__antenna__violating__nets": 0, "globalroute__antenna__violating__pins": 0, - "globalroute__clock__skew__hold": 8.65284, - "globalroute__clock__skew__setup": 11.9795, - "globalroute__cpu__total": 173.53, + "globalroute__clock__skew__hold": 1.52187, + "globalroute__clock__skew__setup": 4.85841, + "globalroute__cpu__total": 180.61, "globalroute__design__core__area": 1445570.0, "globalroute__design__die__area": 1458710.0, - "globalroute__design__instance__area": 687037, + "globalroute__design__instance__area": 686552, "globalroute__design__instance__area__macros": 0, - "globalroute__design__instance__area__stdcell": 687037, - "globalroute__design__instance__count": 15205, - "globalroute__design__instance__count__hold_buffer": 8, + "globalroute__design__instance__area__stdcell": 686552, + "globalroute__design__instance__count": 15199, + "globalroute__design__instance__count__hold_buffer": 0, "globalroute__design__instance__count__macros": 0, "globalroute__design__instance__count__setup_buffer": 0, - "globalroute__design__instance__count__stdcell": 15205, - "globalroute__design__instance__displacement__max": 32.48, - "globalroute__design__instance__displacement__mean": 0.029, - "globalroute__design__instance__displacement__total": 448, - "globalroute__design__instance__utilization": 0.475272, - "globalroute__design__instance__utilization__stdcell": 0.475272, + "globalroute__design__instance__count__stdcell": 15199, + "globalroute__design__instance__displacement__max": 0, + "globalroute__design__instance__displacement__mean": 0, + "globalroute__design__instance__displacement__total": 0, + "globalroute__design__instance__utilization": 0.474936, + "globalroute__design__instance__utilization__stdcell": 0.474936, "globalroute__design__io": 264, "globalroute__design__violations": 0, "globalroute__flow__errors__count": 0, "globalroute__flow__warnings__count": 0, - "globalroute__mem__peak": 997144.0, - "globalroute__power__internal__total": 0.964831, - "globalroute__power__leakage__total": 4.1499e-06, - "globalroute__power__switching__total": 0.416066, - "globalroute__power__total": 1.3809, - "globalroute__route__wirelength__estimated": 932814, - "globalroute__runtime__total": "0:42.53", + "globalroute__mem__peak": 582424.0, + "globalroute__power__internal__total": 0.964136, + "globalroute__power__leakage__total": 4.14799e-06, + "globalroute__power__switching__total": 0.41491, + "globalroute__power__total": 1.37905, + "globalroute__route__wirelength__estimated": 932098, + "globalroute__runtime__total": "0:53.97", "globalroute__timing__clock__slack": 0.0, "globalroute__timing__drv__hold_violation_count": 0, "globalroute__timing__drv__max_cap": 0, - "globalroute__timing__drv__max_cap_limit": 0.0361646, + "globalroute__timing__drv__max_cap_limit": 0.0363234, "globalroute__timing__drv__max_fanout": 0, "globalroute__timing__drv__max_fanout_limit": 0, "globalroute__timing__drv__max_slew": 0, - "globalroute__timing__drv__max_slew_limit": 0.0905377, + "globalroute__timing__drv__max_slew_limit": 0.0906626, "globalroute__timing__drv__setup_violation_count": 0, "globalroute__timing__setup__tns": 0, "globalroute__timing__setup__ws": 0, - "placeopt__cpu__total": 13.9, + "placeopt__cpu__total": 17.57, "placeopt__design__core__area": 1445570.0, "placeopt__design__die__area": 1458710.0, "placeopt__design__instance__area": 671649, @@ -270,12 +270,12 @@ "placeopt__design__io": 264, "placeopt__flow__errors__count": 0, "placeopt__flow__warnings__count": 1, - "placeopt__mem__peak": 222612.0, + "placeopt__mem__peak": 223180.0, "placeopt__power__internal__total": 0.900822, "placeopt__power__leakage__total": 4.05224e-06, "placeopt__power__switching__total": 0.390652, "placeopt__power__total": 1.29148, - "placeopt__runtime__total": "0:14.03", + "placeopt__runtime__total": "0:17.73", "placeopt__timing__drv__floating__nets": 0, "placeopt__timing__drv__floating__pins": 1, "placeopt__timing__drv__hold_violation_count": 1, @@ -289,10 +289,10 @@ "placeopt__timing__setup__tns": 0, "placeopt__timing__setup__ws": 0, "run__flow__design": "ibex", - "run__flow__generate_date": "2024-07-19 00:19", + "run__flow__generate_date": "2024-07-30 16:40", "run__flow__metrics_version": "Metrics_2.1.2", "run__flow__openroad_commit": "N/A", - "run__flow__openroad_version": "v2.0-14652-g91e3e21fd", + "run__flow__openroad_version": "v2.0-14841-gff2927de1", "run__flow__platform": "gf180", "run__flow__platform__capacitance_units": "1pF", "run__flow__platform__current_units": "1mA", @@ -303,12 +303,12 @@ "run__flow__platform__voltage_units": "1v", "run__flow__platform_commit": "N/A", "run__flow__scripts_commit": "not a git repo", - "run__flow__uuid": "da3f5c8c-9860-4a5d-b91c-7dd1380035d9", + "run__flow__uuid": "5750b07e-a463-414a-bda7-eeb645fc1f55", "run__flow__variant": "base", - "synth__cpu__total": 59.6, + "synth__cpu__total": 81.31, "synth__design__instance__area__stdcell": 654246.432, "synth__design__instance__count__stdcell": 13614.0, - "synth__mem__peak": 229632.0, - "synth__runtime__total": "0:59.86", - "total_time": "0:06:14" + "synth__mem__peak": 227772.0, + "synth__runtime__total": "1:21.72", + "total_time": "0:08:57.960000" } \ No newline at end of file diff --git a/flow/designs/gf180/ibex/rules-base.json b/flow/designs/gf180/ibex/rules-base.json index c00f971b87..44b41bdfc9 100644 --- a/flow/designs/gf180/ibex/rules-base.json +++ b/flow/designs/gf180/ibex/rules-base.json @@ -28,7 +28,7 @@ "compare": "<=" }, "detailedroute__route__wirelength": { - "value": 1462250, + "value": 1451953, "compare": "<=" }, "detailedroute__route__drc_errors": { @@ -36,7 +36,7 @@ "compare": "<=" }, "detailedroute__antenna__violating__nets": { - "value": 2, + "value": 0, "compare": "<=" }, "finish__timing__setup__ws": { @@ -52,7 +52,7 @@ "compare": "<=" }, "finish__timing__drv__hold_violation_count": { - "value": 261, + "value": 526, "compare": "<=" }, "finish__timing__wns_percent_delay": {