Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

Circular dependency #347

Open
nicobld opened this issue Oct 21, 2024 · 0 comments
Open

Circular dependency #347

nicobld opened this issue Oct 21, 2024 · 0 comments

Comments

@nicobld
Copy link

nicobld commented Oct 21, 2024

Currently, the circular dependency checking is too simple, and prevents the lsp from working correctly even though there aren't any actual circular dependencies.

library ieee;
use ieee.std_logic_1164.all;

library lib;
use lib.all;

entity a is
end entity;

architecture a_rtl of a is
begin
end;
[libraries]
lib.files = [
  "a.vhd"
]

This is marked as a circular dependency because a.vhd is in lib and lib is included in a.vhd. But it isn't an actual problem, and it is perfectly synthesizable. This error then prevents any goto-definitions and other things.

Would it make sense to fix this and make the circular dependency analyzer more precise ?

Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
None yet
Development

No branches or pull requests

1 participant