From ef055f2784861efcc13b1c730feb3b8afbaadf74 Mon Sep 17 00:00:00 2001 From: Wiktoria Kuna Date: Thu, 14 Mar 2024 11:51:58 +0100 Subject: [PATCH] build.sbt: Unify adding dependencies Ensures all used library dependencies are stored in a singular map with their default versions. Signed-off-by: Wiktoria Kuna --- build.sbt | 21 ++++++++++++--------- 1 file changed, 12 insertions(+), 9 deletions(-) diff --git a/build.sbt b/build.sbt index 5ba77d3..cf7d86e 100644 --- a/build.sbt +++ b/build.sbt @@ -40,17 +40,20 @@ resolvers ++= Seq( // Chisel 3.5 addCompilerPlugin("edu.berkeley.cs" % "chisel3-plugin" % "3.5.3" cross CrossVersion.full) -// Provide a managed dependency on X if -DXVersion="" is supplied on the command line. +// Library name, Organization, Version val defaultVersions = Map( - "chisel3" -> "3.5.+", - "chiseltest" -> "0.5.0", - "chisel-iotesters" -> "2.5.5+" - ) -libraryDependencies ++= Seq("chisel3","chiseltest","chisel-iotesters").map { - dep: String => "edu.berkeley.cs" %% dep % sys.props.getOrElse(dep + "Version", defaultVersions(dep)) } + "chisel3" -> "edu.berkeley.cs" -> "3.5.+", + "chiseltest" -> "edu.berkeley.cs" -> "0.5.0", + "chisel-iotesters" -> "edu.berkeley.cs" -> "2.5.5+", + "play-json" -> "com.typesafe.play" -> "2.8.+" +) -libraryDependencies += "com.typesafe.play" %% "play-json" % "2.8.+" +// Provide a managed dependency on X if -DXVersion="" is supplied on the command line. +libraryDependencies ++= defaultVersions.map { + case ((dep: String, org: String), v: String) => { + org %% dep % sys.props.getOrElse(dep + "Version", v) + } +}.toSeq scalacOptions ++= scalacOptionsVersion(scalaVersion.value) - javacOptions ++= javacOptionsVersion(scalaVersion.value)