Skip to content

Commit 1e8a162

Browse files
authored
Merge pull request #13 from FrederikLauer/master
Fix Bug in the Configuration Value for TS4231
2 parents 7700a4a + 9fc743b commit 1e8a162

File tree

2 files changed

+2
-2
lines changed

2 files changed

+2
-2
lines changed

Makefile

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -17,7 +17,7 @@ $(PROJ).json: LighthouseTopLevel.v
1717
yosys -p 'read_verilog LighthouseTopLevel.v; read_verilog blackboxes.v; synth_ice40 -top LighthouseTopLevel; write_json $@'
1818

1919
%.asc: %.json $(PIN_DEF)
20-
nextpnr-ice40 --seed 4 --up5k --package sg48 --json $< --asc $@ --pcf $(PIN_DEF)
20+
nextpnr-ice40 --seed 0 --up5k --package sg48 --json $< --asc $@ --pcf $(PIN_DEF)
2121
python3 tools/update_bitstream_comment.py $@ "$(VERSION)"
2222

2323
%.bin: %.asc

rtl/ts4231Configurator.v

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -45,7 +45,7 @@ module ts4231Configurator (
4545
reg prev_reconfigure = 0;
4646
reg config_prev_d = 0;
4747
reg [5:0] config_bit_counter = 0;
48-
reg [14:0] config_value = {14'h392b, 1'b0};
48+
reg [15:0] config_value = {15'h392b, 1'b0};
4949
reg config_bit = 0;
5050
reg [4:0] config_wait_counter = 0;
5151
always @(posedge clk) begin

0 commit comments

Comments
 (0)