Skip to content

Commit f43dbfe

Browse files
committed
#5 Added short queues on beam streams before arbiter
1 parent 8973665 commit f43dbfe

File tree

2 files changed

+7
-7
lines changed

2 files changed

+7
-7
lines changed

Makefile

Lines changed: 1 addition & 1 deletion
Original file line numberDiff line numberDiff line change
@@ -17,7 +17,7 @@ $(PROJ).json: LighthouseTopLevel.v
1717
yosys -p 'read_verilog LighthouseTopLevel.v; read_verilog blackboxes.v; synth_ice40 -top LighthouseTopLevel; write_json $@'
1818

1919
%.asc: %.json $(PIN_DEF)
20-
nextpnr-ice40 --seed 15 --up5k --json $< --asc $@ --pcf $(PIN_DEF)
20+
nextpnr-ice40 --seed 18 --up5k --json $< --asc $@ --pcf $(PIN_DEF)
2121

2222
%.bin: %.asc
2323
icepack $< $@

src/main/scala/lighthouse/Lighthouse.scala

Lines changed: 6 additions & 6 deletions
Original file line numberDiff line numberDiff line change
@@ -19,7 +19,7 @@ class LighthouseTopLevel(nSensors: Int = 4,
1919
frequency: HertzNumber = 48 MHz,
2020
useDdrDecoder: Boolean = true,
2121
uartBaudrate: HertzNumber = 230400 Hz,
22-
decodershortDelay: TimeNumber = 124 ns,
22+
decodershortDelay: TimeNumber = 124 ns,
2323
decoderUnsyncDelay: TimeNumber = 235 ns
2424
) extends Component {
2525
val io = new Bundle {
@@ -83,7 +83,7 @@ class LighthouseTopLevel(nSensors: Int = 4,
8383
val core = new ClockingArea(clkCtrl.coreClockDomain) {
8484

8585
val beamWords = Vec(Stream(Bits(17 bits)), nSensors)
86-
86+
8787
for (sensor <- 0 until nSensors) {
8888
// DDR IOs ...
8989
val ioE = SB_IO.ddrRegistredInout
@@ -178,7 +178,7 @@ class LighthouseTopLevel(nSensors: Int = 4,
178178
beamWord := 0
179179
}
180180

181-
idBeamWords(sensor) << idBeamWord.toStream
181+
idBeamWords(sensor) << idBeamWord.toStream.queue(2)
182182
}
183183

184184
// Create the combined beam stream.
@@ -274,7 +274,7 @@ import spinal.core.sim._
274274

275275
object TopLevelSim {
276276
def main(args: Array[String]): Unit = {
277-
277+
278278
SimConfig.allOptimisation
279279
.addSimulatorFlag("-Wno-PINMISSING -I../../sim_rtl")
280280
.withWave
@@ -382,7 +382,7 @@ object TopLevelSimWithSalaeData {
382382

383383
var d = false
384384
var e = false
385-
385+
386386

387387
SimConfig.allOptimisation
388388
.addSimulatorFlag("-Wno-PINMISSING -I../../sim_rtl")
@@ -453,4 +453,4 @@ object TopLevelSimWithSalaeData {
453453
simSuccess()
454454
}
455455
}
456-
}
456+
}

0 commit comments

Comments
 (0)