Skip to content

Commit a6c8746

Browse files
author
Anders Ryd
committed
Fix comments from A. Hart on PR
1 parent 9f3f40a commit a6c8746

File tree

3 files changed

+5
-3
lines changed

3 files changed

+5
-3
lines changed

IntegrationTests/common/script/impl.tcl

+2-1
Original file line numberDiff line numberDiff line change
@@ -20,7 +20,8 @@ reset_run impl_1
2020

2121
# Implementation
2222
update_compile_order -fileset sources_1
23-
launch_runs impl_1 -jobs 64
23+
set ncpus [exec nproc]
24+
launch_runs impl_1 -jobs $ncpus
2425
wait_on_run impl_1
2526

2627
# Make reports

IntegrationTests/common/script/synth.tcl

+2-1
Original file line numberDiff line numberDiff line change
@@ -23,7 +23,8 @@ set_property -name {STEPS.SYNTH_DESIGN.ARGS.MORE OPTIONS} -value {-mode out_of_c
2323

2424
# Synthesis
2525
update_compile_order -fileset sources_1
26-
launch_runs synth_1 -jobs 64
26+
set ncpus [exec nproc]
27+
launch_runs synth_1 -jobs $ncpus
2728
wait_on_run synth_1
2829

2930
# Make reports

TrackletAlgorithm/MatchEngineUnit.h

+1-1
Original file line numberDiff line numberDiff line change
@@ -158,7 +158,7 @@ inline void step(const VMStub<VMSType> stubmem[4][1<<(kNbitsrzbinMP+kNbitsphibin
158158
//ap_uint<ProjectionRouterBufferBase<VMProjType, AllProjectionType>::kPRBufferZBinSize -1 + kNBits_MemAddrBinned> slot = iphi_ + use_[iusetmp].range(0,0) + (zbin_ + use_[iusetmp].range(1,1)) * (1 << nbits_vmmeall[LAYER]);
159159

160160
zbin__ = zbin_ + use_[iusetmp].range(1,1);
161-
zbin__ = zbin_ + use_[iusetmp].range(1,1);
161+
162162
//Read stub memory and extract data fields
163163
auto stubadd=(slot,istubtmp);
164164
stubdata__ = stubmem[bx_&1][stubadd];

0 commit comments

Comments
 (0)