From 0545fcc168e5c39fb5ec43e8dd4efcf1776b1805 Mon Sep 17 00:00:00 2001 From: dalance Date: Mon, 20 Nov 2023 11:17:15 +0900 Subject: [PATCH] Prepare to v0.2.10 --- CHANGELOG.md | 4 +++- Cargo.lock | 2 +- Cargo.toml | 2 +- snapcraft.yaml | 2 +- 4 files changed, 6 insertions(+), 4 deletions(-) diff --git a/CHANGELOG.md b/CHANGELOG.md index 2aa1c64..3242462 100644 --- a/CHANGELOG.md +++ b/CHANGELOG.md @@ -1,6 +1,8 @@ # Change Log -## [Unreleased](https://github.com/dalance/svls/compare/v0.2.9...Unreleased) - ReleaseDate +## [Unreleased](https://github.com/dalance/svls/compare/v0.2.10...Unreleased) - ReleaseDate + +## [v0.2.10](https://github.com/dalance/svls/compare/v0.2.9...v0.2.10) - 2023-11-20 * [Added] Feature: Support svlint v0.8.0 with TextRule and SyntaxRule. [#216](https://github.com/dalance/svls/pull/216) diff --git a/Cargo.lock b/Cargo.lock index 6ddd99a..05d19ba 100644 --- a/Cargo.lock +++ b/Cargo.lock @@ -879,7 +879,7 @@ dependencies = [ [[package]] name = "svls" -version = "0.2.9" +version = "0.2.10" dependencies = [ "anyhow", "clap", diff --git a/Cargo.toml b/Cargo.toml index 55b4ebc..8475508 100644 --- a/Cargo.toml +++ b/Cargo.toml @@ -1,6 +1,6 @@ [package] name = "svls" -version = "0.2.9" +version = "0.2.10" authors = ["dalance@gmail.com"] repository = "https://github.com/dalance/svls" keywords = ["lsp", "language-server", "verilog", "systemverilog"] diff --git a/snapcraft.yaml b/snapcraft.yaml index 6cdf30c..5bc44ef 100644 --- a/snapcraft.yaml +++ b/snapcraft.yaml @@ -1,5 +1,5 @@ name: svls -version: &version v0.2.9 +version: &version v0.2.10 summary: SystemVerilog language server description: | A language server supporting SystemVerilog ( IEEE Std. 1800-2017 )