-
Notifications
You must be signed in to change notification settings - Fork 2
/
vivado_4153.backup.jou
27 lines (27 loc) · 1.5 KB
/
vivado_4153.backup.jou
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
#-----------------------------------------------------------
# Vivado v2013.4 (64-bit)
# SW Build 353583 on Mon Dec 9 17:26:26 MST 2013
# IP Build 208076 on Mon Dec 2 12:38:17 MST 2013
# Start of session at: Sat May 7 01:26:34 2016
# Process ID: 12807
# Log file: /home/snoperator/project_tubii_7020/vivado.log
# Journal file: /home/snoperator/project_tubii_7020/vivado.jou
#-----------------------------------------------------------
start_gui
open_project /home/snoperator/project_tubii_7020/project_tubii_7020.xpr
open_bd_design {/home/snoperator/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd}
reset_run impl_1 -prev_step
ipx::edit_ip_in_project -upgrade true -name {triggers_v1_0_project} -directory {/home/snoperator/project_tubii_7020/triggers_1.0/triggers_v1_0_project} {/home/snoperator/project_tubii_7020/triggers_1.0/component.xml}
update_compile_order -fileset sim_1
launch_runs synth_1
wait_on_run synth_1
ipx::update_ip_instances -delete_project true
reset_run synth_1
launch_runs impl_1
wait_on_run impl_1
open_run impl_1
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
export_hardware [get_files /home/snoperator/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd] [get_runs impl_1] -bitstream
export_hardware [get_files /home/snoperator/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd] [get_runs impl_1] -bitstream
open_bd_design {/home/snoperator/project_tubii_7020/project_tubii_7020.srcs/sources_1/bd/system/system.bd}