Skip to content

Commit c86a1ea

Browse files
committed
Merge branch 'devel'
2 parents 3e794a6 + ec488cd commit c86a1ea

File tree

6 files changed

+20
-19
lines changed

6 files changed

+20
-19
lines changed

hdl/syn/afcv4_ref_design/Manifest.py

+3-1
Original file line numberDiff line numberDiff line change
@@ -19,11 +19,13 @@
1919
["steps.synth_design.args.retiming", "1"],
2020
["steps.synth_design.args.assert", "1"],
2121
["steps.opt_design.args.verbose", "1"],
22+
["steps.opt_design.args.directive", "ExploreWithRemap"],
2223
["steps.opt_design.is_enabled", "1"],
2324
["steps.phys_opt_design.args.directive", "Explore"],
2425
["steps.phys_opt_design.args.more options", "-verbose"],
2526
["steps.phys_opt_design.is_enabled", "1"],
26-
["steps.place_design.args.directive", "ExtraTimingOpt"],
27+
["steps.route_design.args.directive", "NoTimingRelaxation"],
28+
["steps.route_design.args.more options", "-tns_cleanup"],
2729
["steps.post_route_phys_opt_design.args.directive", "Explore"],
2830
["steps.post_route_phys_opt_design.args.more options", "-verbose"],
2931
["steps.post_route_phys_opt_design.is_enabled", "1"],

hdl/syn/afcv4_ref_design/build_bitstream_remote.sh

-11
This file was deleted.

hdl/syn/afcv4_ref_design/build_synthesis_sdb.sh

+1-1
Original file line numberDiff line numberDiff line change
@@ -8,7 +8,7 @@ set -u
88
# Maximum of 16 chars
99
SYNTH_INFO_PROJECT="afcv4_fofb_ctrl"
1010
SYNTH_INFO_TOOL="VIVADO"
11-
SYNTH_INFO_VER=$(vivado -version | head -n 1 | cut -d' ' -f2 | cut -d 'v' -f2)
11+
SYNTH_INFO_VER=$(vivado -version | grep 'Vivado v[0-9]\{4\}.*' -m 1 | cut -d' ' -f2 | cut -d 'v' -f2)
1212

1313
SYNTH_INFO_COMMAND="../gen_sdbsyn.py --project ${SYNTH_INFO_PROJECT} --tool ${SYNTH_INFO_TOOL} --ver ${SYNTH_INFO_VER}"
1414

+3
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,3 @@
1+
#!/bin/sh
2+
3+
vivado afcv4_ref_fofb_ctrl.xpr -mode batch -source check_timing.tcl
+13
Original file line numberDiff line numberDiff line change
@@ -0,0 +1,13 @@
1+
set wns [get_property STATS.WNS [get_runs impl_1]]
2+
set whs [get_property STATS.WHS [get_runs impl_1]]
3+
4+
puts "WNS: ${wns}"
5+
puts "WHS: ${whs}"
6+
7+
if {($wns < 0) || ($whs < 0)} {
8+
puts "Failed timing!"
9+
exit 1
10+
} else {
11+
puts "Passed timing."
12+
exit 0
13+
}

hdl/top/afc_ref_design_gen/afc_ref_fofb_ctrl_gen.vhd

-6
Original file line numberDiff line numberDiff line change
@@ -763,12 +763,6 @@ architecture top of afc_ref_fofb_ctrl_gen is
763763
atom_width => to_unsigned(16, c_ACQ_ATOM_WIDTH_LOG2)
764764
);
765765

766-
constant c_FACQ_PARAMS_DCC : t_facq_chan_param := (
767-
width => to_unsigned(256, c_ACQ_CHAN_CMPLT_WIDTH_LOG2),
768-
num_atoms => to_unsigned(8, c_ACQ_NUM_ATOMS_WIDTH_LOG2),
769-
atom_width => to_unsigned(32, c_ACQ_ATOM_WIDTH_LOG2)
770-
);
771-
772766
constant c_FACQ_PARAMS_SYS_ID : t_facq_chan_param := (
773767
-- NOTE: Altough 768 bits would be enough, using this value as width somehow
774768
-- causes ACQ samples to be weirdly misaligned (see https://github.com/lnls-dig/infra-cores/issues/18).

0 commit comments

Comments
 (0)