forked from f4pga/prjxray
-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathgenerate.tcl
58 lines (47 loc) · 1.7 KB
/
generate.tcl
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
# Copyright (C) 2017-2020 The Project X-Ray Authors
#
# Use of this source code is governed by a ISC-style
# license that can be found in the LICENSE file or at
# https://opensource.org/licenses/ISC
#
# SPDX-License-Identifier: ISC
source "$::env(XRAY_DIR)/utils/utils.tcl"
create_project -force -part $::env(XRAY_PART) design design
read_verilog $::env(FUZDIR)/top.v
synth_design -top top
set_property -dict "PACKAGE_PIN $::env(XRAY_PIN_00) IOSTANDARD LVCMOS33" [get_ports i]
set_property -dict "PACKAGE_PIN $::env(XRAY_PIN_01) IOSTANDARD LVCMOS33" [get_ports o]
create_pblock roi
resize_pblock [get_pblocks roi] -add "$::env(XRAY_ROI)"
set_property CFGBVS VCCO [current_design]
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property BITSTREAM.GENERAL.PERFRAMECRC YES [current_design]
set_param tcl.collectionResultDisplayLimit 0
place_design
route_design
write_checkpoint -force design.dcp
set net [get_nets o_OBUF]
if [regexp "_001$" [pwd]] {
set hclk_tiles [filter [roi_tiles] {TYPE == HCLK_L}]
} elseif [regexp "_002$" [pwd]] {
set hclk_tiles [filter [roi_tiles] {TYPE == HCLK_R}]
} else {
error "unknown specimen"
}
# set tile [randsample_list 1 $hclk_tiles]
set tile [lindex $hclk_tiles end]
puts "Selected tile $tile"
set pips [get_pips -of_objects $tile]
for {set i 0} {$i < [llength $pips]} {incr i} {
set pip [lindex $pips $i]
set_property IS_ROUTE_FIXED 0 $net
route_design -unroute -net $net
set n1 [get_nodes -uphill -of_objects $pip]
set n2 [get_nodes -downhill -of_objects $pip]
route_via $net "$n1 $n2"
write_checkpoint -force design_$i.dcp
write_bitstream -force design_$i.bit
set fp [open "design_$i.txt" w]
puts $fp "$tile $pip"
close $fp
}