-
Notifications
You must be signed in to change notification settings - Fork 0
/
Copy pathkeypad.sv
72 lines (61 loc) · 1.96 KB
/
keypad.sv
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
module keypad(
input clk_in,
input wire [3:0] row,
output logic [3:0] col,
output logic [15:0] keymap_out
);
logic [1:0] col_idx;
logic [15:0] keymap;
logic [15:0] keymap_db;
logic [15:0] keymap_db2;
/* old
assign keymap_out[0] = keymap[13];
assign keymap_out[1] = keymap[0];
assign keymap_out[2] = keymap[1];
assign keymap_out[3] = keymap[2];
assign keymap_out[4] = keymap[4];
assign keymap_out[5] = keymap[5];
assign keymap_out[6] = keymap[6];
assign keymap_out[7] = keymap[8];
assign keymap_out[8] = keymap[9];
assign keymap_out[9] = keymap[10];
assign keymap_out[10] = keymap[3];
assign keymap_out[11] = keymap[7];
assign keymap_out[12] = keymap[11];
assign keymap_out[13] = keymap[15];
assign keymap_out[14] = keymap[12];
assign keymap_out[15] = keymap[14];
*/
//assign keymap_out = keymap;
assign keymap_out[1] = !keymap[1];
assign keymap_out[2] = !keymap[0];
assign keymap_out[3] = !keymap[2];
assign keymap_out[12] =!keymap[3];
assign keymap_out[4] = !keymap[5];
assign keymap_out[5] = !keymap[4];
assign keymap_out[6] = !keymap[6];
assign keymap_out[13] =!keymap[7]; //E
assign keymap_out[7] = !keymap[9];
assign keymap_out[8] = !keymap[8];
assign keymap_out[9] = !keymap[10];
assign keymap_out[14] =!keymap[11]; //F
assign keymap_out[10] =!keymap[13];
assign keymap_out[0] = !keymap[12]; //0
assign keymap_out[11] =!keymap[14];
assign keymap_out[15] =!keymap[15];// D
initial begin
col_idx = 0;
col = 0;
keymap = 0;
end
always_ff @(posedge clk_in) begin
for(logic [2:0] i = 0; i < 4; i++) begin
keymap_db[(i*4)+col_idx] <= row[i[1:0]];
end
keymap_db2 <= keymap_db;
keymap <= keymap_db2;
col[col_idx] <= 1;
col[col_idx == 3 ? 0 : col_idx +1] <= 0;
col_idx <= col_idx == 3 ? 0 : col_idx + 1;
end
endmodule