diff --git a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.tcl b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.tcl index 37878ea..f12f9e8 100644 --- a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.tcl +++ b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.tcl @@ -5,13 +5,22 @@ import_files \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v} \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v} \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_FSM.v} \ - -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v} \ - -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_DFF.v} + -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v} build_design_hierarchy -# updating the dma controller to include the axi stream inputs -configure_core -component_name {DMA_CONTROLLER} -params {"AXI4_STREAM_IF:true" "AXI_DMA_DWIDTH:32" "DSCRPTR_0_INT_ASSOC:0" "DSCRPTR_0_PRI_LVL:0" "DSCRPTR_10_INT_ASSOC:0" "DSCRPTR_10_PRI_LVL:0" "DSCRPTR_11_INT_ASSOC:0" "DSCRPTR_11_PRI_LVL:0" "DSCRPTR_12_INT_ASSOC:0" "DSCRPTR_12_PRI_LVL:0" "DSCRPTR_13_INT_ASSOC:0" "DSCRPTR_13_PRI_LVL:0" "DSCRPTR_14_INT_ASSOC:0" "DSCRPTR_14_PRI_LVL:0" "DSCRPTR_15_INT_ASSOC:0" "DSCRPTR_15_PRI_LVL:0" "DSCRPTR_16_INT_ASSOC:0" "DSCRPTR_16_PRI_LVL:0" "DSCRPTR_17_INT_ASSOC:0" "DSCRPTR_17_PRI_LVL:0" "DSCRPTR_18_INT_ASSOC:0" "DSCRPTR_18_PRI_LVL:0" "DSCRPTR_19_INT_ASSOC:0" "DSCRPTR_19_PRI_LVL:0" "DSCRPTR_1_INT_ASSOC:0" "DSCRPTR_1_PRI_LVL:0" "DSCRPTR_20_INT_ASSOC:0" "DSCRPTR_20_PRI_LVL:0" "DSCRPTR_21_INT_ASSOC:0" "DSCRPTR_21_PRI_LVL:0" "DSCRPTR_22_INT_ASSOC:0" "DSCRPTR_22_PRI_LVL:0" "DSCRPTR_23_INT_ASSOC:0" "DSCRPTR_23_PRI_LVL:0" "DSCRPTR_24_INT_ASSOC:0" "DSCRPTR_24_PRI_LVL:0" "DSCRPTR_25_INT_ASSOC:0" "DSCRPTR_25_PRI_LVL:0" "DSCRPTR_26_INT_ASSOC:0" "DSCRPTR_26_PRI_LVL:0" "DSCRPTR_27_INT_ASSOC:0" "DSCRPTR_27_PRI_LVL:0" "DSCRPTR_28_INT_ASSOC:0" "DSCRPTR_28_PRI_LVL:0" "DSCRPTR_29_INT_ASSOC:0" "DSCRPTR_29_PRI_LVL:0" "DSCRPTR_2_INT_ASSOC:0" "DSCRPTR_2_PRI_LVL:0" "DSCRPTR_30_INT_ASSOC:0" "DSCRPTR_30_PRI_LVL:0" "DSCRPTR_31_INT_ASSOC:0" "DSCRPTR_31_PRI_LVL:0" "DSCRPTR_3_INT_ASSOC:0" "DSCRPTR_3_PRI_LVL:0" "DSCRPTR_4_INT_ASSOC:0" "DSCRPTR_4_PRI_LVL:0" "DSCRPTR_5_INT_ASSOC:0" "DSCRPTR_5_PRI_LVL:0" "DSCRPTR_6_INT_ASSOC:0" "DSCRPTR_6_PRI_LVL:0" "DSCRPTR_7_INT_ASSOC:0" "DSCRPTR_7_PRI_LVL:0" "DSCRPTR_8_INT_ASSOC:0" "DSCRPTR_8_PRI_LVL:0" "DSCRPTR_9_INT_ASSOC:0" "DSCRPTR_9_PRI_LVL:0" "ID_WIDTH:8" "INT_0_QUEUE_DEPTH:1" "INT_1_QUEUE_DEPTH:1" "INT_2_QUEUE_DEPTH:1" "INT_3_QUEUE_DEPTH:1" "NUM_INT_BDS:4" "NUM_OF_INTS:1" "NUM_PRI_LVLS:1" "PRI_0_NUM_OF_BEATS:256" "PRI_1_NUM_OF_BEATS:128" "PRI_2_NUM_OF_BEATS:64" "PRI_3_NUM_OF_BEATS:32" "PRI_4_NUM_OF_BEATS:16" "PRI_5_NUM_OF_BEATS:8" "PRI_6_NUM_OF_BEATS:4" "PRI_7_NUM_OF_BEATS:1"} +open_smartdesign -sd_name {FIC_0_PERIPHERALS} + +# updating the dma controller to the latest version +sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_CONTROLLER} +update_component_version -component_name {DMA_CONTROLLER} -new_version {2.1.102} -download_core + +# configuring the dma controller to include the axi stream inputs +configure_core -component_name {DMA_CONTROLLER} -params {"AXI4_STREAM_IF:true" "AXI_DMA_DWIDTH:64" "DSCRPTR_0_INT_ASSOC:0" "DSCRPTR_0_PRI_LVL:0" "DSCRPTR_10_INT_ASSOC:0" "DSCRPTR_10_PRI_LVL:0" "DSCRPTR_11_INT_ASSOC:0" "DSCRPTR_11_PRI_LVL:0" "DSCRPTR_12_INT_ASSOC:0" "DSCRPTR_12_PRI_LVL:0" "DSCRPTR_13_INT_ASSOC:0" "DSCRPTR_13_PRI_LVL:0" "DSCRPTR_14_INT_ASSOC:0" "DSCRPTR_14_PRI_LVL:0" "DSCRPTR_15_INT_ASSOC:0" "DSCRPTR_15_PRI_LVL:0" "DSCRPTR_16_INT_ASSOC:0" "DSCRPTR_16_PRI_LVL:0" "DSCRPTR_17_INT_ASSOC:0" "DSCRPTR_17_PRI_LVL:0" "DSCRPTR_18_INT_ASSOC:0" "DSCRPTR_18_PRI_LVL:0" "DSCRPTR_19_INT_ASSOC:0" "DSCRPTR_19_PRI_LVL:0" "DSCRPTR_1_INT_ASSOC:0" "DSCRPTR_1_PRI_LVL:0" "DSCRPTR_20_INT_ASSOC:0" "DSCRPTR_20_PRI_LVL:0" "DSCRPTR_21_INT_ASSOC:0" "DSCRPTR_21_PRI_LVL:0" "DSCRPTR_22_INT_ASSOC:0" "DSCRPTR_22_PRI_LVL:0" "DSCRPTR_23_INT_ASSOC:0" "DSCRPTR_23_PRI_LVL:0" "DSCRPTR_24_INT_ASSOC:0" "DSCRPTR_24_PRI_LVL:0" "DSCRPTR_25_INT_ASSOC:0" "DSCRPTR_25_PRI_LVL:0" "DSCRPTR_26_INT_ASSOC:0" "DSCRPTR_26_PRI_LVL:0" "DSCRPTR_27_INT_ASSOC:0" "DSCRPTR_27_PRI_LVL:0" "DSCRPTR_28_INT_ASSOC:0" "DSCRPTR_28_PRI_LVL:0" "DSCRPTR_29_INT_ASSOC:0" "DSCRPTR_29_PRI_LVL:0" "DSCRPTR_2_INT_ASSOC:0" "DSCRPTR_2_PRI_LVL:0" "DSCRPTR_30_INT_ASSOC:0" "DSCRPTR_30_PRI_LVL:0" "DSCRPTR_31_INT_ASSOC:0" "DSCRPTR_31_PRI_LVL:0" "DSCRPTR_3_INT_ASSOC:0" "DSCRPTR_3_PRI_LVL:0" "DSCRPTR_4_INT_ASSOC:0" "DSCRPTR_4_PRI_LVL:0" "DSCRPTR_5_INT_ASSOC:0" "DSCRPTR_5_PRI_LVL:0" "DSCRPTR_6_INT_ASSOC:0" "DSCRPTR_6_PRI_LVL:0" "DSCRPTR_7_INT_ASSOC:0" "DSCRPTR_7_PRI_LVL:0" "DSCRPTR_8_INT_ASSOC:0" "DSCRPTR_8_PRI_LVL:0" "DSCRPTR_9_INT_ASSOC:0" "DSCRPTR_9_PRI_LVL:0" "ECC:false" "ID_WIDTH:8" "INT_0_QUEUE_DEPTH:1" "INT_1_QUEUE_DEPTH:1" "INT_2_QUEUE_DEPTH:1" "INT_3_QUEUE_DEPTH:1" "NUM_INT_BDS:4" "NUM_OF_INTS:4" "NUM_PRI_LVLS:1" "PRI_0_NUM_OF_BEATS:256" "PRI_1_NUM_OF_BEATS:128" "PRI_2_NUM_OF_BEATS:64" "PRI_3_NUM_OF_BEATS:32" "PRI_4_NUM_OF_BEATS:16" "PRI_5_NUM_OF_BEATS:8" "PRI_6_NUM_OF_BEATS:4" "PRI_7_NUM_OF_BEATS:1"} sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_CONTROLLER} + +configure_core -component_name {DMA_INITIATOR} -params {"ADDR_WIDTH:32" "CROSSBAR_MODE:0" "DATA_WIDTH:64" "DWC_ADDR_FIFO_DEPTH_CEILING:64" "ID_WIDTH:8" "MASTER0_CHAN_RS:true" "MASTER0_CLOCK_DOMAIN_CROSSING:false" "MASTER0_DATA_WIDTH:64" "MASTER0_DWC_DATA_FIFO_DEPTH:16" "MASTER0_READ_INTERLEAVE:false" "MASTER0_READ_SLAVE0:true" "MASTER0_READ_SLAVE10:true" "MASTER0_READ_SLAVE11:true" "MASTER0_READ_SLAVE12:true" "MASTER0_READ_SLAVE13:true" "MASTER0_READ_SLAVE14:true" "MASTER0_READ_SLAVE15:true" "MASTER0_READ_SLAVE16:true" "MASTER0_READ_SLAVE17:true" "MASTER0_READ_SLAVE18:true" "MASTER0_READ_SLAVE19:true" "MASTER0_READ_SLAVE1:true" "MASTER0_READ_SLAVE20:true" "MASTER0_READ_SLAVE21:true" "MASTER0_READ_SLAVE22:true" "MASTER0_READ_SLAVE23:true" "MASTER0_READ_SLAVE24:true" "MASTER0_READ_SLAVE25:true" "MASTER0_READ_SLAVE26:true" "MASTER0_READ_SLAVE27:true" "MASTER0_READ_SLAVE28:true" "MASTER0_READ_SLAVE29:true" "MASTER0_READ_SLAVE2:true" "MASTER0_READ_SLAVE30:true" "MASTER0_READ_SLAVE31:true" "MASTER0_READ_SLAVE3:true" "MASTER0_READ_SLAVE4:true" "MASTER0_READ_SLAVE5:true" "MASTER0_READ_SLAVE6:true" "MASTER0_READ_SLAVE7:true" "MASTER0_READ_SLAVE8:true" "MASTER0_READ_SLAVE9:true" "MASTER0_TYPE:0" "MASTER0_WRITE_SLAVE0:true" "MASTER0_WRITE_SLAVE10:true" "MASTER0_WRITE_SLAVE11:true" "MASTER0_WRITE_SLAVE12:true" "MASTER0_WRITE_SLAVE13:true" "MASTER0_WRITE_SLAVE14:true" "MASTER0_WRITE_SLAVE15:true" "MASTER0_WRITE_SLAVE16:true" "MASTER0_WRITE_SLAVE17:true" "MASTER0_WRITE_SLAVE18:true" "MASTER0_WRITE_SLAVE19:true" "MASTER0_WRITE_SLAVE1:true" "MASTER0_WRITE_SLAVE20:true" "MASTER0_WRITE_SLAVE21:true" "MASTER0_WRITE_SLAVE22:true" "MASTER0_WRITE_SLAVE23:true" "MASTER0_WRITE_SLAVE24:true" "MASTER0_WRITE_SLAVE25:true" "MASTER0_WRITE_SLAVE26:true" "MASTER0_WRITE_SLAVE27:true" "MASTER0_WRITE_SLAVE28:true" "MASTER0_WRITE_SLAVE29:true" "MASTER0_WRITE_SLAVE2:true" "MASTER0_WRITE_SLAVE30:true" "MASTER0_WRITE_SLAVE31:true" "MASTER0_WRITE_SLAVE3:true" "MASTER0_WRITE_SLAVE4:true" "MASTER0_WRITE_SLAVE5:true" "MASTER0_WRITE_SLAVE6:true" "MASTER0_WRITE_SLAVE7:true" "MASTER0_WRITE_SLAVE8:true" "MASTER0_WRITE_SLAVE9:true" "MASTER10_CHAN_RS:true" "MASTER10_CLOCK_DOMAIN_CROSSING:false" "MASTER10_DATA_WIDTH:64" "MASTER10_DWC_DATA_FIFO_DEPTH:16" "MASTER10_READ_INTERLEAVE:false" "MASTER10_READ_SLAVE0:true" "MASTER10_READ_SLAVE10:true" "MASTER10_READ_SLAVE11:true" "MASTER10_READ_SLAVE12:true" "MASTER10_READ_SLAVE13:true" "MASTER10_READ_SLAVE14:true" "MASTER10_READ_SLAVE15:true" "MASTER10_READ_SLAVE16:true" "MASTER10_READ_SLAVE17:true" "MASTER10_READ_SLAVE18:true" "MASTER10_READ_SLAVE19:true" "MASTER10_READ_SLAVE1:true" "MASTER10_READ_SLAVE20:true" "MASTER10_READ_SLAVE21:true" "MASTER10_READ_SLAVE22:true" "MASTER10_READ_SLAVE23:true" "MASTER10_READ_SLAVE24:true" "MASTER10_READ_SLAVE25:true" "MASTER10_READ_SLAVE26:true" "MASTER10_READ_SLAVE27:true" "MASTER10_READ_SLAVE28:true" "MASTER10_READ_SLAVE29:true" "MASTER10_READ_SLAVE2:true" "MASTER10_READ_SLAVE30:true" "MASTER10_READ_SLAVE31:true" "MASTER10_READ_SLAVE3:true" "MASTER10_READ_SLAVE4:true" "MASTER10_READ_SLAVE5:true" "MASTER10_READ_SLAVE6:true" "MASTER10_READ_SLAVE7:true" "MASTER10_READ_SLAVE8:true" "MASTER10_READ_SLAVE9:true" "MASTER10_TYPE:0" "MASTER10_WRITE_SLAVE0:true" "MASTER10_WRITE_SLAVE10:true" "MASTER10_WRITE_SLAVE11:true" "MASTER10_WRITE_SLAVE12:true" "MASTER10_WRITE_SLAVE13:true" "MASTER10_WRITE_SLAVE14:true" "MASTER10_WRITE_SLAVE15:true" "MASTER10_WRITE_SLAVE16:true" "MASTER10_WRITE_SLAVE17:true" "MASTER10_WRITE_SLAVE18:true" "MASTER10_WRITE_SLAVE19:true" "MASTER10_WRITE_SLAVE1:true" "MASTER10_WRITE_SLAVE20:true" "MASTER10_WRITE_SLAVE21:true" "MASTER10_WRITE_SLAVE22:true" "MASTER10_WRITE_SLAVE23:true" "MASTER10_WRITE_SLAVE24:true" "MASTER10_WRITE_SLAVE25:true" "MASTER10_WRITE_SLAVE26:true" "MASTER10_WRITE_SLAVE27:true" "MASTER10_WRITE_SLAVE28:true" "MASTER10_WRITE_SLAVE29:true" "MASTER10_WRITE_SLAVE2:true" "MASTER10_WRITE_SLAVE30:true" "MASTER10_WRITE_SLAVE31:true" "MASTER10_WRITE_SLAVE3:true" "MASTER10_WRITE_SLAVE4:true" "MASTER10_WRITE_SLAVE5:true" "MASTER10_WRITE_SLAVE6:true" "MASTER10_WRITE_SLAVE7:true" "MASTER10_WRITE_SLAVE8:true" "MASTER10_WRITE_SLAVE9:true" "MASTER11_CHAN_RS:true" "MASTER11_CLOCK_DOMAIN_CROSSING:false" "MASTER11_DATA_WIDTH:64" "MASTER11_DWC_DATA_FIFO_DEPTH:16" "MASTER11_READ_INTERLEAVE:false" "MASTER11_READ_SLAVE0:true" "MASTER11_READ_SLAVE10:true" "MASTER11_READ_SLAVE11:true" "MASTER11_READ_SLAVE12:true" "MASTER11_READ_SLAVE13:true" "MASTER11_READ_SLAVE14:true" "MASTER11_READ_SLAVE15:true" "MASTER11_READ_SLAVE16:true" "MASTER11_READ_SLAVE17:true" "MASTER11_READ_SLAVE18:true" "MASTER11_READ_SLAVE19:true" "MASTER11_READ_SLAVE1:true" "MASTER11_READ_SLAVE20:true" "MASTER11_READ_SLAVE21:true" "MASTER11_READ_SLAVE22:true" "MASTER11_READ_SLAVE23:true" "MASTER11_READ_SLAVE24:true" "MASTER11_READ_SLAVE25:true" "MASTER11_READ_SLAVE26:true" "MASTER11_READ_SLAVE27:true" "MASTER11_READ_SLAVE28:true" "MASTER11_READ_SLAVE29:true" "MASTER11_READ_SLAVE2:true" "MASTER11_READ_SLAVE30:true" "MASTER11_READ_SLAVE31:true" "MASTER11_READ_SLAVE3:true" "MASTER11_READ_SLAVE4:true" "MASTER11_READ_SLAVE5:true" "MASTER11_READ_SLAVE6:true" "MASTER11_READ_SLAVE7:true" "MASTER11_READ_SLAVE8:true" "MASTER11_READ_SLAVE9:true" "MASTER11_TYPE:0" "MASTER11_WRITE_SLAVE0:true" "MASTER11_WRITE_SLAVE10:true" "MASTER11_WRITE_SLAVE11:true" "MASTER11_WRITE_SLAVE12:true" "MASTER11_WRITE_SLAVE13:true" "MASTER11_WRITE_SLAVE14:true" "MASTER11_WRITE_SLAVE15:true" "MASTER11_WRITE_SLAVE16:true" "MASTER11_WRITE_SLAVE17:true" "MASTER11_WRITE_SLAVE18:true" "MASTER11_WRITE_SLAVE19:true" "MASTER11_WRITE_SLAVE1:true" "MASTER11_WRITE_SLAVE20:true" "MASTER11_WRITE_SLAVE21:true" "MASTER11_WRITE_SLAVE22:true" "MASTER11_WRITE_SLAVE23:true" "MASTER11_WRITE_SLAVE24:true" "MASTER11_WRITE_SLAVE25:true" "MASTER11_WRITE_SLAVE26:true" "MASTER11_WRITE_SLAVE27:true" "MASTER11_WRITE_SLAVE28:true" "MASTER11_WRITE_SLAVE29:true" "MASTER11_WRITE_SLAVE2:true" "MASTER11_WRITE_SLAVE30:true" "MASTER11_WRITE_SLAVE31:true" "MASTER11_WRITE_SLAVE3:true" "MASTER11_WRITE_SLAVE4:true" "MASTER11_WRITE_SLAVE5:true" "MASTER11_WRITE_SLAVE6:true" "MASTER11_WRITE_SLAVE7:true" "MASTER11_WRITE_SLAVE8:true" "MASTER11_WRITE_SLAVE9:true" "MASTER12_CHAN_RS:true" "MASTER12_CLOCK_DOMAIN_CROSSING:false" "MASTER12_DATA_WIDTH:64" "MASTER12_DWC_DATA_FIFO_DEPTH:16" "MASTER12_READ_INTERLEAVE:false" "MASTER12_READ_SLAVE0:true" "MASTER12_READ_SLAVE10:true" "MASTER12_READ_SLAVE11:true" "MASTER12_READ_SLAVE12:true" "MASTER12_READ_SLAVE13:true" "MASTER12_READ_SLAVE14:true" "MASTER12_READ_SLAVE15:true" "MASTER12_READ_SLAVE16:true" "MASTER12_READ_SLAVE17:true" "MASTER12_READ_SLAVE18:true" "MASTER12_READ_SLAVE19:true" "MASTER12_READ_SLAVE1:true" "MASTER12_READ_SLAVE20:true" "MASTER12_READ_SLAVE21:true" "MASTER12_READ_SLAVE22:true" "MASTER12_READ_SLAVE23:true" "MASTER12_READ_SLAVE24:true" "MASTER12_READ_SLAVE25:true" "MASTER12_READ_SLAVE26:true" "MASTER12_READ_SLAVE27:true" "MASTER12_READ_SLAVE28:true" "MASTER12_READ_SLAVE29:true" "MASTER12_READ_SLAVE2:true" "MASTER12_READ_SLAVE30:true" "MASTER12_READ_SLAVE31:true" "MASTER12_READ_SLAVE3:true" "MASTER12_READ_SLAVE4:true" "MASTER12_READ_SLAVE5:true" "MASTER12_READ_SLAVE6:true" "MASTER12_READ_SLAVE7:true" "MASTER12_READ_SLAVE8:true" "MASTER12_READ_SLAVE9:true" "MASTER12_TYPE:0" "MASTER12_WRITE_SLAVE0:true" "MASTER12_WRITE_SLAVE10:true" "MASTER12_WRITE_SLAVE11:true" "MASTER12_WRITE_SLAVE12:true" "MASTER12_WRITE_SLAVE13:true" "MASTER12_WRITE_SLAVE14:true" "MASTER12_WRITE_SLAVE15:true" "MASTER12_WRITE_SLAVE16:true" "MASTER12_WRITE_SLAVE17:true" "MASTER12_WRITE_SLAVE18:true" "MASTER12_WRITE_SLAVE19:true" "MASTER12_WRITE_SLAVE1:true" "MASTER12_WRITE_SLAVE20:true" "MASTER12_WRITE_SLAVE21:true" "MASTER12_WRITE_SLAVE22:true" "MASTER12_WRITE_SLAVE23:true" "MASTER12_WRITE_SLAVE24:true" "MASTER12_WRITE_SLAVE25:true" "MASTER12_WRITE_SLAVE26:true" "MASTER12_WRITE_SLAVE27:true" "MASTER12_WRITE_SLAVE28:true" "MASTER12_WRITE_SLAVE29:true" "MASTER12_WRITE_SLAVE2:true" "MASTER12_WRITE_SLAVE30:true" "MASTER12_WRITE_SLAVE31:true" "MASTER12_WRITE_SLAVE3:true" "MASTER12_WRITE_SLAVE4:true" "MASTER12_WRITE_SLAVE5:true" "MASTER12_WRITE_SLAVE6:true" "MASTER12_WRITE_SLAVE7:true" "MASTER12_WRITE_SLAVE8:true" "MASTER12_WRITE_SLAVE9:true" "MASTER13_CHAN_RS:true" "MASTER13_CLOCK_DOMAIN_CROSSING:false" "MASTER13_DATA_WIDTH:64" "MASTER13_DWC_DATA_FIFO_DEPTH:16" "MASTER13_READ_INTERLEAVE:false" "MASTER13_READ_SLAVE0:true" "MASTER13_READ_SLAVE10:true" "MASTER13_READ_SLAVE11:true" "MASTER13_READ_SLAVE12:true" "MASTER13_READ_SLAVE13:true" "MASTER13_READ_SLAVE14:true" "MASTER13_READ_SLAVE15:true" "MASTER13_READ_SLAVE16:true" "MASTER13_READ_SLAVE17:true" "MASTER13_READ_SLAVE18:true" "MASTER13_READ_SLAVE19:true" "MASTER13_READ_SLAVE1:true" "MASTER13_READ_SLAVE20:true" "MASTER13_READ_SLAVE21:true" "MASTER13_READ_SLAVE22:true" "MASTER13_READ_SLAVE23:true" "MASTER13_READ_SLAVE24:true" "MASTER13_READ_SLAVE25:true" "MASTER13_READ_SLAVE26:true" "MASTER13_READ_SLAVE27:true" "MASTER13_READ_SLAVE28:true" "MASTER13_READ_SLAVE29:true" "MASTER13_READ_SLAVE2:true" "MASTER13_READ_SLAVE30:true" "MASTER13_READ_SLAVE31:true" "MASTER13_READ_SLAVE3:true" "MASTER13_READ_SLAVE4:true" "MASTER13_READ_SLAVE5:true" "MASTER13_READ_SLAVE6:true" "MASTER13_READ_SLAVE7:true" "MASTER13_READ_SLAVE8:true" "MASTER13_READ_SLAVE9:true" "MASTER13_TYPE:0" "MASTER13_WRITE_SLAVE0:true" "MASTER13_WRITE_SLAVE10:true" "MASTER13_WRITE_SLAVE11:true" "MASTER13_WRITE_SLAVE12:true" "MASTER13_WRITE_SLAVE13:true" "MASTER13_WRITE_SLAVE14:true" "MASTER13_WRITE_SLAVE15:true" "MASTER13_WRITE_SLAVE16:true" "MASTER13_WRITE_SLAVE17:true" "MASTER13_WRITE_SLAVE18:true" "MASTER13_WRITE_SLAVE19:true" "MASTER13_WRITE_SLAVE1:true" "MASTER13_WRITE_SLAVE20:true" "MASTER13_WRITE_SLAVE21:true" "MASTER13_WRITE_SLAVE22:true" "MASTER13_WRITE_SLAVE23:true" "MASTER13_WRITE_SLAVE24:true" "MASTER13_WRITE_SLAVE25:true" "MASTER13_WRITE_SLAVE26:true" "MASTER13_WRITE_SLAVE27:true" "MASTER13_WRITE_SLAVE28:true" "MASTER13_WRITE_SLAVE29:true" "MASTER13_WRITE_SLAVE2:true" "MASTER13_WRITE_SLAVE30:true" "MASTER13_WRITE_SLAVE31:true" "MASTER13_WRITE_SLAVE3:true" "MASTER13_WRITE_SLAVE4:true" "MASTER13_WRITE_SLAVE5:true" "MASTER13_WRITE_SLAVE6:true" "MASTER13_WRITE_SLAVE7:true" "MASTER13_WRITE_SLAVE8:true" "MASTER13_WRITE_SLAVE9:true" "MASTER14_CHAN_RS:true" "MASTER14_CLOCK_DOMAIN_CROSSING:false" "MASTER14_DATA_WIDTH:64" "MASTER14_DWC_DATA_FIFO_DEPTH:16" "MASTER14_READ_INTERLEAVE:false" "MASTER14_READ_SLAVE0:true" "MASTER14_READ_SLAVE10:true" "MASTER14_READ_SLAVE11:true" "MASTER14_READ_SLAVE12:true" "MASTER14_READ_SLAVE13:true" "MASTER14_READ_SLAVE14:true" "MASTER14_READ_SLAVE15:true" "MASTER14_READ_SLAVE16:true" "MASTER14_READ_SLAVE17:true" "MASTER14_READ_SLAVE18:true" "MASTER14_READ_SLAVE19:true" "MASTER14_READ_SLAVE1:true" "MASTER14_READ_SLAVE20:true" "MASTER14_READ_SLAVE21:true" "MASTER14_READ_SLAVE22:true" "MASTER14_READ_SLAVE23:true" "MASTER14_READ_SLAVE24:true" "MASTER14_READ_SLAVE25:true" "MASTER14_READ_SLAVE26:true" "MASTER14_READ_SLAVE27:true" "MASTER14_READ_SLAVE28:true" "MASTER14_READ_SLAVE29:true" "MASTER14_READ_SLAVE2:true" "MASTER14_READ_SLAVE30:true" "MASTER14_READ_SLAVE31:true" "MASTER14_READ_SLAVE3:true" "MASTER14_READ_SLAVE4:true" "MASTER14_READ_SLAVE5:true" "MASTER14_READ_SLAVE6:true" "MASTER14_READ_SLAVE7:true" "MASTER14_READ_SLAVE8:true" "MASTER14_READ_SLAVE9:true" "MASTER14_TYPE:0" "MASTER14_WRITE_SLAVE0:true" "MASTER14_WRITE_SLAVE10:true" "MASTER14_WRITE_SLAVE11:true" "MASTER14_WRITE_SLAVE12:true" "MASTER14_WRITE_SLAVE13:true" "MASTER14_WRITE_SLAVE14:true" "MASTER14_WRITE_SLAVE15:true" "MASTER14_WRITE_SLAVE16:true" "MASTER14_WRITE_SLAVE17:true" "MASTER14_WRITE_SLAVE18:true" "MASTER14_WRITE_SLAVE19:true" "MASTER14_WRITE_SLAVE1:true" "MASTER14_WRITE_SLAVE20:true" "MASTER14_WRITE_SLAVE21:true" "MASTER14_WRITE_SLAVE22:true" "MASTER14_WRITE_SLAVE23:true" "MASTER14_WRITE_SLAVE24:true" "MASTER14_WRITE_SLAVE25:true" "MASTER14_WRITE_SLAVE26:true" "MASTER14_WRITE_SLAVE27:true" "MASTER14_WRITE_SLAVE28:true" "MASTER14_WRITE_SLAVE29:true" "MASTER14_WRITE_SLAVE2:true" "MASTER14_WRITE_SLAVE30:true" "MASTER14_WRITE_SLAVE31:true" "MASTER14_WRITE_SLAVE3:true" "MASTER14_WRITE_SLAVE4:true" "MASTER14_WRITE_SLAVE5:true" "MASTER14_WRITE_SLAVE6:true" "MASTER14_WRITE_SLAVE7:true" "MASTER14_WRITE_SLAVE8:true" "MASTER14_WRITE_SLAVE9:true" "MASTER15_CHAN_RS:true" "MASTER15_CLOCK_DOMAIN_CROSSING:false" "MASTER15_DATA_WIDTH:64" "MASTER15_DWC_DATA_FIFO_DEPTH:16" "MASTER15_READ_INTERLEAVE:false" "MASTER15_READ_SLAVE0:true" "MASTER15_READ_SLAVE10:true" "MASTER15_READ_SLAVE11:true" "MASTER15_READ_SLAVE12:true" "MASTER15_READ_SLAVE13:true" "MASTER15_READ_SLAVE14:true" "MASTER15_READ_SLAVE15:true" "MASTER15_READ_SLAVE16:true" "MASTER15_READ_SLAVE17:true" "MASTER15_READ_SLAVE18:true" "MASTER15_READ_SLAVE19:true" "MASTER15_READ_SLAVE1:true" "MASTER15_READ_SLAVE20:true" "MASTER15_READ_SLAVE21:true" "MASTER15_READ_SLAVE22:true" "MASTER15_READ_SLAVE23:true" "MASTER15_READ_SLAVE24:true" "MASTER15_READ_SLAVE25:true" "MASTER15_READ_SLAVE26:true" "MASTER15_READ_SLAVE27:true" "MASTER15_READ_SLAVE28:true" "MASTER15_READ_SLAVE29:true" "MASTER15_READ_SLAVE2:true" "MASTER15_READ_SLAVE30:true" "MASTER15_READ_SLAVE31:true" "MASTER15_READ_SLAVE3:true" "MASTER15_READ_SLAVE4:true" "MASTER15_READ_SLAVE5:true" "MASTER15_READ_SLAVE6:true" "MASTER15_READ_SLAVE7:true" "MASTER15_READ_SLAVE8:true" "MASTER15_READ_SLAVE9:true" "MASTER15_TYPE:0" "MASTER15_WRITE_SLAVE0:true" "MASTER15_WRITE_SLAVE10:true" "MASTER15_WRITE_SLAVE11:true" "MASTER15_WRITE_SLAVE12:true" "MASTER15_WRITE_SLAVE13:true" "MASTER15_WRITE_SLAVE14:true" "MASTER15_WRITE_SLAVE15:true" "MASTER15_WRITE_SLAVE16:true" "MASTER15_WRITE_SLAVE17:true" "MASTER15_WRITE_SLAVE18:true" "MASTER15_WRITE_SLAVE19:true" "MASTER15_WRITE_SLAVE1:true" "MASTER15_WRITE_SLAVE20:true" "MASTER15_WRITE_SLAVE21:true" "MASTER15_WRITE_SLAVE22:true" "MASTER15_WRITE_SLAVE23:true" "MASTER15_WRITE_SLAVE24:true" "MASTER15_WRITE_SLAVE25:true" "MASTER15_WRITE_SLAVE26:true" "MASTER15_WRITE_SLAVE27:true" "MASTER15_WRITE_SLAVE28:true" "MASTER15_WRITE_SLAVE29:true" "MASTER15_WRITE_SLAVE2:true" "MASTER15_WRITE_SLAVE30:true" "MASTER15_WRITE_SLAVE31:true" "MASTER15_WRITE_SLAVE3:true" "MASTER15_WRITE_SLAVE4:true" "MASTER15_WRITE_SLAVE5:true" "MASTER15_WRITE_SLAVE6:true" "MASTER15_WRITE_SLAVE7:true" "MASTER15_WRITE_SLAVE8:true" "MASTER15_WRITE_SLAVE9:true" "MASTER1_CHAN_RS:true" "MASTER1_CLOCK_DOMAIN_CROSSING:false" "MASTER1_DATA_WIDTH:64" "MASTER1_DWC_DATA_FIFO_DEPTH:16" "MASTER1_READ_INTERLEAVE:false" "MASTER1_READ_SLAVE0:true" "MASTER1_READ_SLAVE10:true" "MASTER1_READ_SLAVE11:true" "MASTER1_READ_SLAVE12:true" "MASTER1_READ_SLAVE13:true" "MASTER1_READ_SLAVE14:true" "MASTER1_READ_SLAVE15:true" "MASTER1_READ_SLAVE16:true" "MASTER1_READ_SLAVE17:true" "MASTER1_READ_SLAVE18:true" "MASTER1_READ_SLAVE19:true" "MASTER1_READ_SLAVE1:true" "MASTER1_READ_SLAVE20:true" "MASTER1_READ_SLAVE21:true" "MASTER1_READ_SLAVE22:true" "MASTER1_READ_SLAVE23:true" "MASTER1_READ_SLAVE24:true" "MASTER1_READ_SLAVE25:true" "MASTER1_READ_SLAVE26:true" "MASTER1_READ_SLAVE27:true" "MASTER1_READ_SLAVE28:true" "MASTER1_READ_SLAVE29:true" "MASTER1_READ_SLAVE2:true" "MASTER1_READ_SLAVE30:true" "MASTER1_READ_SLAVE31:true" "MASTER1_READ_SLAVE3:true" "MASTER1_READ_SLAVE4:true" "MASTER1_READ_SLAVE5:true" "MASTER1_READ_SLAVE6:true" "MASTER1_READ_SLAVE7:true" "MASTER1_READ_SLAVE8:true" "MASTER1_READ_SLAVE9:true" "MASTER1_TYPE:0" "MASTER1_WRITE_SLAVE0:true" "MASTER1_WRITE_SLAVE10:true" "MASTER1_WRITE_SLAVE11:true" "MASTER1_WRITE_SLAVE12:true" "MASTER1_WRITE_SLAVE13:true" "MASTER1_WRITE_SLAVE14:true" "MASTER1_WRITE_SLAVE15:true" "MASTER1_WRITE_SLAVE16:true" "MASTER1_WRITE_SLAVE17:true" "MASTER1_WRITE_SLAVE18:true" "MASTER1_WRITE_SLAVE19:true" "MASTER1_WRITE_SLAVE1:true" "MASTER1_WRITE_SLAVE20:true" "MASTER1_WRITE_SLAVE21:true" "MASTER1_WRITE_SLAVE22:true" "MASTER1_WRITE_SLAVE23:true" "MASTER1_WRITE_SLAVE24:true" "MASTER1_WRITE_SLAVE25:true" "MASTER1_WRITE_SLAVE26:true" "MASTER1_WRITE_SLAVE27:true" "MASTER1_WRITE_SLAVE28:true" "MASTER1_WRITE_SLAVE29:true" "MASTER1_WRITE_SLAVE2:true" "MASTER1_WRITE_SLAVE30:true" "MASTER1_WRITE_SLAVE31:true" "MASTER1_WRITE_SLAVE3:true" "MASTER1_WRITE_SLAVE4:true" "MASTER1_WRITE_SLAVE5:true" "MASTER1_WRITE_SLAVE6:true" "MASTER1_WRITE_SLAVE7:true" "MASTER1_WRITE_SLAVE8:true" "MASTER1_WRITE_SLAVE9:true" "MASTER2_CHAN_RS:true" "MASTER2_CLOCK_DOMAIN_CROSSING:false" "MASTER2_DATA_WIDTH:64" "MASTER2_DWC_DATA_FIFO_DEPTH:16" "MASTER2_READ_INTERLEAVE:false" "MASTER2_READ_SLAVE0:true" "MASTER2_READ_SLAVE10:true" "MASTER2_READ_SLAVE11:true" "MASTER2_READ_SLAVE12:true" "MASTER2_READ_SLAVE13:true" "MASTER2_READ_SLAVE14:true" "MASTER2_READ_SLAVE15:true" "MASTER2_READ_SLAVE16:true" "MASTER2_READ_SLAVE17:true" "MASTER2_READ_SLAVE18:true" "MASTER2_READ_SLAVE19:true" "MASTER2_READ_SLAVE1:true" "MASTER2_READ_SLAVE20:true" "MASTER2_READ_SLAVE21:true" "MASTER2_READ_SLAVE22:true" "MASTER2_READ_SLAVE23:true" "MASTER2_READ_SLAVE24:true" "MASTER2_READ_SLAVE25:true" "MASTER2_READ_SLAVE26:true" "MASTER2_READ_SLAVE27:true" "MASTER2_READ_SLAVE28:true" "MASTER2_READ_SLAVE29:true" "MASTER2_READ_SLAVE2:true" "MASTER2_READ_SLAVE30:true" "MASTER2_READ_SLAVE31:true" "MASTER2_READ_SLAVE3:true" "MASTER2_READ_SLAVE4:true" "MASTER2_READ_SLAVE5:true" "MASTER2_READ_SLAVE6:true" "MASTER2_READ_SLAVE7:true" "MASTER2_READ_SLAVE8:true" "MASTER2_READ_SLAVE9:true" "MASTER2_TYPE:0" "MASTER2_WRITE_SLAVE0:true" "MASTER2_WRITE_SLAVE10:true" "MASTER2_WRITE_SLAVE11:true" "MASTER2_WRITE_SLAVE12:true" "MASTER2_WRITE_SLAVE13:true" "MASTER2_WRITE_SLAVE14:true" "MASTER2_WRITE_SLAVE15:true" "MASTER2_WRITE_SLAVE16:true" "MASTER2_WRITE_SLAVE17:true" "MASTER2_WRITE_SLAVE18:true" "MASTER2_WRITE_SLAVE19:true" "MASTER2_WRITE_SLAVE1:true" "MASTER2_WRITE_SLAVE20:true" "MASTER2_WRITE_SLAVE21:true" "MASTER2_WRITE_SLAVE22:true" "MASTER2_WRITE_SLAVE23:true" "MASTER2_WRITE_SLAVE24:true" "MASTER2_WRITE_SLAVE25:true" "MASTER2_WRITE_SLAVE26:true" "MASTER2_WRITE_SLAVE27:true" "MASTER2_WRITE_SLAVE28:true" "MASTER2_WRITE_SLAVE29:true" "MASTER2_WRITE_SLAVE2:true" "MASTER2_WRITE_SLAVE30:true" "MASTER2_WRITE_SLAVE31:true" "MASTER2_WRITE_SLAVE3:true" "MASTER2_WRITE_SLAVE4:true" "MASTER2_WRITE_SLAVE5:true" "MASTER2_WRITE_SLAVE6:true" "MASTER2_WRITE_SLAVE7:true" "MASTER2_WRITE_SLAVE8:true" "MASTER2_WRITE_SLAVE9:true" "MASTER3_CHAN_RS:true" "MASTER3_CLOCK_DOMAIN_CROSSING:false" "MASTER3_DATA_WIDTH:64" "MASTER3_DWC_DATA_FIFO_DEPTH:16" "MASTER3_READ_INTERLEAVE:false" "MASTER3_READ_SLAVE0:true" "MASTER3_READ_SLAVE10:true" "MASTER3_READ_SLAVE11:true" "MASTER3_READ_SLAVE12:true" "MASTER3_READ_SLAVE13:true" "MASTER3_READ_SLAVE14:true" "MASTER3_READ_SLAVE15:true" "MASTER3_READ_SLAVE16:true" "MASTER3_READ_SLAVE17:true" "MASTER3_READ_SLAVE18:true" "MASTER3_READ_SLAVE19:true" "MASTER3_READ_SLAVE1:true" "MASTER3_READ_SLAVE20:true" "MASTER3_READ_SLAVE21:true" "MASTER3_READ_SLAVE22:true" "MASTER3_READ_SLAVE23:true" "MASTER3_READ_SLAVE24:true" "MASTER3_READ_SLAVE25:true" "MASTER3_READ_SLAVE26:true" "MASTER3_READ_SLAVE27:true" "MASTER3_READ_SLAVE28:true" "MASTER3_READ_SLAVE29:true" "MASTER3_READ_SLAVE2:true" "MASTER3_READ_SLAVE30:true" "MASTER3_READ_SLAVE31:true" "MASTER3_READ_SLAVE3:true" "MASTER3_READ_SLAVE4:true" "MASTER3_READ_SLAVE5:true" "MASTER3_READ_SLAVE6:true" "MASTER3_READ_SLAVE7:true" "MASTER3_READ_SLAVE8:true" "MASTER3_READ_SLAVE9:true" "MASTER3_TYPE:0" "MASTER3_WRITE_SLAVE0:true" "MASTER3_WRITE_SLAVE10:true" "MASTER3_WRITE_SLAVE11:true" "MASTER3_WRITE_SLAVE12:true" "MASTER3_WRITE_SLAVE13:true" "MASTER3_WRITE_SLAVE14:true" "MASTER3_WRITE_SLAVE15:true" "MASTER3_WRITE_SLAVE16:true" "MASTER3_WRITE_SLAVE17:true" "MASTER3_WRITE_SLAVE18:true" "MASTER3_WRITE_SLAVE19:true" "MASTER3_WRITE_SLAVE1:true" "MASTER3_WRITE_SLAVE20:true" "MASTER3_WRITE_SLAVE21:true" "MASTER3_WRITE_SLAVE22:true" "MASTER3_WRITE_SLAVE23:true" "MASTER3_WRITE_SLAVE24:true" "MASTER3_WRITE_SLAVE25:true" "MASTER3_WRITE_SLAVE26:true" "MASTER3_WRITE_SLAVE27:true" "MASTER3_WRITE_SLAVE28:true" "MASTER3_WRITE_SLAVE29:true" "MASTER3_WRITE_SLAVE2:true" "MASTER3_WRITE_SLAVE30:true" "MASTER3_WRITE_SLAVE31:true" "MASTER3_WRITE_SLAVE3:true" "MASTER3_WRITE_SLAVE4:true" "MASTER3_WRITE_SLAVE5:true" "MASTER3_WRITE_SLAVE6:true" "MASTER3_WRITE_SLAVE7:true" "MASTER3_WRITE_SLAVE8:true" "MASTER3_WRITE_SLAVE9:true" "MASTER4_CHAN_RS:true" "MASTER4_CLOCK_DOMAIN_CROSSING:false" "MASTER4_DATA_WIDTH:64" "MASTER4_DWC_DATA_FIFO_DEPTH:16" "MASTER4_READ_INTERLEAVE:false" "MASTER4_READ_SLAVE0:true" "MASTER4_READ_SLAVE10:true" "MASTER4_READ_SLAVE11:true" "MASTER4_READ_SLAVE12:true" "MASTER4_READ_SLAVE13:true" "MASTER4_READ_SLAVE14:true" "MASTER4_READ_SLAVE15:true" "MASTER4_READ_SLAVE16:true" "MASTER4_READ_SLAVE17:true" "MASTER4_READ_SLAVE18:true" "MASTER4_READ_SLAVE19:true" "MASTER4_READ_SLAVE1:true" "MASTER4_READ_SLAVE20:true" "MASTER4_READ_SLAVE21:true" "MASTER4_READ_SLAVE22:true" "MASTER4_READ_SLAVE23:true" "MASTER4_READ_SLAVE24:true" "MASTER4_READ_SLAVE25:true" "MASTER4_READ_SLAVE26:true" "MASTER4_READ_SLAVE27:true" "MASTER4_READ_SLAVE28:true" "MASTER4_READ_SLAVE29:true" "MASTER4_READ_SLAVE2:true" "MASTER4_READ_SLAVE30:true" "MASTER4_READ_SLAVE31:true" "MASTER4_READ_SLAVE3:true" "MASTER4_READ_SLAVE4:true" "MASTER4_READ_SLAVE5:true" "MASTER4_READ_SLAVE6:true" "MASTER4_READ_SLAVE7:true" "MASTER4_READ_SLAVE8:true" "MASTER4_READ_SLAVE9:true" "MASTER4_TYPE:0" "MASTER4_WRITE_SLAVE0:true" "MASTER4_WRITE_SLAVE10:true" "MASTER4_WRITE_SLAVE11:true" "MASTER4_WRITE_SLAVE12:true" "MASTER4_WRITE_SLAVE13:true" "MASTER4_WRITE_SLAVE14:true" "MASTER4_WRITE_SLAVE15:true" "MASTER4_WRITE_SLAVE16:true" "MASTER4_WRITE_SLAVE17:true" "MASTER4_WRITE_SLAVE18:true" "MASTER4_WRITE_SLAVE19:true" "MASTER4_WRITE_SLAVE1:true" "MASTER4_WRITE_SLAVE20:true" "MASTER4_WRITE_SLAVE21:true" "MASTER4_WRITE_SLAVE22:true" "MASTER4_WRITE_SLAVE23:true" "MASTER4_WRITE_SLAVE24:true" "MASTER4_WRITE_SLAVE25:true" "MASTER4_WRITE_SLAVE26:true" "MASTER4_WRITE_SLAVE27:true" "MASTER4_WRITE_SLAVE28:true" "MASTER4_WRITE_SLAVE29:true" "MASTER4_WRITE_SLAVE2:true" "MASTER4_WRITE_SLAVE30:true" "MASTER4_WRITE_SLAVE31:true" "MASTER4_WRITE_SLAVE3:true" "MASTER4_WRITE_SLAVE4:true" "MASTER4_WRITE_SLAVE5:true" "MASTER4_WRITE_SLAVE6:true" "MASTER4_WRITE_SLAVE7:true" "MASTER4_WRITE_SLAVE8:true" "MASTER4_WRITE_SLAVE9:true" "MASTER5_CHAN_RS:true" "MASTER5_CLOCK_DOMAIN_CROSSING:false" "MASTER5_DATA_WIDTH:64" "MASTER5_DWC_DATA_FIFO_DEPTH:16" "MASTER5_READ_INTERLEAVE:false" "MASTER5_READ_SLAVE0:true" "MASTER5_READ_SLAVE10:true" "MASTER5_READ_SLAVE11:true" "MASTER5_READ_SLAVE12:true" "MASTER5_READ_SLAVE13:true" "MASTER5_READ_SLAVE14:true" "MASTER5_READ_SLAVE15:true" "MASTER5_READ_SLAVE16:true" "MASTER5_READ_SLAVE17:true" "MASTER5_READ_SLAVE18:true" "MASTER5_READ_SLAVE19:true" "MASTER5_READ_SLAVE1:true" "MASTER5_READ_SLAVE20:true" "MASTER5_READ_SLAVE21:true" "MASTER5_READ_SLAVE22:true" "MASTER5_READ_SLAVE23:true" "MASTER5_READ_SLAVE24:true" "MASTER5_READ_SLAVE25:true" "MASTER5_READ_SLAVE26:true" "MASTER5_READ_SLAVE27:true" "MASTER5_READ_SLAVE28:true" "MASTER5_READ_SLAVE29:true" "MASTER5_READ_SLAVE2:true" "MASTER5_READ_SLAVE30:true" "MASTER5_READ_SLAVE31:true" "MASTER5_READ_SLAVE3:true" "MASTER5_READ_SLAVE4:true" "MASTER5_READ_SLAVE5:true" "MASTER5_READ_SLAVE6:true" "MASTER5_READ_SLAVE7:true" "MASTER5_READ_SLAVE8:true" "MASTER5_READ_SLAVE9:true" "MASTER5_TYPE:0" "MASTER5_WRITE_SLAVE0:true" "MASTER5_WRITE_SLAVE10:true" "MASTER5_WRITE_SLAVE11:true" "MASTER5_WRITE_SLAVE12:true" "MASTER5_WRITE_SLAVE13:true" "MASTER5_WRITE_SLAVE14:true" "MASTER5_WRITE_SLAVE15:true" "MASTER5_WRITE_SLAVE16:true" "MASTER5_WRITE_SLAVE17:true" "MASTER5_WRITE_SLAVE18:true" "MASTER5_WRITE_SLAVE19:true" "MASTER5_WRITE_SLAVE1:true" "MASTER5_WRITE_SLAVE20:true" "MASTER5_WRITE_SLAVE21:true" "MASTER5_WRITE_SLAVE22:true" "MASTER5_WRITE_SLAVE23:true" "MASTER5_WRITE_SLAVE24:true" "MASTER5_WRITE_SLAVE25:true" "MASTER5_WRITE_SLAVE26:true" "MASTER5_WRITE_SLAVE27:true" "MASTER5_WRITE_SLAVE28:true" "MASTER5_WRITE_SLAVE29:true" "MASTER5_WRITE_SLAVE2:true" "MASTER5_WRITE_SLAVE30:true" "MASTER5_WRITE_SLAVE31:true" "MASTER5_WRITE_SLAVE3:true" "MASTER5_WRITE_SLAVE4:true" "MASTER5_WRITE_SLAVE5:true" "MASTER5_WRITE_SLAVE6:true" "MASTER5_WRITE_SLAVE7:true" "MASTER5_WRITE_SLAVE8:true" "MASTER5_WRITE_SLAVE9:true" "MASTER6_CHAN_RS:true" "MASTER6_CLOCK_DOMAIN_CROSSING:false" "MASTER6_DATA_WIDTH:64" "MASTER6_DWC_DATA_FIFO_DEPTH:16" "MASTER6_READ_INTERLEAVE:false" "MASTER6_READ_SLAVE0:true" "MASTER6_READ_SLAVE10:true" "MASTER6_READ_SLAVE11:true" "MASTER6_READ_SLAVE12:true" "MASTER6_READ_SLAVE13:true" "MASTER6_READ_SLAVE14:true" "MASTER6_READ_SLAVE15:true" "MASTER6_READ_SLAVE16:true" "MASTER6_READ_SLAVE17:true" "MASTER6_READ_SLAVE18:true" "MASTER6_READ_SLAVE19:true" "MASTER6_READ_SLAVE1:true" "MASTER6_READ_SLAVE20:true" "MASTER6_READ_SLAVE21:true" "MASTER6_READ_SLAVE22:true" "MASTER6_READ_SLAVE23:true" "MASTER6_READ_SLAVE24:true" "MASTER6_READ_SLAVE25:true" "MASTER6_READ_SLAVE26:true" "MASTER6_READ_SLAVE27:true" "MASTER6_READ_SLAVE28:true" "MASTER6_READ_SLAVE29:true" "MASTER6_READ_SLAVE2:true" "MASTER6_READ_SLAVE30:true" "MASTER6_READ_SLAVE31:true" "MASTER6_READ_SLAVE3:true" "MASTER6_READ_SLAVE4:true" "MASTER6_READ_SLAVE5:true" "MASTER6_READ_SLAVE6:true" "MASTER6_READ_SLAVE7:true" "MASTER6_READ_SLAVE8:true" "MASTER6_READ_SLAVE9:true" "MASTER6_TYPE:0" "MASTER6_WRITE_SLAVE0:true" "MASTER6_WRITE_SLAVE10:true" "MASTER6_WRITE_SLAVE11:true" "MASTER6_WRITE_SLAVE12:true" "MASTER6_WRITE_SLAVE13:true" "MASTER6_WRITE_SLAVE14:true" "MASTER6_WRITE_SLAVE15:true" "MASTER6_WRITE_SLAVE16:true" "MASTER6_WRITE_SLAVE17:true" "MASTER6_WRITE_SLAVE18:true" "MASTER6_WRITE_SLAVE19:true" "MASTER6_WRITE_SLAVE1:true" "MASTER6_WRITE_SLAVE20:true" "MASTER6_WRITE_SLAVE21:true" "MASTER6_WRITE_SLAVE22:true" "MASTER6_WRITE_SLAVE23:true" "MASTER6_WRITE_SLAVE24:true" "MASTER6_WRITE_SLAVE25:true" "MASTER6_WRITE_SLAVE26:true" "MASTER6_WRITE_SLAVE27:true" "MASTER6_WRITE_SLAVE28:true" "MASTER6_WRITE_SLAVE29:true" "MASTER6_WRITE_SLAVE2:true" "MASTER6_WRITE_SLAVE30:true" "MASTER6_WRITE_SLAVE31:true" "MASTER6_WRITE_SLAVE3:true" "MASTER6_WRITE_SLAVE4:true" "MASTER6_WRITE_SLAVE5:true" "MASTER6_WRITE_SLAVE6:true" "MASTER6_WRITE_SLAVE7:true" "MASTER6_WRITE_SLAVE8:true" "MASTER6_WRITE_SLAVE9:true" "MASTER7_CHAN_RS:true" "MASTER7_CLOCK_DOMAIN_CROSSING:false" "MASTER7_DATA_WIDTH:64" "MASTER7_DWC_DATA_FIFO_DEPTH:16" "MASTER7_READ_INTERLEAVE:false" "MASTER7_READ_SLAVE0:true" "MASTER7_READ_SLAVE10:true" "MASTER7_READ_SLAVE11:true" "MASTER7_READ_SLAVE12:true" "MASTER7_READ_SLAVE13:true" "MASTER7_READ_SLAVE14:true" "MASTER7_READ_SLAVE15:true" "MASTER7_READ_SLAVE16:true" "MASTER7_READ_SLAVE17:true" "MASTER7_READ_SLAVE18:true" "MASTER7_READ_SLAVE19:true" "MASTER7_READ_SLAVE1:true" "MASTER7_READ_SLAVE20:true" "MASTER7_READ_SLAVE21:true" "MASTER7_READ_SLAVE22:true" "MASTER7_READ_SLAVE23:true" "MASTER7_READ_SLAVE24:true" "MASTER7_READ_SLAVE25:true" "MASTER7_READ_SLAVE26:true" "MASTER7_READ_SLAVE27:true" "MASTER7_READ_SLAVE28:true" "MASTER7_READ_SLAVE29:true" "MASTER7_READ_SLAVE2:true" "MASTER7_READ_SLAVE30:true" "MASTER7_READ_SLAVE31:true" "MASTER7_READ_SLAVE3:true" "MASTER7_READ_SLAVE4:true" "MASTER7_READ_SLAVE5:true" "MASTER7_READ_SLAVE6:true" "MASTER7_READ_SLAVE7:true" "MASTER7_READ_SLAVE8:true" "MASTER7_READ_SLAVE9:true" "MASTER7_TYPE:0" "MASTER7_WRITE_SLAVE0:true" "MASTER7_WRITE_SLAVE10:true" "MASTER7_WRITE_SLAVE11:true" "MASTER7_WRITE_SLAVE12:true" "MASTER7_WRITE_SLAVE13:true" "MASTER7_WRITE_SLAVE14:true" "MASTER7_WRITE_SLAVE15:true" "MASTER7_WRITE_SLAVE16:true" "MASTER7_WRITE_SLAVE17:true" "MASTER7_WRITE_SLAVE18:true" "MASTER7_WRITE_SLAVE19:true" "MASTER7_WRITE_SLAVE1:true" "MASTER7_WRITE_SLAVE20:true" "MASTER7_WRITE_SLAVE21:true" "MASTER7_WRITE_SLAVE22:true" "MASTER7_WRITE_SLAVE23:true" "MASTER7_WRITE_SLAVE24:true" "MASTER7_WRITE_SLAVE25:true" "MASTER7_WRITE_SLAVE26:true" "MASTER7_WRITE_SLAVE27:true" "MASTER7_WRITE_SLAVE28:true" "MASTER7_WRITE_SLAVE29:true" "MASTER7_WRITE_SLAVE2:true" "MASTER7_WRITE_SLAVE30:true" "MASTER7_WRITE_SLAVE31:true" "MASTER7_WRITE_SLAVE3:true" "MASTER7_WRITE_SLAVE4:true" "MASTER7_WRITE_SLAVE5:true" "MASTER7_WRITE_SLAVE6:true" "MASTER7_WRITE_SLAVE7:true" "MASTER7_WRITE_SLAVE8:true" "MASTER7_WRITE_SLAVE9:true" "MASTER8_CHAN_RS:true" "MASTER8_CLOCK_DOMAIN_CROSSING:false" "MASTER8_DATA_WIDTH:64" "MASTER8_DWC_DATA_FIFO_DEPTH:16" "MASTER8_READ_INTERLEAVE:false" "MASTER8_READ_SLAVE0:true" "MASTER8_READ_SLAVE10:true" "MASTER8_READ_SLAVE11:true" "MASTER8_READ_SLAVE12:true" "MASTER8_READ_SLAVE13:true" "MASTER8_READ_SLAVE14:true" "MASTER8_READ_SLAVE15:true" "MASTER8_READ_SLAVE16:true" "MASTER8_READ_SLAVE17:true" "MASTER8_READ_SLAVE18:true" "MASTER8_READ_SLAVE19:true" "MASTER8_READ_SLAVE1:true" "MASTER8_READ_SLAVE20:true" "MASTER8_READ_SLAVE21:true" "MASTER8_READ_SLAVE22:true" "MASTER8_READ_SLAVE23:true" "MASTER8_READ_SLAVE24:true" "MASTER8_READ_SLAVE25:true" "MASTER8_READ_SLAVE26:true" "MASTER8_READ_SLAVE27:true" "MASTER8_READ_SLAVE28:true" "MASTER8_READ_SLAVE29:true" "MASTER8_READ_SLAVE2:true" "MASTER8_READ_SLAVE30:true" "MASTER8_READ_SLAVE31:true" "MASTER8_READ_SLAVE3:true" "MASTER8_READ_SLAVE4:true" "MASTER8_READ_SLAVE5:true" "MASTER8_READ_SLAVE6:true" "MASTER8_READ_SLAVE7:true" "MASTER8_READ_SLAVE8:true" "MASTER8_READ_SLAVE9:true" "MASTER8_TYPE:0" "MASTER8_WRITE_SLAVE0:true" "MASTER8_WRITE_SLAVE10:true" "MASTER8_WRITE_SLAVE11:true" "MASTER8_WRITE_SLAVE12:true" "MASTER8_WRITE_SLAVE13:true" "MASTER8_WRITE_SLAVE14:true" "MASTER8_WRITE_SLAVE15:true" "MASTER8_WRITE_SLAVE16:true" "MASTER8_WRITE_SLAVE17:true" "MASTER8_WRITE_SLAVE18:true" "MASTER8_WRITE_SLAVE19:true" "MASTER8_WRITE_SLAVE1:true" "MASTER8_WRITE_SLAVE20:true" "MASTER8_WRITE_SLAVE21:true" "MASTER8_WRITE_SLAVE22:true" "MASTER8_WRITE_SLAVE23:true" "MASTER8_WRITE_SLAVE24:true" "MASTER8_WRITE_SLAVE25:true" "MASTER8_WRITE_SLAVE26:true" "MASTER8_WRITE_SLAVE27:true" "MASTER8_WRITE_SLAVE28:true" "MASTER8_WRITE_SLAVE29:true" "MASTER8_WRITE_SLAVE2:true" "MASTER8_WRITE_SLAVE30:true" "MASTER8_WRITE_SLAVE31:true" "MASTER8_WRITE_SLAVE3:true" "MASTER8_WRITE_SLAVE4:true" "MASTER8_WRITE_SLAVE5:true" "MASTER8_WRITE_SLAVE6:true" "MASTER8_WRITE_SLAVE7:true" "MASTER8_WRITE_SLAVE8:true" "MASTER8_WRITE_SLAVE9:true" "MASTER9_CHAN_RS:true" "MASTER9_CLOCK_DOMAIN_CROSSING:false" "MASTER9_DATA_WIDTH:64" "MASTER9_DWC_DATA_FIFO_DEPTH:16" "MASTER9_READ_INTERLEAVE:false" "MASTER9_READ_SLAVE0:true" "MASTER9_READ_SLAVE10:true" "MASTER9_READ_SLAVE11:true" "MASTER9_READ_SLAVE12:true" "MASTER9_READ_SLAVE13:true" "MASTER9_READ_SLAVE14:true" "MASTER9_READ_SLAVE15:true" "MASTER9_READ_SLAVE16:true" "MASTER9_READ_SLAVE17:true" "MASTER9_READ_SLAVE18:true" "MASTER9_READ_SLAVE19:true" "MASTER9_READ_SLAVE1:true" "MASTER9_READ_SLAVE20:true" "MASTER9_READ_SLAVE21:true" "MASTER9_READ_SLAVE22:true" "MASTER9_READ_SLAVE23:true" "MASTER9_READ_SLAVE24:true" "MASTER9_READ_SLAVE25:true" "MASTER9_READ_SLAVE26:true" "MASTER9_READ_SLAVE27:true" "MASTER9_READ_SLAVE28:true" "MASTER9_READ_SLAVE29:true" "MASTER9_READ_SLAVE2:true" "MASTER9_READ_SLAVE30:true" "MASTER9_READ_SLAVE31:true" "MASTER9_READ_SLAVE3:true" "MASTER9_READ_SLAVE4:true" "MASTER9_READ_SLAVE5:true" "MASTER9_READ_SLAVE6:true" "MASTER9_READ_SLAVE7:true" "MASTER9_READ_SLAVE8:true" "MASTER9_READ_SLAVE9:true" "MASTER9_TYPE:0" "MASTER9_WRITE_SLAVE0:true" "MASTER9_WRITE_SLAVE10:true" "MASTER9_WRITE_SLAVE11:true" "MASTER9_WRITE_SLAVE12:true" "MASTER9_WRITE_SLAVE13:true" "MASTER9_WRITE_SLAVE14:true" "MASTER9_WRITE_SLAVE15:true" "MASTER9_WRITE_SLAVE16:true" "MASTER9_WRITE_SLAVE17:true" "MASTER9_WRITE_SLAVE18:true" "MASTER9_WRITE_SLAVE19:true" "MASTER9_WRITE_SLAVE1:true" "MASTER9_WRITE_SLAVE20:true" "MASTER9_WRITE_SLAVE21:true" "MASTER9_WRITE_SLAVE22:true" "MASTER9_WRITE_SLAVE23:true" "MASTER9_WRITE_SLAVE24:true" "MASTER9_WRITE_SLAVE25:true" "MASTER9_WRITE_SLAVE26:true" "MASTER9_WRITE_SLAVE27:true" "MASTER9_WRITE_SLAVE28:true" "MASTER9_WRITE_SLAVE29:true" "MASTER9_WRITE_SLAVE2:true" "MASTER9_WRITE_SLAVE30:true" "MASTER9_WRITE_SLAVE31:true" "MASTER9_WRITE_SLAVE3:true" "MASTER9_WRITE_SLAVE4:true" "MASTER9_WRITE_SLAVE5:true" "MASTER9_WRITE_SLAVE6:true" "MASTER9_WRITE_SLAVE7:true" "MASTER9_WRITE_SLAVE8:true" "MASTER9_WRITE_SLAVE9:true" "NUM_MASTERS:1" "NUM_MASTERS_WIDTH:1" "NUM_SLAVES:1" "NUM_THREADS:1" "OPEN_TRANS_MAX:2" "OPTIMIZATION:3" "RD_ARB_EN:true" "SLAVE0_CHAN_RS:true" "SLAVE0_CLOCK_DOMAIN_CROSSING:false" "SLAVE0_DATA_WIDTH:64" "SLAVE0_DWC_DATA_FIFO_DEPTH:16" "SLAVE0_END_ADDR:0xffffffff" "SLAVE0_END_ADDR_UPPER:0x0" "SLAVE0_READ_INTERLEAVE:false" "SLAVE0_START_ADDR:0x0" "SLAVE0_START_ADDR_UPPER:0x0" "SLAVE0_TYPE:0" "SLAVE10_CHAN_RS:true" "SLAVE10_CLOCK_DOMAIN_CROSSING:false" "SLAVE10_DATA_WIDTH:64" "SLAVE10_DWC_DATA_FIFO_DEPTH:16" "SLAVE10_END_ADDR:0x57ffffff" "SLAVE10_END_ADDR_UPPER:0x0" "SLAVE10_READ_INTERLEAVE:false" "SLAVE10_START_ADDR:0x50000000" "SLAVE10_START_ADDR_UPPER:0x0" "SLAVE10_TYPE:0" "SLAVE11_CHAN_RS:true" "SLAVE11_CLOCK_DOMAIN_CROSSING:false" "SLAVE11_DATA_WIDTH:64" "SLAVE11_DWC_DATA_FIFO_DEPTH:16" "SLAVE11_END_ADDR:0x5fffffff" "SLAVE11_END_ADDR_UPPER:0x0" "SLAVE11_READ_INTERLEAVE:false" "SLAVE11_START_ADDR:0x58000000" "SLAVE11_START_ADDR_UPPER:0x0" "SLAVE11_TYPE:0" "SLAVE12_CHAN_RS:true" "SLAVE12_CLOCK_DOMAIN_CROSSING:false" "SLAVE12_DATA_WIDTH:64" "SLAVE12_DWC_DATA_FIFO_DEPTH:16" "SLAVE12_END_ADDR:0x902fffff" "SLAVE12_END_ADDR_UPPER:0x0" "SLAVE12_READ_INTERLEAVE:false" "SLAVE12_START_ADDR:0x90000000" "SLAVE12_START_ADDR_UPPER:0x0" "SLAVE12_TYPE:0" "SLAVE13_CHAN_RS:true" "SLAVE13_CLOCK_DOMAIN_CROSSING:false" "SLAVE13_DATA_WIDTH:64" "SLAVE13_DWC_DATA_FIFO_DEPTH:16" "SLAVE13_END_ADDR:0x905fffff" "SLAVE13_END_ADDR_UPPER:0x0" "SLAVE13_READ_INTERLEAVE:false" "SLAVE13_START_ADDR:0x90300000" "SLAVE13_START_ADDR_UPPER:0x0" "SLAVE13_TYPE:0" "SLAVE14_CHAN_RS:true" "SLAVE14_CLOCK_DOMAIN_CROSSING:false" "SLAVE14_DATA_WIDTH:64" "SLAVE14_DWC_DATA_FIFO_DEPTH:16" "SLAVE14_END_ADDR:0x908fffff" "SLAVE14_END_ADDR_UPPER:0x0" "SLAVE14_READ_INTERLEAVE:false" "SLAVE14_START_ADDR:0x90600000" "SLAVE14_START_ADDR_UPPER:0x0" "SLAVE14_TYPE:0" "SLAVE15_CHAN_RS:true" "SLAVE15_CLOCK_DOMAIN_CROSSING:false" "SLAVE15_DATA_WIDTH:64" "SLAVE15_DWC_DATA_FIFO_DEPTH:16" "SLAVE15_END_ADDR:0x90bfffff" "SLAVE15_END_ADDR_UPPER:0x0" "SLAVE15_READ_INTERLEAVE:false" "SLAVE15_START_ADDR:0x90900000" "SLAVE15_START_ADDR_UPPER:0x0" "SLAVE15_TYPE:0" "SLAVE16_CHAN_RS:true" "SLAVE16_CLOCK_DOMAIN_CROSSING:false" "SLAVE16_DATA_WIDTH:64" "SLAVE16_DWC_DATA_FIFO_DEPTH:16" "SLAVE16_END_ADDR:0x90efffff" "SLAVE16_END_ADDR_UPPER:0x0" "SLAVE16_READ_INTERLEAVE:false" "SLAVE16_START_ADDR:0x90c00000" "SLAVE16_START_ADDR_UPPER:0x0" "SLAVE16_TYPE:0" "SLAVE17_CHAN_RS:true" "SLAVE17_CLOCK_DOMAIN_CROSSING:false" "SLAVE17_DATA_WIDTH:64" "SLAVE17_DWC_DATA_FIFO_DEPTH:16" "SLAVE17_END_ADDR:0x911fffff" "SLAVE17_END_ADDR_UPPER:0x0" "SLAVE17_READ_INTERLEAVE:false" "SLAVE17_START_ADDR:0x90f00000" "SLAVE17_START_ADDR_UPPER:0x0" "SLAVE17_TYPE:0" "SLAVE18_CHAN_RS:true" "SLAVE18_CLOCK_DOMAIN_CROSSING:false" "SLAVE18_DATA_WIDTH:64" "SLAVE18_DWC_DATA_FIFO_DEPTH:16" "SLAVE18_END_ADDR:0x914fffff" "SLAVE18_END_ADDR_UPPER:0x0" "SLAVE18_READ_INTERLEAVE:false" "SLAVE18_START_ADDR:0x91200000" "SLAVE18_START_ADDR_UPPER:0x0" "SLAVE18_TYPE:0" "SLAVE19_CHAN_RS:true" "SLAVE19_CLOCK_DOMAIN_CROSSING:false" "SLAVE19_DATA_WIDTH:64" "SLAVE19_DWC_DATA_FIFO_DEPTH:16" "SLAVE19_END_ADDR:0x917fffff" "SLAVE19_END_ADDR_UPPER:0x0" "SLAVE19_READ_INTERLEAVE:false" "SLAVE19_START_ADDR:0x91500000" "SLAVE19_START_ADDR_UPPER:0x0" "SLAVE19_TYPE:0" "SLAVE1_CHAN_RS:true" "SLAVE1_CLOCK_DOMAIN_CROSSING:false" "SLAVE1_DATA_WIDTH:64" "SLAVE1_DWC_DATA_FIFO_DEPTH:16" "SLAVE1_END_ADDR:0x6fffffff" "SLAVE1_END_ADDR_UPPER:0x0" "SLAVE1_READ_INTERLEAVE:false" "SLAVE1_START_ADDR:0x61000000" "SLAVE1_START_ADDR_UPPER:0x0" "SLAVE1_TYPE:0" "SLAVE20_CHAN_RS:true" "SLAVE20_CLOCK_DOMAIN_CROSSING:false" "SLAVE20_DATA_WIDTH:64" "SLAVE20_DWC_DATA_FIFO_DEPTH:16" "SLAVE20_END_ADDR:0x91afffff" "SLAVE20_END_ADDR_UPPER:0x0" "SLAVE20_READ_INTERLEAVE:false" "SLAVE20_START_ADDR:0x91800000" "SLAVE20_START_ADDR_UPPER:0x0" "SLAVE20_TYPE:0" "SLAVE21_CHAN_RS:true" "SLAVE21_CLOCK_DOMAIN_CROSSING:false" "SLAVE21_DATA_WIDTH:64" "SLAVE21_DWC_DATA_FIFO_DEPTH:16" "SLAVE21_END_ADDR:0x91dfffff" "SLAVE21_END_ADDR_UPPER:0x0" "SLAVE21_READ_INTERLEAVE:false" "SLAVE21_START_ADDR:0x91b00000" "SLAVE21_START_ADDR_UPPER:0x0" "SLAVE21_TYPE:0" "SLAVE22_CHAN_RS:true" "SLAVE22_CLOCK_DOMAIN_CROSSING:false" "SLAVE22_DATA_WIDTH:64" "SLAVE22_DWC_DATA_FIFO_DEPTH:16" "SLAVE22_END_ADDR:0x920fffff" "SLAVE22_END_ADDR_UPPER:0x0" "SLAVE22_READ_INTERLEAVE:false" "SLAVE22_START_ADDR:0x91e00000" "SLAVE22_START_ADDR_UPPER:0x0" "SLAVE22_TYPE:0" "SLAVE23_CHAN_RS:true" "SLAVE23_CLOCK_DOMAIN_CROSSING:false" "SLAVE23_DATA_WIDTH:64" "SLAVE23_DWC_DATA_FIFO_DEPTH:16" "SLAVE23_END_ADDR:0x923fffff" "SLAVE23_END_ADDR_UPPER:0x0" "SLAVE23_READ_INTERLEAVE:false" "SLAVE23_START_ADDR:0x92100000" "SLAVE23_START_ADDR_UPPER:0x0" "SLAVE23_TYPE:0" "SLAVE24_CHAN_RS:true" "SLAVE24_CLOCK_DOMAIN_CROSSING:false" "SLAVE24_DATA_WIDTH:64" "SLAVE24_DWC_DATA_FIFO_DEPTH:16" "SLAVE24_END_ADDR:0x926fffff" "SLAVE24_END_ADDR_UPPER:0x0" "SLAVE24_READ_INTERLEAVE:false" "SLAVE24_START_ADDR:0x92400000" "SLAVE24_START_ADDR_UPPER:0x0" "SLAVE24_TYPE:0" "SLAVE25_CHAN_RS:true" "SLAVE25_CLOCK_DOMAIN_CROSSING:false" "SLAVE25_DATA_WIDTH:64" "SLAVE25_DWC_DATA_FIFO_DEPTH:16" "SLAVE25_END_ADDR:0x929fffff" "SLAVE25_END_ADDR_UPPER:0x0" "SLAVE25_READ_INTERLEAVE:false" "SLAVE25_START_ADDR:0x92700000" "SLAVE25_START_ADDR_UPPER:0x0" "SLAVE25_TYPE:0" "SLAVE26_CHAN_RS:true" "SLAVE26_CLOCK_DOMAIN_CROSSING:false" "SLAVE26_DATA_WIDTH:64" "SLAVE26_DWC_DATA_FIFO_DEPTH:16" "SLAVE26_END_ADDR:0x92cfffff" "SLAVE26_END_ADDR_UPPER:0x0" "SLAVE26_READ_INTERLEAVE:false" "SLAVE26_START_ADDR:0x92a00000" "SLAVE26_START_ADDR_UPPER:0x0" "SLAVE26_TYPE:0" "SLAVE27_CHAN_RS:true" "SLAVE27_CLOCK_DOMAIN_CROSSING:false" "SLAVE27_DATA_WIDTH:64" "SLAVE27_DWC_DATA_FIFO_DEPTH:16" "SLAVE27_END_ADDR:0x92ffffff" "SLAVE27_END_ADDR_UPPER:0x0" "SLAVE27_READ_INTERLEAVE:false" "SLAVE27_START_ADDR:0x92d00000" "SLAVE27_START_ADDR_UPPER:0x0" "SLAVE27_TYPE:0" "SLAVE28_CHAN_RS:true" "SLAVE28_CLOCK_DOMAIN_CROSSING:false" "SLAVE28_DATA_WIDTH:64" "SLAVE28_DWC_DATA_FIFO_DEPTH:16" "SLAVE28_END_ADDR:0x932fffff" "SLAVE28_END_ADDR_UPPER:0x0" "SLAVE28_READ_INTERLEAVE:false" "SLAVE28_START_ADDR:0x93000000" "SLAVE28_START_ADDR_UPPER:0x0" "SLAVE28_TYPE:0" "SLAVE29_CHAN_RS:true" "SLAVE29_CLOCK_DOMAIN_CROSSING:false" "SLAVE29_DATA_WIDTH:64" "SLAVE29_DWC_DATA_FIFO_DEPTH:16" "SLAVE29_END_ADDR:0x935fffff" "SLAVE29_END_ADDR_UPPER:0x0" "SLAVE29_READ_INTERLEAVE:false" "SLAVE29_START_ADDR:0x93300000" "SLAVE29_START_ADDR_UPPER:0x0" "SLAVE29_TYPE:0" "SLAVE2_CHAN_RS:true" "SLAVE2_CLOCK_DOMAIN_CROSSING:false" "SLAVE2_DATA_WIDTH:32" "SLAVE2_DWC_DATA_FIFO_DEPTH:16" "SLAVE2_END_ADDR:0x6002ffff" "SLAVE2_END_ADDR_UPPER:0x0" "SLAVE2_READ_INTERLEAVE:false" "SLAVE2_START_ADDR:0x60020000" "SLAVE2_START_ADDR_UPPER:0x0" "SLAVE2_TYPE:1" "SLAVE30_CHAN_RS:true" "SLAVE30_CLOCK_DOMAIN_CROSSING:false" "SLAVE30_DATA_WIDTH:64" "SLAVE30_DWC_DATA_FIFO_DEPTH:16" "SLAVE30_END_ADDR:0x938fffff" "SLAVE30_END_ADDR_UPPER:0x0" "SLAVE30_READ_INTERLEAVE:false" "SLAVE30_START_ADDR:0x93600000" "SLAVE30_START_ADDR_UPPER:0x0" "SLAVE30_TYPE:0" "SLAVE31_CHAN_RS:true" "SLAVE31_CLOCK_DOMAIN_CROSSING:false" "SLAVE31_DATA_WIDTH:64" "SLAVE31_DWC_DATA_FIFO_DEPTH:16" "SLAVE31_END_ADDR:0x93bfffff" "SLAVE31_END_ADDR_UPPER:0x0" "SLAVE31_READ_INTERLEAVE:false" "SLAVE31_START_ADDR:0x93900000" "SLAVE31_START_ADDR_UPPER:0x0" "SLAVE31_TYPE:0" "SLAVE3_CHAN_RS:true" "SLAVE3_CLOCK_DOMAIN_CROSSING:false" "SLAVE3_DATA_WIDTH:64" "SLAVE3_DWC_DATA_FIFO_DEPTH:16" "SLAVE3_END_ADDR:0x1fffffff" "SLAVE3_END_ADDR_UPPER:0x0" "SLAVE3_READ_INTERLEAVE:false" "SLAVE3_START_ADDR:0x18000000" "SLAVE3_START_ADDR_UPPER:0x0" "SLAVE3_TYPE:0" "SLAVE4_CHAN_RS:true" "SLAVE4_CLOCK_DOMAIN_CROSSING:false" "SLAVE4_DATA_WIDTH:64" "SLAVE4_DWC_DATA_FIFO_DEPTH:16" "SLAVE4_END_ADDR:0x27ffffff" "SLAVE4_END_ADDR_UPPER:0x0" "SLAVE4_READ_INTERLEAVE:false" "SLAVE4_START_ADDR:0x20000000" "SLAVE4_START_ADDR_UPPER:0x0" "SLAVE4_TYPE:0" "SLAVE5_CHAN_RS:true" "SLAVE5_CLOCK_DOMAIN_CROSSING:false" "SLAVE5_DATA_WIDTH:64" "SLAVE5_DWC_DATA_FIFO_DEPTH:16" "SLAVE5_END_ADDR:0x2fffffff" "SLAVE5_END_ADDR_UPPER:0x0" "SLAVE5_READ_INTERLEAVE:false" "SLAVE5_START_ADDR:0x28000000" "SLAVE5_START_ADDR_UPPER:0x0" "SLAVE5_TYPE:0" "SLAVE6_CHAN_RS:true" "SLAVE6_CLOCK_DOMAIN_CROSSING:false" "SLAVE6_DATA_WIDTH:64" "SLAVE6_DWC_DATA_FIFO_DEPTH:16" "SLAVE6_END_ADDR:0x37ffffff" "SLAVE6_END_ADDR_UPPER:0x0" "SLAVE6_READ_INTERLEAVE:false" "SLAVE6_START_ADDR:0x30000000" "SLAVE6_START_ADDR_UPPER:0x0" "SLAVE6_TYPE:0" "SLAVE7_CHAN_RS:true" "SLAVE7_CLOCK_DOMAIN_CROSSING:false" "SLAVE7_DATA_WIDTH:64" "SLAVE7_DWC_DATA_FIFO_DEPTH:16" "SLAVE7_END_ADDR:0x3fffffff" "SLAVE7_END_ADDR_UPPER:0x0" "SLAVE7_READ_INTERLEAVE:false" "SLAVE7_START_ADDR:0x38000000" "SLAVE7_START_ADDR_UPPER:0x0" "SLAVE7_TYPE:0" "SLAVE8_CHAN_RS:true" "SLAVE8_CLOCK_DOMAIN_CROSSING:false" "SLAVE8_DATA_WIDTH:64" "SLAVE8_DWC_DATA_FIFO_DEPTH:16" "SLAVE8_END_ADDR:0x47ffffff" "SLAVE8_END_ADDR_UPPER:0x0" "SLAVE8_READ_INTERLEAVE:false" "SLAVE8_START_ADDR:0x40000000" "SLAVE8_START_ADDR_UPPER:0x0" "SLAVE8_TYPE:0" "SLAVE9_CHAN_RS:true" "SLAVE9_CLOCK_DOMAIN_CROSSING:false" "SLAVE9_DATA_WIDTH:64" "SLAVE9_DWC_DATA_FIFO_DEPTH:16" "SLAVE9_END_ADDR:0x4fffffff" "SLAVE9_END_ADDR_UPPER:0x0" "SLAVE9_READ_INTERLEAVE:false" "SLAVE9_START_ADDR:0x48000000" "SLAVE9_START_ADDR_UPPER:0x0" "SLAVE9_TYPE:0" "SLV_AXI4PRT_ADDRDEPTH:8" "SLV_AXI4PRT_DATADEPTH:9" "USER_WIDTH:1"} +sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_INITIATOR} + # updating the FIC3_INITATOR to add in a new ABP slave slot delete_component -component_name {FIC_3_0x4000_0xxx} @@ -26,9 +35,11 @@ generate_component -component_name {FIC_3_PERIPHERALS} -recursive 0 sd_update_instance -sd_name {MPFS_ICICLE_KIT_BASE_DESIGN} -instance_name {FIC_3_PERIPHERALS_1} build_design_hierarchy -create_hdl_core -file "${project_dir}/hdl/AXI4_STREAM_DATA_GENERATOR.v" -module {AXI4_STREAM_DATA_GENERATOR} -library {work} -package {} +# creating a HDL core from the data generator +build_design_hierarchy +create_hdl_core -file "${project_dir}/hdl/AXI4_STREAM_DATA_GENERATOR.v" -module {AXI4_STREAM_DATA_GENERATOR} -library {work} -package {} -# adding the signal to the BIF +# creating the APB BIF on the data generator hdl_core_add_bif -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_definition {APB:AMBA:AMBA2:slave} -bif_name {APB_TARGET} -signal_map {} hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PADDR} -core_signal_name {PADDR} hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PENABLE} -core_signal_name {PENABLE} @@ -40,21 +51,34 @@ hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PSELx} -core_signal_name {PSEL} # instanciate the module in the smart design -sd_instantiate_hdl_core -sd_name {FIC_0_PERIPHERALS} -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -instance_name {} +sd_instantiate_hdl_core -sd_name {FIC_0_PERIPHERALS} -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -instance_name {} + +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TDATA} -pin_slices {"[63:32]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TDATA} -pin_slices {"[31:0]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TKEEP} -pin_slices {"[7:4]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TKEEP} -pin_slices {"[3:0]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TSTRB} -pin_slices {"[7:4]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TSTRB} -pin_slices {"[3:0]"} + +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TKEEP[7:4]} -value {GND} +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TSTRB[7:4]} -value {VCC} +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TDATA[63:32]} -value {GND} -# connecting the module to the dma controller +# connecting the data generator to the DMA CONTROLLER sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TID" "AXI4_STREAM_DATA_GENERATOR_0:TID"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TKEEP" "AXI4_STREAM_DATA_GENERATOR_0:TKEEP"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TLAST" "AXI4_STREAM_DATA_GENERATOR_0:TLAST"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TVALID" "AXI4_STREAM_DATA_GENERATOR_0:TVALID"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TSTRB" "AXI4_STREAM_DATA_GENERATOR_0:TSTRB"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TDATA" "AXI4_STREAM_DATA_GENERATOR_0:TDATA"} + sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TREADY" "AXI4_STREAM_DATA_GENERATOR_0:TREADY"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TDEST" "AXI4_STREAM_DATA_GENERATOR_0:TDEST"} -# connecting ACLK and reset -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:CLOCK" "AXI4_STREAM_DATA_GENERATOR_0:ACLK"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:RESETN" "AXI4_STREAM_DATA_GENERATOR_0:RSTN"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TDATA" "DMA_CONTROLLER:TDATA[31:0]"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TKEEP" "DMA_CONTROLLER:TKEEP[3:0]"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TSTRB" "DMA_CONTROLLER:TSTRB[3:0]"} + +# connecting ACLK and RSTN to the data generator +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ACLK" "AXI4_STREAM_DATA_GENERATOR_0:ACLK"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ARESETN" "AXI4_STREAM_DATA_GENERATOR_0:RSTN"} # connecting the PCLK and PRESET_n and FIC 3 sd_connect_pin_to_port -sd_name {FIC_0_PERIPHERALS} -pin_name {AXI4_STREAM_DATA_GENERATOR_0:APB_TARGET} -port_name {} diff --git a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_BFM.tcl b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_BFM.tcl index 7adb827..cfee7b7 100644 --- a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_BFM.tcl +++ b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_BFM.tcl @@ -4,13 +4,27 @@ import_files \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v} \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v} \ -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_FSM.v} \ - -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v} \ - -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_DFF.v} + -hdl_source {./script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v} # updating the dma controller to include the axi stream inputs -configure_core -component_name {DMA_CONTROLLER} -params {"AXI4_STREAM_IF:true" "AXI_DMA_DWIDTH:32" "DSCRPTR_0_INT_ASSOC:0" "DSCRPTR_0_PRI_LVL:0" "DSCRPTR_10_INT_ASSOC:0" "DSCRPTR_10_PRI_LVL:0" "DSCRPTR_11_INT_ASSOC:0" "DSCRPTR_11_PRI_LVL:0" "DSCRPTR_12_INT_ASSOC:0" "DSCRPTR_12_PRI_LVL:0" "DSCRPTR_13_INT_ASSOC:0" "DSCRPTR_13_PRI_LVL:0" "DSCRPTR_14_INT_ASSOC:0" "DSCRPTR_14_PRI_LVL:0" "DSCRPTR_15_INT_ASSOC:0" "DSCRPTR_15_PRI_LVL:0" "DSCRPTR_16_INT_ASSOC:0" "DSCRPTR_16_PRI_LVL:0" "DSCRPTR_17_INT_ASSOC:0" "DSCRPTR_17_PRI_LVL:0" "DSCRPTR_18_INT_ASSOC:0" "DSCRPTR_18_PRI_LVL:0" "DSCRPTR_19_INT_ASSOC:0" "DSCRPTR_19_PRI_LVL:0" "DSCRPTR_1_INT_ASSOC:0" "DSCRPTR_1_PRI_LVL:0" "DSCRPTR_20_INT_ASSOC:0" "DSCRPTR_20_PRI_LVL:0" "DSCRPTR_21_INT_ASSOC:0" "DSCRPTR_21_PRI_LVL:0" "DSCRPTR_22_INT_ASSOC:0" "DSCRPTR_22_PRI_LVL:0" "DSCRPTR_23_INT_ASSOC:0" "DSCRPTR_23_PRI_LVL:0" "DSCRPTR_24_INT_ASSOC:0" "DSCRPTR_24_PRI_LVL:0" "DSCRPTR_25_INT_ASSOC:0" "DSCRPTR_25_PRI_LVL:0" "DSCRPTR_26_INT_ASSOC:0" "DSCRPTR_26_PRI_LVL:0" "DSCRPTR_27_INT_ASSOC:0" "DSCRPTR_27_PRI_LVL:0" "DSCRPTR_28_INT_ASSOC:0" "DSCRPTR_28_PRI_LVL:0" "DSCRPTR_29_INT_ASSOC:0" "DSCRPTR_29_PRI_LVL:0" "DSCRPTR_2_INT_ASSOC:0" "DSCRPTR_2_PRI_LVL:0" "DSCRPTR_30_INT_ASSOC:0" "DSCRPTR_30_PRI_LVL:0" "DSCRPTR_31_INT_ASSOC:0" "DSCRPTR_31_PRI_LVL:0" "DSCRPTR_3_INT_ASSOC:0" "DSCRPTR_3_PRI_LVL:0" "DSCRPTR_4_INT_ASSOC:0" "DSCRPTR_4_PRI_LVL:0" "DSCRPTR_5_INT_ASSOC:0" "DSCRPTR_5_PRI_LVL:0" "DSCRPTR_6_INT_ASSOC:0" "DSCRPTR_6_PRI_LVL:0" "DSCRPTR_7_INT_ASSOC:0" "DSCRPTR_7_PRI_LVL:0" "DSCRPTR_8_INT_ASSOC:0" "DSCRPTR_8_PRI_LVL:0" "DSCRPTR_9_INT_ASSOC:0" "DSCRPTR_9_PRI_LVL:0" "ID_WIDTH:8" "INT_0_QUEUE_DEPTH:1" "INT_1_QUEUE_DEPTH:1" "INT_2_QUEUE_DEPTH:1" "INT_3_QUEUE_DEPTH:1" "NUM_INT_BDS:4" "NUM_OF_INTS:1" "NUM_PRI_LVLS:1" "PRI_0_NUM_OF_BEATS:256" "PRI_1_NUM_OF_BEATS:128" "PRI_2_NUM_OF_BEATS:64" "PRI_3_NUM_OF_BEATS:32" "PRI_4_NUM_OF_BEATS:16" "PRI_5_NUM_OF_BEATS:8" "PRI_6_NUM_OF_BEATS:4" "PRI_7_NUM_OF_BEATS:1"} + + +open_smartdesign -sd_name {FIC_0_PERIPHERALS} +sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_CONTROLLER} +update_component_version -component_name {DMA_CONTROLLER} -new_version {2.1.102} -download_core + +puts " =============updated DMA controller to latest version" + +configure_core -component_name {DMA_CONTROLLER} -params {"AXI4_STREAM_IF:true" "AXI_DMA_DWIDTH:64" "DSCRPTR_0_INT_ASSOC:0" "DSCRPTR_0_PRI_LVL:0" "DSCRPTR_10_INT_ASSOC:0" "DSCRPTR_10_PRI_LVL:0" "DSCRPTR_11_INT_ASSOC:0" "DSCRPTR_11_PRI_LVL:0" "DSCRPTR_12_INT_ASSOC:0" "DSCRPTR_12_PRI_LVL:0" "DSCRPTR_13_INT_ASSOC:0" "DSCRPTR_13_PRI_LVL:0" "DSCRPTR_14_INT_ASSOC:0" "DSCRPTR_14_PRI_LVL:0" "DSCRPTR_15_INT_ASSOC:0" "DSCRPTR_15_PRI_LVL:0" "DSCRPTR_16_INT_ASSOC:0" "DSCRPTR_16_PRI_LVL:0" "DSCRPTR_17_INT_ASSOC:0" "DSCRPTR_17_PRI_LVL:0" "DSCRPTR_18_INT_ASSOC:0" "DSCRPTR_18_PRI_LVL:0" "DSCRPTR_19_INT_ASSOC:0" "DSCRPTR_19_PRI_LVL:0" "DSCRPTR_1_INT_ASSOC:0" "DSCRPTR_1_PRI_LVL:0" "DSCRPTR_20_INT_ASSOC:0" "DSCRPTR_20_PRI_LVL:0" "DSCRPTR_21_INT_ASSOC:0" "DSCRPTR_21_PRI_LVL:0" "DSCRPTR_22_INT_ASSOC:0" "DSCRPTR_22_PRI_LVL:0" "DSCRPTR_23_INT_ASSOC:0" "DSCRPTR_23_PRI_LVL:0" "DSCRPTR_24_INT_ASSOC:0" "DSCRPTR_24_PRI_LVL:0" "DSCRPTR_25_INT_ASSOC:0" "DSCRPTR_25_PRI_LVL:0" "DSCRPTR_26_INT_ASSOC:0" "DSCRPTR_26_PRI_LVL:0" "DSCRPTR_27_INT_ASSOC:0" "DSCRPTR_27_PRI_LVL:0" "DSCRPTR_28_INT_ASSOC:0" "DSCRPTR_28_PRI_LVL:0" "DSCRPTR_29_INT_ASSOC:0" "DSCRPTR_29_PRI_LVL:0" "DSCRPTR_2_INT_ASSOC:0" "DSCRPTR_2_PRI_LVL:0" "DSCRPTR_30_INT_ASSOC:0" "DSCRPTR_30_PRI_LVL:0" "DSCRPTR_31_INT_ASSOC:0" "DSCRPTR_31_PRI_LVL:0" "DSCRPTR_3_INT_ASSOC:0" "DSCRPTR_3_PRI_LVL:0" "DSCRPTR_4_INT_ASSOC:0" "DSCRPTR_4_PRI_LVL:0" "DSCRPTR_5_INT_ASSOC:0" "DSCRPTR_5_PRI_LVL:0" "DSCRPTR_6_INT_ASSOC:0" "DSCRPTR_6_PRI_LVL:0" "DSCRPTR_7_INT_ASSOC:0" "DSCRPTR_7_PRI_LVL:0" "DSCRPTR_8_INT_ASSOC:0" "DSCRPTR_8_PRI_LVL:0" "DSCRPTR_9_INT_ASSOC:0" "DSCRPTR_9_PRI_LVL:0" "ECC:false" "ID_WIDTH:8" "INT_0_QUEUE_DEPTH:1" "INT_1_QUEUE_DEPTH:1" "INT_2_QUEUE_DEPTH:1" "INT_3_QUEUE_DEPTH:1" "NUM_INT_BDS:4" "NUM_OF_INTS:1" "NUM_PRI_LVLS:1" "PRI_0_NUM_OF_BEATS:256" "PRI_1_NUM_OF_BEATS:128" "PRI_2_NUM_OF_BEATS:64" "PRI_3_NUM_OF_BEATS:32" "PRI_4_NUM_OF_BEATS:16" "PRI_5_NUM_OF_BEATS:8" "PRI_6_NUM_OF_BEATS:4" "PRI_7_NUM_OF_BEATS:1"} sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_CONTROLLER} - + +configure_core -component_name {DMA_INITIATOR} -params {"ADDR_WIDTH:32" "CROSSBAR_MODE:0" "DATA_WIDTH:64" "DWC_ADDR_FIFO_DEPTH_CEILING:64" "ID_WIDTH:8" "MASTER0_CHAN_RS:true" "MASTER0_CLOCK_DOMAIN_CROSSING:false" "MASTER0_DATA_WIDTH:64" "MASTER0_DWC_DATA_FIFO_DEPTH:16" "MASTER0_READ_INTERLEAVE:false" "MASTER0_READ_SLAVE0:true" "MASTER0_READ_SLAVE10:true" "MASTER0_READ_SLAVE11:true" "MASTER0_READ_SLAVE12:true" "MASTER0_READ_SLAVE13:true" "MASTER0_READ_SLAVE14:true" "MASTER0_READ_SLAVE15:true" "MASTER0_READ_SLAVE16:true" "MASTER0_READ_SLAVE17:true" "MASTER0_READ_SLAVE18:true" "MASTER0_READ_SLAVE19:true" "MASTER0_READ_SLAVE1:true" "MASTER0_READ_SLAVE20:true" "MASTER0_READ_SLAVE21:true" "MASTER0_READ_SLAVE22:true" "MASTER0_READ_SLAVE23:true" "MASTER0_READ_SLAVE24:true" "MASTER0_READ_SLAVE25:true" "MASTER0_READ_SLAVE26:true" "MASTER0_READ_SLAVE27:true" "MASTER0_READ_SLAVE28:true" "MASTER0_READ_SLAVE29:true" "MASTER0_READ_SLAVE2:true" "MASTER0_READ_SLAVE30:true" "MASTER0_READ_SLAVE31:true" "MASTER0_READ_SLAVE3:true" "MASTER0_READ_SLAVE4:true" "MASTER0_READ_SLAVE5:true" "MASTER0_READ_SLAVE6:true" "MASTER0_READ_SLAVE7:true" "MASTER0_READ_SLAVE8:true" "MASTER0_READ_SLAVE9:true" "MASTER0_TYPE:0" "MASTER0_WRITE_SLAVE0:true" "MASTER0_WRITE_SLAVE10:true" "MASTER0_WRITE_SLAVE11:true" "MASTER0_WRITE_SLAVE12:true" "MASTER0_WRITE_SLAVE13:true" "MASTER0_WRITE_SLAVE14:true" "MASTER0_WRITE_SLAVE15:true" "MASTER0_WRITE_SLAVE16:true" "MASTER0_WRITE_SLAVE17:true" "MASTER0_WRITE_SLAVE18:true" "MASTER0_WRITE_SLAVE19:true" "MASTER0_WRITE_SLAVE1:true" "MASTER0_WRITE_SLAVE20:true" "MASTER0_WRITE_SLAVE21:true" "MASTER0_WRITE_SLAVE22:true" "MASTER0_WRITE_SLAVE23:true" "MASTER0_WRITE_SLAVE24:true" "MASTER0_WRITE_SLAVE25:true" "MASTER0_WRITE_SLAVE26:true" "MASTER0_WRITE_SLAVE27:true" "MASTER0_WRITE_SLAVE28:true" "MASTER0_WRITE_SLAVE29:true" "MASTER0_WRITE_SLAVE2:true" "MASTER0_WRITE_SLAVE30:true" "MASTER0_WRITE_SLAVE31:true" "MASTER0_WRITE_SLAVE3:true" "MASTER0_WRITE_SLAVE4:true" "MASTER0_WRITE_SLAVE5:true" "MASTER0_WRITE_SLAVE6:true" "MASTER0_WRITE_SLAVE7:true" "MASTER0_WRITE_SLAVE8:true" "MASTER0_WRITE_SLAVE9:true" "MASTER10_CHAN_RS:true" "MASTER10_CLOCK_DOMAIN_CROSSING:false" "MASTER10_DATA_WIDTH:64" "MASTER10_DWC_DATA_FIFO_DEPTH:16" "MASTER10_READ_INTERLEAVE:false" "MASTER10_READ_SLAVE0:true" "MASTER10_READ_SLAVE10:true" "MASTER10_READ_SLAVE11:true" "MASTER10_READ_SLAVE12:true" "MASTER10_READ_SLAVE13:true" "MASTER10_READ_SLAVE14:true" "MASTER10_READ_SLAVE15:true" "MASTER10_READ_SLAVE16:true" "MASTER10_READ_SLAVE17:true" "MASTER10_READ_SLAVE18:true" "MASTER10_READ_SLAVE19:true" "MASTER10_READ_SLAVE1:true" "MASTER10_READ_SLAVE20:true" "MASTER10_READ_SLAVE21:true" "MASTER10_READ_SLAVE22:true" "MASTER10_READ_SLAVE23:true" "MASTER10_READ_SLAVE24:true" "MASTER10_READ_SLAVE25:true" "MASTER10_READ_SLAVE26:true" "MASTER10_READ_SLAVE27:true" "MASTER10_READ_SLAVE28:true" "MASTER10_READ_SLAVE29:true" "MASTER10_READ_SLAVE2:true" "MASTER10_READ_SLAVE30:true" "MASTER10_READ_SLAVE31:true" "MASTER10_READ_SLAVE3:true" "MASTER10_READ_SLAVE4:true" "MASTER10_READ_SLAVE5:true" "MASTER10_READ_SLAVE6:true" "MASTER10_READ_SLAVE7:true" "MASTER10_READ_SLAVE8:true" "MASTER10_READ_SLAVE9:true" "MASTER10_TYPE:0" "MASTER10_WRITE_SLAVE0:true" "MASTER10_WRITE_SLAVE10:true" "MASTER10_WRITE_SLAVE11:true" "MASTER10_WRITE_SLAVE12:true" "MASTER10_WRITE_SLAVE13:true" "MASTER10_WRITE_SLAVE14:true" "MASTER10_WRITE_SLAVE15:true" "MASTER10_WRITE_SLAVE16:true" "MASTER10_WRITE_SLAVE17:true" "MASTER10_WRITE_SLAVE18:true" "MASTER10_WRITE_SLAVE19:true" "MASTER10_WRITE_SLAVE1:true" "MASTER10_WRITE_SLAVE20:true" "MASTER10_WRITE_SLAVE21:true" "MASTER10_WRITE_SLAVE22:true" "MASTER10_WRITE_SLAVE23:true" "MASTER10_WRITE_SLAVE24:true" "MASTER10_WRITE_SLAVE25:true" "MASTER10_WRITE_SLAVE26:true" "MASTER10_WRITE_SLAVE27:true" "MASTER10_WRITE_SLAVE28:true" "MASTER10_WRITE_SLAVE29:true" "MASTER10_WRITE_SLAVE2:true" "MASTER10_WRITE_SLAVE30:true" "MASTER10_WRITE_SLAVE31:true" "MASTER10_WRITE_SLAVE3:true" "MASTER10_WRITE_SLAVE4:true" "MASTER10_WRITE_SLAVE5:true" "MASTER10_WRITE_SLAVE6:true" "MASTER10_WRITE_SLAVE7:true" "MASTER10_WRITE_SLAVE8:true" "MASTER10_WRITE_SLAVE9:true" "MASTER11_CHAN_RS:true" "MASTER11_CLOCK_DOMAIN_CROSSING:false" "MASTER11_DATA_WIDTH:64" "MASTER11_DWC_DATA_FIFO_DEPTH:16" "MASTER11_READ_INTERLEAVE:false" "MASTER11_READ_SLAVE0:true" "MASTER11_READ_SLAVE10:true" "MASTER11_READ_SLAVE11:true" "MASTER11_READ_SLAVE12:true" "MASTER11_READ_SLAVE13:true" "MASTER11_READ_SLAVE14:true" "MASTER11_READ_SLAVE15:true" "MASTER11_READ_SLAVE16:true" "MASTER11_READ_SLAVE17:true" "MASTER11_READ_SLAVE18:true" "MASTER11_READ_SLAVE19:true" "MASTER11_READ_SLAVE1:true" "MASTER11_READ_SLAVE20:true" "MASTER11_READ_SLAVE21:true" "MASTER11_READ_SLAVE22:true" "MASTER11_READ_SLAVE23:true" "MASTER11_READ_SLAVE24:true" "MASTER11_READ_SLAVE25:true" "MASTER11_READ_SLAVE26:true" "MASTER11_READ_SLAVE27:true" "MASTER11_READ_SLAVE28:true" "MASTER11_READ_SLAVE29:true" "MASTER11_READ_SLAVE2:true" "MASTER11_READ_SLAVE30:true" "MASTER11_READ_SLAVE31:true" "MASTER11_READ_SLAVE3:true" "MASTER11_READ_SLAVE4:true" "MASTER11_READ_SLAVE5:true" "MASTER11_READ_SLAVE6:true" "MASTER11_READ_SLAVE7:true" "MASTER11_READ_SLAVE8:true" "MASTER11_READ_SLAVE9:true" "MASTER11_TYPE:0" "MASTER11_WRITE_SLAVE0:true" "MASTER11_WRITE_SLAVE10:true" "MASTER11_WRITE_SLAVE11:true" "MASTER11_WRITE_SLAVE12:true" "MASTER11_WRITE_SLAVE13:true" "MASTER11_WRITE_SLAVE14:true" "MASTER11_WRITE_SLAVE15:true" "MASTER11_WRITE_SLAVE16:true" "MASTER11_WRITE_SLAVE17:true" "MASTER11_WRITE_SLAVE18:true" "MASTER11_WRITE_SLAVE19:true" "MASTER11_WRITE_SLAVE1:true" "MASTER11_WRITE_SLAVE20:true" "MASTER11_WRITE_SLAVE21:true" "MASTER11_WRITE_SLAVE22:true" "MASTER11_WRITE_SLAVE23:true" "MASTER11_WRITE_SLAVE24:true" "MASTER11_WRITE_SLAVE25:true" "MASTER11_WRITE_SLAVE26:true" "MASTER11_WRITE_SLAVE27:true" "MASTER11_WRITE_SLAVE28:true" "MASTER11_WRITE_SLAVE29:true" "MASTER11_WRITE_SLAVE2:true" "MASTER11_WRITE_SLAVE30:true" "MASTER11_WRITE_SLAVE31:true" "MASTER11_WRITE_SLAVE3:true" "MASTER11_WRITE_SLAVE4:true" "MASTER11_WRITE_SLAVE5:true" "MASTER11_WRITE_SLAVE6:true" "MASTER11_WRITE_SLAVE7:true" "MASTER11_WRITE_SLAVE8:true" "MASTER11_WRITE_SLAVE9:true" "MASTER12_CHAN_RS:true" "MASTER12_CLOCK_DOMAIN_CROSSING:false" "MASTER12_DATA_WIDTH:64" "MASTER12_DWC_DATA_FIFO_DEPTH:16" "MASTER12_READ_INTERLEAVE:false" "MASTER12_READ_SLAVE0:true" "MASTER12_READ_SLAVE10:true" "MASTER12_READ_SLAVE11:true" "MASTER12_READ_SLAVE12:true" "MASTER12_READ_SLAVE13:true" "MASTER12_READ_SLAVE14:true" "MASTER12_READ_SLAVE15:true" "MASTER12_READ_SLAVE16:true" "MASTER12_READ_SLAVE17:true" "MASTER12_READ_SLAVE18:true" "MASTER12_READ_SLAVE19:true" "MASTER12_READ_SLAVE1:true" "MASTER12_READ_SLAVE20:true" "MASTER12_READ_SLAVE21:true" "MASTER12_READ_SLAVE22:true" "MASTER12_READ_SLAVE23:true" "MASTER12_READ_SLAVE24:true" "MASTER12_READ_SLAVE25:true" "MASTER12_READ_SLAVE26:true" "MASTER12_READ_SLAVE27:true" "MASTER12_READ_SLAVE28:true" "MASTER12_READ_SLAVE29:true" "MASTER12_READ_SLAVE2:true" "MASTER12_READ_SLAVE30:true" "MASTER12_READ_SLAVE31:true" "MASTER12_READ_SLAVE3:true" "MASTER12_READ_SLAVE4:true" "MASTER12_READ_SLAVE5:true" "MASTER12_READ_SLAVE6:true" "MASTER12_READ_SLAVE7:true" "MASTER12_READ_SLAVE8:true" "MASTER12_READ_SLAVE9:true" "MASTER12_TYPE:0" "MASTER12_WRITE_SLAVE0:true" "MASTER12_WRITE_SLAVE10:true" "MASTER12_WRITE_SLAVE11:true" "MASTER12_WRITE_SLAVE12:true" "MASTER12_WRITE_SLAVE13:true" "MASTER12_WRITE_SLAVE14:true" "MASTER12_WRITE_SLAVE15:true" "MASTER12_WRITE_SLAVE16:true" "MASTER12_WRITE_SLAVE17:true" "MASTER12_WRITE_SLAVE18:true" "MASTER12_WRITE_SLAVE19:true" "MASTER12_WRITE_SLAVE1:true" "MASTER12_WRITE_SLAVE20:true" "MASTER12_WRITE_SLAVE21:true" "MASTER12_WRITE_SLAVE22:true" "MASTER12_WRITE_SLAVE23:true" "MASTER12_WRITE_SLAVE24:true" "MASTER12_WRITE_SLAVE25:true" "MASTER12_WRITE_SLAVE26:true" "MASTER12_WRITE_SLAVE27:true" "MASTER12_WRITE_SLAVE28:true" "MASTER12_WRITE_SLAVE29:true" "MASTER12_WRITE_SLAVE2:true" "MASTER12_WRITE_SLAVE30:true" "MASTER12_WRITE_SLAVE31:true" "MASTER12_WRITE_SLAVE3:true" "MASTER12_WRITE_SLAVE4:true" "MASTER12_WRITE_SLAVE5:true" "MASTER12_WRITE_SLAVE6:true" "MASTER12_WRITE_SLAVE7:true" "MASTER12_WRITE_SLAVE8:true" "MASTER12_WRITE_SLAVE9:true" "MASTER13_CHAN_RS:true" "MASTER13_CLOCK_DOMAIN_CROSSING:false" "MASTER13_DATA_WIDTH:64" "MASTER13_DWC_DATA_FIFO_DEPTH:16" "MASTER13_READ_INTERLEAVE:false" "MASTER13_READ_SLAVE0:true" "MASTER13_READ_SLAVE10:true" "MASTER13_READ_SLAVE11:true" "MASTER13_READ_SLAVE12:true" "MASTER13_READ_SLAVE13:true" "MASTER13_READ_SLAVE14:true" "MASTER13_READ_SLAVE15:true" "MASTER13_READ_SLAVE16:true" "MASTER13_READ_SLAVE17:true" "MASTER13_READ_SLAVE18:true" "MASTER13_READ_SLAVE19:true" "MASTER13_READ_SLAVE1:true" "MASTER13_READ_SLAVE20:true" "MASTER13_READ_SLAVE21:true" "MASTER13_READ_SLAVE22:true" "MASTER13_READ_SLAVE23:true" "MASTER13_READ_SLAVE24:true" "MASTER13_READ_SLAVE25:true" "MASTER13_READ_SLAVE26:true" "MASTER13_READ_SLAVE27:true" "MASTER13_READ_SLAVE28:true" "MASTER13_READ_SLAVE29:true" "MASTER13_READ_SLAVE2:true" "MASTER13_READ_SLAVE30:true" "MASTER13_READ_SLAVE31:true" "MASTER13_READ_SLAVE3:true" "MASTER13_READ_SLAVE4:true" "MASTER13_READ_SLAVE5:true" "MASTER13_READ_SLAVE6:true" "MASTER13_READ_SLAVE7:true" "MASTER13_READ_SLAVE8:true" "MASTER13_READ_SLAVE9:true" "MASTER13_TYPE:0" "MASTER13_WRITE_SLAVE0:true" "MASTER13_WRITE_SLAVE10:true" "MASTER13_WRITE_SLAVE11:true" "MASTER13_WRITE_SLAVE12:true" "MASTER13_WRITE_SLAVE13:true" "MASTER13_WRITE_SLAVE14:true" "MASTER13_WRITE_SLAVE15:true" "MASTER13_WRITE_SLAVE16:true" "MASTER13_WRITE_SLAVE17:true" "MASTER13_WRITE_SLAVE18:true" "MASTER13_WRITE_SLAVE19:true" "MASTER13_WRITE_SLAVE1:true" "MASTER13_WRITE_SLAVE20:true" "MASTER13_WRITE_SLAVE21:true" "MASTER13_WRITE_SLAVE22:true" "MASTER13_WRITE_SLAVE23:true" "MASTER13_WRITE_SLAVE24:true" "MASTER13_WRITE_SLAVE25:true" "MASTER13_WRITE_SLAVE26:true" "MASTER13_WRITE_SLAVE27:true" "MASTER13_WRITE_SLAVE28:true" "MASTER13_WRITE_SLAVE29:true" "MASTER13_WRITE_SLAVE2:true" "MASTER13_WRITE_SLAVE30:true" "MASTER13_WRITE_SLAVE31:true" "MASTER13_WRITE_SLAVE3:true" "MASTER13_WRITE_SLAVE4:true" "MASTER13_WRITE_SLAVE5:true" "MASTER13_WRITE_SLAVE6:true" "MASTER13_WRITE_SLAVE7:true" "MASTER13_WRITE_SLAVE8:true" "MASTER13_WRITE_SLAVE9:true" "MASTER14_CHAN_RS:true" "MASTER14_CLOCK_DOMAIN_CROSSING:false" "MASTER14_DATA_WIDTH:64" "MASTER14_DWC_DATA_FIFO_DEPTH:16" "MASTER14_READ_INTERLEAVE:false" "MASTER14_READ_SLAVE0:true" "MASTER14_READ_SLAVE10:true" "MASTER14_READ_SLAVE11:true" "MASTER14_READ_SLAVE12:true" "MASTER14_READ_SLAVE13:true" "MASTER14_READ_SLAVE14:true" "MASTER14_READ_SLAVE15:true" "MASTER14_READ_SLAVE16:true" "MASTER14_READ_SLAVE17:true" "MASTER14_READ_SLAVE18:true" "MASTER14_READ_SLAVE19:true" "MASTER14_READ_SLAVE1:true" "MASTER14_READ_SLAVE20:true" "MASTER14_READ_SLAVE21:true" "MASTER14_READ_SLAVE22:true" "MASTER14_READ_SLAVE23:true" "MASTER14_READ_SLAVE24:true" "MASTER14_READ_SLAVE25:true" "MASTER14_READ_SLAVE26:true" "MASTER14_READ_SLAVE27:true" "MASTER14_READ_SLAVE28:true" "MASTER14_READ_SLAVE29:true" "MASTER14_READ_SLAVE2:true" "MASTER14_READ_SLAVE30:true" "MASTER14_READ_SLAVE31:true" "MASTER14_READ_SLAVE3:true" "MASTER14_READ_SLAVE4:true" "MASTER14_READ_SLAVE5:true" "MASTER14_READ_SLAVE6:true" "MASTER14_READ_SLAVE7:true" "MASTER14_READ_SLAVE8:true" "MASTER14_READ_SLAVE9:true" "MASTER14_TYPE:0" "MASTER14_WRITE_SLAVE0:true" "MASTER14_WRITE_SLAVE10:true" "MASTER14_WRITE_SLAVE11:true" "MASTER14_WRITE_SLAVE12:true" "MASTER14_WRITE_SLAVE13:true" "MASTER14_WRITE_SLAVE14:true" "MASTER14_WRITE_SLAVE15:true" "MASTER14_WRITE_SLAVE16:true" "MASTER14_WRITE_SLAVE17:true" "MASTER14_WRITE_SLAVE18:true" "MASTER14_WRITE_SLAVE19:true" "MASTER14_WRITE_SLAVE1:true" "MASTER14_WRITE_SLAVE20:true" "MASTER14_WRITE_SLAVE21:true" "MASTER14_WRITE_SLAVE22:true" "MASTER14_WRITE_SLAVE23:true" "MASTER14_WRITE_SLAVE24:true" "MASTER14_WRITE_SLAVE25:true" "MASTER14_WRITE_SLAVE26:true" "MASTER14_WRITE_SLAVE27:true" "MASTER14_WRITE_SLAVE28:true" "MASTER14_WRITE_SLAVE29:true" "MASTER14_WRITE_SLAVE2:true" "MASTER14_WRITE_SLAVE30:true" "MASTER14_WRITE_SLAVE31:true" "MASTER14_WRITE_SLAVE3:true" "MASTER14_WRITE_SLAVE4:true" "MASTER14_WRITE_SLAVE5:true" "MASTER14_WRITE_SLAVE6:true" "MASTER14_WRITE_SLAVE7:true" "MASTER14_WRITE_SLAVE8:true" "MASTER14_WRITE_SLAVE9:true" "MASTER15_CHAN_RS:true" "MASTER15_CLOCK_DOMAIN_CROSSING:false" "MASTER15_DATA_WIDTH:64" "MASTER15_DWC_DATA_FIFO_DEPTH:16" "MASTER15_READ_INTERLEAVE:false" "MASTER15_READ_SLAVE0:true" "MASTER15_READ_SLAVE10:true" "MASTER15_READ_SLAVE11:true" "MASTER15_READ_SLAVE12:true" "MASTER15_READ_SLAVE13:true" "MASTER15_READ_SLAVE14:true" "MASTER15_READ_SLAVE15:true" "MASTER15_READ_SLAVE16:true" "MASTER15_READ_SLAVE17:true" "MASTER15_READ_SLAVE18:true" "MASTER15_READ_SLAVE19:true" "MASTER15_READ_SLAVE1:true" "MASTER15_READ_SLAVE20:true" "MASTER15_READ_SLAVE21:true" "MASTER15_READ_SLAVE22:true" "MASTER15_READ_SLAVE23:true" "MASTER15_READ_SLAVE24:true" "MASTER15_READ_SLAVE25:true" "MASTER15_READ_SLAVE26:true" "MASTER15_READ_SLAVE27:true" "MASTER15_READ_SLAVE28:true" "MASTER15_READ_SLAVE29:true" "MASTER15_READ_SLAVE2:true" "MASTER15_READ_SLAVE30:true" "MASTER15_READ_SLAVE31:true" "MASTER15_READ_SLAVE3:true" "MASTER15_READ_SLAVE4:true" "MASTER15_READ_SLAVE5:true" "MASTER15_READ_SLAVE6:true" "MASTER15_READ_SLAVE7:true" "MASTER15_READ_SLAVE8:true" "MASTER15_READ_SLAVE9:true" "MASTER15_TYPE:0" "MASTER15_WRITE_SLAVE0:true" "MASTER15_WRITE_SLAVE10:true" "MASTER15_WRITE_SLAVE11:true" "MASTER15_WRITE_SLAVE12:true" "MASTER15_WRITE_SLAVE13:true" "MASTER15_WRITE_SLAVE14:true" "MASTER15_WRITE_SLAVE15:true" "MASTER15_WRITE_SLAVE16:true" "MASTER15_WRITE_SLAVE17:true" "MASTER15_WRITE_SLAVE18:true" "MASTER15_WRITE_SLAVE19:true" "MASTER15_WRITE_SLAVE1:true" "MASTER15_WRITE_SLAVE20:true" "MASTER15_WRITE_SLAVE21:true" "MASTER15_WRITE_SLAVE22:true" "MASTER15_WRITE_SLAVE23:true" "MASTER15_WRITE_SLAVE24:true" "MASTER15_WRITE_SLAVE25:true" "MASTER15_WRITE_SLAVE26:true" "MASTER15_WRITE_SLAVE27:true" "MASTER15_WRITE_SLAVE28:true" "MASTER15_WRITE_SLAVE29:true" "MASTER15_WRITE_SLAVE2:true" "MASTER15_WRITE_SLAVE30:true" "MASTER15_WRITE_SLAVE31:true" "MASTER15_WRITE_SLAVE3:true" "MASTER15_WRITE_SLAVE4:true" "MASTER15_WRITE_SLAVE5:true" "MASTER15_WRITE_SLAVE6:true" "MASTER15_WRITE_SLAVE7:true" "MASTER15_WRITE_SLAVE8:true" "MASTER15_WRITE_SLAVE9:true" "MASTER1_CHAN_RS:true" "MASTER1_CLOCK_DOMAIN_CROSSING:false" "MASTER1_DATA_WIDTH:64" "MASTER1_DWC_DATA_FIFO_DEPTH:16" "MASTER1_READ_INTERLEAVE:false" "MASTER1_READ_SLAVE0:true" "MASTER1_READ_SLAVE10:true" "MASTER1_READ_SLAVE11:true" "MASTER1_READ_SLAVE12:true" "MASTER1_READ_SLAVE13:true" "MASTER1_READ_SLAVE14:true" "MASTER1_READ_SLAVE15:true" "MASTER1_READ_SLAVE16:true" "MASTER1_READ_SLAVE17:true" "MASTER1_READ_SLAVE18:true" "MASTER1_READ_SLAVE19:true" "MASTER1_READ_SLAVE1:true" "MASTER1_READ_SLAVE20:true" "MASTER1_READ_SLAVE21:true" "MASTER1_READ_SLAVE22:true" "MASTER1_READ_SLAVE23:true" "MASTER1_READ_SLAVE24:true" "MASTER1_READ_SLAVE25:true" "MASTER1_READ_SLAVE26:true" "MASTER1_READ_SLAVE27:true" "MASTER1_READ_SLAVE28:true" "MASTER1_READ_SLAVE29:true" "MASTER1_READ_SLAVE2:true" "MASTER1_READ_SLAVE30:true" "MASTER1_READ_SLAVE31:true" "MASTER1_READ_SLAVE3:true" "MASTER1_READ_SLAVE4:true" "MASTER1_READ_SLAVE5:true" "MASTER1_READ_SLAVE6:true" "MASTER1_READ_SLAVE7:true" "MASTER1_READ_SLAVE8:true" "MASTER1_READ_SLAVE9:true" "MASTER1_TYPE:0" "MASTER1_WRITE_SLAVE0:true" "MASTER1_WRITE_SLAVE10:true" "MASTER1_WRITE_SLAVE11:true" "MASTER1_WRITE_SLAVE12:true" "MASTER1_WRITE_SLAVE13:true" "MASTER1_WRITE_SLAVE14:true" "MASTER1_WRITE_SLAVE15:true" "MASTER1_WRITE_SLAVE16:true" "MASTER1_WRITE_SLAVE17:true" "MASTER1_WRITE_SLAVE18:true" "MASTER1_WRITE_SLAVE19:true" "MASTER1_WRITE_SLAVE1:true" "MASTER1_WRITE_SLAVE20:true" "MASTER1_WRITE_SLAVE21:true" "MASTER1_WRITE_SLAVE22:true" "MASTER1_WRITE_SLAVE23:true" "MASTER1_WRITE_SLAVE24:true" "MASTER1_WRITE_SLAVE25:true" "MASTER1_WRITE_SLAVE26:true" "MASTER1_WRITE_SLAVE27:true" "MASTER1_WRITE_SLAVE28:true" "MASTER1_WRITE_SLAVE29:true" "MASTER1_WRITE_SLAVE2:true" "MASTER1_WRITE_SLAVE30:true" "MASTER1_WRITE_SLAVE31:true" "MASTER1_WRITE_SLAVE3:true" "MASTER1_WRITE_SLAVE4:true" "MASTER1_WRITE_SLAVE5:true" "MASTER1_WRITE_SLAVE6:true" "MASTER1_WRITE_SLAVE7:true" "MASTER1_WRITE_SLAVE8:true" "MASTER1_WRITE_SLAVE9:true" "MASTER2_CHAN_RS:true" "MASTER2_CLOCK_DOMAIN_CROSSING:false" "MASTER2_DATA_WIDTH:64" "MASTER2_DWC_DATA_FIFO_DEPTH:16" "MASTER2_READ_INTERLEAVE:false" "MASTER2_READ_SLAVE0:true" "MASTER2_READ_SLAVE10:true" "MASTER2_READ_SLAVE11:true" "MASTER2_READ_SLAVE12:true" "MASTER2_READ_SLAVE13:true" "MASTER2_READ_SLAVE14:true" "MASTER2_READ_SLAVE15:true" "MASTER2_READ_SLAVE16:true" "MASTER2_READ_SLAVE17:true" "MASTER2_READ_SLAVE18:true" "MASTER2_READ_SLAVE19:true" "MASTER2_READ_SLAVE1:true" "MASTER2_READ_SLAVE20:true" "MASTER2_READ_SLAVE21:true" "MASTER2_READ_SLAVE22:true" "MASTER2_READ_SLAVE23:true" "MASTER2_READ_SLAVE24:true" "MASTER2_READ_SLAVE25:true" "MASTER2_READ_SLAVE26:true" "MASTER2_READ_SLAVE27:true" "MASTER2_READ_SLAVE28:true" "MASTER2_READ_SLAVE29:true" "MASTER2_READ_SLAVE2:true" "MASTER2_READ_SLAVE30:true" "MASTER2_READ_SLAVE31:true" "MASTER2_READ_SLAVE3:true" "MASTER2_READ_SLAVE4:true" "MASTER2_READ_SLAVE5:true" "MASTER2_READ_SLAVE6:true" "MASTER2_READ_SLAVE7:true" "MASTER2_READ_SLAVE8:true" "MASTER2_READ_SLAVE9:true" "MASTER2_TYPE:0" "MASTER2_WRITE_SLAVE0:true" "MASTER2_WRITE_SLAVE10:true" "MASTER2_WRITE_SLAVE11:true" "MASTER2_WRITE_SLAVE12:true" "MASTER2_WRITE_SLAVE13:true" "MASTER2_WRITE_SLAVE14:true" "MASTER2_WRITE_SLAVE15:true" "MASTER2_WRITE_SLAVE16:true" "MASTER2_WRITE_SLAVE17:true" "MASTER2_WRITE_SLAVE18:true" "MASTER2_WRITE_SLAVE19:true" "MASTER2_WRITE_SLAVE1:true" "MASTER2_WRITE_SLAVE20:true" "MASTER2_WRITE_SLAVE21:true" "MASTER2_WRITE_SLAVE22:true" "MASTER2_WRITE_SLAVE23:true" "MASTER2_WRITE_SLAVE24:true" "MASTER2_WRITE_SLAVE25:true" "MASTER2_WRITE_SLAVE26:true" "MASTER2_WRITE_SLAVE27:true" "MASTER2_WRITE_SLAVE28:true" "MASTER2_WRITE_SLAVE29:true" "MASTER2_WRITE_SLAVE2:true" "MASTER2_WRITE_SLAVE30:true" "MASTER2_WRITE_SLAVE31:true" "MASTER2_WRITE_SLAVE3:true" "MASTER2_WRITE_SLAVE4:true" "MASTER2_WRITE_SLAVE5:true" "MASTER2_WRITE_SLAVE6:true" "MASTER2_WRITE_SLAVE7:true" "MASTER2_WRITE_SLAVE8:true" "MASTER2_WRITE_SLAVE9:true" "MASTER3_CHAN_RS:true" "MASTER3_CLOCK_DOMAIN_CROSSING:false" "MASTER3_DATA_WIDTH:64" "MASTER3_DWC_DATA_FIFO_DEPTH:16" "MASTER3_READ_INTERLEAVE:false" "MASTER3_READ_SLAVE0:true" "MASTER3_READ_SLAVE10:true" "MASTER3_READ_SLAVE11:true" "MASTER3_READ_SLAVE12:true" "MASTER3_READ_SLAVE13:true" "MASTER3_READ_SLAVE14:true" "MASTER3_READ_SLAVE15:true" "MASTER3_READ_SLAVE16:true" "MASTER3_READ_SLAVE17:true" "MASTER3_READ_SLAVE18:true" "MASTER3_READ_SLAVE19:true" "MASTER3_READ_SLAVE1:true" "MASTER3_READ_SLAVE20:true" "MASTER3_READ_SLAVE21:true" "MASTER3_READ_SLAVE22:true" "MASTER3_READ_SLAVE23:true" "MASTER3_READ_SLAVE24:true" "MASTER3_READ_SLAVE25:true" "MASTER3_READ_SLAVE26:true" "MASTER3_READ_SLAVE27:true" "MASTER3_READ_SLAVE28:true" "MASTER3_READ_SLAVE29:true" "MASTER3_READ_SLAVE2:true" "MASTER3_READ_SLAVE30:true" "MASTER3_READ_SLAVE31:true" "MASTER3_READ_SLAVE3:true" "MASTER3_READ_SLAVE4:true" "MASTER3_READ_SLAVE5:true" "MASTER3_READ_SLAVE6:true" "MASTER3_READ_SLAVE7:true" "MASTER3_READ_SLAVE8:true" "MASTER3_READ_SLAVE9:true" "MASTER3_TYPE:0" "MASTER3_WRITE_SLAVE0:true" "MASTER3_WRITE_SLAVE10:true" "MASTER3_WRITE_SLAVE11:true" "MASTER3_WRITE_SLAVE12:true" "MASTER3_WRITE_SLAVE13:true" "MASTER3_WRITE_SLAVE14:true" "MASTER3_WRITE_SLAVE15:true" "MASTER3_WRITE_SLAVE16:true" "MASTER3_WRITE_SLAVE17:true" "MASTER3_WRITE_SLAVE18:true" "MASTER3_WRITE_SLAVE19:true" "MASTER3_WRITE_SLAVE1:true" "MASTER3_WRITE_SLAVE20:true" "MASTER3_WRITE_SLAVE21:true" "MASTER3_WRITE_SLAVE22:true" "MASTER3_WRITE_SLAVE23:true" "MASTER3_WRITE_SLAVE24:true" "MASTER3_WRITE_SLAVE25:true" "MASTER3_WRITE_SLAVE26:true" "MASTER3_WRITE_SLAVE27:true" "MASTER3_WRITE_SLAVE28:true" "MASTER3_WRITE_SLAVE29:true" "MASTER3_WRITE_SLAVE2:true" "MASTER3_WRITE_SLAVE30:true" "MASTER3_WRITE_SLAVE31:true" "MASTER3_WRITE_SLAVE3:true" "MASTER3_WRITE_SLAVE4:true" "MASTER3_WRITE_SLAVE5:true" "MASTER3_WRITE_SLAVE6:true" "MASTER3_WRITE_SLAVE7:true" "MASTER3_WRITE_SLAVE8:true" "MASTER3_WRITE_SLAVE9:true" "MASTER4_CHAN_RS:true" "MASTER4_CLOCK_DOMAIN_CROSSING:false" "MASTER4_DATA_WIDTH:64" "MASTER4_DWC_DATA_FIFO_DEPTH:16" "MASTER4_READ_INTERLEAVE:false" "MASTER4_READ_SLAVE0:true" "MASTER4_READ_SLAVE10:true" "MASTER4_READ_SLAVE11:true" "MASTER4_READ_SLAVE12:true" "MASTER4_READ_SLAVE13:true" "MASTER4_READ_SLAVE14:true" "MASTER4_READ_SLAVE15:true" "MASTER4_READ_SLAVE16:true" "MASTER4_READ_SLAVE17:true" "MASTER4_READ_SLAVE18:true" "MASTER4_READ_SLAVE19:true" "MASTER4_READ_SLAVE1:true" "MASTER4_READ_SLAVE20:true" "MASTER4_READ_SLAVE21:true" "MASTER4_READ_SLAVE22:true" "MASTER4_READ_SLAVE23:true" "MASTER4_READ_SLAVE24:true" "MASTER4_READ_SLAVE25:true" "MASTER4_READ_SLAVE26:true" "MASTER4_READ_SLAVE27:true" "MASTER4_READ_SLAVE28:true" "MASTER4_READ_SLAVE29:true" "MASTER4_READ_SLAVE2:true" "MASTER4_READ_SLAVE30:true" "MASTER4_READ_SLAVE31:true" "MASTER4_READ_SLAVE3:true" "MASTER4_READ_SLAVE4:true" "MASTER4_READ_SLAVE5:true" "MASTER4_READ_SLAVE6:true" "MASTER4_READ_SLAVE7:true" "MASTER4_READ_SLAVE8:true" "MASTER4_READ_SLAVE9:true" "MASTER4_TYPE:0" "MASTER4_WRITE_SLAVE0:true" "MASTER4_WRITE_SLAVE10:true" "MASTER4_WRITE_SLAVE11:true" "MASTER4_WRITE_SLAVE12:true" "MASTER4_WRITE_SLAVE13:true" "MASTER4_WRITE_SLAVE14:true" "MASTER4_WRITE_SLAVE15:true" "MASTER4_WRITE_SLAVE16:true" "MASTER4_WRITE_SLAVE17:true" "MASTER4_WRITE_SLAVE18:true" "MASTER4_WRITE_SLAVE19:true" "MASTER4_WRITE_SLAVE1:true" "MASTER4_WRITE_SLAVE20:true" "MASTER4_WRITE_SLAVE21:true" "MASTER4_WRITE_SLAVE22:true" "MASTER4_WRITE_SLAVE23:true" "MASTER4_WRITE_SLAVE24:true" "MASTER4_WRITE_SLAVE25:true" "MASTER4_WRITE_SLAVE26:true" "MASTER4_WRITE_SLAVE27:true" "MASTER4_WRITE_SLAVE28:true" "MASTER4_WRITE_SLAVE29:true" "MASTER4_WRITE_SLAVE2:true" "MASTER4_WRITE_SLAVE30:true" "MASTER4_WRITE_SLAVE31:true" "MASTER4_WRITE_SLAVE3:true" "MASTER4_WRITE_SLAVE4:true" "MASTER4_WRITE_SLAVE5:true" "MASTER4_WRITE_SLAVE6:true" "MASTER4_WRITE_SLAVE7:true" "MASTER4_WRITE_SLAVE8:true" "MASTER4_WRITE_SLAVE9:true" "MASTER5_CHAN_RS:true" "MASTER5_CLOCK_DOMAIN_CROSSING:false" "MASTER5_DATA_WIDTH:64" "MASTER5_DWC_DATA_FIFO_DEPTH:16" "MASTER5_READ_INTERLEAVE:false" "MASTER5_READ_SLAVE0:true" "MASTER5_READ_SLAVE10:true" "MASTER5_READ_SLAVE11:true" "MASTER5_READ_SLAVE12:true" "MASTER5_READ_SLAVE13:true" "MASTER5_READ_SLAVE14:true" "MASTER5_READ_SLAVE15:true" "MASTER5_READ_SLAVE16:true" "MASTER5_READ_SLAVE17:true" "MASTER5_READ_SLAVE18:true" "MASTER5_READ_SLAVE19:true" "MASTER5_READ_SLAVE1:true" "MASTER5_READ_SLAVE20:true" "MASTER5_READ_SLAVE21:true" "MASTER5_READ_SLAVE22:true" "MASTER5_READ_SLAVE23:true" "MASTER5_READ_SLAVE24:true" "MASTER5_READ_SLAVE25:true" "MASTER5_READ_SLAVE26:true" "MASTER5_READ_SLAVE27:true" "MASTER5_READ_SLAVE28:true" "MASTER5_READ_SLAVE29:true" "MASTER5_READ_SLAVE2:true" "MASTER5_READ_SLAVE30:true" "MASTER5_READ_SLAVE31:true" "MASTER5_READ_SLAVE3:true" "MASTER5_READ_SLAVE4:true" "MASTER5_READ_SLAVE5:true" "MASTER5_READ_SLAVE6:true" "MASTER5_READ_SLAVE7:true" "MASTER5_READ_SLAVE8:true" "MASTER5_READ_SLAVE9:true" "MASTER5_TYPE:0" "MASTER5_WRITE_SLAVE0:true" "MASTER5_WRITE_SLAVE10:true" "MASTER5_WRITE_SLAVE11:true" "MASTER5_WRITE_SLAVE12:true" "MASTER5_WRITE_SLAVE13:true" "MASTER5_WRITE_SLAVE14:true" "MASTER5_WRITE_SLAVE15:true" "MASTER5_WRITE_SLAVE16:true" "MASTER5_WRITE_SLAVE17:true" "MASTER5_WRITE_SLAVE18:true" "MASTER5_WRITE_SLAVE19:true" "MASTER5_WRITE_SLAVE1:true" "MASTER5_WRITE_SLAVE20:true" "MASTER5_WRITE_SLAVE21:true" "MASTER5_WRITE_SLAVE22:true" "MASTER5_WRITE_SLAVE23:true" "MASTER5_WRITE_SLAVE24:true" "MASTER5_WRITE_SLAVE25:true" "MASTER5_WRITE_SLAVE26:true" "MASTER5_WRITE_SLAVE27:true" "MASTER5_WRITE_SLAVE28:true" "MASTER5_WRITE_SLAVE29:true" "MASTER5_WRITE_SLAVE2:true" "MASTER5_WRITE_SLAVE30:true" "MASTER5_WRITE_SLAVE31:true" "MASTER5_WRITE_SLAVE3:true" "MASTER5_WRITE_SLAVE4:true" "MASTER5_WRITE_SLAVE5:true" "MASTER5_WRITE_SLAVE6:true" "MASTER5_WRITE_SLAVE7:true" "MASTER5_WRITE_SLAVE8:true" "MASTER5_WRITE_SLAVE9:true" "MASTER6_CHAN_RS:true" "MASTER6_CLOCK_DOMAIN_CROSSING:false" "MASTER6_DATA_WIDTH:64" "MASTER6_DWC_DATA_FIFO_DEPTH:16" "MASTER6_READ_INTERLEAVE:false" "MASTER6_READ_SLAVE0:true" "MASTER6_READ_SLAVE10:true" "MASTER6_READ_SLAVE11:true" "MASTER6_READ_SLAVE12:true" "MASTER6_READ_SLAVE13:true" "MASTER6_READ_SLAVE14:true" "MASTER6_READ_SLAVE15:true" "MASTER6_READ_SLAVE16:true" "MASTER6_READ_SLAVE17:true" "MASTER6_READ_SLAVE18:true" "MASTER6_READ_SLAVE19:true" "MASTER6_READ_SLAVE1:true" "MASTER6_READ_SLAVE20:true" "MASTER6_READ_SLAVE21:true" "MASTER6_READ_SLAVE22:true" "MASTER6_READ_SLAVE23:true" "MASTER6_READ_SLAVE24:true" "MASTER6_READ_SLAVE25:true" "MASTER6_READ_SLAVE26:true" "MASTER6_READ_SLAVE27:true" "MASTER6_READ_SLAVE28:true" "MASTER6_READ_SLAVE29:true" "MASTER6_READ_SLAVE2:true" "MASTER6_READ_SLAVE30:true" "MASTER6_READ_SLAVE31:true" "MASTER6_READ_SLAVE3:true" "MASTER6_READ_SLAVE4:true" "MASTER6_READ_SLAVE5:true" "MASTER6_READ_SLAVE6:true" "MASTER6_READ_SLAVE7:true" "MASTER6_READ_SLAVE8:true" "MASTER6_READ_SLAVE9:true" "MASTER6_TYPE:0" "MASTER6_WRITE_SLAVE0:true" "MASTER6_WRITE_SLAVE10:true" "MASTER6_WRITE_SLAVE11:true" "MASTER6_WRITE_SLAVE12:true" "MASTER6_WRITE_SLAVE13:true" "MASTER6_WRITE_SLAVE14:true" "MASTER6_WRITE_SLAVE15:true" "MASTER6_WRITE_SLAVE16:true" "MASTER6_WRITE_SLAVE17:true" "MASTER6_WRITE_SLAVE18:true" "MASTER6_WRITE_SLAVE19:true" "MASTER6_WRITE_SLAVE1:true" "MASTER6_WRITE_SLAVE20:true" "MASTER6_WRITE_SLAVE21:true" "MASTER6_WRITE_SLAVE22:true" "MASTER6_WRITE_SLAVE23:true" "MASTER6_WRITE_SLAVE24:true" "MASTER6_WRITE_SLAVE25:true" "MASTER6_WRITE_SLAVE26:true" "MASTER6_WRITE_SLAVE27:true" "MASTER6_WRITE_SLAVE28:true" "MASTER6_WRITE_SLAVE29:true" "MASTER6_WRITE_SLAVE2:true" "MASTER6_WRITE_SLAVE30:true" "MASTER6_WRITE_SLAVE31:true" "MASTER6_WRITE_SLAVE3:true" "MASTER6_WRITE_SLAVE4:true" "MASTER6_WRITE_SLAVE5:true" "MASTER6_WRITE_SLAVE6:true" "MASTER6_WRITE_SLAVE7:true" "MASTER6_WRITE_SLAVE8:true" "MASTER6_WRITE_SLAVE9:true" "MASTER7_CHAN_RS:true" "MASTER7_CLOCK_DOMAIN_CROSSING:false" "MASTER7_DATA_WIDTH:64" "MASTER7_DWC_DATA_FIFO_DEPTH:16" "MASTER7_READ_INTERLEAVE:false" "MASTER7_READ_SLAVE0:true" "MASTER7_READ_SLAVE10:true" "MASTER7_READ_SLAVE11:true" "MASTER7_READ_SLAVE12:true" "MASTER7_READ_SLAVE13:true" "MASTER7_READ_SLAVE14:true" "MASTER7_READ_SLAVE15:true" "MASTER7_READ_SLAVE16:true" "MASTER7_READ_SLAVE17:true" "MASTER7_READ_SLAVE18:true" "MASTER7_READ_SLAVE19:true" "MASTER7_READ_SLAVE1:true" "MASTER7_READ_SLAVE20:true" "MASTER7_READ_SLAVE21:true" "MASTER7_READ_SLAVE22:true" "MASTER7_READ_SLAVE23:true" "MASTER7_READ_SLAVE24:true" "MASTER7_READ_SLAVE25:true" "MASTER7_READ_SLAVE26:true" "MASTER7_READ_SLAVE27:true" "MASTER7_READ_SLAVE28:true" "MASTER7_READ_SLAVE29:true" "MASTER7_READ_SLAVE2:true" "MASTER7_READ_SLAVE30:true" "MASTER7_READ_SLAVE31:true" "MASTER7_READ_SLAVE3:true" "MASTER7_READ_SLAVE4:true" "MASTER7_READ_SLAVE5:true" "MASTER7_READ_SLAVE6:true" "MASTER7_READ_SLAVE7:true" "MASTER7_READ_SLAVE8:true" "MASTER7_READ_SLAVE9:true" "MASTER7_TYPE:0" "MASTER7_WRITE_SLAVE0:true" "MASTER7_WRITE_SLAVE10:true" "MASTER7_WRITE_SLAVE11:true" "MASTER7_WRITE_SLAVE12:true" "MASTER7_WRITE_SLAVE13:true" "MASTER7_WRITE_SLAVE14:true" "MASTER7_WRITE_SLAVE15:true" "MASTER7_WRITE_SLAVE16:true" "MASTER7_WRITE_SLAVE17:true" "MASTER7_WRITE_SLAVE18:true" "MASTER7_WRITE_SLAVE19:true" "MASTER7_WRITE_SLAVE1:true" "MASTER7_WRITE_SLAVE20:true" "MASTER7_WRITE_SLAVE21:true" "MASTER7_WRITE_SLAVE22:true" "MASTER7_WRITE_SLAVE23:true" "MASTER7_WRITE_SLAVE24:true" "MASTER7_WRITE_SLAVE25:true" "MASTER7_WRITE_SLAVE26:true" "MASTER7_WRITE_SLAVE27:true" "MASTER7_WRITE_SLAVE28:true" "MASTER7_WRITE_SLAVE29:true" "MASTER7_WRITE_SLAVE2:true" "MASTER7_WRITE_SLAVE30:true" "MASTER7_WRITE_SLAVE31:true" "MASTER7_WRITE_SLAVE3:true" "MASTER7_WRITE_SLAVE4:true" "MASTER7_WRITE_SLAVE5:true" "MASTER7_WRITE_SLAVE6:true" "MASTER7_WRITE_SLAVE7:true" "MASTER7_WRITE_SLAVE8:true" "MASTER7_WRITE_SLAVE9:true" "MASTER8_CHAN_RS:true" "MASTER8_CLOCK_DOMAIN_CROSSING:false" "MASTER8_DATA_WIDTH:64" "MASTER8_DWC_DATA_FIFO_DEPTH:16" "MASTER8_READ_INTERLEAVE:false" "MASTER8_READ_SLAVE0:true" "MASTER8_READ_SLAVE10:true" "MASTER8_READ_SLAVE11:true" "MASTER8_READ_SLAVE12:true" "MASTER8_READ_SLAVE13:true" "MASTER8_READ_SLAVE14:true" "MASTER8_READ_SLAVE15:true" "MASTER8_READ_SLAVE16:true" "MASTER8_READ_SLAVE17:true" "MASTER8_READ_SLAVE18:true" "MASTER8_READ_SLAVE19:true" "MASTER8_READ_SLAVE1:true" "MASTER8_READ_SLAVE20:true" "MASTER8_READ_SLAVE21:true" "MASTER8_READ_SLAVE22:true" "MASTER8_READ_SLAVE23:true" "MASTER8_READ_SLAVE24:true" "MASTER8_READ_SLAVE25:true" "MASTER8_READ_SLAVE26:true" "MASTER8_READ_SLAVE27:true" "MASTER8_READ_SLAVE28:true" "MASTER8_READ_SLAVE29:true" "MASTER8_READ_SLAVE2:true" "MASTER8_READ_SLAVE30:true" "MASTER8_READ_SLAVE31:true" "MASTER8_READ_SLAVE3:true" "MASTER8_READ_SLAVE4:true" "MASTER8_READ_SLAVE5:true" "MASTER8_READ_SLAVE6:true" "MASTER8_READ_SLAVE7:true" "MASTER8_READ_SLAVE8:true" "MASTER8_READ_SLAVE9:true" "MASTER8_TYPE:0" "MASTER8_WRITE_SLAVE0:true" "MASTER8_WRITE_SLAVE10:true" "MASTER8_WRITE_SLAVE11:true" "MASTER8_WRITE_SLAVE12:true" "MASTER8_WRITE_SLAVE13:true" "MASTER8_WRITE_SLAVE14:true" "MASTER8_WRITE_SLAVE15:true" "MASTER8_WRITE_SLAVE16:true" "MASTER8_WRITE_SLAVE17:true" "MASTER8_WRITE_SLAVE18:true" "MASTER8_WRITE_SLAVE19:true" "MASTER8_WRITE_SLAVE1:true" "MASTER8_WRITE_SLAVE20:true" "MASTER8_WRITE_SLAVE21:true" "MASTER8_WRITE_SLAVE22:true" "MASTER8_WRITE_SLAVE23:true" "MASTER8_WRITE_SLAVE24:true" "MASTER8_WRITE_SLAVE25:true" "MASTER8_WRITE_SLAVE26:true" "MASTER8_WRITE_SLAVE27:true" "MASTER8_WRITE_SLAVE28:true" "MASTER8_WRITE_SLAVE29:true" "MASTER8_WRITE_SLAVE2:true" "MASTER8_WRITE_SLAVE30:true" "MASTER8_WRITE_SLAVE31:true" "MASTER8_WRITE_SLAVE3:true" "MASTER8_WRITE_SLAVE4:true" "MASTER8_WRITE_SLAVE5:true" "MASTER8_WRITE_SLAVE6:true" "MASTER8_WRITE_SLAVE7:true" "MASTER8_WRITE_SLAVE8:true" "MASTER8_WRITE_SLAVE9:true" "MASTER9_CHAN_RS:true" "MASTER9_CLOCK_DOMAIN_CROSSING:false" "MASTER9_DATA_WIDTH:64" "MASTER9_DWC_DATA_FIFO_DEPTH:16" "MASTER9_READ_INTERLEAVE:false" "MASTER9_READ_SLAVE0:true" "MASTER9_READ_SLAVE10:true" "MASTER9_READ_SLAVE11:true" "MASTER9_READ_SLAVE12:true" "MASTER9_READ_SLAVE13:true" "MASTER9_READ_SLAVE14:true" "MASTER9_READ_SLAVE15:true" "MASTER9_READ_SLAVE16:true" "MASTER9_READ_SLAVE17:true" "MASTER9_READ_SLAVE18:true" "MASTER9_READ_SLAVE19:true" "MASTER9_READ_SLAVE1:true" "MASTER9_READ_SLAVE20:true" "MASTER9_READ_SLAVE21:true" "MASTER9_READ_SLAVE22:true" "MASTER9_READ_SLAVE23:true" "MASTER9_READ_SLAVE24:true" "MASTER9_READ_SLAVE25:true" "MASTER9_READ_SLAVE26:true" "MASTER9_READ_SLAVE27:true" "MASTER9_READ_SLAVE28:true" "MASTER9_READ_SLAVE29:true" "MASTER9_READ_SLAVE2:true" "MASTER9_READ_SLAVE30:true" "MASTER9_READ_SLAVE31:true" "MASTER9_READ_SLAVE3:true" "MASTER9_READ_SLAVE4:true" "MASTER9_READ_SLAVE5:true" "MASTER9_READ_SLAVE6:true" "MASTER9_READ_SLAVE7:true" "MASTER9_READ_SLAVE8:true" "MASTER9_READ_SLAVE9:true" "MASTER9_TYPE:0" "MASTER9_WRITE_SLAVE0:true" "MASTER9_WRITE_SLAVE10:true" "MASTER9_WRITE_SLAVE11:true" "MASTER9_WRITE_SLAVE12:true" "MASTER9_WRITE_SLAVE13:true" "MASTER9_WRITE_SLAVE14:true" "MASTER9_WRITE_SLAVE15:true" "MASTER9_WRITE_SLAVE16:true" "MASTER9_WRITE_SLAVE17:true" "MASTER9_WRITE_SLAVE18:true" "MASTER9_WRITE_SLAVE19:true" "MASTER9_WRITE_SLAVE1:true" "MASTER9_WRITE_SLAVE20:true" "MASTER9_WRITE_SLAVE21:true" "MASTER9_WRITE_SLAVE22:true" "MASTER9_WRITE_SLAVE23:true" "MASTER9_WRITE_SLAVE24:true" "MASTER9_WRITE_SLAVE25:true" "MASTER9_WRITE_SLAVE26:true" "MASTER9_WRITE_SLAVE27:true" "MASTER9_WRITE_SLAVE28:true" "MASTER9_WRITE_SLAVE29:true" "MASTER9_WRITE_SLAVE2:true" "MASTER9_WRITE_SLAVE30:true" "MASTER9_WRITE_SLAVE31:true" "MASTER9_WRITE_SLAVE3:true" "MASTER9_WRITE_SLAVE4:true" "MASTER9_WRITE_SLAVE5:true" "MASTER9_WRITE_SLAVE6:true" "MASTER9_WRITE_SLAVE7:true" "MASTER9_WRITE_SLAVE8:true" "MASTER9_WRITE_SLAVE9:true" "NUM_MASTERS:1" "NUM_MASTERS_WIDTH:1" "NUM_SLAVES:1" "NUM_THREADS:1" "OPEN_TRANS_MAX:2" "OPTIMIZATION:3" "RD_ARB_EN:true" "SLAVE0_CHAN_RS:true" "SLAVE0_CLOCK_DOMAIN_CROSSING:false" "SLAVE0_DATA_WIDTH:64" "SLAVE0_DWC_DATA_FIFO_DEPTH:16" "SLAVE0_END_ADDR:0xffffffff" "SLAVE0_END_ADDR_UPPER:0x0" "SLAVE0_READ_INTERLEAVE:false" "SLAVE0_START_ADDR:0x0" "SLAVE0_START_ADDR_UPPER:0x0" "SLAVE0_TYPE:0" "SLAVE10_CHAN_RS:true" "SLAVE10_CLOCK_DOMAIN_CROSSING:false" "SLAVE10_DATA_WIDTH:64" "SLAVE10_DWC_DATA_FIFO_DEPTH:16" "SLAVE10_END_ADDR:0x57ffffff" "SLAVE10_END_ADDR_UPPER:0x0" "SLAVE10_READ_INTERLEAVE:false" "SLAVE10_START_ADDR:0x50000000" "SLAVE10_START_ADDR_UPPER:0x0" "SLAVE10_TYPE:0" "SLAVE11_CHAN_RS:true" "SLAVE11_CLOCK_DOMAIN_CROSSING:false" "SLAVE11_DATA_WIDTH:64" "SLAVE11_DWC_DATA_FIFO_DEPTH:16" "SLAVE11_END_ADDR:0x5fffffff" "SLAVE11_END_ADDR_UPPER:0x0" "SLAVE11_READ_INTERLEAVE:false" "SLAVE11_START_ADDR:0x58000000" "SLAVE11_START_ADDR_UPPER:0x0" "SLAVE11_TYPE:0" "SLAVE12_CHAN_RS:true" "SLAVE12_CLOCK_DOMAIN_CROSSING:false" "SLAVE12_DATA_WIDTH:64" "SLAVE12_DWC_DATA_FIFO_DEPTH:16" "SLAVE12_END_ADDR:0x902fffff" "SLAVE12_END_ADDR_UPPER:0x0" "SLAVE12_READ_INTERLEAVE:false" "SLAVE12_START_ADDR:0x90000000" "SLAVE12_START_ADDR_UPPER:0x0" "SLAVE12_TYPE:0" "SLAVE13_CHAN_RS:true" "SLAVE13_CLOCK_DOMAIN_CROSSING:false" "SLAVE13_DATA_WIDTH:64" "SLAVE13_DWC_DATA_FIFO_DEPTH:16" "SLAVE13_END_ADDR:0x905fffff" "SLAVE13_END_ADDR_UPPER:0x0" "SLAVE13_READ_INTERLEAVE:false" "SLAVE13_START_ADDR:0x90300000" "SLAVE13_START_ADDR_UPPER:0x0" "SLAVE13_TYPE:0" "SLAVE14_CHAN_RS:true" "SLAVE14_CLOCK_DOMAIN_CROSSING:false" "SLAVE14_DATA_WIDTH:64" "SLAVE14_DWC_DATA_FIFO_DEPTH:16" "SLAVE14_END_ADDR:0x908fffff" "SLAVE14_END_ADDR_UPPER:0x0" "SLAVE14_READ_INTERLEAVE:false" "SLAVE14_START_ADDR:0x90600000" "SLAVE14_START_ADDR_UPPER:0x0" "SLAVE14_TYPE:0" "SLAVE15_CHAN_RS:true" "SLAVE15_CLOCK_DOMAIN_CROSSING:false" "SLAVE15_DATA_WIDTH:64" "SLAVE15_DWC_DATA_FIFO_DEPTH:16" "SLAVE15_END_ADDR:0x90bfffff" "SLAVE15_END_ADDR_UPPER:0x0" "SLAVE15_READ_INTERLEAVE:false" "SLAVE15_START_ADDR:0x90900000" "SLAVE15_START_ADDR_UPPER:0x0" "SLAVE15_TYPE:0" "SLAVE16_CHAN_RS:true" "SLAVE16_CLOCK_DOMAIN_CROSSING:false" "SLAVE16_DATA_WIDTH:64" "SLAVE16_DWC_DATA_FIFO_DEPTH:16" "SLAVE16_END_ADDR:0x90efffff" "SLAVE16_END_ADDR_UPPER:0x0" "SLAVE16_READ_INTERLEAVE:false" "SLAVE16_START_ADDR:0x90c00000" "SLAVE16_START_ADDR_UPPER:0x0" "SLAVE16_TYPE:0" "SLAVE17_CHAN_RS:true" "SLAVE17_CLOCK_DOMAIN_CROSSING:false" "SLAVE17_DATA_WIDTH:64" "SLAVE17_DWC_DATA_FIFO_DEPTH:16" "SLAVE17_END_ADDR:0x911fffff" "SLAVE17_END_ADDR_UPPER:0x0" "SLAVE17_READ_INTERLEAVE:false" "SLAVE17_START_ADDR:0x90f00000" "SLAVE17_START_ADDR_UPPER:0x0" "SLAVE17_TYPE:0" "SLAVE18_CHAN_RS:true" "SLAVE18_CLOCK_DOMAIN_CROSSING:false" "SLAVE18_DATA_WIDTH:64" "SLAVE18_DWC_DATA_FIFO_DEPTH:16" "SLAVE18_END_ADDR:0x914fffff" "SLAVE18_END_ADDR_UPPER:0x0" "SLAVE18_READ_INTERLEAVE:false" "SLAVE18_START_ADDR:0x91200000" "SLAVE18_START_ADDR_UPPER:0x0" "SLAVE18_TYPE:0" "SLAVE19_CHAN_RS:true" "SLAVE19_CLOCK_DOMAIN_CROSSING:false" "SLAVE19_DATA_WIDTH:64" "SLAVE19_DWC_DATA_FIFO_DEPTH:16" "SLAVE19_END_ADDR:0x917fffff" "SLAVE19_END_ADDR_UPPER:0x0" "SLAVE19_READ_INTERLEAVE:false" "SLAVE19_START_ADDR:0x91500000" "SLAVE19_START_ADDR_UPPER:0x0" "SLAVE19_TYPE:0" "SLAVE1_CHAN_RS:true" "SLAVE1_CLOCK_DOMAIN_CROSSING:false" "SLAVE1_DATA_WIDTH:64" "SLAVE1_DWC_DATA_FIFO_DEPTH:16" "SLAVE1_END_ADDR:0x6fffffff" "SLAVE1_END_ADDR_UPPER:0x0" "SLAVE1_READ_INTERLEAVE:false" "SLAVE1_START_ADDR:0x61000000" "SLAVE1_START_ADDR_UPPER:0x0" "SLAVE1_TYPE:0" "SLAVE20_CHAN_RS:true" "SLAVE20_CLOCK_DOMAIN_CROSSING:false" "SLAVE20_DATA_WIDTH:64" "SLAVE20_DWC_DATA_FIFO_DEPTH:16" "SLAVE20_END_ADDR:0x91afffff" "SLAVE20_END_ADDR_UPPER:0x0" "SLAVE20_READ_INTERLEAVE:false" "SLAVE20_START_ADDR:0x91800000" "SLAVE20_START_ADDR_UPPER:0x0" "SLAVE20_TYPE:0" "SLAVE21_CHAN_RS:true" "SLAVE21_CLOCK_DOMAIN_CROSSING:false" "SLAVE21_DATA_WIDTH:64" "SLAVE21_DWC_DATA_FIFO_DEPTH:16" "SLAVE21_END_ADDR:0x91dfffff" "SLAVE21_END_ADDR_UPPER:0x0" "SLAVE21_READ_INTERLEAVE:false" "SLAVE21_START_ADDR:0x91b00000" "SLAVE21_START_ADDR_UPPER:0x0" "SLAVE21_TYPE:0" "SLAVE22_CHAN_RS:true" "SLAVE22_CLOCK_DOMAIN_CROSSING:false" "SLAVE22_DATA_WIDTH:64" "SLAVE22_DWC_DATA_FIFO_DEPTH:16" "SLAVE22_END_ADDR:0x920fffff" "SLAVE22_END_ADDR_UPPER:0x0" "SLAVE22_READ_INTERLEAVE:false" "SLAVE22_START_ADDR:0x91e00000" "SLAVE22_START_ADDR_UPPER:0x0" "SLAVE22_TYPE:0" "SLAVE23_CHAN_RS:true" "SLAVE23_CLOCK_DOMAIN_CROSSING:false" "SLAVE23_DATA_WIDTH:64" "SLAVE23_DWC_DATA_FIFO_DEPTH:16" "SLAVE23_END_ADDR:0x923fffff" "SLAVE23_END_ADDR_UPPER:0x0" "SLAVE23_READ_INTERLEAVE:false" "SLAVE23_START_ADDR:0x92100000" "SLAVE23_START_ADDR_UPPER:0x0" "SLAVE23_TYPE:0" "SLAVE24_CHAN_RS:true" "SLAVE24_CLOCK_DOMAIN_CROSSING:false" "SLAVE24_DATA_WIDTH:64" "SLAVE24_DWC_DATA_FIFO_DEPTH:16" "SLAVE24_END_ADDR:0x926fffff" "SLAVE24_END_ADDR_UPPER:0x0" "SLAVE24_READ_INTERLEAVE:false" "SLAVE24_START_ADDR:0x92400000" "SLAVE24_START_ADDR_UPPER:0x0" "SLAVE24_TYPE:0" "SLAVE25_CHAN_RS:true" "SLAVE25_CLOCK_DOMAIN_CROSSING:false" "SLAVE25_DATA_WIDTH:64" "SLAVE25_DWC_DATA_FIFO_DEPTH:16" "SLAVE25_END_ADDR:0x929fffff" "SLAVE25_END_ADDR_UPPER:0x0" "SLAVE25_READ_INTERLEAVE:false" "SLAVE25_START_ADDR:0x92700000" "SLAVE25_START_ADDR_UPPER:0x0" "SLAVE25_TYPE:0" "SLAVE26_CHAN_RS:true" "SLAVE26_CLOCK_DOMAIN_CROSSING:false" "SLAVE26_DATA_WIDTH:64" "SLAVE26_DWC_DATA_FIFO_DEPTH:16" "SLAVE26_END_ADDR:0x92cfffff" "SLAVE26_END_ADDR_UPPER:0x0" "SLAVE26_READ_INTERLEAVE:false" "SLAVE26_START_ADDR:0x92a00000" "SLAVE26_START_ADDR_UPPER:0x0" "SLAVE26_TYPE:0" "SLAVE27_CHAN_RS:true" "SLAVE27_CLOCK_DOMAIN_CROSSING:false" "SLAVE27_DATA_WIDTH:64" "SLAVE27_DWC_DATA_FIFO_DEPTH:16" "SLAVE27_END_ADDR:0x92ffffff" "SLAVE27_END_ADDR_UPPER:0x0" "SLAVE27_READ_INTERLEAVE:false" "SLAVE27_START_ADDR:0x92d00000" "SLAVE27_START_ADDR_UPPER:0x0" "SLAVE27_TYPE:0" "SLAVE28_CHAN_RS:true" "SLAVE28_CLOCK_DOMAIN_CROSSING:false" "SLAVE28_DATA_WIDTH:64" "SLAVE28_DWC_DATA_FIFO_DEPTH:16" "SLAVE28_END_ADDR:0x932fffff" "SLAVE28_END_ADDR_UPPER:0x0" "SLAVE28_READ_INTERLEAVE:false" "SLAVE28_START_ADDR:0x93000000" "SLAVE28_START_ADDR_UPPER:0x0" "SLAVE28_TYPE:0" "SLAVE29_CHAN_RS:true" "SLAVE29_CLOCK_DOMAIN_CROSSING:false" "SLAVE29_DATA_WIDTH:64" "SLAVE29_DWC_DATA_FIFO_DEPTH:16" "SLAVE29_END_ADDR:0x935fffff" "SLAVE29_END_ADDR_UPPER:0x0" "SLAVE29_READ_INTERLEAVE:false" "SLAVE29_START_ADDR:0x93300000" "SLAVE29_START_ADDR_UPPER:0x0" "SLAVE29_TYPE:0" "SLAVE2_CHAN_RS:true" "SLAVE2_CLOCK_DOMAIN_CROSSING:false" "SLAVE2_DATA_WIDTH:32" "SLAVE2_DWC_DATA_FIFO_DEPTH:16" "SLAVE2_END_ADDR:0x6002ffff" "SLAVE2_END_ADDR_UPPER:0x0" "SLAVE2_READ_INTERLEAVE:false" "SLAVE2_START_ADDR:0x60020000" "SLAVE2_START_ADDR_UPPER:0x0" "SLAVE2_TYPE:1" "SLAVE30_CHAN_RS:true" "SLAVE30_CLOCK_DOMAIN_CROSSING:false" "SLAVE30_DATA_WIDTH:64" "SLAVE30_DWC_DATA_FIFO_DEPTH:16" "SLAVE30_END_ADDR:0x938fffff" "SLAVE30_END_ADDR_UPPER:0x0" "SLAVE30_READ_INTERLEAVE:false" "SLAVE30_START_ADDR:0x93600000" "SLAVE30_START_ADDR_UPPER:0x0" "SLAVE30_TYPE:0" "SLAVE31_CHAN_RS:true" "SLAVE31_CLOCK_DOMAIN_CROSSING:false" "SLAVE31_DATA_WIDTH:64" "SLAVE31_DWC_DATA_FIFO_DEPTH:16" "SLAVE31_END_ADDR:0x93bfffff" "SLAVE31_END_ADDR_UPPER:0x0" "SLAVE31_READ_INTERLEAVE:false" "SLAVE31_START_ADDR:0x93900000" "SLAVE31_START_ADDR_UPPER:0x0" "SLAVE31_TYPE:0" "SLAVE3_CHAN_RS:true" "SLAVE3_CLOCK_DOMAIN_CROSSING:false" "SLAVE3_DATA_WIDTH:64" "SLAVE3_DWC_DATA_FIFO_DEPTH:16" "SLAVE3_END_ADDR:0x1fffffff" "SLAVE3_END_ADDR_UPPER:0x0" "SLAVE3_READ_INTERLEAVE:false" "SLAVE3_START_ADDR:0x18000000" "SLAVE3_START_ADDR_UPPER:0x0" "SLAVE3_TYPE:0" "SLAVE4_CHAN_RS:true" "SLAVE4_CLOCK_DOMAIN_CROSSING:false" "SLAVE4_DATA_WIDTH:64" "SLAVE4_DWC_DATA_FIFO_DEPTH:16" "SLAVE4_END_ADDR:0x27ffffff" "SLAVE4_END_ADDR_UPPER:0x0" "SLAVE4_READ_INTERLEAVE:false" "SLAVE4_START_ADDR:0x20000000" "SLAVE4_START_ADDR_UPPER:0x0" "SLAVE4_TYPE:0" "SLAVE5_CHAN_RS:true" "SLAVE5_CLOCK_DOMAIN_CROSSING:false" "SLAVE5_DATA_WIDTH:64" "SLAVE5_DWC_DATA_FIFO_DEPTH:16" "SLAVE5_END_ADDR:0x2fffffff" "SLAVE5_END_ADDR_UPPER:0x0" "SLAVE5_READ_INTERLEAVE:false" "SLAVE5_START_ADDR:0x28000000" "SLAVE5_START_ADDR_UPPER:0x0" "SLAVE5_TYPE:0" "SLAVE6_CHAN_RS:true" "SLAVE6_CLOCK_DOMAIN_CROSSING:false" "SLAVE6_DATA_WIDTH:64" "SLAVE6_DWC_DATA_FIFO_DEPTH:16" "SLAVE6_END_ADDR:0x37ffffff" "SLAVE6_END_ADDR_UPPER:0x0" "SLAVE6_READ_INTERLEAVE:false" "SLAVE6_START_ADDR:0x30000000" "SLAVE6_START_ADDR_UPPER:0x0" "SLAVE6_TYPE:0" "SLAVE7_CHAN_RS:true" "SLAVE7_CLOCK_DOMAIN_CROSSING:false" "SLAVE7_DATA_WIDTH:64" "SLAVE7_DWC_DATA_FIFO_DEPTH:16" "SLAVE7_END_ADDR:0x3fffffff" "SLAVE7_END_ADDR_UPPER:0x0" "SLAVE7_READ_INTERLEAVE:false" "SLAVE7_START_ADDR:0x38000000" "SLAVE7_START_ADDR_UPPER:0x0" "SLAVE7_TYPE:0" "SLAVE8_CHAN_RS:true" "SLAVE8_CLOCK_DOMAIN_CROSSING:false" "SLAVE8_DATA_WIDTH:64" "SLAVE8_DWC_DATA_FIFO_DEPTH:16" "SLAVE8_END_ADDR:0x47ffffff" "SLAVE8_END_ADDR_UPPER:0x0" "SLAVE8_READ_INTERLEAVE:false" "SLAVE8_START_ADDR:0x40000000" "SLAVE8_START_ADDR_UPPER:0x0" "SLAVE8_TYPE:0" "SLAVE9_CHAN_RS:true" "SLAVE9_CLOCK_DOMAIN_CROSSING:false" "SLAVE9_DATA_WIDTH:64" "SLAVE9_DWC_DATA_FIFO_DEPTH:16" "SLAVE9_END_ADDR:0x4fffffff" "SLAVE9_END_ADDR_UPPER:0x0" "SLAVE9_READ_INTERLEAVE:false" "SLAVE9_START_ADDR:0x48000000" "SLAVE9_START_ADDR_UPPER:0x0" "SLAVE9_TYPE:0" "SLV_AXI4PRT_ADDRDEPTH:8" "SLV_AXI4PRT_DATADEPTH:9" "USER_WIDTH:1"} +sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_INITIATOR} + +puts " =============updated DMA controller to latest version - configuring core" + + +puts " =============updating the FIC3_INITATOR to add in a new ABP slave slot" # updating the FIC3_INITATOR to add in a new ABP slave slot delete_component -component_name {FIC_3_0x4000_0xxx} source {./script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/FIC_3_0x4000_0xxx_AXI_STREAM.tcl} @@ -25,25 +39,32 @@ generate_component -component_name {FIC_3_PERIPHERALS} -recursive 0 sd_update_instance -sd_name {MPFS_ICICLE_KIT_BASE_DESIGN} -instance_name {FIC_3_PERIPHERALS_1} build_design_hierarchy +puts " =============updating the DMA INITIATOR to change slave 1 data width to 32" # updating the DMA INITIATOR to change slave 1 data width to 32 delete_component -component_name {DMA_INITIATOR} source {./script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/DMA_INITIATOR_AXI_STREAM_BFM.tcl} +sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_INITIATOR} +configure_core -component_name {DMA_INITIATOR} -params {"ADDR_WIDTH:32" "CROSSBAR_MODE:0" "DATA_WIDTH:64" "DWC_ADDR_FIFO_DEPTH_CEILING:64" "ID_WIDTH:8" "MASTER0_CHAN_RS:true" "MASTER0_CLOCK_DOMAIN_CROSSING:false" "MASTER0_DATA_WIDTH:64" "MASTER0_DWC_DATA_FIFO_DEPTH:16" "MASTER0_READ_INTERLEAVE:false" "MASTER0_READ_SLAVE0:true" "MASTER0_READ_SLAVE10:true" "MASTER0_READ_SLAVE11:true" "MASTER0_READ_SLAVE12:true" "MASTER0_READ_SLAVE13:true" "MASTER0_READ_SLAVE14:true" "MASTER0_READ_SLAVE15:true" "MASTER0_READ_SLAVE16:true" "MASTER0_READ_SLAVE17:true" "MASTER0_READ_SLAVE18:true" "MASTER0_READ_SLAVE19:true" "MASTER0_READ_SLAVE1:true" "MASTER0_READ_SLAVE20:true" "MASTER0_READ_SLAVE21:true" "MASTER0_READ_SLAVE22:true" "MASTER0_READ_SLAVE23:true" "MASTER0_READ_SLAVE24:true" "MASTER0_READ_SLAVE25:true" "MASTER0_READ_SLAVE26:true" "MASTER0_READ_SLAVE27:true" "MASTER0_READ_SLAVE28:true" "MASTER0_READ_SLAVE29:true" "MASTER0_READ_SLAVE2:true" "MASTER0_READ_SLAVE30:true" "MASTER0_READ_SLAVE31:true" "MASTER0_READ_SLAVE3:true" "MASTER0_READ_SLAVE4:true" "MASTER0_READ_SLAVE5:true" "MASTER0_READ_SLAVE6:true" "MASTER0_READ_SLAVE7:true" "MASTER0_READ_SLAVE8:true" "MASTER0_READ_SLAVE9:true" "MASTER0_TYPE:0" "MASTER0_WRITE_SLAVE0:true" "MASTER0_WRITE_SLAVE10:true" "MASTER0_WRITE_SLAVE11:true" "MASTER0_WRITE_SLAVE12:true" "MASTER0_WRITE_SLAVE13:true" "MASTER0_WRITE_SLAVE14:true" "MASTER0_WRITE_SLAVE15:true" "MASTER0_WRITE_SLAVE16:true" "MASTER0_WRITE_SLAVE17:true" "MASTER0_WRITE_SLAVE18:true" "MASTER0_WRITE_SLAVE19:true" "MASTER0_WRITE_SLAVE1:true" "MASTER0_WRITE_SLAVE20:true" "MASTER0_WRITE_SLAVE21:true" "MASTER0_WRITE_SLAVE22:true" "MASTER0_WRITE_SLAVE23:true" "MASTER0_WRITE_SLAVE24:true" "MASTER0_WRITE_SLAVE25:true" "MASTER0_WRITE_SLAVE26:true" "MASTER0_WRITE_SLAVE27:true" "MASTER0_WRITE_SLAVE28:true" "MASTER0_WRITE_SLAVE29:true" "MASTER0_WRITE_SLAVE2:true" "MASTER0_WRITE_SLAVE30:true" "MASTER0_WRITE_SLAVE31:true" "MASTER0_WRITE_SLAVE3:true" "MASTER0_WRITE_SLAVE4:true" "MASTER0_WRITE_SLAVE5:true" "MASTER0_WRITE_SLAVE6:true" "MASTER0_WRITE_SLAVE7:true" "MASTER0_WRITE_SLAVE8:true" "MASTER0_WRITE_SLAVE9:true" "MASTER10_CHAN_RS:true" "MASTER10_CLOCK_DOMAIN_CROSSING:false" "MASTER10_DATA_WIDTH:64" "MASTER10_DWC_DATA_FIFO_DEPTH:16" "MASTER10_READ_INTERLEAVE:false" "MASTER10_READ_SLAVE0:true" "MASTER10_READ_SLAVE10:true" "MASTER10_READ_SLAVE11:true" "MASTER10_READ_SLAVE12:true" "MASTER10_READ_SLAVE13:true" "MASTER10_READ_SLAVE14:true" "MASTER10_READ_SLAVE15:true" "MASTER10_READ_SLAVE16:true" "MASTER10_READ_SLAVE17:true" "MASTER10_READ_SLAVE18:true" "MASTER10_READ_SLAVE19:true" "MASTER10_READ_SLAVE1:true" "MASTER10_READ_SLAVE20:true" "MASTER10_READ_SLAVE21:true" "MASTER10_READ_SLAVE22:true" "MASTER10_READ_SLAVE23:true" "MASTER10_READ_SLAVE24:true" "MASTER10_READ_SLAVE25:true" "MASTER10_READ_SLAVE26:true" "MASTER10_READ_SLAVE27:true" "MASTER10_READ_SLAVE28:true" "MASTER10_READ_SLAVE29:true" "MASTER10_READ_SLAVE2:true" "MASTER10_READ_SLAVE30:true" "MASTER10_READ_SLAVE31:true" "MASTER10_READ_SLAVE3:true" "MASTER10_READ_SLAVE4:true" "MASTER10_READ_SLAVE5:true" "MASTER10_READ_SLAVE6:true" "MASTER10_READ_SLAVE7:true" "MASTER10_READ_SLAVE8:true" "MASTER10_READ_SLAVE9:true" "MASTER10_TYPE:0" "MASTER10_WRITE_SLAVE0:true" "MASTER10_WRITE_SLAVE10:true" "MASTER10_WRITE_SLAVE11:true" "MASTER10_WRITE_SLAVE12:true" "MASTER10_WRITE_SLAVE13:true" "MASTER10_WRITE_SLAVE14:true" "MASTER10_WRITE_SLAVE15:true" "MASTER10_WRITE_SLAVE16:true" "MASTER10_WRITE_SLAVE17:true" "MASTER10_WRITE_SLAVE18:true" "MASTER10_WRITE_SLAVE19:true" "MASTER10_WRITE_SLAVE1:true" "MASTER10_WRITE_SLAVE20:true" "MASTER10_WRITE_SLAVE21:true" "MASTER10_WRITE_SLAVE22:true" "MASTER10_WRITE_SLAVE23:true" "MASTER10_WRITE_SLAVE24:true" "MASTER10_WRITE_SLAVE25:true" "MASTER10_WRITE_SLAVE26:true" "MASTER10_WRITE_SLAVE27:true" "MASTER10_WRITE_SLAVE28:true" "MASTER10_WRITE_SLAVE29:true" "MASTER10_WRITE_SLAVE2:true" "MASTER10_WRITE_SLAVE30:true" "MASTER10_WRITE_SLAVE31:true" "MASTER10_WRITE_SLAVE3:true" "MASTER10_WRITE_SLAVE4:true" "MASTER10_WRITE_SLAVE5:true" "MASTER10_WRITE_SLAVE6:true" "MASTER10_WRITE_SLAVE7:true" "MASTER10_WRITE_SLAVE8:true" "MASTER10_WRITE_SLAVE9:true" "MASTER11_CHAN_RS:true" "MASTER11_CLOCK_DOMAIN_CROSSING:false" "MASTER11_DATA_WIDTH:64" "MASTER11_DWC_DATA_FIFO_DEPTH:16" "MASTER11_READ_INTERLEAVE:false" "MASTER11_READ_SLAVE0:true" "MASTER11_READ_SLAVE10:true" "MASTER11_READ_SLAVE11:true" "MASTER11_READ_SLAVE12:true" "MASTER11_READ_SLAVE13:true" "MASTER11_READ_SLAVE14:true" "MASTER11_READ_SLAVE15:true" "MASTER11_READ_SLAVE16:true" "MASTER11_READ_SLAVE17:true" "MASTER11_READ_SLAVE18:true" "MASTER11_READ_SLAVE19:true" "MASTER11_READ_SLAVE1:true" "MASTER11_READ_SLAVE20:true" "MASTER11_READ_SLAVE21:true" "MASTER11_READ_SLAVE22:true" "MASTER11_READ_SLAVE23:true" "MASTER11_READ_SLAVE24:true" "MASTER11_READ_SLAVE25:true" "MASTER11_READ_SLAVE26:true" "MASTER11_READ_SLAVE27:true" "MASTER11_READ_SLAVE28:true" "MASTER11_READ_SLAVE29:true" "MASTER11_READ_SLAVE2:true" "MASTER11_READ_SLAVE30:true" "MASTER11_READ_SLAVE31:true" "MASTER11_READ_SLAVE3:true" "MASTER11_READ_SLAVE4:true" "MASTER11_READ_SLAVE5:true" "MASTER11_READ_SLAVE6:true" "MASTER11_READ_SLAVE7:true" "MASTER11_READ_SLAVE8:true" "MASTER11_READ_SLAVE9:true" "MASTER11_TYPE:0" "MASTER11_WRITE_SLAVE0:true" "MASTER11_WRITE_SLAVE10:true" "MASTER11_WRITE_SLAVE11:true" "MASTER11_WRITE_SLAVE12:true" "MASTER11_WRITE_SLAVE13:true" "MASTER11_WRITE_SLAVE14:true" "MASTER11_WRITE_SLAVE15:true" "MASTER11_WRITE_SLAVE16:true" "MASTER11_WRITE_SLAVE17:true" "MASTER11_WRITE_SLAVE18:true" "MASTER11_WRITE_SLAVE19:true" "MASTER11_WRITE_SLAVE1:true" "MASTER11_WRITE_SLAVE20:true" "MASTER11_WRITE_SLAVE21:true" "MASTER11_WRITE_SLAVE22:true" "MASTER11_WRITE_SLAVE23:true" "MASTER11_WRITE_SLAVE24:true" "MASTER11_WRITE_SLAVE25:true" "MASTER11_WRITE_SLAVE26:true" "MASTER11_WRITE_SLAVE27:true" "MASTER11_WRITE_SLAVE28:true" "MASTER11_WRITE_SLAVE29:true" "MASTER11_WRITE_SLAVE2:true" "MASTER11_WRITE_SLAVE30:true" "MASTER11_WRITE_SLAVE31:true" "MASTER11_WRITE_SLAVE3:true" "MASTER11_WRITE_SLAVE4:true" "MASTER11_WRITE_SLAVE5:true" "MASTER11_WRITE_SLAVE6:true" "MASTER11_WRITE_SLAVE7:true" "MASTER11_WRITE_SLAVE8:true" "MASTER11_WRITE_SLAVE9:true" "MASTER12_CHAN_RS:true" "MASTER12_CLOCK_DOMAIN_CROSSING:false" "MASTER12_DATA_WIDTH:64" "MASTER12_DWC_DATA_FIFO_DEPTH:16" "MASTER12_READ_INTERLEAVE:false" "MASTER12_READ_SLAVE0:true" "MASTER12_READ_SLAVE10:true" "MASTER12_READ_SLAVE11:true" "MASTER12_READ_SLAVE12:true" "MASTER12_READ_SLAVE13:true" "MASTER12_READ_SLAVE14:true" "MASTER12_READ_SLAVE15:true" "MASTER12_READ_SLAVE16:true" "MASTER12_READ_SLAVE17:true" "MASTER12_READ_SLAVE18:true" "MASTER12_READ_SLAVE19:true" "MASTER12_READ_SLAVE1:true" "MASTER12_READ_SLAVE20:true" "MASTER12_READ_SLAVE21:true" "MASTER12_READ_SLAVE22:true" "MASTER12_READ_SLAVE23:true" "MASTER12_READ_SLAVE24:true" "MASTER12_READ_SLAVE25:true" "MASTER12_READ_SLAVE26:true" "MASTER12_READ_SLAVE27:true" "MASTER12_READ_SLAVE28:true" "MASTER12_READ_SLAVE29:true" "MASTER12_READ_SLAVE2:true" "MASTER12_READ_SLAVE30:true" "MASTER12_READ_SLAVE31:true" "MASTER12_READ_SLAVE3:true" "MASTER12_READ_SLAVE4:true" "MASTER12_READ_SLAVE5:true" "MASTER12_READ_SLAVE6:true" "MASTER12_READ_SLAVE7:true" "MASTER12_READ_SLAVE8:true" "MASTER12_READ_SLAVE9:true" "MASTER12_TYPE:0" "MASTER12_WRITE_SLAVE0:true" "MASTER12_WRITE_SLAVE10:true" "MASTER12_WRITE_SLAVE11:true" "MASTER12_WRITE_SLAVE12:true" "MASTER12_WRITE_SLAVE13:true" "MASTER12_WRITE_SLAVE14:true" "MASTER12_WRITE_SLAVE15:true" "MASTER12_WRITE_SLAVE16:true" "MASTER12_WRITE_SLAVE17:true" "MASTER12_WRITE_SLAVE18:true" "MASTER12_WRITE_SLAVE19:true" "MASTER12_WRITE_SLAVE1:true" "MASTER12_WRITE_SLAVE20:true" "MASTER12_WRITE_SLAVE21:true" "MASTER12_WRITE_SLAVE22:true" "MASTER12_WRITE_SLAVE23:true" "MASTER12_WRITE_SLAVE24:true" "MASTER12_WRITE_SLAVE25:true" "MASTER12_WRITE_SLAVE26:true" "MASTER12_WRITE_SLAVE27:true" "MASTER12_WRITE_SLAVE28:true" "MASTER12_WRITE_SLAVE29:true" "MASTER12_WRITE_SLAVE2:true" "MASTER12_WRITE_SLAVE30:true" "MASTER12_WRITE_SLAVE31:true" "MASTER12_WRITE_SLAVE3:true" "MASTER12_WRITE_SLAVE4:true" "MASTER12_WRITE_SLAVE5:true" "MASTER12_WRITE_SLAVE6:true" "MASTER12_WRITE_SLAVE7:true" "MASTER12_WRITE_SLAVE8:true" "MASTER12_WRITE_SLAVE9:true" "MASTER13_CHAN_RS:true" "MASTER13_CLOCK_DOMAIN_CROSSING:false" "MASTER13_DATA_WIDTH:64" "MASTER13_DWC_DATA_FIFO_DEPTH:16" "MASTER13_READ_INTERLEAVE:false" "MASTER13_READ_SLAVE0:true" "MASTER13_READ_SLAVE10:true" "MASTER13_READ_SLAVE11:true" "MASTER13_READ_SLAVE12:true" "MASTER13_READ_SLAVE13:true" "MASTER13_READ_SLAVE14:true" "MASTER13_READ_SLAVE15:true" "MASTER13_READ_SLAVE16:true" "MASTER13_READ_SLAVE17:true" "MASTER13_READ_SLAVE18:true" "MASTER13_READ_SLAVE19:true" "MASTER13_READ_SLAVE1:true" "MASTER13_READ_SLAVE20:true" "MASTER13_READ_SLAVE21:true" "MASTER13_READ_SLAVE22:true" "MASTER13_READ_SLAVE23:true" "MASTER13_READ_SLAVE24:true" "MASTER13_READ_SLAVE25:true" "MASTER13_READ_SLAVE26:true" "MASTER13_READ_SLAVE27:true" "MASTER13_READ_SLAVE28:true" "MASTER13_READ_SLAVE29:true" "MASTER13_READ_SLAVE2:true" "MASTER13_READ_SLAVE30:true" "MASTER13_READ_SLAVE31:true" "MASTER13_READ_SLAVE3:true" "MASTER13_READ_SLAVE4:true" "MASTER13_READ_SLAVE5:true" "MASTER13_READ_SLAVE6:true" "MASTER13_READ_SLAVE7:true" "MASTER13_READ_SLAVE8:true" "MASTER13_READ_SLAVE9:true" "MASTER13_TYPE:0" "MASTER13_WRITE_SLAVE0:true" "MASTER13_WRITE_SLAVE10:true" "MASTER13_WRITE_SLAVE11:true" "MASTER13_WRITE_SLAVE12:true" "MASTER13_WRITE_SLAVE13:true" "MASTER13_WRITE_SLAVE14:true" "MASTER13_WRITE_SLAVE15:true" "MASTER13_WRITE_SLAVE16:true" "MASTER13_WRITE_SLAVE17:true" "MASTER13_WRITE_SLAVE18:true" "MASTER13_WRITE_SLAVE19:true" "MASTER13_WRITE_SLAVE1:true" "MASTER13_WRITE_SLAVE20:true" "MASTER13_WRITE_SLAVE21:true" "MASTER13_WRITE_SLAVE22:true" "MASTER13_WRITE_SLAVE23:true" "MASTER13_WRITE_SLAVE24:true" "MASTER13_WRITE_SLAVE25:true" "MASTER13_WRITE_SLAVE26:true" "MASTER13_WRITE_SLAVE27:true" "MASTER13_WRITE_SLAVE28:true" "MASTER13_WRITE_SLAVE29:true" "MASTER13_WRITE_SLAVE2:true" "MASTER13_WRITE_SLAVE30:true" "MASTER13_WRITE_SLAVE31:true" "MASTER13_WRITE_SLAVE3:true" "MASTER13_WRITE_SLAVE4:true" "MASTER13_WRITE_SLAVE5:true" "MASTER13_WRITE_SLAVE6:true" "MASTER13_WRITE_SLAVE7:true" "MASTER13_WRITE_SLAVE8:true" "MASTER13_WRITE_SLAVE9:true" "MASTER14_CHAN_RS:true" "MASTER14_CLOCK_DOMAIN_CROSSING:false" "MASTER14_DATA_WIDTH:64" "MASTER14_DWC_DATA_FIFO_DEPTH:16" "MASTER14_READ_INTERLEAVE:false" "MASTER14_READ_SLAVE0:true" "MASTER14_READ_SLAVE10:true" "MASTER14_READ_SLAVE11:true" "MASTER14_READ_SLAVE12:true" "MASTER14_READ_SLAVE13:true" "MASTER14_READ_SLAVE14:true" "MASTER14_READ_SLAVE15:true" "MASTER14_READ_SLAVE16:true" "MASTER14_READ_SLAVE17:true" "MASTER14_READ_SLAVE18:true" "MASTER14_READ_SLAVE19:true" "MASTER14_READ_SLAVE1:true" "MASTER14_READ_SLAVE20:true" "MASTER14_READ_SLAVE21:true" "MASTER14_READ_SLAVE22:true" "MASTER14_READ_SLAVE23:true" "MASTER14_READ_SLAVE24:true" "MASTER14_READ_SLAVE25:true" "MASTER14_READ_SLAVE26:true" "MASTER14_READ_SLAVE27:true" "MASTER14_READ_SLAVE28:true" "MASTER14_READ_SLAVE29:true" "MASTER14_READ_SLAVE2:true" "MASTER14_READ_SLAVE30:true" "MASTER14_READ_SLAVE31:true" "MASTER14_READ_SLAVE3:true" "MASTER14_READ_SLAVE4:true" "MASTER14_READ_SLAVE5:true" "MASTER14_READ_SLAVE6:true" "MASTER14_READ_SLAVE7:true" "MASTER14_READ_SLAVE8:true" "MASTER14_READ_SLAVE9:true" "MASTER14_TYPE:0" "MASTER14_WRITE_SLAVE0:true" "MASTER14_WRITE_SLAVE10:true" "MASTER14_WRITE_SLAVE11:true" "MASTER14_WRITE_SLAVE12:true" "MASTER14_WRITE_SLAVE13:true" "MASTER14_WRITE_SLAVE14:true" "MASTER14_WRITE_SLAVE15:true" "MASTER14_WRITE_SLAVE16:true" "MASTER14_WRITE_SLAVE17:true" "MASTER14_WRITE_SLAVE18:true" "MASTER14_WRITE_SLAVE19:true" "MASTER14_WRITE_SLAVE1:true" "MASTER14_WRITE_SLAVE20:true" "MASTER14_WRITE_SLAVE21:true" "MASTER14_WRITE_SLAVE22:true" "MASTER14_WRITE_SLAVE23:true" "MASTER14_WRITE_SLAVE24:true" "MASTER14_WRITE_SLAVE25:true" "MASTER14_WRITE_SLAVE26:true" "MASTER14_WRITE_SLAVE27:true" "MASTER14_WRITE_SLAVE28:true" "MASTER14_WRITE_SLAVE29:true" "MASTER14_WRITE_SLAVE2:true" "MASTER14_WRITE_SLAVE30:true" "MASTER14_WRITE_SLAVE31:true" "MASTER14_WRITE_SLAVE3:true" "MASTER14_WRITE_SLAVE4:true" "MASTER14_WRITE_SLAVE5:true" "MASTER14_WRITE_SLAVE6:true" "MASTER14_WRITE_SLAVE7:true" "MASTER14_WRITE_SLAVE8:true" "MASTER14_WRITE_SLAVE9:true" "MASTER15_CHAN_RS:true" "MASTER15_CLOCK_DOMAIN_CROSSING:false" "MASTER15_DATA_WIDTH:64" "MASTER15_DWC_DATA_FIFO_DEPTH:16" "MASTER15_READ_INTERLEAVE:false" "MASTER15_READ_SLAVE0:true" "MASTER15_READ_SLAVE10:true" "MASTER15_READ_SLAVE11:true" "MASTER15_READ_SLAVE12:true" "MASTER15_READ_SLAVE13:true" "MASTER15_READ_SLAVE14:true" "MASTER15_READ_SLAVE15:true" "MASTER15_READ_SLAVE16:true" "MASTER15_READ_SLAVE17:true" "MASTER15_READ_SLAVE18:true" "MASTER15_READ_SLAVE19:true" "MASTER15_READ_SLAVE1:true" "MASTER15_READ_SLAVE20:true" "MASTER15_READ_SLAVE21:true" "MASTER15_READ_SLAVE22:true" "MASTER15_READ_SLAVE23:true" "MASTER15_READ_SLAVE24:true" "MASTER15_READ_SLAVE25:true" "MASTER15_READ_SLAVE26:true" "MASTER15_READ_SLAVE27:true" "MASTER15_READ_SLAVE28:true" "MASTER15_READ_SLAVE29:true" "MASTER15_READ_SLAVE2:true" "MASTER15_READ_SLAVE30:true" "MASTER15_READ_SLAVE31:true" "MASTER15_READ_SLAVE3:true" "MASTER15_READ_SLAVE4:true" "MASTER15_READ_SLAVE5:true" "MASTER15_READ_SLAVE6:true" "MASTER15_READ_SLAVE7:true" "MASTER15_READ_SLAVE8:true" "MASTER15_READ_SLAVE9:true" "MASTER15_TYPE:0" "MASTER15_WRITE_SLAVE0:true" "MASTER15_WRITE_SLAVE10:true" "MASTER15_WRITE_SLAVE11:true" "MASTER15_WRITE_SLAVE12:true" "MASTER15_WRITE_SLAVE13:true" "MASTER15_WRITE_SLAVE14:true" "MASTER15_WRITE_SLAVE15:true" "MASTER15_WRITE_SLAVE16:true" "MASTER15_WRITE_SLAVE17:true" "MASTER15_WRITE_SLAVE18:true" "MASTER15_WRITE_SLAVE19:true" "MASTER15_WRITE_SLAVE1:true" "MASTER15_WRITE_SLAVE20:true" "MASTER15_WRITE_SLAVE21:true" "MASTER15_WRITE_SLAVE22:true" "MASTER15_WRITE_SLAVE23:true" "MASTER15_WRITE_SLAVE24:true" "MASTER15_WRITE_SLAVE25:true" "MASTER15_WRITE_SLAVE26:true" "MASTER15_WRITE_SLAVE27:true" "MASTER15_WRITE_SLAVE28:true" "MASTER15_WRITE_SLAVE29:true" "MASTER15_WRITE_SLAVE2:true" "MASTER15_WRITE_SLAVE30:true" "MASTER15_WRITE_SLAVE31:true" "MASTER15_WRITE_SLAVE3:true" "MASTER15_WRITE_SLAVE4:true" "MASTER15_WRITE_SLAVE5:true" "MASTER15_WRITE_SLAVE6:true" "MASTER15_WRITE_SLAVE7:true" "MASTER15_WRITE_SLAVE8:true" "MASTER15_WRITE_SLAVE9:true" "MASTER1_CHAN_RS:true" "MASTER1_CLOCK_DOMAIN_CROSSING:false" "MASTER1_DATA_WIDTH:64" "MASTER1_DWC_DATA_FIFO_DEPTH:16" "MASTER1_READ_INTERLEAVE:false" "MASTER1_READ_SLAVE0:true" "MASTER1_READ_SLAVE10:true" "MASTER1_READ_SLAVE11:true" "MASTER1_READ_SLAVE12:true" "MASTER1_READ_SLAVE13:true" "MASTER1_READ_SLAVE14:true" "MASTER1_READ_SLAVE15:true" "MASTER1_READ_SLAVE16:true" "MASTER1_READ_SLAVE17:true" "MASTER1_READ_SLAVE18:true" "MASTER1_READ_SLAVE19:true" "MASTER1_READ_SLAVE1:true" "MASTER1_READ_SLAVE20:true" "MASTER1_READ_SLAVE21:true" "MASTER1_READ_SLAVE22:true" "MASTER1_READ_SLAVE23:true" "MASTER1_READ_SLAVE24:true" "MASTER1_READ_SLAVE25:true" "MASTER1_READ_SLAVE26:true" "MASTER1_READ_SLAVE27:true" "MASTER1_READ_SLAVE28:true" "MASTER1_READ_SLAVE29:true" "MASTER1_READ_SLAVE2:true" "MASTER1_READ_SLAVE30:true" "MASTER1_READ_SLAVE31:true" "MASTER1_READ_SLAVE3:true" "MASTER1_READ_SLAVE4:true" "MASTER1_READ_SLAVE5:true" "MASTER1_READ_SLAVE6:true" "MASTER1_READ_SLAVE7:true" "MASTER1_READ_SLAVE8:true" "MASTER1_READ_SLAVE9:true" "MASTER1_TYPE:0" "MASTER1_WRITE_SLAVE0:true" "MASTER1_WRITE_SLAVE10:true" "MASTER1_WRITE_SLAVE11:true" "MASTER1_WRITE_SLAVE12:true" "MASTER1_WRITE_SLAVE13:true" "MASTER1_WRITE_SLAVE14:true" "MASTER1_WRITE_SLAVE15:true" "MASTER1_WRITE_SLAVE16:true" "MASTER1_WRITE_SLAVE17:true" "MASTER1_WRITE_SLAVE18:true" "MASTER1_WRITE_SLAVE19:true" "MASTER1_WRITE_SLAVE1:true" "MASTER1_WRITE_SLAVE20:true" "MASTER1_WRITE_SLAVE21:true" "MASTER1_WRITE_SLAVE22:true" "MASTER1_WRITE_SLAVE23:true" "MASTER1_WRITE_SLAVE24:true" "MASTER1_WRITE_SLAVE25:true" "MASTER1_WRITE_SLAVE26:true" "MASTER1_WRITE_SLAVE27:true" "MASTER1_WRITE_SLAVE28:true" "MASTER1_WRITE_SLAVE29:true" "MASTER1_WRITE_SLAVE2:true" "MASTER1_WRITE_SLAVE30:true" "MASTER1_WRITE_SLAVE31:true" "MASTER1_WRITE_SLAVE3:true" "MASTER1_WRITE_SLAVE4:true" "MASTER1_WRITE_SLAVE5:true" "MASTER1_WRITE_SLAVE6:true" "MASTER1_WRITE_SLAVE7:true" "MASTER1_WRITE_SLAVE8:true" "MASTER1_WRITE_SLAVE9:true" "MASTER2_CHAN_RS:true" "MASTER2_CLOCK_DOMAIN_CROSSING:false" "MASTER2_DATA_WIDTH:64" "MASTER2_DWC_DATA_FIFO_DEPTH:16" "MASTER2_READ_INTERLEAVE:false" "MASTER2_READ_SLAVE0:true" "MASTER2_READ_SLAVE10:true" "MASTER2_READ_SLAVE11:true" "MASTER2_READ_SLAVE12:true" "MASTER2_READ_SLAVE13:true" "MASTER2_READ_SLAVE14:true" "MASTER2_READ_SLAVE15:true" "MASTER2_READ_SLAVE16:true" "MASTER2_READ_SLAVE17:true" "MASTER2_READ_SLAVE18:true" "MASTER2_READ_SLAVE19:true" "MASTER2_READ_SLAVE1:true" "MASTER2_READ_SLAVE20:true" "MASTER2_READ_SLAVE21:true" "MASTER2_READ_SLAVE22:true" "MASTER2_READ_SLAVE23:true" "MASTER2_READ_SLAVE24:true" "MASTER2_READ_SLAVE25:true" "MASTER2_READ_SLAVE26:true" "MASTER2_READ_SLAVE27:true" "MASTER2_READ_SLAVE28:true" "MASTER2_READ_SLAVE29:true" "MASTER2_READ_SLAVE2:true" "MASTER2_READ_SLAVE30:true" "MASTER2_READ_SLAVE31:true" "MASTER2_READ_SLAVE3:true" "MASTER2_READ_SLAVE4:true" "MASTER2_READ_SLAVE5:true" "MASTER2_READ_SLAVE6:true" "MASTER2_READ_SLAVE7:true" "MASTER2_READ_SLAVE8:true" "MASTER2_READ_SLAVE9:true" "MASTER2_TYPE:0" "MASTER2_WRITE_SLAVE0:true" "MASTER2_WRITE_SLAVE10:true" "MASTER2_WRITE_SLAVE11:true" "MASTER2_WRITE_SLAVE12:true" "MASTER2_WRITE_SLAVE13:true" "MASTER2_WRITE_SLAVE14:true" "MASTER2_WRITE_SLAVE15:true" "MASTER2_WRITE_SLAVE16:true" "MASTER2_WRITE_SLAVE17:true" "MASTER2_WRITE_SLAVE18:true" "MASTER2_WRITE_SLAVE19:true" "MASTER2_WRITE_SLAVE1:true" "MASTER2_WRITE_SLAVE20:true" "MASTER2_WRITE_SLAVE21:true" "MASTER2_WRITE_SLAVE22:true" "MASTER2_WRITE_SLAVE23:true" "MASTER2_WRITE_SLAVE24:true" "MASTER2_WRITE_SLAVE25:true" "MASTER2_WRITE_SLAVE26:true" "MASTER2_WRITE_SLAVE27:true" "MASTER2_WRITE_SLAVE28:true" "MASTER2_WRITE_SLAVE29:true" "MASTER2_WRITE_SLAVE2:true" "MASTER2_WRITE_SLAVE30:true" "MASTER2_WRITE_SLAVE31:true" "MASTER2_WRITE_SLAVE3:true" "MASTER2_WRITE_SLAVE4:true" "MASTER2_WRITE_SLAVE5:true" "MASTER2_WRITE_SLAVE6:true" "MASTER2_WRITE_SLAVE7:true" "MASTER2_WRITE_SLAVE8:true" "MASTER2_WRITE_SLAVE9:true" "MASTER3_CHAN_RS:true" "MASTER3_CLOCK_DOMAIN_CROSSING:false" "MASTER3_DATA_WIDTH:64" "MASTER3_DWC_DATA_FIFO_DEPTH:16" "MASTER3_READ_INTERLEAVE:false" "MASTER3_READ_SLAVE0:true" "MASTER3_READ_SLAVE10:true" "MASTER3_READ_SLAVE11:true" "MASTER3_READ_SLAVE12:true" "MASTER3_READ_SLAVE13:true" "MASTER3_READ_SLAVE14:true" "MASTER3_READ_SLAVE15:true" "MASTER3_READ_SLAVE16:true" "MASTER3_READ_SLAVE17:true" "MASTER3_READ_SLAVE18:true" "MASTER3_READ_SLAVE19:true" "MASTER3_READ_SLAVE1:true" "MASTER3_READ_SLAVE20:true" "MASTER3_READ_SLAVE21:true" "MASTER3_READ_SLAVE22:true" "MASTER3_READ_SLAVE23:true" "MASTER3_READ_SLAVE24:true" "MASTER3_READ_SLAVE25:true" "MASTER3_READ_SLAVE26:true" "MASTER3_READ_SLAVE27:true" "MASTER3_READ_SLAVE28:true" "MASTER3_READ_SLAVE29:true" "MASTER3_READ_SLAVE2:true" "MASTER3_READ_SLAVE30:true" "MASTER3_READ_SLAVE31:true" "MASTER3_READ_SLAVE3:true" "MASTER3_READ_SLAVE4:true" "MASTER3_READ_SLAVE5:true" "MASTER3_READ_SLAVE6:true" "MASTER3_READ_SLAVE7:true" "MASTER3_READ_SLAVE8:true" "MASTER3_READ_SLAVE9:true" "MASTER3_TYPE:0" "MASTER3_WRITE_SLAVE0:true" "MASTER3_WRITE_SLAVE10:true" "MASTER3_WRITE_SLAVE11:true" "MASTER3_WRITE_SLAVE12:true" "MASTER3_WRITE_SLAVE13:true" "MASTER3_WRITE_SLAVE14:true" "MASTER3_WRITE_SLAVE15:true" "MASTER3_WRITE_SLAVE16:true" "MASTER3_WRITE_SLAVE17:true" "MASTER3_WRITE_SLAVE18:true" "MASTER3_WRITE_SLAVE19:true" "MASTER3_WRITE_SLAVE1:true" "MASTER3_WRITE_SLAVE20:true" "MASTER3_WRITE_SLAVE21:true" "MASTER3_WRITE_SLAVE22:true" "MASTER3_WRITE_SLAVE23:true" "MASTER3_WRITE_SLAVE24:true" "MASTER3_WRITE_SLAVE25:true" "MASTER3_WRITE_SLAVE26:true" "MASTER3_WRITE_SLAVE27:true" "MASTER3_WRITE_SLAVE28:true" "MASTER3_WRITE_SLAVE29:true" "MASTER3_WRITE_SLAVE2:true" "MASTER3_WRITE_SLAVE30:true" "MASTER3_WRITE_SLAVE31:true" "MASTER3_WRITE_SLAVE3:true" "MASTER3_WRITE_SLAVE4:true" "MASTER3_WRITE_SLAVE5:true" "MASTER3_WRITE_SLAVE6:true" "MASTER3_WRITE_SLAVE7:true" "MASTER3_WRITE_SLAVE8:true" "MASTER3_WRITE_SLAVE9:true" "MASTER4_CHAN_RS:true" "MASTER4_CLOCK_DOMAIN_CROSSING:false" "MASTER4_DATA_WIDTH:64" "MASTER4_DWC_DATA_FIFO_DEPTH:16" "MASTER4_READ_INTERLEAVE:false" "MASTER4_READ_SLAVE0:true" "MASTER4_READ_SLAVE10:true" "MASTER4_READ_SLAVE11:true" "MASTER4_READ_SLAVE12:true" "MASTER4_READ_SLAVE13:true" "MASTER4_READ_SLAVE14:true" "MASTER4_READ_SLAVE15:true" "MASTER4_READ_SLAVE16:true" "MASTER4_READ_SLAVE17:true" "MASTER4_READ_SLAVE18:true" "MASTER4_READ_SLAVE19:true" "MASTER4_READ_SLAVE1:true" "MASTER4_READ_SLAVE20:true" "MASTER4_READ_SLAVE21:true" "MASTER4_READ_SLAVE22:true" "MASTER4_READ_SLAVE23:true" "MASTER4_READ_SLAVE24:true" "MASTER4_READ_SLAVE25:true" "MASTER4_READ_SLAVE26:true" "MASTER4_READ_SLAVE27:true" "MASTER4_READ_SLAVE28:true" "MASTER4_READ_SLAVE29:true" "MASTER4_READ_SLAVE2:true" "MASTER4_READ_SLAVE30:true" "MASTER4_READ_SLAVE31:true" "MASTER4_READ_SLAVE3:true" "MASTER4_READ_SLAVE4:true" "MASTER4_READ_SLAVE5:true" "MASTER4_READ_SLAVE6:true" "MASTER4_READ_SLAVE7:true" "MASTER4_READ_SLAVE8:true" "MASTER4_READ_SLAVE9:true" "MASTER4_TYPE:0" "MASTER4_WRITE_SLAVE0:true" "MASTER4_WRITE_SLAVE10:true" "MASTER4_WRITE_SLAVE11:true" "MASTER4_WRITE_SLAVE12:true" "MASTER4_WRITE_SLAVE13:true" "MASTER4_WRITE_SLAVE14:true" "MASTER4_WRITE_SLAVE15:true" "MASTER4_WRITE_SLAVE16:true" "MASTER4_WRITE_SLAVE17:true" "MASTER4_WRITE_SLAVE18:true" "MASTER4_WRITE_SLAVE19:true" "MASTER4_WRITE_SLAVE1:true" "MASTER4_WRITE_SLAVE20:true" "MASTER4_WRITE_SLAVE21:true" "MASTER4_WRITE_SLAVE22:true" "MASTER4_WRITE_SLAVE23:true" "MASTER4_WRITE_SLAVE24:true" "MASTER4_WRITE_SLAVE25:true" "MASTER4_WRITE_SLAVE26:true" "MASTER4_WRITE_SLAVE27:true" "MASTER4_WRITE_SLAVE28:true" "MASTER4_WRITE_SLAVE29:true" "MASTER4_WRITE_SLAVE2:true" "MASTER4_WRITE_SLAVE30:true" "MASTER4_WRITE_SLAVE31:true" "MASTER4_WRITE_SLAVE3:true" "MASTER4_WRITE_SLAVE4:true" "MASTER4_WRITE_SLAVE5:true" "MASTER4_WRITE_SLAVE6:true" "MASTER4_WRITE_SLAVE7:true" "MASTER4_WRITE_SLAVE8:true" "MASTER4_WRITE_SLAVE9:true" "MASTER5_CHAN_RS:true" "MASTER5_CLOCK_DOMAIN_CROSSING:false" "MASTER5_DATA_WIDTH:64" "MASTER5_DWC_DATA_FIFO_DEPTH:16" "MASTER5_READ_INTERLEAVE:false" "MASTER5_READ_SLAVE0:true" "MASTER5_READ_SLAVE10:true" "MASTER5_READ_SLAVE11:true" "MASTER5_READ_SLAVE12:true" "MASTER5_READ_SLAVE13:true" "MASTER5_READ_SLAVE14:true" "MASTER5_READ_SLAVE15:true" "MASTER5_READ_SLAVE16:true" "MASTER5_READ_SLAVE17:true" "MASTER5_READ_SLAVE18:true" "MASTER5_READ_SLAVE19:true" "MASTER5_READ_SLAVE1:true" "MASTER5_READ_SLAVE20:true" "MASTER5_READ_SLAVE21:true" "MASTER5_READ_SLAVE22:true" "MASTER5_READ_SLAVE23:true" "MASTER5_READ_SLAVE24:true" "MASTER5_READ_SLAVE25:true" "MASTER5_READ_SLAVE26:true" "MASTER5_READ_SLAVE27:true" "MASTER5_READ_SLAVE28:true" "MASTER5_READ_SLAVE29:true" "MASTER5_READ_SLAVE2:true" "MASTER5_READ_SLAVE30:true" "MASTER5_READ_SLAVE31:true" "MASTER5_READ_SLAVE3:true" "MASTER5_READ_SLAVE4:true" "MASTER5_READ_SLAVE5:true" "MASTER5_READ_SLAVE6:true" "MASTER5_READ_SLAVE7:true" "MASTER5_READ_SLAVE8:true" "MASTER5_READ_SLAVE9:true" "MASTER5_TYPE:0" "MASTER5_WRITE_SLAVE0:true" "MASTER5_WRITE_SLAVE10:true" "MASTER5_WRITE_SLAVE11:true" "MASTER5_WRITE_SLAVE12:true" "MASTER5_WRITE_SLAVE13:true" "MASTER5_WRITE_SLAVE14:true" "MASTER5_WRITE_SLAVE15:true" "MASTER5_WRITE_SLAVE16:true" "MASTER5_WRITE_SLAVE17:true" "MASTER5_WRITE_SLAVE18:true" "MASTER5_WRITE_SLAVE19:true" "MASTER5_WRITE_SLAVE1:true" "MASTER5_WRITE_SLAVE20:true" "MASTER5_WRITE_SLAVE21:true" "MASTER5_WRITE_SLAVE22:true" "MASTER5_WRITE_SLAVE23:true" "MASTER5_WRITE_SLAVE24:true" "MASTER5_WRITE_SLAVE25:true" "MASTER5_WRITE_SLAVE26:true" "MASTER5_WRITE_SLAVE27:true" "MASTER5_WRITE_SLAVE28:true" "MASTER5_WRITE_SLAVE29:true" "MASTER5_WRITE_SLAVE2:true" "MASTER5_WRITE_SLAVE30:true" "MASTER5_WRITE_SLAVE31:true" "MASTER5_WRITE_SLAVE3:true" "MASTER5_WRITE_SLAVE4:true" "MASTER5_WRITE_SLAVE5:true" "MASTER5_WRITE_SLAVE6:true" "MASTER5_WRITE_SLAVE7:true" "MASTER5_WRITE_SLAVE8:true" "MASTER5_WRITE_SLAVE9:true" "MASTER6_CHAN_RS:true" "MASTER6_CLOCK_DOMAIN_CROSSING:false" "MASTER6_DATA_WIDTH:64" "MASTER6_DWC_DATA_FIFO_DEPTH:16" "MASTER6_READ_INTERLEAVE:false" "MASTER6_READ_SLAVE0:true" "MASTER6_READ_SLAVE10:true" "MASTER6_READ_SLAVE11:true" "MASTER6_READ_SLAVE12:true" "MASTER6_READ_SLAVE13:true" "MASTER6_READ_SLAVE14:true" "MASTER6_READ_SLAVE15:true" "MASTER6_READ_SLAVE16:true" "MASTER6_READ_SLAVE17:true" "MASTER6_READ_SLAVE18:true" "MASTER6_READ_SLAVE19:true" "MASTER6_READ_SLAVE1:true" "MASTER6_READ_SLAVE20:true" "MASTER6_READ_SLAVE21:true" "MASTER6_READ_SLAVE22:true" "MASTER6_READ_SLAVE23:true" "MASTER6_READ_SLAVE24:true" "MASTER6_READ_SLAVE25:true" "MASTER6_READ_SLAVE26:true" "MASTER6_READ_SLAVE27:true" "MASTER6_READ_SLAVE28:true" "MASTER6_READ_SLAVE29:true" "MASTER6_READ_SLAVE2:true" "MASTER6_READ_SLAVE30:true" "MASTER6_READ_SLAVE31:true" "MASTER6_READ_SLAVE3:true" "MASTER6_READ_SLAVE4:true" "MASTER6_READ_SLAVE5:true" "MASTER6_READ_SLAVE6:true" "MASTER6_READ_SLAVE7:true" "MASTER6_READ_SLAVE8:true" "MASTER6_READ_SLAVE9:true" "MASTER6_TYPE:0" "MASTER6_WRITE_SLAVE0:true" "MASTER6_WRITE_SLAVE10:true" "MASTER6_WRITE_SLAVE11:true" "MASTER6_WRITE_SLAVE12:true" "MASTER6_WRITE_SLAVE13:true" "MASTER6_WRITE_SLAVE14:true" "MASTER6_WRITE_SLAVE15:true" "MASTER6_WRITE_SLAVE16:true" "MASTER6_WRITE_SLAVE17:true" "MASTER6_WRITE_SLAVE18:true" "MASTER6_WRITE_SLAVE19:true" "MASTER6_WRITE_SLAVE1:true" "MASTER6_WRITE_SLAVE20:true" "MASTER6_WRITE_SLAVE21:true" "MASTER6_WRITE_SLAVE22:true" "MASTER6_WRITE_SLAVE23:true" "MASTER6_WRITE_SLAVE24:true" "MASTER6_WRITE_SLAVE25:true" "MASTER6_WRITE_SLAVE26:true" "MASTER6_WRITE_SLAVE27:true" "MASTER6_WRITE_SLAVE28:true" "MASTER6_WRITE_SLAVE29:true" "MASTER6_WRITE_SLAVE2:true" "MASTER6_WRITE_SLAVE30:true" "MASTER6_WRITE_SLAVE31:true" "MASTER6_WRITE_SLAVE3:true" "MASTER6_WRITE_SLAVE4:true" "MASTER6_WRITE_SLAVE5:true" "MASTER6_WRITE_SLAVE6:true" "MASTER6_WRITE_SLAVE7:true" "MASTER6_WRITE_SLAVE8:true" "MASTER6_WRITE_SLAVE9:true" "MASTER7_CHAN_RS:true" "MASTER7_CLOCK_DOMAIN_CROSSING:false" "MASTER7_DATA_WIDTH:64" "MASTER7_DWC_DATA_FIFO_DEPTH:16" "MASTER7_READ_INTERLEAVE:false" "MASTER7_READ_SLAVE0:true" "MASTER7_READ_SLAVE10:true" "MASTER7_READ_SLAVE11:true" "MASTER7_READ_SLAVE12:true" "MASTER7_READ_SLAVE13:true" "MASTER7_READ_SLAVE14:true" "MASTER7_READ_SLAVE15:true" "MASTER7_READ_SLAVE16:true" "MASTER7_READ_SLAVE17:true" "MASTER7_READ_SLAVE18:true" "MASTER7_READ_SLAVE19:true" "MASTER7_READ_SLAVE1:true" "MASTER7_READ_SLAVE20:true" "MASTER7_READ_SLAVE21:true" "MASTER7_READ_SLAVE22:true" "MASTER7_READ_SLAVE23:true" "MASTER7_READ_SLAVE24:true" "MASTER7_READ_SLAVE25:true" "MASTER7_READ_SLAVE26:true" "MASTER7_READ_SLAVE27:true" "MASTER7_READ_SLAVE28:true" "MASTER7_READ_SLAVE29:true" "MASTER7_READ_SLAVE2:true" "MASTER7_READ_SLAVE30:true" "MASTER7_READ_SLAVE31:true" "MASTER7_READ_SLAVE3:true" "MASTER7_READ_SLAVE4:true" "MASTER7_READ_SLAVE5:true" "MASTER7_READ_SLAVE6:true" "MASTER7_READ_SLAVE7:true" "MASTER7_READ_SLAVE8:true" "MASTER7_READ_SLAVE9:true" "MASTER7_TYPE:0" "MASTER7_WRITE_SLAVE0:true" "MASTER7_WRITE_SLAVE10:true" "MASTER7_WRITE_SLAVE11:true" "MASTER7_WRITE_SLAVE12:true" "MASTER7_WRITE_SLAVE13:true" "MASTER7_WRITE_SLAVE14:true" "MASTER7_WRITE_SLAVE15:true" "MASTER7_WRITE_SLAVE16:true" "MASTER7_WRITE_SLAVE17:true" "MASTER7_WRITE_SLAVE18:true" "MASTER7_WRITE_SLAVE19:true" "MASTER7_WRITE_SLAVE1:true" "MASTER7_WRITE_SLAVE20:true" "MASTER7_WRITE_SLAVE21:true" "MASTER7_WRITE_SLAVE22:true" "MASTER7_WRITE_SLAVE23:true" "MASTER7_WRITE_SLAVE24:true" "MASTER7_WRITE_SLAVE25:true" "MASTER7_WRITE_SLAVE26:true" "MASTER7_WRITE_SLAVE27:true" "MASTER7_WRITE_SLAVE28:true" "MASTER7_WRITE_SLAVE29:true" "MASTER7_WRITE_SLAVE2:true" "MASTER7_WRITE_SLAVE30:true" "MASTER7_WRITE_SLAVE31:true" "MASTER7_WRITE_SLAVE3:true" "MASTER7_WRITE_SLAVE4:true" "MASTER7_WRITE_SLAVE5:true" "MASTER7_WRITE_SLAVE6:true" "MASTER7_WRITE_SLAVE7:true" "MASTER7_WRITE_SLAVE8:true" "MASTER7_WRITE_SLAVE9:true" "MASTER8_CHAN_RS:true" "MASTER8_CLOCK_DOMAIN_CROSSING:false" "MASTER8_DATA_WIDTH:64" "MASTER8_DWC_DATA_FIFO_DEPTH:16" "MASTER8_READ_INTERLEAVE:false" "MASTER8_READ_SLAVE0:true" "MASTER8_READ_SLAVE10:true" "MASTER8_READ_SLAVE11:true" "MASTER8_READ_SLAVE12:true" "MASTER8_READ_SLAVE13:true" "MASTER8_READ_SLAVE14:true" "MASTER8_READ_SLAVE15:true" "MASTER8_READ_SLAVE16:true" "MASTER8_READ_SLAVE17:true" "MASTER8_READ_SLAVE18:true" "MASTER8_READ_SLAVE19:true" "MASTER8_READ_SLAVE1:true" "MASTER8_READ_SLAVE20:true" "MASTER8_READ_SLAVE21:true" "MASTER8_READ_SLAVE22:true" "MASTER8_READ_SLAVE23:true" "MASTER8_READ_SLAVE24:true" "MASTER8_READ_SLAVE25:true" "MASTER8_READ_SLAVE26:true" "MASTER8_READ_SLAVE27:true" "MASTER8_READ_SLAVE28:true" "MASTER8_READ_SLAVE29:true" "MASTER8_READ_SLAVE2:true" "MASTER8_READ_SLAVE30:true" "MASTER8_READ_SLAVE31:true" "MASTER8_READ_SLAVE3:true" "MASTER8_READ_SLAVE4:true" "MASTER8_READ_SLAVE5:true" "MASTER8_READ_SLAVE6:true" "MASTER8_READ_SLAVE7:true" "MASTER8_READ_SLAVE8:true" "MASTER8_READ_SLAVE9:true" "MASTER8_TYPE:0" "MASTER8_WRITE_SLAVE0:true" "MASTER8_WRITE_SLAVE10:true" "MASTER8_WRITE_SLAVE11:true" "MASTER8_WRITE_SLAVE12:true" "MASTER8_WRITE_SLAVE13:true" "MASTER8_WRITE_SLAVE14:true" "MASTER8_WRITE_SLAVE15:true" "MASTER8_WRITE_SLAVE16:true" "MASTER8_WRITE_SLAVE17:true" "MASTER8_WRITE_SLAVE18:true" "MASTER8_WRITE_SLAVE19:true" "MASTER8_WRITE_SLAVE1:true" "MASTER8_WRITE_SLAVE20:true" "MASTER8_WRITE_SLAVE21:true" "MASTER8_WRITE_SLAVE22:true" "MASTER8_WRITE_SLAVE23:true" "MASTER8_WRITE_SLAVE24:true" "MASTER8_WRITE_SLAVE25:true" "MASTER8_WRITE_SLAVE26:true" "MASTER8_WRITE_SLAVE27:true" "MASTER8_WRITE_SLAVE28:true" "MASTER8_WRITE_SLAVE29:true" "MASTER8_WRITE_SLAVE2:true" "MASTER8_WRITE_SLAVE30:true" "MASTER8_WRITE_SLAVE31:true" "MASTER8_WRITE_SLAVE3:true" "MASTER8_WRITE_SLAVE4:true" "MASTER8_WRITE_SLAVE5:true" "MASTER8_WRITE_SLAVE6:true" "MASTER8_WRITE_SLAVE7:true" "MASTER8_WRITE_SLAVE8:true" "MASTER8_WRITE_SLAVE9:true" "MASTER9_CHAN_RS:true" "MASTER9_CLOCK_DOMAIN_CROSSING:false" "MASTER9_DATA_WIDTH:64" "MASTER9_DWC_DATA_FIFO_DEPTH:16" "MASTER9_READ_INTERLEAVE:false" "MASTER9_READ_SLAVE0:true" "MASTER9_READ_SLAVE10:true" "MASTER9_READ_SLAVE11:true" "MASTER9_READ_SLAVE12:true" "MASTER9_READ_SLAVE13:true" "MASTER9_READ_SLAVE14:true" "MASTER9_READ_SLAVE15:true" "MASTER9_READ_SLAVE16:true" "MASTER9_READ_SLAVE17:true" "MASTER9_READ_SLAVE18:true" "MASTER9_READ_SLAVE19:true" "MASTER9_READ_SLAVE1:true" "MASTER9_READ_SLAVE20:true" "MASTER9_READ_SLAVE21:true" "MASTER9_READ_SLAVE22:true" "MASTER9_READ_SLAVE23:true" "MASTER9_READ_SLAVE24:true" "MASTER9_READ_SLAVE25:true" "MASTER9_READ_SLAVE26:true" "MASTER9_READ_SLAVE27:true" "MASTER9_READ_SLAVE28:true" "MASTER9_READ_SLAVE29:true" "MASTER9_READ_SLAVE2:true" "MASTER9_READ_SLAVE30:true" "MASTER9_READ_SLAVE31:true" "MASTER9_READ_SLAVE3:true" "MASTER9_READ_SLAVE4:true" "MASTER9_READ_SLAVE5:true" "MASTER9_READ_SLAVE6:true" "MASTER9_READ_SLAVE7:true" "MASTER9_READ_SLAVE8:true" "MASTER9_READ_SLAVE9:true" "MASTER9_TYPE:0" "MASTER9_WRITE_SLAVE0:true" "MASTER9_WRITE_SLAVE10:true" "MASTER9_WRITE_SLAVE11:true" "MASTER9_WRITE_SLAVE12:true" "MASTER9_WRITE_SLAVE13:true" "MASTER9_WRITE_SLAVE14:true" "MASTER9_WRITE_SLAVE15:true" "MASTER9_WRITE_SLAVE16:true" "MASTER9_WRITE_SLAVE17:true" "MASTER9_WRITE_SLAVE18:true" "MASTER9_WRITE_SLAVE19:true" "MASTER9_WRITE_SLAVE1:true" "MASTER9_WRITE_SLAVE20:true" "MASTER9_WRITE_SLAVE21:true" "MASTER9_WRITE_SLAVE22:true" "MASTER9_WRITE_SLAVE23:true" "MASTER9_WRITE_SLAVE24:true" "MASTER9_WRITE_SLAVE25:true" "MASTER9_WRITE_SLAVE26:true" "MASTER9_WRITE_SLAVE27:true" "MASTER9_WRITE_SLAVE28:true" "MASTER9_WRITE_SLAVE29:true" "MASTER9_WRITE_SLAVE2:true" "MASTER9_WRITE_SLAVE30:true" "MASTER9_WRITE_SLAVE31:true" "MASTER9_WRITE_SLAVE3:true" "MASTER9_WRITE_SLAVE4:true" "MASTER9_WRITE_SLAVE5:true" "MASTER9_WRITE_SLAVE6:true" "MASTER9_WRITE_SLAVE7:true" "MASTER9_WRITE_SLAVE8:true" "MASTER9_WRITE_SLAVE9:true" "NUM_MASTERS:1" "NUM_MASTERS_WIDTH:1" "NUM_SLAVES:2" "NUM_THREADS:1" "OPEN_TRANS_MAX:2" "OPTIMIZATION:3" "RD_ARB_EN:true" "SLAVE0_CHAN_RS:true" "SLAVE0_CLOCK_DOMAIN_CROSSING:false" "SLAVE0_DATA_WIDTH:64" "SLAVE0_DWC_DATA_FIFO_DEPTH:16" "SLAVE0_END_ADDR:0xcfffffff" "SLAVE0_END_ADDR_UPPER:0x0" "SLAVE0_READ_INTERLEAVE:false" "SLAVE0_START_ADDR:0xc0000000" "SLAVE0_START_ADDR_UPPER:0x0" "SLAVE0_TYPE:0" "SLAVE10_CHAN_RS:true" "SLAVE10_CLOCK_DOMAIN_CROSSING:false" "SLAVE10_DATA_WIDTH:64" "SLAVE10_DWC_DATA_FIFO_DEPTH:16" "SLAVE10_END_ADDR:0x57ffffff" "SLAVE10_END_ADDR_UPPER:0x0" "SLAVE10_READ_INTERLEAVE:false" "SLAVE10_START_ADDR:0x50000000" "SLAVE10_START_ADDR_UPPER:0x0" "SLAVE10_TYPE:0" "SLAVE11_CHAN_RS:true" "SLAVE11_CLOCK_DOMAIN_CROSSING:false" "SLAVE11_DATA_WIDTH:64" "SLAVE11_DWC_DATA_FIFO_DEPTH:16" "SLAVE11_END_ADDR:0x5fffffff" "SLAVE11_END_ADDR_UPPER:0x0" "SLAVE11_READ_INTERLEAVE:false" "SLAVE11_START_ADDR:0x58000000" "SLAVE11_START_ADDR_UPPER:0x0" "SLAVE11_TYPE:0" "SLAVE12_CHAN_RS:true" "SLAVE12_CLOCK_DOMAIN_CROSSING:false" "SLAVE12_DATA_WIDTH:64" "SLAVE12_DWC_DATA_FIFO_DEPTH:16" "SLAVE12_END_ADDR:0x902fffff" "SLAVE12_END_ADDR_UPPER:0x0" "SLAVE12_READ_INTERLEAVE:false" "SLAVE12_START_ADDR:0x90000000" "SLAVE12_START_ADDR_UPPER:0x0" "SLAVE12_TYPE:0" "SLAVE13_CHAN_RS:true" "SLAVE13_CLOCK_DOMAIN_CROSSING:false" "SLAVE13_DATA_WIDTH:64" "SLAVE13_DWC_DATA_FIFO_DEPTH:16" "SLAVE13_END_ADDR:0x905fffff" "SLAVE13_END_ADDR_UPPER:0x0" "SLAVE13_READ_INTERLEAVE:false" "SLAVE13_START_ADDR:0x90300000" "SLAVE13_START_ADDR_UPPER:0x0" "SLAVE13_TYPE:0" "SLAVE14_CHAN_RS:true" "SLAVE14_CLOCK_DOMAIN_CROSSING:false" "SLAVE14_DATA_WIDTH:64" "SLAVE14_DWC_DATA_FIFO_DEPTH:16" "SLAVE14_END_ADDR:0x908fffff" "SLAVE14_END_ADDR_UPPER:0x0" "SLAVE14_READ_INTERLEAVE:false" "SLAVE14_START_ADDR:0x90600000" "SLAVE14_START_ADDR_UPPER:0x0" "SLAVE14_TYPE:0" "SLAVE15_CHAN_RS:true" "SLAVE15_CLOCK_DOMAIN_CROSSING:false" "SLAVE15_DATA_WIDTH:64" "SLAVE15_DWC_DATA_FIFO_DEPTH:16" "SLAVE15_END_ADDR:0x90bfffff" "SLAVE15_END_ADDR_UPPER:0x0" "SLAVE15_READ_INTERLEAVE:false" "SLAVE15_START_ADDR:0x90900000" "SLAVE15_START_ADDR_UPPER:0x0" "SLAVE15_TYPE:0" "SLAVE16_CHAN_RS:true" "SLAVE16_CLOCK_DOMAIN_CROSSING:false" "SLAVE16_DATA_WIDTH:64" "SLAVE16_DWC_DATA_FIFO_DEPTH:16" "SLAVE16_END_ADDR:0x90efffff" "SLAVE16_END_ADDR_UPPER:0x0" "SLAVE16_READ_INTERLEAVE:false" "SLAVE16_START_ADDR:0x90c00000" "SLAVE16_START_ADDR_UPPER:0x0" "SLAVE16_TYPE:0" "SLAVE17_CHAN_RS:true" "SLAVE17_CLOCK_DOMAIN_CROSSING:false" "SLAVE17_DATA_WIDTH:64" "SLAVE17_DWC_DATA_FIFO_DEPTH:16" "SLAVE17_END_ADDR:0x911fffff" "SLAVE17_END_ADDR_UPPER:0x0" "SLAVE17_READ_INTERLEAVE:false" "SLAVE17_START_ADDR:0x90f00000" "SLAVE17_START_ADDR_UPPER:0x0" "SLAVE17_TYPE:0" "SLAVE18_CHAN_RS:true" "SLAVE18_CLOCK_DOMAIN_CROSSING:false" "SLAVE18_DATA_WIDTH:64" "SLAVE18_DWC_DATA_FIFO_DEPTH:16" "SLAVE18_END_ADDR:0x914fffff" "SLAVE18_END_ADDR_UPPER:0x0" "SLAVE18_READ_INTERLEAVE:false" "SLAVE18_START_ADDR:0x91200000" "SLAVE18_START_ADDR_UPPER:0x0" "SLAVE18_TYPE:0" "SLAVE19_CHAN_RS:true" "SLAVE19_CLOCK_DOMAIN_CROSSING:false" "SLAVE19_DATA_WIDTH:64" "SLAVE19_DWC_DATA_FIFO_DEPTH:16" "SLAVE19_END_ADDR:0x917fffff" "SLAVE19_END_ADDR_UPPER:0x0" "SLAVE19_READ_INTERLEAVE:false" "SLAVE19_START_ADDR:0x91500000" "SLAVE19_START_ADDR_UPPER:0x0" "SLAVE19_TYPE:0" "SLAVE1_CHAN_RS:true" "SLAVE1_CLOCK_DOMAIN_CROSSING:false" "SLAVE1_DATA_WIDTH:64" "SLAVE1_DWC_DATA_FIFO_DEPTH:16" "SLAVE1_END_ADDR:0x6fffffff" "SLAVE1_END_ADDR_UPPER:0x0" "SLAVE1_READ_INTERLEAVE:false" "SLAVE1_START_ADDR:0x60000000" "SLAVE1_START_ADDR_UPPER:0x0" "SLAVE1_TYPE:0" "SLAVE20_CHAN_RS:true" "SLAVE20_CLOCK_DOMAIN_CROSSING:false" "SLAVE20_DATA_WIDTH:64" "SLAVE20_DWC_DATA_FIFO_DEPTH:16" "SLAVE20_END_ADDR:0x91afffff" "SLAVE20_END_ADDR_UPPER:0x0" "SLAVE20_READ_INTERLEAVE:false" "SLAVE20_START_ADDR:0x91800000" "SLAVE20_START_ADDR_UPPER:0x0" "SLAVE20_TYPE:0" "SLAVE21_CHAN_RS:true" "SLAVE21_CLOCK_DOMAIN_CROSSING:false" "SLAVE21_DATA_WIDTH:64" "SLAVE21_DWC_DATA_FIFO_DEPTH:16" "SLAVE21_END_ADDR:0x91dfffff" "SLAVE21_END_ADDR_UPPER:0x0" "SLAVE21_READ_INTERLEAVE:false" "SLAVE21_START_ADDR:0x91b00000" "SLAVE21_START_ADDR_UPPER:0x0" "SLAVE21_TYPE:0" "SLAVE22_CHAN_RS:true" "SLAVE22_CLOCK_DOMAIN_CROSSING:false" "SLAVE22_DATA_WIDTH:64" "SLAVE22_DWC_DATA_FIFO_DEPTH:16" "SLAVE22_END_ADDR:0x920fffff" "SLAVE22_END_ADDR_UPPER:0x0" "SLAVE22_READ_INTERLEAVE:false" "SLAVE22_START_ADDR:0x91e00000" "SLAVE22_START_ADDR_UPPER:0x0" "SLAVE22_TYPE:0" "SLAVE23_CHAN_RS:true" "SLAVE23_CLOCK_DOMAIN_CROSSING:false" "SLAVE23_DATA_WIDTH:64" "SLAVE23_DWC_DATA_FIFO_DEPTH:16" "SLAVE23_END_ADDR:0x923fffff" "SLAVE23_END_ADDR_UPPER:0x0" "SLAVE23_READ_INTERLEAVE:false" "SLAVE23_START_ADDR:0x92100000" "SLAVE23_START_ADDR_UPPER:0x0" "SLAVE23_TYPE:0" "SLAVE24_CHAN_RS:true" "SLAVE24_CLOCK_DOMAIN_CROSSING:false" "SLAVE24_DATA_WIDTH:64" "SLAVE24_DWC_DATA_FIFO_DEPTH:16" "SLAVE24_END_ADDR:0x926fffff" "SLAVE24_END_ADDR_UPPER:0x0" "SLAVE24_READ_INTERLEAVE:false" "SLAVE24_START_ADDR:0x92400000" "SLAVE24_START_ADDR_UPPER:0x0" "SLAVE24_TYPE:0" "SLAVE25_CHAN_RS:true" "SLAVE25_CLOCK_DOMAIN_CROSSING:false" "SLAVE25_DATA_WIDTH:64" "SLAVE25_DWC_DATA_FIFO_DEPTH:16" "SLAVE25_END_ADDR:0x929fffff" "SLAVE25_END_ADDR_UPPER:0x0" "SLAVE25_READ_INTERLEAVE:false" "SLAVE25_START_ADDR:0x92700000" "SLAVE25_START_ADDR_UPPER:0x0" "SLAVE25_TYPE:0" "SLAVE26_CHAN_RS:true" "SLAVE26_CLOCK_DOMAIN_CROSSING:false" "SLAVE26_DATA_WIDTH:64" "SLAVE26_DWC_DATA_FIFO_DEPTH:16" "SLAVE26_END_ADDR:0x92cfffff" "SLAVE26_END_ADDR_UPPER:0x0" "SLAVE26_READ_INTERLEAVE:false" "SLAVE26_START_ADDR:0x92a00000" "SLAVE26_START_ADDR_UPPER:0x0" "SLAVE26_TYPE:0" "SLAVE27_CHAN_RS:true" "SLAVE27_CLOCK_DOMAIN_CROSSING:false" "SLAVE27_DATA_WIDTH:64" "SLAVE27_DWC_DATA_FIFO_DEPTH:16" "SLAVE27_END_ADDR:0x92ffffff" "SLAVE27_END_ADDR_UPPER:0x0" "SLAVE27_READ_INTERLEAVE:false" "SLAVE27_START_ADDR:0x92d00000" "SLAVE27_START_ADDR_UPPER:0x0" "SLAVE27_TYPE:0" "SLAVE28_CHAN_RS:true" "SLAVE28_CLOCK_DOMAIN_CROSSING:false" "SLAVE28_DATA_WIDTH:64" "SLAVE28_DWC_DATA_FIFO_DEPTH:16" "SLAVE28_END_ADDR:0x932fffff" "SLAVE28_END_ADDR_UPPER:0x0" "SLAVE28_READ_INTERLEAVE:false" "SLAVE28_START_ADDR:0x93000000" "SLAVE28_START_ADDR_UPPER:0x0" "SLAVE28_TYPE:0" "SLAVE29_CHAN_RS:true" "SLAVE29_CLOCK_DOMAIN_CROSSING:false" "SLAVE29_DATA_WIDTH:64" "SLAVE29_DWC_DATA_FIFO_DEPTH:16" "SLAVE29_END_ADDR:0x935fffff" "SLAVE29_END_ADDR_UPPER:0x0" "SLAVE29_READ_INTERLEAVE:false" "SLAVE29_START_ADDR:0x93300000" "SLAVE29_START_ADDR_UPPER:0x0" "SLAVE29_TYPE:0" "SLAVE2_CHAN_RS:true" "SLAVE2_CLOCK_DOMAIN_CROSSING:false" "SLAVE2_DATA_WIDTH:32" "SLAVE2_DWC_DATA_FIFO_DEPTH:16" "SLAVE2_END_ADDR:0x6002ffff" "SLAVE2_END_ADDR_UPPER:0x0" "SLAVE2_READ_INTERLEAVE:false" "SLAVE2_START_ADDR:0x60020000" "SLAVE2_START_ADDR_UPPER:0x0" "SLAVE2_TYPE:1" "SLAVE30_CHAN_RS:true" "SLAVE30_CLOCK_DOMAIN_CROSSING:false" "SLAVE30_DATA_WIDTH:64" "SLAVE30_DWC_DATA_FIFO_DEPTH:16" "SLAVE30_END_ADDR:0x938fffff" "SLAVE30_END_ADDR_UPPER:0x0" "SLAVE30_READ_INTERLEAVE:false" "SLAVE30_START_ADDR:0x93600000" "SLAVE30_START_ADDR_UPPER:0x0" "SLAVE30_TYPE:0" "SLAVE31_CHAN_RS:true" "SLAVE31_CLOCK_DOMAIN_CROSSING:false" "SLAVE31_DATA_WIDTH:64" "SLAVE31_DWC_DATA_FIFO_DEPTH:16" "SLAVE31_END_ADDR:0x93bfffff" "SLAVE31_END_ADDR_UPPER:0x0" "SLAVE31_READ_INTERLEAVE:false" "SLAVE31_START_ADDR:0x93900000" "SLAVE31_START_ADDR_UPPER:0x0" "SLAVE31_TYPE:0" "SLAVE3_CHAN_RS:true" "SLAVE3_CLOCK_DOMAIN_CROSSING:false" "SLAVE3_DATA_WIDTH:64" "SLAVE3_DWC_DATA_FIFO_DEPTH:16" "SLAVE3_END_ADDR:0x1fffffff" "SLAVE3_END_ADDR_UPPER:0x0" "SLAVE3_READ_INTERLEAVE:false" "SLAVE3_START_ADDR:0x18000000" "SLAVE3_START_ADDR_UPPER:0x0" "SLAVE3_TYPE:0" "SLAVE4_CHAN_RS:true" "SLAVE4_CLOCK_DOMAIN_CROSSING:false" "SLAVE4_DATA_WIDTH:64" "SLAVE4_DWC_DATA_FIFO_DEPTH:16" "SLAVE4_END_ADDR:0x27ffffff" "SLAVE4_END_ADDR_UPPER:0x0" "SLAVE4_READ_INTERLEAVE:false" "SLAVE4_START_ADDR:0x20000000" "SLAVE4_START_ADDR_UPPER:0x0" "SLAVE4_TYPE:0" "SLAVE5_CHAN_RS:true" "SLAVE5_CLOCK_DOMAIN_CROSSING:false" "SLAVE5_DATA_WIDTH:64" "SLAVE5_DWC_DATA_FIFO_DEPTH:16" "SLAVE5_END_ADDR:0x2fffffff" "SLAVE5_END_ADDR_UPPER:0x0" "SLAVE5_READ_INTERLEAVE:false" "SLAVE5_START_ADDR:0x28000000" "SLAVE5_START_ADDR_UPPER:0x0" "SLAVE5_TYPE:0" "SLAVE6_CHAN_RS:true" "SLAVE6_CLOCK_DOMAIN_CROSSING:false" "SLAVE6_DATA_WIDTH:64" "SLAVE6_DWC_DATA_FIFO_DEPTH:16" "SLAVE6_END_ADDR:0x37ffffff" "SLAVE6_END_ADDR_UPPER:0x0" "SLAVE6_READ_INTERLEAVE:false" "SLAVE6_START_ADDR:0x30000000" "SLAVE6_START_ADDR_UPPER:0x0" "SLAVE6_TYPE:0" "SLAVE7_CHAN_RS:true" "SLAVE7_CLOCK_DOMAIN_CROSSING:false" "SLAVE7_DATA_WIDTH:64" "SLAVE7_DWC_DATA_FIFO_DEPTH:16" "SLAVE7_END_ADDR:0x3fffffff" "SLAVE7_END_ADDR_UPPER:0x0" "SLAVE7_READ_INTERLEAVE:false" "SLAVE7_START_ADDR:0x38000000" "SLAVE7_START_ADDR_UPPER:0x0" "SLAVE7_TYPE:0" "SLAVE8_CHAN_RS:true" "SLAVE8_CLOCK_DOMAIN_CROSSING:false" "SLAVE8_DATA_WIDTH:64" "SLAVE8_DWC_DATA_FIFO_DEPTH:16" "SLAVE8_END_ADDR:0x47ffffff" "SLAVE8_END_ADDR_UPPER:0x0" "SLAVE8_READ_INTERLEAVE:false" "SLAVE8_START_ADDR:0x40000000" "SLAVE8_START_ADDR_UPPER:0x0" "SLAVE8_TYPE:0" "SLAVE9_CHAN_RS:true" "SLAVE9_CLOCK_DOMAIN_CROSSING:false" "SLAVE9_DATA_WIDTH:64" "SLAVE9_DWC_DATA_FIFO_DEPTH:16" "SLAVE9_END_ADDR:0x4fffffff" "SLAVE9_END_ADDR_UPPER:0x0" "SLAVE9_READ_INTERLEAVE:false" "SLAVE9_START_ADDR:0x48000000" "SLAVE9_START_ADDR_UPPER:0x0" "SLAVE9_TYPE:0" "SLV_AXI4PRT_ADDRDEPTH:8" "SLV_AXI4PRT_DATADEPTH:9" "USER_WIDTH:1"} sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {DMA_INITIATOR} + +puts " =============updating FIC 0 to change slave 3 data width to 32" # updating FIC 0 to change slave 3 data width to 32 delete_component -component_name {FIC0_INITIATOR} source {./script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/FIC0_INITIATOR_AXI_STREAM_BFM.tcl} sd_update_instance -sd_name {FIC_0_PERIPHERALS} -instance_name {FIC0_INITIATOR} +puts " =============creating and connecting the SRAM" # creating and connecting the SRAM # Instantiate the AXI interconnect -create_and_configure_core -core_vlnv {Actel:DirectCore:COREAXI4INTERCONNECT:2.8.103} -component_name {COREAXI4INTERCONNECT_C0} -params {"ADDR_WIDTH:32" "CROSSBAR_MODE:0" "DATA_WIDTH:32" "DWC_ADDR_FIFO_DEPTH_CEILING:10" "ID_WIDTH:8" "MASTER0_CHAN_RS:true" "MASTER0_CLOCK_DOMAIN_CROSSING:false" "MASTER0_DATA_WIDTH:32" "MASTER0_DWC_DATA_FIFO_DEPTH:16" "MASTER0_READ_INTERLEAVE:false" "MASTER0_READ_SLAVE0:true" "MASTER0_READ_SLAVE10:true" "MASTER0_READ_SLAVE11:true" "MASTER0_READ_SLAVE12:true" "MASTER0_READ_SLAVE13:true" "MASTER0_READ_SLAVE14:true" "MASTER0_READ_SLAVE15:true" "MASTER0_READ_SLAVE16:true" "MASTER0_READ_SLAVE17:true" "MASTER0_READ_SLAVE18:true" "MASTER0_READ_SLAVE19:true" "MASTER0_READ_SLAVE1:true" "MASTER0_READ_SLAVE20:true" "MASTER0_READ_SLAVE21:true" "MASTER0_READ_SLAVE22:true" "MASTER0_READ_SLAVE23:true" "MASTER0_READ_SLAVE24:true" "MASTER0_READ_SLAVE25:true" "MASTER0_READ_SLAVE26:true" "MASTER0_READ_SLAVE27:true" "MASTER0_READ_SLAVE28:true" "MASTER0_READ_SLAVE29:true" "MASTER0_READ_SLAVE2:true" "MASTER0_READ_SLAVE30:true" "MASTER0_READ_SLAVE31:true" "MASTER0_READ_SLAVE3:true" "MASTER0_READ_SLAVE4:true" "MASTER0_READ_SLAVE5:true" "MASTER0_READ_SLAVE6:true" "MASTER0_READ_SLAVE7:true" "MASTER0_READ_SLAVE8:true" "MASTER0_READ_SLAVE9:true" "MASTER0_TYPE:0" "MASTER0_WRITE_SLAVE0:true" "MASTER0_WRITE_SLAVE10:true" "MASTER0_WRITE_SLAVE11:true" "MASTER0_WRITE_SLAVE12:true" "MASTER0_WRITE_SLAVE13:true" "MASTER0_WRITE_SLAVE14:true" "MASTER0_WRITE_SLAVE15:true" "MASTER0_WRITE_SLAVE16:true" "MASTER0_WRITE_SLAVE17:true" "MASTER0_WRITE_SLAVE18:true" "MASTER0_WRITE_SLAVE19:true" "MASTER0_WRITE_SLAVE1:true" "MASTER0_WRITE_SLAVE20:true" "MASTER0_WRITE_SLAVE21:true" "MASTER0_WRITE_SLAVE22:true" "MASTER0_WRITE_SLAVE23:true" "MASTER0_WRITE_SLAVE24:true" "MASTER0_WRITE_SLAVE25:true" "MASTER0_WRITE_SLAVE26:true" "MASTER0_WRITE_SLAVE27:true" "MASTER0_WRITE_SLAVE28:true" "MASTER0_WRITE_SLAVE29:true" "MASTER0_WRITE_SLAVE2:true" "MASTER0_WRITE_SLAVE30:true" "MASTER0_WRITE_SLAVE31:true" "MASTER0_WRITE_SLAVE3:true" "MASTER0_WRITE_SLAVE4:true" "MASTER0_WRITE_SLAVE5:true" "MASTER0_WRITE_SLAVE6:true" "MASTER0_WRITE_SLAVE7:true" "MASTER0_WRITE_SLAVE8:true" "MASTER0_WRITE_SLAVE9:true" "MASTER10_CHAN_RS:true" "MASTER10_CLOCK_DOMAIN_CROSSING:false" "MASTER10_DATA_WIDTH:32" "MASTER10_DWC_DATA_FIFO_DEPTH:16" "MASTER10_READ_INTERLEAVE:false" "MASTER10_READ_SLAVE0:true" "MASTER10_READ_SLAVE10:true" "MASTER10_READ_SLAVE11:true" "MASTER10_READ_SLAVE12:true" "MASTER10_READ_SLAVE13:true" "MASTER10_READ_SLAVE14:true" "MASTER10_READ_SLAVE15:true" "MASTER10_READ_SLAVE16:true" "MASTER10_READ_SLAVE17:true" "MASTER10_READ_SLAVE18:true" "MASTER10_READ_SLAVE19:true" "MASTER10_READ_SLAVE1:true" "MASTER10_READ_SLAVE20:true" "MASTER10_READ_SLAVE21:true" "MASTER10_READ_SLAVE22:true" "MASTER10_READ_SLAVE23:true" "MASTER10_READ_SLAVE24:true" "MASTER10_READ_SLAVE25:true" "MASTER10_READ_SLAVE26:true" "MASTER10_READ_SLAVE27:true" "MASTER10_READ_SLAVE28:true" "MASTER10_READ_SLAVE29:true" "MASTER10_READ_SLAVE2:true" "MASTER10_READ_SLAVE30:true" "MASTER10_READ_SLAVE31:true" "MASTER10_READ_SLAVE3:true" "MASTER10_READ_SLAVE4:true" "MASTER10_READ_SLAVE5:true" "MASTER10_READ_SLAVE6:true" "MASTER10_READ_SLAVE7:true" "MASTER10_READ_SLAVE8:true" "MASTER10_READ_SLAVE9:true" "MASTER10_TYPE:0" "MASTER10_WRITE_SLAVE0:true" "MASTER10_WRITE_SLAVE10:true" "MASTER10_WRITE_SLAVE11:true" "MASTER10_WRITE_SLAVE12:true" "MASTER10_WRITE_SLAVE13:true" "MASTER10_WRITE_SLAVE14:true" "MASTER10_WRITE_SLAVE15:true" "MASTER10_WRITE_SLAVE16:true" "MASTER10_WRITE_SLAVE17:true" "MASTER10_WRITE_SLAVE18:true" "MASTER10_WRITE_SLAVE19:true" "MASTER10_WRITE_SLAVE1:true" "MASTER10_WRITE_SLAVE20:true" "MASTER10_WRITE_SLAVE21:true" "MASTER10_WRITE_SLAVE22:true" "MASTER10_WRITE_SLAVE23:true" "MASTER10_WRITE_SLAVE24:true" "MASTER10_WRITE_SLAVE25:true" "MASTER10_WRITE_SLAVE26:true" "MASTER10_WRITE_SLAVE27:true" "MASTER10_WRITE_SLAVE28:true" "MASTER10_WRITE_SLAVE29:true" "MASTER10_WRITE_SLAVE2:true" "MASTER10_WRITE_SLAVE30:true" "MASTER10_WRITE_SLAVE31:true" "MASTER10_WRITE_SLAVE3:true" "MASTER10_WRITE_SLAVE4:true" "MASTER10_WRITE_SLAVE5:true" "MASTER10_WRITE_SLAVE6:true" "MASTER10_WRITE_SLAVE7:true" "MASTER10_WRITE_SLAVE8:true" "MASTER10_WRITE_SLAVE9:true" "MASTER11_CHAN_RS:true" "MASTER11_CLOCK_DOMAIN_CROSSING:false" "MASTER11_DATA_WIDTH:32" "MASTER11_DWC_DATA_FIFO_DEPTH:16" "MASTER11_READ_INTERLEAVE:false" "MASTER11_READ_SLAVE0:true" "MASTER11_READ_SLAVE10:true" "MASTER11_READ_SLAVE11:true" "MASTER11_READ_SLAVE12:true" "MASTER11_READ_SLAVE13:true" "MASTER11_READ_SLAVE14:true" "MASTER11_READ_SLAVE15:true" "MASTER11_READ_SLAVE16:true" "MASTER11_READ_SLAVE17:true" "MASTER11_READ_SLAVE18:true" "MASTER11_READ_SLAVE19:true" "MASTER11_READ_SLAVE1:true" "MASTER11_READ_SLAVE20:true" "MASTER11_READ_SLAVE21:true" "MASTER11_READ_SLAVE22:true" "MASTER11_READ_SLAVE23:true" "MASTER11_READ_SLAVE24:true" "MASTER11_READ_SLAVE25:true" "MASTER11_READ_SLAVE26:true" "MASTER11_READ_SLAVE27:true" "MASTER11_READ_SLAVE28:true" "MASTER11_READ_SLAVE29:true" "MASTER11_READ_SLAVE2:true" "MASTER11_READ_SLAVE30:true" "MASTER11_READ_SLAVE31:true" "MASTER11_READ_SLAVE3:true" "MASTER11_READ_SLAVE4:true" "MASTER11_READ_SLAVE5:true" "MASTER11_READ_SLAVE6:true" "MASTER11_READ_SLAVE7:true" "MASTER11_READ_SLAVE8:true" "MASTER11_READ_SLAVE9:true" "MASTER11_TYPE:0" "MASTER11_WRITE_SLAVE0:true" "MASTER11_WRITE_SLAVE10:true" "MASTER11_WRITE_SLAVE11:true" "MASTER11_WRITE_SLAVE12:true" "MASTER11_WRITE_SLAVE13:true" "MASTER11_WRITE_SLAVE14:true" "MASTER11_WRITE_SLAVE15:true" "MASTER11_WRITE_SLAVE16:true" "MASTER11_WRITE_SLAVE17:true" "MASTER11_WRITE_SLAVE18:true" "MASTER11_WRITE_SLAVE19:true" "MASTER11_WRITE_SLAVE1:true" "MASTER11_WRITE_SLAVE20:true" "MASTER11_WRITE_SLAVE21:true" "MASTER11_WRITE_SLAVE22:true" "MASTER11_WRITE_SLAVE23:true" "MASTER11_WRITE_SLAVE24:true" "MASTER11_WRITE_SLAVE25:true" "MASTER11_WRITE_SLAVE26:true" "MASTER11_WRITE_SLAVE27:true" "MASTER11_WRITE_SLAVE28:true" "MASTER11_WRITE_SLAVE29:true" "MASTER11_WRITE_SLAVE2:true" "MASTER11_WRITE_SLAVE30:true" "MASTER11_WRITE_SLAVE31:true" "MASTER11_WRITE_SLAVE3:true" "MASTER11_WRITE_SLAVE4:true" "MASTER11_WRITE_SLAVE5:true" "MASTER11_WRITE_SLAVE6:true" "MASTER11_WRITE_SLAVE7:true" "MASTER11_WRITE_SLAVE8:true" "MASTER11_WRITE_SLAVE9:true" "MASTER12_CHAN_RS:true" "MASTER12_CLOCK_DOMAIN_CROSSING:false" "MASTER12_DATA_WIDTH:32" "MASTER12_DWC_DATA_FIFO_DEPTH:16" "MASTER12_READ_INTERLEAVE:false" "MASTER12_READ_SLAVE0:true" "MASTER12_READ_SLAVE10:true" "MASTER12_READ_SLAVE11:true" "MASTER12_READ_SLAVE12:true" "MASTER12_READ_SLAVE13:true" "MASTER12_READ_SLAVE14:true" "MASTER12_READ_SLAVE15:true" "MASTER12_READ_SLAVE16:true" "MASTER12_READ_SLAVE17:true" "MASTER12_READ_SLAVE18:true" "MASTER12_READ_SLAVE19:true" "MASTER12_READ_SLAVE1:true" "MASTER12_READ_SLAVE20:true" "MASTER12_READ_SLAVE21:true" "MASTER12_READ_SLAVE22:true" "MASTER12_READ_SLAVE23:true" "MASTER12_READ_SLAVE24:true" "MASTER12_READ_SLAVE25:true" "MASTER12_READ_SLAVE26:true" "MASTER12_READ_SLAVE27:true" "MASTER12_READ_SLAVE28:true" "MASTER12_READ_SLAVE29:true" "MASTER12_READ_SLAVE2:true" "MASTER12_READ_SLAVE30:true" "MASTER12_READ_SLAVE31:true" "MASTER12_READ_SLAVE3:true" "MASTER12_READ_SLAVE4:true" "MASTER12_READ_SLAVE5:true" "MASTER12_READ_SLAVE6:true" "MASTER12_READ_SLAVE7:true" "MASTER12_READ_SLAVE8:true" "MASTER12_READ_SLAVE9:true" "MASTER12_TYPE:0" "MASTER12_WRITE_SLAVE0:true" "MASTER12_WRITE_SLAVE10:true" "MASTER12_WRITE_SLAVE11:true" "MASTER12_WRITE_SLAVE12:true" "MASTER12_WRITE_SLAVE13:true" "MASTER12_WRITE_SLAVE14:true" "MASTER12_WRITE_SLAVE15:true" "MASTER12_WRITE_SLAVE16:true" "MASTER12_WRITE_SLAVE17:true" "MASTER12_WRITE_SLAVE18:true" "MASTER12_WRITE_SLAVE19:true" "MASTER12_WRITE_SLAVE1:true" "MASTER12_WRITE_SLAVE20:true" "MASTER12_WRITE_SLAVE21:true" "MASTER12_WRITE_SLAVE22:true" "MASTER12_WRITE_SLAVE23:true" "MASTER12_WRITE_SLAVE24:true" "MASTER12_WRITE_SLAVE25:true" "MASTER12_WRITE_SLAVE26:true" "MASTER12_WRITE_SLAVE27:true" "MASTER12_WRITE_SLAVE28:true" "MASTER12_WRITE_SLAVE29:true" "MASTER12_WRITE_SLAVE2:true" "MASTER12_WRITE_SLAVE30:true" "MASTER12_WRITE_SLAVE31:true" "MASTER12_WRITE_SLAVE3:true" "MASTER12_WRITE_SLAVE4:true" "MASTER12_WRITE_SLAVE5:true" "MASTER12_WRITE_SLAVE6:true" "MASTER12_WRITE_SLAVE7:true" "MASTER12_WRITE_SLAVE8:true" "MASTER12_WRITE_SLAVE9:true" "MASTER13_CHAN_RS:true" "MASTER13_CLOCK_DOMAIN_CROSSING:false" "MASTER13_DATA_WIDTH:32" "MASTER13_DWC_DATA_FIFO_DEPTH:16" "MASTER13_READ_INTERLEAVE:false" "MASTER13_READ_SLAVE0:true" "MASTER13_READ_SLAVE10:true" "MASTER13_READ_SLAVE11:true" "MASTER13_READ_SLAVE12:true" "MASTER13_READ_SLAVE13:true" "MASTER13_READ_SLAVE14:true" "MASTER13_READ_SLAVE15:true" "MASTER13_READ_SLAVE16:true" "MASTER13_READ_SLAVE17:true" "MASTER13_READ_SLAVE18:true" "MASTER13_READ_SLAVE19:true" "MASTER13_READ_SLAVE1:true" "MASTER13_READ_SLAVE20:true" "MASTER13_READ_SLAVE21:true" "MASTER13_READ_SLAVE22:true" "MASTER13_READ_SLAVE23:true" "MASTER13_READ_SLAVE24:true" "MASTER13_READ_SLAVE25:true" "MASTER13_READ_SLAVE26:true" "MASTER13_READ_SLAVE27:true" "MASTER13_READ_SLAVE28:true" "MASTER13_READ_SLAVE29:true" "MASTER13_READ_SLAVE2:true" "MASTER13_READ_SLAVE30:true" "MASTER13_READ_SLAVE31:true" "MASTER13_READ_SLAVE3:true" "MASTER13_READ_SLAVE4:true" "MASTER13_READ_SLAVE5:true" "MASTER13_READ_SLAVE6:true" "MASTER13_READ_SLAVE7:true" "MASTER13_READ_SLAVE8:true" "MASTER13_READ_SLAVE9:true" "MASTER13_TYPE:0" "MASTER13_WRITE_SLAVE0:true" "MASTER13_WRITE_SLAVE10:true" "MASTER13_WRITE_SLAVE11:true" "MASTER13_WRITE_SLAVE12:true" "MASTER13_WRITE_SLAVE13:true" "MASTER13_WRITE_SLAVE14:true" "MASTER13_WRITE_SLAVE15:true" "MASTER13_WRITE_SLAVE16:true" "MASTER13_WRITE_SLAVE17:true" "MASTER13_WRITE_SLAVE18:true" "MASTER13_WRITE_SLAVE19:true" "MASTER13_WRITE_SLAVE1:true" "MASTER13_WRITE_SLAVE20:true" "MASTER13_WRITE_SLAVE21:true" "MASTER13_WRITE_SLAVE22:true" "MASTER13_WRITE_SLAVE23:true" "MASTER13_WRITE_SLAVE24:true" "MASTER13_WRITE_SLAVE25:true" "MASTER13_WRITE_SLAVE26:true" "MASTER13_WRITE_SLAVE27:true" "MASTER13_WRITE_SLAVE28:true" "MASTER13_WRITE_SLAVE29:true" "MASTER13_WRITE_SLAVE2:true" "MASTER13_WRITE_SLAVE30:true" "MASTER13_WRITE_SLAVE31:true" "MASTER13_WRITE_SLAVE3:true" "MASTER13_WRITE_SLAVE4:true" "MASTER13_WRITE_SLAVE5:true" "MASTER13_WRITE_SLAVE6:true" "MASTER13_WRITE_SLAVE7:true" "MASTER13_WRITE_SLAVE8:true" "MASTER13_WRITE_SLAVE9:true" "MASTER14_CHAN_RS:true" "MASTER14_CLOCK_DOMAIN_CROSSING:false" "MASTER14_DATA_WIDTH:32" "MASTER14_DWC_DATA_FIFO_DEPTH:16" "MASTER14_READ_INTERLEAVE:false" "MASTER14_READ_SLAVE0:true" "MASTER14_READ_SLAVE10:true" "MASTER14_READ_SLAVE11:true" "MASTER14_READ_SLAVE12:true" "MASTER14_READ_SLAVE13:true" "MASTER14_READ_SLAVE14:true" "MASTER14_READ_SLAVE15:true" "MASTER14_READ_SLAVE16:true" "MASTER14_READ_SLAVE17:true" "MASTER14_READ_SLAVE18:true" "MASTER14_READ_SLAVE19:true" "MASTER14_READ_SLAVE1:true" "MASTER14_READ_SLAVE20:true" "MASTER14_READ_SLAVE21:true" "MASTER14_READ_SLAVE22:true" "MASTER14_READ_SLAVE23:true" "MASTER14_READ_SLAVE24:true" "MASTER14_READ_SLAVE25:true" "MASTER14_READ_SLAVE26:true" "MASTER14_READ_SLAVE27:true" "MASTER14_READ_SLAVE28:true" "MASTER14_READ_SLAVE29:true" "MASTER14_READ_SLAVE2:true" "MASTER14_READ_SLAVE30:true" "MASTER14_READ_SLAVE31:true" "MASTER14_READ_SLAVE3:true" "MASTER14_READ_SLAVE4:true" "MASTER14_READ_SLAVE5:true" "MASTER14_READ_SLAVE6:true" "MASTER14_READ_SLAVE7:true" "MASTER14_READ_SLAVE8:true" "MASTER14_READ_SLAVE9:true" "MASTER14_TYPE:0" "MASTER14_WRITE_SLAVE0:true" "MASTER14_WRITE_SLAVE10:true" "MASTER14_WRITE_SLAVE11:true" "MASTER14_WRITE_SLAVE12:true" "MASTER14_WRITE_SLAVE13:true" "MASTER14_WRITE_SLAVE14:true" "MASTER14_WRITE_SLAVE15:true" "MASTER14_WRITE_SLAVE16:true" "MASTER14_WRITE_SLAVE17:true" "MASTER14_WRITE_SLAVE18:true" "MASTER14_WRITE_SLAVE19:true" "MASTER14_WRITE_SLAVE1:true" "MASTER14_WRITE_SLAVE20:true" "MASTER14_WRITE_SLAVE21:true" "MASTER14_WRITE_SLAVE22:true" "MASTER14_WRITE_SLAVE23:true" "MASTER14_WRITE_SLAVE24:true" "MASTER14_WRITE_SLAVE25:true" "MASTER14_WRITE_SLAVE26:true" "MASTER14_WRITE_SLAVE27:true" "MASTER14_WRITE_SLAVE28:true" "MASTER14_WRITE_SLAVE29:true" "MASTER14_WRITE_SLAVE2:true" "MASTER14_WRITE_SLAVE30:true" "MASTER14_WRITE_SLAVE31:true" "MASTER14_WRITE_SLAVE3:true" "MASTER14_WRITE_SLAVE4:true" "MASTER14_WRITE_SLAVE5:true" "MASTER14_WRITE_SLAVE6:true" "MASTER14_WRITE_SLAVE7:true" "MASTER14_WRITE_SLAVE8:true" "MASTER14_WRITE_SLAVE9:true" "MASTER15_CHAN_RS:true" "MASTER15_CLOCK_DOMAIN_CROSSING:false" "MASTER15_DATA_WIDTH:32" "MASTER15_DWC_DATA_FIFO_DEPTH:16" "MASTER15_READ_INTERLEAVE:false" "MASTER15_READ_SLAVE0:true" "MASTER15_READ_SLAVE10:true" "MASTER15_READ_SLAVE11:true" "MASTER15_READ_SLAVE12:true" "MASTER15_READ_SLAVE13:true" "MASTER15_READ_SLAVE14:true" "MASTER15_READ_SLAVE15:true" "MASTER15_READ_SLAVE16:true" "MASTER15_READ_SLAVE17:true" "MASTER15_READ_SLAVE18:true" "MASTER15_READ_SLAVE19:true" "MASTER15_READ_SLAVE1:true" "MASTER15_READ_SLAVE20:true" "MASTER15_READ_SLAVE21:true" "MASTER15_READ_SLAVE22:true" "MASTER15_READ_SLAVE23:true" "MASTER15_READ_SLAVE24:true" "MASTER15_READ_SLAVE25:true" "MASTER15_READ_SLAVE26:true" "MASTER15_READ_SLAVE27:true" "MASTER15_READ_SLAVE28:true" "MASTER15_READ_SLAVE29:true" "MASTER15_READ_SLAVE2:true" "MASTER15_READ_SLAVE30:true" "MASTER15_READ_SLAVE31:true" "MASTER15_READ_SLAVE3:true" "MASTER15_READ_SLAVE4:true" "MASTER15_READ_SLAVE5:true" "MASTER15_READ_SLAVE6:true" "MASTER15_READ_SLAVE7:true" "MASTER15_READ_SLAVE8:true" "MASTER15_READ_SLAVE9:true" "MASTER15_TYPE:0" "MASTER15_WRITE_SLAVE0:true" "MASTER15_WRITE_SLAVE10:true" "MASTER15_WRITE_SLAVE11:true" "MASTER15_WRITE_SLAVE12:true" "MASTER15_WRITE_SLAVE13:true" "MASTER15_WRITE_SLAVE14:true" "MASTER15_WRITE_SLAVE15:true" "MASTER15_WRITE_SLAVE16:true" "MASTER15_WRITE_SLAVE17:true" "MASTER15_WRITE_SLAVE18:true" "MASTER15_WRITE_SLAVE19:true" "MASTER15_WRITE_SLAVE1:true" "MASTER15_WRITE_SLAVE20:true" "MASTER15_WRITE_SLAVE21:true" "MASTER15_WRITE_SLAVE22:true" "MASTER15_WRITE_SLAVE23:true" "MASTER15_WRITE_SLAVE24:true" "MASTER15_WRITE_SLAVE25:true" "MASTER15_WRITE_SLAVE26:true" "MASTER15_WRITE_SLAVE27:true" "MASTER15_WRITE_SLAVE28:true" "MASTER15_WRITE_SLAVE29:true" "MASTER15_WRITE_SLAVE2:true" "MASTER15_WRITE_SLAVE30:true" "MASTER15_WRITE_SLAVE31:true" "MASTER15_WRITE_SLAVE3:true" "MASTER15_WRITE_SLAVE4:true" "MASTER15_WRITE_SLAVE5:true" "MASTER15_WRITE_SLAVE6:true" "MASTER15_WRITE_SLAVE7:true" "MASTER15_WRITE_SLAVE8:true" "MASTER15_WRITE_SLAVE9:true" "MASTER1_CHAN_RS:true" "MASTER1_CLOCK_DOMAIN_CROSSING:false" "MASTER1_DATA_WIDTH:32" "MASTER1_DWC_DATA_FIFO_DEPTH:16" "MASTER1_READ_INTERLEAVE:false" "MASTER1_READ_SLAVE0:true" "MASTER1_READ_SLAVE10:true" "MASTER1_READ_SLAVE11:true" "MASTER1_READ_SLAVE12:true" "MASTER1_READ_SLAVE13:true" "MASTER1_READ_SLAVE14:true" "MASTER1_READ_SLAVE15:true" "MASTER1_READ_SLAVE16:true" "MASTER1_READ_SLAVE17:true" "MASTER1_READ_SLAVE18:true" "MASTER1_READ_SLAVE19:true" "MASTER1_READ_SLAVE1:true" "MASTER1_READ_SLAVE20:true" "MASTER1_READ_SLAVE21:true" "MASTER1_READ_SLAVE22:true" "MASTER1_READ_SLAVE23:true" "MASTER1_READ_SLAVE24:true" "MASTER1_READ_SLAVE25:true" "MASTER1_READ_SLAVE26:true" "MASTER1_READ_SLAVE27:true" "MASTER1_READ_SLAVE28:true" "MASTER1_READ_SLAVE29:true" "MASTER1_READ_SLAVE2:true" "MASTER1_READ_SLAVE30:true" "MASTER1_READ_SLAVE31:true" "MASTER1_READ_SLAVE3:true" "MASTER1_READ_SLAVE4:true" "MASTER1_READ_SLAVE5:true" "MASTER1_READ_SLAVE6:true" "MASTER1_READ_SLAVE7:true" "MASTER1_READ_SLAVE8:true" "MASTER1_READ_SLAVE9:true" "MASTER1_TYPE:0" "MASTER1_WRITE_SLAVE0:true" "MASTER1_WRITE_SLAVE10:true" "MASTER1_WRITE_SLAVE11:true" "MASTER1_WRITE_SLAVE12:true" "MASTER1_WRITE_SLAVE13:true" "MASTER1_WRITE_SLAVE14:true" "MASTER1_WRITE_SLAVE15:true" "MASTER1_WRITE_SLAVE16:true" "MASTER1_WRITE_SLAVE17:true" "MASTER1_WRITE_SLAVE18:true" "MASTER1_WRITE_SLAVE19:true" "MASTER1_WRITE_SLAVE1:true" "MASTER1_WRITE_SLAVE20:true" "MASTER1_WRITE_SLAVE21:true" "MASTER1_WRITE_SLAVE22:true" "MASTER1_WRITE_SLAVE23:true" "MASTER1_WRITE_SLAVE24:true" "MASTER1_WRITE_SLAVE25:true" "MASTER1_WRITE_SLAVE26:true" "MASTER1_WRITE_SLAVE27:true" "MASTER1_WRITE_SLAVE28:true" "MASTER1_WRITE_SLAVE29:true" "MASTER1_WRITE_SLAVE2:true" "MASTER1_WRITE_SLAVE30:true" "MASTER1_WRITE_SLAVE31:true" "MASTER1_WRITE_SLAVE3:true" "MASTER1_WRITE_SLAVE4:true" "MASTER1_WRITE_SLAVE5:true" "MASTER1_WRITE_SLAVE6:true" "MASTER1_WRITE_SLAVE7:true" "MASTER1_WRITE_SLAVE8:true" "MASTER1_WRITE_SLAVE9:true" "MASTER2_CHAN_RS:true" "MASTER2_CLOCK_DOMAIN_CROSSING:false" "MASTER2_DATA_WIDTH:32" "MASTER2_DWC_DATA_FIFO_DEPTH:16" "MASTER2_READ_INTERLEAVE:false" "MASTER2_READ_SLAVE0:true" "MASTER2_READ_SLAVE10:true" "MASTER2_READ_SLAVE11:true" "MASTER2_READ_SLAVE12:true" "MASTER2_READ_SLAVE13:true" "MASTER2_READ_SLAVE14:true" "MASTER2_READ_SLAVE15:true" "MASTER2_READ_SLAVE16:true" "MASTER2_READ_SLAVE17:true" "MASTER2_READ_SLAVE18:true" "MASTER2_READ_SLAVE19:true" "MASTER2_READ_SLAVE1:true" "MASTER2_READ_SLAVE20:true" "MASTER2_READ_SLAVE21:true" "MASTER2_READ_SLAVE22:true" "MASTER2_READ_SLAVE23:true" "MASTER2_READ_SLAVE24:true" "MASTER2_READ_SLAVE25:true" "MASTER2_READ_SLAVE26:true" "MASTER2_READ_SLAVE27:true" "MASTER2_READ_SLAVE28:true" "MASTER2_READ_SLAVE29:true" "MASTER2_READ_SLAVE2:true" "MASTER2_READ_SLAVE30:true" "MASTER2_READ_SLAVE31:true" "MASTER2_READ_SLAVE3:true" "MASTER2_READ_SLAVE4:true" "MASTER2_READ_SLAVE5:true" "MASTER2_READ_SLAVE6:true" "MASTER2_READ_SLAVE7:true" "MASTER2_READ_SLAVE8:true" "MASTER2_READ_SLAVE9:true" "MASTER2_TYPE:0" "MASTER2_WRITE_SLAVE0:true" "MASTER2_WRITE_SLAVE10:true" "MASTER2_WRITE_SLAVE11:true" "MASTER2_WRITE_SLAVE12:true" "MASTER2_WRITE_SLAVE13:true" "MASTER2_WRITE_SLAVE14:true" "MASTER2_WRITE_SLAVE15:true" "MASTER2_WRITE_SLAVE16:true" "MASTER2_WRITE_SLAVE17:true" "MASTER2_WRITE_SLAVE18:true" "MASTER2_WRITE_SLAVE19:true" "MASTER2_WRITE_SLAVE1:true" "MASTER2_WRITE_SLAVE20:true" "MASTER2_WRITE_SLAVE21:true" "MASTER2_WRITE_SLAVE22:true" "MASTER2_WRITE_SLAVE23:true" "MASTER2_WRITE_SLAVE24:true" "MASTER2_WRITE_SLAVE25:true" "MASTER2_WRITE_SLAVE26:true" "MASTER2_WRITE_SLAVE27:true" "MASTER2_WRITE_SLAVE28:true" "MASTER2_WRITE_SLAVE29:true" "MASTER2_WRITE_SLAVE2:true" "MASTER2_WRITE_SLAVE30:true" "MASTER2_WRITE_SLAVE31:true" "MASTER2_WRITE_SLAVE3:true" "MASTER2_WRITE_SLAVE4:true" "MASTER2_WRITE_SLAVE5:true" "MASTER2_WRITE_SLAVE6:true" "MASTER2_WRITE_SLAVE7:true" "MASTER2_WRITE_SLAVE8:true" "MASTER2_WRITE_SLAVE9:true" "MASTER3_CHAN_RS:true" "MASTER3_CLOCK_DOMAIN_CROSSING:false" "MASTER3_DATA_WIDTH:32" "MASTER3_DWC_DATA_FIFO_DEPTH:16" "MASTER3_READ_INTERLEAVE:false" "MASTER3_READ_SLAVE0:true" "MASTER3_READ_SLAVE10:true" "MASTER3_READ_SLAVE11:true" "MASTER3_READ_SLAVE12:true" "MASTER3_READ_SLAVE13:true" "MASTER3_READ_SLAVE14:true" "MASTER3_READ_SLAVE15:true" "MASTER3_READ_SLAVE16:true" "MASTER3_READ_SLAVE17:true" "MASTER3_READ_SLAVE18:true" "MASTER3_READ_SLAVE19:true" "MASTER3_READ_SLAVE1:true" "MASTER3_READ_SLAVE20:true" "MASTER3_READ_SLAVE21:true" "MASTER3_READ_SLAVE22:true" "MASTER3_READ_SLAVE23:true" "MASTER3_READ_SLAVE24:true" "MASTER3_READ_SLAVE25:true" "MASTER3_READ_SLAVE26:true" "MASTER3_READ_SLAVE27:true" "MASTER3_READ_SLAVE28:true" "MASTER3_READ_SLAVE29:true" "MASTER3_READ_SLAVE2:true" "MASTER3_READ_SLAVE30:true" "MASTER3_READ_SLAVE31:true" "MASTER3_READ_SLAVE3:true" "MASTER3_READ_SLAVE4:true" "MASTER3_READ_SLAVE5:true" "MASTER3_READ_SLAVE6:true" "MASTER3_READ_SLAVE7:true" "MASTER3_READ_SLAVE8:true" "MASTER3_READ_SLAVE9:true" "MASTER3_TYPE:0" "MASTER3_WRITE_SLAVE0:true" "MASTER3_WRITE_SLAVE10:true" "MASTER3_WRITE_SLAVE11:true" "MASTER3_WRITE_SLAVE12:true" "MASTER3_WRITE_SLAVE13:true" "MASTER3_WRITE_SLAVE14:true" "MASTER3_WRITE_SLAVE15:true" "MASTER3_WRITE_SLAVE16:true" "MASTER3_WRITE_SLAVE17:true" "MASTER3_WRITE_SLAVE18:true" "MASTER3_WRITE_SLAVE19:true" "MASTER3_WRITE_SLAVE1:true" "MASTER3_WRITE_SLAVE20:true" "MASTER3_WRITE_SLAVE21:true" "MASTER3_WRITE_SLAVE22:true" "MASTER3_WRITE_SLAVE23:true" "MASTER3_WRITE_SLAVE24:true" "MASTER3_WRITE_SLAVE25:true" "MASTER3_WRITE_SLAVE26:true" "MASTER3_WRITE_SLAVE27:true" "MASTER3_WRITE_SLAVE28:true" "MASTER3_WRITE_SLAVE29:true" "MASTER3_WRITE_SLAVE2:true" "MASTER3_WRITE_SLAVE30:true" "MASTER3_WRITE_SLAVE31:true" "MASTER3_WRITE_SLAVE3:true" "MASTER3_WRITE_SLAVE4:true" "MASTER3_WRITE_SLAVE5:true" "MASTER3_WRITE_SLAVE6:true" "MASTER3_WRITE_SLAVE7:true" "MASTER3_WRITE_SLAVE8:true" "MASTER3_WRITE_SLAVE9:true" "MASTER4_CHAN_RS:true" "MASTER4_CLOCK_DOMAIN_CROSSING:false" "MASTER4_DATA_WIDTH:32" "MASTER4_DWC_DATA_FIFO_DEPTH:16" "MASTER4_READ_INTERLEAVE:false" "MASTER4_READ_SLAVE0:true" "MASTER4_READ_SLAVE10:true" "MASTER4_READ_SLAVE11:true" "MASTER4_READ_SLAVE12:true" "MASTER4_READ_SLAVE13:true" "MASTER4_READ_SLAVE14:true" "MASTER4_READ_SLAVE15:true" "MASTER4_READ_SLAVE16:true" "MASTER4_READ_SLAVE17:true" "MASTER4_READ_SLAVE18:true" "MASTER4_READ_SLAVE19:true" "MASTER4_READ_SLAVE1:true" "MASTER4_READ_SLAVE20:true" "MASTER4_READ_SLAVE21:true" "MASTER4_READ_SLAVE22:true" "MASTER4_READ_SLAVE23:true" "MASTER4_READ_SLAVE24:true" "MASTER4_READ_SLAVE25:true" "MASTER4_READ_SLAVE26:true" "MASTER4_READ_SLAVE27:true" "MASTER4_READ_SLAVE28:true" "MASTER4_READ_SLAVE29:true" "MASTER4_READ_SLAVE2:true" "MASTER4_READ_SLAVE30:true" "MASTER4_READ_SLAVE31:true" "MASTER4_READ_SLAVE3:true" "MASTER4_READ_SLAVE4:true" "MASTER4_READ_SLAVE5:true" "MASTER4_READ_SLAVE6:true" "MASTER4_READ_SLAVE7:true" "MASTER4_READ_SLAVE8:true" "MASTER4_READ_SLAVE9:true" "MASTER4_TYPE:0" "MASTER4_WRITE_SLAVE0:true" "MASTER4_WRITE_SLAVE10:true" "MASTER4_WRITE_SLAVE11:true" "MASTER4_WRITE_SLAVE12:true" "MASTER4_WRITE_SLAVE13:true" "MASTER4_WRITE_SLAVE14:true" "MASTER4_WRITE_SLAVE15:true" "MASTER4_WRITE_SLAVE16:true" "MASTER4_WRITE_SLAVE17:true" "MASTER4_WRITE_SLAVE18:true" "MASTER4_WRITE_SLAVE19:true" "MASTER4_WRITE_SLAVE1:true" "MASTER4_WRITE_SLAVE20:true" "MASTER4_WRITE_SLAVE21:true" "MASTER4_WRITE_SLAVE22:true" "MASTER4_WRITE_SLAVE23:true" "MASTER4_WRITE_SLAVE24:true" "MASTER4_WRITE_SLAVE25:true" "MASTER4_WRITE_SLAVE26:true" "MASTER4_WRITE_SLAVE27:true" "MASTER4_WRITE_SLAVE28:true" "MASTER4_WRITE_SLAVE29:true" "MASTER4_WRITE_SLAVE2:true" "MASTER4_WRITE_SLAVE30:true" "MASTER4_WRITE_SLAVE31:true" "MASTER4_WRITE_SLAVE3:true" "MASTER4_WRITE_SLAVE4:true" "MASTER4_WRITE_SLAVE5:true" "MASTER4_WRITE_SLAVE6:true" "MASTER4_WRITE_SLAVE7:true" "MASTER4_WRITE_SLAVE8:true" "MASTER4_WRITE_SLAVE9:true" "MASTER5_CHAN_RS:true" "MASTER5_CLOCK_DOMAIN_CROSSING:false" "MASTER5_DATA_WIDTH:32" "MASTER5_DWC_DATA_FIFO_DEPTH:16" "MASTER5_READ_INTERLEAVE:false" "MASTER5_READ_SLAVE0:true" "MASTER5_READ_SLAVE10:true" "MASTER5_READ_SLAVE11:true" "MASTER5_READ_SLAVE12:true" "MASTER5_READ_SLAVE13:true" "MASTER5_READ_SLAVE14:true" "MASTER5_READ_SLAVE15:true" "MASTER5_READ_SLAVE16:true" "MASTER5_READ_SLAVE17:true" "MASTER5_READ_SLAVE18:true" "MASTER5_READ_SLAVE19:true" "MASTER5_READ_SLAVE1:true" "MASTER5_READ_SLAVE20:true" "MASTER5_READ_SLAVE21:true" "MASTER5_READ_SLAVE22:true" "MASTER5_READ_SLAVE23:true" "MASTER5_READ_SLAVE24:true" "MASTER5_READ_SLAVE25:true" "MASTER5_READ_SLAVE26:true" "MASTER5_READ_SLAVE27:true" "MASTER5_READ_SLAVE28:true" "MASTER5_READ_SLAVE29:true" "MASTER5_READ_SLAVE2:true" "MASTER5_READ_SLAVE30:true" "MASTER5_READ_SLAVE31:true" "MASTER5_READ_SLAVE3:true" "MASTER5_READ_SLAVE4:true" "MASTER5_READ_SLAVE5:true" "MASTER5_READ_SLAVE6:true" "MASTER5_READ_SLAVE7:true" "MASTER5_READ_SLAVE8:true" "MASTER5_READ_SLAVE9:true" "MASTER5_TYPE:0" "MASTER5_WRITE_SLAVE0:true" "MASTER5_WRITE_SLAVE10:true" "MASTER5_WRITE_SLAVE11:true" "MASTER5_WRITE_SLAVE12:true" "MASTER5_WRITE_SLAVE13:true" "MASTER5_WRITE_SLAVE14:true" "MASTER5_WRITE_SLAVE15:true" "MASTER5_WRITE_SLAVE16:true" "MASTER5_WRITE_SLAVE17:true" "MASTER5_WRITE_SLAVE18:true" "MASTER5_WRITE_SLAVE19:true" "MASTER5_WRITE_SLAVE1:true" "MASTER5_WRITE_SLAVE20:true" "MASTER5_WRITE_SLAVE21:true" "MASTER5_WRITE_SLAVE22:true" "MASTER5_WRITE_SLAVE23:true" "MASTER5_WRITE_SLAVE24:true" "MASTER5_WRITE_SLAVE25:true" "MASTER5_WRITE_SLAVE26:true" "MASTER5_WRITE_SLAVE27:true" "MASTER5_WRITE_SLAVE28:true" "MASTER5_WRITE_SLAVE29:true" "MASTER5_WRITE_SLAVE2:true" "MASTER5_WRITE_SLAVE30:true" "MASTER5_WRITE_SLAVE31:true" "MASTER5_WRITE_SLAVE3:true" "MASTER5_WRITE_SLAVE4:true" "MASTER5_WRITE_SLAVE5:true" "MASTER5_WRITE_SLAVE6:true" "MASTER5_WRITE_SLAVE7:true" "MASTER5_WRITE_SLAVE8:true" "MASTER5_WRITE_SLAVE9:true" "MASTER6_CHAN_RS:true" "MASTER6_CLOCK_DOMAIN_CROSSING:false" "MASTER6_DATA_WIDTH:32" "MASTER6_DWC_DATA_FIFO_DEPTH:16" "MASTER6_READ_INTERLEAVE:false" "MASTER6_READ_SLAVE0:true" "MASTER6_READ_SLAVE10:true" "MASTER6_READ_SLAVE11:true" "MASTER6_READ_SLAVE12:true" "MASTER6_READ_SLAVE13:true" "MASTER6_READ_SLAVE14:true" "MASTER6_READ_SLAVE15:true" "MASTER6_READ_SLAVE16:true" "MASTER6_READ_SLAVE17:true" "MASTER6_READ_SLAVE18:true" "MASTER6_READ_SLAVE19:true" "MASTER6_READ_SLAVE1:true" "MASTER6_READ_SLAVE20:true" "MASTER6_READ_SLAVE21:true" "MASTER6_READ_SLAVE22:true" "MASTER6_READ_SLAVE23:true" "MASTER6_READ_SLAVE24:true" "MASTER6_READ_SLAVE25:true" "MASTER6_READ_SLAVE26:true" "MASTER6_READ_SLAVE27:true" "MASTER6_READ_SLAVE28:true" "MASTER6_READ_SLAVE29:true" "MASTER6_READ_SLAVE2:true" "MASTER6_READ_SLAVE30:true" "MASTER6_READ_SLAVE31:true" "MASTER6_READ_SLAVE3:true" "MASTER6_READ_SLAVE4:true" "MASTER6_READ_SLAVE5:true" "MASTER6_READ_SLAVE6:true" "MASTER6_READ_SLAVE7:true" "MASTER6_READ_SLAVE8:true" "MASTER6_READ_SLAVE9:true" "MASTER6_TYPE:0" "MASTER6_WRITE_SLAVE0:true" "MASTER6_WRITE_SLAVE10:true" "MASTER6_WRITE_SLAVE11:true" "MASTER6_WRITE_SLAVE12:true" "MASTER6_WRITE_SLAVE13:true" "MASTER6_WRITE_SLAVE14:true" "MASTER6_WRITE_SLAVE15:true" "MASTER6_WRITE_SLAVE16:true" "MASTER6_WRITE_SLAVE17:true" "MASTER6_WRITE_SLAVE18:true" "MASTER6_WRITE_SLAVE19:true" "MASTER6_WRITE_SLAVE1:true" "MASTER6_WRITE_SLAVE20:true" "MASTER6_WRITE_SLAVE21:true" "MASTER6_WRITE_SLAVE22:true" "MASTER6_WRITE_SLAVE23:true" "MASTER6_WRITE_SLAVE24:true" "MASTER6_WRITE_SLAVE25:true" "MASTER6_WRITE_SLAVE26:true" "MASTER6_WRITE_SLAVE27:true" "MASTER6_WRITE_SLAVE28:true" "MASTER6_WRITE_SLAVE29:true" "MASTER6_WRITE_SLAVE2:true" "MASTER6_WRITE_SLAVE30:true" "MASTER6_WRITE_SLAVE31:true" "MASTER6_WRITE_SLAVE3:true" "MASTER6_WRITE_SLAVE4:true" "MASTER6_WRITE_SLAVE5:true" "MASTER6_WRITE_SLAVE6:true" "MASTER6_WRITE_SLAVE7:true" "MASTER6_WRITE_SLAVE8:true" "MASTER6_WRITE_SLAVE9:true" "MASTER7_CHAN_RS:true" "MASTER7_CLOCK_DOMAIN_CROSSING:false" "MASTER7_DATA_WIDTH:32" "MASTER7_DWC_DATA_FIFO_DEPTH:16" "MASTER7_READ_INTERLEAVE:false" "MASTER7_READ_SLAVE0:true" "MASTER7_READ_SLAVE10:true" "MASTER7_READ_SLAVE11:true" "MASTER7_READ_SLAVE12:true" "MASTER7_READ_SLAVE13:true" "MASTER7_READ_SLAVE14:true" "MASTER7_READ_SLAVE15:true" "MASTER7_READ_SLAVE16:true" "MASTER7_READ_SLAVE17:true" "MASTER7_READ_SLAVE18:true" "MASTER7_READ_SLAVE19:true" "MASTER7_READ_SLAVE1:true" "MASTER7_READ_SLAVE20:true" "MASTER7_READ_SLAVE21:true" "MASTER7_READ_SLAVE22:true" "MASTER7_READ_SLAVE23:true" "MASTER7_READ_SLAVE24:true" "MASTER7_READ_SLAVE25:true" "MASTER7_READ_SLAVE26:true" "MASTER7_READ_SLAVE27:true" "MASTER7_READ_SLAVE28:true" "MASTER7_READ_SLAVE29:true" "MASTER7_READ_SLAVE2:true" "MASTER7_READ_SLAVE30:true" "MASTER7_READ_SLAVE31:true" "MASTER7_READ_SLAVE3:true" "MASTER7_READ_SLAVE4:true" "MASTER7_READ_SLAVE5:true" "MASTER7_READ_SLAVE6:true" "MASTER7_READ_SLAVE7:true" "MASTER7_READ_SLAVE8:true" "MASTER7_READ_SLAVE9:true" "MASTER7_TYPE:0" "MASTER7_WRITE_SLAVE0:true" "MASTER7_WRITE_SLAVE10:true" "MASTER7_WRITE_SLAVE11:true" "MASTER7_WRITE_SLAVE12:true" "MASTER7_WRITE_SLAVE13:true" "MASTER7_WRITE_SLAVE14:true" "MASTER7_WRITE_SLAVE15:true" "MASTER7_WRITE_SLAVE16:true" "MASTER7_WRITE_SLAVE17:true" "MASTER7_WRITE_SLAVE18:true" "MASTER7_WRITE_SLAVE19:true" "MASTER7_WRITE_SLAVE1:true" "MASTER7_WRITE_SLAVE20:true" "MASTER7_WRITE_SLAVE21:true" "MASTER7_WRITE_SLAVE22:true" "MASTER7_WRITE_SLAVE23:true" "MASTER7_WRITE_SLAVE24:true" "MASTER7_WRITE_SLAVE25:true" "MASTER7_WRITE_SLAVE26:true" "MASTER7_WRITE_SLAVE27:true" "MASTER7_WRITE_SLAVE28:true" "MASTER7_WRITE_SLAVE29:true" "MASTER7_WRITE_SLAVE2:true" "MASTER7_WRITE_SLAVE30:true" "MASTER7_WRITE_SLAVE31:true" "MASTER7_WRITE_SLAVE3:true" "MASTER7_WRITE_SLAVE4:true" "MASTER7_WRITE_SLAVE5:true" "MASTER7_WRITE_SLAVE6:true" "MASTER7_WRITE_SLAVE7:true" "MASTER7_WRITE_SLAVE8:true" "MASTER7_WRITE_SLAVE9:true" "MASTER8_CHAN_RS:true" "MASTER8_CLOCK_DOMAIN_CROSSING:false" "MASTER8_DATA_WIDTH:32" "MASTER8_DWC_DATA_FIFO_DEPTH:16" "MASTER8_READ_INTERLEAVE:false" "MASTER8_READ_SLAVE0:true" "MASTER8_READ_SLAVE10:true" "MASTER8_READ_SLAVE11:true" "MASTER8_READ_SLAVE12:true" "MASTER8_READ_SLAVE13:true" "MASTER8_READ_SLAVE14:true" "MASTER8_READ_SLAVE15:true" "MASTER8_READ_SLAVE16:true" "MASTER8_READ_SLAVE17:true" "MASTER8_READ_SLAVE18:true" "MASTER8_READ_SLAVE19:true" "MASTER8_READ_SLAVE1:true" "MASTER8_READ_SLAVE20:true" "MASTER8_READ_SLAVE21:true" "MASTER8_READ_SLAVE22:true" "MASTER8_READ_SLAVE23:true" "MASTER8_READ_SLAVE24:true" "MASTER8_READ_SLAVE25:true" "MASTER8_READ_SLAVE26:true" "MASTER8_READ_SLAVE27:true" "MASTER8_READ_SLAVE28:true" "MASTER8_READ_SLAVE29:true" "MASTER8_READ_SLAVE2:true" "MASTER8_READ_SLAVE30:true" "MASTER8_READ_SLAVE31:true" "MASTER8_READ_SLAVE3:true" "MASTER8_READ_SLAVE4:true" "MASTER8_READ_SLAVE5:true" "MASTER8_READ_SLAVE6:true" "MASTER8_READ_SLAVE7:true" "MASTER8_READ_SLAVE8:true" "MASTER8_READ_SLAVE9:true" "MASTER8_TYPE:0" "MASTER8_WRITE_SLAVE0:true" "MASTER8_WRITE_SLAVE10:true" "MASTER8_WRITE_SLAVE11:true" "MASTER8_WRITE_SLAVE12:true" "MASTER8_WRITE_SLAVE13:true" "MASTER8_WRITE_SLAVE14:true" "MASTER8_WRITE_SLAVE15:true" "MASTER8_WRITE_SLAVE16:true" "MASTER8_WRITE_SLAVE17:true" "MASTER8_WRITE_SLAVE18:true" "MASTER8_WRITE_SLAVE19:true" "MASTER8_WRITE_SLAVE1:true" "MASTER8_WRITE_SLAVE20:true" "MASTER8_WRITE_SLAVE21:true" "MASTER8_WRITE_SLAVE22:true" "MASTER8_WRITE_SLAVE23:true" "MASTER8_WRITE_SLAVE24:true" "MASTER8_WRITE_SLAVE25:true" "MASTER8_WRITE_SLAVE26:true" "MASTER8_WRITE_SLAVE27:true" "MASTER8_WRITE_SLAVE28:true" "MASTER8_WRITE_SLAVE29:true" "MASTER8_WRITE_SLAVE2:true" "MASTER8_WRITE_SLAVE30:true" "MASTER8_WRITE_SLAVE31:true" "MASTER8_WRITE_SLAVE3:true" "MASTER8_WRITE_SLAVE4:true" "MASTER8_WRITE_SLAVE5:true" "MASTER8_WRITE_SLAVE6:true" "MASTER8_WRITE_SLAVE7:true" "MASTER8_WRITE_SLAVE8:true" "MASTER8_WRITE_SLAVE9:true" "MASTER9_CHAN_RS:true" "MASTER9_CLOCK_DOMAIN_CROSSING:false" "MASTER9_DATA_WIDTH:32" "MASTER9_DWC_DATA_FIFO_DEPTH:16" "MASTER9_READ_INTERLEAVE:false" "MASTER9_READ_SLAVE0:true" "MASTER9_READ_SLAVE10:true" "MASTER9_READ_SLAVE11:true" "MASTER9_READ_SLAVE12:true" "MASTER9_READ_SLAVE13:true" "MASTER9_READ_SLAVE14:true" "MASTER9_READ_SLAVE15:true" "MASTER9_READ_SLAVE16:true" "MASTER9_READ_SLAVE17:true" "MASTER9_READ_SLAVE18:true" "MASTER9_READ_SLAVE19:true" "MASTER9_READ_SLAVE1:true" "MASTER9_READ_SLAVE20:true" "MASTER9_READ_SLAVE21:true" "MASTER9_READ_SLAVE22:true" "MASTER9_READ_SLAVE23:true" "MASTER9_READ_SLAVE24:true" "MASTER9_READ_SLAVE25:true" "MASTER9_READ_SLAVE26:true" "MASTER9_READ_SLAVE27:true" "MASTER9_READ_SLAVE28:true" "MASTER9_READ_SLAVE29:true" "MASTER9_READ_SLAVE2:true" "MASTER9_READ_SLAVE30:true" "MASTER9_READ_SLAVE31:true" "MASTER9_READ_SLAVE3:true" "MASTER9_READ_SLAVE4:true" "MASTER9_READ_SLAVE5:true" "MASTER9_READ_SLAVE6:true" "MASTER9_READ_SLAVE7:true" "MASTER9_READ_SLAVE8:true" "MASTER9_READ_SLAVE9:true" "MASTER9_TYPE:0" "MASTER9_WRITE_SLAVE0:true" "MASTER9_WRITE_SLAVE10:true" "MASTER9_WRITE_SLAVE11:true" "MASTER9_WRITE_SLAVE12:true" "MASTER9_WRITE_SLAVE13:true" "MASTER9_WRITE_SLAVE14:true" "MASTER9_WRITE_SLAVE15:true" "MASTER9_WRITE_SLAVE16:true" "MASTER9_WRITE_SLAVE17:true" "MASTER9_WRITE_SLAVE18:true" "MASTER9_WRITE_SLAVE19:true" "MASTER9_WRITE_SLAVE1:true" "MASTER9_WRITE_SLAVE20:true" "MASTER9_WRITE_SLAVE21:true" "MASTER9_WRITE_SLAVE22:true" "MASTER9_WRITE_SLAVE23:true" "MASTER9_WRITE_SLAVE24:true" "MASTER9_WRITE_SLAVE25:true" "MASTER9_WRITE_SLAVE26:true" "MASTER9_WRITE_SLAVE27:true" "MASTER9_WRITE_SLAVE28:true" "MASTER9_WRITE_SLAVE29:true" "MASTER9_WRITE_SLAVE2:true" "MASTER9_WRITE_SLAVE30:true" "MASTER9_WRITE_SLAVE31:true" "MASTER9_WRITE_SLAVE3:true" "MASTER9_WRITE_SLAVE4:true" "MASTER9_WRITE_SLAVE5:true" "MASTER9_WRITE_SLAVE6:true" "MASTER9_WRITE_SLAVE7:true" "MASTER9_WRITE_SLAVE8:true" "MASTER9_WRITE_SLAVE9:true" "NUM_MASTERS:2" "NUM_MASTERS_WIDTH:1" "NUM_SLAVES:1" "NUM_THREADS:1" "OPEN_TRANS_MAX:2" "OPTIMIZATION:3" "RD_ARB_EN:true" "SLAVE0_CHAN_RS:true" "SLAVE0_CLOCK_DOMAIN_CROSSING:false" "SLAVE0_DATA_WIDTH:32" "SLAVE0_DWC_DATA_FIFO_DEPTH:16" "SLAVE0_END_ADDR:0x7fffffff" "SLAVE0_END_ADDR_UPPER:0x0" "SLAVE0_READ_INTERLEAVE:false" "SLAVE0_START_ADDR:0x60000000" "SLAVE0_START_ADDR_UPPER:0x0" "SLAVE0_TYPE:0" "SLAVE10_CHAN_RS:true" "SLAVE10_CLOCK_DOMAIN_CROSSING:false" "SLAVE10_DATA_WIDTH:32" "SLAVE10_DWC_DATA_FIFO_DEPTH:16" "SLAVE10_END_ADDR:0x57ffffff" "SLAVE10_END_ADDR_UPPER:0x0" "SLAVE10_READ_INTERLEAVE:false" "SLAVE10_START_ADDR:0x50000000" "SLAVE10_START_ADDR_UPPER:0x0" "SLAVE10_TYPE:0" "SLAVE11_CHAN_RS:true" "SLAVE11_CLOCK_DOMAIN_CROSSING:false" "SLAVE11_DATA_WIDTH:32" "SLAVE11_DWC_DATA_FIFO_DEPTH:16" "SLAVE11_END_ADDR:0x5fffffff" "SLAVE11_END_ADDR_UPPER:0x0" "SLAVE11_READ_INTERLEAVE:false" "SLAVE11_START_ADDR:0x58000000" "SLAVE11_START_ADDR_UPPER:0x0" "SLAVE11_TYPE:0" "SLAVE12_CHAN_RS:true" "SLAVE12_CLOCK_DOMAIN_CROSSING:false" "SLAVE12_DATA_WIDTH:32" "SLAVE12_DWC_DATA_FIFO_DEPTH:16" "SLAVE12_END_ADDR:0x902fffff" "SLAVE12_END_ADDR_UPPER:0x0" "SLAVE12_READ_INTERLEAVE:false" "SLAVE12_START_ADDR:0x90000000" "SLAVE12_START_ADDR_UPPER:0x0" "SLAVE12_TYPE:0" "SLAVE13_CHAN_RS:true" "SLAVE13_CLOCK_DOMAIN_CROSSING:false" "SLAVE13_DATA_WIDTH:32" "SLAVE13_DWC_DATA_FIFO_DEPTH:16" "SLAVE13_END_ADDR:0x905fffff" "SLAVE13_END_ADDR_UPPER:0x0" "SLAVE13_READ_INTERLEAVE:false" "SLAVE13_START_ADDR:0x90300000" "SLAVE13_START_ADDR_UPPER:0x0" "SLAVE13_TYPE:0" "SLAVE14_CHAN_RS:true" "SLAVE14_CLOCK_DOMAIN_CROSSING:false" "SLAVE14_DATA_WIDTH:32" "SLAVE14_DWC_DATA_FIFO_DEPTH:16" "SLAVE14_END_ADDR:0x908fffff" "SLAVE14_END_ADDR_UPPER:0x0" "SLAVE14_READ_INTERLEAVE:false" "SLAVE14_START_ADDR:0x90600000" "SLAVE14_START_ADDR_UPPER:0x0" "SLAVE14_TYPE:0" "SLAVE15_CHAN_RS:true" "SLAVE15_CLOCK_DOMAIN_CROSSING:false" "SLAVE15_DATA_WIDTH:32" "SLAVE15_DWC_DATA_FIFO_DEPTH:16" "SLAVE15_END_ADDR:0x90bfffff" "SLAVE15_END_ADDR_UPPER:0x0" "SLAVE15_READ_INTERLEAVE:false" "SLAVE15_START_ADDR:0x90900000" "SLAVE15_START_ADDR_UPPER:0x0" "SLAVE15_TYPE:0" "SLAVE16_CHAN_RS:true" "SLAVE16_CLOCK_DOMAIN_CROSSING:false" "SLAVE16_DATA_WIDTH:32" "SLAVE16_DWC_DATA_FIFO_DEPTH:16" "SLAVE16_END_ADDR:0x90efffff" "SLAVE16_END_ADDR_UPPER:0x0" "SLAVE16_READ_INTERLEAVE:false" "SLAVE16_START_ADDR:0x90c00000" "SLAVE16_START_ADDR_UPPER:0x0" "SLAVE16_TYPE:0" "SLAVE17_CHAN_RS:true" "SLAVE17_CLOCK_DOMAIN_CROSSING:false" "SLAVE17_DATA_WIDTH:32" "SLAVE17_DWC_DATA_FIFO_DEPTH:16" "SLAVE17_END_ADDR:0x911fffff" "SLAVE17_END_ADDR_UPPER:0x0" "SLAVE17_READ_INTERLEAVE:false" "SLAVE17_START_ADDR:0x90f00000" "SLAVE17_START_ADDR_UPPER:0x0" "SLAVE17_TYPE:0" "SLAVE18_CHAN_RS:true" "SLAVE18_CLOCK_DOMAIN_CROSSING:false" "SLAVE18_DATA_WIDTH:32" "SLAVE18_DWC_DATA_FIFO_DEPTH:16" "SLAVE18_END_ADDR:0x914fffff" "SLAVE18_END_ADDR_UPPER:0x0" "SLAVE18_READ_INTERLEAVE:false" "SLAVE18_START_ADDR:0x91200000" "SLAVE18_START_ADDR_UPPER:0x0" "SLAVE18_TYPE:0" "SLAVE19_CHAN_RS:true" "SLAVE19_CLOCK_DOMAIN_CROSSING:false" "SLAVE19_DATA_WIDTH:32" "SLAVE19_DWC_DATA_FIFO_DEPTH:16" "SLAVE19_END_ADDR:0x917fffff" "SLAVE19_END_ADDR_UPPER:0x0" "SLAVE19_READ_INTERLEAVE:false" "SLAVE19_START_ADDR:0x91500000" "SLAVE19_START_ADDR_UPPER:0x0" "SLAVE19_TYPE:0" "SLAVE1_CHAN_RS:true" "SLAVE1_CLOCK_DOMAIN_CROSSING:false" "SLAVE1_DATA_WIDTH:32" "SLAVE1_DWC_DATA_FIFO_DEPTH:16" "SLAVE1_END_ADDR:0x8fffffff" "SLAVE1_END_ADDR_UPPER:0x0" "SLAVE1_READ_INTERLEAVE:false" "SLAVE1_START_ADDR:0x80000000" "SLAVE1_START_ADDR_UPPER:0x0" "SLAVE1_TYPE:0" "SLAVE20_CHAN_RS:true" "SLAVE20_CLOCK_DOMAIN_CROSSING:false" "SLAVE20_DATA_WIDTH:32" "SLAVE20_DWC_DATA_FIFO_DEPTH:16" "SLAVE20_END_ADDR:0x91afffff" "SLAVE20_END_ADDR_UPPER:0x0" "SLAVE20_READ_INTERLEAVE:false" "SLAVE20_START_ADDR:0x91800000" "SLAVE20_START_ADDR_UPPER:0x0" "SLAVE20_TYPE:0" "SLAVE21_CHAN_RS:true" "SLAVE21_CLOCK_DOMAIN_CROSSING:false" "SLAVE21_DATA_WIDTH:32" "SLAVE21_DWC_DATA_FIFO_DEPTH:16" "SLAVE21_END_ADDR:0x91dfffff" "SLAVE21_END_ADDR_UPPER:0x0" "SLAVE21_READ_INTERLEAVE:false" "SLAVE21_START_ADDR:0x91b00000" "SLAVE21_START_ADDR_UPPER:0x0" "SLAVE21_TYPE:0" "SLAVE22_CHAN_RS:true" "SLAVE22_CLOCK_DOMAIN_CROSSING:false" "SLAVE22_DATA_WIDTH:32" "SLAVE22_DWC_DATA_FIFO_DEPTH:16" "SLAVE22_END_ADDR:0x920fffff" "SLAVE22_END_ADDR_UPPER:0x0" "SLAVE22_READ_INTERLEAVE:false" "SLAVE22_START_ADDR:0x91e00000" "SLAVE22_START_ADDR_UPPER:0x0" "SLAVE22_TYPE:0" "SLAVE23_CHAN_RS:true" "SLAVE23_CLOCK_DOMAIN_CROSSING:false" "SLAVE23_DATA_WIDTH:32" "SLAVE23_DWC_DATA_FIFO_DEPTH:16" "SLAVE23_END_ADDR:0x923fffff" "SLAVE23_END_ADDR_UPPER:0x0" "SLAVE23_READ_INTERLEAVE:false" "SLAVE23_START_ADDR:0x92100000" "SLAVE23_START_ADDR_UPPER:0x0" "SLAVE23_TYPE:0" "SLAVE24_CHAN_RS:true" "SLAVE24_CLOCK_DOMAIN_CROSSING:false" "SLAVE24_DATA_WIDTH:32" "SLAVE24_DWC_DATA_FIFO_DEPTH:16" "SLAVE24_END_ADDR:0x926fffff" "SLAVE24_END_ADDR_UPPER:0x0" "SLAVE24_READ_INTERLEAVE:false" "SLAVE24_START_ADDR:0x92400000" "SLAVE24_START_ADDR_UPPER:0x0" "SLAVE24_TYPE:0" "SLAVE25_CHAN_RS:true" "SLAVE25_CLOCK_DOMAIN_CROSSING:false" "SLAVE25_DATA_WIDTH:32" "SLAVE25_DWC_DATA_FIFO_DEPTH:16" "SLAVE25_END_ADDR:0x929fffff" "SLAVE25_END_ADDR_UPPER:0x0" "SLAVE25_READ_INTERLEAVE:false" "SLAVE25_START_ADDR:0x92700000" "SLAVE25_START_ADDR_UPPER:0x0" "SLAVE25_TYPE:0" "SLAVE26_CHAN_RS:true" "SLAVE26_CLOCK_DOMAIN_CROSSING:false" "SLAVE26_DATA_WIDTH:32" "SLAVE26_DWC_DATA_FIFO_DEPTH:16" "SLAVE26_END_ADDR:0x92cfffff" "SLAVE26_END_ADDR_UPPER:0x0" "SLAVE26_READ_INTERLEAVE:false" "SLAVE26_START_ADDR:0x92a00000" "SLAVE26_START_ADDR_UPPER:0x0" "SLAVE26_TYPE:0" "SLAVE27_CHAN_RS:true" "SLAVE27_CLOCK_DOMAIN_CROSSING:false" "SLAVE27_DATA_WIDTH:32" "SLAVE27_DWC_DATA_FIFO_DEPTH:16" "SLAVE27_END_ADDR:0x92ffffff" "SLAVE27_END_ADDR_UPPER:0x0" "SLAVE27_READ_INTERLEAVE:false" "SLAVE27_START_ADDR:0x92d00000" "SLAVE27_START_ADDR_UPPER:0x0" "SLAVE27_TYPE:0" "SLAVE28_CHAN_RS:true" "SLAVE28_CLOCK_DOMAIN_CROSSING:false" "SLAVE28_DATA_WIDTH:32" "SLAVE28_DWC_DATA_FIFO_DEPTH:16" "SLAVE28_END_ADDR:0x932fffff" "SLAVE28_END_ADDR_UPPER:0x0" "SLAVE28_READ_INTERLEAVE:false" "SLAVE28_START_ADDR:0x93000000" "SLAVE28_START_ADDR_UPPER:0x0" "SLAVE28_TYPE:0" "SLAVE29_CHAN_RS:true" "SLAVE29_CLOCK_DOMAIN_CROSSING:false" "SLAVE29_DATA_WIDTH:32" "SLAVE29_DWC_DATA_FIFO_DEPTH:16" "SLAVE29_END_ADDR:0x935fffff" "SLAVE29_END_ADDR_UPPER:0x0" "SLAVE29_READ_INTERLEAVE:false" "SLAVE29_START_ADDR:0x93300000" "SLAVE29_START_ADDR_UPPER:0x0" "SLAVE29_TYPE:0" "SLAVE2_CHAN_RS:true" "SLAVE2_CLOCK_DOMAIN_CROSSING:false" "SLAVE2_DATA_WIDTH:32" "SLAVE2_DWC_DATA_FIFO_DEPTH:16" "SLAVE2_END_ADDR:0x17ffffff" "SLAVE2_END_ADDR_UPPER:0x0" "SLAVE2_READ_INTERLEAVE:false" "SLAVE2_START_ADDR:0x10000000" "SLAVE2_START_ADDR_UPPER:0x0" "SLAVE2_TYPE:0" "SLAVE30_CHAN_RS:true" "SLAVE30_CLOCK_DOMAIN_CROSSING:false" "SLAVE30_DATA_WIDTH:32" "SLAVE30_DWC_DATA_FIFO_DEPTH:16" "SLAVE30_END_ADDR:0x938fffff" "SLAVE30_END_ADDR_UPPER:0x0" "SLAVE30_READ_INTERLEAVE:false" "SLAVE30_START_ADDR:0x93600000" "SLAVE30_START_ADDR_UPPER:0x0" "SLAVE30_TYPE:0" "SLAVE31_CHAN_RS:true" "SLAVE31_CLOCK_DOMAIN_CROSSING:false" "SLAVE31_DATA_WIDTH:32" "SLAVE31_DWC_DATA_FIFO_DEPTH:16" "SLAVE31_END_ADDR:0x93bfffff" "SLAVE31_END_ADDR_UPPER:0x0" "SLAVE31_READ_INTERLEAVE:false" "SLAVE31_START_ADDR:0x93900000" "SLAVE31_START_ADDR_UPPER:0x0" "SLAVE31_TYPE:0" "SLAVE3_CHAN_RS:true" "SLAVE3_CLOCK_DOMAIN_CROSSING:false" "SLAVE3_DATA_WIDTH:32" "SLAVE3_DWC_DATA_FIFO_DEPTH:16" "SLAVE3_END_ADDR:0x1fffffff" "SLAVE3_END_ADDR_UPPER:0x0" "SLAVE3_READ_INTERLEAVE:false" "SLAVE3_START_ADDR:0x18000000" "SLAVE3_START_ADDR_UPPER:0x0" "SLAVE3_TYPE:0" "SLAVE4_CHAN_RS:true" "SLAVE4_CLOCK_DOMAIN_CROSSING:false" "SLAVE4_DATA_WIDTH:32" "SLAVE4_DWC_DATA_FIFO_DEPTH:16" "SLAVE4_END_ADDR:0x27ffffff" "SLAVE4_END_ADDR_UPPER:0x0" "SLAVE4_READ_INTERLEAVE:false" "SLAVE4_START_ADDR:0x20000000" "SLAVE4_START_ADDR_UPPER:0x0" "SLAVE4_TYPE:0" "SLAVE5_CHAN_RS:true" "SLAVE5_CLOCK_DOMAIN_CROSSING:false" "SLAVE5_DATA_WIDTH:32" "SLAVE5_DWC_DATA_FIFO_DEPTH:16" "SLAVE5_END_ADDR:0x2fffffff" "SLAVE5_END_ADDR_UPPER:0x0" "SLAVE5_READ_INTERLEAVE:false" "SLAVE5_START_ADDR:0x28000000" "SLAVE5_START_ADDR_UPPER:0x0" "SLAVE5_TYPE:0" "SLAVE6_CHAN_RS:true" "SLAVE6_CLOCK_DOMAIN_CROSSING:false" "SLAVE6_DATA_WIDTH:32" "SLAVE6_DWC_DATA_FIFO_DEPTH:16" "SLAVE6_END_ADDR:0x37ffffff" "SLAVE6_END_ADDR_UPPER:0x0" "SLAVE6_READ_INTERLEAVE:false" "SLAVE6_START_ADDR:0x30000000" "SLAVE6_START_ADDR_UPPER:0x0" "SLAVE6_TYPE:0" "SLAVE7_CHAN_RS:true" "SLAVE7_CLOCK_DOMAIN_CROSSING:false" "SLAVE7_DATA_WIDTH:32" "SLAVE7_DWC_DATA_FIFO_DEPTH:16" "SLAVE7_END_ADDR:0x3fffffff" "SLAVE7_END_ADDR_UPPER:0x0" "SLAVE7_READ_INTERLEAVE:false" "SLAVE7_START_ADDR:0x38000000" "SLAVE7_START_ADDR_UPPER:0x0" "SLAVE7_TYPE:0" "SLAVE8_CHAN_RS:true" "SLAVE8_CLOCK_DOMAIN_CROSSING:false" "SLAVE8_DATA_WIDTH:32" "SLAVE8_DWC_DATA_FIFO_DEPTH:16" "SLAVE8_END_ADDR:0x47ffffff" "SLAVE8_END_ADDR_UPPER:0x0" "SLAVE8_READ_INTERLEAVE:false" "SLAVE8_START_ADDR:0x40000000" "SLAVE8_START_ADDR_UPPER:0x0" "SLAVE8_TYPE:0" "SLAVE9_CHAN_RS:true" "SLAVE9_CLOCK_DOMAIN_CROSSING:false" "SLAVE9_DATA_WIDTH:32" "SLAVE9_DWC_DATA_FIFO_DEPTH:16" "SLAVE9_END_ADDR:0x4fffffff" "SLAVE9_END_ADDR_UPPER:0x0" "SLAVE9_READ_INTERLEAVE:false" "SLAVE9_START_ADDR:0x48000000" "SLAVE9_START_ADDR_UPPER:0x0" "SLAVE9_TYPE:0" "SLV_AXI4PRT_ADDRDEPTH:4" "SLV_AXI4PRT_DATADEPTH:4" "USER_WIDTH:1"} +create_and_configure_core -core_vlnv {Actel:DirectCore:COREAXI4INTERCONNECT:2.8.103} -component_name {COREAXI4INTERCONNECT_C0} -params {"ADDR_WIDTH:32" "CROSSBAR_MODE:0" "DATA_WIDTH:32" "DWC_ADDR_FIFO_DEPTH_CEILING:10" "ID_WIDTH:8" "MASTER0_CHAN_RS:true" "MASTER0_CLOCK_DOMAIN_CROSSING:false" "MASTER0_DATA_WIDTH:32" "MASTER0_DWC_DATA_FIFO_DEPTH:16" "MASTER0_READ_INTERLEAVE:false" "MASTER0_READ_SLAVE0:true" "MASTER0_READ_SLAVE10:true" "MASTER0_READ_SLAVE11:true" "MASTER0_READ_SLAVE12:true" "MASTER0_READ_SLAVE13:true" "MASTER0_READ_SLAVE14:true" "MASTER0_READ_SLAVE15:true" "MASTER0_READ_SLAVE16:true" "MASTER0_READ_SLAVE17:true" "MASTER0_READ_SLAVE18:true" "MASTER0_READ_SLAVE19:true" "MASTER0_READ_SLAVE1:true" "MASTER0_READ_SLAVE20:true" "MASTER0_READ_SLAVE21:true" "MASTER0_READ_SLAVE22:true" "MASTER0_READ_SLAVE23:true" "MASTER0_READ_SLAVE24:true" "MASTER0_READ_SLAVE25:true" "MASTER0_READ_SLAVE26:true" "MASTER0_READ_SLAVE27:true" "MASTER0_READ_SLAVE28:true" "MASTER0_READ_SLAVE29:true" "MASTER0_READ_SLAVE2:true" "MASTER0_READ_SLAVE30:true" "MASTER0_READ_SLAVE31:true" "MASTER0_READ_SLAVE3:true" "MASTER0_READ_SLAVE4:true" "MASTER0_READ_SLAVE5:true" "MASTER0_READ_SLAVE6:true" "MASTER0_READ_SLAVE7:true" "MASTER0_READ_SLAVE8:true" "MASTER0_READ_SLAVE9:true" "MASTER0_TYPE:0" "MASTER0_WRITE_SLAVE0:true" "MASTER0_WRITE_SLAVE10:true" "MASTER0_WRITE_SLAVE11:true" "MASTER0_WRITE_SLAVE12:true" "MASTER0_WRITE_SLAVE13:true" "MASTER0_WRITE_SLAVE14:true" "MASTER0_WRITE_SLAVE15:true" "MASTER0_WRITE_SLAVE16:true" "MASTER0_WRITE_SLAVE17:true" "MASTER0_WRITE_SLAVE18:true" "MASTER0_WRITE_SLAVE19:true" "MASTER0_WRITE_SLAVE1:true" "MASTER0_WRITE_SLAVE20:true" "MASTER0_WRITE_SLAVE21:true" "MASTER0_WRITE_SLAVE22:true" "MASTER0_WRITE_SLAVE23:true" "MASTER0_WRITE_SLAVE24:true" "MASTER0_WRITE_SLAVE25:true" "MASTER0_WRITE_SLAVE26:true" "MASTER0_WRITE_SLAVE27:true" "MASTER0_WRITE_SLAVE28:true" "MASTER0_WRITE_SLAVE29:true" "MASTER0_WRITE_SLAVE2:true" "MASTER0_WRITE_SLAVE30:true" "MASTER0_WRITE_SLAVE31:true" "MASTER0_WRITE_SLAVE3:true" "MASTER0_WRITE_SLAVE4:true" "MASTER0_WRITE_SLAVE5:true" "MASTER0_WRITE_SLAVE6:true" "MASTER0_WRITE_SLAVE7:true" "MASTER0_WRITE_SLAVE8:true" "MASTER0_WRITE_SLAVE9:true" "MASTER10_CHAN_RS:true" "MASTER10_CLOCK_DOMAIN_CROSSING:false" "MASTER10_DATA_WIDTH:32" "MASTER10_DWC_DATA_FIFO_DEPTH:16" "MASTER10_READ_INTERLEAVE:false" "MASTER10_READ_SLAVE0:true" "MASTER10_READ_SLAVE10:true" "MASTER10_READ_SLAVE11:true" "MASTER10_READ_SLAVE12:true" "MASTER10_READ_SLAVE13:true" "MASTER10_READ_SLAVE14:true" "MASTER10_READ_SLAVE15:true" "MASTER10_READ_SLAVE16:true" "MASTER10_READ_SLAVE17:true" "MASTER10_READ_SLAVE18:true" "MASTER10_READ_SLAVE19:true" "MASTER10_READ_SLAVE1:true" "MASTER10_READ_SLAVE20:true" "MASTER10_READ_SLAVE21:true" "MASTER10_READ_SLAVE22:true" "MASTER10_READ_SLAVE23:true" "MASTER10_READ_SLAVE24:true" "MASTER10_READ_SLAVE25:true" "MASTER10_READ_SLAVE26:true" "MASTER10_READ_SLAVE27:true" "MASTER10_READ_SLAVE28:true" "MASTER10_READ_SLAVE29:true" "MASTER10_READ_SLAVE2:true" "MASTER10_READ_SLAVE30:true" "MASTER10_READ_SLAVE31:true" "MASTER10_READ_SLAVE3:true" "MASTER10_READ_SLAVE4:true" "MASTER10_READ_SLAVE5:true" "MASTER10_READ_SLAVE6:true" "MASTER10_READ_SLAVE7:true" "MASTER10_READ_SLAVE8:true" "MASTER10_READ_SLAVE9:true" "MASTER10_TYPE:0" "MASTER10_WRITE_SLAVE0:true" "MASTER10_WRITE_SLAVE10:true" "MASTER10_WRITE_SLAVE11:true" "MASTER10_WRITE_SLAVE12:true" "MASTER10_WRITE_SLAVE13:true" "MASTER10_WRITE_SLAVE14:true" "MASTER10_WRITE_SLAVE15:true" "MASTER10_WRITE_SLAVE16:true" "MASTER10_WRITE_SLAVE17:true" "MASTER10_WRITE_SLAVE18:true" "MASTER10_WRITE_SLAVE19:true" "MASTER10_WRITE_SLAVE1:true" "MASTER10_WRITE_SLAVE20:true" "MASTER10_WRITE_SLAVE21:true" "MASTER10_WRITE_SLAVE22:true" "MASTER10_WRITE_SLAVE23:true" "MASTER10_WRITE_SLAVE24:true" "MASTER10_WRITE_SLAVE25:true" "MASTER10_WRITE_SLAVE26:true" "MASTER10_WRITE_SLAVE27:true" "MASTER10_WRITE_SLAVE28:true" "MASTER10_WRITE_SLAVE29:true" "MASTER10_WRITE_SLAVE2:true" "MASTER10_WRITE_SLAVE30:true" "MASTER10_WRITE_SLAVE31:true" "MASTER10_WRITE_SLAVE3:true" "MASTER10_WRITE_SLAVE4:true" "MASTER10_WRITE_SLAVE5:true" "MASTER10_WRITE_SLAVE6:true" "MASTER10_WRITE_SLAVE7:true" "MASTER10_WRITE_SLAVE8:true" "MASTER10_WRITE_SLAVE9:true" "MASTER11_CHAN_RS:true" "MASTER11_CLOCK_DOMAIN_CROSSING:false" "MASTER11_DATA_WIDTH:32" "MASTER11_DWC_DATA_FIFO_DEPTH:16" "MASTER11_READ_INTERLEAVE:false" "MASTER11_READ_SLAVE0:true" "MASTER11_READ_SLAVE10:true" "MASTER11_READ_SLAVE11:true" "MASTER11_READ_SLAVE12:true" "MASTER11_READ_SLAVE13:true" "MASTER11_READ_SLAVE14:true" "MASTER11_READ_SLAVE15:true" "MASTER11_READ_SLAVE16:true" "MASTER11_READ_SLAVE17:true" "MASTER11_READ_SLAVE18:true" "MASTER11_READ_SLAVE19:true" "MASTER11_READ_SLAVE1:true" "MASTER11_READ_SLAVE20:true" "MASTER11_READ_SLAVE21:true" "MASTER11_READ_SLAVE22:true" "MASTER11_READ_SLAVE23:true" "MASTER11_READ_SLAVE24:true" "MASTER11_READ_SLAVE25:true" "MASTER11_READ_SLAVE26:true" "MASTER11_READ_SLAVE27:true" "MASTER11_READ_SLAVE28:true" "MASTER11_READ_SLAVE29:true" "MASTER11_READ_SLAVE2:true" "MASTER11_READ_SLAVE30:true" "MASTER11_READ_SLAVE31:true" "MASTER11_READ_SLAVE3:true" "MASTER11_READ_SLAVE4:true" "MASTER11_READ_SLAVE5:true" "MASTER11_READ_SLAVE6:true" "MASTER11_READ_SLAVE7:true" "MASTER11_READ_SLAVE8:true" "MASTER11_READ_SLAVE9:true" "MASTER11_TYPE:0" "MASTER11_WRITE_SLAVE0:true" "MASTER11_WRITE_SLAVE10:true" "MASTER11_WRITE_SLAVE11:true" "MASTER11_WRITE_SLAVE12:true" "MASTER11_WRITE_SLAVE13:true" "MASTER11_WRITE_SLAVE14:true" "MASTER11_WRITE_SLAVE15:true" "MASTER11_WRITE_SLAVE16:true" "MASTER11_WRITE_SLAVE17:true" "MASTER11_WRITE_SLAVE18:true" "MASTER11_WRITE_SLAVE19:true" "MASTER11_WRITE_SLAVE1:true" "MASTER11_WRITE_SLAVE20:true" "MASTER11_WRITE_SLAVE21:true" "MASTER11_WRITE_SLAVE22:true" "MASTER11_WRITE_SLAVE23:true" "MASTER11_WRITE_SLAVE24:true" "MASTER11_WRITE_SLAVE25:true" "MASTER11_WRITE_SLAVE26:true" "MASTER11_WRITE_SLAVE27:true" "MASTER11_WRITE_SLAVE28:true" "MASTER11_WRITE_SLAVE29:true" "MASTER11_WRITE_SLAVE2:true" "MASTER11_WRITE_SLAVE30:true" "MASTER11_WRITE_SLAVE31:true" "MASTER11_WRITE_SLAVE3:true" "MASTER11_WRITE_SLAVE4:true" "MASTER11_WRITE_SLAVE5:true" "MASTER11_WRITE_SLAVE6:true" "MASTER11_WRITE_SLAVE7:true" "MASTER11_WRITE_SLAVE8:true" "MASTER11_WRITE_SLAVE9:true" "MASTER12_CHAN_RS:true" "MASTER12_CLOCK_DOMAIN_CROSSING:false" "MASTER12_DATA_WIDTH:32" "MASTER12_DWC_DATA_FIFO_DEPTH:16" "MASTER12_READ_INTERLEAVE:false" "MASTER12_READ_SLAVE0:true" "MASTER12_READ_SLAVE10:true" "MASTER12_READ_SLAVE11:true" "MASTER12_READ_SLAVE12:true" "MASTER12_READ_SLAVE13:true" "MASTER12_READ_SLAVE14:true" "MASTER12_READ_SLAVE15:true" "MASTER12_READ_SLAVE16:true" "MASTER12_READ_SLAVE17:true" "MASTER12_READ_SLAVE18:true" "MASTER12_READ_SLAVE19:true" "MASTER12_READ_SLAVE1:true" "MASTER12_READ_SLAVE20:true" "MASTER12_READ_SLAVE21:true" "MASTER12_READ_SLAVE22:true" "MASTER12_READ_SLAVE23:true" "MASTER12_READ_SLAVE24:true" "MASTER12_READ_SLAVE25:true" "MASTER12_READ_SLAVE26:true" "MASTER12_READ_SLAVE27:true" "MASTER12_READ_SLAVE28:true" "MASTER12_READ_SLAVE29:true" "MASTER12_READ_SLAVE2:true" "MASTER12_READ_SLAVE30:true" "MASTER12_READ_SLAVE31:true" "MASTER12_READ_SLAVE3:true" "MASTER12_READ_SLAVE4:true" "MASTER12_READ_SLAVE5:true" "MASTER12_READ_SLAVE6:true" "MASTER12_READ_SLAVE7:true" "MASTER12_READ_SLAVE8:true" "MASTER12_READ_SLAVE9:true" "MASTER12_TYPE:0" "MASTER12_WRITE_SLAVE0:true" "MASTER12_WRITE_SLAVE10:true" "MASTER12_WRITE_SLAVE11:true" "MASTER12_WRITE_SLAVE12:true" "MASTER12_WRITE_SLAVE13:true" "MASTER12_WRITE_SLAVE14:true" "MASTER12_WRITE_SLAVE15:true" "MASTER12_WRITE_SLAVE16:true" "MASTER12_WRITE_SLAVE17:true" "MASTER12_WRITE_SLAVE18:true" "MASTER12_WRITE_SLAVE19:true" "MASTER12_WRITE_SLAVE1:true" "MASTER12_WRITE_SLAVE20:true" "MASTER12_WRITE_SLAVE21:true" "MASTER12_WRITE_SLAVE22:true" "MASTER12_WRITE_SLAVE23:true" "MASTER12_WRITE_SLAVE24:true" "MASTER12_WRITE_SLAVE25:true" "MASTER12_WRITE_SLAVE26:true" "MASTER12_WRITE_SLAVE27:true" "MASTER12_WRITE_SLAVE28:true" "MASTER12_WRITE_SLAVE29:true" "MASTER12_WRITE_SLAVE2:true" "MASTER12_WRITE_SLAVE30:true" "MASTER12_WRITE_SLAVE31:true" "MASTER12_WRITE_SLAVE3:true" "MASTER12_WRITE_SLAVE4:true" "MASTER12_WRITE_SLAVE5:true" "MASTER12_WRITE_SLAVE6:true" "MASTER12_WRITE_SLAVE7:true" "MASTER12_WRITE_SLAVE8:true" "MASTER12_WRITE_SLAVE9:true" "MASTER13_CHAN_RS:true" "MASTER13_CLOCK_DOMAIN_CROSSING:false" "MASTER13_DATA_WIDTH:32" "MASTER13_DWC_DATA_FIFO_DEPTH:16" "MASTER13_READ_INTERLEAVE:false" "MASTER13_READ_SLAVE0:true" "MASTER13_READ_SLAVE10:true" "MASTER13_READ_SLAVE11:true" "MASTER13_READ_SLAVE12:true" "MASTER13_READ_SLAVE13:true" "MASTER13_READ_SLAVE14:true" "MASTER13_READ_SLAVE15:true" "MASTER13_READ_SLAVE16:true" "MASTER13_READ_SLAVE17:true" "MASTER13_READ_SLAVE18:true" "MASTER13_READ_SLAVE19:true" "MASTER13_READ_SLAVE1:true" "MASTER13_READ_SLAVE20:true" "MASTER13_READ_SLAVE21:true" "MASTER13_READ_SLAVE22:true" "MASTER13_READ_SLAVE23:true" "MASTER13_READ_SLAVE24:true" "MASTER13_READ_SLAVE25:true" "MASTER13_READ_SLAVE26:true" "MASTER13_READ_SLAVE27:true" "MASTER13_READ_SLAVE28:true" "MASTER13_READ_SLAVE29:true" "MASTER13_READ_SLAVE2:true" "MASTER13_READ_SLAVE30:true" "MASTER13_READ_SLAVE31:true" "MASTER13_READ_SLAVE3:true" "MASTER13_READ_SLAVE4:true" "MASTER13_READ_SLAVE5:true" "MASTER13_READ_SLAVE6:true" "MASTER13_READ_SLAVE7:true" "MASTER13_READ_SLAVE8:true" "MASTER13_READ_SLAVE9:true" "MASTER13_TYPE:0" "MASTER13_WRITE_SLAVE0:true" "MASTER13_WRITE_SLAVE10:true" "MASTER13_WRITE_SLAVE11:true" "MASTER13_WRITE_SLAVE12:true" "MASTER13_WRITE_SLAVE13:true" "MASTER13_WRITE_SLAVE14:true" "MASTER13_WRITE_SLAVE15:true" "MASTER13_WRITE_SLAVE16:true" "MASTER13_WRITE_SLAVE17:true" "MASTER13_WRITE_SLAVE18:true" "MASTER13_WRITE_SLAVE19:true" "MASTER13_WRITE_SLAVE1:true" "MASTER13_WRITE_SLAVE20:true" "MASTER13_WRITE_SLAVE21:true" "MASTER13_WRITE_SLAVE22:true" "MASTER13_WRITE_SLAVE23:true" "MASTER13_WRITE_SLAVE24:true" "MASTER13_WRITE_SLAVE25:true" "MASTER13_WRITE_SLAVE26:true" "MASTER13_WRITE_SLAVE27:true" "MASTER13_WRITE_SLAVE28:true" "MASTER13_WRITE_SLAVE29:true" "MASTER13_WRITE_SLAVE2:true" "MASTER13_WRITE_SLAVE30:true" "MASTER13_WRITE_SLAVE31:true" "MASTER13_WRITE_SLAVE3:true" "MASTER13_WRITE_SLAVE4:true" "MASTER13_WRITE_SLAVE5:true" "MASTER13_WRITE_SLAVE6:true" "MASTER13_WRITE_SLAVE7:true" "MASTER13_WRITE_SLAVE8:true" "MASTER13_WRITE_SLAVE9:true" "MASTER14_CHAN_RS:true" "MASTER14_CLOCK_DOMAIN_CROSSING:false" "MASTER14_DATA_WIDTH:32" "MASTER14_DWC_DATA_FIFO_DEPTH:16" "MASTER14_READ_INTERLEAVE:false" "MASTER14_READ_SLAVE0:true" "MASTER14_READ_SLAVE10:true" "MASTER14_READ_SLAVE11:true" "MASTER14_READ_SLAVE12:true" "MASTER14_READ_SLAVE13:true" "MASTER14_READ_SLAVE14:true" "MASTER14_READ_SLAVE15:true" "MASTER14_READ_SLAVE16:true" "MASTER14_READ_SLAVE17:true" "MASTER14_READ_SLAVE18:true" "MASTER14_READ_SLAVE19:true" "MASTER14_READ_SLAVE1:true" "MASTER14_READ_SLAVE20:true" "MASTER14_READ_SLAVE21:true" "MASTER14_READ_SLAVE22:true" "MASTER14_READ_SLAVE23:true" "MASTER14_READ_SLAVE24:true" "MASTER14_READ_SLAVE25:true" "MASTER14_READ_SLAVE26:true" "MASTER14_READ_SLAVE27:true" "MASTER14_READ_SLAVE28:true" "MASTER14_READ_SLAVE29:true" "MASTER14_READ_SLAVE2:true" "MASTER14_READ_SLAVE30:true" "MASTER14_READ_SLAVE31:true" "MASTER14_READ_SLAVE3:true" "MASTER14_READ_SLAVE4:true" "MASTER14_READ_SLAVE5:true" "MASTER14_READ_SLAVE6:true" "MASTER14_READ_SLAVE7:true" "MASTER14_READ_SLAVE8:true" "MASTER14_READ_SLAVE9:true" "MASTER14_TYPE:0" "MASTER14_WRITE_SLAVE0:true" "MASTER14_WRITE_SLAVE10:true" "MASTER14_WRITE_SLAVE11:true" "MASTER14_WRITE_SLAVE12:true" "MASTER14_WRITE_SLAVE13:true" "MASTER14_WRITE_SLAVE14:true" "MASTER14_WRITE_SLAVE15:true" "MASTER14_WRITE_SLAVE16:true" "MASTER14_WRITE_SLAVE17:true" "MASTER14_WRITE_SLAVE18:true" "MASTER14_WRITE_SLAVE19:true" "MASTER14_WRITE_SLAVE1:true" "MASTER14_WRITE_SLAVE20:true" "MASTER14_WRITE_SLAVE21:true" "MASTER14_WRITE_SLAVE22:true" "MASTER14_WRITE_SLAVE23:true" "MASTER14_WRITE_SLAVE24:true" "MASTER14_WRITE_SLAVE25:true" "MASTER14_WRITE_SLAVE26:true" "MASTER14_WRITE_SLAVE27:true" "MASTER14_WRITE_SLAVE28:true" "MASTER14_WRITE_SLAVE29:true" "MASTER14_WRITE_SLAVE2:true" "MASTER14_WRITE_SLAVE30:true" "MASTER14_WRITE_SLAVE31:true" "MASTER14_WRITE_SLAVE3:true" "MASTER14_WRITE_SLAVE4:true" "MASTER14_WRITE_SLAVE5:true" "MASTER14_WRITE_SLAVE6:true" "MASTER14_WRITE_SLAVE7:true" "MASTER14_WRITE_SLAVE8:true" "MASTER14_WRITE_SLAVE9:true" "MASTER15_CHAN_RS:true" "MASTER15_CLOCK_DOMAIN_CROSSING:false" "MASTER15_DATA_WIDTH:32" "MASTER15_DWC_DATA_FIFO_DEPTH:16" "MASTER15_READ_INTERLEAVE:false" "MASTER15_READ_SLAVE0:true" "MASTER15_READ_SLAVE10:true" "MASTER15_READ_SLAVE11:true" "MASTER15_READ_SLAVE12:true" "MASTER15_READ_SLAVE13:true" "MASTER15_READ_SLAVE14:true" "MASTER15_READ_SLAVE15:true" "MASTER15_READ_SLAVE16:true" "MASTER15_READ_SLAVE17:true" "MASTER15_READ_SLAVE18:true" "MASTER15_READ_SLAVE19:true" "MASTER15_READ_SLAVE1:true" "MASTER15_READ_SLAVE20:true" "MASTER15_READ_SLAVE21:true" "MASTER15_READ_SLAVE22:true" "MASTER15_READ_SLAVE23:true" "MASTER15_READ_SLAVE24:true" "MASTER15_READ_SLAVE25:true" "MASTER15_READ_SLAVE26:true" "MASTER15_READ_SLAVE27:true" "MASTER15_READ_SLAVE28:true" "MASTER15_READ_SLAVE29:true" "MASTER15_READ_SLAVE2:true" "MASTER15_READ_SLAVE30:true" "MASTER15_READ_SLAVE31:true" "MASTER15_READ_SLAVE3:true" "MASTER15_READ_SLAVE4:true" "MASTER15_READ_SLAVE5:true" "MASTER15_READ_SLAVE6:true" "MASTER15_READ_SLAVE7:true" "MASTER15_READ_SLAVE8:true" "MASTER15_READ_SLAVE9:true" "MASTER15_TYPE:0" "MASTER15_WRITE_SLAVE0:true" "MASTER15_WRITE_SLAVE10:true" "MASTER15_WRITE_SLAVE11:true" "MASTER15_WRITE_SLAVE12:true" "MASTER15_WRITE_SLAVE13:true" "MASTER15_WRITE_SLAVE14:true" "MASTER15_WRITE_SLAVE15:true" "MASTER15_WRITE_SLAVE16:true" "MASTER15_WRITE_SLAVE17:true" "MASTER15_WRITE_SLAVE18:true" "MASTER15_WRITE_SLAVE19:true" "MASTER15_WRITE_SLAVE1:true" "MASTER15_WRITE_SLAVE20:true" "MASTER15_WRITE_SLAVE21:true" "MASTER15_WRITE_SLAVE22:true" "MASTER15_WRITE_SLAVE23:true" "MASTER15_WRITE_SLAVE24:true" "MASTER15_WRITE_SLAVE25:true" "MASTER15_WRITE_SLAVE26:true" "MASTER15_WRITE_SLAVE27:true" "MASTER15_WRITE_SLAVE28:true" "MASTER15_WRITE_SLAVE29:true" "MASTER15_WRITE_SLAVE2:true" "MASTER15_WRITE_SLAVE30:true" "MASTER15_WRITE_SLAVE31:true" "MASTER15_WRITE_SLAVE3:true" "MASTER15_WRITE_SLAVE4:true" "MASTER15_WRITE_SLAVE5:true" "MASTER15_WRITE_SLAVE6:true" "MASTER15_WRITE_SLAVE7:true" "MASTER15_WRITE_SLAVE8:true" "MASTER15_WRITE_SLAVE9:true" "MASTER1_CHAN_RS:true" "MASTER1_CLOCK_DOMAIN_CROSSING:false" "MASTER1_DATA_WIDTH:64" "MASTER1_DWC_DATA_FIFO_DEPTH:16" "MASTER1_READ_INTERLEAVE:false" "MASTER1_READ_SLAVE0:true" "MASTER1_READ_SLAVE10:true" "MASTER1_READ_SLAVE11:true" "MASTER1_READ_SLAVE12:true" "MASTER1_READ_SLAVE13:true" "MASTER1_READ_SLAVE14:true" "MASTER1_READ_SLAVE15:true" "MASTER1_READ_SLAVE16:true" "MASTER1_READ_SLAVE17:true" "MASTER1_READ_SLAVE18:true" "MASTER1_READ_SLAVE19:true" "MASTER1_READ_SLAVE1:true" "MASTER1_READ_SLAVE20:true" "MASTER1_READ_SLAVE21:true" "MASTER1_READ_SLAVE22:true" "MASTER1_READ_SLAVE23:true" "MASTER1_READ_SLAVE24:true" "MASTER1_READ_SLAVE25:true" "MASTER1_READ_SLAVE26:true" "MASTER1_READ_SLAVE27:true" "MASTER1_READ_SLAVE28:true" "MASTER1_READ_SLAVE29:true" "MASTER1_READ_SLAVE2:true" "MASTER1_READ_SLAVE30:true" "MASTER1_READ_SLAVE31:true" "MASTER1_READ_SLAVE3:true" "MASTER1_READ_SLAVE4:true" "MASTER1_READ_SLAVE5:true" "MASTER1_READ_SLAVE6:true" "MASTER1_READ_SLAVE7:true" "MASTER1_READ_SLAVE8:true" "MASTER1_READ_SLAVE9:true" "MASTER1_TYPE:0" "MASTER1_WRITE_SLAVE0:true" "MASTER1_WRITE_SLAVE10:true" "MASTER1_WRITE_SLAVE11:true" "MASTER1_WRITE_SLAVE12:true" "MASTER1_WRITE_SLAVE13:true" "MASTER1_WRITE_SLAVE14:true" "MASTER1_WRITE_SLAVE15:true" "MASTER1_WRITE_SLAVE16:true" "MASTER1_WRITE_SLAVE17:true" "MASTER1_WRITE_SLAVE18:true" "MASTER1_WRITE_SLAVE19:true" "MASTER1_WRITE_SLAVE1:true" "MASTER1_WRITE_SLAVE20:true" "MASTER1_WRITE_SLAVE21:true" "MASTER1_WRITE_SLAVE22:true" "MASTER1_WRITE_SLAVE23:true" "MASTER1_WRITE_SLAVE24:true" "MASTER1_WRITE_SLAVE25:true" "MASTER1_WRITE_SLAVE26:true" "MASTER1_WRITE_SLAVE27:true" "MASTER1_WRITE_SLAVE28:true" "MASTER1_WRITE_SLAVE29:true" "MASTER1_WRITE_SLAVE2:true" "MASTER1_WRITE_SLAVE30:true" "MASTER1_WRITE_SLAVE31:true" "MASTER1_WRITE_SLAVE3:true" "MASTER1_WRITE_SLAVE4:true" "MASTER1_WRITE_SLAVE5:true" "MASTER1_WRITE_SLAVE6:true" "MASTER1_WRITE_SLAVE7:true" "MASTER1_WRITE_SLAVE8:true" "MASTER1_WRITE_SLAVE9:true" "MASTER2_CHAN_RS:true" "MASTER2_CLOCK_DOMAIN_CROSSING:false" "MASTER2_DATA_WIDTH:32" "MASTER2_DWC_DATA_FIFO_DEPTH:16" "MASTER2_READ_INTERLEAVE:false" "MASTER2_READ_SLAVE0:true" "MASTER2_READ_SLAVE10:true" "MASTER2_READ_SLAVE11:true" "MASTER2_READ_SLAVE12:true" "MASTER2_READ_SLAVE13:true" "MASTER2_READ_SLAVE14:true" "MASTER2_READ_SLAVE15:true" "MASTER2_READ_SLAVE16:true" "MASTER2_READ_SLAVE17:true" "MASTER2_READ_SLAVE18:true" "MASTER2_READ_SLAVE19:true" "MASTER2_READ_SLAVE1:true" "MASTER2_READ_SLAVE20:true" "MASTER2_READ_SLAVE21:true" "MASTER2_READ_SLAVE22:true" "MASTER2_READ_SLAVE23:true" "MASTER2_READ_SLAVE24:true" "MASTER2_READ_SLAVE25:true" "MASTER2_READ_SLAVE26:true" "MASTER2_READ_SLAVE27:true" "MASTER2_READ_SLAVE28:true" "MASTER2_READ_SLAVE29:true" "MASTER2_READ_SLAVE2:true" "MASTER2_READ_SLAVE30:true" "MASTER2_READ_SLAVE31:true" "MASTER2_READ_SLAVE3:true" "MASTER2_READ_SLAVE4:true" "MASTER2_READ_SLAVE5:true" "MASTER2_READ_SLAVE6:true" "MASTER2_READ_SLAVE7:true" "MASTER2_READ_SLAVE8:true" "MASTER2_READ_SLAVE9:true" "MASTER2_TYPE:0" "MASTER2_WRITE_SLAVE0:true" "MASTER2_WRITE_SLAVE10:true" "MASTER2_WRITE_SLAVE11:true" "MASTER2_WRITE_SLAVE12:true" "MASTER2_WRITE_SLAVE13:true" "MASTER2_WRITE_SLAVE14:true" "MASTER2_WRITE_SLAVE15:true" "MASTER2_WRITE_SLAVE16:true" "MASTER2_WRITE_SLAVE17:true" "MASTER2_WRITE_SLAVE18:true" "MASTER2_WRITE_SLAVE19:true" "MASTER2_WRITE_SLAVE1:true" "MASTER2_WRITE_SLAVE20:true" "MASTER2_WRITE_SLAVE21:true" "MASTER2_WRITE_SLAVE22:true" "MASTER2_WRITE_SLAVE23:true" "MASTER2_WRITE_SLAVE24:true" "MASTER2_WRITE_SLAVE25:true" "MASTER2_WRITE_SLAVE26:true" "MASTER2_WRITE_SLAVE27:true" "MASTER2_WRITE_SLAVE28:true" "MASTER2_WRITE_SLAVE29:true" "MASTER2_WRITE_SLAVE2:true" "MASTER2_WRITE_SLAVE30:true" "MASTER2_WRITE_SLAVE31:true" "MASTER2_WRITE_SLAVE3:true" "MASTER2_WRITE_SLAVE4:true" "MASTER2_WRITE_SLAVE5:true" "MASTER2_WRITE_SLAVE6:true" "MASTER2_WRITE_SLAVE7:true" "MASTER2_WRITE_SLAVE8:true" "MASTER2_WRITE_SLAVE9:true" "MASTER3_CHAN_RS:true" "MASTER3_CLOCK_DOMAIN_CROSSING:false" "MASTER3_DATA_WIDTH:32" "MASTER3_DWC_DATA_FIFO_DEPTH:16" "MASTER3_READ_INTERLEAVE:false" "MASTER3_READ_SLAVE0:true" "MASTER3_READ_SLAVE10:true" "MASTER3_READ_SLAVE11:true" "MASTER3_READ_SLAVE12:true" "MASTER3_READ_SLAVE13:true" "MASTER3_READ_SLAVE14:true" "MASTER3_READ_SLAVE15:true" "MASTER3_READ_SLAVE16:true" "MASTER3_READ_SLAVE17:true" "MASTER3_READ_SLAVE18:true" "MASTER3_READ_SLAVE19:true" "MASTER3_READ_SLAVE1:true" "MASTER3_READ_SLAVE20:true" "MASTER3_READ_SLAVE21:true" "MASTER3_READ_SLAVE22:true" "MASTER3_READ_SLAVE23:true" "MASTER3_READ_SLAVE24:true" "MASTER3_READ_SLAVE25:true" "MASTER3_READ_SLAVE26:true" "MASTER3_READ_SLAVE27:true" "MASTER3_READ_SLAVE28:true" "MASTER3_READ_SLAVE29:true" "MASTER3_READ_SLAVE2:true" "MASTER3_READ_SLAVE30:true" "MASTER3_READ_SLAVE31:true" "MASTER3_READ_SLAVE3:true" "MASTER3_READ_SLAVE4:true" "MASTER3_READ_SLAVE5:true" "MASTER3_READ_SLAVE6:true" "MASTER3_READ_SLAVE7:true" "MASTER3_READ_SLAVE8:true" "MASTER3_READ_SLAVE9:true" "MASTER3_TYPE:0" "MASTER3_WRITE_SLAVE0:true" "MASTER3_WRITE_SLAVE10:true" "MASTER3_WRITE_SLAVE11:true" "MASTER3_WRITE_SLAVE12:true" "MASTER3_WRITE_SLAVE13:true" "MASTER3_WRITE_SLAVE14:true" "MASTER3_WRITE_SLAVE15:true" "MASTER3_WRITE_SLAVE16:true" "MASTER3_WRITE_SLAVE17:true" "MASTER3_WRITE_SLAVE18:true" "MASTER3_WRITE_SLAVE19:true" "MASTER3_WRITE_SLAVE1:true" "MASTER3_WRITE_SLAVE20:true" "MASTER3_WRITE_SLAVE21:true" "MASTER3_WRITE_SLAVE22:true" "MASTER3_WRITE_SLAVE23:true" "MASTER3_WRITE_SLAVE24:true" "MASTER3_WRITE_SLAVE25:true" "MASTER3_WRITE_SLAVE26:true" "MASTER3_WRITE_SLAVE27:true" "MASTER3_WRITE_SLAVE28:true" "MASTER3_WRITE_SLAVE29:true" "MASTER3_WRITE_SLAVE2:true" "MASTER3_WRITE_SLAVE30:true" "MASTER3_WRITE_SLAVE31:true" "MASTER3_WRITE_SLAVE3:true" "MASTER3_WRITE_SLAVE4:true" "MASTER3_WRITE_SLAVE5:true" "MASTER3_WRITE_SLAVE6:true" "MASTER3_WRITE_SLAVE7:true" "MASTER3_WRITE_SLAVE8:true" "MASTER3_WRITE_SLAVE9:true" "MASTER4_CHAN_RS:true" "MASTER4_CLOCK_DOMAIN_CROSSING:false" "MASTER4_DATA_WIDTH:32" "MASTER4_DWC_DATA_FIFO_DEPTH:16" "MASTER4_READ_INTERLEAVE:false" "MASTER4_READ_SLAVE0:true" "MASTER4_READ_SLAVE10:true" "MASTER4_READ_SLAVE11:true" "MASTER4_READ_SLAVE12:true" "MASTER4_READ_SLAVE13:true" "MASTER4_READ_SLAVE14:true" "MASTER4_READ_SLAVE15:true" "MASTER4_READ_SLAVE16:true" "MASTER4_READ_SLAVE17:true" "MASTER4_READ_SLAVE18:true" "MASTER4_READ_SLAVE19:true" "MASTER4_READ_SLAVE1:true" "MASTER4_READ_SLAVE20:true" "MASTER4_READ_SLAVE21:true" "MASTER4_READ_SLAVE22:true" "MASTER4_READ_SLAVE23:true" "MASTER4_READ_SLAVE24:true" "MASTER4_READ_SLAVE25:true" "MASTER4_READ_SLAVE26:true" "MASTER4_READ_SLAVE27:true" "MASTER4_READ_SLAVE28:true" "MASTER4_READ_SLAVE29:true" "MASTER4_READ_SLAVE2:true" "MASTER4_READ_SLAVE30:true" "MASTER4_READ_SLAVE31:true" "MASTER4_READ_SLAVE3:true" "MASTER4_READ_SLAVE4:true" "MASTER4_READ_SLAVE5:true" "MASTER4_READ_SLAVE6:true" "MASTER4_READ_SLAVE7:true" "MASTER4_READ_SLAVE8:true" "MASTER4_READ_SLAVE9:true" "MASTER4_TYPE:0" "MASTER4_WRITE_SLAVE0:true" "MASTER4_WRITE_SLAVE10:true" "MASTER4_WRITE_SLAVE11:true" "MASTER4_WRITE_SLAVE12:true" "MASTER4_WRITE_SLAVE13:true" "MASTER4_WRITE_SLAVE14:true" "MASTER4_WRITE_SLAVE15:true" "MASTER4_WRITE_SLAVE16:true" "MASTER4_WRITE_SLAVE17:true" "MASTER4_WRITE_SLAVE18:true" "MASTER4_WRITE_SLAVE19:true" "MASTER4_WRITE_SLAVE1:true" "MASTER4_WRITE_SLAVE20:true" "MASTER4_WRITE_SLAVE21:true" "MASTER4_WRITE_SLAVE22:true" "MASTER4_WRITE_SLAVE23:true" "MASTER4_WRITE_SLAVE24:true" "MASTER4_WRITE_SLAVE25:true" "MASTER4_WRITE_SLAVE26:true" "MASTER4_WRITE_SLAVE27:true" "MASTER4_WRITE_SLAVE28:true" "MASTER4_WRITE_SLAVE29:true" "MASTER4_WRITE_SLAVE2:true" "MASTER4_WRITE_SLAVE30:true" "MASTER4_WRITE_SLAVE31:true" "MASTER4_WRITE_SLAVE3:true" "MASTER4_WRITE_SLAVE4:true" "MASTER4_WRITE_SLAVE5:true" "MASTER4_WRITE_SLAVE6:true" "MASTER4_WRITE_SLAVE7:true" "MASTER4_WRITE_SLAVE8:true" "MASTER4_WRITE_SLAVE9:true" "MASTER5_CHAN_RS:true" "MASTER5_CLOCK_DOMAIN_CROSSING:false" "MASTER5_DATA_WIDTH:32" "MASTER5_DWC_DATA_FIFO_DEPTH:16" "MASTER5_READ_INTERLEAVE:false" "MASTER5_READ_SLAVE0:true" "MASTER5_READ_SLAVE10:true" "MASTER5_READ_SLAVE11:true" "MASTER5_READ_SLAVE12:true" "MASTER5_READ_SLAVE13:true" "MASTER5_READ_SLAVE14:true" "MASTER5_READ_SLAVE15:true" "MASTER5_READ_SLAVE16:true" "MASTER5_READ_SLAVE17:true" "MASTER5_READ_SLAVE18:true" "MASTER5_READ_SLAVE19:true" "MASTER5_READ_SLAVE1:true" "MASTER5_READ_SLAVE20:true" "MASTER5_READ_SLAVE21:true" "MASTER5_READ_SLAVE22:true" "MASTER5_READ_SLAVE23:true" "MASTER5_READ_SLAVE24:true" "MASTER5_READ_SLAVE25:true" "MASTER5_READ_SLAVE26:true" "MASTER5_READ_SLAVE27:true" "MASTER5_READ_SLAVE28:true" "MASTER5_READ_SLAVE29:true" "MASTER5_READ_SLAVE2:true" "MASTER5_READ_SLAVE30:true" "MASTER5_READ_SLAVE31:true" "MASTER5_READ_SLAVE3:true" "MASTER5_READ_SLAVE4:true" "MASTER5_READ_SLAVE5:true" "MASTER5_READ_SLAVE6:true" "MASTER5_READ_SLAVE7:true" "MASTER5_READ_SLAVE8:true" "MASTER5_READ_SLAVE9:true" "MASTER5_TYPE:0" "MASTER5_WRITE_SLAVE0:true" "MASTER5_WRITE_SLAVE10:true" "MASTER5_WRITE_SLAVE11:true" "MASTER5_WRITE_SLAVE12:true" "MASTER5_WRITE_SLAVE13:true" "MASTER5_WRITE_SLAVE14:true" "MASTER5_WRITE_SLAVE15:true" "MASTER5_WRITE_SLAVE16:true" "MASTER5_WRITE_SLAVE17:true" "MASTER5_WRITE_SLAVE18:true" "MASTER5_WRITE_SLAVE19:true" "MASTER5_WRITE_SLAVE1:true" "MASTER5_WRITE_SLAVE20:true" "MASTER5_WRITE_SLAVE21:true" "MASTER5_WRITE_SLAVE22:true" "MASTER5_WRITE_SLAVE23:true" "MASTER5_WRITE_SLAVE24:true" "MASTER5_WRITE_SLAVE25:true" "MASTER5_WRITE_SLAVE26:true" "MASTER5_WRITE_SLAVE27:true" "MASTER5_WRITE_SLAVE28:true" "MASTER5_WRITE_SLAVE29:true" "MASTER5_WRITE_SLAVE2:true" "MASTER5_WRITE_SLAVE30:true" "MASTER5_WRITE_SLAVE31:true" "MASTER5_WRITE_SLAVE3:true" "MASTER5_WRITE_SLAVE4:true" "MASTER5_WRITE_SLAVE5:true" "MASTER5_WRITE_SLAVE6:true" "MASTER5_WRITE_SLAVE7:true" "MASTER5_WRITE_SLAVE8:true" "MASTER5_WRITE_SLAVE9:true" "MASTER6_CHAN_RS:true" "MASTER6_CLOCK_DOMAIN_CROSSING:false" "MASTER6_DATA_WIDTH:32" "MASTER6_DWC_DATA_FIFO_DEPTH:16" "MASTER6_READ_INTERLEAVE:false" "MASTER6_READ_SLAVE0:true" "MASTER6_READ_SLAVE10:true" "MASTER6_READ_SLAVE11:true" "MASTER6_READ_SLAVE12:true" "MASTER6_READ_SLAVE13:true" "MASTER6_READ_SLAVE14:true" "MASTER6_READ_SLAVE15:true" "MASTER6_READ_SLAVE16:true" "MASTER6_READ_SLAVE17:true" "MASTER6_READ_SLAVE18:true" "MASTER6_READ_SLAVE19:true" "MASTER6_READ_SLAVE1:true" "MASTER6_READ_SLAVE20:true" "MASTER6_READ_SLAVE21:true" "MASTER6_READ_SLAVE22:true" "MASTER6_READ_SLAVE23:true" "MASTER6_READ_SLAVE24:true" "MASTER6_READ_SLAVE25:true" "MASTER6_READ_SLAVE26:true" "MASTER6_READ_SLAVE27:true" "MASTER6_READ_SLAVE28:true" "MASTER6_READ_SLAVE29:true" "MASTER6_READ_SLAVE2:true" "MASTER6_READ_SLAVE30:true" "MASTER6_READ_SLAVE31:true" "MASTER6_READ_SLAVE3:true" "MASTER6_READ_SLAVE4:true" "MASTER6_READ_SLAVE5:true" "MASTER6_READ_SLAVE6:true" "MASTER6_READ_SLAVE7:true" "MASTER6_READ_SLAVE8:true" "MASTER6_READ_SLAVE9:true" "MASTER6_TYPE:0" "MASTER6_WRITE_SLAVE0:true" "MASTER6_WRITE_SLAVE10:true" "MASTER6_WRITE_SLAVE11:true" "MASTER6_WRITE_SLAVE12:true" "MASTER6_WRITE_SLAVE13:true" "MASTER6_WRITE_SLAVE14:true" "MASTER6_WRITE_SLAVE15:true" "MASTER6_WRITE_SLAVE16:true" "MASTER6_WRITE_SLAVE17:true" "MASTER6_WRITE_SLAVE18:true" "MASTER6_WRITE_SLAVE19:true" "MASTER6_WRITE_SLAVE1:true" "MASTER6_WRITE_SLAVE20:true" "MASTER6_WRITE_SLAVE21:true" "MASTER6_WRITE_SLAVE22:true" "MASTER6_WRITE_SLAVE23:true" "MASTER6_WRITE_SLAVE24:true" "MASTER6_WRITE_SLAVE25:true" "MASTER6_WRITE_SLAVE26:true" "MASTER6_WRITE_SLAVE27:true" "MASTER6_WRITE_SLAVE28:true" "MASTER6_WRITE_SLAVE29:true" "MASTER6_WRITE_SLAVE2:true" "MASTER6_WRITE_SLAVE30:true" "MASTER6_WRITE_SLAVE31:true" "MASTER6_WRITE_SLAVE3:true" "MASTER6_WRITE_SLAVE4:true" "MASTER6_WRITE_SLAVE5:true" "MASTER6_WRITE_SLAVE6:true" "MASTER6_WRITE_SLAVE7:true" "MASTER6_WRITE_SLAVE8:true" "MASTER6_WRITE_SLAVE9:true" "MASTER7_CHAN_RS:true" "MASTER7_CLOCK_DOMAIN_CROSSING:false" "MASTER7_DATA_WIDTH:32" "MASTER7_DWC_DATA_FIFO_DEPTH:16" "MASTER7_READ_INTERLEAVE:false" "MASTER7_READ_SLAVE0:true" "MASTER7_READ_SLAVE10:true" "MASTER7_READ_SLAVE11:true" "MASTER7_READ_SLAVE12:true" "MASTER7_READ_SLAVE13:true" "MASTER7_READ_SLAVE14:true" "MASTER7_READ_SLAVE15:true" "MASTER7_READ_SLAVE16:true" "MASTER7_READ_SLAVE17:true" "MASTER7_READ_SLAVE18:true" "MASTER7_READ_SLAVE19:true" "MASTER7_READ_SLAVE1:true" "MASTER7_READ_SLAVE20:true" "MASTER7_READ_SLAVE21:true" "MASTER7_READ_SLAVE22:true" "MASTER7_READ_SLAVE23:true" "MASTER7_READ_SLAVE24:true" "MASTER7_READ_SLAVE25:true" "MASTER7_READ_SLAVE26:true" "MASTER7_READ_SLAVE27:true" "MASTER7_READ_SLAVE28:true" "MASTER7_READ_SLAVE29:true" "MASTER7_READ_SLAVE2:true" "MASTER7_READ_SLAVE30:true" "MASTER7_READ_SLAVE31:true" "MASTER7_READ_SLAVE3:true" "MASTER7_READ_SLAVE4:true" "MASTER7_READ_SLAVE5:true" "MASTER7_READ_SLAVE6:true" "MASTER7_READ_SLAVE7:true" "MASTER7_READ_SLAVE8:true" "MASTER7_READ_SLAVE9:true" "MASTER7_TYPE:0" "MASTER7_WRITE_SLAVE0:true" "MASTER7_WRITE_SLAVE10:true" "MASTER7_WRITE_SLAVE11:true" "MASTER7_WRITE_SLAVE12:true" "MASTER7_WRITE_SLAVE13:true" "MASTER7_WRITE_SLAVE14:true" "MASTER7_WRITE_SLAVE15:true" "MASTER7_WRITE_SLAVE16:true" "MASTER7_WRITE_SLAVE17:true" "MASTER7_WRITE_SLAVE18:true" "MASTER7_WRITE_SLAVE19:true" "MASTER7_WRITE_SLAVE1:true" "MASTER7_WRITE_SLAVE20:true" "MASTER7_WRITE_SLAVE21:true" "MASTER7_WRITE_SLAVE22:true" "MASTER7_WRITE_SLAVE23:true" "MASTER7_WRITE_SLAVE24:true" "MASTER7_WRITE_SLAVE25:true" "MASTER7_WRITE_SLAVE26:true" "MASTER7_WRITE_SLAVE27:true" "MASTER7_WRITE_SLAVE28:true" "MASTER7_WRITE_SLAVE29:true" "MASTER7_WRITE_SLAVE2:true" "MASTER7_WRITE_SLAVE30:true" "MASTER7_WRITE_SLAVE31:true" "MASTER7_WRITE_SLAVE3:true" "MASTER7_WRITE_SLAVE4:true" "MASTER7_WRITE_SLAVE5:true" "MASTER7_WRITE_SLAVE6:true" "MASTER7_WRITE_SLAVE7:true" "MASTER7_WRITE_SLAVE8:true" "MASTER7_WRITE_SLAVE9:true" "MASTER8_CHAN_RS:true" "MASTER8_CLOCK_DOMAIN_CROSSING:false" "MASTER8_DATA_WIDTH:32" "MASTER8_DWC_DATA_FIFO_DEPTH:16" "MASTER8_READ_INTERLEAVE:false" "MASTER8_READ_SLAVE0:true" "MASTER8_READ_SLAVE10:true" "MASTER8_READ_SLAVE11:true" "MASTER8_READ_SLAVE12:true" "MASTER8_READ_SLAVE13:true" "MASTER8_READ_SLAVE14:true" "MASTER8_READ_SLAVE15:true" "MASTER8_READ_SLAVE16:true" "MASTER8_READ_SLAVE17:true" "MASTER8_READ_SLAVE18:true" "MASTER8_READ_SLAVE19:true" "MASTER8_READ_SLAVE1:true" "MASTER8_READ_SLAVE20:true" "MASTER8_READ_SLAVE21:true" "MASTER8_READ_SLAVE22:true" "MASTER8_READ_SLAVE23:true" "MASTER8_READ_SLAVE24:true" "MASTER8_READ_SLAVE25:true" "MASTER8_READ_SLAVE26:true" "MASTER8_READ_SLAVE27:true" "MASTER8_READ_SLAVE28:true" "MASTER8_READ_SLAVE29:true" "MASTER8_READ_SLAVE2:true" "MASTER8_READ_SLAVE30:true" "MASTER8_READ_SLAVE31:true" "MASTER8_READ_SLAVE3:true" "MASTER8_READ_SLAVE4:true" "MASTER8_READ_SLAVE5:true" "MASTER8_READ_SLAVE6:true" "MASTER8_READ_SLAVE7:true" "MASTER8_READ_SLAVE8:true" "MASTER8_READ_SLAVE9:true" "MASTER8_TYPE:0" "MASTER8_WRITE_SLAVE0:true" "MASTER8_WRITE_SLAVE10:true" "MASTER8_WRITE_SLAVE11:true" "MASTER8_WRITE_SLAVE12:true" "MASTER8_WRITE_SLAVE13:true" "MASTER8_WRITE_SLAVE14:true" "MASTER8_WRITE_SLAVE15:true" "MASTER8_WRITE_SLAVE16:true" "MASTER8_WRITE_SLAVE17:true" "MASTER8_WRITE_SLAVE18:true" "MASTER8_WRITE_SLAVE19:true" "MASTER8_WRITE_SLAVE1:true" "MASTER8_WRITE_SLAVE20:true" "MASTER8_WRITE_SLAVE21:true" "MASTER8_WRITE_SLAVE22:true" "MASTER8_WRITE_SLAVE23:true" "MASTER8_WRITE_SLAVE24:true" "MASTER8_WRITE_SLAVE25:true" "MASTER8_WRITE_SLAVE26:true" "MASTER8_WRITE_SLAVE27:true" "MASTER8_WRITE_SLAVE28:true" "MASTER8_WRITE_SLAVE29:true" "MASTER8_WRITE_SLAVE2:true" "MASTER8_WRITE_SLAVE30:true" "MASTER8_WRITE_SLAVE31:true" "MASTER8_WRITE_SLAVE3:true" "MASTER8_WRITE_SLAVE4:true" "MASTER8_WRITE_SLAVE5:true" "MASTER8_WRITE_SLAVE6:true" "MASTER8_WRITE_SLAVE7:true" "MASTER8_WRITE_SLAVE8:true" "MASTER8_WRITE_SLAVE9:true" "MASTER9_CHAN_RS:true" "MASTER9_CLOCK_DOMAIN_CROSSING:false" "MASTER9_DATA_WIDTH:32" "MASTER9_DWC_DATA_FIFO_DEPTH:16" "MASTER9_READ_INTERLEAVE:false" "MASTER9_READ_SLAVE0:true" "MASTER9_READ_SLAVE10:true" "MASTER9_READ_SLAVE11:true" "MASTER9_READ_SLAVE12:true" "MASTER9_READ_SLAVE13:true" "MASTER9_READ_SLAVE14:true" "MASTER9_READ_SLAVE15:true" "MASTER9_READ_SLAVE16:true" "MASTER9_READ_SLAVE17:true" "MASTER9_READ_SLAVE18:true" "MASTER9_READ_SLAVE19:true" "MASTER9_READ_SLAVE1:true" "MASTER9_READ_SLAVE20:true" "MASTER9_READ_SLAVE21:true" "MASTER9_READ_SLAVE22:true" "MASTER9_READ_SLAVE23:true" "MASTER9_READ_SLAVE24:true" "MASTER9_READ_SLAVE25:true" "MASTER9_READ_SLAVE26:true" "MASTER9_READ_SLAVE27:true" "MASTER9_READ_SLAVE28:true" "MASTER9_READ_SLAVE29:true" "MASTER9_READ_SLAVE2:true" "MASTER9_READ_SLAVE30:true" "MASTER9_READ_SLAVE31:true" "MASTER9_READ_SLAVE3:true" "MASTER9_READ_SLAVE4:true" "MASTER9_READ_SLAVE5:true" "MASTER9_READ_SLAVE6:true" "MASTER9_READ_SLAVE7:true" "MASTER9_READ_SLAVE8:true" "MASTER9_READ_SLAVE9:true" "MASTER9_TYPE:0" "MASTER9_WRITE_SLAVE0:true" "MASTER9_WRITE_SLAVE10:true" "MASTER9_WRITE_SLAVE11:true" "MASTER9_WRITE_SLAVE12:true" "MASTER9_WRITE_SLAVE13:true" "MASTER9_WRITE_SLAVE14:true" "MASTER9_WRITE_SLAVE15:true" "MASTER9_WRITE_SLAVE16:true" "MASTER9_WRITE_SLAVE17:true" "MASTER9_WRITE_SLAVE18:true" "MASTER9_WRITE_SLAVE19:true" "MASTER9_WRITE_SLAVE1:true" "MASTER9_WRITE_SLAVE20:true" "MASTER9_WRITE_SLAVE21:true" "MASTER9_WRITE_SLAVE22:true" "MASTER9_WRITE_SLAVE23:true" "MASTER9_WRITE_SLAVE24:true" "MASTER9_WRITE_SLAVE25:true" "MASTER9_WRITE_SLAVE26:true" "MASTER9_WRITE_SLAVE27:true" "MASTER9_WRITE_SLAVE28:true" "MASTER9_WRITE_SLAVE29:true" "MASTER9_WRITE_SLAVE2:true" "MASTER9_WRITE_SLAVE30:true" "MASTER9_WRITE_SLAVE31:true" "MASTER9_WRITE_SLAVE3:true" "MASTER9_WRITE_SLAVE4:true" "MASTER9_WRITE_SLAVE5:true" "MASTER9_WRITE_SLAVE6:true" "MASTER9_WRITE_SLAVE7:true" "MASTER9_WRITE_SLAVE8:true" "MASTER9_WRITE_SLAVE9:true" "NUM_MASTERS:2" "NUM_MASTERS_WIDTH:1" "NUM_SLAVES:1" "NUM_THREADS:1" "OPEN_TRANS_MAX:2" "OPTIMIZATION:3" "RD_ARB_EN:true" "SLAVE0_CHAN_RS:true" "SLAVE0_CLOCK_DOMAIN_CROSSING:false" "SLAVE0_DATA_WIDTH:64" "SLAVE0_DWC_DATA_FIFO_DEPTH:16" "SLAVE0_END_ADDR:0x7fffffff" "SLAVE0_END_ADDR_UPPER:0x0" "SLAVE0_READ_INTERLEAVE:false" "SLAVE0_START_ADDR:0x60000000" "SLAVE0_START_ADDR_UPPER:0x0" "SLAVE0_TYPE:0" "SLAVE10_CHAN_RS:true" "SLAVE10_CLOCK_DOMAIN_CROSSING:false" "SLAVE10_DATA_WIDTH:32" "SLAVE10_DWC_DATA_FIFO_DEPTH:16" "SLAVE10_END_ADDR:0x57ffffff" "SLAVE10_END_ADDR_UPPER:0x0" "SLAVE10_READ_INTERLEAVE:false" "SLAVE10_START_ADDR:0x50000000" "SLAVE10_START_ADDR_UPPER:0x0" "SLAVE10_TYPE:0" "SLAVE11_CHAN_RS:true" "SLAVE11_CLOCK_DOMAIN_CROSSING:false" "SLAVE11_DATA_WIDTH:32" "SLAVE11_DWC_DATA_FIFO_DEPTH:16" "SLAVE11_END_ADDR:0x5fffffff" "SLAVE11_END_ADDR_UPPER:0x0" "SLAVE11_READ_INTERLEAVE:false" "SLAVE11_START_ADDR:0x58000000" "SLAVE11_START_ADDR_UPPER:0x0" "SLAVE11_TYPE:0" "SLAVE12_CHAN_RS:true" "SLAVE12_CLOCK_DOMAIN_CROSSING:false" "SLAVE12_DATA_WIDTH:32" "SLAVE12_DWC_DATA_FIFO_DEPTH:16" "SLAVE12_END_ADDR:0x902fffff" "SLAVE12_END_ADDR_UPPER:0x0" "SLAVE12_READ_INTERLEAVE:false" "SLAVE12_START_ADDR:0x90000000" "SLAVE12_START_ADDR_UPPER:0x0" "SLAVE12_TYPE:0" "SLAVE13_CHAN_RS:true" "SLAVE13_CLOCK_DOMAIN_CROSSING:false" "SLAVE13_DATA_WIDTH:32" "SLAVE13_DWC_DATA_FIFO_DEPTH:16" "SLAVE13_END_ADDR:0x905fffff" "SLAVE13_END_ADDR_UPPER:0x0" "SLAVE13_READ_INTERLEAVE:false" "SLAVE13_START_ADDR:0x90300000" "SLAVE13_START_ADDR_UPPER:0x0" "SLAVE13_TYPE:0" "SLAVE14_CHAN_RS:true" "SLAVE14_CLOCK_DOMAIN_CROSSING:false" "SLAVE14_DATA_WIDTH:32" "SLAVE14_DWC_DATA_FIFO_DEPTH:16" "SLAVE14_END_ADDR:0x908fffff" "SLAVE14_END_ADDR_UPPER:0x0" "SLAVE14_READ_INTERLEAVE:false" "SLAVE14_START_ADDR:0x90600000" "SLAVE14_START_ADDR_UPPER:0x0" "SLAVE14_TYPE:0" "SLAVE15_CHAN_RS:true" "SLAVE15_CLOCK_DOMAIN_CROSSING:false" "SLAVE15_DATA_WIDTH:32" "SLAVE15_DWC_DATA_FIFO_DEPTH:16" "SLAVE15_END_ADDR:0x90bfffff" "SLAVE15_END_ADDR_UPPER:0x0" "SLAVE15_READ_INTERLEAVE:false" "SLAVE15_START_ADDR:0x90900000" "SLAVE15_START_ADDR_UPPER:0x0" "SLAVE15_TYPE:0" "SLAVE16_CHAN_RS:true" "SLAVE16_CLOCK_DOMAIN_CROSSING:false" "SLAVE16_DATA_WIDTH:32" "SLAVE16_DWC_DATA_FIFO_DEPTH:16" "SLAVE16_END_ADDR:0x90efffff" "SLAVE16_END_ADDR_UPPER:0x0" "SLAVE16_READ_INTERLEAVE:false" "SLAVE16_START_ADDR:0x90c00000" "SLAVE16_START_ADDR_UPPER:0x0" "SLAVE16_TYPE:0" "SLAVE17_CHAN_RS:true" "SLAVE17_CLOCK_DOMAIN_CROSSING:false" "SLAVE17_DATA_WIDTH:32" "SLAVE17_DWC_DATA_FIFO_DEPTH:16" "SLAVE17_END_ADDR:0x911fffff" "SLAVE17_END_ADDR_UPPER:0x0" "SLAVE17_READ_INTERLEAVE:false" "SLAVE17_START_ADDR:0x90f00000" "SLAVE17_START_ADDR_UPPER:0x0" "SLAVE17_TYPE:0" "SLAVE18_CHAN_RS:true" "SLAVE18_CLOCK_DOMAIN_CROSSING:false" "SLAVE18_DATA_WIDTH:32" "SLAVE18_DWC_DATA_FIFO_DEPTH:16" "SLAVE18_END_ADDR:0x914fffff" "SLAVE18_END_ADDR_UPPER:0x0" "SLAVE18_READ_INTERLEAVE:false" "SLAVE18_START_ADDR:0x91200000" "SLAVE18_START_ADDR_UPPER:0x0" "SLAVE18_TYPE:0" "SLAVE19_CHAN_RS:true" "SLAVE19_CLOCK_DOMAIN_CROSSING:false" "SLAVE19_DATA_WIDTH:32" "SLAVE19_DWC_DATA_FIFO_DEPTH:16" "SLAVE19_END_ADDR:0x917fffff" "SLAVE19_END_ADDR_UPPER:0x0" "SLAVE19_READ_INTERLEAVE:false" "SLAVE19_START_ADDR:0x91500000" "SLAVE19_START_ADDR_UPPER:0x0" "SLAVE19_TYPE:0" "SLAVE1_CHAN_RS:true" "SLAVE1_CLOCK_DOMAIN_CROSSING:false" "SLAVE1_DATA_WIDTH:32" "SLAVE1_DWC_DATA_FIFO_DEPTH:16" "SLAVE1_END_ADDR:0x8fffffff" "SLAVE1_END_ADDR_UPPER:0x0" "SLAVE1_READ_INTERLEAVE:false" "SLAVE1_START_ADDR:0x80000000" "SLAVE1_START_ADDR_UPPER:0x0" "SLAVE1_TYPE:0" "SLAVE20_CHAN_RS:true" "SLAVE20_CLOCK_DOMAIN_CROSSING:false" "SLAVE20_DATA_WIDTH:32" "SLAVE20_DWC_DATA_FIFO_DEPTH:16" "SLAVE20_END_ADDR:0x91afffff" "SLAVE20_END_ADDR_UPPER:0x0" "SLAVE20_READ_INTERLEAVE:false" "SLAVE20_START_ADDR:0x91800000" "SLAVE20_START_ADDR_UPPER:0x0" "SLAVE20_TYPE:0" "SLAVE21_CHAN_RS:true" "SLAVE21_CLOCK_DOMAIN_CROSSING:false" "SLAVE21_DATA_WIDTH:32" "SLAVE21_DWC_DATA_FIFO_DEPTH:16" "SLAVE21_END_ADDR:0x91dfffff" "SLAVE21_END_ADDR_UPPER:0x0" "SLAVE21_READ_INTERLEAVE:false" "SLAVE21_START_ADDR:0x91b00000" "SLAVE21_START_ADDR_UPPER:0x0" "SLAVE21_TYPE:0" "SLAVE22_CHAN_RS:true" "SLAVE22_CLOCK_DOMAIN_CROSSING:false" "SLAVE22_DATA_WIDTH:32" "SLAVE22_DWC_DATA_FIFO_DEPTH:16" "SLAVE22_END_ADDR:0x920fffff" "SLAVE22_END_ADDR_UPPER:0x0" "SLAVE22_READ_INTERLEAVE:false" "SLAVE22_START_ADDR:0x91e00000" "SLAVE22_START_ADDR_UPPER:0x0" "SLAVE22_TYPE:0" "SLAVE23_CHAN_RS:true" "SLAVE23_CLOCK_DOMAIN_CROSSING:false" "SLAVE23_DATA_WIDTH:32" "SLAVE23_DWC_DATA_FIFO_DEPTH:16" "SLAVE23_END_ADDR:0x923fffff" "SLAVE23_END_ADDR_UPPER:0x0" "SLAVE23_READ_INTERLEAVE:false" "SLAVE23_START_ADDR:0x92100000" "SLAVE23_START_ADDR_UPPER:0x0" "SLAVE23_TYPE:0" "SLAVE24_CHAN_RS:true" "SLAVE24_CLOCK_DOMAIN_CROSSING:false" "SLAVE24_DATA_WIDTH:32" "SLAVE24_DWC_DATA_FIFO_DEPTH:16" "SLAVE24_END_ADDR:0x926fffff" "SLAVE24_END_ADDR_UPPER:0x0" "SLAVE24_READ_INTERLEAVE:false" "SLAVE24_START_ADDR:0x92400000" "SLAVE24_START_ADDR_UPPER:0x0" "SLAVE24_TYPE:0" "SLAVE25_CHAN_RS:true" "SLAVE25_CLOCK_DOMAIN_CROSSING:false" "SLAVE25_DATA_WIDTH:32" "SLAVE25_DWC_DATA_FIFO_DEPTH:16" "SLAVE25_END_ADDR:0x929fffff" "SLAVE25_END_ADDR_UPPER:0x0" "SLAVE25_READ_INTERLEAVE:false" "SLAVE25_START_ADDR:0x92700000" "SLAVE25_START_ADDR_UPPER:0x0" "SLAVE25_TYPE:0" "SLAVE26_CHAN_RS:true" "SLAVE26_CLOCK_DOMAIN_CROSSING:false" "SLAVE26_DATA_WIDTH:32" "SLAVE26_DWC_DATA_FIFO_DEPTH:16" "SLAVE26_END_ADDR:0x92cfffff" "SLAVE26_END_ADDR_UPPER:0x0" "SLAVE26_READ_INTERLEAVE:false" "SLAVE26_START_ADDR:0x92a00000" "SLAVE26_START_ADDR_UPPER:0x0" "SLAVE26_TYPE:0" "SLAVE27_CHAN_RS:true" "SLAVE27_CLOCK_DOMAIN_CROSSING:false" "SLAVE27_DATA_WIDTH:32" "SLAVE27_DWC_DATA_FIFO_DEPTH:16" "SLAVE27_END_ADDR:0x92ffffff" "SLAVE27_END_ADDR_UPPER:0x0" "SLAVE27_READ_INTERLEAVE:false" "SLAVE27_START_ADDR:0x92d00000" "SLAVE27_START_ADDR_UPPER:0x0" "SLAVE27_TYPE:0" "SLAVE28_CHAN_RS:true" "SLAVE28_CLOCK_DOMAIN_CROSSING:false" "SLAVE28_DATA_WIDTH:32" "SLAVE28_DWC_DATA_FIFO_DEPTH:16" "SLAVE28_END_ADDR:0x932fffff" "SLAVE28_END_ADDR_UPPER:0x0" "SLAVE28_READ_INTERLEAVE:false" "SLAVE28_START_ADDR:0x93000000" "SLAVE28_START_ADDR_UPPER:0x0" "SLAVE28_TYPE:0" "SLAVE29_CHAN_RS:true" "SLAVE29_CLOCK_DOMAIN_CROSSING:false" "SLAVE29_DATA_WIDTH:32" "SLAVE29_DWC_DATA_FIFO_DEPTH:16" "SLAVE29_END_ADDR:0x935fffff" "SLAVE29_END_ADDR_UPPER:0x0" "SLAVE29_READ_INTERLEAVE:false" "SLAVE29_START_ADDR:0x93300000" "SLAVE29_START_ADDR_UPPER:0x0" "SLAVE29_TYPE:0" "SLAVE2_CHAN_RS:true" "SLAVE2_CLOCK_DOMAIN_CROSSING:false" "SLAVE2_DATA_WIDTH:32" "SLAVE2_DWC_DATA_FIFO_DEPTH:16" "SLAVE2_END_ADDR:0x17ffffff" "SLAVE2_END_ADDR_UPPER:0x0" "SLAVE2_READ_INTERLEAVE:false" "SLAVE2_START_ADDR:0x10000000" "SLAVE2_START_ADDR_UPPER:0x0" "SLAVE2_TYPE:0" "SLAVE30_CHAN_RS:true" "SLAVE30_CLOCK_DOMAIN_CROSSING:false" "SLAVE30_DATA_WIDTH:32" "SLAVE30_DWC_DATA_FIFO_DEPTH:16" "SLAVE30_END_ADDR:0x938fffff" "SLAVE30_END_ADDR_UPPER:0x0" "SLAVE30_READ_INTERLEAVE:false" "SLAVE30_START_ADDR:0x93600000" "SLAVE30_START_ADDR_UPPER:0x0" "SLAVE30_TYPE:0" "SLAVE31_CHAN_RS:true" "SLAVE31_CLOCK_DOMAIN_CROSSING:false" "SLAVE31_DATA_WIDTH:32" "SLAVE31_DWC_DATA_FIFO_DEPTH:16" "SLAVE31_END_ADDR:0x93bfffff" "SLAVE31_END_ADDR_UPPER:0x0" "SLAVE31_READ_INTERLEAVE:false" "SLAVE31_START_ADDR:0x93900000" "SLAVE31_START_ADDR_UPPER:0x0" "SLAVE31_TYPE:0" "SLAVE3_CHAN_RS:true" "SLAVE3_CLOCK_DOMAIN_CROSSING:false" "SLAVE3_DATA_WIDTH:32" "SLAVE3_DWC_DATA_FIFO_DEPTH:16" "SLAVE3_END_ADDR:0x1fffffff" "SLAVE3_END_ADDR_UPPER:0x0" "SLAVE3_READ_INTERLEAVE:false" "SLAVE3_START_ADDR:0x18000000" "SLAVE3_START_ADDR_UPPER:0x0" "SLAVE3_TYPE:0" "SLAVE4_CHAN_RS:true" "SLAVE4_CLOCK_DOMAIN_CROSSING:false" "SLAVE4_DATA_WIDTH:32" "SLAVE4_DWC_DATA_FIFO_DEPTH:16" "SLAVE4_END_ADDR:0x27ffffff" "SLAVE4_END_ADDR_UPPER:0x0" "SLAVE4_READ_INTERLEAVE:false" "SLAVE4_START_ADDR:0x20000000" "SLAVE4_START_ADDR_UPPER:0x0" "SLAVE4_TYPE:0" "SLAVE5_CHAN_RS:true" "SLAVE5_CLOCK_DOMAIN_CROSSING:false" "SLAVE5_DATA_WIDTH:32" "SLAVE5_DWC_DATA_FIFO_DEPTH:16" "SLAVE5_END_ADDR:0x2fffffff" "SLAVE5_END_ADDR_UPPER:0x0" "SLAVE5_READ_INTERLEAVE:false" "SLAVE5_START_ADDR:0x28000000" "SLAVE5_START_ADDR_UPPER:0x0" "SLAVE5_TYPE:0" "SLAVE6_CHAN_RS:true" "SLAVE6_CLOCK_DOMAIN_CROSSING:false" "SLAVE6_DATA_WIDTH:32" "SLAVE6_DWC_DATA_FIFO_DEPTH:16" "SLAVE6_END_ADDR:0x37ffffff" "SLAVE6_END_ADDR_UPPER:0x0" "SLAVE6_READ_INTERLEAVE:false" "SLAVE6_START_ADDR:0x30000000" "SLAVE6_START_ADDR_UPPER:0x0" "SLAVE6_TYPE:0" "SLAVE7_CHAN_RS:true" "SLAVE7_CLOCK_DOMAIN_CROSSING:false" "SLAVE7_DATA_WIDTH:32" "SLAVE7_DWC_DATA_FIFO_DEPTH:16" "SLAVE7_END_ADDR:0x3fffffff" "SLAVE7_END_ADDR_UPPER:0x0" "SLAVE7_READ_INTERLEAVE:false" "SLAVE7_START_ADDR:0x38000000" "SLAVE7_START_ADDR_UPPER:0x0" "SLAVE7_TYPE:0" "SLAVE8_CHAN_RS:true" "SLAVE8_CLOCK_DOMAIN_CROSSING:false" "SLAVE8_DATA_WIDTH:32" "SLAVE8_DWC_DATA_FIFO_DEPTH:16" "SLAVE8_END_ADDR:0x47ffffff" "SLAVE8_END_ADDR_UPPER:0x0" "SLAVE8_READ_INTERLEAVE:false" "SLAVE8_START_ADDR:0x40000000" "SLAVE8_START_ADDR_UPPER:0x0" "SLAVE8_TYPE:0" "SLAVE9_CHAN_RS:true" "SLAVE9_CLOCK_DOMAIN_CROSSING:false" "SLAVE9_DATA_WIDTH:32" "SLAVE9_DWC_DATA_FIFO_DEPTH:16" "SLAVE9_END_ADDR:0x4fffffff" "SLAVE9_END_ADDR_UPPER:0x0" "SLAVE9_READ_INTERLEAVE:false" "SLAVE9_START_ADDR:0x48000000" "SLAVE9_START_ADDR_UPPER:0x0" "SLAVE9_TYPE:0" "SLV_AXI4PRT_ADDRDEPTH:4" "SLV_AXI4PRT_DATADEPTH:4" "USER_WIDTH:1"} sd_instantiate_component -sd_name {FIC_0_PERIPHERALS} -component_name {COREAXI4INTERCONNECT_C0} -instance_name {} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ACLK" "COREAXI4INTERCONNECT_C0_0:ACLK"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ARESETN" "COREAXI4INTERCONNECT_C0_0:ARESETN"} +puts " =============Instantiate the SRAM" # Instantiate the SRAM -create_and_configure_core -core_vlnv {Actel:SystemBuilder:PF_SRAM_AHBL_AXI:1.2.108} -component_name {PF_SRAM_AHBL_AXI_C0} -params {"AXI4_AWIDTH:32" "AXI4_DWIDTH:32" "AXI4_IDWIDTH:8" "AXI4_IFTYPE_RD:T" "AXI4_IFTYPE_WR:T" "AXI4_WRAP_SUPPORT:F" "BYTEENABLES:1" "BYTE_ENABLE_WIDTH:8" "B_REN_POLARITY:2" "CASCADE:1" "ECC_OPTIONS:0" "FABRIC_INTERFACE_TYPE:1" "IMPORT_FILE:" "INIT_RAM:F" "LPM_HINT:0" "PIPELINE_OPTIONS:1" "RDEPTH:2048" "RWIDTH:80" "USE_NATIVE_INTERFACE:F" "WDEPTH:2048" "WWIDTH:80"} +create_and_configure_core -core_vlnv {Actel:SystemBuilder:PF_SRAM_AHBL_AXI:1.2.110} -component_name {PF_SRAM_AHBL_AXI_C0} -params {"AXI4_AWIDTH:32" "AXI4_DWIDTH:64" "AXI4_IDWIDTH:8" "AXI4_IFTYPE_RD:T" "AXI4_IFTYPE_WR:T" "AXI4_WRAP_SUPPORT:F" "BYTEENABLES:1" "BYTE_ENABLE_WIDTH:8" "B_REN_POLARITY:2" "CASCADE:1" "ECC_OPTIONS:0" "FABRIC_INTERFACE_TYPE:1" "IMPORT_FILE:" "INIT_RAM:F" "LPM_HINT:0" "PIPELINE_OPTIONS:1" "RDEPTH:2048" "RWIDTH:80" "USE_NATIVE_INTERFACE:F" "WDEPTH:2048" "WWIDTH:80"} sd_instantiate_component -sd_name {FIC_0_PERIPHERALS} -component_name {PF_SRAM_AHBL_AXI_C0} -instance_name {} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ACLK" "PF_SRAM_AHBL_AXI_C0_0:ACLK"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ARESETN" "PF_SRAM_AHBL_AXI_C0_0:ARESETN"} @@ -57,6 +78,7 @@ build_design_hierarchy build_design_hierarchy create_hdl_core -file "${project_dir}/hdl/AXI4_STREAM_DATA_GENERATOR.v" -module {AXI4_STREAM_DATA_GENERATOR} -library {work} -package {} +puts " =============creating the APB BIF on the data generator" # creating the APB BIF on the data generator hdl_core_add_bif -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_definition {APB:AMBA:AMBA2:slave} -bif_name {APB_TARGET} -signal_map {} hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PADDR} -core_signal_name {PADDR} @@ -68,23 +90,43 @@ hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PSLVERR} -core_signal_name {PSLVERR} hdl_core_assign_bif_signal -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -bif_name {APB_TARGET} -bif_signal_name {PSELx} -core_signal_name {PSEL} +puts " =============instanciate the module in the smart design" # instanciate the module in the smart design sd_instantiate_hdl_core -sd_name {FIC_0_PERIPHERALS} -hdl_core_name {AXI4_STREAM_DATA_GENERATOR} -instance_name {} + +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TDATA} -pin_slices {"[63:32]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TDATA} -pin_slices {"[31:0]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TKEEP} -pin_slices {"[7:4]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TKEEP} -pin_slices {"[3:0]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TSTRB} -pin_slices {"[7:4]"} +sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {DMA_CONTROLLER:TSTRB} -pin_slices {"[3:0]"} + +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TKEEP[7:4]} -value {GND} +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TSTRB[7:4]} -value {VCC} +sd_connect_pins_to_constant -sd_name {FIC_0_PERIPHERALS} -pin_names {DMA_CONTROLLER:TDATA[63:32]} -value {GND} + + +puts " =============connecting the data generator to the DMA CONTROLLER" # connecting the data generator to the DMA CONTROLLER sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TID" "AXI4_STREAM_DATA_GENERATOR_0:TID"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TKEEP" "AXI4_STREAM_DATA_GENERATOR_0:TKEEP"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TLAST" "AXI4_STREAM_DATA_GENERATOR_0:TLAST"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TVALID" "AXI4_STREAM_DATA_GENERATOR_0:TVALID"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TSTRB" "AXI4_STREAM_DATA_GENERATOR_0:TSTRB"} -sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TDATA" "AXI4_STREAM_DATA_GENERATOR_0:TDATA"} + sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TREADY" "AXI4_STREAM_DATA_GENERATOR_0:TREADY"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"DMA_CONTROLLER:TDEST" "AXI4_STREAM_DATA_GENERATOR_0:TDEST"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TDATA" "DMA_CONTROLLER:TDATA[31:0]"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TKEEP" "DMA_CONTROLLER:TKEEP[3:0]"} +sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"AXI4_STREAM_DATA_GENERATOR_0:TSTRB" "DMA_CONTROLLER:TSTRB[3:0]"} + + + # connecting ACLK and RSTN to the data generator sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ACLK" "AXI4_STREAM_DATA_GENERATOR_0:ACLK"} sd_connect_pins -sd_name {FIC_0_PERIPHERALS} -pin_names {"ARESETN" "AXI4_STREAM_DATA_GENERATOR_0:RSTN"} +puts " =============connecting the PCLK and PRESET_n and FIC 3" # connecting the PCLK and PRESET_n and FIC 3 sd_connect_pin_to_port -sd_name {FIC_0_PERIPHERALS} -pin_name {AXI4_STREAM_DATA_GENERATOR_0:APB_TARGET} -port_name {} sd_connect_pin_to_port -sd_name {FIC_0_PERIPHERALS} -pin_name {AXI4_STREAM_DATA_GENERATOR_0:PRESETN} -port_name {} @@ -99,7 +141,7 @@ save_smartdesign -sd_name {MPFS_ICICLE_KIT_BASE_DESIGN} generate_component -component_name {MPFS_ICICLE_KIT_BASE_DESIGN} -recursive 0 build_design_hierarchy - +puts " =============showing BIF pins" sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {COREAXI4INTERCONNECT_C0_0:AXI4mmaster1} -pin_names {COREAXI4INTERCONNECT_C0_0:MASTER1_AWID} sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {COREAXI4INTERCONNECT_C0_0:AXI4mmaster1} -pin_names {COREAXI4INTERCONNECT_C0_0:MASTER1_AWADDR} sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {COREAXI4INTERCONNECT_C0_0:AXI4mmaster1} -pin_names {COREAXI4INTERCONNECT_C0_0:MASTER1_AWLEN} @@ -291,6 +333,7 @@ sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {FIC0_INITIATOR:AXI4 sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {FIC0_INITIATOR:AXI4mslave2} -pin_names {FIC0_INITIATOR:SLAVE2_ARUSER} sd_show_bif_pins -sd_name {FIC_0_PERIPHERALS} -bif_pin_name {FIC0_INITIATOR:AXI4mslave2} -pin_names {FIC0_INITIATOR:SLAVE2_RUSER} +puts " =============connecting the fic0 pins to the final interconnect" ## connecting the fic0 pins to the final interconnect sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {FIC0_INITIATOR:SLAVE2_AWID} -pin_slices {"[7:0]"} sd_create_pin_slices -sd_name {FIC_0_PERIPHERALS} -pin_name {FIC0_INITIATOR:SLAVE2_AWID} -pin_slices {"[8:8]"} diff --git a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC0_user.bfm b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC0_user.bfm index 812b8d2..9e4e91a 100644 --- a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC0_user.bfm +++ b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC0_user.bfm @@ -16,16 +16,15 @@ #----------------------------------------------------------- int streamDescAddr - -int transSize +int patternCount int transSizeBytes int destOffset - -int memDest +int memDest +int dataWidthBytes memmap dmaCtrl 0x6001_0000 -memmap SRAM 0x6800_0000 # memory address of SRAM -memmap fabricSRAM 0x6000_0000 +memmap SRAM 0x6800_0000 # memory address of SRAM +memmap fabricSRAM 0x6000_0000 procedure main; #----------------------------------------------------------- @@ -36,8 +35,9 @@ print "MESSAGE: START Running BFM file 'ICICLE_MSS_PFSOC_MSS_FIC0_user.bfm'" print "MESSAGE: to access FIC0" print "-" -set destOffset 0x20 +set destOffset 0x20 set streamDescAddr 0x6000_0000 +set dataWidthBytes 0x8 # setting up the DMA controller and the SRAM @@ -45,26 +45,23 @@ set streamDescAddr 0x6000_0000 # 4'b1101 {Descriptor Valid, Destination Data Ready, Destination Operand} write w SRAM 0x0000 0x0D -set transSize 1024; -set transSizeBytes transSize * 4 +# the stream generator will transmit 64-bit data up to the value of patternCount +set patternCount 256; +set transSizeBytes patternCount * dataWidthBytes # Byte Count register write w SRAM 0x0004 transSizeBytes - # Memory destination for stream (SRAM) set memDest fabricSRAM + destOffset write w SRAM 0x0008 memDest - # Stream Descriptor Address 0 Register write w dmaCtrl 0x0460 streamDescAddr - # Enable IRQs - Interrupt 0 mask register write w dmaCtrl 0x0014 0x0F - #----------------------------------------------------------- # END of BFM #----------------------------------------------------------- diff --git a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC3_user.bfm b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC3_user.bfm index 5956fb9..e4280db 100644 --- a/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC3_user.bfm +++ b/script_support/additional_configurations/AXI4_STREAM_DATA_GENERATOR/ICICLE_MSS_PFSOC_MSS_FIC3_user.bfm @@ -24,16 +24,24 @@ print "-" #write x
<32 bit data> #read x
+int patternCount -int transSize -set transSize 1024 +# the steam generator will transmit data with an incrementing pattern up to 256 +set patternCount 256 + +# writing to the pattern count register +write w streamCtrl 0x0 patternCount +wait 100 -# setting the transfer size -write w streamCtrl 0x0 transSize -wait 50 # starting the stream write w streamCtrl 0x4 0x1 +# allow the stream to run for 200 cycles +wait 200 + +# stopping the stream +write w streamCtrl 0x4 0x0 + #----------------------------------------------------------- # END of BFM #----------------------------------------------------------- diff --git a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v index 7686db2..e229cf3 100644 --- a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v +++ b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR.v @@ -57,18 +57,19 @@ input ACLK, RSTN, TREADY; output [1:0] TDEST; output [7:0] TID; -output [3:0] TKEEP; +output [3:0] TKEEP, TSTRB; output TLAST, TVALID; -output [31:0] TSTRB, TDATA; +output [31:0] TDATA; wire ENABLE_GEN; wire ENABLE_FSM; wire [31:0] TX_SIZE; + wire RESETN_INTERNAL; AXI4_STREAM_DATA_GENERATOR_ABP_Reg TRANS_SIZE ( .pclk(PCLK), - .presetn(PRESETN), + .presetn(PRESETN & RESETN_INTERNAL), .psel(PSEL), .pwrite(PWRITE), .pwdata(PWDATA), @@ -77,12 +78,13 @@ output [31:0] TSTRB, TDATA; .prdata(PRDATA), .trans_size(TX_SIZE), .paddr(PADDR), - .start(ENABLE_FSM) + .start(ENABLE_FSM), + .reset_generator(RESETN_INTERNAL) ); AXI4_STREAM_DATA_GENERATOR_FSM FSM ( .clk(ACLK), - .rst_n(RSTN), + .rst_n(RSTN & RESETN_INTERNAL), .start(ENABLE_FSM), .ready(TREADY), .en(ENABLE_GEN) @@ -90,7 +92,7 @@ output [31:0] TSTRB, TDATA; AXI4_STREAM_DATA_GENERATOR_gen generator ( .clk(ACLK), - .rst_n(RSTN), + .rst_n(RSTN & RESETN_INTERNAL), .en(ENABLE_GEN), .tdata(TDATA), .tvalid(TVALID), @@ -99,7 +101,8 @@ output [31:0] TSTRB, TDATA; .tkeep(TKEEP), .tstrb(TSTRB), .tdest(TDEST), - .tid(TID) + .tid(TID), + .tready(TREADY) ); -endmodule +endmodule \ No newline at end of file diff --git a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v index ea091e2..513f0d8 100644 --- a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v +++ b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_ABP_Reg.v @@ -49,6 +49,7 @@ module AXI4_STREAM_DATA_GENERATOR_ABP_Reg( input wire [31:0] paddr, output wire pslverr, output reg start, + output reg reset_generator, output reg pready, output reg [31:0] prdata, output reg [31:0] trans_size @@ -60,7 +61,8 @@ module AXI4_STREAM_DATA_GENERATOR_ABP_Reg( prdata <= 32'b0; pready <= 1'b0; trans_size <= 32'b0; - start <= 1'b0; + start <= 1'b0; + reset_generator <= 1'b1; end else if (psel && pwrite) begin prdata <= 32'b0; case (paddr[3:0]) @@ -72,6 +74,10 @@ module AXI4_STREAM_DATA_GENERATOR_ABP_Reg( start <= pwdata[0]; pready <= 1'b1; end + 4'b1000: begin + reset_generator <= !pwdata[0]; + pready <= 1'b1; + end default: begin pready <= 1'b1; end diff --git a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_DFF.v b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_DFF.v deleted file mode 100644 index 23453dd..0000000 --- a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_DFF.v +++ /dev/null @@ -1,58 +0,0 @@ -//////////////////////////////////////////////////////////////////////////////// -// Copyright (c) 2022, Microchip Corporation -// All rights reserved. -// -// Redistribution and use in source and binary forms, with or without -// modification, are permitted provided that the following conditions are met: -// * Redistributions of source code must retain the above copyright -// notice, this list of conditions and the following disclaimer. -// * Redistributions in binary form must reproduce the above copyright -// notice, this list of conditions and the following disclaimer in the -// documentation and/or other materials provided with the distribution. -// * Neither the name of the nor the -// names of its contributors may be used to endorse or promote products -// derived from this software without specific prior written permission. -// -// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND -// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED -// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE -// DISCLAIMED. IN NO EVENT SHALL MICROCHIP CORPORATIONM BE LIABLE FOR ANY -// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS -// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -// -// APACHE LICENSE -// Copyright (c) 2022, Microchip Corporation -// -// Licensed under the Apache License, Version 2.0 (the "License"); -// you may not use this file except in compliance with the License. -// You may obtain a copy of the License at -// -// http://www.apache.org/licenses/LICENSE-2.0 -// -// Unless required by applicable law or agreed to in writing, software -// distributed under the License is distributed on an "AS IS" BASIS, -// WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -// See the License for the specific language governing permissions and -// limitations under the License. -//////////////////////////////////////////////////////////////////////////////// - -module AXI4_STREAM_DATA_GENERATOR_DFF - #(parameter N = 1) - ( - input wire clk, - input wire rst_n, - input wire [N - 1:0] in, - output reg [N - 1:0] out - ); - - - always @(posedge clk, negedge rst_n) begin - if (!rst_n) out <= {N{1'b0}}; - else out <= in; - end - -endmodule diff --git a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v index c3d93ed..900172e 100644 --- a/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v +++ b/script_support/hdl/AXI4_STREAM_DATA_GENERATOR/AXI4_STREAM_DATA_GENERATOR_gen.v @@ -47,63 +47,59 @@ module AXI4_STREAM_DATA_GENERATOR_gen ( input wire [31:0] trans_size, output wire tlast, output wire tvalid, + input wire tready, output wire [1:0] tdest, output wire [3:0] tkeep, output wire [7:0] tid, - output wire [31:0] tstrb, + output wire [3:0] tstrb, output wire [31:0] tdata ); localparam - INC = 32'b1; + INC = 32'b 1; localparam TKEEP_WIDTH = 4, - TSTRB_WIDTH = 32; + TSTRB_WIDTH = 4; - reg tlast_ff; + reg tlast_ff,tvalid_ff; + reg en_reg; reg [31:0] tdata_ff; - wire [31:0] transSize_inter; - wire [31:0] transSize_out; - - AXI4_STREAM_DATA_GENERATOR_DFF #(.N(32)) flipFlop_one ( - .clk(clk), - .rst_n(rst_n), - .in(trans_size), - .out(transSize_inter) - ); - AXI4_STREAM_DATA_GENERATOR_DFF #(.N(32)) flipFlop_two ( - .clk(clk), - .rst_n(rst_n), - .in(transSize_inter), - .out(transSize_out) - ); + wire txn_done; + assign txn_done = tready & tvalid & tlast; + always @(posedge clk, negedge rst_n) begin if (!rst_n) begin - tdata_ff <= 32'b0; + tdata_ff <= 32'b1; tlast_ff <= 1'b0; - end else begin - if (en) begin - if (tdata_ff == transSize_out) begin - tdata_ff <= 32'b0; - tlast_ff <= 1'b1; - end else begin - tdata_ff <= tdata_ff + INC; - tlast_ff <= 1'b0; - end + tvalid_ff <= 1'b0; + en_reg <= 1'b0; + end else if ((en | en_reg) & ~txn_done) begin + en_reg <= 1'b1; + tvalid_ff <= 1'b1; + if(tready & tvalid)begin + tdata_ff <= tdata_ff + INC; + if (tdata_ff == trans_size-1) + tlast_ff <= 1'b1; end - end + end else begin + tdata_ff <= 32'b1; + tlast_ff <= 1'b0; + tvalid_ff <= 1'b0; + en_reg <= 1'b0; + end + end assign tdest = 2'b0; assign tid = 8'b0; assign tdata = tdata_ff; - assign tvalid = (| tdata_ff); + assign tvalid = tvalid_ff; assign tlast = tlast_ff; - - assign tkeep = {TKEEP_WIDTH{(!(trans_size == tdata_ff) & (| tdata_ff))}}; - assign tstrb = {{(TSTRB_WIDTH - 4){1'b0}},{TKEEP_WIDTH{(!(trans_size == tdata_ff) & (| tdata_ff))}}}; + + assign tkeep = {TKEEP_WIDTH{1'b1}}; + assign tstrb = {TSTRB_WIDTH{1'b1}}; endmodule