Skip to content

Commit bea98b2

Browse files
Thomas B. Preußerpreusser
Thomas B. Preußer
authored andcommitted
Merge branch 'typos-1' of https://github.com/JacobCWard/q27 into JacobCWard-typos-1
2 parents 19dff78 + 593ae32 commit bea98b2

13 files changed

+39
-39
lines changed

src/cpp/DBEntry.hpp

+2-2
Original file line numberDiff line numberDiff line change
@@ -31,7 +31,7 @@ namespace queens {
3131

3232
/**
3333
* Thin wrapper class for accessing a database entry. It enables a more
34-
* comfortable access to the binary entry layout, wich comprises two
34+
* comfortable access to the binary entry layout, which comprises two
3535
* 64-bit words stored in network byte order (big endian):
3636
*
3737
*
@@ -69,7 +69,7 @@ namespace queens {
6969
* zero in this case. Otherwise, a DBEntry just provides the interpretation
7070
* for the two adjacent underlying 64-bit words of a memory-mapped database.
7171
* The corresponding objects are not really constructed but rather obtained
72-
* by reinterpreting the pointer to the backing memory, e.g. thorugh an
72+
* by reinterpreting the pointer to the backing memory, e.g. through an
7373
* reinterprete_cast<DBEntry*>(ptr).
7474
*/
7575
class DBEntry {

src/cpp/q27db.cpp

+1-1
Original file line numberDiff line numberDiff line change
@@ -283,7 +283,7 @@ namespace {
283283
DBConstRange range(dbx.roRange());
284284
DBEntry const *const beg = range.begin();
285285

286-
{ // Parse range restictions
286+
{ // Parse range restrictions
287287
RangeParser parser;
288288
for(int i = 0; i < argc; i++) {
289289
try {

src/vhdl/PoC/common/physical.vhdl

+1-1
Original file line numberDiff line numberDiff line change
@@ -853,7 +853,7 @@ package body physical is
853853

854854
-- calculate needed counter cycles to achieve a given 1. timing/delay and 2. frequency/period
855855
-- ===========================================================================
856-
-- @param Timing A given timing or delay, which should be achived
856+
-- @param Timing A given timing or delay, which should be archived
857857
-- @param Clock_Period The period of the circuits clock
858858
-- @RoundingStyle Default = round to nearest; other choises: ROUND_UP, ROUND_DOWN
859859
function TimingToCycles(Timing : time; Clock_Period : time; RoundingStyle : T_ROUNDING_STYLE := ROUND_UP) return natural is

src/vhdl/PoC/common/strings.vhdl

+2-2
Original file line numberDiff line numberDiff line change
@@ -667,7 +667,7 @@ package body strings is
667667
if (str'length > 0) then
668668
-- WORKAROUND: for Altera Quartus-II
669669
-- Version: 15.0
670-
-- Issue: array bounds are check regardless of the hierachy and control flow
670+
-- Issue: array bounds are check regardless of the hierarchy and control flow
671671
Result(1 to imin(Size, imax(1, str'length))) := ite((str'length > 0), str(1 to imin(Size, str'length)), ConstNUL);
672672
end if;
673673
return Result;
@@ -729,7 +729,7 @@ package body strings is
729729
end function;
730730

731731
-- compare two STRINGs for equality
732-
-- pre-check the string lengthes to suppress warnings for unqual sized string comparisions.
732+
-- pre-check the string lengths to suppress warnings for unequal sized string comparisons.
733733
-- QUESTION: overload "=" operator?
734734
function str_equal(str1 : string; str2 : string) return boolean is
735735
begin

src/vhdl/PoC/common/vectors.vhdl

+3-3
Original file line numberDiff line numberDiff line change
@@ -84,8 +84,8 @@ package vectors is
8484
-- myMatrix'range(2) returns always myMatrix'range(1); see work-around notes below
8585
--
8686
-- USAGE NOTES:
87-
-- dimmension 1 => rows - e.g. Words
88-
-- dimmension 2 => columns - e.g. Bits/Bytes in a word
87+
-- dimension 1 => rows - e.g. Words
88+
-- dimension 2 => columns - e.g. Bits/Bytes in a word
8989
--
9090
-- WORKAROUND: for Xilinx ISE/iSim
9191
-- Version: 14.2
@@ -776,7 +776,7 @@ package body vectors is
776776
function to_slm(slvv : T_SLVV_8) return T_SLM is
777777
-- variable test : STD_LOGIC_VECTOR(T_SLV_8'range);
778778
-- variable slm : T_SLM(slvv'range, test'range); -- BUG: iSIM 14.5 cascaded 'range accesses let iSIM break down
779-
-- variable slm : T_SLM(slvv'range, T_SLV_8'range); -- BUG: iSIM 14.5 allocates 9 bits in dimmension 2
779+
-- variable slm : T_SLM(slvv'range, T_SLV_8'range); -- BUG: iSIM 14.5 allocates 9 bits in dimension 2
780780
variable slm : T_SLM(slvv'range, 7 downto 0); -- WORKAROUND: use constant range
781781
begin
782782
-- report "slvv: slvv.length=" & INTEGER'image(slvv'length) & " slm.dim0.length=" & INTEGER'image(slm'length(1)) & " slm.dim1.length=" & INTEGER'image(slm'length(2)) severity NOTE;

src/vhdl/PoC/fifo/fifo_cc_got_tempput.vhdl

+1-1
Original file line numberDiff line numberDiff line change
@@ -128,7 +128,7 @@ architecture rtl of fifo_cc_got_tempput is
128128
signal IP1 : unsigned(A_BITS-1 downto 0);
129129
signal OP1 : unsigned(A_BITS-1 downto 0);
130130

131-
-- Commited Write Pointer (Commit Marker)
131+
-- Committed Write Pointer (Commit Marker)
132132
signal IPm : unsigned(A_BITS-1 downto 0) := (others => '0');
133133

134134
-----------------------------------------------------------------------------

src/vhdl/PoC/sync/sync_Bits.vhdl

+1-1
Original file line numberDiff line numberDiff line change
@@ -13,7 +13,7 @@
1313
-- clock-domain 'Clock'. The clock-domain boundary crossing is done by two
1414
-- synchronizer D-FFs. All bits are independent from each other. If a known
1515
-- vendor like Altera or Xilinx are recognized, a vendor specific
16-
-- implementation is choosen.
16+
-- implementation is chosen.
1717
--
1818
-- ATTENTION:
1919
-- Use this synchronizer only for long time stable signals (flags).

src/vhdl/queens/expand_blocking.vhdl

+1-1
Original file line numberDiff line numberDiff line change
@@ -51,7 +51,7 @@ architecture rtl of expand_blocking is
5151
constant M : positive := log2ceil(N);
5252

5353
-- Decoded Placement
54-
-- Frame Indeces: 0 - west, 1 - north, 2 - east, 3 - south
54+
-- Frame Indices: 0 - west, 1 - north, 2 - east, 3 - south
5555
subtype tRow is std_logic_vector(0 to N-1);
5656
type tEdge is array(0 to L-1) of tRow;
5757
type tFrame is array(0 to 3) of tEdge;

src/vhdl/top/dnk7_f5/dini/fifo/fifo_async_blk_reg.v

+5-5
Original file line numberDiff line numberDiff line change
@@ -5,7 +5,7 @@
55
//
66
// A modification to the BLKRAM FIFO so that the input data/control, and
77
// output data/control are registered before going to the BLKRAM. This is
8-
// to make timing closure easier.
8+
// to make timing closure easier.
99
//
1010
// This module should NOT be used in places where latency is important.
1111
//
@@ -87,7 +87,7 @@
8787
// Added optional clobbering of output data when not reading (simulation only).
8888
//
8989
// Revision 1.2 2012/11/26 16:54:21 neal
90-
// Fixed up some status output ports to make them more useable in some conditions.
90+
// Fixed up some status output ports to make them more usable in some conditions.
9191
//
9292
// Revision 1.1 2012/06/05 02:59:29 neal
9393
// Added a pipelined FIFO.
@@ -227,14 +227,14 @@ generate
227227
.GEN_WRALMOSTFULL(GEN_WRALMOSTFULL)
228228
) i_sel_fifo (
229229
.reset(reset),
230-
230+
231231
.wr_clk(wr_clk),
232232
.wr_en(INPUT_REG ? wr_en_d : wr_en),
233233
.wr_din(wr_din_checksum), //.wr_din(INPUT_REG ? wr_din_d : wr_din),
234234
.wr_full(wr_full_preclobber),
235235
.wr_almostfull(wr_almostfull),
236236
.wr_full_count(wr_full_count_selram),
237-
237+
238238
.rd_clk(rd_clk),
239239
.rd_en(wr_en_blkram),
240240
.rd_dout(wr_din_blkram),
@@ -343,7 +343,7 @@ always @(posedge rd_clk /*or posedge reset_rdclk*/) begin
343343
ff_rd_empty <= 1'b1;
344344
reg_rd_dout <= 'b0; // output FF from registered FIFO
345345
ff_rd_dout <= 'b0; // secondary FF between FIFO and output FF
346-
end else
346+
end else
347347
*/
348348
begin
349349
if ((ff_rd_empty==1'b1) && (OUTPUT_REG==1)) begin

src/vhdl/top/dnk7_f5/dnk7_queens0.vhdl

+9-9
Original file line numberDiff line numberDiff line change
@@ -84,7 +84,7 @@ architecture rtl of dnk7_queens0 is
8484
----------------------------------------------------------------------------
8585
-- Communication Addresses
8686

87-
-- Word Adress: Read Write
87+
-- Word Address: Read Write
8888
-----------------------------------------------------------------------------
8989
-- 0x0000 <byte capacity:32> <-:30><enable:2> input interrupt
9090
-- 0x0004 <bytes available:32> <-:30><enable:2> output interrupt
@@ -136,7 +136,7 @@ architecture rtl of dnk7_queens0 is
136136
dcm_psclk : in std_logic;
137137
dcm_psen : in std_logic;
138138
dcm_psincdec : in std_logic;
139-
139+
140140
target_address : out std_logic_vector(63 downto 0);
141141
target_write_data : out std_logic_vector(63 downto 0);
142142
target_write_be : out std_logic_vector(7 downto 0);
@@ -442,7 +442,7 @@ begin
442442
target_read_data <= rdDat;
443443
target_read_data_tag <= rdTag;
444444
target_read_data_ctrl <= rdCtl;
445-
445+
446446
end block blkRead;
447447

448448
---------------------------------------------------------------------------
@@ -633,7 +633,7 @@ begin
633633
begin
634634

635635
-------------------------------------------------------------------------
636-
-- Ouput Inverted Clock
636+
-- Output Inverted Clock
637637
blkClock : block
638638
signal clk_inv : std_logic;
639639
begin
@@ -666,8 +666,8 @@ begin
666666
end block blkClock;
667667

668668
-------------------------------------------------------------------------
669-
-- Pre-placement Ouput
670-
669+
-- Pre-placement Output
670+
671671
-- Syncing stall input
672672
process(clk_slow)
673673
begin
@@ -680,7 +680,7 @@ begin
680680
end if;
681681
end process;
682682
pigot <= avld and not stall_s(0);
683-
683+
684684
-- Output Registers
685685
process(clk_slow)
686686
begin
@@ -766,7 +766,7 @@ begin
766766
);
767767
rst_in <= '0';
768768
end block blkClock;
769-
769+
770770
-- Bus Input Capture
771771
process(clk_in)
772772
begin
@@ -867,7 +867,7 @@ begin
867867
soeof => soeof,
868868
sogot => sogot
869869
);
870-
870+
871871
enframe_i: entity work.enframe
872872
generic map (
873873
SENTINEL => SENTINEL

src/vhdl/top/dnk7_f5/dnk7_queens1.vhdl

+4-4
Original file line numberDiff line numberDiff line change
@@ -344,7 +344,7 @@ begin
344344
blkOutput : block
345345
begin
346346
-------------------------------------------------------------------------
347-
-- Ouput Inverted Clock
347+
-- Output Inverted Clock
348348
blkClock : block
349349
signal clk_inv : std_logic;
350350
begin
@@ -385,13 +385,13 @@ begin
385385
signal pgot : std_logic;
386386
signal pdat : std_logic_vector(8 downto 0);
387387
signal pvld : std_logic;
388-
388+
389389
-- Outgoing Output Registers
390390
signal PreOutDat : std_logic_vector(8 downto 0) := (others => '0');
391391
signal PreOutPut : std_logic := '0';
392392

393393
begin
394-
394+
395395
-- Syncing stall input
396396
process(clk_out)
397397
begin
@@ -403,7 +403,7 @@ begin
403403
end if;
404404
end if;
405405
end process;
406-
406+
407407
-- Output FIFO (ic): Pre-Placements
408408
fifob : fifo_ic_got
409409
generic map (

src/vhdl/top/xilinx/sdrc_queens_master.vhdl

+5-5
Original file line numberDiff line numberDiff line change
@@ -18,7 +18,7 @@ entity sdrc_queens_master is
1818
CLK_MUL : positive := 31; -- computation clock:
1919
CLK_DIV : positive := 4; -- CLK_FREQ / CLK_DIV * CLK_MUL
2020

21-
-- UART Parametes
21+
-- UART Parameters
2222
BAUDRATE : positive := 115200;
2323
SENTINEL : std_logic_vector(7 downto 0) := x"FA" -- Start Byte
2424
);
@@ -327,7 +327,7 @@ begin
327327
begin
328328

329329
-------------------------------------------------------------------------
330-
-- Ouput Inverted Clock
330+
-- Output Inverted Clock
331331
blkClock : block
332332
signal clk_inv : std_logic;
333333
begin
@@ -360,8 +360,8 @@ begin
360360
end block blkClock;
361361

362362
-------------------------------------------------------------------------
363-
-- Pre-placement Ouput
364-
363+
-- Pre-placement Output
364+
365365
-- Syncing stall input
366366
process(clk_out)
367367
begin
@@ -464,7 +464,7 @@ begin
464464
);
465465
rst_in <= '0';
466466
end block blkClock;
467-
467+
468468
-- Bus Input Capture
469469
process(clk_in)
470470
begin

src/vhdl/top/xilinx/sdrc_queens_slave.vhdl

+4-4
Original file line numberDiff line numberDiff line change
@@ -355,7 +355,7 @@ begin
355355
blkOutput : block
356356
begin
357357
-------------------------------------------------------------------------
358-
-- Ouput Inverted Clock
358+
-- Output Inverted Clock
359359
blkClock : block
360360
signal clk_inv : std_logic;
361361
begin
@@ -396,13 +396,13 @@ begin
396396
signal pgot : std_logic;
397397
signal pdat : std_logic_vector(8 downto 0);
398398
signal pvld : std_logic;
399-
399+
400400
-- Outgoing Output Registers
401401
signal PreOutDat : std_logic_vector(8 downto 0) := (others => '0');
402402
signal PreOutPut : std_logic := '0';
403403

404404
begin
405-
405+
406406
-- Syncing go input
407407
process(clk_out)
408408
begin
@@ -414,7 +414,7 @@ begin
414414
end if;
415415
end if;
416416
end process;
417-
417+
418418
-- Output FIFO (ic): Pre-Placements
419419
fifob : fifo_ic_got
420420
generic map (

0 commit comments

Comments
 (0)