@@ -84,7 +84,7 @@ architecture rtl of dnk7_queens0 is
84
84
-- --------------------------------------------------------------------------
85
85
-- Communication Addresses
86
86
87
- -- Word Adress : Read Write
87
+ -- Word Address : Read Write
88
88
-- ---------------------------------------------------------------------------
89
89
-- 0x0000 <byte capacity:32> <-:30><enable:2> input interrupt
90
90
-- 0x0004 <bytes available:32> <-:30><enable:2> output interrupt
@@ -136,7 +136,7 @@ architecture rtl of dnk7_queens0 is
136
136
dcm_psclk : in std_logic ;
137
137
dcm_psen : in std_logic ;
138
138
dcm_psincdec : in std_logic ;
139
-
139
+
140
140
target_address : out std_logic_vector (63 downto 0 );
141
141
target_write_data : out std_logic_vector (63 downto 0 );
142
142
target_write_be : out std_logic_vector (7 downto 0 );
@@ -442,7 +442,7 @@ begin
442
442
target_read_data <= rdDat;
443
443
target_read_data_tag <= rdTag;
444
444
target_read_data_ctrl <= rdCtl;
445
-
445
+
446
446
end block blkRead;
447
447
448
448
-- -------------------------------------------------------------------------
@@ -633,7 +633,7 @@ begin
633
633
begin
634
634
635
635
-- -----------------------------------------------------------------------
636
- -- Ouput Inverted Clock
636
+ -- Output Inverted Clock
637
637
blkClock : block
638
638
signal clk_inv : std_logic ;
639
639
begin
@@ -666,8 +666,8 @@ begin
666
666
end block blkClock;
667
667
668
668
-- -----------------------------------------------------------------------
669
- -- Pre-placement Ouput
670
-
669
+ -- Pre-placement Output
670
+
671
671
-- Syncing stall input
672
672
process (clk_slow)
673
673
begin
@@ -680,7 +680,7 @@ begin
680
680
end if ;
681
681
end process ;
682
682
pigot <= avld and not stall_s(0 );
683
-
683
+
684
684
-- Output Registers
685
685
process (clk_slow)
686
686
begin
@@ -766,7 +766,7 @@ begin
766
766
);
767
767
rst_in <= '0' ;
768
768
end block blkClock;
769
-
769
+
770
770
-- Bus Input Capture
771
771
process (clk_in)
772
772
begin
@@ -867,7 +867,7 @@ begin
867
867
soeof => soeof,
868
868
sogot => sogot
869
869
);
870
-
870
+
871
871
enframe_i: entity work.enframe
872
872
generic map (
873
873
SENTINEL => SENTINEL
0 commit comments