diff --git a/.ci/gitlab-ci.yml.tpl b/.ci/gitlab-ci.yml.tpl index e30d8d04..e25ef9bf 100644 --- a/.ci/gitlab-ci.yml.tpl +++ b/.ci/gitlab-ci.yml.tpl @@ -22,7 +22,7 @@ prepare-non-free: script: - git clone git@iis-git.ee.ethz.ch:bslk/idma/idma-non-free.git - cd idma-non-free - - git checkout deploy + - git checkout deploy-mdma - make -B gen_sub_ci artifacts: paths: diff --git a/.gitignore b/.gitignore index f78fac35..63090523 100644 --- a/.gitignore +++ b/.gitignore @@ -29,3 +29,7 @@ gmon.out *non-free* bender morty +src/**/Bender.yml +src/backend/backend_* +test/tb_idma_backend_*.sv +scripts/waves/vsim_backend_*.do \ No newline at end of file diff --git a/.gitlab-ci.yml b/.gitlab-ci.yml index 78a78be1..161affc8 100644 --- a/.gitlab-ci.yml +++ b/.gitlab-ci.yml @@ -22,7 +22,7 @@ prepare-non-free: script: - git clone git@iis-git.ee.ethz.ch:bslk/idma/idma-non-free.git - cd idma-non-free - - git checkout deploy + - git checkout deploy-mdma - make -B gen_sub_ci artifacts: paths: @@ -31,63 +31,183 @@ prepare-non-free: # Below: Automatically generated by util/gen_ci.py -backend-run: +axi_backend_combined_shifter-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-backend-ci.yml + - artifact: idma-non-free/ci/gitlab-axi_backend_combined_shifter-ci.yml job: prepare-non-free strategy: depend -backend-occamy-run: +axi_backend-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-backend-occamy-ci.yml + - artifact: idma-non-free/ci/gitlab-axi_backend-ci.yml job: prepare-non-free strategy: depend -tiny-dma-run: +obi_backend-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-tiny-dma-ci.yml + - artifact: idma-non-free/ci/gitlab-obi_backend-ci.yml job: prepare-non-free strategy: depend -lite-backend-run: +axi_to_obi_backend-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-lite-backend-ci.yml + - artifact: idma-non-free/ci/gitlab-axi_to_obi_backend-ci.yml job: prepare-non-free strategy: depend -obi-backend-run: +obi_to_axi_backend-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-obi-backend-ci.yml + - artifact: idma-non-free/ci/gitlab-obi_to_axi_backend-ci.yml job: prepare-non-free strategy: depend -4d-ext-run: +axi_obi_backend-run: stage: iDMA needs: - prepare-non-free trigger: include: - - artifact: idma-non-free/ci/gitlab-4d-ext-ci.yml + - artifact: idma-non-free/ci/gitlab-axi_obi_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_lite_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_lite_backend-ci.yml + job: prepare-non-free + strategy: depend + +monster_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-monster_backend-ci.yml + job: prepare-non-free + strategy: depend + +tilelink_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-tilelink_backend-ci.yml + job: prepare-non-free + strategy: depend + +tilelink_axi_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-tilelink_axi_backend-ci.yml + job: prepare-non-free + strategy: depend + +tilelink_obi_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-tilelink_obi_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_to_tilelink_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_to_tilelink_backend-ci.yml + job: prepare-non-free + strategy: depend + +tilelink_to_axi_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-tilelink_to_axi_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_init_to_axi_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_init_to_axi_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_stream_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_stream_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_to_axi_stream_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_to_axi_stream_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_stream_to_axi_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_stream_to_axi_backend-ci.yml + job: prepare-non-free + strategy: depend + +axi_axi_stream_backend-run: + stage: iDMA + needs: + - prepare-non-free + trigger: + include: + - artifact: idma-non-free/ci/gitlab-axi_axi_stream_backend-ci.yml job: prepare-non-free strategy: depend diff --git a/Bender.local b/Bender.local new file mode 100644 index 00000000..7ec9112d --- /dev/null +++ b/Bender.local @@ -0,0 +1,2 @@ +overrides: + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0 } \ No newline at end of file diff --git a/Bender.lock b/Bender.lock index bd9225ff..aebc36be 100644 --- a/Bender.lock +++ b/Bender.lock @@ -1,7 +1,14 @@ packages: + apb: + revision: 77ddf073f194d44b9119949d2421be59789e69ae + version: 0.2.4 + source: + Git: https://github.com/pulp-platform/apb.git + dependencies: + - common_cells axi: - revision: af8b0ce2653997301b1b792c4c6d207b95f63a56 - version: 0.39.0-beta.2 + revision: bfee21757bf090ec8e358456314b0b0fd3c90809 + version: 0.39.0 source: Git: https://github.com/pulp-platform/axi.git dependencies: @@ -9,8 +16,8 @@ packages: - common_verification - tech_cells_generic common_cells: - revision: b59eca3c1747b28022573e37aa91a151808d1db5 - version: 1.26.0 + revision: 53b0b58af2db5bd3c850a7038fae170ed78326bb + version: 1.31.1 source: Git: https://github.com/pulp-platform/common_cells.git dependencies: @@ -22,17 +29,54 @@ packages: source: Git: https://github.com/pulp-platform/common_verification.git dependencies: [] + idma_backend: + revision: null + version: null + source: + Path: /home/bsc22h2/bachelor-thesis/iDMA/src/backend + dependencies: + - axi + - common_cells + - idma_future + - idma_pkg + - tb_idma_backend + idma_future: + revision: null + version: null + source: + Path: /home/bsc22h2/bachelor-thesis/iDMA/src/backend/../../src_future + dependencies: + - common_cells + idma_pkg: + revision: null + version: null + source: + Path: /home/bsc22h2/bachelor-thesis/iDMA/src/package + dependencies: + - axi register_interface: - revision: 9fc63015615acb11111e4bc3e858381e3e72405d - version: 0.3.6 + revision: 3b2bf592100b769977c76e51812c55cd742882f6 + version: 0.4.1 source: Git: https://github.com/pulp-platform/register_interface.git dependencies: + - apb + - axi + - common_cells + - common_verification + tb_idma_backend: + revision: null + version: null + source: + Path: /home/bsc22h2/bachelor-thesis/iDMA/src/backend/../../test + dependencies: - axi - common_cells + - idma_pkg + - register_interface tech_cells_generic: - revision: e6226a6f374eb88fed84d4989bb3f066cb470f33 - version: 0.2.9 + revision: 298b7297d220ba2601d0f24f684f97ff32f61123 + version: 0.2.12 source: Git: https://github.com/pulp-platform/tech_cells_generic.git dependencies: diff --git a/Bender.yml b/Bender.yml index d4b3b7b4..b7827b75 100644 --- a/Bender.yml +++ b/Bender.yml @@ -7,12 +7,16 @@ package: authors: - "Thomas Benz " # current maintainer - "Michael Rogenmoser " + - "Tobias Senti " dependencies: - common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.26.0 } - common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.2 } - axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0-beta.2 } - register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.3.1 } + common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.31.1 } + common_verification: { git: "https://github.com/pulp-platform/common_verification.git", version: 0.2.2 } + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0 } + register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.4.1 } + + idma_backend: { path: "src/backend" } + idma_pkg: { path: "src/package" } export_include_dirs: - src/include @@ -23,21 +27,6 @@ sources: # levels 1 and 0, etc. Files within a level are ordered alphabetically. # Level 0 - src/frontends/idma_transfer_id_gen.sv - - src/idma_pkg.sv - - src/idma_stream_fifo.sv - # Level 1 - - src/idma_buffer.sv - - src/idma_error_handler.sv - - src/idma_channel_coupler.sv - # Level 2 - - src/idma_axi_transport_layer.sv - - src/idma_axi_lite_transport_layer.sv - - src/idma_obi_transport_layer.sv - - src/idma_legalizer.sv - # Level 3 - - src/idma_backend.sv - # Level 4 - - src/legacy/axi_dma_backend.sv # Midends # Level 0 @@ -64,49 +53,24 @@ sources: - files: # 64bit descriptor frontend # Level 0 - src/frontends/desc64/idma_desc64_reg_pkg.sv + # Level 1 - src/frontends/desc64/idma_desc64_reg_top.sv - src/frontends/desc64/idma_desc64_shared_counter.sv - # Level 1 - - src/frontends/desc64/idma_desc64_reg_wrapper.sv # Level 2 + - src/frontends/desc64/idma_desc64_reg_wrapper.sv + # Level 3 - src/frontends/desc64/idma_desc64_top.sv # Systems - target: all(pulp, not(mchan)) files: - src/systems/pulpopen/dmac_wrap.sv + - src/systems/pulpopen/synth_dmac_wrap.sv - target: cva6 files: - src/systems/cva6_reg/dma_core_wrap.sv - - - target: synthesis - files: - - src/synth_wrapper/idma_backend_synth.sv - - src/synth_wrapper/idma_lite_backend_synth.sv - - src/synth_wrapper/idma_obi_backend_synth.sv - - src/synth_wrapper/idma_nd_backend_synth.sv - + - target: test - defines: - TARGET_SIMULATION: ~ - include_dirs: - - test - files: - # Level 0: - - test/idma_intf.sv - - test/idma_tb_per2axi.sv - - test/idma_obi_asserter.sv - # Level 1: - - test/idma_test.sv - - test/idma_obi2axi_bridge.sv - # Level 2: - - test/tb_idma_backend.sv - - test/tb_idma_lite_backend.sv - - test/tb_idma_obi_backend.sv - - test/tb_idma_nd_backend.sv - - - target: test # 64bit descriptor frontend files: - # Level 0 - - test/frontends/tb_idma_desc64_top.sv + - test/tb_idma_improved_fifo.sv diff --git a/Makefile b/Makefile index 2479e7ae..9d4bd9a1 100644 --- a/Makefile +++ b/Makefile @@ -4,10 +4,31 @@ # Author: Thomas Benz -GIT ?= git +GIT ?= git BENDER ?= bender PYTHON ?= python3 +RTL_CFGS ?= \ + gen_rtl_obi.obi.split \ + gen_rtl_axi.obi.split \ + gen_rtl_obi.axi.split \ + gen_rtl_axi.axi.split \ + gen_rtl_axi-obi.axi-obi.split \ + gen_rtl_axi_lite.axi_lite.split \ + gen_rtl_axi_stream-axi.axi_stream-axi.split \ + gen_rtl_axi_stream.axi_stream.split \ + gen_rtl_axi-init.axi.split \ + gen_rtl_init.obi.split \ + gen_rtl_tilelink.tilelink.split \ + gen_rtl_tilelink-axi.tilelink-axi.split \ + gen_rtl_tilelink-axi-obi-axi_lite-axi_stream-init.obi.split \ + gen_rtl_obi.tilelink-axi-axi_lite-axi_stream-obi.split \ + gen_rtl_tilelink-axi-axi_lite-axi_stream-init-obi.tilelink-axi-axi_lite-axi_stream-obi.split + + +# Extracting word nr. $(1) from $(2)-separated list $(3) +pw = $(word $(1), $(subst $(2), ,$(3))) + .PHONY: all help prepare_sim # phony targets @@ -58,7 +79,8 @@ define generate_vsim echo >> $1 endef -scripts/compile_vsim.tcl: Bender.yml +scripts/compile_vsim.tcl: Bender.yml src/backend/Bender.yml + $(BENDER) update $(call generate_vsim, $@, -t rtl -t test,..) sim_clean: @@ -105,7 +127,8 @@ VCS_BIN ?= vcs VLOGAN_REL_PATHS ?= | grep -v "ROOT=" | sed '3 i ROOT="."' -scripts/compile_vcs.sh: Bender.yml Bender.lock +scripts/compile_vcs.sh: Bender.yml Bender.lock src/backend/Bender.yml + $(BENDER) update $(BENDER) script vcs -t test -t rtl -t simulation --vlog-arg "\$(VLOGAN_ARGS)" --vlogan-bin "$(VLOGAN_BIN)" $(VLOGAN_REL_PATHS) > $@ chmod +x $@ @@ -145,7 +168,8 @@ VLT_ARGS += --no-skip-identical VLT_TOP ?= -verilator/files_raw.txt: Bender.yml Bender.lock +verilator/files_raw.txt: Bender.yml Bender.lock src/backend/Bender.yml + $(BENDER) update $(BENDER) script verilator -t synthesis > $@ verilator/files.txt: verilator/scripts/preprocess.py verilator/files_raw.txt @@ -173,6 +197,7 @@ RELATIVE_PATH_REGEX = 's/$(PATH_ESCAPED)/./' pickle: pickle/idma_pickle.sv pickle/idma_pickle_stripped.sv sources.txt: Bender.yml Bender.lock + $(BENDER) update $(BENDER) script flist -t rtl -t synthesis -t pulp -t cva6 | sed -e $(RELATIVE_PATH_REGEX) > sources.txt pickle/idma_pickle.sv: sources.txt gen_regs @@ -211,8 +236,11 @@ doc_clean: .PHONY: misc_clean nuke misc_clean: + rm -f src/backend/Bender.yml + rm -rf src/backend/backend_* rm -rf scripts/__pycache__ rm -rf scripts/synth.*.params.tcl + rm -f scripts/waves/vsim_backend_*.do rm -f sources.txt rm -f contributions.txt rm -f open_todos.txt @@ -270,6 +298,44 @@ bender-rm: rm -f bender +## -------------- +## RTL +## -------------- + +.PHONY: gen_rtl rtl_clean + +gen_rtl: $(RTL_CFGS) + +gen_rtl_%: util/idma_gen.py Makefile + $(PYTHON) util/idma_gen.py transportlayer \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) + $(PYTHON) util/idma_gen.py legalizer \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) + $(PYTHON) util/idma_gen.py backend \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) + $(PYTHON) util/idma_gen.py synth_wrapper \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) \ + --shifter $(call pw,3,.,$*) + $(PYTHON) util/idma_gen.py testbench \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) \ + --shifter $(call pw,3,.,$*) + $(PYTHON) util/idma_gen.py wavefile \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) + $(PYTHON) util/idma_gen.py bender \ + --read-protocols $(subst -, ,$(call pw,1,.,$*)) \ + --write-protocols $(subst -, ,$(call pw,2,.,$*)) + +rtl_clean: + rm -f src/backend/Bender.yml + rm -rf src/backend/backend_* + rm -f scripts/waves/vsim_backend_*.do + ## -------------- ## Register ## -------------- diff --git a/README.md b/README.md index fff438f7..7923ef47 100644 --- a/README.md +++ b/README.md @@ -60,24 +60,29 @@ We currently do not include any free and open-source simulation setup. However, a simulation can be launched using: ```bash +make gen_rtl_axi.obi.split make prepare_sim export VSIM="questa-2022.3 vsim" $VSIM -c -do "source scripts/compile_vsim.tcl; quit" $VSIM -c -t 1ps -voptargs=+acc \ - +job_file=jobs/backend/man_same_dst_simple.txt \ - -logfile logs/backend.simple.vsim.log \ - -wlf logs/backend.simple.wlf \ - tb_idma_obi_backend \ + +job_file=jobs/multiprotocol/man_mixed.txt \ + -logfile logs/multiprotocol.simple.vsim.log \ + -wlf logs/multiprotocol.simple.wlf \ + tb_idma_backend_r_axi_w_obi \ -do "source scripts/start_vsim.tcl; run -all" ``` with gui: -``` +```bash +make gen_rtl_axi-tilelink.axi.split +make prepare_sim +export VSIM="questa-2022.3 vsim" +$VSIM -c -do "source scripts/compile_vsim.tcl; quit" $VSIM -t 1ps -voptargs=+acc \ - +job_file=jobs/backend/man_same_dst_simple.txt \ + +job_file=jobs/backend/man_tiny.txt \ -logfile logs/backend.simple.vsim.log \ - -wlf logs/backend.simple.wlf \ - tb_idma_obi_backend \ - -do "source scripts/start_vsim.tcl; source scripts/waves/vsim_obi_backend.do; run -all" + -wlf logs/backend.medium.wlf \ + tb_idma_backend_rw_axi_r_tilelink \ + -do "source scripts/start_vsim.tcl; source scripts/waves/vsim_backend_rw_axi_r_tilelink.do; run -all" ``` Where: diff --git a/jobs.json b/jobs.json index a7b57d09..01ace9ac 100644 --- a/jobs.json +++ b/jobs.json @@ -1,5 +1,8 @@ { - "backend" : { + "axi_backend_combined_shifter" : { + "read" : ["axi"], + "write": ["axi"], + "shifter": "combined", "seed" : 1336, "man_jobs" : { "simple" : "backend/man_simple.txt", @@ -16,60 +19,116 @@ }, "gen_jobs" : { }, - "testbench" : "tb_idma_backend", - "synth_top" : "idma_backend_synth", "overrides" : { + "ErrorHandling" : 1 } }, - "backend-occamy" : { + "axi_backend" : { + "read" : ["axi"], + "write": ["axi"], "seed" : 1336, "man_jobs" : { - "simple" : "backend-occamy/man_simple.txt", - "huge" : "backend-occamy/man_huge.txt", - "large" : "backend-occamy/man_large.txt", - "medium" : "backend-occamy/man_medium.txt", - "mixed" : "backend-occamy/man_mixed.txt", - "small" : "backend-occamy/man_small.txt", - "tiny" : "backend-occamy/man_tiny.txt", - "error_simple" : "backend-occamy/man_error_simple.txt" + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "error_simple" : "backend/man_error_simple.txt", + "error_mixed" : "backend/man_error_mixed.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" }, "gen_jobs" : { }, - "testbench" : "tb_idma_backend", - "synth_top" : "idma_backend_synth", "overrides" : { - "NumAxInFlight" : 16, - "DataWidth" : 512, - "AddrWidth" : 64, - "TFLenWidth" : 64 + "ErrorHandling" : 1 } }, - "tiny-dma" : { + "obi_backend" : { + "read" : ["obi"], + "write": ["obi"], "seed" : 1336, "man_jobs" : { - "simple" : "tiny-dma/man_simple.txt" + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" }, "gen_jobs" : { }, - "testbench" : "tb_idma_backend", - "synth_top" : "idma_backend_synth", "overrides" : { - "DataWidth" : 32, - "AddrWidth" : 20, - "UserWidth" : 1, - "AxiIdWidth" : 1, - "NumAxInFlight" : 2, - "BufferDepth" : 2, - "TFLenWidth" : 12, - "MemSysDepth" : 0, - "MaskInvalidData" : 0, - "RAWCouplingAvail" : 0, - "HardwareLegalizer" : 0, - "RejectZeroTransfers" : 0, - "ErrorHandling" : 0 } }, - "lite-backend" : { + "axi_to_obi_backend" : { + "read" : ["axi"], + "write": ["obi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "obi_to_axi_backend" : { + "read" : ["obi"], + "write": ["axi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_obi_backend" : { + "read" : ["axi", "obi"], + "write": ["axi", "obi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_lite_backend" : { + "read" : ["axi_lite"], + "write": ["axi_lite"], "seed" : 1336, "man_jobs" : { "simple" : "backend/man_simple.txt", @@ -80,18 +139,16 @@ "mixed" : "backend/man_mixed.txt", "small" : "backend/man_small.txt", "tiny" : "backend/man_tiny.txt", - "error_simple" : "backend/man_error_simple.txt", - "error_mixed" : "backend/man_error_mixed.txt", "zero_transfer" : "backend/man_zero_transfer.txt" }, "gen_jobs" : { }, - "testbench" : "tb_idma_lite_backend", - "synth_top" : "idma_lite_backend_synth", "overrides" : { } }, - "obi-backend" : { + "monster_backend" : { + "read" : ["axi", "axi_lite", "axi_stream", "obi", "tilelink", "init"], + "write": ["axi", "axi_lite", "axi_stream", "obi", "tilelink"], "seed" : 1336, "man_jobs" : { "simple" : "backend/man_simple.txt", @@ -106,20 +163,206 @@ }, "gen_jobs" : { }, - "testbench" : "tb_idma_obi_backend", - "synth_top" : "idma_obi_backend_synth", "overrides" : { } }, - "4d-ext" : { + "tilelink_backend" : { + "read" : ["tilelink"], + "write": ["tilelink"], "seed" : 1336, "man_jobs" : { - "simple" : "4d-ext/man_simple.txt" + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "tilelink_axi_backend" : { + "read" : ["tilelink", "axi"], + "write": ["tilelink", "axi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "tilelink_obi_backend" : { + "read" : ["tilelink", "obi"], + "write": ["tilelink", "obi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_to_tilelink_backend" : { + "read" : ["axi"], + "write": ["tilelink"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "tilelink_to_axi_backend" : { + "read" : ["tilelink"], + "write": ["axi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_init_to_axi_backend" : { + "read" : ["axi", "init"], + "write": ["axi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_stream_backend" : { + "read" : ["axi_stream"], + "write": ["axi_stream"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_to_axi_stream_backend" : { + "read" : ["axi"], + "write": ["axi_stream"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_stream_to_axi_backend" : { + "read" : ["axi_stream"], + "write": ["axi"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" + }, + "gen_jobs" : { + }, + "overrides" : { + } + }, + "axi_axi_stream_backend" : { + "read" : ["axi", "axi_stream"], + "write": ["axi", "axi_stream"], + "seed" : 1336, + "man_jobs" : { + "simple" : "backend/man_simple.txt", + "same_dst_simple" : "backend/man_same_dst_simple.txt", + "huge" : "backend/man_huge.txt", + "large" : "backend/man_large.txt", + "medium" : "backend/man_medium.txt", + "mixed" : "backend/man_mixed.txt", + "small" : "backend/man_small.txt", + "tiny" : "backend/man_tiny.txt", + "zero_transfer" : "backend/man_zero_transfer.txt" }, "gen_jobs" : { }, - "testbench" : "tb_idma_nd_backend", - "synth_top" : "idma_nd_backend_synth", "overrides" : { } } diff --git a/jobs/4d-ext/man_linear_2D.txt b/jobs/4d-ext/man_linear_2D.txt deleted file mode 100644 index 7b3e81c2..00000000 --- a/jobs/4d-ext/man_linear_2D.txt +++ /dev/null @@ -1,17 +0,0 @@ -2048 -0x0 -0x10000000 -256 -256 -0 -0 -8 -0x10000 -0x10000 -0 -0x0 -0x0 -0 -0x0 -0x0 -0 diff --git a/jobs/4d-ext/man_simple.txt b/jobs/4d-ext/man_simple.txt deleted file mode 100644 index be19b37f..00000000 --- a/jobs/4d-ext/man_simple.txt +++ /dev/null @@ -1,34 +0,0 @@ -4 -0x0 -0x1000 -256 -256 -0 -0 -2 -0x100 -0x200 -2 -0x1000 -0x2000 -1 -0x0 -0x0 -0 -8 -0x1000 -0x2000 -256 -256 -0 -0 -4 -0x100 -0x200 -0 -0x0 -0x0 -0 -0x0 -0x0 -0 diff --git a/jobs/backend-occamy/man_error_simple.txt b/jobs/backend-occamy/man_error_simple.txt deleted file mode 100644 index 2f617d4b..00000000 --- a/jobs/backend-occamy/man_error_simple.txt +++ /dev/null @@ -1,9 +0,0 @@ -32 -0x0 -0x1000 -256 -256 -0 -0 -1 -rc0x4 diff --git a/jobs/backend-occamy/man_huge.txt b/jobs/backend-occamy/man_huge.txt deleted file mode 100644 index 25511df7..00000000 --- a/jobs/backend-occamy/man_huge.txt +++ /dev/null @@ -1,400 +0,0 @@ -26234 -0xb9a9 -0x7837dabf -256 -256 -0 -0 -0 -20036 -0x3909 -0xe3158bd3 -256 -256 -1 -1 -0 -17643 -0xcd21 -0x44a52117 -256 -256 -0 -0 -0 -25317 -0xba0b -0x90389e5a -256 -256 -1 -1 -0 -21985 -0x2f0c -0xb258388d -256 -256 -1 -0 -0 -28436 -0x5ce7 -0x721d16f9 -256 -256 -1 -0 -0 -27197 -0x49c1 -0x59b36266 -256 -256 -1 -0 -0 -14442 -0x2ec2 -0x98972ec3 -256 -256 -0 -0 -0 -11673 -0x6387 -0xeb6ad21 -256 -256 -1 -0 -0 -14184 -0x6db2 -0xfd33d8e1 -256 -256 -1 -0 -0 -24176 -0x7aba -0xcaf7db32 -256 -256 -0 -0 -0 -23038 -0xada1 -0x801cf714 -256 -256 -0 -0 -0 -21004 -0xfd2 -0x17ea7b83 -256 -256 -0 -0 -0 -11580 -0xf221 -0x2a585e5c -256 -256 -0 -0 -0 -29058 -0x1fac -0x742d6990 -256 -256 -0 -0 -0 -16475 -0xd5cf -0x45114d24 -256 -256 -1 -1 -0 -20531 -0x37b6 -0xfea89c42 -256 -256 -0 -0 -0 -19245 -0x8ed4 -0xd38da3a6 -256 -256 -0 -0 -0 -17234 -0xf00 -0xdfae5ff8 -256 -256 -0 -0 -0 -10840 -0xf67c -0xa5e8e040 -256 -256 -1 -1 -0 -17531 -0xec99 -0x45187749 -256 -256 -1 -1 -0 -12031 -0xc03d -0x120a85c4 -256 -256 -0 -0 -0 -18656 -0x6c1b -0xff258601 -256 -256 -1 -1 -0 -27259 -0x391a -0x9d53d01b -256 -256 -1 -1 -0 -19035 -0x375f -0x4539c0c6 -256 -256 -0 -0 -0 -25365 -0x36e -0x3cfec0f1 -256 -256 -1 -0 -0 -15246 -0x592b -0x18615574 -256 -256 -1 -1 -0 -16160 -0x8777 -0x23222bed -256 -256 -1 -1 -0 -16685 -0x5a61 -0x4c17f17b -256 -256 -0 -0 -0 -23241 -0x718b -0x8ac7ba6a -256 -256 -1 -0 -0 -22597 -0x6726 -0xc3491437 -256 -256 -1 -0 -0 -23651 -0x4219 -0x4fa323ee -256 -256 -1 -0 -0 -26575 -0xb565 -0x5d2b5efa -256 -256 -1 -1 -0 -25364 -0xf248 -0x5683094d -256 -256 -1 -0 -0 -17246 -0xf50c -0xcabd733e -256 -256 -0 -0 -0 -23138 -0x8dfd -0x296bc165 -256 -256 -0 -0 -0 -12671 -0xdcdc -0x55228421 -256 -256 -0 -0 -0 -20052 -0xfb0a -0x5ce8369 -256 -256 -0 -0 -0 -10623 -0x3486 -0x16a4b2ea -256 -256 -0 -0 -0 -28281 -0xd16e -0xb4883077 -256 -256 -0 -0 -0 -10794 -0xd4ef -0x5206c006 -256 -256 -0 -0 -0 -13006 -0xaeac -0x3ec60d40 -256 -256 -1 -1 -0 -23139 -0x8194 -0xb8ed8254 -256 -256 -0 -0 -0 -11223 -0xcc60 -0xe078867a -256 -256 -1 -0 -0 -12005 -0x7bc5 -0xd6304316 -256 -256 -1 -0 -0 -13067 -0x45ce -0x51c1af4c -256 -256 -0 -0 -0 -16489 -0x6a74 -0x52e591d0 -256 -256 -0 -0 -0 -29950 -0x6bc6 -0x63c2ec0f -256 -256 -1 -0 -0 -25693 -0x2c31 -0x905624bc -256 -256 -0 -0 -0 -24684 -0xe8a8 -0x3765d94 -256 -256 -0 -0 -0 diff --git a/jobs/backend-occamy/man_large.txt b/jobs/backend-occamy/man_large.txt deleted file mode 100644 index 3ba1c6d7..00000000 --- a/jobs/backend-occamy/man_large.txt +++ /dev/null @@ -1,1600 +0,0 @@ -9380 -0x75ae -0xc3512bd6 -256 -256 -1 -1 -0 -3544 -0x7ba -0x6e42a7de -256 -256 -0 -0 -0 -7100 -0x42f7 -0xd4499f8e -256 -256 -1 -1 -0 -3655 -0xa155 -0x58aca0b2 -256 -256 -0 -0 -0 -1493 -0x3c1b -0x571c601a -256 -256 -1 -1 -0 -8839 -0xd8e9 -0x4f6764ab -256 -256 -1 -0 -0 -6756 -0x5457 -0xcc03eff6 -256 -256 -1 -0 -0 -7023 -0x7c8b -0x7a9b6699 -256 -256 -1 -0 -0 -3932 -0x9240 -0x9afd7158 -256 -256 -0 -0 -0 -6355 -0xb449 -0x8648adce -256 -256 -1 -0 -0 -5458 -0x6a4a -0xad719624 -256 -256 -0 -0 -0 -1645 -0x7c64 -0x97fa3760 -256 -256 -1 -1 -0 -8382 -0x533d -0xa9599e68 -256 -256 -0 -0 -0 -5837 -0xdb68 -0xefa28bdc -256 -256 -1 -1 -0 -3817 -0x40e5 -0xb816b83e -256 -256 -0 -0 -0 -5340 -0xa7d3 -0xd04d80a6 -256 -256 -1 -0 -0 -8434 -0xfcd2 -0xd438cfae -256 -256 -0 -0 -0 -4841 -0xde77 -0xcb0b9154 -256 -256 -0 -0 -0 -9810 -0x4b69 -0x58750b93 -256 -256 -1 -1 -0 -2845 -0x9e06 -0x78223b5e -256 -256 -0 -0 -0 -3199 -0x634d -0x89f6da26 -256 -256 -1 -0 -0 -6007 -0xa357 -0xcff4596e -256 -256 -1 -0 -0 -5480 -0x8b3 -0x62d24d18 -256 -256 -1 -1 -0 -1284 -0xa89d -0x23b2d521 -256 -256 -0 -0 -0 -9957 -0x457a -0xba2700db -256 -256 -0 -0 -0 -3858 -0xf6b9 -0x41021884 -256 -256 -1 -0 -0 -1570 -0xc8a6 -0x117fc321 -256 -256 -0 -0 -0 -1959 -0x5b0b -0x9868b118 -256 -256 -0 -0 -0 -6899 -0xeb9b -0x2e075535 -256 -256 -1 -0 -0 -9138 -0xa428 -0x14e8ff18 -256 -256 -0 -0 -0 -8227 -0x50bb -0x1c8e4fd -256 -256 -1 -1 -0 -7804 -0x61f7 -0x89baa920 -256 -256 -1 -1 -0 -6781 -0x4b2d -0x8de30550 -256 -256 -1 -1 -0 -5697 -0x252a -0xd4810374 -256 -256 -0 -0 -0 -5083 -0xccb1 -0x1f039548 -256 -256 -0 -0 -0 -4949 -0x7896 -0x47202fc -256 -256 -1 -0 -0 -1573 -0x549b -0x4c2b5a29 -256 -256 -0 -0 -0 -6256 -0x1b69 -0xa7cd43c5 -256 -256 -0 -0 -0 -1166 -0x14bc -0x7e06ef33 -256 -256 -0 -0 -0 -2407 -0xb815 -0x2b93aa72 -256 -256 -0 -0 -0 -3364 -0xf9ce -0xd7e4f18b -256 -256 -0 -0 -0 -6588 -0xf8d9 -0x5374e113 -256 -256 -0 -0 -0 -8674 -0x78ca -0x91385e15 -256 -256 -1 -1 -0 -7946 -0xd996 -0x1bf59825 -256 -256 -0 -0 -0 -1906 -0x73e6 -0xbbec984e -256 -256 -0 -0 -0 -6490 -0x7466 -0xc5f15192 -256 -256 -0 -0 -0 -3176 -0x6b30 -0xe349a0b -256 -256 -1 -0 -0 -3735 -0x47ff -0xcb73dad9 -256 -256 -0 -0 -0 -3640 -0x35e6 -0x7067f3b2 -256 -256 -1 -0 -0 -9292 -0xfbd6 -0x8e743a37 -256 -256 -0 -0 -0 -3638 -0x5612 -0x33d3504b -256 -256 -0 -0 -0 -2046 -0x4a71 -0xbe982faf -256 -256 -0 -0 -0 -5594 -0xcaf9 -0xb3f81fc8 -256 -256 -1 -1 -0 -9355 -0x44cd -0x820dad6c -256 -256 -0 -0 -0 -1185 -0xfbc8 -0x39343984 -256 -256 -0 -0 -0 -2417 -0x9b06 -0x7c2a1260 -256 -256 -1 -0 -0 -9476 -0x5430 -0xa927ae39 -256 -256 -1 -1 -0 -2646 -0xce80 -0x1026abd1 -256 -256 -0 -0 -0 -3722 -0xb15 -0xffd84145 -256 -256 -0 -0 -0 -8803 -0xbad7 -0x96864ef2 -256 -256 -1 -0 -0 -1623 -0x2954 -0xdaf7f799 -256 -256 -0 -0 -0 -3320 -0x9fc9 -0xac60b737 -256 -256 -1 -1 -0 -5107 -0xedba -0x7a556cf4 -256 -256 -1 -0 -0 -9684 -0xbc64 -0x38d3c976 -256 -256 -0 -0 -0 -5720 -0x7df7 -0x7c71b24d -256 -256 -1 -1 -0 -3295 -0xdf64 -0xf917a649 -256 -256 -0 -0 -0 -8849 -0xec3e -0x7bf85f03 -256 -256 -0 -0 -0 -8491 -0xfb0f -0xcc381424 -256 -256 -1 -0 -0 -1653 -0x6b05 -0x4de01389 -256 -256 -0 -0 -0 -3361 -0x843a -0xfd303d9a -256 -256 -1 -1 -0 -9907 -0xfc14 -0x2a180eae -256 -256 -1 -0 -0 -7236 -0xe842 -0x1dc810f7 -256 -256 -1 -0 -0 -9975 -0x5f7e -0xb42e9e63 -256 -256 -1 -1 -0 -4571 -0xebfa -0x85e369cb -256 -256 -0 -0 -0 -3075 -0x58f2 -0xc059cde5 -256 -256 -0 -0 -0 -8540 -0xef6d -0x206e1f9 -256 -256 -1 -0 -0 -4537 -0x85a2 -0x174a1a17 -256 -256 -0 -0 -0 -3591 -0xa327 -0xb5be885c -256 -256 -0 -0 -0 -7085 -0xe170 -0xff68f1fb -256 -256 -0 -0 -0 -2215 -0xc666 -0x30ba9357 -256 -256 -1 -1 -0 -7090 -0x221c -0x67102cc0 -256 -256 -0 -0 -0 -1225 -0x93c5 -0x25495097 -256 -256 -0 -0 -0 -9492 -0x6de4 -0xb6c6b604 -256 -256 -0 -0 -0 -8839 -0x41fc -0x2345e71b -256 -256 -1 -0 -0 -4644 -0x225b -0xe25f55b8 -256 -256 -1 -1 -0 -8199 -0x7b1a -0x847b7068 -256 -256 -0 -0 -0 -5761 -0x1c -0x17043241 -256 -256 -1 -1 -0 -7431 -0xd0ee -0xf492044a -256 -256 -1 -1 -0 -6123 -0xb778 -0xa26ea456 -256 -256 -0 -0 -0 -1268 -0x3c7f -0x350b7476 -256 -256 -0 -0 -0 -5346 -0x3106 -0x5cc17026 -256 -256 -0 -0 -0 -7290 -0x7a10 -0x4a17184f -256 -256 -0 -0 -0 -9503 -0xf258 -0x853cb94d -256 -256 -0 -0 -0 -9634 -0x5eee -0xbf346da1 -256 -256 -1 -1 -0 -9905 -0x88fc -0x4cb8e18 -256 -256 -0 -0 -0 -8772 -0x2f72 -0x47c41417 -256 -256 -1 -1 -0 -5332 -0x5788 -0x89ff70fd -256 -256 -0 -0 -0 -2366 -0x12f1 -0x8748699e -256 -256 -1 -1 -0 -5223 -0x5238 -0xb31da4b9 -256 -256 -0 -0 -0 -3907 -0x7032 -0xc6b9a639 -256 -256 -0 -0 -0 -7257 -0x77cc -0xc8ef0ec8 -256 -256 -0 -0 -0 -3276 -0x1849 -0x85ad174c -256 -256 -0 -0 -0 -8312 -0x4462 -0x276a0a4f -256 -256 -0 -0 -0 -4872 -0x97ef -0x618474f6 -256 -256 -0 -0 -0 -2172 -0x3ece -0xe79482f2 -256 -256 -0 -0 -0 -3926 -0xd881 -0x4ca543cd -256 -256 -1 -1 -0 -1361 -0x8e97 -0xe23d50a2 -256 -256 -0 -0 -0 -7618 -0xae71 -0x9fdc0655 -256 -256 -0 -0 -0 -2818 -0xbdb7 -0x54f403a0 -256 -256 -1 -0 -0 -2911 -0xb13c -0xc1c91c7f -256 -256 -1 -1 -0 -7066 -0x105c -0x65a57280 -256 -256 -0 -0 -0 -5767 -0xe159 -0xd84d6e84 -256 -256 -0 -0 -0 -7038 -0x3d52 -0x7491db5c -256 -256 -0 -0 -0 -3388 -0x216b -0xd8d76af2 -256 -256 -0 -0 -0 -9114 -0xd67f -0x5c26b373 -256 -256 -0 -0 -0 -3918 -0x150d -0xe584cb71 -256 -256 -1 -1 -0 -6222 -0x165f -0xc88cfacf -256 -256 -1 -1 -0 -8957 -0xe771 -0x37524185 -256 -256 -1 -1 -0 -5470 -0xad07 -0x62fe378 -256 -256 -0 -0 -0 -3828 -0xc4e0 -0xef640c85 -256 -256 -0 -0 -0 -6417 -0xf5c7 -0x1d068bba -256 -256 -1 -1 -0 -4373 -0x507f -0x49bb01a6 -256 -256 -0 -0 -0 -4090 -0xdfc5 -0x71abdc2d -256 -256 -1 -1 -0 -3746 -0x3b9 -0xdacf3789 -256 -256 -0 -0 -0 -3200 -0xb475 -0xf6a4a291 -256 -256 -1 -1 -0 -8060 -0xaf70 -0x6b0ef60a -256 -256 -0 -0 -0 -7977 -0x87de -0x510f47e5 -256 -256 -0 -0 -0 -7342 -0x9262 -0x4258afea -256 -256 -1 -1 -0 -4780 -0x3366 -0x8a2847fb -256 -256 -1 -0 -0 -1764 -0x2693 -0x24b4ee52 -256 -256 -1 -1 -0 -8291 -0xb504 -0x95ffb430 -256 -256 -0 -0 -0 -9335 -0x3ff7 -0x6a9c70b4 -256 -256 -0 -0 -0 -1394 -0xfca7 -0x46cb13c6 -256 -256 -0 -0 -0 -1477 -0x8bd7 -0x8070969b -256 -256 -0 -0 -0 -8558 -0x5491 -0x1b2fb4dc -256 -256 -1 -0 -0 -5027 -0xb5cd -0xceacf6a4 -256 -256 -0 -0 -0 -9970 -0x9090 -0x6a596b56 -256 -256 -1 -0 -0 -8381 -0x617b -0x7c78a0c1 -256 -256 -1 -1 -0 -1903 -0x5cdd -0xf30ff608 -256 -256 -0 -0 -0 -3756 -0x24f1 -0x402a9668 -256 -256 -0 -0 -0 -1844 -0xa071 -0x9b5e8809 -256 -256 -0 -0 -0 -7936 -0x5606 -0x667ad0b5 -256 -256 -0 -0 -0 -2456 -0x587b -0x7362511b -256 -256 -1 -0 -0 -5459 -0x6176 -0xaa9953f6 -256 -256 -0 -0 -0 -8514 -0xe2b -0x5b23ffe7 -256 -256 -1 -1 -0 -3275 -0x5084 -0x83b0905b -256 -256 -1 -0 -0 -4461 -0xaa7f -0x335175d3 -256 -256 -0 -0 -0 -7727 -0x6e7 -0xd5db1c3c -256 -256 -1 -0 -0 -5677 -0x3e2f -0x88a76071 -256 -256 -1 -0 -0 -8499 -0x43fd -0x9c2637a -256 -256 -0 -0 -0 -3558 -0xd7b2 -0xcc6c3a63 -256 -256 -1 -1 -0 -9519 -0xc2ac -0xa9ea66f6 -256 -256 -0 -0 -0 -5941 -0x7c4d -0x5957f362 -256 -256 -0 -0 -0 -4747 -0x4301 -0x8247cffc -256 -256 -0 -0 -0 -2931 -0x15f4 -0x87ee8925 -256 -256 -1 -0 -0 -2671 -0x25b1 -0x74c89c1c -256 -256 -1 -0 -0 -9380 -0xa550 -0x1707388f -256 -256 -0 -0 -0 -2637 -0x62f2 -0x4008a36a -256 -256 -0 -0 -0 -2092 -0x9805 -0x365a2755 -256 -256 -1 -0 -0 -8283 -0xd105 -0x3411be67 -256 -256 -0 -0 -0 -6491 -0xd907 -0x7163118a -256 -256 -0 -0 -0 -9862 -0x670b -0x593730 -256 -256 -1 -1 -0 -1239 -0x4edf -0x1c46e5b1 -256 -256 -0 -0 -0 -7305 -0x60bd -0x8b6b55a9 -256 -256 -0 -0 -0 -2920 -0x6701 -0xdd0cd70f -256 -256 -0 -0 -0 -6557 -0xb543 -0x98ab1c22 -256 -256 -1 -1 -0 -3520 -0x91d9 -0x148fdb4e -256 -256 -0 -0 -0 -2768 -0xed33 -0x5f4d9d63 -256 -256 -0 -0 -0 -8282 -0x6e81 -0xa1c7e21a -256 -256 -1 -1 -0 -6799 -0xf4c -0x40b0ef57 -256 -256 -0 -0 -0 -6774 -0x363d -0x44a16440 -256 -256 -1 -1 -0 -9166 -0x858c -0x1ab22503 -256 -256 -1 -0 -0 -7894 -0x3233 -0xa1a33977 -256 -256 -0 -0 -0 -6221 -0x33b9 -0x2846615f -256 -256 -0 -0 -0 -9458 -0x51ee -0x5efc9395 -256 -256 -0 -0 -0 -2790 -0xf9e5 -0xfe079aa0 -256 -256 -0 -0 -0 -2593 -0xe4f2 -0x26fbe38c -256 -256 -1 -0 -0 -9557 -0x7b57 -0x17ff3cf4 -256 -256 -0 -0 -0 -2075 -0x3138 -0xf4124ebf -256 -256 -0 -0 -0 -6743 -0xb0ed -0x98dd81fc -256 -256 -1 -0 -0 -1114 -0xb516 -0x54019c3d -256 -256 -1 -1 -0 -3111 -0xf9a2 -0x4d643d7a -256 -256 -0 -0 -0 -6700 -0x301f -0xa9af72c9 -256 -256 -0 -0 -0 -9901 -0xdd42 -0x1d5b95fd -256 -256 -0 -0 -0 -1516 -0x6ef9 -0x91011713 -256 -256 -0 -0 -0 -7142 -0x7dfd -0x4efe0aa1 -256 -256 -0 -0 -0 -8920 -0x12bc -0xdbd68e4e -256 -256 -0 -0 -0 -3333 -0xa3c0 -0x5f90c113 -256 -256 -0 -0 -0 -4814 -0x9564 -0x8e952786 -256 -256 -1 -1 -0 -1996 -0x2dae -0x543d8fc8 -256 -256 -0 -0 -0 -3352 -0xcb34 -0x75d3a213 -256 -256 -1 -0 -0 -2114 -0x69a7 -0x230fc6f7 -256 -256 -1 -0 -0 -4401 -0x8762 -0xd11cd874 -256 -256 -0 -0 -0 -1623 -0x1fab -0xbd909c8f -256 -256 -0 -0 -0 -7979 -0xd7cb -0x12c57a9f -256 -256 -1 -0 -0 -2149 -0xbd90 -0xd0c35160 -256 -256 -1 -0 -0 -6389 -0xf131 -0x3102394d -256 -256 -1 -0 -0 -5471 -0x8985 -0xb1cce65f -256 -256 -0 -0 -0 -2473 -0x988e -0xd16fb55 -256 -256 -1 -0 -0 -2606 -0xa214 -0xe359f662 -256 -256 -0 -0 -0 diff --git a/jobs/backend-occamy/man_medium.txt b/jobs/backend-occamy/man_medium.txt deleted file mode 100644 index bfc083bc..00000000 --- a/jobs/backend-occamy/man_medium.txt +++ /dev/null @@ -1,60000 +0,0 @@ -65 -0x2f12 -0x9876aece -256 -256 -1 -0 -0 -19 -0x9c95 -0x84b2ee43 -256 -256 -1 -1 -0 -69 -0xff5b -0xd1170db5 -256 -256 -0 -0 -0 -23 -0x1fb5 -0x2effcb92 -256 -256 -1 -0 -0 -75 -0x50d -0xa839e941 -256 -256 -0 -0 -0 -24 -0xffa5 -0x869afa33 -256 -256 -1 -1 -0 -62 -0x7eec -0x76f430bc -256 -256 -0 -0 -0 -67 -0x62b2 -0xaaee4907 -256 -256 -0 -0 -0 -83 -0x116f -0x86bc6507 -256 -256 -0 -0 -0 -15 -0xbab1 -0xb34b75bc -256 -256 -0 -0 -0 -35 -0x92ca -0xd8b5ce21 -256 -256 -0 -0 -0 -88 -0xa20c -0x190563d1 -256 -256 -1 -0 -0 -77 -0x7dd4 -0x5e33aa76 -256 -256 -1 -0 -0 -31 -0x29b8 -0x178e6969 -256 -256 -1 -1 -0 -26 -0x8d2b -0xf65527ad -256 -256 -1 -0 -0 -40 -0x6bcc -0x14f1f404 -256 -256 -0 -0 -0 -59 -0x4abc -0x4fd90146 -256 -256 -1 -1 -0 -71 -0x254c -0x661720ce -256 -256 -0 -0 -0 -98 -0x26ad -0xdbf04735 -256 -256 -1 -0 -0 -44 -0x48cc -0xe83e2399 -256 -256 -0 -0 -0 -98 -0xf82b -0x11a3c557 -256 -256 -0 -0 -0 -69 -0x29a -0xd4ad675b -256 -256 -0 -0 -0 -87 -0xac1d -0xeb2f122 -256 -256 -1 -0 -0 -17 -0xf974 -0xa706c0bd -256 -256 -1 -0 -0 -33 -0x575c -0x31d9230f -256 -256 -0 -0 -0 -33 -0xb04a -0xd6600c45 -256 -256 -0 -0 -0 -12 -0xe019 -0xb7dd1acb -256 -256 -1 -0 -0 -17 -0x87ca -0xe334cf42 -256 -256 -0 -0 -0 -78 -0x574e -0xbef4f3d5 -256 -256 -0 -0 -0 -29 -0x94a0 -0x9be9b298 -256 -256 -0 -0 -0 -77 -0xc51e -0x40fbbc17 -256 -256 -0 -0 -0 -20 -0xf1f6 -0x62f687d9 -256 -256 -0 -0 -0 -30 -0x342a -0x1485266e -256 -256 -1 -1 -0 -11 -0x803d -0x16413a2d -256 -256 -1 -1 -0 -58 -0x4908 -0xaa2a2d93 -256 -256 -1 -0 -0 -44 -0xb4ce -0x19fc16b0 -256 -256 -0 -0 -0 -20 -0xa668 -0x29dc64e1 -256 -256 -0 -0 -0 -52 -0xda3 -0x5a1fb804 -256 -256 -1 -1 -0 -13 -0xe837 -0x37f342bb -256 -256 -0 -0 -0 -98 -0x425c -0xdc373e8c -256 -256 -0 -0 -0 -82 -0x9c9f -0x6a3f6c69 -256 -256 -0 -0 -0 -69 -0xb46 -0x66fe84a3 -256 -256 -1 -0 -0 -62 -0x3ef4 -0x5d3139cc -256 -256 -1 -0 -0 -36 -0xa6bb -0xe8b5e6f3 -256 -256 -1 -0 -0 -48 -0xce9d -0x91823cec -256 -256 -1 -0 -0 -18 -0xde6b -0x48bea9e5 -256 -256 -0 -0 -0 -45 -0x7ad3 -0x26c30677 -256 -256 -0 -0 -0 -64 -0x4b89 -0x4a015230 -256 -256 -1 -0 -0 -37 -0x4037 -0x4887de4d -256 -256 -1 -1 -0 -32 -0x8d0b -0x9f67aa27 -256 -256 -0 -0 -0 -36 -0x6ec6 -0x77594f20 -256 -256 -0 -0 -0 -72 -0x8729 -0x7c8924fd -256 -256 -0 -0 -0 -17 -0x518c -0x480a3be6 -256 -256 -0 -0 -0 -26 -0x4920 -0x4b8b0708 -256 -256 -1 -1 -0 -44 -0xc824 -0x88d2d8aa -256 -256 -1 -0 -0 -100 -0xd0e5 -0x93365419 -256 -256 -1 -1 -0 -25 -0xc43a -0x8527e02d -256 -256 -1 -1 -0 -70 -0x9748 -0x2f014713 -256 -256 -0 -0 -0 -87 -0x86d5 -0xd6700105 -256 -256 -1 -0 -0 -15 -0x3ecc -0x3ca4bcc0 -256 -256 -1 -0 -0 -74 -0xe730 -0xa91a3a5b -256 -256 -0 -0 -0 -22 -0x7bc2 -0x1c09a4a1 -256 -256 -0 -0 -0 -47 -0x597d -0xd6da39b1 -256 -256 -1 -0 -0 -65 -0x9e1c -0xe06ec43a -256 -256 -1 -1 -0 -58 -0x25d1 -0xf48bc11d -256 -256 -0 -0 -0 -75 -0x95ab -0x7a866f6c -256 -256 -1 -1 -0 -75 -0x2bb1 -0xaeb9f69c -256 -256 -0 -0 -0 -73 -0x4859 -0x2d523c67 -256 -256 -0 -0 -0 -17 -0x6d42 -0xb7f1c526 -256 -256 -0 -0 -0 -25 -0x135f -0xb39101b1 -256 -256 -0 -0 -0 -30 -0x45b -0xf590cce6 -256 -256 -1 -0 -0 -99 -0x3eb8 -0x922d5a74 -256 -256 -0 -0 -0 -98 -0x7d7d -0x580121ff -256 -256 -0 -0 -0 -89 -0x14b3 -0x4f775dd1 -256 -256 -0 -0 -0 -55 -0x7850 -0x8d982ad -256 -256 -0 -0 -0 -48 -0x11f6 -0x3b765e2 -256 -256 -1 -0 -0 -79 -0x99c4 -0x17f5a024 -256 -256 -0 -0 -0 -20 -0xdedd -0xac7473bb -256 -256 -1 -1 -0 -36 -0x23ed -0xaae3540a -256 -256 -0 -0 -0 -19 -0x6994 -0x9cb7498d -256 -256 -0 -0 -0 -17 -0xc09 -0x4bd99955 -256 -256 -0 -0 -0 -59 -0xcf40 -0xc2ecf2d4 -256 -256 -1 -1 -0 -72 -0xff43 -0x3f886af0 -256 -256 -0 -0 -0 -31 -0xf03d -0xdcad4961 -256 -256 -0 -0 -0 -97 -0x2ea2 -0x6edb70c1 -256 -256 -0 -0 -0 -92 -0xb207 -0xad05f245 -256 -256 -0 -0 -0 -27 -0x6d1d -0xb46fd585 -256 -256 -1 -1 -0 -31 -0x739b -0xd26e0fcf -256 -256 -1 -0 -0 -55 -0xea77 -0xa271025d -256 -256 -1 -0 -0 -60 -0xfa83 -0x3428e003 -256 -256 -0 -0 -0 -42 -0x8813 -0xffcce206 -256 -256 -0 -0 -0 -28 -0x83b -0x8c4fa571 -256 -256 -1 -1 -0 -96 -0x576f -0x60fe28 -256 -256 -0 -0 -0 -98 -0xd9ad -0x3590e54 -256 -256 -1 -0 -0 -66 -0x51d2 -0x37134546 -256 -256 -1 -1 -0 -55 -0x8832 -0xb7c4937f -256 -256 -1 -0 -0 -53 -0xb142 -0x230775ee -256 -256 -0 -0 -0 -95 -0x27c2 -0x2e805be -256 -256 -0 -0 -0 -58 -0xaa19 -0xbdd89c11 -256 -256 -1 -0 -0 -75 -0x76fe -0x854944c3 -256 -256 -0 -0 -0 -66 -0xf6c7 -0xd695acd8 -256 -256 -0 -0 -0 -93 -0xb3ca -0x8bbaa5e6 -256 -256 -1 -0 -0 -54 -0xbd8a -0xf84637b5 -256 -256 -0 -0 -0 -40 -0x65d3 -0xbe5a9946 -256 -256 -0 -0 -0 -63 -0xae75 -0x60b502a7 -256 -256 -0 -0 -0 -84 -0x3e87 -0xe6b0ee1b -256 -256 -1 -1 -0 -85 -0xeb80 -0xcc8a15e8 -256 -256 -1 -1 -0 -73 -0x892c -0x2fec416a -256 -256 -1 -1 -0 -46 -0x284e -0x2efe4b8a -256 -256 -0 -0 -0 -64 -0x5e56 -0xd1453f7b -256 -256 -1 -0 -0 -20 -0x9268 -0xc8bd4289 -256 -256 -1 -1 -0 -11 -0xbc50 -0xe73975a -256 -256 -1 -0 -0 -29 -0xfa8 -0x600cce51 -256 -256 -1 -0 -0 -59 -0xe829 -0xe3af478d -256 -256 -1 -0 -0 -70 -0x39d6 -0x5d38642c -256 -256 -0 -0 -0 -70 -0x9f0f -0x4e488107 -256 -256 -0 -0 -0 -42 -0x9b4d -0x697f5b65 -256 -256 -1 -0 -0 -25 -0x832c -0xcb53433b -256 -256 -0 -0 -0 -90 -0xa48f -0x5cf7299 -256 -256 -0 -0 -0 -60 -0xe254 -0x21ed66cb -256 -256 -1 -0 -0 -76 -0x2420 -0xd07e3a80 -256 -256 -0 -0 -0 -41 -0xcc0e -0x2ba37fa8 -256 -256 -1 -0 -0 -32 -0x955e -0xef081d6f -256 -256 -0 -0 -0 -77 -0x1e50 -0x48243119 -256 -256 -0 -0 -0 -79 -0x7eda -0x7c66e587 -256 -256 -0 -0 -0 -81 -0x85ac -0xdfa2dfb6 -256 -256 -0 -0 -0 -34 -0xcd12 -0x629660cb -256 -256 -0 -0 -0 -92 -0x3165 -0x6b4b1ff3 -256 -256 -0 -0 -0 -25 -0x9595 -0x9d309b4d -256 -256 -0 -0 -0 -55 -0xfa39 -0xda8e693c -256 -256 -0 -0 -0 -76 -0x7daf -0x324bcfe7 -256 -256 -0 -0 -0 -78 -0xe2a7 -0xd5a4cca0 -256 -256 -0 -0 -0 -86 -0xe480 -0xbe84453e -256 -256 -1 -0 -0 -98 -0xf2fa -0x44c75478 -256 -256 -1 -0 -0 -71 -0xa86d -0x662c9ca4 -256 -256 -1 -0 -0 -35 -0x135e -0x3f09c5f6 -256 -256 -0 -0 -0 -88 -0x2ecf -0xff7d94dc -256 -256 -1 -1 -0 -54 -0xfb2e -0xa0f0b8d8 -256 -256 -0 -0 -0 -38 -0xc6a5 -0xe5de2c0 -256 -256 -1 -1 -0 -48 -0xc6e -0x1dec35c1 -256 -256 -1 -1 -0 -97 -0xf8d -0x12297cc6 -256 -256 -1 -1 -0 -89 -0x28d -0x462c158b -256 -256 -1 -0 -0 -42 -0x22a0 -0xcb8ee345 -256 -256 -0 -0 -0 -82 -0x7919 -0x55982ee6 -256 -256 -0 -0 -0 -80 -0x76ce -0x8a95ea5f -256 -256 -0 -0 -0 -94 -0x9aaf -0xe337b0ae -256 -256 -0 -0 -0 -56 -0xda26 -0x62c0e01 -256 -256 -0 -0 -0 -65 -0xcd14 -0x3c880ff0 -256 -256 -1 -1 -0 -95 -0x1202 -0xeaf581f3 -256 -256 -0 -0 -0 -54 -0xd2d9 -0x875b09f5 -256 -256 -0 -0 -0 -28 -0x6e40 -0x2c35ab15 -256 -256 -0 -0 -0 -54 -0x3c64 -0x4656e8b5 -256 -256 -1 -1 -0 -49 -0xa0a4 -0x6d094557 -256 -256 -0 -0 -0 -32 -0x668 -0x4cdac4af -256 -256 -0 -0 -0 -36 -0x87e1 -0xa5c9f792 -256 -256 -1 -1 -0 -89 -0x7991 -0x9419b675 -256 -256 -0 -0 -0 -60 -0x38f4 -0xcffd323b -256 -256 -1 -1 -0 -92 -0xe10b -0xfca796ec -256 -256 -0 -0 -0 -55 -0xee22 -0xe4dbd635 -256 -256 -0 -0 -0 -69 -0xcc6a -0x9f9486de -256 -256 -1 -1 -0 -79 -0x6763 -0x461d36f4 -256 -256 -0 -0 -0 -81 -0xb1fc -0xa1bcf3f1 -256 -256 -1 -0 -0 -96 -0xd50b -0x69207f0 -256 -256 -1 -1 -0 -55 -0xfeba -0x40c60f0 -256 -256 -0 -0 -0 -92 -0x8719 -0x809b3743 -256 -256 -0 -0 -0 -50 -0x664e -0xbeeed194 -256 -256 -1 -1 -0 -18 -0x2628 -0xe3b3275f -256 -256 -1 -1 -0 -52 -0x377c -0xe4519f34 -256 -256 -0 -0 -0 -90 -0x47d0 -0x6c655469 -256 -256 -1 -1 -0 -87 -0xc738 -0xc8193c2f -256 -256 -0 -0 -0 -61 -0xe1cd -0xa85f52f0 -256 -256 -1 -1 -0 -53 -0xa519 -0x9815db4e -256 -256 -0 -0 -0 -49 -0xd0db -0xc6cbc565 -256 -256 -1 -1 -0 -39 -0x1b39 -0x6885c11e -256 -256 -0 -0 -0 -66 -0x9cf7 -0xb177d28e -256 -256 -0 -0 -0 -99 -0xf930 -0x4470068c -256 -256 -0 -0 -0 -83 -0x5833 -0x1ce2cf71 -256 -256 -1 -0 -0 -65 -0x9313 -0x81625747 -256 -256 -1 -1 -0 -82 -0xfe9c -0xc114f37d -256 -256 -0 -0 -0 -13 -0xecbf -0xc4340aa0 -256 -256 -1 -1 -0 -50 -0xe969 -0xb2e632fc -256 -256 -1 -0 -0 -22 -0xb6ee -0x296b91d1 -256 -256 -0 -0 -0 -80 -0x657a -0x1a26f546 -256 -256 -1 -1 -0 -78 -0xa7a1 -0xe15728d0 -256 -256 -0 -0 -0 -43 -0xd3b0 -0xbe0f9bb3 -256 -256 -0 -0 -0 -56 -0xcc9c -0x5e36c281 -256 -256 -1 -1 -0 -100 -0x7507 -0x11f044e -256 -256 -0 -0 -0 -77 -0x7c8c -0x8c8d61b9 -256 -256 -0 -0 -0 -99 -0xb2ff -0x89bf1560 -256 -256 -1 -0 -0 -43 -0x3ec2 -0x6a22b652 -256 -256 -0 -0 -0 -55 -0x4329 -0x92cd2df9 -256 -256 -1 -1 -0 -89 -0xef63 -0xc7f05d94 -256 -256 -0 -0 -0 -34 -0x5356 -0xd86faacd -256 -256 -1 -0 -0 -40 -0xaf7b -0x656f551a -256 -256 -1 -1 -0 -73 -0x7da -0x7a2850a -256 -256 -0 -0 -0 -76 -0xfeba -0x5ee171b7 -256 -256 -0 -0 -0 -58 -0x61e3 -0x10b66311 -256 -256 -1 -0 -0 -72 -0xc0d0 -0xbe17a52 -256 -256 -0 -0 -0 -31 -0x1522 -0x95dcbd8 -256 -256 -0 -0 -0 -55 -0x4557 -0xaa528e3a -256 -256 -0 -0 -0 -94 -0x9a21 -0x200019a6 -256 -256 -0 -0 -0 -76 -0xb120 -0x298ee264 -256 -256 -1 -1 -0 -33 -0xf875 -0x5a939a5c -256 -256 -1 -0 -0 -11 -0xf556 -0x4857ee32 -256 -256 -1 -0 -0 -26 -0x2ef1 -0x2c972b6 -256 -256 -0 -0 -0 -51 -0xe339 -0x3ff63e47 -256 -256 -1 -1 -0 -56 -0xbad7 -0xce28671a -256 -256 -1 -1 -0 -43 -0x2b5a -0xb4948758 -256 -256 -1 -0 -0 -68 -0x899d -0x49c38480 -256 -256 -1 -0 -0 -79 -0x525 -0x13f7e3d4 -256 -256 -0 -0 -0 -53 -0x4b7d -0x33dd4bee -256 -256 -1 -0 -0 -24 -0x8601 -0x3610b5ae -256 -256 -0 -0 -0 -85 -0xb568 -0xf1623e7f -256 -256 -0 -0 -0 -67 -0x847a -0xd79a8bd6 -256 -256 -1 -0 -0 -20 -0x139c -0x494a9e2e -256 -256 -0 -0 -0 -61 -0x2b4b -0x669d520f -256 -256 -1 -1 -0 -44 -0x4333 -0x75af5443 -256 -256 -0 -0 -0 -45 -0x71a5 -0x86633c6a -256 -256 -0 -0 -0 -40 -0x354e -0xd15f37c9 -256 -256 -1 -1 -0 -79 -0x7a60 -0x94b1092e -256 -256 -0 -0 -0 -59 -0x34ee -0x94c20f9b -256 -256 -0 -0 -0 -44 -0x1e52 -0xc47bdcf4 -256 -256 -1 -0 -0 -28 -0x58f9 -0xbe6cdabd -256 -256 -1 -0 -0 -71 -0xd26a -0x36e22932 -256 -256 -0 -0 -0 -27 -0xffc0 -0xe52253f5 -256 -256 -1 -1 -0 -13 -0xfc2d -0xb01a2e9b -256 -256 -1 -1 -0 -37 -0x70f3 -0x2a65c1ba -256 -256 -0 -0 -0 -62 -0x8eff -0xc348cd54 -256 -256 -0 -0 -0 -13 -0x9171 -0x5b950aff -256 -256 -0 -0 -0 -46 -0x6174 -0xa53d7d0f -256 -256 -0 -0 -0 -91 -0xc28d -0xaa074320 -256 -256 -0 -0 -0 -77 -0xf789 -0x2ccbf69e -256 -256 -1 -1 -0 -41 -0x4a46 -0x799e35f5 -256 -256 -0 -0 -0 -35 -0x356c -0xad76fffd -256 -256 -0 -0 -0 -99 -0xc432 -0x9bde912 -256 -256 -1 -1 -0 -100 -0x38d6 -0x88f61689 -256 -256 -0 -0 -0 -38 -0xfc9f -0xac91a28e -256 -256 -1 -1 -0 -38 -0x75a3 -0xb6815104 -256 -256 -0 -0 -0 -73 -0x939 -0x56347668 -256 -256 -1 -1 -0 -46 -0x5bd7 -0xb4d72e24 -256 -256 -1 -1 -0 -63 -0x301c -0xf443d73f -256 -256 -0 -0 -0 -65 -0x8e1f -0xeb1340dd -256 -256 -1 -1 -0 -42 -0xcf17 -0x1d53d9c6 -256 -256 -1 -0 -0 -11 -0xd38e -0xca255e7f -256 -256 -0 -0 -0 -62 -0xe507 -0x8fe9d78e -256 -256 -1 -1 -0 -51 -0xb117 -0x33835fc2 -256 -256 -1 -0 -0 -55 -0xc2b5 -0xe4f3e0b2 -256 -256 -0 -0 -0 -63 -0xca8f -0x3109cf17 -256 -256 -1 -0 -0 -31 -0x9d9 -0x3f13b388 -256 -256 -1 -0 -0 -58 -0x17c0 -0xaf0e0be -256 -256 -0 -0 -0 -62 -0x165f -0xa838df5e -256 -256 -0 -0 -0 -50 -0x93a7 -0x5cccf3a9 -256 -256 -0 -0 -0 -20 -0xec92 -0xe966ba1 -256 -256 -0 -0 -0 -48 -0xa729 -0xdbd2cbd0 -256 -256 -0 -0 -0 -83 -0x5b52 -0xee6d9f28 -256 -256 -0 -0 -0 -35 -0x57bb -0xbb05ea4e -256 -256 -0 -0 -0 -40 -0x2d30 -0x9a102b13 -256 -256 -0 -0 -0 -93 -0x59c5 -0xe49fbec6 -256 -256 -1 -1 -0 -77 -0x61eb -0x8803557e -256 -256 -1 -0 -0 -39 -0x58f5 -0x5ba97e32 -256 -256 -0 -0 -0 -14 -0x5e18 -0x66d4262c -256 -256 -1 -0 -0 -94 -0x7d4a -0x8fc8522c -256 -256 -0 -0 -0 -77 -0xa6e8 -0xea7cef26 -256 -256 -1 -0 -0 -83 -0x97a1 -0x9137cfae -256 -256 -0 -0 -0 -46 -0xf19b -0xe3aa78a7 -256 -256 -1 -1 -0 -77 -0xe881 -0x58802842 -256 -256 -1 -0 -0 -80 -0xc56f -0xf8d51559 -256 -256 -0 -0 -0 -50 -0xd4be -0x756b1bdb -256 -256 -0 -0 -0 -43 -0x607c -0xcbbb538d -256 -256 -0 -0 -0 -24 -0x41be -0x227aa4a6 -256 -256 -1 -1 -0 -49 -0xdef5 -0x726dace0 -256 -256 -0 -0 -0 -81 -0x8bc8 -0x15ac4d27 -256 -256 -0 -0 -0 -94 -0xad54 -0xffc73c7f -256 -256 -1 -1 -0 -30 -0xf111 -0x33eba2a8 -256 -256 -1 -1 -0 -93 -0x6cc -0x89eafa47 -256 -256 -0 -0 -0 -45 -0xc1cc -0x21a0bab0 -256 -256 -1 -1 -0 -74 -0xd683 -0xbb7b872d -256 -256 -1 -0 -0 -19 -0x71dc -0x38e4e3c6 -256 -256 -0 -0 -0 -92 -0x651c -0xa2b7d2d9 -256 -256 -0 -0 -0 -74 -0x892b -0xf5706118 -256 -256 -1 -1 -0 -72 -0x2893 -0xee9152a6 -256 -256 -1 -1 -0 -14 -0x9197 -0xae32e8c8 -256 -256 -0 -0 -0 -50 -0xda0e -0x40478557 -256 -256 -1 -1 -0 -93 -0xe92b -0x14eda87b -256 -256 -0 -0 -0 -41 -0x4b58 -0xa4b100af -256 -256 -0 -0 -0 -91 -0xa293 -0x1a220d05 -256 -256 -0 -0 -0 -91 -0xcfce -0xc76d9d1f -256 -256 -1 -0 -0 -100 -0x3416 -0x9a6dfc42 -256 -256 -1 -0 -0 -75 -0x4e3f -0x40053ac -256 -256 -1 -1 -0 -27 -0xa81b -0x55aac2b -256 -256 -0 -0 -0 -19 -0x96c -0x79c11891 -256 -256 -0 -0 -0 -96 -0xe2db -0x8a9d9ee0 -256 -256 -1 -1 -0 -15 -0x3715 -0x422c9c5f -256 -256 -0 -0 -0 -31 -0x4fda -0x2d97f0f3 -256 -256 -1 -1 -0 -99 -0x1571 -0x2004eb45 -256 -256 -1 -1 -0 -15 -0x1570 -0x8950b124 -256 -256 -1 -1 -0 -49 -0xea9d -0xc07c29d0 -256 -256 -0 -0 -0 -54 -0xadb7 -0x9a470044 -256 -256 -0 -0 -0 -68 -0xe6ad -0x899e0fd0 -256 -256 -0 -0 -0 -98 -0x71d8 -0xb704eb93 -256 -256 -0 -0 -0 -58 -0xa9d1 -0x3413e8f6 -256 -256 -0 -0 -0 -40 -0x1479 -0x4ced8bc7 -256 -256 -0 -0 -0 -13 -0xd9bf -0x6d57a286 -256 -256 -0 -0 -0 -69 -0x26b8 -0x18613e55 -256 -256 -0 -0 -0 -62 -0x62d1 -0x193d5af0 -256 -256 -1 -0 -0 -34 -0x5fb4 -0x7e6474d5 -256 -256 -1 -1 -0 -15 -0x18e7 -0x47fe46c7 -256 -256 -1 -0 -0 -93 -0x3cee -0x1d545107 -256 -256 -1 -0 -0 -46 -0xb9e9 -0x74e58c8f -256 -256 -1 -0 -0 -85 -0xe33f -0x8dd79b52 -256 -256 -1 -0 -0 -80 -0xed0e -0xc89bd3f7 -256 -256 -1 -0 -0 -14 -0xd5ba -0x2f9723ee -256 -256 -1 -0 -0 -67 -0x574f -0x27e89f7c -256 -256 -1 -0 -0 -79 -0x942a -0x97c21722 -256 -256 -0 -0 -0 -33 -0xd848 -0xca1958ab -256 -256 -0 -0 -0 -60 -0x6d79 -0x35f267a7 -256 -256 -1 -1 -0 -72 -0x5bd7 -0xa38768f5 -256 -256 -1 -1 -0 -65 -0xf6d1 -0x8398c4b -256 -256 -1 -1 -0 -16 -0x4310 -0xa729cebd -256 -256 -0 -0 -0 -16 -0xc06e -0x1a326db0 -256 -256 -0 -0 -0 -69 -0xcb15 -0xd747b8e6 -256 -256 -0 -0 -0 -30 -0x7355 -0x7a558150 -256 -256 -1 -0 -0 -47 -0x338 -0x8b37de6d -256 -256 -0 -0 -0 -45 -0xe5f6 -0x93df8e66 -256 -256 -0 -0 -0 -31 -0x379 -0x510697f0 -256 -256 -0 -0 -0 -17 -0x87e8 -0xe3278e3c -256 -256 -1 -0 -0 -18 -0xe205 -0x4725487a -256 -256 -0 -0 -0 -69 -0xc180 -0xcae91d4c -256 -256 -0 -0 -0 -14 -0xdff7 -0x9525e255 -256 -256 -0 -0 -0 -25 -0x68ca -0xa8dff51a -256 -256 -0 -0 -0 -36 -0xe5c4 -0x27bd4a97 -256 -256 -0 -0 -0 -14 -0xb6a3 -0xb0665213 -256 -256 -0 -0 -0 -62 -0xaed -0x9cd83f16 -256 -256 -0 -0 -0 -70 -0xf766 -0x8dc35847 -256 -256 -1 -0 -0 -24 -0x3d99 -0x3c40279b -256 -256 -0 -0 -0 -92 -0x2228 -0x8a57276f -256 -256 -1 -1 -0 -27 -0x5c54 -0x282d9381 -256 -256 -1 -0 -0 -24 -0xf78e -0x9fa70342 -256 -256 -1 -0 -0 -100 -0xfeee -0xe07d155b -256 -256 -0 -0 -0 -63 -0x5b25 -0x6d1c6812 -256 -256 -1 -1 -0 -77 -0x5d90 -0xd798e6ca -256 -256 -0 -0 -0 -14 -0x4d91 -0xae592fc1 -256 -256 -0 -0 -0 -99 -0x3d73 -0xb62deec0 -256 -256 -0 -0 -0 -41 -0x1f62 -0x6acdda7c -256 -256 -1 -0 -0 -28 -0xcc95 -0xbb203c02 -256 -256 -0 -0 -0 -64 -0xd62b -0xa3829c0d -256 -256 -0 -0 -0 -52 -0x69b6 -0x36de3057 -256 -256 -1 -1 -0 -26 -0xf904 -0x47adee3f -256 -256 -1 -1 -0 -15 -0x5586 -0xedf64f37 -256 -256 -1 -0 -0 -17 -0x5c93 -0xfc3119af -256 -256 -1 -0 -0 -79 -0x3410 -0x464d578c -256 -256 -1 -0 -0 -47 -0xdba4 -0x969eac4e -256 -256 -0 -0 -0 -63 -0xa757 -0x148781f0 -256 -256 -1 -1 -0 -34 -0x5b10 -0x8c0d384a -256 -256 -0 -0 -0 -76 -0xb786 -0x508a36a6 -256 -256 -1 -0 -0 -44 -0x1caa -0xc218652f -256 -256 -1 -0 -0 -75 -0x22da -0x3df2f204 -256 -256 -0 -0 -0 -43 -0xbf19 -0x6ca8acf2 -256 -256 -1 -1 -0 -12 -0x2d6d -0x82aeb834 -256 -256 -0 -0 -0 -67 -0x1599 -0x1ec3a074 -256 -256 -0 -0 -0 -96 -0x1591 -0xbc5e4f26 -256 -256 -0 -0 -0 -91 -0x70f6 -0xdff18267 -256 -256 -1 -1 -0 -51 -0xd097 -0xc0c2bf59 -256 -256 -1 -0 -0 -94 -0xac -0x651190fa -256 -256 -0 -0 -0 -57 -0x6cfa -0xbbfb5192 -256 -256 -0 -0 -0 -72 -0x1904 -0x7fdf2601 -256 -256 -1 -0 -0 -46 -0x6d05 -0xd4501955 -256 -256 -1 -0 -0 -99 -0xbb07 -0xd863ad8a -256 -256 -0 -0 -0 -76 -0xf350 -0xa35538a6 -256 -256 -0 -0 -0 -70 -0xc527 -0x4e3e989e -256 -256 -1 -1 -0 -51 -0x8caa -0xe75dad6c -256 -256 -1 -0 -0 -12 -0xc13c -0x27d8b283 -256 -256 -0 -0 -0 -22 -0xd120 -0xc7088d6a -256 -256 -1 -1 -0 -21 -0x2c59 -0xbb0845af -256 -256 -1 -0 -0 -28 -0x464c -0xcf793ce1 -256 -256 -1 -0 -0 -22 -0xbb96 -0xd34ab91a -256 -256 -1 -1 -0 -85 -0x52f5 -0x29c8d73a -256 -256 -1 -1 -0 -19 -0xdbc3 -0x69a5a2f4 -256 -256 -0 -0 -0 -32 -0x39b -0x15c97d9 -256 -256 -0 -0 -0 -65 -0x5331 -0xc946dbc7 -256 -256 -1 -1 -0 -83 -0x6383 -0x78a08788 -256 -256 -1 -0 -0 -92 -0xa003 -0x46bd3f88 -256 -256 -1 -0 -0 -84 -0x65de -0xeb0a9769 -256 -256 -0 -0 -0 -37 -0x840d -0x2ad00554 -256 -256 -1 -0 -0 -28 -0x26a5 -0x1d84613e -256 -256 -0 -0 -0 -45 -0x7043 -0x6e18cfa6 -256 -256 -1 -1 -0 -100 -0x2a89 -0x87a6b5b3 -256 -256 -1 -1 -0 -83 -0xcdcc -0x71c4f904 -256 -256 -1 -1 -0 -21 -0x6dc4 -0xdb053107 -256 -256 -1 -0 -0 -35 -0x6196 -0x4914d7f7 -256 -256 -0 -0 -0 -70 -0xbe9b -0x148fcf1f -256 -256 -0 -0 -0 -53 -0xc3c0 -0xfe39cea1 -256 -256 -1 -1 -0 -63 -0x6b02 -0x7552b783 -256 -256 -0 -0 -0 -42 -0x31df -0xb87e068e -256 -256 -1 -1 -0 -69 -0xb8b0 -0xbf3a42b -256 -256 -0 -0 -0 -10 -0x76b5 -0x8bcee877 -256 -256 -1 -0 -0 -94 -0x96d1 -0xb2ed732f -256 -256 -1 -1 -0 -29 -0xbcf3 -0x3f2d8b2c -256 -256 -0 -0 -0 -40 -0xd607 -0xde224f9a -256 -256 -1 -0 -0 -91 -0xbbd6 -0x1845c44e -256 -256 -0 -0 -0 -24 -0x5949 -0xc462b243 -256 -256 -0 -0 -0 -74 -0x9adc -0x50a7d9e2 -256 -256 -0 -0 -0 -38 -0x3f37 -0xecc33d41 -256 -256 -0 -0 -0 -90 -0x523 -0x6be9e27d -256 -256 -1 -1 -0 -77 -0x37ce -0xe36e68fd -256 -256 -0 -0 -0 -89 -0x3e73 -0xcec0559d -256 -256 -0 -0 -0 -15 -0xc00 -0xe761c296 -256 -256 -1 -0 -0 -77 -0xbd8 -0xf8efe0e8 -256 -256 -0 -0 -0 -35 -0xf7bb -0x6ec27f31 -256 -256 -0 -0 -0 -77 -0xe1a -0xf7f652d8 -256 -256 -1 -0 -0 -87 -0xd581 -0xb7f0614a -256 -256 -1 -0 -0 -74 -0xcb07 -0x3fbd30b1 -256 -256 -0 -0 -0 -52 -0x7551 -0xa54fb623 -256 -256 -0 -0 -0 -96 -0x6ff5 -0xea49e6f8 -256 -256 -0 -0 -0 -92 -0x54c3 -0x3121f8dd -256 -256 -1 -1 -0 -99 -0xc55e -0x858a1828 -256 -256 -0 -0 -0 -17 -0x612a -0x50a5c07c -256 -256 -0 -0 -0 -24 -0xe19c -0x9e9c9356 -256 -256 -0 -0 -0 -30 -0x5017 -0x4f06e56d -256 -256 -0 -0 -0 -14 -0xd1b9 -0x6018ff10 -256 -256 -0 -0 -0 -48 -0x9029 -0x52258472 -256 -256 -0 -0 -0 -91 -0x3617 -0xb75998cb -256 -256 -0 -0 -0 -33 -0xfeea -0x19679544 -256 -256 -0 -0 -0 -52 -0x50a8 -0x3f9fe3fd -256 -256 -0 -0 -0 -43 -0xcfdb -0x26457932 -256 -256 -0 -0 -0 -72 -0x71f6 -0x512fe4bb -256 -256 -0 -0 -0 -62 -0x18f -0x584d5935 -256 -256 -1 -0 -0 -40 -0x6d0d -0x269f8b37 -256 -256 -0 -0 -0 -66 -0xe32b -0x3fe4c29c -256 -256 -0 -0 -0 -71 -0xc337 -0xadb2eb5a -256 -256 -0 -0 -0 -24 -0x507f -0xadd0540e -256 -256 -1 -1 -0 -71 -0x186b -0xe024b52c -256 -256 -1 -0 -0 -23 -0x7a12 -0x4b5f5133 -256 -256 -0 -0 -0 -55 -0x7a44 -0xf042a326 -256 -256 -0 -0 -0 -79 -0x4ce9 -0x71d14fc4 -256 -256 -1 -0 -0 -70 -0xfaa0 -0xb0b06e19 -256 -256 -1 -0 -0 -36 -0xd8c4 -0xfae8ce51 -256 -256 -0 -0 -0 -25 -0x9b9f -0xfdcef333 -256 -256 -0 -0 -0 -75 -0x97f9 -0xbc9a6c76 -256 -256 -1 -0 -0 -94 -0x47a5 -0xd19d4ef2 -256 -256 -0 -0 -0 -36 -0x7532 -0xbe74d2b8 -256 -256 -0 -0 -0 -27 -0x205d -0x1a25d68f -256 -256 -0 -0 -0 -73 -0x27de -0xa501c7c2 -256 -256 -1 -0 -0 -24 -0x8f60 -0x12713e34 -256 -256 -0 -0 -0 -36 -0x53b9 -0xf77d43e1 -256 -256 -0 -0 -0 -66 -0x2ae0 -0x8d1d987c -256 -256 -1 -1 -0 -91 -0x4add -0xbebbb269 -256 -256 -0 -0 -0 -93 -0xa338 -0x811b9099 -256 -256 -1 -0 -0 -97 -0x976f -0xca0821d2 -256 -256 -1 -1 -0 -80 -0xd63 -0x5f447ad3 -256 -256 -0 -0 -0 -96 -0xc163 -0x84035263 -256 -256 -1 -1 -0 -14 -0x55d1 -0xd2af722c -256 -256 -1 -0 -0 -11 -0xfb81 -0xaf2698ea -256 -256 -0 -0 -0 -58 -0xc04e -0xc8210856 -256 -256 -1 -0 -0 -98 -0xef88 -0xe48abfc8 -256 -256 -1 -0 -0 -70 -0xd94d -0xf30fdd43 -256 -256 -1 -1 -0 -51 -0xf7be -0xb602b27f -256 -256 -1 -1 -0 -15 -0xfbdf -0xe901c2d5 -256 -256 -0 -0 -0 -36 -0x5a85 -0xeba49e8 -256 -256 -1 -0 -0 -40 -0x19a2 -0x50e464c5 -256 -256 -0 -0 -0 -75 -0x6223 -0xf6fe1f6b -256 -256 -1 -1 -0 -14 -0x1b94 -0xc7975c3c -256 -256 -0 -0 -0 -12 -0xca6 -0x89e48ad9 -256 -256 -0 -0 -0 -46 -0x7f38 -0x100aded6 -256 -256 -1 -1 -0 -55 -0x6f43 -0xd839037e -256 -256 -0 -0 -0 -86 -0x715a -0x985364d1 -256 -256 -0 -0 -0 -80 -0x8b87 -0x2369a642 -256 -256 -1 -0 -0 -92 -0xa10f -0x6ec723b5 -256 -256 -0 -0 -0 -78 -0x663e -0x4883fd98 -256 -256 -0 -0 -0 -39 -0x7390 -0xa6627882 -256 -256 -1 -0 -0 -91 -0x210f -0xd1d113a4 -256 -256 -1 -0 -0 -84 -0x1039 -0x1ca8793c -256 -256 -0 -0 -0 -70 -0x1263 -0x27399b20 -256 -256 -1 -1 -0 -70 -0x31d5 -0xa2dcfd91 -256 -256 -1 -1 -0 -92 -0x3e60 -0x386985c1 -256 -256 -0 -0 -0 -67 -0xe71c -0x47f68782 -256 -256 -1 -1 -0 -62 -0xdfe6 -0xdd497c7 -256 -256 -0 -0 -0 -78 -0x6607 -0x32f7572e -256 -256 -1 -0 -0 -58 -0x7db -0x4e1eaf41 -256 -256 -1 -1 -0 -41 -0xc537 -0x84c74bb3 -256 -256 -1 -0 -0 -80 -0x3a00 -0xae78e90c -256 -256 -1 -0 -0 -58 -0x904b -0xbced297b -256 -256 -0 -0 -0 -45 -0xaebd -0xb68ea23d -256 -256 -1 -0 -0 -36 -0xca40 -0xc6a0a93b -256 -256 -0 -0 -0 -46 -0x2d8b -0x386aca67 -256 -256 -1 -0 -0 -14 -0x8664 -0xa9ba4e9b -256 -256 -1 -0 -0 -85 -0x5d7e -0xe6a1a555 -256 -256 -0 -0 -0 -48 -0xb272 -0xd147b04c -256 -256 -1 -0 -0 -52 -0x805c -0x412c2939 -256 -256 -1 -1 -0 -88 -0x5f9a -0xea93d1e -256 -256 -0 -0 -0 -76 -0x6db1 -0xb56f0ecc -256 -256 -0 -0 -0 -100 -0x4a40 -0xa626cc7c -256 -256 -1 -0 -0 -29 -0x21b7 -0xea2f1b70 -256 -256 -1 -1 -0 -21 -0xfec1 -0x6edc9ffe -256 -256 -1 -1 -0 -47 -0xec20 -0xf2f4641f -256 -256 -0 -0 -0 -33 -0x6e71 -0x61875c7e -256 -256 -1 -0 -0 -27 -0x3829 -0xf7d4b784 -256 -256 -0 -0 -0 -92 -0x961b -0xafda1b66 -256 -256 -1 -1 -0 -92 -0x8965 -0xf9c8cfee -256 -256 -0 -0 -0 -42 -0xdbaf -0xaffff276 -256 -256 -1 -1 -0 -92 -0x378e -0x8369924e -256 -256 -0 -0 -0 -11 -0x6894 -0x4eefbaf -256 -256 -1 -1 -0 -81 -0x17e6 -0x9a9aba0f -256 -256 -1 -0 -0 -59 -0xee3e -0x8306fac8 -256 -256 -0 -0 -0 -65 -0xc16c -0x549af866 -256 -256 -0 -0 -0 -77 -0x60cc -0xa32b09e6 -256 -256 -0 -0 -0 -74 -0x7ea9 -0x37e726c0 -256 -256 -0 -0 -0 -43 -0x2167 -0x1824d06c -256 -256 -0 -0 -0 -63 -0x970e -0xce764ef4 -256 -256 -1 -1 -0 -73 -0xb368 -0x4046cc38 -256 -256 -1 -1 -0 -77 -0xa0bb -0xd272d992 -256 -256 -0 -0 -0 -21 -0x1578 -0x217dcb36 -256 -256 -0 -0 -0 -51 -0x7cdf -0x38dfeb62 -256 -256 -1 -0 -0 -34 -0xa41e -0xacf06bad -256 -256 -1 -0 -0 -58 -0x3a7a -0x4ba5faf -256 -256 -1 -1 -0 -46 -0x56ef -0x284179 -256 -256 -1 -1 -0 -49 -0x6dbf -0xebb9aef5 -256 -256 -0 -0 -0 -62 -0xa566 -0x3ea9e24e -256 -256 -0 -0 -0 -49 -0x7b63 -0xebc884cc -256 -256 -0 -0 -0 -92 -0x167d -0xb06a12ff -256 -256 -0 -0 -0 -79 -0x696c -0x2ccebc79 -256 -256 -0 -0 -0 -21 -0x73aa -0x9e8b0f81 -256 -256 -1 -1 -0 -25 -0x5942 -0x221fa667 -256 -256 -1 -0 -0 -31 -0x267e -0x32526417 -256 -256 -0 -0 -0 -40 -0xbfc5 -0xf9eca588 -256 -256 -1 -0 -0 -55 -0x8ece -0x15c2ec63 -256 -256 -1 -0 -0 -100 -0xe47 -0x5a04ded4 -256 -256 -0 -0 -0 -42 -0x447a -0x549f6a25 -256 -256 -1 -1 -0 -86 -0xf190 -0x9d58cb2d -256 -256 -1 -1 -0 -65 -0x8b06 -0x54b46dfb -256 -256 -1 -1 -0 -82 -0x3792 -0xbbdee41b -256 -256 -0 -0 -0 -29 -0x1396 -0x3eb8caa1 -256 -256 -1 -1 -0 -90 -0x15da -0x33705ee1 -256 -256 -0 -0 -0 -45 -0x9be9 -0x4ec251a3 -256 -256 -0 -0 -0 -37 -0x3662 -0x242321bc -256 -256 -0 -0 -0 -59 -0xfc4d -0xf5075783 -256 -256 -1 -1 -0 -50 -0x4c6 -0xebafda5 -256 -256 -1 -1 -0 -55 -0x8df9 -0x6f3d4933 -256 -256 -0 -0 -0 -54 -0x78cf -0xada0a0ca -256 -256 -1 -0 -0 -33 -0xcfdc -0x89f544e6 -256 -256 -0 -0 -0 -27 -0xdcf8 -0x149af93e -256 -256 -1 -1 -0 -50 -0x3562 -0x99dd386 -256 -256 -0 -0 -0 -47 -0x5e1b -0xb38ee611 -256 -256 -1 -1 -0 -86 -0x8bee -0x357e9942 -256 -256 -0 -0 -0 -43 -0x4149 -0x5682059c -256 -256 -1 -1 -0 -70 -0x6033 -0x9c9836 -256 -256 -0 -0 -0 -55 -0x6da7 -0xa944757 -256 -256 -1 -1 -0 -76 -0x98a0 -0x71a1de0e -256 -256 -1 -0 -0 -41 -0xd916 -0xeb1a14c5 -256 -256 -0 -0 -0 -45 -0xa4cb -0xa56436eb -256 -256 -1 -1 -0 -71 -0x8de5 -0x4c8fb4a6 -256 -256 -0 -0 -0 -58 -0x3460 -0xac7e1941 -256 -256 -0 -0 -0 -91 -0x5cd8 -0xce942bf9 -256 -256 -0 -0 -0 -42 -0xe0f9 -0x7aeba1d0 -256 -256 -0 -0 -0 -72 -0xc225 -0xef11f23c -256 -256 -0 -0 -0 -11 -0x849b -0x90735f24 -256 -256 -1 -1 -0 -72 -0x60dc -0x5e5bcf34 -256 -256 -0 -0 -0 -94 -0x36e6 -0xc6880651 -256 -256 -0 -0 -0 -26 -0x3a13 -0xf06970a0 -256 -256 -1 -0 -0 -38 -0x3dfa -0x2c8fadf5 -256 -256 -0 -0 -0 -34 -0x44a9 -0xc4844cf9 -256 -256 -1 -1 -0 -10 -0x543b -0xf0b8ce34 -256 -256 -0 -0 -0 -44 -0x8c8c -0xb9a500b0 -256 -256 -0 -0 -0 -56 -0xd10b -0x51c6c966 -256 -256 -0 -0 -0 -82 -0x812 -0xa061d07a -256 -256 -1 -1 -0 -66 -0x49cb -0xf5d9d35a -256 -256 -1 -1 -0 -18 -0x4380 -0xb752132c -256 -256 -0 -0 -0 -36 -0x5b90 -0xec250b08 -256 -256 -0 -0 -0 -55 -0xc6df -0x6e1ff61b -256 -256 -0 -0 -0 -76 -0x9593 -0x2d832d90 -256 -256 -0 -0 -0 -11 -0xb57c -0xab5b8fce -256 -256 -0 -0 -0 -62 -0xd92d -0xe75c96dd -256 -256 -1 -0 -0 -96 -0x2f78 -0xf198a98c -256 -256 -0 -0 -0 -53 -0xf724 -0x15b7e08c -256 -256 -0 -0 -0 -91 -0xa34b -0x1e0d172c -256 -256 -1 -0 -0 -41 -0xb21 -0x9eec1dc5 -256 -256 -1 -1 -0 -34 -0x4c9f -0x2e6790a -256 -256 -1 -0 -0 -89 -0x6052 -0x5c2f7d95 -256 -256 -0 -0 -0 -73 -0x5178 -0x1a7fa900 -256 -256 -1 -0 -0 -65 -0x97ae -0x893168fe -256 -256 -1 -0 -0 -87 -0xfec5 -0x973a264a -256 -256 -1 -1 -0 -91 -0x18f0 -0x16c030f5 -256 -256 -0 -0 -0 -13 -0xb204 -0x90701e6e -256 -256 -1 -0 -0 -36 -0xf07f -0x8e050994 -256 -256 -0 -0 -0 -71 -0x48b3 -0xad3970d0 -256 -256 -1 -1 -0 -52 -0xd265 -0xbac57bc9 -256 -256 -1 -0 -0 -46 -0x4481 -0x521a4d1a -256 -256 -1 -1 -0 -68 -0xec2e -0x46107da5 -256 -256 -1 -1 -0 -11 -0x1949 -0xa7212a97 -256 -256 -0 -0 -0 -28 -0x3830 -0x3c72f413 -256 -256 -1 -0 -0 -42 -0x5ac5 -0x550b71d9 -256 -256 -0 -0 -0 -63 -0xfec -0x403977da -256 -256 -0 -0 -0 -98 -0x28b2 -0xa416ca12 -256 -256 -1 -0 -0 -68 -0xaa98 -0xeed13e97 -256 -256 -1 -0 -0 -62 -0x3f79 -0x6de230e5 -256 -256 -1 -0 -0 -50 -0x5856 -0x3f40c9cc -256 -256 -1 -1 -0 -83 -0x30ec -0xdaa9dc72 -256 -256 -0 -0 -0 -53 -0x2766 -0x6a4c0cfc -256 -256 -1 -0 -0 -47 -0x4bf5 -0x6b009e85 -256 -256 -1 -0 -0 -84 -0xcd5e -0x8f548f3f -256 -256 -0 -0 -0 -58 -0xe656 -0xfb3aff59 -256 -256 -0 -0 -0 -75 -0x9035 -0xec920bf6 -256 -256 -1 -0 -0 -34 -0xfdff -0xdda4bf08 -256 -256 -0 -0 -0 -21 -0xa2d3 -0x3fad27ac -256 -256 -0 -0 -0 -48 -0x770f -0xc9d030f0 -256 -256 -1 -0 -0 -71 -0x2777 -0x4c642c11 -256 -256 -0 -0 -0 -59 -0xd60c -0x6cb09c9e -256 -256 -0 -0 -0 -32 -0x24c -0x9b50c83d -256 -256 -1 -1 -0 -46 -0xc67b -0x6ab89934 -256 -256 -1 -0 -0 -43 -0x2aa -0x6a330bd1 -256 -256 -1 -0 -0 -87 -0x9125 -0xf3e53f1e -256 -256 -0 -0 -0 -17 -0x1d62 -0xd24c3433 -256 -256 -0 -0 -0 -88 -0xfca6 -0xbab2d106 -256 -256 -1 -0 -0 -43 -0xa13e -0x51056c72 -256 -256 -0 -0 -0 -34 -0xe8cc -0xbc6a59a5 -256 -256 -0 -0 -0 -46 -0x14d1 -0x54cefd3b -256 -256 -0 -0 -0 -12 -0xbbd3 -0x4952e6c8 -256 -256 -0 -0 -0 -67 -0x6df1 -0xd8d12f78 -256 -256 -0 -0 -0 -24 -0xefa3 -0x87245812 -256 -256 -1 -0 -0 -28 -0xd0ec -0xf8d5a387 -256 -256 -1 -1 -0 -43 -0xaec2 -0xa9d021c2 -256 -256 -0 -0 -0 -49 -0x2111 -0x1c7fe05d -256 -256 -0 -0 -0 -86 -0xa136 -0xc30639c8 -256 -256 -0 -0 -0 -46 -0xa7c7 -0x52a2fd90 -256 -256 -0 -0 -0 -42 -0xa207 -0xc03fc971 -256 -256 -1 -0 -0 -55 -0x7e09 -0x1310625c -256 -256 -0 -0 -0 -33 -0x519e -0x7429c0d9 -256 -256 -0 -0 -0 -89 -0x9a88 -0xd628f005 -256 -256 -0 -0 -0 -57 -0xb2b5 -0xe0ef7e7f -256 -256 -0 -0 -0 -80 -0xafce -0xafcce41a -256 -256 -0 -0 -0 -58 -0xd6e9 -0x5b2ff26f -256 -256 -0 -0 -0 -57 -0xf600 -0x279b8088 -256 -256 -1 -0 -0 -81 -0xae5d -0x1c149ffe -256 -256 -0 -0 -0 -76 -0x5dc3 -0x45898569 -256 -256 -1 -0 -0 -16 -0xa69f -0xc1f8fc3f -256 -256 -0 -0 -0 -99 -0x226e -0xe10edf8e -256 -256 -1 -0 -0 -46 -0xf5f3 -0xf01764a3 -256 -256 -0 -0 -0 -63 -0x19f1 -0xc5b49a13 -256 -256 -1 -0 -0 -81 -0xb6d1 -0x6d06cbc5 -256 -256 -1 -0 -0 -14 -0xd729 -0xcf3d1bf5 -256 -256 -0 -0 -0 -90 -0x6680 -0x37f9c8b7 -256 -256 -1 -0 -0 -61 -0xc95 -0xb43f584 -256 -256 -0 -0 -0 -89 -0x1ddc -0xca3f4451 -256 -256 -0 -0 -0 -67 -0x6464 -0x5b94e350 -256 -256 -0 -0 -0 -30 -0xfa7 -0xca5b76fd -256 -256 -0 -0 -0 -86 -0x14a9 -0x70941a6 -256 -256 -0 -0 -0 -96 -0x4b16 -0x3f055262 -256 -256 -1 -0 -0 -80 -0xb42 -0x38c98a84 -256 -256 -1 -1 -0 -23 -0x64c0 -0x29e763a3 -256 -256 -1 -0 -0 -19 -0xf782 -0x24cb973d -256 -256 -0 -0 -0 -60 -0x47f7 -0x3d1eef4e -256 -256 -0 -0 -0 -33 -0x71e0 -0x48501b2d -256 -256 -0 -0 -0 -20 -0x7c1f -0x518b7c07 -256 -256 -1 -1 -0 -28 -0xe03d -0x6d565ca1 -256 -256 -0 -0 -0 -22 -0x8c4e -0x460509ec -256 -256 -1 -1 -0 -23 -0xd095 -0x50442b63 -256 -256 -1 -0 -0 -54 -0x2218 -0x126dcd5b -256 -256 -0 -0 -0 -67 -0x89a6 -0x2c024e53 -256 -256 -1 -0 -0 -65 -0x781e -0x9b17efc4 -256 -256 -1 -1 -0 -72 -0xec17 -0x894eeeb8 -256 -256 -1 -1 -0 -24 -0xd863 -0xa6466c45 -256 -256 -0 -0 -0 -71 -0xda8c -0xa83b9a09 -256 -256 -1 -0 -0 -96 -0xa670 -0x92c8b094 -256 -256 -1 -0 -0 -78 -0x2c29 -0x4657654e -256 -256 -0 -0 -0 -37 -0x1933 -0xb2fc9406 -256 -256 -0 -0 -0 -74 -0x11eb -0xd77e443d -256 -256 -0 -0 -0 -95 -0xb8d3 -0xca9ec94d -256 -256 -1 -1 -0 -50 -0x4007 -0x37590e2c -256 -256 -1 -0 -0 -41 -0x41e2 -0x9474236d -256 -256 -1 -0 -0 -60 -0xbaf9 -0xe031d9f8 -256 -256 -0 -0 -0 -61 -0xa297 -0x4db07732 -256 -256 -1 -0 -0 -43 -0x614c -0xad1f877d -256 -256 -0 -0 -0 -41 -0xd873 -0x4b293d01 -256 -256 -0 -0 -0 -66 -0xda72 -0x7b78fcbe -256 -256 -1 -0 -0 -94 -0xa6fe -0x9e1e0ac -256 -256 -1 -1 -0 -51 -0x52d2 -0xe12179b8 -256 -256 -1 -1 -0 -94 -0x9ba5 -0x37ce0c82 -256 -256 -0 -0 -0 -96 -0x8484 -0x9994ea86 -256 -256 -0 -0 -0 -19 -0x3dda -0x1eafc8bc -256 -256 -0 -0 -0 -56 -0xfd27 -0xa4811168 -256 -256 -1 -1 -0 -94 -0x6fc2 -0x42b3ed2c -256 -256 -1 -0 -0 -58 -0x362d -0x2d9d05f4 -256 -256 -0 -0 -0 -12 -0x7773 -0x5ffbd6f3 -256 -256 -1 -1 -0 -25 -0x1be6 -0x63d2a4db -256 -256 -1 -1 -0 -56 -0xe1c5 -0x9d152c50 -256 -256 -0 -0 -0 -20 -0x3edf -0xd2c76c21 -256 -256 -1 -1 -0 -27 -0x31d7 -0x5b4e6561 -256 -256 -1 -0 -0 -33 -0xf018 -0x6c14a598 -256 -256 -0 -0 -0 -74 -0xb134 -0x4a746fc -256 -256 -1 -1 -0 -43 -0x5b54 -0xaef16d01 -256 -256 -0 -0 -0 -25 -0x633b -0x7b169ab0 -256 -256 -1 -1 -0 -11 -0x4932 -0x76a18a3a -256 -256 -0 -0 -0 -51 -0x7cac -0xae2a2227 -256 -256 -0 -0 -0 -85 -0x165c -0x6a5c8187 -256 -256 -1 -1 -0 -97 -0xdc80 -0xb77a340a -256 -256 -1 -1 -0 -81 -0x9817 -0xbb710c2a -256 -256 -0 -0 -0 -53 -0x4356 -0x7942ffc9 -256 -256 -0 -0 -0 -56 -0x9a44 -0x38c0588 -256 -256 -0 -0 -0 -25 -0xa5db -0xc3c952d7 -256 -256 -1 -0 -0 -95 -0x4eb6 -0xb36de679 -256 -256 -0 -0 -0 -25 -0x6ed1 -0xee0c89e2 -256 -256 -1 -1 -0 -44 -0x1daa -0x992ec240 -256 -256 -1 -1 -0 -26 -0x5b4f -0x11b59603 -256 -256 -0 -0 -0 -97 -0xd5bc -0xb516969d -256 -256 -0 -0 -0 -88 -0x4458 -0x3251b826 -256 -256 -1 -0 -0 -58 -0x9756 -0xe95c2f2c -256 -256 -1 -0 -0 -77 -0xe9a5 -0xde79ed9c -256 -256 -0 -0 -0 -40 -0x83cd -0x5315dfd6 -256 -256 -0 -0 -0 -96 -0x7f77 -0xebaaaf01 -256 -256 -1 -1 -0 -99 -0xc82b -0xa203d4bb -256 -256 -1 -1 -0 -34 -0x4d34 -0xb1fafb38 -256 -256 -0 -0 -0 -92 -0x5d65 -0xd121811a -256 -256 -0 -0 -0 -10 -0x96d1 -0x889bcd30 -256 -256 -0 -0 -0 -82 -0x90c5 -0xa8079f11 -256 -256 -0 -0 -0 -30 -0xef4a -0x78c64d4b -256 -256 -1 -1 -0 -60 -0x91d5 -0x157f587f -256 -256 -1 -1 -0 -86 -0x2256 -0x56209c12 -256 -256 -1 -0 -0 -59 -0x6ecd -0xb0391f00 -256 -256 -0 -0 -0 -97 -0xee8a -0xebf87984 -256 -256 -0 -0 -0 -69 -0xe0e2 -0x6939528c -256 -256 -0 -0 -0 -15 -0x333e -0x2c173862 -256 -256 -1 -0 -0 -79 -0x54e5 -0xe423d398 -256 -256 -0 -0 -0 -38 -0x5d14 -0x47c61ceb -256 -256 -0 -0 -0 -75 -0x8714 -0xf42834ed -256 -256 -1 -1 -0 -49 -0x3d42 -0x71638d86 -256 -256 -1 -1 -0 -38 -0x4bfd -0xfb7ed7b2 -256 -256 -0 -0 -0 -34 -0x64ad -0x6a1f9b47 -256 -256 -1 -1 -0 -53 -0xde96 -0xe032b3de -256 -256 -0 -0 -0 -29 -0x70a9 -0xa48c096e -256 -256 -1 -0 -0 -53 -0x37c8 -0xf2ad4f68 -256 -256 -0 -0 -0 -21 -0xbaf3 -0x506f5b5 -256 -256 -1 -0 -0 -28 -0x8643 -0xdbe3611e -256 -256 -1 -0 -0 -58 -0x5261 -0x444a97e2 -256 -256 -1 -0 -0 -74 -0x646f -0x1d0658aa -256 -256 -0 -0 -0 -20 -0xe8cd -0x80d7a1e3 -256 -256 -0 -0 -0 -52 -0xc398 -0x886a0ebf -256 -256 -0 -0 -0 -28 -0xf840 -0xacfd9b6d -256 -256 -1 -0 -0 -47 -0xfc44 -0xb5c5ed74 -256 -256 -1 -0 -0 -46 -0x6552 -0xef0b6c6 -256 -256 -0 -0 -0 -90 -0xf661 -0x6649d03c -256 -256 -0 -0 -0 -96 -0xf6ed -0xfec94c31 -256 -256 -0 -0 -0 -70 -0x30d9 -0xd124169f -256 -256 -0 -0 -0 -28 -0xc251 -0x937b939f -256 -256 -0 -0 -0 -98 -0xcec3 -0x9a1538e0 -256 -256 -1 -0 -0 -28 -0x1651 -0xee89058c -256 -256 -0 -0 -0 -39 -0x1dd8 -0xae32a7c8 -256 -256 -1 -1 -0 -34 -0x5dff -0xf97853b4 -256 -256 -0 -0 -0 -78 -0x2735 -0xc2e6cbfc -256 -256 -1 -0 -0 -10 -0xa -0x2c0b967c -256 -256 -0 -0 -0 -46 -0x6cd5 -0xf79889e4 -256 -256 -1 -1 -0 -89 -0x3ec -0x5516b606 -256 -256 -0 -0 -0 -33 -0x2efd -0x92e42acb -256 -256 -0 -0 -0 -47 -0xeb2b -0x24bbe47b -256 -256 -1 -0 -0 -25 -0x464 -0x5d40227b -256 -256 -1 -0 -0 -10 -0xf506 -0xbfca0cd9 -256 -256 -0 -0 -0 -96 -0x2ca6 -0x5c0d9025 -256 -256 -1 -0 -0 -93 -0x7ce0 -0x54f71af0 -256 -256 -1 -0 -0 -56 -0x6c05 -0x82979df6 -256 -256 -1 -1 -0 -94 -0x8423 -0x9f89f6b9 -256 -256 -0 -0 -0 -94 -0x82f7 -0xe8f35a57 -256 -256 -1 -0 -0 -24 -0x1bda -0x1e725ea8 -256 -256 -0 -0 -0 -97 -0x839a -0xe9927b02 -256 -256 -0 -0 -0 -92 -0x124 -0x95f632ef -256 -256 -0 -0 -0 -40 -0x9960 -0xbc78705 -256 -256 -0 -0 -0 -87 -0xd9f4 -0xa94e4f26 -256 -256 -0 -0 -0 -56 -0xb08a -0x71a745e2 -256 -256 -0 -0 -0 -38 -0xda77 -0xcde54880 -256 -256 -1 -1 -0 -78 -0x180e -0x498966a3 -256 -256 -1 -0 -0 -12 -0x6ecb -0x8ac262fe -256 -256 -0 -0 -0 -46 -0xe501 -0x88150015 -256 -256 -0 -0 -0 -46 -0xe830 -0xddba4972 -256 -256 -1 -0 -0 -88 -0x4ebf -0x3df1cde7 -256 -256 -0 -0 -0 -85 -0x6b24 -0xb4ad3b68 -256 -256 -0 -0 -0 -76 -0xeb3a -0x711dc6f7 -256 -256 -1 -1 -0 -25 -0xfb4a -0xbf766bff -256 -256 -0 -0 -0 -33 -0xbfa2 -0x60d331cc -256 -256 -0 -0 -0 -45 -0x8e16 -0xf848c9a6 -256 -256 -0 -0 -0 -91 -0x77da -0x18f8e537 -256 -256 -1 -0 -0 -89 -0x9c78 -0xe1b2440f -256 -256 -1 -1 -0 -52 -0x74e4 -0xd2bd9188 -256 -256 -1 -0 -0 -48 -0xdad8 -0x5f1b3012 -256 -256 -0 -0 -0 -79 -0x92f0 -0x6c68bce1 -256 -256 -0 -0 -0 -29 -0xd7f9 -0xb2ad4b6b -256 -256 -1 -1 -0 -81 -0xa961 -0xf451a368 -256 -256 -1 -1 -0 -95 -0x8987 -0x73fb1083 -256 -256 -1 -0 -0 -87 -0xa01e -0xe8f6ef -256 -256 -1 -1 -0 -90 -0x6132 -0x6b4fdde0 -256 -256 -0 -0 -0 -83 -0x7685 -0x8c80bf7e -256 -256 -0 -0 -0 -94 -0x848a -0xa42db1ae -256 -256 -0 -0 -0 -12 -0x6164 -0x926b031d -256 -256 -0 -0 -0 -16 -0xafb7 -0x5093099e -256 -256 -0 -0 -0 -64 -0x1915 -0x3943ef4 -256 -256 -0 -0 -0 -32 -0x6013 -0x6c6c5372 -256 -256 -1 -1 -0 -56 -0xc497 -0x8e773671 -256 -256 -0 -0 -0 -23 -0x2fa3 -0xf4e638f3 -256 -256 -1 -0 -0 -95 -0xce44 -0x555dd54f -256 -256 -0 -0 -0 -92 -0x4fc0 -0x22e64a9 -256 -256 -1 -1 -0 -75 -0x5311 -0x528ea663 -256 -256 -0 -0 -0 -96 -0x7fca -0x103915f5 -256 -256 -1 -1 -0 -92 -0x7c07 -0x3c198866 -256 -256 -0 -0 -0 -50 -0x3f86 -0x5601b6c6 -256 -256 -1 -1 -0 -32 -0x4f38 -0x1aadd00a -256 -256 -0 -0 -0 -100 -0x24ce -0x44452c40 -256 -256 -1 -0 -0 -41 -0xf204 -0x1851ac90 -256 -256 -0 -0 -0 -40 -0xb8df -0x44a317b -256 -256 -1 -1 -0 -40 -0xa874 -0xb89d040e -256 -256 -0 -0 -0 -31 -0xb835 -0x8c415405 -256 -256 -1 -1 -0 -38 -0xfd7 -0x2e37049f -256 -256 -0 -0 -0 -72 -0x5ed1 -0x5b62ece1 -256 -256 -0 -0 -0 -42 -0x5703 -0x29cc87cd -256 -256 -0 -0 -0 -23 -0x796a -0x4f1b8140 -256 -256 -0 -0 -0 -86 -0x5622 -0xd99ad28f -256 -256 -0 -0 -0 -98 -0x4a2 -0x1013b1ae -256 -256 -0 -0 -0 -37 -0xf00c -0xb181200f -256 -256 -1 -1 -0 -100 -0xd8e2 -0xd58303b3 -256 -256 -0 -0 -0 -34 -0x1597 -0x9ebbf327 -256 -256 -1 -0 -0 -11 -0x60d -0xf6672532 -256 -256 -0 -0 -0 -43 -0xfaee -0x2b036917 -256 -256 -0 -0 -0 -49 -0x3c28 -0x3942dffa -256 -256 -0 -0 -0 -46 -0x4447 -0x65a61fe7 -256 -256 -1 -0 -0 -59 -0x8b94 -0xe34ce5bd -256 -256 -0 -0 -0 -31 -0xa33a -0x22477dc8 -256 -256 -1 -1 -0 -25 -0x8385 -0xf8e00390 -256 -256 -1 -0 -0 -36 -0xf61c -0x39f83256 -256 -256 -1 -0 -0 -22 -0xba59 -0x6bd6dc6b -256 -256 -1 -1 -0 -26 -0xf6c7 -0x6a9d8946 -256 -256 -1 -0 -0 -73 -0x5141 -0xc967bccf -256 -256 -1 -0 -0 -18 -0x5bb4 -0x64009e87 -256 -256 -0 -0 -0 -11 -0x1510 -0xfc706249 -256 -256 -1 -0 -0 -80 -0x9ff1 -0xaf008681 -256 -256 -0 -0 -0 -14 -0x7449 -0xf06857a5 -256 -256 -0 -0 -0 -56 -0x1da5 -0x117ac777 -256 -256 -1 -1 -0 -28 -0xfa47 -0xed6e1ea6 -256 -256 -0 -0 -0 -61 -0x5173 -0x3fde739f -256 -256 -1 -0 -0 -75 -0x7a6f -0x5ac4b227 -256 -256 -1 -0 -0 -99 -0x6beb -0xa0f90fe9 -256 -256 -1 -0 -0 -30 -0xe170 -0x812a2c80 -256 -256 -1 -1 -0 -50 -0xd1ca -0x6dfc1771 -256 -256 -0 -0 -0 -72 -0x93d0 -0xb28bbc65 -256 -256 -0 -0 -0 -80 -0x9d55 -0xf78b75e0 -256 -256 -0 -0 -0 -79 -0x27f8 -0x37a7b63c -256 -256 -0 -0 -0 -71 -0xa524 -0xeac2d7a8 -256 -256 -1 -1 -0 -57 -0xe4d7 -0x7af85dc7 -256 -256 -1 -1 -0 -63 -0x17d9 -0x72dd8cd6 -256 -256 -0 -0 -0 -97 -0xa4a7 -0x2abfcec -256 -256 -0 -0 -0 -49 -0xd89c -0x77f82b02 -256 -256 -1 -1 -0 -97 -0x78ea -0x15b359a8 -256 -256 -1 -1 -0 -19 -0x39d7 -0xa02c4744 -256 -256 -0 -0 -0 -74 -0x77bc -0x27251067 -256 -256 -1 -1 -0 -40 -0x2c4 -0x4297fe98 -256 -256 -1 -1 -0 -24 -0x7009 -0x6cb715e1 -256 -256 -1 -0 -0 -87 -0x2170 -0x5a6081b4 -256 -256 -1 -0 -0 -97 -0xa25c -0xdf08ec09 -256 -256 -1 -0 -0 -42 -0xe52 -0xd0eadff3 -256 -256 -1 -1 -0 -37 -0xd497 -0x4b2e56a9 -256 -256 -0 -0 -0 -47 -0x483c -0xf8d1b134 -256 -256 -0 -0 -0 -75 -0x98a4 -0x6271d67e -256 -256 -1 -1 -0 -60 -0xefc2 -0x30972200 -256 -256 -0 -0 -0 -57 -0x665f -0xb6952a50 -256 -256 -0 -0 -0 -18 -0xe2c5 -0x6394f6c7 -256 -256 -0 -0 -0 -15 -0x5576 -0xd79ff068 -256 -256 -0 -0 -0 -80 -0xc0af -0xb09d1c8d -256 -256 -1 -1 -0 -46 -0x7ba8 -0xfa046854 -256 -256 -0 -0 -0 -50 -0x8ff8 -0xb740199d -256 -256 -1 -1 -0 -100 -0x5389 -0xf10946f7 -256 -256 -0 -0 -0 -61 -0x33e9 -0xe3291704 -256 -256 -1 -0 -0 -32 -0xbed -0xad6814af -256 -256 -0 -0 -0 -87 -0xb82b -0x5a84be54 -256 -256 -0 -0 -0 -79 -0x9c70 -0xcde92691 -256 -256 -1 -0 -0 -29 -0x2fd7 -0x6cf5e541 -256 -256 -0 -0 -0 -39 -0xa4e2 -0x74bf37de -256 -256 -0 -0 -0 -58 -0x7fc3 -0xd544e67c -256 -256 -1 -1 -0 -13 -0xea91 -0xbcb81fca -256 -256 -0 -0 -0 -41 -0x9d87 -0x480eae13 -256 -256 -1 -1 -0 -36 -0x9c5a -0x75f5855b -256 -256 -1 -1 -0 -98 -0xaede -0x3473f660 -256 -256 -1 -0 -0 -94 -0x4072 -0xda149a67 -256 -256 -1 -0 -0 -34 -0x6ada -0x6c7f9f0c -256 -256 -1 -1 -0 -97 -0xf4aa -0xbda1e5e6 -256 -256 -0 -0 -0 -52 -0x14c8 -0xd0fbd3e7 -256 -256 -0 -0 -0 -35 -0xe588 -0xee1bbdd3 -256 -256 -1 -0 -0 -100 -0xb16e -0x9630d346 -256 -256 -0 -0 -0 -71 -0x8496 -0xdcf64dba -256 -256 -1 -1 -0 -79 -0xe995 -0x55c6cb7c -256 -256 -1 -0 -0 -74 -0x74c1 -0x457665b3 -256 -256 -0 -0 -0 -98 -0x9709 -0x60064478 -256 -256 -1 -1 -0 -95 -0x810a -0x45e440c7 -256 -256 -0 -0 -0 -41 -0x5403 -0x9e4aeac3 -256 -256 -0 -0 -0 -18 -0x70cf -0xf806173e -256 -256 -1 -0 -0 -82 -0x3da3 -0xa69d2ca7 -256 -256 -0 -0 -0 -54 -0xbbcd -0xa0a887a4 -256 -256 -0 -0 -0 -48 -0xa5b9 -0xf88dedac -256 -256 -1 -1 -0 -50 -0xa869 -0x975a681c -256 -256 -0 -0 -0 -78 -0x89c2 -0x1971f0c0 -256 -256 -1 -1 -0 -44 -0x8021 -0xa2a87735 -256 -256 -1 -0 -0 -64 -0x6b09 -0xb413027 -256 -256 -1 -1 -0 -18 -0xfc84 -0x65f26d2c -256 -256 -0 -0 -0 -87 -0x6cc4 -0xe190707f -256 -256 -1 -0 -0 -18 -0x15de -0x42bd417b -256 -256 -1 -1 -0 -83 -0x81ac -0x7d4e3516 -256 -256 -1 -1 -0 -81 -0x30b1 -0xc56d60fb -256 -256 -1 -1 -0 -87 -0xf917 -0xb23072d5 -256 -256 -1 -0 -0 -16 -0xfdd8 -0x49b33bca -256 -256 -1 -1 -0 -96 -0xa738 -0x7d06dabb -256 -256 -0 -0 -0 -97 -0xefe1 -0x2ddcc265 -256 -256 -1 -0 -0 -89 -0xaf0d -0xca4bde99 -256 -256 -0 -0 -0 -88 -0x32c9 -0x492fe59a -256 -256 -1 -0 -0 -38 -0x1bf0 -0x197858a1 -256 -256 -1 -0 -0 -95 -0xfd8e -0x54d5d1b3 -256 -256 -1 -0 -0 -85 -0x2b99 -0xebec9bb7 -256 -256 -1 -0 -0 -48 -0x5eeb -0xaaf82773 -256 -256 -0 -0 -0 -41 -0xa5c2 -0x1fd31b77 -256 -256 -1 -1 -0 -65 -0x4310 -0x9c4ddbcb -256 -256 -1 -1 -0 -40 -0x876a -0x7e42be6 -256 -256 -1 -1 -0 -65 -0xe3da -0x2dde4ef6 -256 -256 -1 -0 -0 -32 -0xb998 -0x8e056412 -256 -256 -0 -0 -0 -40 -0x7e6e -0xdb82e888 -256 -256 -0 -0 -0 -98 -0xaa54 -0x31856a99 -256 -256 -1 -0 -0 -29 -0xda6c -0x380dd72a -256 -256 -0 -0 -0 -20 -0x8543 -0x7b70c13c -256 -256 -1 -1 -0 -34 -0x90c3 -0x7686d880 -256 -256 -0 -0 -0 -52 -0x4b17 -0x4499d79a -256 -256 -1 -0 -0 -85 -0xa255 -0xedb04319 -256 -256 -1 -0 -0 -93 -0x2c29 -0xc6f18089 -256 -256 -1 -1 -0 -61 -0xe139 -0x3fedc444 -256 -256 -0 -0 -0 -95 -0x7986 -0x7dca294f -256 -256 -1 -1 -0 -43 -0x27fc -0xe35736c -256 -256 -1 -0 -0 -49 -0xc0cf -0xb027883b -256 -256 -0 -0 -0 -34 -0xda9 -0x6f357034 -256 -256 -0 -0 -0 -55 -0x603e -0xf0a1a113 -256 -256 -1 -1 -0 -76 -0xe9e7 -0x3a6cdc21 -256 -256 -0 -0 -0 -76 -0xcaa7 -0x363cee43 -256 -256 -0 -0 -0 -10 -0x6504 -0xe7affc7d -256 -256 -0 -0 -0 -97 -0xcf78 -0x6996c1e9 -256 -256 -1 -1 -0 -98 -0xee05 -0x568dc082 -256 -256 -0 -0 -0 -96 -0x762b -0x6c83791a -256 -256 -0 -0 -0 -48 -0x1dbb -0x867413d9 -256 -256 -0 -0 -0 -65 -0x169f -0xd7f6aa85 -256 -256 -0 -0 -0 -78 -0xc78d -0x4bd692fd -256 -256 -1 -0 -0 -79 -0x2272 -0xc74dd224 -256 -256 -1 -1 -0 -18 -0xdf48 -0x4f0c8ee0 -256 -256 -0 -0 -0 -80 -0xd400 -0x6b36b944 -256 -256 -0 -0 -0 -82 -0xb63 -0x5794100d -256 -256 -1 -1 -0 -99 -0x8670 -0xee5cfd8b -256 -256 -0 -0 -0 -89 -0x7e93 -0x97da0d1d -256 -256 -1 -0 -0 -47 -0x9c9f -0x79e1cbf8 -256 -256 -1 -0 -0 -21 -0xe748 -0xcac3dd2f -256 -256 -1 -1 -0 -37 -0x4dd3 -0xd943bd86 -256 -256 -0 -0 -0 -77 -0x4784 -0xe872f687 -256 -256 -1 -1 -0 -55 -0xdb7 -0x868071e5 -256 -256 -1 -0 -0 -100 -0xdced -0xbc530559 -256 -256 -1 -0 -0 -89 -0x7bd1 -0x9380f52b -256 -256 -0 -0 -0 -26 -0xa9cc -0xeeeca9dd -256 -256 -0 -0 -0 -81 -0xa385 -0xd6f813d0 -256 -256 -0 -0 -0 -58 -0x40e6 -0xf1ff92a0 -256 -256 -0 -0 -0 -45 -0x7649 -0xa611abb6 -256 -256 -1 -1 -0 -87 -0x5cc5 -0x88512714 -256 -256 -1 -1 -0 -35 -0x7c15 -0xb0768ad1 -256 -256 -1 -1 -0 -36 -0x133a -0xa4ee5104 -256 -256 -0 -0 -0 -84 -0x155a -0xdc1dbce5 -256 -256 -1 -1 -0 -74 -0x7eae -0x17cafa9 -256 -256 -1 -0 -0 -52 -0x629c -0x2d6ea827 -256 -256 -1 -0 -0 -40 -0xe27c -0x2cec0e47 -256 -256 -0 -0 -0 -53 -0xd994 -0xe3c901c7 -256 -256 -0 -0 -0 -72 -0x5da7 -0x49fe31fa -256 -256 -1 -0 -0 -81 -0xed00 -0xb8db47bf -256 -256 -0 -0 -0 -70 -0x7a03 -0xbd259563 -256 -256 -0 -0 -0 -16 -0xf3c1 -0x65240de2 -256 -256 -0 -0 -0 -21 -0xa5a3 -0x2450dedc -256 -256 -1 -0 -0 -92 -0xbe7a -0xcd4c3391 -256 -256 -0 -0 -0 -54 -0x2c95 -0x8bf43ef7 -256 -256 -0 -0 -0 -44 -0xe5cb -0xd8caa197 -256 -256 -0 -0 -0 -42 -0xd6db -0xb43d9a33 -256 -256 -1 -0 -0 -72 -0x786d -0x1f42da9 -256 -256 -1 -0 -0 -57 -0xc91f -0x62152530 -256 -256 -0 -0 -0 -43 -0x50ef -0x25f46440 -256 -256 -0 -0 -0 -21 -0x8976 -0xba82729d -256 -256 -0 -0 -0 -37 -0x89a7 -0x58cfb70c -256 -256 -1 -1 -0 -77 -0x3835 -0xddf73995 -256 -256 -0 -0 -0 -63 -0x3fef -0xd5f0c6c2 -256 -256 -0 -0 -0 -78 -0xba5c -0xb61b788b -256 -256 -1 -0 -0 -55 -0xafd2 -0xbec5cdb1 -256 -256 -1 -0 -0 -60 -0x2706 -0x996ad6bf -256 -256 -0 -0 -0 -14 -0x3411 -0xad92c1ea -256 -256 -1 -1 -0 -28 -0x630 -0x97f41c22 -256 -256 -1 -1 -0 -26 -0xefec -0xbd350f5b -256 -256 -0 -0 -0 -40 -0x2073 -0xae699b12 -256 -256 -1 -0 -0 -46 -0x7f2b -0xbee0d022 -256 -256 -1 -1 -0 -30 -0x1a4 -0xbdd6ae86 -256 -256 -0 -0 -0 -49 -0x5a17 -0xa4e0f640 -256 -256 -1 -0 -0 -14 -0xc6a0 -0x15f71d5 -256 -256 -0 -0 -0 -20 -0xb0db -0xbad37625 -256 -256 -0 -0 -0 -68 -0xbd91 -0xc9325c39 -256 -256 -0 -0 -0 -91 -0x610e -0x4a1d4960 -256 -256 -0 -0 -0 -39 -0x7d6c -0x6f32fd05 -256 -256 -0 -0 -0 -53 -0x2f2d -0x507d93a5 -256 -256 -1 -1 -0 -65 -0x567c -0x3bd4ab6c -256 -256 -0 -0 -0 -44 -0xe03b -0xb4ac38ec -256 -256 -1 -1 -0 -48 -0xfb8a -0x1ba65e02 -256 -256 -0 -0 -0 -90 -0xa4b2 -0x6bf96bb8 -256 -256 -1 -0 -0 -79 -0x9689 -0x411de014 -256 -256 -0 -0 -0 -47 -0x98d8 -0xc04ec3e6 -256 -256 -0 -0 -0 -51 -0xb5ed -0xaf62c460 -256 -256 -0 -0 -0 -16 -0xa0aa -0x8f4285b7 -256 -256 -0 -0 -0 -15 -0x371f -0x79a3a3ec -256 -256 -1 -1 -0 -76 -0xeb14 -0x5548c422 -256 -256 -1 -0 -0 -65 -0x62e9 -0x5291996d -256 -256 -0 -0 -0 -79 -0xcdcc -0x4dd07b5d -256 -256 -0 -0 -0 -20 -0x3f46 -0x87503f42 -256 -256 -1 -0 -0 -12 -0x9b05 -0x77195326 -256 -256 -1 -1 -0 -19 -0xb72a -0xcaebe7d2 -256 -256 -1 -1 -0 -66 -0xebf9 -0x5f82f3c5 -256 -256 -1 -1 -0 -34 -0xf0a7 -0x4a2105ce -256 -256 -1 -1 -0 -87 -0x5f9f -0xc3494c18 -256 -256 -1 -0 -0 -94 -0xbced -0x78a0e36e -256 -256 -1 -1 -0 -73 -0xd6a7 -0x5a5d580f -256 -256 -1 -0 -0 -86 -0xa88b -0x6bd2284b -256 -256 -1 -1 -0 -14 -0xf9cb -0x9f53d40a -256 -256 -0 -0 -0 -96 -0xfab5 -0xcb1ac020 -256 -256 -0 -0 -0 -44 -0x8197 -0xf19a69f -256 -256 -1 -1 -0 -71 -0x7560 -0x9c523b75 -256 -256 -0 -0 -0 -11 -0x5006 -0x1d3ce0af -256 -256 -1 -0 -0 -10 -0x7b57 -0xc08093df -256 -256 -0 -0 -0 -27 -0x9fd7 -0xf2dfb882 -256 -256 -0 -0 -0 -95 -0x6d25 -0xf4da2289 -256 -256 -0 -0 -0 -35 -0xc948 -0x4eb2e2ec -256 -256 -0 -0 -0 -88 -0x4db1 -0x4aad5123 -256 -256 -0 -0 -0 -84 -0x80c9 -0x8233e528 -256 -256 -1 -0 -0 -72 -0x515a -0xf352ca7 -256 -256 -0 -0 -0 -100 -0xef92 -0x2292615d -256 -256 -1 -0 -0 -76 -0x3f90 -0xd1492e7d -256 -256 -0 -0 -0 -70 -0x459e -0x33a7b43b -256 -256 -1 -1 -0 -17 -0x8dbe -0xca0c5f47 -256 -256 -0 -0 -0 -71 -0x8674 -0xc8ab2776 -256 -256 -1 -0 -0 -92 -0xdadc -0x29f3bd92 -256 -256 -1 -0 -0 -92 -0x9285 -0x8872653f -256 -256 -0 -0 -0 -83 -0xd1e6 -0x1c6f6c11 -256 -256 -1 -0 -0 -29 -0x1981 -0xbd2991da -256 -256 -0 -0 -0 -17 -0x89cf -0x6f0ee05d -256 -256 -0 -0 -0 -41 -0x4f73 -0x7af672e6 -256 -256 -1 -0 -0 -63 -0xc792 -0x26dc279e -256 -256 -0 -0 -0 -80 -0xb57 -0xf727748e -256 -256 -1 -0 -0 -77 -0xc63c -0xc2b738cf -256 -256 -1 -1 -0 -60 -0x1fa8 -0x2f65772d -256 -256 -0 -0 -0 -99 -0x6e8d -0x309f3846 -256 -256 -0 -0 -0 -19 -0x1c19 -0x4481adbb -256 -256 -0 -0 -0 -48 -0xabae -0x40ece227 -256 -256 -1 -1 -0 -58 -0xa29f -0x86bb5a15 -256 -256 -1 -0 -0 -37 -0x43cb -0x6f348354 -256 -256 -0 -0 -0 -46 -0x450e -0x4629bc9f -256 -256 -1 -1 -0 -24 -0xbcbd -0xf544e83b -256 -256 -1 -0 -0 -41 -0x84f0 -0x332fccbd -256 -256 -0 -0 -0 -94 -0xb2eb -0x4c353580 -256 -256 -0 -0 -0 -24 -0xab2c -0x96c999aa -256 -256 -1 -0 -0 -37 -0x102c -0x1cb85f4 -256 -256 -0 -0 -0 -64 -0x82a1 -0x97cdfb28 -256 -256 -1 -0 -0 -10 -0xec98 -0x28df687b -256 -256 -1 -0 -0 -99 -0xf069 -0xf1eeb033 -256 -256 -1 -1 -0 -79 -0x8dfe -0x810c95b4 -256 -256 -0 -0 -0 -91 -0x1bb5 -0xf26c7e -256 -256 -1 -1 -0 -38 -0x8cd6 -0xfa38a185 -256 -256 -0 -0 -0 -77 -0x2923 -0x2594488b -256 -256 -0 -0 -0 -88 -0xc9b6 -0xe853619a -256 -256 -0 -0 -0 -85 -0x1ae8 -0x8dfbb681 -256 -256 -1 -0 -0 -48 -0xd2aa -0x28c11af5 -256 -256 -1 -0 -0 -100 -0xb494 -0x6d8ba1f6 -256 -256 -0 -0 -0 -12 -0xb96b -0xa963391e -256 -256 -0 -0 -0 -84 -0xa7ed -0x3fe66019 -256 -256 -1 -0 -0 -46 -0x9a06 -0x66892fbe -256 -256 -1 -1 -0 -54 -0xd9d0 -0xc125000f -256 -256 -1 -0 -0 -76 -0x5409 -0x73e7407c -256 -256 -1 -0 -0 -50 -0x9af9 -0x406cd5b1 -256 -256 -1 -0 -0 -85 -0xd521 -0x46ac6b92 -256 -256 -0 -0 -0 -76 -0xd49a -0xc7971aec -256 -256 -0 -0 -0 -23 -0x4ceb -0x4f380bcc -256 -256 -0 -0 -0 -14 -0xf078 -0x68b0d49c -256 -256 -0 -0 -0 -14 -0x3ce0 -0xf706c211 -256 -256 -0 -0 -0 -30 -0xcb41 -0x80941f96 -256 -256 -1 -1 -0 -40 -0xce86 -0xd264af6e -256 -256 -0 -0 -0 -28 -0x1abe -0xb489a9e5 -256 -256 -1 -1 -0 -74 -0x67c -0x922a0f25 -256 -256 -1 -1 -0 -26 -0x9a7b -0x9951ff0d -256 -256 -1 -0 -0 -84 -0xde8 -0x2cf45674 -256 -256 -1 -1 -0 -48 -0x685f -0x22de84a9 -256 -256 -0 -0 -0 -100 -0x9d64 -0x487d15f7 -256 -256 -0 -0 -0 -72 -0x7b4e -0x815bbd6a -256 -256 -0 -0 -0 -58 -0xa946 -0x302f1559 -256 -256 -0 -0 -0 -83 -0xfe3e -0xc8df7970 -256 -256 -0 -0 -0 -69 -0xdc11 -0x31add9fc -256 -256 -0 -0 -0 -60 -0x73f6 -0x447f53ec -256 -256 -1 -0 -0 -75 -0x726f -0xdc66d6c0 -256 -256 -0 -0 -0 -27 -0x2289 -0x3b60498e -256 -256 -0 -0 -0 -30 -0x6cef -0xebf38e95 -256 -256 -1 -0 -0 -66 -0xe8cb -0xae46898e -256 -256 -0 -0 -0 -53 -0x25cd -0xb0151ec9 -256 -256 -0 -0 -0 -19 -0xac9d -0x252c93bd -256 -256 -1 -1 -0 -77 -0xe702 -0x56d18edc -256 -256 -1 -0 -0 -82 -0x42f7 -0xf1b1905a -256 -256 -0 -0 -0 -17 -0xe4a2 -0x1f67bf37 -256 -256 -0 -0 -0 -98 -0x745b -0x8e482e8d -256 -256 -0 -0 -0 -64 -0xc6fb -0x52513444 -256 -256 -0 -0 -0 -40 -0xf2e7 -0xc1cb862e -256 -256 -1 -1 -0 -93 -0xf1bd -0x50d39d02 -256 -256 -0 -0 -0 -26 -0x50f3 -0x508fa840 -256 -256 -1 -1 -0 -89 -0xacad -0x157151f6 -256 -256 -0 -0 -0 -89 -0x2f52 -0x94342f -256 -256 -0 -0 -0 -36 -0xbedc -0xd211f103 -256 -256 -1 -0 -0 -24 -0xe52b -0xf7c94cb1 -256 -256 -1 -0 -0 -55 -0x6c72 -0xec8f5f88 -256 -256 -0 -0 -0 -82 -0x9a64 -0x9f7c98ac -256 -256 -0 -0 -0 -45 -0x5380 -0xe692502a -256 -256 -1 -0 -0 -56 -0x909c -0xa87d31a8 -256 -256 -1 -0 -0 -85 -0x4c01 -0x462ea6e4 -256 -256 -1 -0 -0 -37 -0xa641 -0xe8ecb53e -256 -256 -1 -0 -0 -82 -0xd0f2 -0x16e58e51 -256 -256 -1 -1 -0 -67 -0xc8ca -0x9ef0c300 -256 -256 -0 -0 -0 -34 -0x5ffb -0x47c51d23 -256 -256 -0 -0 -0 -22 -0xe720 -0x19034c6c -256 -256 -1 -0 -0 -25 -0x92f -0x8d677697 -256 -256 -1 -1 -0 -26 -0x8475 -0x87ccdf22 -256 -256 -1 -0 -0 -73 -0x163a -0xcceb2092 -256 -256 -0 -0 -0 -25 -0x3687 -0x8cdabb4b -256 -256 -0 -0 -0 -67 -0xbf4 -0x1539be86 -256 -256 -0 -0 -0 -98 -0x4dda -0xb47790ae -256 -256 -1 -1 -0 -94 -0x75d3 -0xfa3730ff -256 -256 -0 -0 -0 -39 -0x81b6 -0xf894568f -256 -256 -0 -0 -0 -28 -0x133 -0x916d7a1 -256 -256 -1 -0 -0 -100 -0xe454 -0xed480057 -256 -256 -0 -0 -0 -11 -0x638d -0x68cc7c24 -256 -256 -0 -0 -0 -93 -0xc913 -0xc0be8e75 -256 -256 -0 -0 -0 -63 -0x91d3 -0x40ddfc68 -256 -256 -0 -0 -0 -14 -0x50fa -0xba90fcb3 -256 -256 -0 -0 -0 -37 -0xf4e5 -0x8274844f -256 -256 -1 -0 -0 -69 -0x3b36 -0xd3583a6b -256 -256 -0 -0 -0 -79 -0xf2f5 -0xeecc0cca -256 -256 -0 -0 -0 -90 -0xd84b -0x2711d8b0 -256 -256 -1 -1 -0 -15 -0xf5eb -0xdbf2f9b7 -256 -256 -1 -0 -0 -79 -0x5f2e -0x6e2d761a -256 -256 -0 -0 -0 -15 -0x962e -0x7cfb0e7f -256 -256 -0 -0 -0 -40 -0x8e53 -0x494b2f0a -256 -256 -0 -0 -0 -80 -0xda1a -0xb5e5c2ca -256 -256 -1 -0 -0 -15 -0x9d9b -0x78229322 -256 -256 -1 -1 -0 -58 -0x7c29 -0xa237fe7c -256 -256 -1 -1 -0 -70 -0xc3ef -0xff84114 -256 -256 -1 -0 -0 -38 -0x637a -0x8a0c4c81 -256 -256 -1 -0 -0 -31 -0xb224 -0xd597640e -256 -256 -1 -1 -0 -79 -0x888d -0x3d1285 -256 -256 -1 -0 -0 -97 -0xdf7e -0xf690d022 -256 -256 -1 -0 -0 -61 -0xb7ee -0x68c684e4 -256 -256 -1 -0 -0 -12 -0xd218 -0xb26d89b7 -256 -256 -0 -0 -0 -38 -0x7888 -0xfce47405 -256 -256 -0 -0 -0 -55 -0x3f4b -0xce90bbb6 -256 -256 -1 -0 -0 -84 -0x8604 -0x7bbea429 -256 -256 -1 -1 -0 -45 -0x431f -0x2d2b1a32 -256 -256 -1 -1 -0 -63 -0x2eb2 -0xc372c0a4 -256 -256 -0 -0 -0 -95 -0x3856 -0x4abb5aeb -256 -256 -0 -0 -0 -74 -0xa4f4 -0x233ffdfe -256 -256 -0 -0 -0 -67 -0x8ddc -0x8e946d31 -256 -256 -0 -0 -0 -45 -0xfdbb -0xb70a79a5 -256 -256 -0 -0 -0 -32 -0xdc2b -0xa14f2347 -256 -256 -0 -0 -0 -25 -0x5d24 -0x774350ce -256 -256 -0 -0 -0 -24 -0xf480 -0x1e966dd -256 -256 -1 -0 -0 -41 -0x4c78 -0x9fe91d0c -256 -256 -0 -0 -0 -95 -0xe1f3 -0xcbda3ecb -256 -256 -0 -0 -0 -24 -0xf1e3 -0x277ef42b -256 -256 -0 -0 -0 -42 -0x9fbf -0x4221ee11 -256 -256 -1 -1 -0 -76 -0x5da3 -0x883e688b -256 -256 -1 -1 -0 -24 -0x72c0 -0x866ba764 -256 -256 -1 -0 -0 -76 -0x6530 -0x106f1fae -256 -256 -0 -0 -0 -24 -0xba78 -0xdb1611ee -256 -256 -0 -0 -0 -21 -0xc5c0 -0x80ad676c -256 -256 -1 -0 -0 -80 -0xe1d2 -0x68773b79 -256 -256 -0 -0 -0 -36 -0x6335 -0xea0b42e4 -256 -256 -0 -0 -0 -63 -0x1ff9 -0x8e6e0aba -256 -256 -0 -0 -0 -79 -0x4129 -0x72725ef2 -256 -256 -0 -0 -0 -46 -0x3bda -0x55595080 -256 -256 -1 -0 -0 -30 -0xa87e -0x6a9cae26 -256 -256 -1 -1 -0 -26 -0xcd15 -0xa7f5107d -256 -256 -1 -0 -0 -26 -0xe4a8 -0x8d9e2893 -256 -256 -0 -0 -0 -64 -0x15c9 -0xb5e01a2f -256 -256 -1 -0 -0 -38 -0x1e2f -0xa1259a4c -256 -256 -1 -1 -0 -15 -0xde4c -0x3242691c -256 -256 -1 -0 -0 -82 -0xd1a9 -0x4f55f71b -256 -256 -0 -0 -0 -74 -0xf839 -0x24dc306b -256 -256 -1 -1 -0 -63 -0x88f3 -0x229b4d19 -256 -256 -1 -0 -0 -59 -0x13dc -0xe38ff1bb -256 -256 -1 -0 -0 -97 -0x5b68 -0xb6c210db -256 -256 -1 -0 -0 -30 -0x528a -0xebe64a3b -256 -256 -1 -0 -0 -70 -0x6faf -0x4d69dc1a -256 -256 -1 -0 -0 -23 -0x6bd1 -0xbb2b74f8 -256 -256 -1 -0 -0 -72 -0x4b0b -0xc758d8b6 -256 -256 -1 -0 -0 -71 -0x9663 -0xc7e0fb52 -256 -256 -1 -0 -0 -100 -0xf172 -0x40cfd36 -256 -256 -1 -0 -0 -77 -0x7adc -0x48c3bb8d -256 -256 -0 -0 -0 -83 -0xe53 -0xc15ebcac -256 -256 -1 -0 -0 -61 -0xe93 -0x5573912e -256 -256 -1 -0 -0 -78 -0x2878 -0x298ed6b1 -256 -256 -0 -0 -0 -58 -0xd83d -0xb72ca37c -256 -256 -0 -0 -0 -84 -0xcaee -0xb21ad0ec -256 -256 -0 -0 -0 -63 -0xbdb5 -0x57f2ad8b -256 -256 -0 -0 -0 -98 -0x1a5d -0xe70b0965 -256 -256 -1 -1 -0 -56 -0x1dde -0xe7d34dc3 -256 -256 -1 -0 -0 -37 -0xd17d -0x2851f92f -256 -256 -1 -0 -0 -88 -0x8f42 -0x17830da7 -256 -256 -1 -1 -0 -66 -0xf702 -0xf628d8bd -256 -256 -0 -0 -0 -22 -0xa0cd -0xa020e50c -256 -256 -0 -0 -0 -49 -0x6c8a -0x22ac63f7 -256 -256 -0 -0 -0 -100 -0x8203 -0xf06dd688 -256 -256 -0 -0 -0 -66 -0x13b9 -0xad4143d1 -256 -256 -0 -0 -0 -65 -0x6d14 -0xb12d41a5 -256 -256 -1 -0 -0 -98 -0x4bff -0xc7355de9 -256 -256 -1 -0 -0 -29 -0x1a6c -0x2fddab66 -256 -256 -0 -0 -0 -13 -0x9c6a -0xcbe43dac -256 -256 -0 -0 -0 -95 -0x52b7 -0x61472e06 -256 -256 -0 -0 -0 -86 -0xd904 -0x9cc9a3fe -256 -256 -0 -0 -0 -26 -0xcf2c -0xae7671ab -256 -256 -1 -1 -0 -82 -0xc21 -0x31cfecdb -256 -256 -0 -0 -0 -100 -0xfc -0xdd00b54e -256 -256 -0 -0 -0 -54 -0xcd8c -0x428d03f4 -256 -256 -0 -0 -0 -74 -0x4349 -0x8d4b3d05 -256 -256 -1 -1 -0 -39 -0xb201 -0xb6485e3d -256 -256 -0 -0 -0 -47 -0xb7e3 -0x5db8a30 -256 -256 -1 -0 -0 -10 -0x34db -0x263d98c5 -256 -256 -0 -0 -0 -50 -0x880 -0x772b9c8a -256 -256 -0 -0 -0 -58 -0x931c -0xfc1ccf5a -256 -256 -0 -0 -0 -50 -0x3093 -0xb9c13739 -256 -256 -0 -0 -0 -54 -0x4b5d -0x30667ad7 -256 -256 -0 -0 -0 -35 -0x1683 -0xd69e76f7 -256 -256 -0 -0 -0 -55 -0x70d5 -0x6351e6a6 -256 -256 -0 -0 -0 -91 -0x9042 -0x7c767bbb -256 -256 -1 -0 -0 -44 -0x639d -0xd03eb6b1 -256 -256 -0 -0 -0 -31 -0xd75 -0x7d5948aa -256 -256 -0 -0 -0 -82 -0x2bff -0x3c82d4cc -256 -256 -0 -0 -0 -34 -0xd9dc -0x4a70b5ce -256 -256 -1 -0 -0 -87 -0xb009 -0xf815463b -256 -256 -0 -0 -0 -65 -0xae98 -0x52561bac -256 -256 -1 -0 -0 -97 -0xef77 -0x53295a5 -256 -256 -1 -0 -0 -83 -0xf86e -0x4bb7c6e7 -256 -256 -0 -0 -0 -43 -0xaf90 -0x1a4867fc -256 -256 -0 -0 -0 -64 -0x8310 -0xbf649d05 -256 -256 -1 -1 -0 -66 -0x93b3 -0xa2e12fe5 -256 -256 -0 -0 -0 -100 -0x37a9 -0xa2693f91 -256 -256 -0 -0 -0 -73 -0x172c -0x408f1bfa -256 -256 -0 -0 -0 -53 -0x1f5c -0x991e6e22 -256 -256 -0 -0 -0 -33 -0xfac2 -0xe7c6ca56 -256 -256 -1 -1 -0 -40 -0x1962 -0xa10e72ae -256 -256 -0 -0 -0 -72 -0x9e95 -0xc477ac81 -256 -256 -1 -0 -0 -56 -0xe686 -0x2513c7c7 -256 -256 -1 -1 -0 -58 -0xb80a -0xa08d44d6 -256 -256 -0 -0 -0 -24 -0x1d1 -0xf53f11a -256 -256 -0 -0 -0 -89 -0x52fe -0xadb75a27 -256 -256 -0 -0 -0 -29 -0x7adb -0x68fc8f12 -256 -256 -0 -0 -0 -19 -0x242c -0xe032eeaf -256 -256 -1 -0 -0 -30 -0xf96c -0x42e2f6d7 -256 -256 -0 -0 -0 -91 -0x71cf -0x93d54150 -256 -256 -0 -0 -0 -45 -0xd1f7 -0xa3b509a3 -256 -256 -1 -0 -0 -54 -0x67c0 -0x56e50e02 -256 -256 -0 -0 -0 -94 -0xc315 -0x25586450 -256 -256 -0 -0 -0 -71 -0xba12 -0x5abd1f0c -256 -256 -1 -0 -0 -28 -0x554b -0xd37d0636 -256 -256 -1 -0 -0 -21 -0x46ff -0xbed6af4b -256 -256 -0 -0 -0 -69 -0x9fa7 -0x4df0d905 -256 -256 -0 -0 -0 -54 -0xa92c -0xfc18b508 -256 -256 -1 -0 -0 -41 -0x1fcc -0x20869e87 -256 -256 -1 -0 -0 -81 -0x950b -0x38c63980 -256 -256 -0 -0 -0 -64 -0x353b -0xa2141038 -256 -256 -1 -1 -0 -68 -0x1327 -0x7540338b -256 -256 -0 -0 -0 -75 -0x59b6 -0x8d835115 -256 -256 -1 -0 -0 -86 -0x616c -0xde82cc8a -256 -256 -1 -0 -0 -97 -0xc96d -0x993456ce -256 -256 -0 -0 -0 -100 -0x7802 -0xfd0b6d31 -256 -256 -1 -1 -0 -35 -0x56f6 -0x42c5e0db -256 -256 -1 -1 -0 -73 -0xeaa0 -0x4df215de -256 -256 -1 -1 -0 -42 -0x108b -0xb2dfd076 -256 -256 -0 -0 -0 -49 -0x15ac -0xbc20f7a6 -256 -256 -0 -0 -0 -75 -0xf85d -0x1b8ac678 -256 -256 -1 -0 -0 -49 -0xba8a -0x902a0f56 -256 -256 -1 -1 -0 -57 -0xf425 -0xf6dc9d63 -256 -256 -1 -0 -0 -44 -0x7acc -0xdc9208a3 -256 -256 -0 -0 -0 -24 -0x3206 -0xaaa1bd58 -256 -256 -1 -0 -0 -85 -0xdc11 -0x51a7903 -256 -256 -0 -0 -0 -91 -0xf9fa -0x6f18b484 -256 -256 -1 -1 -0 -43 -0x27ea -0x560f50b2 -256 -256 -0 -0 -0 -47 -0xf3f5 -0xc2da3ddb -256 -256 -0 -0 -0 -12 -0x1d -0x81492fb6 -256 -256 -1 -1 -0 -46 -0x20eb -0xa8496c6d -256 -256 -1 -0 -0 -59 -0x32de -0xb879bcda -256 -256 -1 -1 -0 -25 -0x8440 -0xf3ccb8a0 -256 -256 -1 -1 -0 -34 -0x7630 -0x72aaad26 -256 -256 -1 -0 -0 -12 -0x28f6 -0xbabdb49 -256 -256 -1 -0 -0 -76 -0xf1fb -0x3023473a -256 -256 -0 -0 -0 -13 -0x7b2 -0xd65e9afb -256 -256 -1 -0 -0 -25 -0xd09d -0x5f51ec34 -256 -256 -0 -0 -0 -47 -0xdb13 -0xc62ec6cb -256 -256 -0 -0 -0 -97 -0x9050 -0xf5129431 -256 -256 -0 -0 -0 -91 -0x9af3 -0x5664fbed -256 -256 -1 -1 -0 -95 -0x7271 -0xeec5f834 -256 -256 -0 -0 -0 -20 -0x281b -0xf71eae23 -256 -256 -1 -0 -0 -87 -0x97fc -0x764b16dd -256 -256 -1 -0 -0 -59 -0x32a7 -0xa8bf6b31 -256 -256 -0 -0 -0 -91 -0xde7e -0xa1f9818e -256 -256 -1 -1 -0 -77 -0xceb9 -0x5cf72019 -256 -256 -0 -0 -0 -12 -0x5750 -0x827c6573 -256 -256 -0 -0 -0 -30 -0x8a99 -0x500f4135 -256 -256 -1 -0 -0 -65 -0xbd4b -0x9487840e -256 -256 -0 -0 -0 -96 -0x339c -0x5ad8aa72 -256 -256 -1 -0 -0 -37 -0x2d91 -0xab8cde1d -256 -256 -1 -1 -0 -35 -0x16ec -0x974fcfb8 -256 -256 -0 -0 -0 -37 -0xe84f -0x9f256a8f -256 -256 -0 -0 -0 -53 -0xfd57 -0x7e57dd0c -256 -256 -1 -0 -0 -20 -0xaf9 -0xb3600004 -256 -256 -1 -0 -0 -20 -0x38ef -0xdadbb636 -256 -256 -1 -1 -0 -82 -0x3539 -0xc08cd1e3 -256 -256 -1 -0 -0 -68 -0xdbdc -0x4e7c1c89 -256 -256 -0 -0 -0 -27 -0x2c84 -0x1cf0a029 -256 -256 -0 -0 -0 -62 -0x6ec6 -0xd7db9ce1 -256 -256 -1 -0 -0 -66 -0xece3 -0x55347522 -256 -256 -0 -0 -0 -73 -0x4127 -0x7de71300 -256 -256 -1 -0 -0 -47 -0x10d0 -0x1249b855 -256 -256 -1 -0 -0 -29 -0x9165 -0xe245e367 -256 -256 -1 -0 -0 -74 -0x2479 -0x77601836 -256 -256 -1 -0 -0 -25 -0xb50b -0xadfd82c7 -256 -256 -0 -0 -0 -52 -0xc176 -0xd659286c -256 -256 -0 -0 -0 -45 -0xc5c9 -0x26fa4868 -256 -256 -1 -1 -0 -63 -0xfac -0xdadb0d1e -256 -256 -0 -0 -0 -28 -0x31c5 -0x6d614ba3 -256 -256 -0 -0 -0 -100 -0x6143 -0x4416359c -256 -256 -0 -0 -0 -31 -0xedf -0x3a8ffba9 -256 -256 -0 -0 -0 -78 -0xa70b -0x892104de -256 -256 -0 -0 -0 -54 -0x7a89 -0x55838940 -256 -256 -1 -0 -0 -91 -0x37d8 -0x4ec699dc -256 -256 -1 -0 -0 -87 -0x7dc9 -0x8a90cdf5 -256 -256 -0 -0 -0 -17 -0x8a09 -0x839ffcc9 -256 -256 -0 -0 -0 -23 -0x4f18 -0x693f1235 -256 -256 -1 -1 -0 -24 -0x7c67 -0x3904e96e -256 -256 -0 -0 -0 -80 -0xd2df -0xfc1c9314 -256 -256 -0 -0 -0 -76 -0x3ecf -0x11744815 -256 -256 -1 -1 -0 -57 -0x85b2 -0x4eb268fb -256 -256 -0 -0 -0 -74 -0xe142 -0x5ad785f -256 -256 -1 -1 -0 -86 -0x3966 -0xed7d77fd -256 -256 -1 -1 -0 -89 -0x13c8 -0x6c833009 -256 -256 -1 -0 -0 -21 -0xa1d -0xadd40c70 -256 -256 -0 -0 -0 -61 -0xb6df -0x2d8d5d02 -256 -256 -0 -0 -0 -68 -0xe5ec -0xaaf867b9 -256 -256 -1 -1 -0 -51 -0xba08 -0xe992d332 -256 -256 -0 -0 -0 -30 -0x115d -0x7035c052 -256 -256 -1 -1 -0 -54 -0x68d -0x63dc9e75 -256 -256 -0 -0 -0 -98 -0xcef5 -0xf531c744 -256 -256 -0 -0 -0 -45 -0x2acb -0x772a19eb -256 -256 -0 -0 -0 -27 -0x1827 -0xd8f53f26 -256 -256 -1 -1 -0 -19 -0x1ff2 -0x25a33f3a -256 -256 -1 -1 -0 -73 -0xa72e -0xfb37ddfd -256 -256 -1 -1 -0 -41 -0x50b0 -0x979e0065 -256 -256 -1 -1 -0 -82 -0xb50b -0xb83e57ff -256 -256 -0 -0 -0 -82 -0xb0ce -0x4064b461 -256 -256 -1 -0 -0 -54 -0x8d38 -0x1549f5e0 -256 -256 -1 -1 -0 -73 -0xa702 -0x268ec8e9 -256 -256 -0 -0 -0 -72 -0xc258 -0x60e9a61d -256 -256 -0 -0 -0 -95 -0xe941 -0x6005cd45 -256 -256 -1 -0 -0 -61 -0x8690 -0xe73d9844 -256 -256 -1 -0 -0 -90 -0x41a9 -0x5b7933c4 -256 -256 -1 -0 -0 -36 -0x17e -0x862afa23 -256 -256 -1 -1 -0 -16 -0xd577 -0xfefd62fd -256 -256 -0 -0 -0 -46 -0x47ec -0x131a8831 -256 -256 -0 -0 -0 -85 -0x4275 -0xd4801bd5 -256 -256 -0 -0 -0 -43 -0x7194 -0xbeb03aef -256 -256 -0 -0 -0 -68 -0x1870 -0xef4782c3 -256 -256 -0 -0 -0 -32 -0x8539 -0x91708424 -256 -256 -0 -0 -0 -74 -0xda79 -0xd508691f -256 -256 -0 -0 -0 -10 -0xdd24 -0x5558fe4a -256 -256 -1 -1 -0 -52 -0xf8cb -0x6a5e4f98 -256 -256 -0 -0 -0 -88 -0xbdaf -0x1be51fef -256 -256 -0 -0 -0 -90 -0x1284 -0xf10e38e6 -256 -256 -0 -0 -0 -53 -0xd136 -0x53f5c3f8 -256 -256 -1 -0 -0 -10 -0x8ff4 -0x145676c2 -256 -256 -1 -1 -0 -79 -0xed41 -0x7d38c88 -256 -256 -0 -0 -0 -81 -0x7549 -0x3a419e9a -256 -256 -0 -0 -0 -44 -0x3463 -0xa283eb31 -256 -256 -0 -0 -0 -82 -0xc4e0 -0x5b7bdf36 -256 -256 -1 -1 -0 -16 -0x6b64 -0xe25979f4 -256 -256 -0 -0 -0 -28 -0x98f6 -0x6a323866 -256 -256 -0 -0 -0 -21 -0x8059 -0xe4a82ab5 -256 -256 -0 -0 -0 -73 -0x4d8e -0x952a6a83 -256 -256 -0 -0 -0 -89 -0xbe94 -0xaf1cfccd -256 -256 -1 -1 -0 -13 -0x6d23 -0x52cd496d -256 -256 -1 -0 -0 -83 -0xb52d -0xe0a7fb84 -256 -256 -1 -0 -0 -89 -0x8cb2 -0x7f6809c7 -256 -256 -1 -1 -0 -74 -0x5a77 -0xbfc05341 -256 -256 -1 -1 -0 -85 -0xe985 -0xab77ab9a -256 -256 -1 -1 -0 -45 -0xaded -0x6799d6a4 -256 -256 -1 -0 -0 -92 -0xc589 -0x6fe0f8e0 -256 -256 -1 -1 -0 -62 -0xde8b -0x74150710 -256 -256 -0 -0 -0 -90 -0x2ed1 -0x985391e2 -256 -256 -1 -0 -0 -24 -0xbe97 -0xc0051f08 -256 -256 -1 -1 -0 -44 -0xea -0x89b5fc5d -256 -256 -0 -0 -0 -18 -0xccd7 -0x789564fe -256 -256 -1 -0 -0 -69 -0x2241 -0xe2fe5eff -256 -256 -0 -0 -0 -30 -0xa369 -0xd654479a -256 -256 -1 -1 -0 -74 -0xea7f -0x5e54f23a -256 -256 -0 -0 -0 -56 -0x4cbf -0x2dca0e8f -256 -256 -0 -0 -0 -46 -0xfa28 -0xd3cfbc1b -256 -256 -1 -1 -0 -32 -0xc7d0 -0xbf9a0953 -256 -256 -1 -0 -0 -34 -0x86f0 -0x4639a30d -256 -256 -1 -1 -0 -84 -0x2bf8 -0x679e5e2f -256 -256 -1 -0 -0 -80 -0x210b -0xb72ef22f -256 -256 -1 -1 -0 -52 -0xcc36 -0x8402a982 -256 -256 -0 -0 -0 -59 -0xad79 -0x963969a6 -256 -256 -1 -1 -0 -88 -0x7684 -0x981eb273 -256 -256 -1 -1 -0 -65 -0x4a4b -0x3c8525a5 -256 -256 -0 -0 -0 -67 -0x5174 -0x974a7188 -256 -256 -0 -0 -0 -29 -0x20da -0x236fe6d2 -256 -256 -1 -1 -0 -79 -0x130d -0x388bc99 -256 -256 -1 -0 -0 -82 -0x5b2b -0x7c08d0b9 -256 -256 -1 -1 -0 -60 -0x9cd9 -0xc725407 -256 -256 -1 -0 -0 -45 -0x6104 -0xdef50c3b -256 -256 -1 -1 -0 -100 -0xcff6 -0xf1ad4bb6 -256 -256 -1 -1 -0 -99 -0xbcfe -0xae09cedf -256 -256 -0 -0 -0 -44 -0x6f14 -0xdfe7c9b6 -256 -256 -1 -1 -0 -82 -0xa48b -0x85eb3622 -256 -256 -0 -0 -0 -17 -0xaf75 -0x144f1e07 -256 -256 -0 -0 -0 -64 -0x9cf8 -0x4a64baae -256 -256 -1 -0 -0 -13 -0x2b35 -0x2f1f01b5 -256 -256 -0 -0 -0 -83 -0x42ba -0x5c4f21a7 -256 -256 -0 -0 -0 -12 -0x21dd -0x51d5558c -256 -256 -1 -0 -0 -84 -0xf7b6 -0x61f10a37 -256 -256 -0 -0 -0 -49 -0x807e -0x1324d63d -256 -256 -0 -0 -0 -56 -0xfe00 -0x4faf685 -256 -256 -1 -0 -0 -97 -0x896e -0x48a32030 -256 -256 -0 -0 -0 -71 -0xa04d -0xbe8f1d7c -256 -256 -1 -0 -0 -13 -0x6797 -0x246bd06f -256 -256 -1 -1 -0 -49 -0x8402 -0x56423894 -256 -256 -0 -0 -0 -87 -0x1306 -0x7d2a04ab -256 -256 -0 -0 -0 -19 -0x78c7 -0x9077e29d -256 -256 -0 -0 -0 -43 -0x66e6 -0x28652455 -256 -256 -1 -1 -0 -84 -0x1909 -0xfc268084 -256 -256 -0 -0 -0 -53 -0xb22a -0x1f872b06 -256 -256 -0 -0 -0 -83 -0x55ac -0xf81b9f86 -256 -256 -0 -0 -0 -37 -0x5df5 -0xd282c8a7 -256 -256 -1 -1 -0 -40 -0xb18e -0x913d2990 -256 -256 -0 -0 -0 -42 -0x94b1 -0xaeb5f347 -256 -256 -0 -0 -0 -79 -0xf73f -0xb4623730 -256 -256 -1 -1 -0 -75 -0x89a5 -0x6272251b -256 -256 -0 -0 -0 -90 -0x183d -0xdc4f4cad -256 -256 -1 -0 -0 -59 -0xddf4 -0xc62928d0 -256 -256 -1 -0 -0 -90 -0x12ae -0xb9781cde -256 -256 -1 -0 -0 -65 -0x6d2f -0xee2e2b30 -256 -256 -1 -0 -0 -49 -0xc476 -0xfacc5814 -256 -256 -1 -0 -0 -45 -0x3fa2 -0xb76d33b1 -256 -256 -0 -0 -0 -79 -0x9ecb -0xec8876f5 -256 -256 -1 -0 -0 -25 -0xcaf7 -0x52d99714 -256 -256 -0 -0 -0 -92 -0xf045 -0x1af5635c -256 -256 -1 -1 -0 -94 -0x1bb -0x5a5586b1 -256 -256 -1 -0 -0 -47 -0x26bd -0x303e1fbb -256 -256 -0 -0 -0 -89 -0xd652 -0x91671902 -256 -256 -0 -0 -0 -24 -0x7391 -0x7332038d -256 -256 -0 -0 -0 -68 -0x2f1b -0x23a9d738 -256 -256 -0 -0 -0 -14 -0x3338 -0x5f648ae1 -256 -256 -1 -0 -0 -12 -0xa4ca -0x4dc0137c -256 -256 -0 -0 -0 -69 -0xaf2b -0xd8f76314 -256 -256 -0 -0 -0 -20 -0xf7d2 -0x1d939a61 -256 -256 -1 -0 -0 -37 -0xdb29 -0xc171fe87 -256 -256 -1 -1 -0 -44 -0xb8d6 -0xc7f78b68 -256 -256 -0 -0 -0 -66 -0x7fc4 -0xf654ef2 -256 -256 -0 -0 -0 -56 -0x5caa -0xdaf1f837 -256 -256 -0 -0 -0 -96 -0x20ed -0x455e2ef9 -256 -256 -1 -0 -0 -65 -0x17b4 -0x26c93b71 -256 -256 -1 -1 -0 -17 -0xb9eb -0x5ae9d1a6 -256 -256 -0 -0 -0 -52 -0x8c6a -0x2d141d31 -256 -256 -0 -0 -0 -34 -0x137f -0x67224add -256 -256 -1 -1 -0 -35 -0x1a20 -0xccd215a0 -256 -256 -1 -1 -0 -20 -0x7811 -0x62397193 -256 -256 -0 -0 -0 -29 -0x4962 -0xd40684fc -256 -256 -0 -0 -0 -67 -0x7aac -0x8937d340 -256 -256 -0 -0 -0 -28 -0x4089 -0x27383cee -256 -256 -0 -0 -0 -39 -0xd159 -0xb583fc84 -256 -256 -0 -0 -0 -19 -0x4514 -0xc6de0287 -256 -256 -1 -1 -0 -16 -0xe638 -0xdfa54a61 -256 -256 -0 -0 -0 -13 -0xc3c9 -0xd1de7a5c -256 -256 -0 -0 -0 -96 -0xf341 -0x3935a734 -256 -256 -1 -0 -0 -26 -0xa42f -0xfcc0dabe -256 -256 -1 -0 -0 -41 -0xb901 -0x3fbc6691 -256 -256 -0 -0 -0 -48 -0xfc8b -0xbcb2aa91 -256 -256 -1 -1 -0 -39 -0x133e -0xaee67855 -256 -256 -1 -0 -0 -94 -0xd12f -0x2fdb149a -256 -256 -0 -0 -0 -15 -0x8c66 -0xbde75824 -256 -256 -1 -1 -0 -66 -0xa257 -0x60206fe -256 -256 -1 -1 -0 -55 -0xfa2d -0x54292394 -256 -256 -0 -0 -0 -45 -0xa9cf -0xbea8ea37 -256 -256 -0 -0 -0 -71 -0x8189 -0x4201abe -256 -256 -0 -0 -0 -32 -0x56aa -0x4fdca3b3 -256 -256 -1 -1 -0 -87 -0xe574 -0x963f8611 -256 -256 -0 -0 -0 -79 -0xdb00 -0xc56f4626 -256 -256 -0 -0 -0 -94 -0xccf7 -0x55570027 -256 -256 -0 -0 -0 -56 -0x341e -0x81e8b0df -256 -256 -1 -1 -0 -51 -0xc4b6 -0xf18b21fa -256 -256 -1 -0 -0 -58 -0x3449 -0x1d9839cd -256 -256 -0 -0 -0 -62 -0xb418 -0x9d9b26df -256 -256 -0 -0 -0 -38 -0x903e -0xa7a22f9e -256 -256 -1 -0 -0 -19 -0x2f22 -0x302f23de -256 -256 -1 -1 -0 -39 -0xcb65 -0x1f3441b0 -256 -256 -1 -1 -0 -58 -0x3675 -0x7e7dd2dd -256 -256 -0 -0 -0 -52 -0x1891 -0x92812561 -256 -256 -1 -1 -0 -91 -0x27c4 -0x34b58f36 -256 -256 -1 -1 -0 -64 -0x673 -0x8963314 -256 -256 -1 -0 -0 -67 -0xf64 -0xbe364dd7 -256 -256 -1 -0 -0 -47 -0xb07f -0xb21c08e9 -256 -256 -1 -1 -0 -86 -0xcfb -0xf1c2856b -256 -256 -0 -0 -0 -92 -0x368 -0x2b8022fb -256 -256 -1 -0 -0 -12 -0x1622 -0xa0829d15 -256 -256 -1 -0 -0 -55 -0x3468 -0xb91f2e7f -256 -256 -0 -0 -0 -88 -0x49f3 -0xcabfa31a -256 -256 -0 -0 -0 -88 -0xa89 -0xb76c7a77 -256 -256 -0 -0 -0 -35 -0xb79b -0x9388cbd1 -256 -256 -1 -0 -0 -31 -0x13ed -0x641ba026 -256 -256 -0 -0 -0 -30 -0x20dc -0x404e8900 -256 -256 -0 -0 -0 -57 -0x8a21 -0x9101fda2 -256 -256 -0 -0 -0 -95 -0x3dd8 -0x4eb0ebd9 -256 -256 -1 -1 -0 -66 -0x577b -0xe232a87f -256 -256 -1 -0 -0 -15 -0xa1ad -0xabc716eb -256 -256 -0 -0 -0 -21 -0x747b -0x2363141a -256 -256 -1 -0 -0 -31 -0xe778 -0x8d76db7c -256 -256 -1 -1 -0 -77 -0x5fc5 -0xda59e8b6 -256 -256 -1 -0 -0 -94 -0xd1fc -0x831cd7d9 -256 -256 -1 -0 -0 -26 -0xbc3a -0x20fbd2e6 -256 -256 -1 -1 -0 -78 -0x3abc -0x9b514db1 -256 -256 -1 -1 -0 -95 -0x4fae -0xeca0a01a -256 -256 -0 -0 -0 -87 -0x33db -0x8591c5c1 -256 -256 -1 -1 -0 -70 -0xbb82 -0x8cb9975f -256 -256 -0 -0 -0 -66 -0x2f4f -0x430073a0 -256 -256 -1 -0 -0 -69 -0x8724 -0x3bafb3a2 -256 -256 -0 -0 -0 -89 -0xda22 -0xbd4e67a6 -256 -256 -0 -0 -0 -52 -0xff89 -0x9e7ac910 -256 -256 -1 -0 -0 -62 -0x23f9 -0x73c8841e -256 -256 -1 -1 -0 -17 -0xe7e7 -0x4aed8e65 -256 -256 -0 -0 -0 -44 -0x5069 -0x767af4d3 -256 -256 -1 -0 -0 -28 -0xbfed -0x4f863cbf -256 -256 -1 -0 -0 -100 -0x152b -0x996e842d -256 -256 -1 -0 -0 -81 -0xe33a -0xccca5042 -256 -256 -1 -1 -0 -18 -0x5a3 -0xeddea265 -256 -256 -1 -0 -0 -78 -0x6130 -0x4e120181 -256 -256 -1 -1 -0 -94 -0x7f1c -0xda390692 -256 -256 -1 -1 -0 -28 -0x9c28 -0x5cb25609 -256 -256 -0 -0 -0 -79 -0xd072 -0xd9c45cb6 -256 -256 -1 -0 -0 -48 -0xe30e -0x623a04d6 -256 -256 -1 -1 -0 -75 -0x4dbf -0x21227cd7 -256 -256 -1 -1 -0 -88 -0x5462 -0x5473a5e8 -256 -256 -1 -0 -0 -68 -0xd64d -0xc70d84be -256 -256 -0 -0 -0 -69 -0x666a -0xe9913352 -256 -256 -1 -0 -0 -81 -0x7a76 -0xd1bf0ab5 -256 -256 -1 -1 -0 -32 -0x5e24 -0x2aa7965c -256 -256 -0 -0 -0 -71 -0x509 -0x2a997e44 -256 -256 -1 -0 -0 -32 -0xc4e8 -0x841827ea -256 -256 -0 -0 -0 -79 -0x43ed -0x4a67ca52 -256 -256 -0 -0 -0 -71 -0x524b -0x1139e447 -256 -256 -1 -1 -0 -42 -0x3c04 -0xa1c2d57f -256 -256 -1 -1 -0 -59 -0xf2f2 -0x7b44e6c -256 -256 -0 -0 -0 -94 -0x4e5f -0xd9b0eb95 -256 -256 -0 -0 -0 -96 -0xe3c6 -0xcad109b2 -256 -256 -1 -1 -0 -84 -0xca1 -0x47c9167a -256 -256 -0 -0 -0 -60 -0x3c21 -0x544818bb -256 -256 -1 -0 -0 -96 -0xca65 -0x6353c53e -256 -256 -1 -0 -0 -11 -0x9b1a -0x20d0dd06 -256 -256 -1 -0 -0 -94 -0xedad -0xbb74836 -256 -256 -0 -0 -0 -95 -0x4585 -0xbef6e441 -256 -256 -0 -0 -0 -76 -0xc5f6 -0x8329617e -256 -256 -0 -0 -0 -82 -0xef1c -0xed27f9de -256 -256 -0 -0 -0 -76 -0xf04b -0x1dc0c772 -256 -256 -0 -0 -0 -90 -0x6299 -0x440d94bb -256 -256 -0 -0 -0 -99 -0x5d71 -0x346d3676 -256 -256 -1 -0 -0 -44 -0x1158 -0xad092911 -256 -256 -1 -0 -0 -36 -0xb994 -0xc1c39714 -256 -256 -0 -0 -0 -21 -0x1d91 -0x6ac876e4 -256 -256 -1 -0 -0 -32 -0xbd6d -0x39592f0a -256 -256 -0 -0 -0 -96 -0xcff2 -0x2743d1b6 -256 -256 -1 -0 -0 -94 -0x1480 -0x94619f3d -256 -256 -1 -1 -0 -53 -0xcd96 -0x72894ea9 -256 -256 -1 -1 -0 -51 -0x4525 -0xd3e81e47 -256 -256 -0 -0 -0 -91 -0x73e6 -0xb11b4f30 -256 -256 -1 -1 -0 -97 -0x82b7 -0x70476a1c -256 -256 -1 -0 -0 -58 -0xf108 -0xc7467d22 -256 -256 -0 -0 -0 -95 -0x6e72 -0xd24eea07 -256 -256 -0 -0 -0 -76 -0x5c9d -0xfbac1816 -256 -256 -0 -0 -0 -32 -0xeb29 -0x28ac4470 -256 -256 -1 -1 -0 -47 -0xe264 -0x33cbaf3d -256 -256 -1 -1 -0 -63 -0x9739 -0xf160a5be -256 -256 -1 -0 -0 -39 -0x737e -0x9ba5834c -256 -256 -1 -0 -0 -63 -0xd7b4 -0x4e09119 -256 -256 -1 -0 -0 -91 -0x41f -0x7223e001 -256 -256 -0 -0 -0 -11 -0xfd4 -0xd4132271 -256 -256 -1 -0 -0 -94 -0x79f4 -0x1578b87c -256 -256 -1 -1 -0 -55 -0xa73a -0x52c05f98 -256 -256 -0 -0 -0 -23 -0x3dd8 -0x1cfed75e -256 -256 -1 -1 -0 -78 -0x9bca -0xa068c7e8 -256 -256 -1 -0 -0 -78 -0x42b -0xba82383e -256 -256 -1 -0 -0 -55 -0x9052 -0x772c7802 -256 -256 -1 -0 -0 -98 -0x753c -0x585b250f -256 -256 -1 -1 -0 -95 -0xc1ff -0x968b65f -256 -256 -1 -1 -0 -87 -0xa914 -0xb088585d -256 -256 -1 -1 -0 -16 -0x8443 -0xc20fd56 -256 -256 -0 -0 -0 -82 -0x7f09 -0x819ba769 -256 -256 -1 -0 -0 -85 -0x1f7d -0x2f0a53db -256 -256 -1 -0 -0 -12 -0xbf61 -0x63375795 -256 -256 -1 -0 -0 -49 -0x3dec -0x971863a5 -256 -256 -0 -0 -0 -23 -0x6902 -0xd86f0067 -256 -256 -1 -0 -0 -32 -0x66a7 -0xfe6ba072 -256 -256 -0 -0 -0 -88 -0xf170 -0x8646dd8c -256 -256 -0 -0 -0 -70 -0xae8d -0x2c349326 -256 -256 -1 -0 -0 -13 -0x434f -0x16dc3b96 -256 -256 -0 -0 -0 -41 -0x651 -0x849304e1 -256 -256 -1 -0 -0 -41 -0xebf1 -0xd92f5b75 -256 -256 -1 -0 -0 -30 -0xbcd4 -0x86ba96d -256 -256 -1 -0 -0 -65 -0x3853 -0x69a3fbe9 -256 -256 -0 -0 -0 -30 -0xaa5 -0x254b1a7c -256 -256 -0 -0 -0 -95 -0x1ba1 -0xb651ec2d -256 -256 -0 -0 -0 -85 -0x441e -0x1bceaed1 -256 -256 -0 -0 -0 -82 -0xf9c3 -0x1418969d -256 -256 -0 -0 -0 -66 -0xdf -0x3f0218ac -256 -256 -1 -1 -0 -86 -0x986b -0xc1e19ce2 -256 -256 -0 -0 -0 -18 -0xf008 -0x46e1acb3 -256 -256 -0 -0 -0 -90 -0x9ddc -0xdeea420d -256 -256 -1 -1 -0 -68 -0xd6f4 -0x862e6c35 -256 -256 -0 -0 -0 -32 -0x3aef -0xa629b04 -256 -256 -1 -0 -0 -23 -0x23dd -0x764bec9a -256 -256 -1 -0 -0 -53 -0xbb01 -0x501e7225 -256 -256 -1 -0 -0 -46 -0x1e6f -0xeb7eef84 -256 -256 -1 -0 -0 -98 -0x6b3 -0xe0de1f50 -256 -256 -0 -0 -0 -30 -0x90af -0xadb2c9ff -256 -256 -1 -1 -0 -70 -0xfdf9 -0x6b581fef -256 -256 -1 -0 -0 -64 -0xe762 -0x95037e0a -256 -256 -0 -0 -0 -21 -0xe0df -0x8d55c231 -256 -256 -0 -0 -0 -55 -0x57bb -0x7908855f -256 -256 -1 -0 -0 -82 -0xf7e0 -0x83c11ea1 -256 -256 -0 -0 -0 -25 -0xa2d3 -0xded87727 -256 -256 -0 -0 -0 -82 -0x95bf -0x88f930b9 -256 -256 -0 -0 -0 -65 -0x72e0 -0x2972b606 -256 -256 -1 -1 -0 -31 -0x3726 -0xcae87d91 -256 -256 -1 -0 -0 -35 -0xb -0x6c68708b -256 -256 -0 -0 -0 -11 -0x554e -0x65f9aedf -256 -256 -0 -0 -0 -96 -0x9b3a -0x86a1e549 -256 -256 -1 -1 -0 -28 -0x755b -0x7f680412 -256 -256 -0 -0 -0 -20 -0x24bb -0x71e3245f -256 -256 -1 -1 -0 -59 -0x94e8 -0x24cad405 -256 -256 -1 -1 -0 -86 -0xaf6c -0x6d3619cd -256 -256 -1 -0 -0 -14 -0xa79f -0x7c5b96e3 -256 -256 -1 -0 -0 -42 -0xcd04 -0x6f998d2c -256 -256 -1 -1 -0 -19 -0x76c9 -0x3ad526af -256 -256 -0 -0 -0 -36 -0x3010 -0xac257268 -256 -256 -0 -0 -0 -98 -0xe02f -0x328903d0 -256 -256 -1 -1 -0 -10 -0xa5fc -0x9b68fd59 -256 -256 -0 -0 -0 -80 -0xb55c -0x335547ec -256 -256 -0 -0 -0 -10 -0x1e89 -0xda475b08 -256 -256 -0 -0 -0 -23 -0xc06a -0x6aebaf34 -256 -256 -1 -1 -0 -16 -0x4eb8 -0x205ecd7d -256 -256 -0 -0 -0 -83 -0x3ae1 -0x47787af8 -256 -256 -1 -1 -0 -75 -0x7a41 -0xecf3a38c -256 -256 -1 -0 -0 -67 -0x4b37 -0x5f898026 -256 -256 -0 -0 -0 -90 -0xefab -0x6fc4060 -256 -256 -0 -0 -0 -49 -0x8533 -0x80e3c984 -256 -256 -1 -0 -0 -30 -0xb18b -0x736ea3 -256 -256 -1 -0 -0 -21 -0xb721 -0x8faa406a -256 -256 -0 -0 -0 -96 -0xc750 -0x7f9cef26 -256 -256 -1 -1 -0 -89 -0x68f -0x61ff67fc -256 -256 -1 -1 -0 -82 -0xb88c -0x50220955 -256 -256 -0 -0 -0 -36 -0x77c6 -0x444d46b -256 -256 -0 -0 -0 -88 -0x7019 -0xda4341a6 -256 -256 -1 -1 -0 -61 -0x391d -0xd1365047 -256 -256 -0 -0 -0 -46 -0xf59e -0xf36e5bc0 -256 -256 -0 -0 -0 -53 -0x514e -0x8763f40d -256 -256 -0 -0 -0 -86 -0x2706 -0xe694023c -256 -256 -0 -0 -0 -65 -0x4baf -0xe0c8a698 -256 -256 -1 -1 -0 -92 -0x4e9b -0x98cbaefa -256 -256 -0 -0 -0 -13 -0xdfef -0x693e50ba -256 -256 -0 -0 -0 -39 -0x3eb8 -0x1388342a -256 -256 -0 -0 -0 -40 -0x796d -0xc815f132 -256 -256 -0 -0 -0 -44 -0xea35 -0xc44776d8 -256 -256 -0 -0 -0 -58 -0xe091 -0x620e589 -256 -256 -1 -1 -0 -28 -0xf9bc -0x26c35a78 -256 -256 -1 -0 -0 -53 -0xb976 -0x37c1ec9f -256 -256 -1 -0 -0 -73 -0x43c5 -0xcfaa4eef -256 -256 -1 -0 -0 -17 -0x9f26 -0x6b63d211 -256 -256 -1 -0 -0 -77 -0xadd4 -0xfe23a335 -256 -256 -1 -1 -0 -84 -0x1d5b -0x3dc07aae -256 -256 -1 -0 -0 -92 -0xfecb -0x87cab45e -256 -256 -0 -0 -0 -51 -0x58cb -0xe9ccd53e -256 -256 -1 -0 -0 -14 -0x1c16 -0xe561686f -256 -256 -1 -0 -0 -68 -0x9147 -0x131f639e -256 -256 -0 -0 -0 -16 -0xdd6a -0x5d0116be -256 -256 -0 -0 -0 -74 -0xbcd7 -0x8fc7cc83 -256 -256 -1 -0 -0 -72 -0x2ffe -0x69eaf6be -256 -256 -1 -0 -0 -75 -0xe5e2 -0xdf22abe3 -256 -256 -1 -1 -0 -88 -0x8d4e -0x9e57c9bc -256 -256 -0 -0 -0 -46 -0x3326 -0xaa2e0540 -256 -256 -1 -0 -0 -43 -0x6c7a -0x5c1bb79c -256 -256 -1 -0 -0 -56 -0x941f -0xd060992c -256 -256 -1 -0 -0 -97 -0x2692 -0x81078a7 -256 -256 -0 -0 -0 -54 -0x395b -0xaa2fad68 -256 -256 -0 -0 -0 -17 -0x1e36 -0x19e9d126 -256 -256 -1 -0 -0 -24 -0x9e71 -0x9b0eadfb -256 -256 -0 -0 -0 -22 -0x8a7f -0xe4516616 -256 -256 -1 -1 -0 -53 -0xd9e0 -0x9dc9297c -256 -256 -1 -1 -0 -54 -0x9372 -0xac1cdd9b -256 -256 -1 -0 -0 -96 -0x500e -0x533d85b2 -256 -256 -1 -1 -0 -70 -0x51fe -0xb643e91a -256 -256 -0 -0 -0 -80 -0xd9f5 -0x2631e6c5 -256 -256 -0 -0 -0 -88 -0x1c13 -0x857ac635 -256 -256 -0 -0 -0 -88 -0x76b8 -0x43aa5154 -256 -256 -0 -0 -0 -60 -0xd252 -0xbd246e9f -256 -256 -0 -0 -0 -47 -0x8ebc -0xd3a744e7 -256 -256 -0 -0 -0 -71 -0xf67e -0xfcdfa332 -256 -256 -1 -0 -0 -23 -0x41fc -0x3d247ff6 -256 -256 -0 -0 -0 -57 -0xa09b -0x17dae939 -256 -256 -0 -0 -0 -67 -0x3dc7 -0xf134af05 -256 -256 -1 -0 -0 -43 -0xab86 -0x459dabe2 -256 -256 -0 -0 -0 -82 -0xab41 -0xe161ffb7 -256 -256 -0 -0 -0 -45 -0x5925 -0x710ddd34 -256 -256 -1 -0 -0 -69 -0x147b -0x1ca01c2e -256 -256 -1 -0 -0 -85 -0x43b -0x24c55546 -256 -256 -1 -1 -0 -25 -0xbc5b -0x882fa5ff -256 -256 -0 -0 -0 -42 -0x1cc -0xc6de8938 -256 -256 -0 -0 -0 -53 -0xd8a4 -0x99f5d7c9 -256 -256 -0 -0 -0 -10 -0xa419 -0xf448581d -256 -256 -0 -0 -0 -64 -0x11f5 -0x88a3d240 -256 -256 -0 -0 -0 -51 -0x5a4e -0x95a4207a -256 -256 -1 -0 -0 -74 -0xa2f0 -0x5a9fc91e -256 -256 -0 -0 -0 -70 -0x4d59 -0xd489191a -256 -256 -0 -0 -0 -79 -0x151d -0xb489ea1e -256 -256 -1 -1 -0 -95 -0x43f0 -0x496ad20e -256 -256 -0 -0 -0 -36 -0x32c7 -0x4e2512f5 -256 -256 -1 -0 -0 -55 -0x5aa9 -0xcbff3f5c -256 -256 -1 -1 -0 -71 -0xf2c1 -0x3cf0ad9e -256 -256 -1 -1 -0 -21 -0x8e57 -0xa57415b4 -256 -256 -1 -1 -0 -39 -0xca07 -0xa42ddaac -256 -256 -1 -1 -0 -42 -0xc14f -0xd9ae95db -256 -256 -1 -0 -0 -54 -0xc0fe -0x4a42b21f -256 -256 -0 -0 -0 -89 -0xf235 -0x77561d25 -256 -256 -0 -0 -0 -31 -0x2098 -0xdcb8a301 -256 -256 -1 -1 -0 -33 -0x8967 -0x617ebef1 -256 -256 -0 -0 -0 -31 -0xcf70 -0xf91e2115 -256 -256 -0 -0 -0 -14 -0x9bbb -0x7cc02d3 -256 -256 -1 -0 -0 -40 -0x98bc -0x27da8bf2 -256 -256 -0 -0 -0 -77 -0x5002 -0x8b1fbadb -256 -256 -1 -1 -0 -75 -0xc0e8 -0x92399cf4 -256 -256 -1 -0 -0 -48 -0xdf23 -0xe82e1fa -256 -256 -0 -0 -0 -86 -0x959d -0xdfa43f0b -256 -256 -1 -1 -0 -46 -0xfe50 -0x50b8f82c -256 -256 -0 -0 -0 -92 -0xa51c -0x6719e147 -256 -256 -0 -0 -0 -84 -0x60b2 -0x559c47db -256 -256 -0 -0 -0 -78 -0xe38 -0xb009112e -256 -256 -0 -0 -0 -37 -0xb791 -0x1f02e836 -256 -256 -1 -1 -0 -65 -0x92a8 -0x4be56589 -256 -256 -1 -1 -0 -53 -0x98b6 -0x3b13011e -256 -256 -0 -0 -0 -38 -0x3592 -0x93da6627 -256 -256 -0 -0 -0 -95 -0x4332 -0xb3fbbdb1 -256 -256 -0 -0 -0 -14 -0x38cb -0x4ef6a96f -256 -256 -0 -0 -0 -96 -0xd216 -0xb2702237 -256 -256 -1 -0 -0 -85 -0xd9ba -0xc1ca7623 -256 -256 -1 -1 -0 -13 -0xe4b -0xe058539c -256 -256 -1 -1 -0 -38 -0xb067 -0xaa0e8d0 -256 -256 -0 -0 -0 -23 -0x4ffd -0x7e026769 -256 -256 -0 -0 -0 -54 -0xe100 -0x33261315 -256 -256 -1 -0 -0 -73 -0xe9ab -0xa0a00bbf -256 -256 -0 -0 -0 -60 -0xc752 -0x658861b6 -256 -256 -1 -0 -0 -61 -0xe788 -0xb7dc9980 -256 -256 -1 -1 -0 -47 -0x84b -0xca0da46a -256 -256 -0 -0 -0 -46 -0xbb2 -0x1379100e -256 -256 -1 -0 -0 -55 -0xeba8 -0x1a65274 -256 -256 -0 -0 -0 -39 -0xcecd -0x8b82b687 -256 -256 -0 -0 -0 -96 -0xdbc9 -0xf12d3abc -256 -256 -1 -1 -0 -42 -0xc9d9 -0x7a6d7fc3 -256 -256 -0 -0 -0 -86 -0xe0ce -0x1f5152f -256 -256 -1 -1 -0 -21 -0x485b -0xe99e7656 -256 -256 -0 -0 -0 -27 -0x9ce0 -0xf8a69d9 -256 -256 -0 -0 -0 -48 -0x5cf4 -0x5d639214 -256 -256 -0 -0 -0 -50 -0xb6d3 -0xf7cb19e8 -256 -256 -1 -0 -0 -12 -0xcc67 -0x2af965da -256 -256 -1 -0 -0 -70 -0xf8b6 -0xf3597a9e -256 -256 -0 -0 -0 -94 -0xc845 -0xf1c16fc -256 -256 -0 -0 -0 -64 -0x3969 -0xc9f1c93d -256 -256 -1 -1 -0 -40 -0xb110 -0x2340e15a -256 -256 -0 -0 -0 -37 -0x89f8 -0xead302a4 -256 -256 -1 -1 -0 -63 -0x29a4 -0x9089dc01 -256 -256 -0 -0 -0 -35 -0x625e -0x14f0b83d -256 -256 -1 -1 -0 -82 -0x1c59 -0x75f5cc05 -256 -256 -0 -0 -0 -75 -0x283b -0xbe0efbab -256 -256 -1 -1 -0 -93 -0xf152 -0xcb2e45fb -256 -256 -1 -0 -0 -95 -0x1bc -0x7eb47360 -256 -256 -1 -1 -0 -15 -0xc964 -0x1c627221 -256 -256 -1 -0 -0 -65 -0xeb0d -0x50edc9ab -256 -256 -1 -0 -0 -23 -0x7433 -0x42bacbcf -256 -256 -1 -1 -0 -75 -0xd6d8 -0x24d438c8 -256 -256 -1 -1 -0 -16 -0x8c59 -0x3a7e6cc2 -256 -256 -1 -0 -0 -41 -0xc2b5 -0x1184007c -256 -256 -1 -1 -0 -66 -0x60e -0xc6be3d85 -256 -256 -0 -0 -0 -23 -0x221e -0x519a0a73 -256 -256 -1 -0 -0 -97 -0x549 -0xf1c4fd6f -256 -256 -1 -1 -0 -76 -0x99d5 -0x43278687 -256 -256 -1 -0 -0 -20 -0xb040 -0x67e2d751 -256 -256 -0 -0 -0 -97 -0x57d -0xb49a94eb -256 -256 -1 -1 -0 -50 -0x9861 -0x7e4d7e27 -256 -256 -0 -0 -0 -58 -0xa8af -0xf2a226db -256 -256 -1 -1 -0 -20 -0x903f -0xf0bfd872 -256 -256 -1 -0 -0 -24 -0x3793 -0x4ab5302f -256 -256 -1 -1 -0 -46 -0x55fd -0xf3627c5d -256 -256 -0 -0 -0 -13 -0x576d -0x36a828b8 -256 -256 -0 -0 -0 -93 -0x3587 -0xfd19cb92 -256 -256 -1 -1 -0 -20 -0xbcf4 -0x3dbf2e0f -256 -256 -0 -0 -0 -87 -0x8e8a -0x23dbc4da -256 -256 -0 -0 -0 -83 -0xf1c0 -0xa99eb1d0 -256 -256 -1 -1 -0 -59 -0x9a29 -0xc926a5db -256 -256 -1 -0 -0 -100 -0x62ae -0x4326988b -256 -256 -1 -1 -0 -53 -0x8144 -0x4d1db26e -256 -256 -1 -1 -0 -16 -0xf63c -0x53ba67c0 -256 -256 -1 -1 -0 -22 -0x4d34 -0x4fc1952b -256 -256 -1 -0 -0 -17 -0x4245 -0x837bfb36 -256 -256 -1 -0 -0 -98 -0x9e6d -0xb08d949b -256 -256 -1 -1 -0 -30 -0xe792 -0x6ef40c3d -256 -256 -0 -0 -0 -66 -0xdf83 -0x975b6051 -256 -256 -0 -0 -0 -25 -0x1d00 -0x6059d1eb -256 -256 -0 -0 -0 -59 -0x724 -0x3869f4fa -256 -256 -0 -0 -0 -42 -0xfa0f -0x1efa06e3 -256 -256 -1 -1 -0 -13 -0xf57b -0xb14745eb -256 -256 -1 -1 -0 -50 -0x4708 -0x93ecf525 -256 -256 -1 -0 -0 -61 -0x574a -0xd12771c4 -256 -256 -1 -0 -0 -69 -0x110b -0x81bc11b1 -256 -256 -0 -0 -0 -89 -0xec0a -0xdc1e993f -256 -256 -0 -0 -0 -87 -0x3067 -0xeb1c4ded -256 -256 -1 -1 -0 -60 -0x8d35 -0x89766be2 -256 -256 -0 -0 -0 -29 -0xa8fa -0xeaa8825f -256 -256 -1 -0 -0 -73 -0x33da -0x3afa5019 -256 -256 -0 -0 -0 -74 -0xc14d -0x9bcef56b -256 -256 -0 -0 -0 -52 -0xf9e7 -0x346d9b42 -256 -256 -1 -0 -0 -93 -0xa713 -0x9c38f030 -256 -256 -0 -0 -0 -29 -0x3d15 -0xbf36a09d -256 -256 -1 -1 -0 -94 -0xb961 -0x83eaee06 -256 -256 -1 -1 -0 -54 -0x5cc3 -0xa086a866 -256 -256 -1 -1 -0 -13 -0xa553 -0x4aa7d433 -256 -256 -0 -0 -0 -68 -0x2281 -0xf39569e4 -256 -256 -1 -0 -0 -76 -0x4852 -0xaa73d4d3 -256 -256 -0 -0 -0 -95 -0xcd04 -0x7ea5a21b -256 -256 -0 -0 -0 -46 -0x6350 -0x4dae4207 -256 -256 -0 -0 -0 -88 -0xc709 -0xf22f31ed -256 -256 -0 -0 -0 -83 -0x69c1 -0xbd4c6681 -256 -256 -1 -1 -0 -18 -0x585a -0x79fc6eca -256 -256 -0 -0 -0 -39 -0xa50 -0xe961af79 -256 -256 -1 -0 -0 -54 -0x619d -0xd6f05eca -256 -256 -0 -0 -0 -24 -0xf891 -0xc2ee9025 -256 -256 -0 -0 -0 -81 -0xf0d2 -0x5b6e801 -256 -256 -0 -0 -0 -12 -0xf76e -0xb243ea57 -256 -256 -0 -0 -0 -46 -0xb0a7 -0xb06f9fdd -256 -256 -0 -0 -0 -55 -0xfaef -0x618a7198 -256 -256 -1 -0 -0 -25 -0x7370 -0x19924ca8 -256 -256 -1 -0 -0 -75 -0x70db -0x6217faba -256 -256 -1 -1 -0 -16 -0x9773 -0xebf93aae -256 -256 -0 -0 -0 -12 -0x7c88 -0x89717448 -256 -256 -1 -1 -0 -79 -0xa858 -0xefcfe8b5 -256 -256 -0 -0 -0 -43 -0xab38 -0xca5adb64 -256 -256 -1 -1 -0 -17 -0x3332 -0x271ee840 -256 -256 -1 -1 -0 -43 -0xced9 -0x9b2ea895 -256 -256 -1 -1 -0 -33 -0x33a -0x18495702 -256 -256 -0 -0 -0 -68 -0xb314 -0x68def3d1 -256 -256 -0 -0 -0 -44 -0x1d80 -0x1d7277d5 -256 -256 -0 -0 -0 -67 -0x5c4d -0xb82c4238 -256 -256 -0 -0 -0 -16 -0x6033 -0xb4abadaa -256 -256 -0 -0 -0 -74 -0xe055 -0xe187e2c3 -256 -256 -0 -0 -0 -94 -0xe1df -0x269321c4 -256 -256 -0 -0 -0 -82 -0x3115 -0x9497046b -256 -256 -1 -0 -0 -32 -0x872 -0xc9251022 -256 -256 -1 -1 -0 -20 -0xfb8c -0x13336ea7 -256 -256 -1 -1 -0 -21 -0xdf21 -0x770fcfdb -256 -256 -0 -0 -0 -58 -0x6756 -0x20d5c00a -256 -256 -1 -1 -0 -65 -0x2a89 -0xef03b45a -256 -256 -1 -0 -0 -93 -0xdcfc -0xded1ef0d -256 -256 -0 -0 -0 -11 -0x2143 -0x5b67f2bb -256 -256 -0 -0 -0 -61 -0x807 -0x40f0ec54 -256 -256 -0 -0 -0 -64 -0x2a4a -0x236f192a -256 -256 -1 -1 -0 -91 -0x114f -0xf4db80d0 -256 -256 -1 -0 -0 -57 -0xac1d -0xe46400f4 -256 -256 -1 -1 -0 -21 -0xf7bd -0xb015dd3 -256 -256 -1 -0 -0 -60 -0x3ec8 -0x1a2dea52 -256 -256 -1 -0 -0 -54 -0x64c3 -0xd40d370c -256 -256 -1 -1 -0 -58 -0x15c0 -0x1e39679a -256 -256 -1 -0 -0 -24 -0xfbb8 -0x811e09cf -256 -256 -0 -0 -0 -86 -0x8fad -0x9151fa4f -256 -256 -1 -0 -0 -48 -0xf7f9 -0x838e411a -256 -256 -1 -1 -0 -68 -0x5efb -0x62f520dc -256 -256 -1 -1 -0 -92 -0x6da7 -0x37feaef1 -256 -256 -1 -1 -0 -39 -0xd907 -0x741e8527 -256 -256 -0 -0 -0 -91 -0x342a -0x874d2d41 -256 -256 -0 -0 -0 -94 -0x4281 -0xd09f8935 -256 -256 -1 -0 -0 -84 -0x9c95 -0x9c939506 -256 -256 -1 -1 -0 -24 -0xd550 -0xbcf5869d -256 -256 -1 -0 -0 -74 -0xa242 -0x8883d90d -256 -256 -1 -0 -0 -12 -0x6efc -0x40fdd04 -256 -256 -0 -0 -0 -93 -0xe21d -0xedfcd92f -256 -256 -0 -0 -0 -42 -0xe804 -0x9b689ab3 -256 -256 -1 -0 -0 -85 -0x3e13 -0x74a7e24e -256 -256 -0 -0 -0 -100 -0x1cee -0x13e32650 -256 -256 -1 -1 -0 -36 -0xe743 -0xb13dd19e -256 -256 -1 -1 -0 -40 -0x4af0 -0x37a549d9 -256 -256 -0 -0 -0 -31 -0x3def -0xb5e2c2a9 -256 -256 -1 -1 -0 -62 -0xa0dc -0xc95bb773 -256 -256 -0 -0 -0 -47 -0x40c4 -0xf1aa836 -256 -256 -1 -1 -0 -89 -0x19de -0x84d6465c -256 -256 -0 -0 -0 -86 -0x8ac8 -0x942f0fad -256 -256 -1 -0 -0 -38 -0x9620 -0x72893eec -256 -256 -0 -0 -0 -31 -0x3346 -0xcc58915a -256 -256 -1 -1 -0 -69 -0x983c -0x8756706b -256 -256 -1 -0 -0 -35 -0x38bc -0x38eaa566 -256 -256 -0 -0 -0 -35 -0xc60b -0x88df034 -256 -256 -0 -0 -0 -22 -0xfdc8 -0x9d6ecd61 -256 -256 -0 -0 -0 -81 -0xf7c1 -0xefefc970 -256 -256 -0 -0 -0 -27 -0x38a4 -0x74409844 -256 -256 -0 -0 -0 -73 -0xd5ad -0x227852ca -256 -256 -1 -1 -0 -22 -0x40e -0xed6b90fc -256 -256 -1 -0 -0 -96 -0x17d2 -0xb6616a29 -256 -256 -1 -1 -0 -44 -0xc1bb -0x3574a4ed -256 -256 -1 -1 -0 -15 -0x1cfd -0x8abbcf42 -256 -256 -1 -0 -0 -50 -0xf0f2 -0x50d2106b -256 -256 -0 -0 -0 -13 -0x3467 -0x2e1b6461 -256 -256 -1 -1 -0 -30 -0x9a07 -0xba134621 -256 -256 -1 -0 -0 -26 -0x87e2 -0x4dcc2748 -256 -256 -0 -0 -0 -80 -0x8336 -0x42f19dbf -256 -256 -0 -0 -0 -12 -0x2812 -0x2e43fb34 -256 -256 -1 -1 -0 -40 -0x810a -0xa52f1d21 -256 -256 -0 -0 -0 -81 -0xb229 -0xa63d1cd4 -256 -256 -0 -0 -0 -31 -0x87d3 -0x689f60ca -256 -256 -0 -0 -0 -64 -0x9e62 -0x2d7cd408 -256 -256 -0 -0 -0 -23 -0xb88e -0xb4673f09 -256 -256 -1 -1 -0 -42 -0x55f0 -0xac67f868 -256 -256 -1 -0 -0 -32 -0x4a8a -0xaa80148c -256 -256 -1 -1 -0 -51 -0xf7a5 -0x6d376dcd -256 -256 -0 -0 -0 -46 -0xc3ad -0x4d92b206 -256 -256 -1 -1 -0 -96 -0x577e -0xd2fc76b5 -256 -256 -1 -0 -0 -50 -0xff39 -0xa192379f -256 -256 -1 -0 -0 -19 -0x5bb7 -0x266c2877 -256 -256 -1 -1 -0 -96 -0xd671 -0xfce3547b -256 -256 -0 -0 -0 -71 -0x1330 -0x9d61e329 -256 -256 -0 -0 -0 -27 -0xf866 -0x86f29e54 -256 -256 -1 -0 -0 -17 -0x8a4e -0x6a5c151d -256 -256 -1 -1 -0 -74 -0xedbd -0x3474b097 -256 -256 -0 -0 -0 -11 -0x9775 -0x12a25606 -256 -256 -0 -0 -0 -44 -0x8a0d -0xd6f16644 -256 -256 -0 -0 -0 -68 -0xee82 -0xbe1aaa4f -256 -256 -0 -0 -0 -75 -0xd369 -0x531bf443 -256 -256 -1 -0 -0 -26 -0xf50e -0xcc536a1c -256 -256 -1 -1 -0 -66 -0xf249 -0x1354a342 -256 -256 -0 -0 -0 -79 -0xee6c -0x97c13277 -256 -256 -0 -0 -0 -41 -0xb9c0 -0x20486e7d -256 -256 -1 -1 -0 -28 -0x6c8a -0xaa1d07f5 -256 -256 -1 -0 -0 -44 -0x766e -0xa23e9ec6 -256 -256 -1 -1 -0 -91 -0x2632 -0xd3e3deae -256 -256 -0 -0 -0 -77 -0x8103 -0x4044c3e9 -256 -256 -0 -0 -0 -49 -0x9aa4 -0xa52cf410 -256 -256 -0 -0 -0 -21 -0xacde -0x34d7e8d1 -256 -256 -1 -1 -0 -99 -0x5d7d -0xdea908e3 -256 -256 -1 -0 -0 -83 -0xaabe -0xade629a3 -256 -256 -0 -0 -0 -56 -0xfca2 -0x8c21b27d -256 -256 -1 -0 -0 -99 -0xd558 -0x332487fe -256 -256 -0 -0 -0 -73 -0x30a4 -0x3a38543a -256 -256 -0 -0 -0 -28 -0xd84b -0xb3bdf8c8 -256 -256 -1 -0 -0 -57 -0x4f1b -0x2959d6 -256 -256 -0 -0 -0 -66 -0xdd53 -0x9c15d90e -256 -256 -1 -1 -0 -80 -0x3acc -0xaca8a135 -256 -256 -0 -0 -0 -31 -0x6b48 -0x4df13651 -256 -256 -0 -0 -0 -14 -0x26fc -0xdcdca4c9 -256 -256 -0 -0 -0 -75 -0xf35d -0xd62564ec -256 -256 -1 -0 -0 -25 -0x3a57 -0x66067ecd -256 -256 -1 -1 -0 -91 -0xa1af -0x9d3b800e -256 -256 -0 -0 -0 -76 -0xa135 -0xa5f1260e -256 -256 -1 -1 -0 -22 -0xba48 -0x6f49bcc1 -256 -256 -0 -0 -0 -80 -0xc63f -0xdc4f8865 -256 -256 -0 -0 -0 -27 -0xc4bf -0xbab9b857 -256 -256 -1 -1 -0 -22 -0xbabc -0xcbad63ca -256 -256 -0 -0 -0 -18 -0xc5eb -0x6c899da7 -256 -256 -1 -1 -0 -32 -0xeb3d -0x569b1c08 -256 -256 -1 -0 -0 -47 -0x6812 -0x6bef6909 -256 -256 -1 -1 -0 -74 -0x8e51 -0xb438dc8b -256 -256 -0 -0 -0 -94 -0xa242 -0xf324e929 -256 -256 -0 -0 -0 -71 -0x269a -0x824614be -256 -256 -1 -0 -0 -36 -0x504d -0xae82012d -256 -256 -1 -0 -0 -46 -0xd20b -0x698cd2c3 -256 -256 -1 -0 -0 -49 -0x612d -0xfacc5673 -256 -256 -1 -0 -0 -22 -0x2a22 -0x73daf889 -256 -256 -1 -0 -0 -24 -0x5ef5 -0x3819c0f8 -256 -256 -1 -0 -0 -89 -0xa692 -0x535687d8 -256 -256 -1 -0 -0 -15 -0x39b9 -0x244def7c -256 -256 -1 -1 -0 -61 -0xf9df -0x272ca755 -256 -256 -0 -0 -0 -65 -0x8450 -0x35ff97c1 -256 -256 -0 -0 -0 -81 -0x4fc8 -0x66779821 -256 -256 -1 -1 -0 -67 -0x867d -0xdf69231c -256 -256 -1 -1 -0 -56 -0xdaa3 -0x5a5b63a0 -256 -256 -0 -0 -0 -78 -0xc3cb -0x728640c6 -256 -256 -1 -0 -0 -57 -0xbf7f -0x1382ef08 -256 -256 -0 -0 -0 -88 -0x5b6 -0xc50981f -256 -256 -0 -0 -0 -87 -0x4b60 -0x83ea8d4 -256 -256 -0 -0 -0 -98 -0xb4f5 -0x2f852174 -256 -256 -0 -0 -0 -63 -0x3885 -0xbd42c66 -256 -256 -0 -0 -0 -37 -0xe130 -0x795d702a -256 -256 -1 -1 -0 -59 -0xbd7a -0x2c1e5518 -256 -256 -1 -1 -0 -14 -0x55d7 -0x9f39d27e -256 -256 -0 -0 -0 -17 -0xec3b -0x11ce408e -256 -256 -1 -0 -0 -10 -0x8c9a -0xafb4534c -256 -256 -0 -0 -0 -27 -0xde40 -0x2d014c32 -256 -256 -1 -0 -0 -98 -0x3a92 -0x5dbb04d8 -256 -256 -1 -0 -0 -58 -0x52d1 -0x47deaecb -256 -256 -0 -0 -0 -45 -0x1bf6 -0xe37c3d32 -256 -256 -0 -0 -0 -59 -0x3f86 -0xa208738e -256 -256 -1 -1 -0 -27 -0x3d6a -0x1bc484d3 -256 -256 -0 -0 -0 -91 -0xbfe3 -0x6356757b -256 -256 -1 -1 -0 -66 -0xecde -0xa2cef100 -256 -256 -0 -0 -0 -40 -0x62a2 -0xca38deb2 -256 -256 -1 -1 -0 -74 -0xc777 -0x27140d79 -256 -256 -1 -0 -0 -18 -0x5b0f -0xd718c1c -256 -256 -1 -1 -0 -26 -0x89c9 -0xb4a81913 -256 -256 -1 -1 -0 -50 -0x4717 -0x7c09c801 -256 -256 -0 -0 -0 -13 -0x57db -0x1181c891 -256 -256 -0 -0 -0 -53 -0x2bef -0x42e03fa6 -256 -256 -0 -0 -0 -25 -0xeb76 -0x8c426227 -256 -256 -0 -0 -0 -90 -0x2d5a -0x911958f2 -256 -256 -1 -1 -0 -23 -0xccd4 -0x823a00a8 -256 -256 -1 -1 -0 -48 -0xb83f -0xf11be7c3 -256 -256 -1 -1 -0 -63 -0xc277 -0x6ffd34e2 -256 -256 -1 -1 -0 -51 -0x32d8 -0x1544bca6 -256 -256 -0 -0 -0 -94 -0x1b89 -0x87c44259 -256 -256 -0 -0 -0 -88 -0x780a -0x90dc4c85 -256 -256 -0 -0 -0 -43 -0xac68 -0x3906402 -256 -256 -1 -1 -0 -94 -0x4165 -0xc7746711 -256 -256 -1 -0 -0 -51 -0x8596 -0x30b8101f -256 -256 -0 -0 -0 -80 -0xc404 -0x144fe2aa -256 -256 -0 -0 -0 -74 -0x1fe -0xd18a226f -256 -256 -0 -0 -0 -88 -0xf3dd -0xa2aff20 -256 -256 -1 -1 -0 -92 -0x8c3e -0xd9159e3 -256 -256 -0 -0 -0 -29 -0x56b2 -0x4f048932 -256 -256 -0 -0 -0 -25 -0x54e5 -0xa735e1f -256 -256 -0 -0 -0 -50 -0x1e54 -0x3496cf96 -256 -256 -1 -1 -0 -85 -0x9d1e -0xfb1ec657 -256 -256 -1 -1 -0 -42 -0xd5cd -0xe00c1ce1 -256 -256 -1 -1 -0 -52 -0x1c39 -0x3b9b7c61 -256 -256 -1 -1 -0 -98 -0x5378 -0xb338c72d -256 -256 -1 -0 -0 -12 -0x5631 -0xb12e604b -256 -256 -0 -0 -0 -69 -0xd4d8 -0xef1bb231 -256 -256 -0 -0 -0 -99 -0xb1c2 -0x717a91 -256 -256 -1 -1 -0 -54 -0x4173 -0x974df3fc -256 -256 -1 -0 -0 -39 -0x2932 -0x4bec0568 -256 -256 -1 -1 -0 -34 -0x74e3 -0x8555aed1 -256 -256 -0 -0 -0 -79 -0x26 -0x4fc1e3de -256 -256 -1 -1 -0 -74 -0xc985 -0x6e3eb9f7 -256 -256 -0 -0 -0 -26 -0x783a -0x1b313f93 -256 -256 -0 -0 -0 -66 -0xff44 -0xdba0ff87 -256 -256 -0 -0 -0 -55 -0x3876 -0x7317e6e4 -256 -256 -1 -1 -0 -88 -0x9aac -0x6b02984 -256 -256 -0 -0 -0 -100 -0xcf9d -0xaa77e34b -256 -256 -0 -0 -0 -90 -0x5bcf -0xb47e62ae -256 -256 -1 -0 -0 -57 -0xea92 -0xb399a445 -256 -256 -0 -0 -0 -58 -0x766 -0xaa03150a -256 -256 -0 -0 -0 -34 -0xab93 -0x999a37b1 -256 -256 -0 -0 -0 -40 -0x3a03 -0x597a2ff8 -256 -256 -1 -0 -0 -97 -0xf50d -0xc063744a -256 -256 -1 -0 -0 -69 -0x717e -0x7a1cc812 -256 -256 -0 -0 -0 -75 -0x3218 -0x91ae4d4f -256 -256 -1 -1 -0 -100 -0xf146 -0x5d564368 -256 -256 -0 -0 -0 -90 -0x637 -0x3ff49b3c -256 -256 -0 -0 -0 -22 -0x1bb8 -0x4f678104 -256 -256 -1 -1 -0 -10 -0xcc13 -0x4c175886 -256 -256 -1 -1 -0 -82 -0xa40a -0x15abcf14 -256 -256 -0 -0 -0 -96 -0x2cca -0x34c06400 -256 -256 -1 -1 -0 -22 -0x844d -0x7dcfbf7d -256 -256 -1 -0 -0 -15 -0xcb1c -0x32f09e32 -256 -256 -0 -0 -0 -73 -0x3c2c -0x52b695d2 -256 -256 -0 -0 -0 -10 -0xafb7 -0x272640dd -256 -256 -1 -1 -0 -100 -0x97c1 -0xe1ebbde9 -256 -256 -0 -0 -0 -47 -0xa55e -0x3b382ec7 -256 -256 -1 -1 -0 -15 -0x25a4 -0x9fdc9ca8 -256 -256 -1 -1 -0 -64 -0x9ff -0xb313fc2 -256 -256 -1 -0 -0 -44 -0x9ef7 -0x4cf38c64 -256 -256 -1 -1 -0 -90 -0x5dec -0x1f1de329 -256 -256 -0 -0 -0 -44 -0x5486 -0xa27c7eb3 -256 -256 -1 -1 -0 -27 -0x5068 -0xd4f3bb3e -256 -256 -0 -0 -0 -49 -0x5080 -0xf5ad0410 -256 -256 -0 -0 -0 -81 -0xd485 -0x785521aa -256 -256 -1 -1 -0 -99 -0x782e -0xdddc89c6 -256 -256 -0 -0 -0 -38 -0x7c6e -0x5b05b885 -256 -256 -0 -0 -0 -99 -0xa695 -0xcd0a38cb -256 -256 -1 -1 -0 -57 -0xcffd -0xb23495c6 -256 -256 -1 -1 -0 -39 -0x18 -0x3583f93c -256 -256 -1 -1 -0 -22 -0xc3a3 -0x7d834c0 -256 -256 -1 -1 -0 -59 -0x390a -0xeda226a0 -256 -256 -1 -1 -0 -83 -0x29c3 -0x9b4e2ea3 -256 -256 -0 -0 -0 -37 -0x98cd -0xa5d9ed51 -256 -256 -0 -0 -0 -63 -0x4a37 -0x6f69d564 -256 -256 -1 -0 -0 -35 -0x7138 -0xc5051cef -256 -256 -1 -1 -0 -60 -0x692 -0xd469edf6 -256 -256 -1 -1 -0 -24 -0xe7ff -0x26dc10c7 -256 -256 -1 -1 -0 -93 -0x8621 -0x3932aa03 -256 -256 -1 -0 -0 -95 -0x5b98 -0x86b5fa57 -256 -256 -1 -1 -0 -15 -0xcf9c -0xa822a2c8 -256 -256 -1 -1 -0 -89 -0x1c96 -0x3d425816 -256 -256 -0 -0 -0 -11 -0x54f -0x46406653 -256 -256 -0 -0 -0 -24 -0xf56 -0x819c68d0 -256 -256 -1 -1 -0 -13 -0xfde1 -0x473e99ee -256 -256 -0 -0 -0 -53 -0x8cde -0x706e7217 -256 -256 -0 -0 -0 -98 -0x5700 -0x87da324f -256 -256 -0 -0 -0 -93 -0x24e2 -0x42f92f3b -256 -256 -1 -0 -0 -49 -0x8295 -0x506ca820 -256 -256 -0 -0 -0 -67 -0xee7f -0x8f0ae4f8 -256 -256 -0 -0 -0 -17 -0xf9dd -0x46df71ed -256 -256 -1 -1 -0 -82 -0x2cd9 -0x3f45d39 -256 -256 -1 -1 -0 -70 -0xa797 -0x9ea1ec4d -256 -256 -0 -0 -0 -88 -0x9639 -0xde077575 -256 -256 -1 -0 -0 -51 -0xb6f4 -0x54839683 -256 -256 -0 -0 -0 -99 -0x32a9 -0x9d7fd8 -256 -256 -0 -0 -0 -89 -0xf00d -0x51418dd -256 -256 -1 -0 -0 -96 -0x52bb -0xe0c76a0f -256 -256 -1 -1 -0 -24 -0xdd5d -0x23349a3 -256 -256 -0 -0 -0 -39 -0xd920 -0x9b9564db -256 -256 -0 -0 -0 -53 -0x90cf -0xe61899f8 -256 -256 -0 -0 -0 -94 -0xec97 -0x46480ec2 -256 -256 -1 -0 -0 -30 -0xdd7a -0x102c49ad -256 -256 -0 -0 -0 -92 -0x1d55 -0xef1c77ab -256 -256 -0 -0 -0 -21 -0x6400 -0x7db8765 -256 -256 -1 -1 -0 -33 -0x55d6 -0x760a2786 -256 -256 -0 -0 -0 -79 -0xae0f -0xeaab6a35 -256 -256 -0 -0 -0 -31 -0x9fd7 -0x134443c4 -256 -256 -1 -0 -0 -10 -0xcc02 -0x586de819 -256 -256 -1 -1 -0 -39 -0x6499 -0x87409d47 -256 -256 -1 -1 -0 -17 -0xc9ab -0x7f4ec12d -256 -256 -1 -0 -0 -12 -0x59f0 -0x7239faee -256 -256 -1 -1 -0 -63 -0x502e -0x349e0f62 -256 -256 -0 -0 -0 -34 -0x8486 -0x5c395c8e -256 -256 -0 -0 -0 -82 -0xb7c4 -0xd2ed2173 -256 -256 -0 -0 -0 -82 -0xaf52 -0x513678ca -256 -256 -1 -0 -0 -25 -0xf395 -0x5edf99ed -256 -256 -0 -0 -0 -81 -0xe803 -0x67c6fdad -256 -256 -0 -0 -0 -27 -0x5a1c -0x3e7a41bd -256 -256 -0 -0 -0 -96 -0x760f -0xb32dd9b3 -256 -256 -1 -0 -0 -72 -0xa542 -0xfde87df4 -256 -256 -0 -0 -0 -11 -0x2786 -0x60f380c6 -256 -256 -0 -0 -0 -68 -0x73aa -0x36473d -256 -256 -0 -0 -0 -98 -0x7d77 -0xc91e7dc1 -256 -256 -1 -0 -0 -50 -0xefab -0xca1b6ac1 -256 -256 -0 -0 -0 -64 -0x7aae -0x9aa4c1e4 -256 -256 -0 -0 -0 -92 -0x77e2 -0x8437cef4 -256 -256 -1 -1 -0 -66 -0x84b5 -0xb2644c4a -256 -256 -1 -0 -0 -17 -0x184e -0x490181e3 -256 -256 -1 -0 -0 -98 -0x204c -0x950b13a0 -256 -256 -0 -0 -0 -26 -0xd62f -0xe6ab28c0 -256 -256 -0 -0 -0 -47 -0xca46 -0xb8faf067 -256 -256 -1 -1 -0 -73 -0x937b -0x9d1f40a -256 -256 -1 -0 -0 -77 -0x7a27 -0x45f1dfce -256 -256 -1 -0 -0 -83 -0x96ea -0xd7051e3b -256 -256 -1 -0 -0 -32 -0x9564 -0x73e508d2 -256 -256 -1 -1 -0 -23 -0xcb5e -0xa057c10 -256 -256 -1 -1 -0 -77 -0x8719 -0x41e0713a -256 -256 -1 -1 -0 -90 -0x2baa -0xfb866d09 -256 -256 -1 -0 -0 -34 -0x7352 -0x2c3ebd88 -256 -256 -1 -1 -0 -22 -0x1ab7 -0xb26ec9ac -256 -256 -1 -1 -0 -30 -0xc533 -0x2993e72f -256 -256 -0 -0 -0 -46 -0xd025 -0x28b41588 -256 -256 -0 -0 -0 -78 -0x9a42 -0xef13c17c -256 -256 -1 -0 -0 -16 -0xba6c -0x5101e63 -256 -256 -0 -0 -0 -39 -0xc7f4 -0x9eac81b6 -256 -256 -1 -1 -0 -17 -0x610 -0x14e7d253 -256 -256 -1 -1 -0 -72 -0x78ec -0x416be328 -256 -256 -1 -0 -0 -67 -0x41c3 -0xa1f51621 -256 -256 -0 -0 -0 -77 -0xa6c9 -0x12323401 -256 -256 -1 -0 -0 -47 -0xc955 -0xcaf9c90 -256 -256 -0 -0 -0 -15 -0x46c1 -0xb7babe78 -256 -256 -1 -0 -0 -82 -0xe55 -0xa7c6d2b7 -256 -256 -1 -0 -0 -96 -0xdc5c -0xe9425d20 -256 -256 -1 -1 -0 -51 -0xffb -0x21d27f44 -256 -256 -1 -1 -0 -24 -0x8045 -0x2a62b1cc -256 -256 -0 -0 -0 -95 -0x2e53 -0x5501f247 -256 -256 -0 -0 -0 -28 -0x1015 -0x22c1e568 -256 -256 -1 -0 -0 -38 -0x556e -0xf9751128 -256 -256 -0 -0 -0 -82 -0xe793 -0x44ec1530 -256 -256 -0 -0 -0 -19 -0xd982 -0xedd4418 -256 -256 -0 -0 -0 -64 -0xcf8 -0x5f2599cc -256 -256 -1 -1 -0 -13 -0x8894 -0xd9463186 -256 -256 -0 -0 -0 -33 -0x2211 -0xadc3dea8 -256 -256 -1 -0 -0 -40 -0xe565 -0xd81d14af -256 -256 -0 -0 -0 -18 -0xf95a -0xe940ccd9 -256 -256 -0 -0 -0 -75 -0xdb09 -0xc6ca6cc3 -256 -256 -1 -1 -0 -99 -0xe647 -0x4b3a51d6 -256 -256 -1 -1 -0 -40 -0x5653 -0xa962e4a4 -256 -256 -0 -0 -0 -30 -0x942f -0xa45111b0 -256 -256 -1 -0 -0 -76 -0xf159 -0x899b4c0e -256 -256 -0 -0 -0 -47 -0xf474 -0xbbcf0723 -256 -256 -1 -1 -0 -10 -0xc900 -0x26bad726 -256 -256 -1 -1 -0 -48 -0xd07f -0x638ab6c0 -256 -256 -0 -0 -0 -88 -0x5b0e -0x471e0fb2 -256 -256 -0 -0 -0 -99 -0xb18d -0xe86c9d30 -256 -256 -1 -1 -0 -38 -0x2b38 -0x8477f3e9 -256 -256 -0 -0 -0 -37 -0x751d -0xa476c35b -256 -256 -0 -0 -0 -65 -0xc428 -0xe10e62c8 -256 -256 -1 -1 -0 -77 -0xbb0 -0x5c1b23cf -256 -256 -1 -0 -0 -89 -0x8f24 -0xf2b5a1b2 -256 -256 -1 -1 -0 -87 -0x6ee3 -0xe2b6685f -256 -256 -1 -0 -0 -21 -0xf8ac -0xfe968e44 -256 -256 -1 -1 -0 -22 -0x592 -0xbb71a861 -256 -256 -1 -1 -0 -44 -0xeeb8 -0xdd637d0e -256 -256 -0 -0 -0 -82 -0xa5 -0xd502ae7e -256 -256 -0 -0 -0 -43 -0x6139 -0xc8206e16 -256 -256 -0 -0 -0 -53 -0xa672 -0x3bc299f1 -256 -256 -0 -0 -0 -50 -0xdcc1 -0xc006a3c7 -256 -256 -0 -0 -0 -33 -0xe861 -0x5492db95 -256 -256 -1 -0 -0 -75 -0x4d96 -0x114b84b8 -256 -256 -1 -0 -0 -38 -0xf6ff -0xaea8702c -256 -256 -1 -0 -0 -41 -0x3214 -0xebacf274 -256 -256 -0 -0 -0 -26 -0x144c -0x341a1a19 -256 -256 -0 -0 -0 -28 -0xf9a5 -0x8ace5105 -256 -256 -1 -0 -0 -83 -0x4749 -0xbdd4de71 -256 -256 -1 -1 -0 -64 -0xee9 -0x55c848c9 -256 -256 -1 -0 -0 -65 -0x9373 -0xb96406e9 -256 -256 -0 -0 -0 -81 -0x4dc2 -0x78e8ae37 -256 -256 -0 -0 -0 -76 -0x1646 -0x68e40a76 -256 -256 -1 -1 -0 -69 -0x376b -0x51226d7a -256 -256 -0 -0 -0 -76 -0x48e6 -0x40ba267d -256 -256 -1 -1 -0 -42 -0xc196 -0xf3fb5620 -256 -256 -0 -0 -0 -74 -0x6d45 -0x6c509e70 -256 -256 -1 -1 -0 -84 -0xdaf0 -0xca26e119 -256 -256 -1 -1 -0 -20 -0xd622 -0xab64b5f8 -256 -256 -1 -1 -0 -25 -0x2abc -0x580653eb -256 -256 -0 -0 -0 -50 -0x936d -0x8b0b7ddd -256 -256 -0 -0 -0 -56 -0x6929 -0xfc201002 -256 -256 -1 -0 -0 -48 -0xb969 -0xaa095feb -256 -256 -0 -0 -0 -10 -0x2e74 -0x9d3bbf06 -256 -256 -1 -1 -0 -13 -0xeac5 -0x25fb024 -256 -256 -0 -0 -0 -26 -0xf92e -0x506fdae3 -256 -256 -1 -1 -0 -18 -0xeed3 -0xd56d872c -256 -256 -1 -1 -0 -52 -0x2588 -0xe5d31c0e -256 -256 -0 -0 -0 -71 -0xfee1 -0xb87c386f -256 -256 -0 -0 -0 -11 -0xbced -0xc9bea171 -256 -256 -1 -0 -0 -55 -0xa88a -0x8b8882a -256 -256 -1 -0 -0 -12 -0xfb5e -0x54092d45 -256 -256 -1 -1 -0 -69 -0x3ce3 -0xeb7101f2 -256 -256 -0 -0 -0 -19 -0xcb6e -0x39152171 -256 -256 -1 -0 -0 -79 -0xd153 -0xec01270e -256 -256 -0 -0 -0 -32 -0xce0 -0x2760b261 -256 -256 -1 -1 -0 -80 -0x707c -0x32440a41 -256 -256 -1 -0 -0 -17 -0x90cc -0x93189e67 -256 -256 -1 -0 -0 -74 -0xfcf6 -0xf605a2cf -256 -256 -1 -1 -0 -79 -0xe466 -0xec268577 -256 -256 -0 -0 -0 -33 -0xd148 -0x722dcee2 -256 -256 -1 -0 -0 -35 -0x8858 -0x3bd4414 -256 -256 -0 -0 -0 -97 -0x903f -0x52d49c64 -256 -256 -1 -1 -0 -87 -0x844f -0xad8a46d4 -256 -256 -0 -0 -0 -68 -0x6784 -0xfe266ff3 -256 -256 -1 -0 -0 -59 -0x5545 -0xf6804590 -256 -256 -0 -0 -0 -11 -0x813a -0xed3b14b6 -256 -256 -1 -1 -0 -28 -0x657d -0x42604a94 -256 -256 -0 -0 -0 -81 -0x3dc6 -0x8ec68f2c -256 -256 -1 -1 -0 -99 -0xe720 -0xbc8070fa -256 -256 -0 -0 -0 -55 -0x3b81 -0x3bfe5b07 -256 -256 -0 -0 -0 -15 -0xdd9d -0xe92229ff -256 -256 -0 -0 -0 -14 -0x9475 -0xe367f2e6 -256 -256 -1 -0 -0 -86 -0x884f -0xd5fbe701 -256 -256 -1 -1 -0 -45 -0x7345 -0xd5b5e9cc -256 -256 -1 -0 -0 -37 -0xad9a -0x6065d204 -256 -256 -0 -0 -0 -33 -0x9a54 -0x97c864ee -256 -256 -0 -0 -0 -95 -0xdc27 -0x5d9e822d -256 -256 -0 -0 -0 -17 -0x2110 -0xb6cba2f2 -256 -256 -0 -0 -0 -48 -0x574e -0xac648a9d -256 -256 -1 -1 -0 -14 -0xbdd8 -0xd70d1068 -256 -256 -0 -0 -0 -47 -0x716 -0x5dcc5a50 -256 -256 -0 -0 -0 -35 -0xa4ac -0xb070b322 -256 -256 -0 -0 -0 -49 -0xf07b -0x59dc71d -256 -256 -0 -0 -0 -44 -0x7680 -0xdec94958 -256 -256 -1 -1 -0 -47 -0xe97d -0x3ff2cfca -256 -256 -0 -0 -0 -77 -0xdfae -0xd43b3ec8 -256 -256 -0 -0 -0 -48 -0x3d46 -0xf9f0b4d1 -256 -256 -1 -0 -0 -80 -0x7243 -0x60b97798 -256 -256 -1 -0 -0 -51 -0x1903 -0x6bb5f50b -256 -256 -1 -1 -0 -36 -0xdbc6 -0xcc8e9b3 -256 -256 -0 -0 -0 -52 -0x2aa9 -0x1bd4f140 -256 -256 -0 -0 -0 -91 -0xe678 -0xc918099e -256 -256 -1 -1 -0 -35 -0x51c6 -0xe0fc3aa2 -256 -256 -1 -0 -0 -85 -0x998f -0x80fbac8a -256 -256 -0 -0 -0 -62 -0x27db -0x4afea5da -256 -256 -1 -0 -0 -56 -0xc73 -0x9f56460f -256 -256 -0 -0 -0 -65 -0xe30b -0x7da15d6c -256 -256 -1 -0 -0 -11 -0x5d14 -0x6ede09d3 -256 -256 -0 -0 -0 -30 -0x5b1 -0x2b6bd6f9 -256 -256 -1 -1 -0 -77 -0x8587 -0x3027aba -256 -256 -1 -1 -0 -68 -0x2f76 -0xe0535d28 -256 -256 -0 -0 -0 -98 -0x8be9 -0x336ac247 -256 -256 -0 -0 -0 -10 -0xa336 -0x6a3a9f10 -256 -256 -1 -0 -0 -31 -0x5e4e -0x997d70fc -256 -256 -0 -0 -0 -40 -0x5b8c -0x1f61bc75 -256 -256 -0 -0 -0 -89 -0x1026 -0xac384508 -256 -256 -1 -0 -0 -87 -0xe33a -0x7da4eb93 -256 -256 -1 -0 -0 -66 -0x8128 -0xab07273f -256 -256 -0 -0 -0 -49 -0x8c7d -0xd1350536 -256 -256 -1 -0 -0 -95 -0xb65e -0x3d3d9316 -256 -256 -0 -0 -0 -84 -0xbfb5 -0xdc191b65 -256 -256 -0 -0 -0 -25 -0x974e -0xede045a8 -256 -256 -0 -0 -0 -87 -0x340b -0x8152d41c -256 -256 -1 -0 -0 -10 -0xf4d -0xf61df5f6 -256 -256 -1 -0 -0 -74 -0xfe35 -0x6d18cdf5 -256 -256 -1 -0 -0 -12 -0x8044 -0xaa663d13 -256 -256 -0 -0 -0 -93 -0x7154 -0x6ee618ee -256 -256 -1 -1 -0 -70 -0x7fea -0x65fc9965 -256 -256 -1 -0 -0 -31 -0x4958 -0xe75e9e6a -256 -256 -1 -1 -0 -23 -0xf248 -0xe1fa497a -256 -256 -1 -0 -0 -11 -0x7973 -0x2935cd66 -256 -256 -1 -1 -0 -73 -0x2478 -0xe968f9c4 -256 -256 -0 -0 -0 -20 -0xecee -0x4852e6ef -256 -256 -0 -0 -0 -64 -0x70f5 -0xd2544c27 -256 -256 -1 -1 -0 -21 -0x755d -0x477fddc1 -256 -256 -1 -1 -0 -57 -0x2b33 -0x5b00cbcb -256 -256 -0 -0 -0 -84 -0x1363 -0xfb40e5ad -256 -256 -0 -0 -0 -39 -0x3103 -0x85117732 -256 -256 -0 -0 -0 -96 -0xe3da -0x3dc1ccf7 -256 -256 -1 -0 -0 -34 -0xd388 -0xfb269005 -256 -256 -0 -0 -0 -60 -0xc018 -0x8fe86e24 -256 -256 -1 -0 -0 -19 -0xf72 -0xe1f914db -256 -256 -0 -0 -0 -29 -0xfbf9 -0x11edc544 -256 -256 -0 -0 -0 -99 -0x51f -0x82400550 -256 -256 -0 -0 -0 -31 -0x641e -0xfc348a89 -256 -256 -0 -0 -0 -34 -0xc88d -0x3a2c33b6 -256 -256 -1 -1 -0 -27 -0x2421 -0x43c60e60 -256 -256 -1 -1 -0 -20 -0xf51 -0x6cce7ab9 -256 -256 -0 -0 -0 -22 -0xd18b -0x2a7dec3b -256 -256 -1 -0 -0 -90 -0x254c -0xcab44f9e -256 -256 -1 -0 -0 -34 -0xdb9c -0xd23e45d6 -256 -256 -1 -1 -0 -62 -0x8ead -0xdfac3428 -256 -256 -0 -0 -0 -60 -0x419d -0x9c4ed245 -256 -256 -0 -0 -0 -83 -0x5a4a -0x45119b64 -256 -256 -1 -0 -0 -25 -0x6383 -0x6bc7f432 -256 -256 -1 -1 -0 -74 -0x7ce5 -0x63374893 -256 -256 -0 -0 -0 -74 -0xb9a -0xf133de6f -256 -256 -1 -0 -0 -61 -0x33da -0x8aa5c25 -256 -256 -0 -0 -0 -81 -0xe989 -0x690ae4b5 -256 -256 -0 -0 -0 -32 -0x49e0 -0x1be75b49 -256 -256 -1 -0 -0 -23 -0x4794 -0x28b3c2b2 -256 -256 -1 -0 -0 -17 -0xd716 -0xc81c0ef0 -256 -256 -0 -0 -0 -23 -0x4f40 -0xff03b434 -256 -256 -0 -0 -0 -25 -0x8884 -0xa66a4455 -256 -256 -1 -1 -0 -30 -0xfe61 -0xa9f63774 -256 -256 -0 -0 -0 -23 -0x5c4 -0x176b6ecc -256 -256 -0 -0 -0 -78 -0xf690 -0x587395fa -256 -256 -0 -0 -0 -77 -0x4e38 -0x9debfff8 -256 -256 -1 -1 -0 -20 -0x5360 -0xb0da51d3 -256 -256 -0 -0 -0 -10 -0x65b8 -0x8dc703cf -256 -256 -1 -1 -0 -30 -0x3d71 -0x7ebe69aa -256 -256 -0 -0 -0 -14 -0xa7bd -0xfbc5b842 -256 -256 -0 -0 -0 -29 -0xfe92 -0x857f404d -256 -256 -0 -0 -0 -78 -0x2a8a -0x22936da5 -256 -256 -1 -0 -0 -20 -0xe054 -0xa81d8f8b -256 -256 -1 -0 -0 -69 -0x1d88 -0xee3ade52 -256 -256 -1 -1 -0 -63 -0xe93b -0x344551cc -256 -256 -1 -0 -0 -44 -0x4519 -0xe4558a9e -256 -256 -1 -1 -0 -54 -0x369b -0x5edf5bce -256 -256 -1 -0 -0 -70 -0x9aa -0xc25b467d -256 -256 -1 -1 -0 -60 -0x8c59 -0x1298ca0 -256 -256 -0 -0 -0 -65 -0xb4f5 -0x56cdb6ec -256 -256 -0 -0 -0 -18 -0xf85a -0x31baf54c -256 -256 -1 -1 -0 -51 -0x8515 -0x1527a287 -256 -256 -0 -0 -0 -47 -0xce7 -0x10e1ea01 -256 -256 -1 -0 -0 -50 -0xd152 -0x5beab8fe -256 -256 -0 -0 -0 -97 -0xcfeb -0x3839e305 -256 -256 -0 -0 -0 -36 -0x60d5 -0xd355b4 -256 -256 -0 -0 -0 -13 -0x5dfd -0x5c353cb9 -256 -256 -0 -0 -0 -17 -0xb00d -0x962751e7 -256 -256 -1 -1 -0 -44 -0xebe9 -0x6b302afe -256 -256 -1 -0 -0 -87 -0x6836 -0xd20d35b5 -256 -256 -1 -1 -0 -16 -0x24be -0x19048003 -256 -256 -0 -0 -0 -29 -0xf9a3 -0x5c41dc61 -256 -256 -1 -0 -0 -48 -0x6354 -0x1ee4bc27 -256 -256 -1 -0 -0 -86 -0x2eb8 -0x6830e7d6 -256 -256 -1 -0 -0 -57 -0xabcb -0x2926ae60 -256 -256 -0 -0 -0 -92 -0xa82a -0x5e9f7948 -256 -256 -1 -1 -0 -17 -0x4455 -0x61e3f604 -256 -256 -1 -0 -0 -32 -0x6e2 -0xb819a401 -256 -256 -1 -1 -0 -82 -0x2e40 -0xea20a131 -256 -256 -1 -1 -0 -67 -0xfd50 -0xf27c827 -256 -256 -0 -0 -0 -100 -0x40a1 -0x1964f83e -256 -256 -0 -0 -0 -29 -0x27f8 -0x456649bf -256 -256 -1 -1 -0 -23 -0xe1e3 -0x9b6de2bf -256 -256 -1 -1 -0 -35 -0x9527 -0x5a0c118c -256 -256 -0 -0 -0 -39 -0x1bac -0xed742bfb -256 -256 -1 -0 -0 -80 -0xebb8 -0x6862380 -256 -256 -0 -0 -0 -11 -0x890a -0x9933de87 -256 -256 -1 -1 -0 -61 -0x239a -0x1c26857 -256 -256 -0 -0 -0 -49 -0x817b -0xc498afbc -256 -256 -1 -1 -0 -33 -0xae4e -0xa1cf67b1 -256 -256 -0 -0 -0 -14 -0x5c4a -0xbb9179d6 -256 -256 -1 -1 -0 -64 -0xf17b -0xced7c8f2 -256 -256 -1 -1 -0 -99 -0xe1ad -0x70b11feb -256 -256 -0 -0 -0 -89 -0xf4b2 -0xede4a3c2 -256 -256 -0 -0 -0 -93 -0x69b6 -0x272c2890 -256 -256 -1 -0 -0 -25 -0x4917 -0x7778cc5d -256 -256 -0 -0 -0 -44 -0xd7f8 -0x3e92c105 -256 -256 -0 -0 -0 -81 -0x3f0e -0x6ead2945 -256 -256 -0 -0 -0 -34 -0xc6be -0x5f0e8a81 -256 -256 -0 -0 -0 -77 -0x20cb -0xe69bfcd -256 -256 -1 -0 -0 -43 -0x8015 -0x862f686e -256 -256 -1 -0 -0 -22 -0x26fa -0x9a27e82d -256 -256 -1 -0 -0 -96 -0xfae4 -0x6f661514 -256 -256 -0 -0 -0 -30 -0x1d79 -0xa04d9196 -256 -256 -0 -0 -0 -14 -0xfbbe -0xe8a710c -256 -256 -1 -0 -0 -77 -0xc37b -0xa99429ac -256 -256 -1 -0 -0 -37 -0x886e -0x6db63b71 -256 -256 -0 -0 -0 -13 -0x4dab -0x73e6b773 -256 -256 -0 -0 -0 -74 -0x8c91 -0x72681be2 -256 -256 -1 -0 -0 -26 -0xdabe -0x89587709 -256 -256 -0 -0 -0 -34 -0xa56f -0xddf6250b -256 -256 -0 -0 -0 -39 -0x1188 -0xff661028 -256 -256 -1 -0 -0 -25 -0x81bc -0x95ba69fe -256 -256 -0 -0 -0 -82 -0x5658 -0x562b450d -256 -256 -0 -0 -0 -77 -0xf0bc -0x54385bd2 -256 -256 -1 -0 -0 -42 -0xcd2f -0xef51493d -256 -256 -1 -1 -0 -78 -0x7ccb -0x8c732ea5 -256 -256 -0 -0 -0 -96 -0xc6ed -0xe4e1b891 -256 -256 -0 -0 -0 -34 -0x99d7 -0x7044199b -256 -256 -0 -0 -0 -94 -0xfbdb -0x8683ce44 -256 -256 -0 -0 -0 -49 -0xc4f -0x298d8a20 -256 -256 -1 -0 -0 -61 -0x6c82 -0x9d7e6dce -256 -256 -0 -0 -0 -73 -0x6129 -0x1f8712ce -256 -256 -0 -0 -0 -62 -0x5c00 -0x11da3932 -256 -256 -0 -0 -0 -58 -0xdab1 -0x89416db7 -256 -256 -0 -0 -0 -67 -0xcaa8 -0x6436d1fc -256 -256 -0 -0 -0 -70 -0x997e -0xc8060e62 -256 -256 -1 -0 -0 -18 -0xaa75 -0x34ee03c5 -256 -256 -1 -0 -0 -99 -0xf867 -0xe07cc0d4 -256 -256 -0 -0 -0 -11 -0xe244 -0x44044c07 -256 -256 -1 -0 -0 -43 -0xb9af -0x9ffa7f54 -256 -256 -0 -0 -0 -67 -0x274f -0x90682989 -256 -256 -1 -0 -0 -46 -0x4498 -0xeb087883 -256 -256 -1 -0 -0 -61 -0x8020 -0x5317683c -256 -256 -1 -1 -0 -64 -0x22c2 -0xba325b70 -256 -256 -1 -1 -0 -40 -0xa725 -0x48af9078 -256 -256 -0 -0 -0 -34 -0x9344 -0xb28e0fda -256 -256 -0 -0 -0 -65 -0x4c6b -0xe732b1c8 -256 -256 -1 -0 -0 -36 -0xf2fe -0x72a66b1b -256 -256 -0 -0 -0 -100 -0xac70 -0x674fd938 -256 -256 -0 -0 -0 -19 -0xf4d1 -0x10eb058b -256 -256 -0 -0 -0 -28 -0x425a -0x408f786b -256 -256 -0 -0 -0 -19 -0x442b -0xb93704ed -256 -256 -1 -1 -0 -27 -0xd03d -0x364e624a -256 -256 -1 -1 -0 -13 -0xb21a -0x4f7ebb23 -256 -256 -1 -1 -0 -63 -0xbb76 -0xe5c0a5d1 -256 -256 -1 -0 -0 -57 -0xe24c -0xf8a3d471 -256 -256 -0 -0 -0 -60 -0x9adc -0xed27d9aa -256 -256 -0 -0 -0 -21 -0xa77b -0x81423f16 -256 -256 -1 -0 -0 -75 -0xca77 -0x9cedfd3e -256 -256 -0 -0 -0 -95 -0xca0b -0x175056f3 -256 -256 -0 -0 -0 -30 -0xa1f2 -0xf766e3cd -256 -256 -0 -0 -0 -82 -0x38ad -0x7d160936 -256 -256 -1 -0 -0 -60 -0x7690 -0x807ffbce -256 -256 -0 -0 -0 -86 -0x81d2 -0xd1c5e746 -256 -256 -1 -0 -0 -30 -0xb637 -0x854cb2a -256 -256 -1 -0 -0 -77 -0x597a -0xf0bacdac -256 -256 -1 -1 -0 -50 -0x76b7 -0xdfff0076 -256 -256 -1 -0 -0 -65 -0xd3d1 -0x712d879 -256 -256 -0 -0 -0 -27 -0x4d06 -0x86181ef8 -256 -256 -0 -0 -0 -83 -0x4969 -0x915e94f -256 -256 -0 -0 -0 -76 -0x924 -0x23bbabde -256 -256 -1 -1 -0 -49 -0xe74b -0x4eb5512e -256 -256 -1 -0 -0 -64 -0xca94 -0x3a5184fa -256 -256 -0 -0 -0 -86 -0x1bde -0xe0bd34e7 -256 -256 -1 -0 -0 -44 -0x7722 -0xfba4075 -256 -256 -0 -0 -0 -39 -0xb0fa -0x50856d81 -256 -256 -0 -0 -0 -19 -0xd077 -0xd2e5d34e -256 -256 -1 -1 -0 -46 -0xd324 -0x280d02d3 -256 -256 -0 -0 -0 -51 -0x9c81 -0xcfa28f6a -256 -256 -1 -1 -0 -49 -0x72a5 -0xdcedf719 -256 -256 -1 -1 -0 -71 -0x4226 -0x7aa20aa0 -256 -256 -0 -0 -0 -89 -0xd248 -0xa6a89fd2 -256 -256 -0 -0 -0 -88 -0x85b8 -0xa948f058 -256 -256 -1 -1 -0 -68 -0x39e9 -0xd922a634 -256 -256 -0 -0 -0 -77 -0x51e8 -0x520fd021 -256 -256 -1 -1 -0 -32 -0x8f72 -0x5b2fa9bf -256 -256 -1 -0 -0 -24 -0x3395 -0x1ca8f614 -256 -256 -1 -0 -0 -30 -0xcecb -0xb400becf -256 -256 -1 -1 -0 -60 -0x912 -0x549d2ecf -256 -256 -0 -0 -0 -33 -0xeb87 -0xe0f98a98 -256 -256 -1 -1 -0 -40 -0xedf7 -0x733b2d10 -256 -256 -0 -0 -0 -88 -0xdd3d -0xed417f2a -256 -256 -1 -0 -0 -26 -0xbb9d -0x9e91822f -256 -256 -1 -0 -0 -18 -0xb262 -0xa320e5dd -256 -256 -0 -0 -0 -63 -0xbeef -0x92e84c56 -256 -256 -1 -1 -0 -17 -0xcde1 -0xf97124b8 -256 -256 -1 -0 -0 -60 -0xfc58 -0x974a6139 -256 -256 -0 -0 -0 -50 -0x3919 -0x64972e05 -256 -256 -0 -0 -0 -82 -0xf2a4 -0xc53fc8aa -256 -256 -0 -0 -0 -89 -0x7159 -0x5aa0c7db -256 -256 -0 -0 -0 -80 -0xf869 -0x4587377 -256 -256 -0 -0 -0 -22 -0x848 -0xcde018ef -256 -256 -1 -0 -0 -10 -0x8b0e -0x76f74978 -256 -256 -1 -0 -0 -72 -0x1e9c -0xbd1ce57 -256 -256 -1 -0 -0 -17 -0x27ea -0xdca8829 -256 -256 -1 -0 -0 -15 -0xd456 -0x9a3213b6 -256 -256 -0 -0 -0 -67 -0x9f4e -0x5aac9f7e -256 -256 -0 -0 -0 -11 -0xcf0b -0xc1180feb -256 -256 -1 -0 -0 -43 -0xfb01 -0x76d2aff1 -256 -256 -0 -0 -0 -34 -0xd650 -0xf20420a2 -256 -256 -0 -0 -0 -16 -0x3165 -0x5d280615 -256 -256 -0 -0 -0 -80 -0xfacc -0xcc6e1ffd -256 -256 -1 -0 -0 -67 -0xce88 -0xa28a14de -256 -256 -0 -0 -0 -32 -0x519e -0xac02df6b -256 -256 -0 -0 -0 -17 -0xe01a -0xda2dd0a4 -256 -256 -1 -0 -0 -71 -0x821a -0xca775e8e -256 -256 -1 -1 -0 -54 -0xfb27 -0x1fb8f2f7 -256 -256 -1 -0 -0 -57 -0x77c9 -0x1467c516 -256 -256 -1 -0 -0 -82 -0xe0b4 -0x868b4ff3 -256 -256 -0 -0 -0 -69 -0x168a -0xd078d402 -256 -256 -0 -0 -0 -77 -0xb8e -0x30852a25 -256 -256 -1 -0 -0 -41 -0x6794 -0x26423f03 -256 -256 -0 -0 -0 -64 -0x3ee1 -0xe3521bce -256 -256 -1 -0 -0 -92 -0xafdf -0xfb0a5c6a -256 -256 -1 -1 -0 -12 -0x88a -0xdf7568ac -256 -256 -1 -0 -0 -56 -0xd0f7 -0xb311bae3 -256 -256 -0 -0 -0 -90 -0xe316 -0x8ef74047 -256 -256 -1 -0 -0 -20 -0x11ed -0x89cf2ddf -256 -256 -1 -0 -0 -25 -0x8e3c -0xc36f4f94 -256 -256 -1 -1 -0 -10 -0x8f6 -0x6776e030 -256 -256 -0 -0 -0 -49 -0xcd24 -0x16f16a4f -256 -256 -0 -0 -0 -74 -0x1ed -0x13ace30d -256 -256 -0 -0 -0 -26 -0x57e7 -0xb73f6b94 -256 -256 -1 -0 -0 -20 -0xf477 -0x1ed4c5d5 -256 -256 -1 -1 -0 -52 -0xd7c -0x7f69d419 -256 -256 -1 -1 -0 -80 -0x2336 -0x81d8b727 -256 -256 -1 -1 -0 -20 -0xefcf -0xfc539028 -256 -256 -0 -0 -0 -21 -0xb009 -0xd83a7624 -256 -256 -1 -0 -0 -31 -0xaec5 -0x442e4e44 -256 -256 -0 -0 -0 -21 -0x8e23 -0x980addb9 -256 -256 -0 -0 -0 -52 -0x1c36 -0x4ee68b0e -256 -256 -1 -1 -0 -56 -0x422a -0xf3723779 -256 -256 -1 -1 -0 -40 -0xfbb1 -0x847a49a5 -256 -256 -1 -0 -0 -75 -0x540b -0x489f60e3 -256 -256 -1 -0 -0 -96 -0x8a6f -0x5a0b6bb9 -256 -256 -1 -0 -0 -51 -0xdfee -0xc914d11d -256 -256 -0 -0 -0 -31 -0x3215 -0x99aa54ad -256 -256 -0 -0 -0 -57 -0x3332 -0x68b00ded -256 -256 -1 -0 -0 -52 -0xefd7 -0x909be681 -256 -256 -1 -1 -0 -78 -0x4de8 -0xa842b5ae -256 -256 -1 -1 -0 -12 -0x7b76 -0xeac736c2 -256 -256 -1 -1 -0 -16 -0xb2df -0x168a295a -256 -256 -0 -0 -0 -47 -0x5111 -0xd2de03e4 -256 -256 -1 -1 -0 -27 -0x7e9a -0xe28f6f23 -256 -256 -0 -0 -0 -14 -0x524e -0xca2ef484 -256 -256 -1 -1 -0 -94 -0x35c4 -0xcf83aea0 -256 -256 -1 -1 -0 -30 -0xc727 -0x82516653 -256 -256 -1 -0 -0 -99 -0x30c7 -0x6c6232ce -256 -256 -0 -0 -0 -29 -0xc3f1 -0xbbdb0537 -256 -256 -0 -0 -0 -40 -0xea9f -0x198e3dfc -256 -256 -0 -0 -0 -78 -0xcb84 -0xfb1015ba -256 -256 -0 -0 -0 -49 -0x6c7e -0x61afc7bf -256 -256 -1 -0 -0 -57 -0xe6ad -0xf8dcbfa -256 -256 -1 -0 -0 -27 -0x6199 -0x3c5a974b -256 -256 -0 -0 -0 -65 -0x93f2 -0xd342f6c7 -256 -256 -0 -0 -0 -95 -0x2b0a -0x32170178 -256 -256 -1 -0 -0 -67 -0xdb2f -0x4559c062 -256 -256 -0 -0 -0 -78 -0x35ec -0x426813b3 -256 -256 -0 -0 -0 -92 -0x1662 -0x48f4d07c -256 -256 -0 -0 -0 -81 -0x4c6c -0x82051a5b -256 -256 -0 -0 -0 -86 -0xb79 -0x11cb8594 -256 -256 -1 -0 -0 -28 -0xe13a -0xfaa88ca2 -256 -256 -0 -0 -0 -61 -0x5674 -0xff892d01 -256 -256 -0 -0 -0 -19 -0xa2f9 -0xd2392e9b -256 -256 -1 -0 -0 -83 -0xab8c -0xdbfb5523 -256 -256 -0 -0 -0 -25 -0x9677 -0xb41ab627 -256 -256 -1 -0 -0 -59 -0x3351 -0x6f0ddda0 -256 -256 -0 -0 -0 -68 -0xeed1 -0xebccba83 -256 -256 -1 -1 -0 -33 -0x134b -0xd7b8df62 -256 -256 -0 -0 -0 -72 -0x8999 -0x6903f0df -256 -256 -0 -0 -0 -98 -0x3e0b -0x277b356c -256 -256 -0 -0 -0 -79 -0x36bf -0xd705fb92 -256 -256 -1 -1 -0 -16 -0xb207 -0xbafac382 -256 -256 -0 -0 -0 -39 -0x3ff6 -0xc69d8654 -256 -256 -1 -1 -0 -73 -0x7edc -0xbaf321d1 -256 -256 -0 -0 -0 -21 -0xc1a -0xdbe9e5 -256 -256 -1 -0 -0 -36 -0x5764 -0x523cfa42 -256 -256 -1 -1 -0 -64 -0xbd55 -0x5ad94d02 -256 -256 -0 -0 -0 -67 -0x90b8 -0xf21a0392 -256 -256 -1 -0 -0 -84 -0xe976 -0x32855e9c -256 -256 -1 -0 -0 -41 -0x56ab -0x950f994 -256 -256 -1 -0 -0 -83 -0xb877 -0xac9828d4 -256 -256 -1 -1 -0 -21 -0x9efd -0x43e3f018 -256 -256 -1 -0 -0 -26 -0xc304 -0x8bc3b801 -256 -256 -0 -0 -0 -23 -0xd21a -0xeff399b2 -256 -256 -0 -0 -0 -24 -0x89f1 -0x468f8e3 -256 -256 -0 -0 -0 -90 -0xacb0 -0x72302f1f -256 -256 -0 -0 -0 -45 -0x731e -0x5aaf67d6 -256 -256 -0 -0 -0 -48 -0x5b5a -0x349b686f -256 -256 -0 -0 -0 -90 -0xaa2f -0xcaef8a80 -256 -256 -1 -1 -0 -75 -0x5d20 -0x8e4d2913 -256 -256 -1 -0 -0 -79 -0x6a0e -0x19a142f3 -256 -256 -1 -0 -0 -54 -0xd981 -0xc2a562f -256 -256 -1 -1 -0 -71 -0x41da -0x5af19664 -256 -256 -0 -0 -0 -31 -0x41d8 -0x464f585 -256 -256 -1 -1 -0 -76 -0x5af3 -0xc792053d -256 -256 -0 -0 -0 -53 -0x1d3 -0x2189111 -256 -256 -0 -0 -0 -54 -0xf468 -0x1364a3e2 -256 -256 -0 -0 -0 -28 -0x8be -0x4f92969f -256 -256 -0 -0 -0 -66 -0x9fd6 -0x94702ca8 -256 -256 -1 -1 -0 -44 -0xfc55 -0xbb46c31 -256 -256 -1 -1 -0 -24 -0xb6da -0xeaf30cb -256 -256 -0 -0 -0 -76 -0x5c90 -0x4dd06cfd -256 -256 -1 -1 -0 -78 -0xe3f0 -0xe68e16f1 -256 -256 -0 -0 -0 -53 -0xe892 -0xd71d3acd -256 -256 -0 -0 -0 -70 -0x17ed -0x35aac1e -256 -256 -1 -0 -0 -85 -0x32d9 -0x295d6109 -256 -256 -0 -0 -0 -16 -0x997e -0x7483fc32 -256 -256 -0 -0 -0 -55 -0x9b7b -0x1c9e919a -256 -256 -1 -1 -0 -38 -0xda9b -0x819bfa7a -256 -256 -1 -0 -0 -77 -0xa855 -0xb8a98c2 -256 -256 -0 -0 -0 -88 -0x829 -0x6c7533f5 -256 -256 -0 -0 -0 -77 -0xfc0e -0xfe16b15a -256 -256 -0 -0 -0 -38 -0xe03 -0xedbc720 -256 -256 -0 -0 -0 -18 -0xce25 -0x180b0466 -256 -256 -1 -1 -0 -92 -0xf82c -0xfe38c126 -256 -256 -1 -1 -0 -88 -0x9b2a -0xca64dadd -256 -256 -0 -0 -0 -46 -0x3cf1 -0xf2aa7cf7 -256 -256 -0 -0 -0 -97 -0x9f9a -0xa6371f0e -256 -256 -1 -1 -0 -76 -0xc503 -0x8e6a1b2a -256 -256 -0 -0 -0 -59 -0x8fab -0xea7f6549 -256 -256 -1 -1 -0 -23 -0xbcd1 -0x4c71bf7f -256 -256 -0 -0 -0 -41 -0xa50 -0xf9b16dc2 -256 -256 -0 -0 -0 -24 -0x7caf -0xe9d3bc20 -256 -256 -0 -0 -0 -69 -0x4c6d -0x55d37b66 -256 -256 -1 -1 -0 -54 -0xd7c1 -0xda55252a -256 -256 -0 -0 -0 -26 -0x25e0 -0x91e5e17c -256 -256 -1 -0 -0 -18 -0xaf1e -0x622ce542 -256 -256 -0 -0 -0 -11 -0xee6c -0x9d4f084a -256 -256 -1 -0 -0 -22 -0x797 -0x417d9cb4 -256 -256 -1 -0 -0 -27 -0xbbb4 -0x6bcad89 -256 -256 -0 -0 -0 -58 -0x99dd -0x697e5939 -256 -256 -0 -0 -0 -50 -0x1e37 -0x16ed88c -256 -256 -1 -0 -0 -10 -0x72f6 -0xa0eb8a66 -256 -256 -1 -1 -0 -38 -0x810f -0xfb4de3b5 -256 -256 -1 -0 -0 -57 -0xf36a -0x8ce0f6b7 -256 -256 -0 -0 -0 -52 -0x55cb -0x3005c66a -256 -256 -0 -0 -0 -58 -0x5603 -0x79d61640 -256 -256 -1 -0 -0 -66 -0x34e6 -0x91035637 -256 -256 -1 -1 -0 -17 -0x6fbd -0xdba6c0c -256 -256 -0 -0 -0 -85 -0xcadc -0xa2e250c4 -256 -256 -0 -0 -0 -75 -0xe0e3 -0x4c76b3c0 -256 -256 -1 -1 -0 -17 -0xb980 -0xc07990dc -256 -256 -0 -0 -0 -31 -0x3647 -0x3097e5d -256 -256 -0 -0 -0 -26 -0x7716 -0x8d6a62bb -256 -256 -0 -0 -0 -72 -0xd78e -0x13f23ed7 -256 -256 -0 -0 -0 -86 -0x5782 -0xd406b9b7 -256 -256 -0 -0 -0 -78 -0xb9ec -0xf15ade4 -256 -256 -0 -0 -0 -60 -0xcc26 -0xc427f271 -256 -256 -1 -1 -0 -91 -0xb0ca -0xe04d4c88 -256 -256 -1 -1 -0 -74 -0x6352 -0x871b2607 -256 -256 -0 -0 -0 -30 -0xca6d -0xabf33723 -256 -256 -1 -1 -0 -38 -0x3ca9 -0x33094b4c -256 -256 -0 -0 -0 -62 -0x670e -0xa0d4915 -256 -256 -1 -1 -0 -100 -0x8743 -0x6bce7941 -256 -256 -1 -0 -0 -79 -0x358f -0x3aaeaf24 -256 -256 -0 -0 -0 -30 -0x2c2c -0x50a1253e -256 -256 -1 -0 -0 -69 -0x5e49 -0xf011b1e9 -256 -256 -1 -1 -0 -99 -0x6364 -0x5697cbca -256 -256 -1 -0 -0 -58 -0xddec -0xc8a234f1 -256 -256 -1 -1 -0 -25 -0x1fde -0x8cfc384e -256 -256 -1 -1 -0 -37 -0x4c8a -0x10a6b43c -256 -256 -0 -0 -0 -80 -0xa7ef -0xdbdd5d7d -256 -256 -0 -0 -0 -40 -0xad50 -0x44d3aefd -256 -256 -0 -0 -0 -16 -0x562a -0xc2e53f03 -256 -256 -0 -0 -0 -15 -0x8986 -0x33c32059 -256 -256 -0 -0 -0 -52 -0xb336 -0x338d09e1 -256 -256 -1 -0 -0 -71 -0xe8e1 -0xcc0a0826 -256 -256 -1 -0 -0 -61 -0xdc4f -0x2ef6c7d1 -256 -256 -0 -0 -0 -49 -0x7608 -0x6d51a84f -256 -256 -1 -0 -0 -59 -0xfdbe -0x2b1a13e3 -256 -256 -0 -0 -0 -53 -0xe157 -0x94adaaf1 -256 -256 -0 -0 -0 -39 -0xa18b -0xd0de5b9b -256 -256 -1 -0 -0 -27 -0x8d5d -0x62f287b1 -256 -256 -1 -0 -0 -11 -0x881b -0xd9e1f607 -256 -256 -0 -0 -0 -55 -0x31f6 -0xdb1e0082 -256 -256 -1 -0 -0 -90 -0x151c -0xebc49843 -256 -256 -0 -0 -0 -11 -0x7ac3 -0xa6b5999e -256 -256 -0 -0 -0 -29 -0x4f98 -0x818de4b2 -256 -256 -0 -0 -0 -72 -0x9742 -0x584547d6 -256 -256 -0 -0 -0 -12 -0x909 -0x37fcc9d2 -256 -256 -1 -1 -0 -67 -0x8f0c -0xcf225b36 -256 -256 -1 -0 -0 -83 -0x518e -0xf174ff7f -256 -256 -0 -0 -0 -28 -0xc2b0 -0xda1b7221 -256 -256 -1 -0 -0 -13 -0xdd59 -0xf112647e -256 -256 -1 -1 -0 -99 -0x7c1d -0x97533fce -256 -256 -0 -0 -0 -99 -0x8e68 -0x40b1cee4 -256 -256 -1 -0 -0 -41 -0xe18f -0xabc8306e -256 -256 -1 -0 -0 -26 -0xa9d -0xa8074a9 -256 -256 -1 -0 -0 -76 -0x7d41 -0x1e15bd87 -256 -256 -1 -1 -0 -70 -0x5dc8 -0x1d701017 -256 -256 -1 -1 -0 -99 -0xb7d3 -0x94f99741 -256 -256 -1 -1 -0 -66 -0xa954 -0x79827266 -256 -256 -0 -0 -0 -90 -0xa07 -0xb533ba63 -256 -256 -0 -0 -0 -86 -0x791b -0x4855b22c -256 -256 -1 -0 -0 -11 -0x8503 -0xdcac7e37 -256 -256 -1 -0 -0 -40 -0x81a2 -0x8539a168 -256 -256 -0 -0 -0 -100 -0xf2f1 -0xcba16739 -256 -256 -0 -0 -0 -68 -0xfdfc -0xff1a5775 -256 -256 -1 -0 -0 -16 -0xf43e -0x97750c8a -256 -256 -0 -0 -0 -84 -0xce9a -0xb2d4c4b9 -256 -256 -0 -0 -0 -81 -0xde55 -0x61d17e6c -256 -256 -1 -1 -0 -40 -0xace6 -0x97635d -256 -256 -1 -0 -0 -85 -0xc2eb -0x988c5e4b -256 -256 -0 -0 -0 -54 -0x8502 -0xb3dc59bd -256 -256 -0 -0 -0 -50 -0xd937 -0x510e2704 -256 -256 -1 -0 -0 -55 -0xcb2c -0x47657e0a -256 -256 -1 -1 -0 -80 -0xecd8 -0x2ed140d2 -256 -256 -1 -1 -0 -20 -0xe394 -0x418869fc -256 -256 -1 -0 -0 -87 -0x4f7e -0x4ba59917 -256 -256 -0 -0 -0 -99 -0xa17c -0x7a6832a -256 -256 -0 -0 -0 -93 -0x5ed1 -0xb03e8305 -256 -256 -1 -1 -0 -18 -0x13a4 -0xcf8a5913 -256 -256 -0 -0 -0 -62 -0xf904 -0xfc506470 -256 -256 -1 -1 -0 -34 -0x7478 -0x82e95ddf -256 -256 -1 -1 -0 -57 -0xe456 -0x2361748e -256 -256 -0 -0 -0 -22 -0x29a4 -0x42e027bc -256 -256 -1 -0 -0 -83 -0x56d3 -0x6078f6f6 -256 -256 -1 -1 -0 -42 -0x6257 -0xbe2fde2f -256 -256 -1 -0 -0 -73 -0x4c2a -0xd513438b -256 -256 -1 -1 -0 -16 -0x6831 -0xc49a0dc7 -256 -256 -0 -0 -0 -46 -0x324 -0x78da735d -256 -256 -1 -0 -0 -26 -0xc121 -0xfa2ce9cb -256 -256 -0 -0 -0 -76 -0x5079 -0x6bff741f -256 -256 -1 -0 -0 -67 -0xc13d -0x65e56d0e -256 -256 -1 -0 -0 -38 -0xafe4 -0xc1a15b1e -256 -256 -0 -0 -0 -97 -0x7c4e -0x3de7dd8b -256 -256 -1 -0 -0 -44 -0x9477 -0xf3f7ae0f -256 -256 -1 -1 -0 -86 -0xc2b6 -0x69ffc1bc -256 -256 -0 -0 -0 -68 -0xce46 -0xa746db54 -256 -256 -1 -1 -0 -74 -0xf0c -0xd2e9d5ba -256 -256 -0 -0 -0 -54 -0xc16 -0x5fcf21e1 -256 -256 -1 -0 -0 -25 -0x10e8 -0x6e243119 -256 -256 -0 -0 -0 -28 -0xab97 -0xb6095daa -256 -256 -0 -0 -0 -67 -0xf7e0 -0xd24a7c23 -256 -256 -0 -0 -0 -91 -0xd665 -0x68843d33 -256 -256 -0 -0 -0 -57 -0xd985 -0xd59bf6c0 -256 -256 -1 -1 -0 -72 -0x9e5a -0x6aee9a69 -256 -256 -1 -1 -0 -72 -0x9e86 -0x934b2e8c -256 -256 -0 -0 -0 -76 -0x8e8c -0x33991a7b -256 -256 -0 -0 -0 -25 -0xcd14 -0x232f6ebc -256 -256 -1 -1 -0 -51 -0xd8a3 -0x858e510e -256 -256 -0 -0 -0 -64 -0xa299 -0x2241716c -256 -256 -0 -0 -0 -43 -0xef8a -0x67960213 -256 -256 -1 -1 -0 -53 -0x7915 -0x505660c2 -256 -256 -0 -0 -0 -34 -0x7d39 -0x173d5b24 -256 -256 -0 -0 -0 -41 -0x26ad -0x9838ecfb -256 -256 -1 -0 -0 -28 -0xe31d -0xbbf193c -256 -256 -1 -0 -0 -99 -0x45f6 -0xf754adee -256 -256 -0 -0 -0 -35 -0x63c5 -0x8cff0a0a -256 -256 -1 -0 -0 -85 -0xe1b5 -0xf67849b6 -256 -256 -1 -1 -0 -92 -0x7617 -0x39e84b6 -256 -256 -0 -0 -0 -82 -0x7367 -0x5fac9bc2 -256 -256 -0 -0 -0 -76 -0xac6b -0x19473209 -256 -256 -1 -1 -0 -12 -0x5a03 -0xbd076581 -256 -256 -1 -0 -0 -77 -0x685d -0xff87592c -256 -256 -1 -0 -0 -61 -0x9ff4 -0x1e881b24 -256 -256 -0 -0 -0 -33 -0xc8c3 -0xb24664fc -256 -256 -1 -1 -0 -66 -0x67e0 -0x18d7d536 -256 -256 -0 -0 -0 -81 -0xfcd6 -0x8885a179 -256 -256 -0 -0 -0 -49 -0x4c15 -0x49e7fc44 -256 -256 -0 -0 -0 -88 -0x5e0c -0x5323a614 -256 -256 -1 -1 -0 -83 -0xf931 -0xf9ee0a46 -256 -256 -0 -0 -0 -39 -0xcec1 -0x621151b9 -256 -256 -1 -1 -0 -44 -0x5d82 -0x7545f5cf -256 -256 -1 -1 -0 -70 -0x4db1 -0xd7156824 -256 -256 -1 -1 -0 -39 -0x90d0 -0x8591e7a1 -256 -256 -0 -0 -0 -85 -0x4de3 -0x1b9d562 -256 -256 -0 -0 -0 -96 -0x4c67 -0xb8f81ba5 -256 -256 -1 -0 -0 -88 -0xe1c3 -0xaa980827 -256 -256 -0 -0 -0 -12 -0x3f2a -0x918a3d75 -256 -256 -0 -0 -0 -79 -0xb9ca -0xead4dd40 -256 -256 -0 -0 -0 -10 -0x353a -0x882bc901 -256 -256 -1 -1 -0 -60 -0xe1d3 -0x8157e626 -256 -256 -1 -1 -0 -85 -0x71f4 -0x3bf3464 -256 -256 -0 -0 -0 -65 -0xc623 -0x62c03e37 -256 -256 -0 -0 -0 -94 -0x1f62 -0xf5414a00 -256 -256 -1 -0 -0 -51 -0xdc13 -0x254a7b7d -256 -256 -1 -0 -0 -70 -0x9161 -0x81d77299 -256 -256 -1 -1 -0 -31 -0x449c -0x8094b371 -256 -256 -0 -0 -0 -75 -0x968e -0xe5824210 -256 -256 -0 -0 -0 -74 -0x494e -0x1de5cefb -256 -256 -0 -0 -0 -17 -0xc764 -0xdb94b9ce -256 -256 -1 -0 -0 -55 -0x624a -0xbf18f20c -256 -256 -0 -0 -0 -72 -0x6c25 -0x718227fc -256 -256 -0 -0 -0 -40 -0xf02c -0x4e9648b -256 -256 -0 -0 -0 -57 -0x1b86 -0xed5cff64 -256 -256 -1 -0 -0 -87 -0x5e15 -0xbbf593c7 -256 -256 -0 -0 -0 -30 -0xab1 -0xf0df870f -256 -256 -1 -0 -0 -44 -0xd779 -0x368025 -256 -256 -0 -0 -0 -99 -0x4bae -0xf45f070e -256 -256 -0 -0 -0 -56 -0xe45d -0xdbe3d8f1 -256 -256 -0 -0 -0 -59 -0xf6ee -0x723cb3e1 -256 -256 -0 -0 -0 -55 -0xa907 -0x389a6073 -256 -256 -1 -0 -0 -18 -0xe895 -0xd88d68a7 -256 -256 -1 -0 -0 -80 -0xea44 -0x71fb1c96 -256 -256 -1 -0 -0 -36 -0xcc1b -0x71305181 -256 -256 -0 -0 -0 -37 -0xa6be -0xcddad945 -256 -256 -1 -1 -0 -87 -0xf9b8 -0xdf62d609 -256 -256 -0 -0 -0 -45 -0x1d2f -0x9e84948b -256 -256 -0 -0 -0 -63 -0xcbc0 -0xaeea5c63 -256 -256 -0 -0 -0 -12 -0x3511 -0xaf09b84 -256 -256 -1 -1 -0 -57 -0x9f91 -0x45506357 -256 -256 -1 -0 -0 -33 -0xe30c -0xab0b9f8a -256 -256 -1 -1 -0 -63 -0x5c1f -0xd25fc48f -256 -256 -1 -1 -0 -19 -0xef60 -0x77f26272 -256 -256 -1 -1 -0 -17 -0x2f0f -0xa0e1f149 -256 -256 -0 -0 -0 -42 -0xff6 -0x7c0ad53e -256 -256 -1 -0 -0 -15 -0x7d95 -0xfd52c591 -256 -256 -0 -0 -0 -89 -0x8582 -0xc5f4c34e -256 -256 -0 -0 -0 -27 -0x79f3 -0xc728cd70 -256 -256 -1 -1 -0 -63 -0x9af4 -0xd7e37b35 -256 -256 -1 -1 -0 -75 -0x3ce2 -0xa9bd0a27 -256 -256 -1 -0 -0 -74 -0x7a9e -0xcede3c8a -256 -256 -0 -0 -0 -39 -0x5f83 -0x6bbb07a7 -256 -256 -0 -0 -0 -77 -0x38ba -0xd810a7d3 -256 -256 -0 -0 -0 -28 -0x121 -0x5d95d81 -256 -256 -1 -1 -0 -47 -0x68cb -0x323f8aad -256 -256 -0 -0 -0 -51 -0xb90c -0x2a2486f5 -256 -256 -1 -0 -0 -41 -0xf46d -0x137dd673 -256 -256 -0 -0 -0 -49 -0xfdd3 -0xc8b3e59f -256 -256 -0 -0 -0 -85 -0x3eb4 -0x4f88b9e8 -256 -256 -0 -0 -0 -68 -0x706 -0xa7e613d4 -256 -256 -0 -0 -0 -66 -0xf522 -0x4a30398a -256 -256 -0 -0 -0 -67 -0x389a -0xe66550dd -256 -256 -1 -1 -0 -81 -0x80bb -0xe8c655ce -256 -256 -1 -0 -0 -39 -0x27a7 -0xa5410791 -256 -256 -0 -0 -0 -54 -0x8a67 -0x88922f4 -256 -256 -1 -0 -0 -88 -0xe0c2 -0xe5f05da2 -256 -256 -1 -1 -0 -69 -0xe13e -0x513791ba -256 -256 -1 -0 -0 -85 -0xb4c2 -0x779809ea -256 -256 -1 -1 -0 -69 -0x504f -0x1ec6e3df -256 -256 -1 -1 -0 -84 -0x4b5c -0xc8413dca -256 -256 -1 -1 -0 -45 -0x3136 -0xec014c77 -256 -256 -1 -0 -0 -18 -0xe0ea -0x2eadca04 -256 -256 -1 -1 -0 -78 -0xc1b4 -0x810c3af0 -256 -256 -1 -1 -0 -68 -0xa87a -0xd18f560 -256 -256 -0 -0 -0 -25 -0x3fa7 -0x42bcb049 -256 -256 -1 -1 -0 -20 -0xd11b -0x3bcf3b6a -256 -256 -0 -0 -0 -96 -0x637 -0xf542687b -256 -256 -0 -0 -0 -62 -0x126b -0xe029af3e -256 -256 -0 -0 -0 -12 -0xe679 -0xa5cd9d7c -256 -256 -1 -0 -0 -80 -0x6854 -0x5335dc4c -256 -256 -1 -0 -0 -90 -0xd462 -0x21cc3e32 -256 -256 -0 -0 -0 -100 -0xf477 -0x4d5e0bca -256 -256 -1 -0 -0 -36 -0x8e5 -0x38a6418a -256 -256 -1 -1 -0 -64 -0xdc94 -0xe1521fba -256 -256 -1 -1 -0 -20 -0xf7dc -0x8238a4d5 -256 -256 -1 -1 -0 -32 -0x7d1b -0x5951a10d -256 -256 -1 -0 -0 -10 -0x5521 -0x419de3d2 -256 -256 -1 -1 -0 -65 -0xadfa -0x1be841fa -256 -256 -1 -0 -0 -51 -0x9646 -0xa5a182c -256 -256 -1 -1 -0 -34 -0xb129 -0xc12babbc -256 -256 -0 -0 -0 -43 -0xee78 -0xc44bb1cf -256 -256 -1 -0 -0 -28 -0xb871 -0x13d11f52 -256 -256 -0 -0 -0 -60 -0xebab -0xf456725b -256 -256 -1 -1 -0 -27 -0x24f3 -0xf92d339d -256 -256 -1 -1 -0 -35 -0xf33e -0xfd66dad5 -256 -256 -0 -0 -0 -71 -0xa402 -0x8db05617 -256 -256 -1 -0 -0 -39 -0x4fed -0x70212330 -256 -256 -1 -0 -0 -94 -0xa0be -0x7955c65b -256 -256 -1 -1 -0 -51 -0x42bb -0x5bfff05e -256 -256 -1 -0 -0 -21 -0x4653 -0xe1da800c -256 -256 -0 -0 -0 -27 -0x4e1 -0x84095407 -256 -256 -1 -1 -0 -77 -0x624 -0xf30ab9ab -256 -256 -1 -0 -0 -44 -0x8c7a -0x8b6b0712 -256 -256 -1 -1 -0 -87 -0x4d52 -0xbf214a93 -256 -256 -1 -0 -0 -67 -0x87c7 -0xd4516dcf -256 -256 -1 -0 -0 -67 -0xce -0x9b23a7be -256 -256 -1 -1 -0 -61 -0xae8c -0x55199f22 -256 -256 -0 -0 -0 -44 -0xd907 -0x18c81ef2 -256 -256 -0 -0 -0 -74 -0xe492 -0xf5f677ae -256 -256 -1 -1 -0 -69 -0x6d9 -0x6736841b -256 -256 -0 -0 -0 -36 -0xdfd9 -0x2ab90870 -256 -256 -1 -1 -0 -22 -0x376e -0x8d45fd7e -256 -256 -1 -1 -0 -83 -0x4ab7 -0xcec30d7f -256 -256 -1 -0 -0 -57 -0xad72 -0x374338bb -256 -256 -0 -0 -0 -45 -0x950b -0xf772fbca -256 -256 -0 -0 -0 -25 -0xf466 -0xdf784579 -256 -256 -1 -1 -0 -48 -0xc60d -0x43dd9d92 -256 -256 -0 -0 -0 -72 -0x7eae -0xb1503f28 -256 -256 -1 -1 -0 -16 -0xeea9 -0xda1550f0 -256 -256 -0 -0 -0 -11 -0x15b2 -0xc705459 -256 -256 -0 -0 -0 -58 -0xebc1 -0x652426bd -256 -256 -0 -0 -0 -85 -0xba7f -0xa2016873 -256 -256 -0 -0 -0 -83 -0x277a -0x41829823 -256 -256 -1 -0 -0 -38 -0xf676 -0xae1ea32a -256 -256 -1 -1 -0 -98 -0x343f -0xca69295a -256 -256 -0 -0 -0 -87 -0x9e91 -0xa976e357 -256 -256 -1 -0 -0 -84 -0xb122 -0x163cd76d -256 -256 -1 -0 -0 -25 -0xbd58 -0xc50487ae -256 -256 -1 -0 -0 -84 -0x4b85 -0x7ccc4c06 -256 -256 -1 -0 -0 -97 -0xa73e -0xaa984090 -256 -256 -1 -0 -0 -71 -0xb978 -0xaa43efcf -256 -256 -0 -0 -0 -46 -0x185c -0xd8d6ac3 -256 -256 -1 -0 -0 -91 -0x3215 -0x76763219 -256 -256 -1 -0 -0 -19 -0x507f -0xfe39dfd5 -256 -256 -1 -0 -0 -14 -0xc81a -0x3a73899e -256 -256 -0 -0 -0 -35 -0x5a4b -0xa17aa7e4 -256 -256 -0 -0 -0 -98 -0xe41d -0x8af60f94 -256 -256 -1 -0 -0 -29 -0x9118 -0xcf42b13 -256 -256 -1 -0 -0 -38 -0x10a0 -0x204fc390 -256 -256 -1 -0 -0 -52 -0x5c8 -0x53d82c6 -256 -256 -1 -1 -0 -50 -0x543f -0x47b17e52 -256 -256 -1 -0 -0 -67 -0x7247 -0x7cf0aebe -256 -256 -1 -0 -0 -35 -0xa8d9 -0xae6033c0 -256 -256 -0 -0 -0 -49 -0xe018 -0xb189bc2e -256 -256 -0 -0 -0 -50 -0x72e9 -0x53e984d9 -256 -256 -1 -1 -0 -51 -0xf126 -0xc754f5e3 -256 -256 -0 -0 -0 -80 -0xf0cf -0x16ee785f -256 -256 -1 -1 -0 -77 -0x50c7 -0x22116233 -256 -256 -1 -1 -0 -88 -0xc585 -0x4ec58b35 -256 -256 -0 -0 -0 -42 -0x531 -0x455aa392 -256 -256 -0 -0 -0 -21 -0xba30 -0x973bc321 -256 -256 -1 -1 -0 -14 -0xaa8a -0x796ec99f -256 -256 -0 -0 -0 -11 -0x6001 -0x6f3acbc0 -256 -256 -0 -0 -0 -10 -0xc6d7 -0x8784b084 -256 -256 -1 -0 -0 -89 -0xf473 -0x5c8fcab -256 -256 -1 -1 -0 -94 -0x1561 -0x7657fc40 -256 -256 -0 -0 -0 -94 -0x8870 -0x34ddac77 -256 -256 -0 -0 -0 -35 -0xce4b -0x9e2d94dd -256 -256 -0 -0 -0 -77 -0xd4ad -0xc37a807e -256 -256 -0 -0 -0 -100 -0x61df -0xa5af3948 -256 -256 -0 -0 -0 -25 -0x16ea -0x65d0c7f3 -256 -256 -0 -0 -0 -27 -0xde04 -0x5fa09d4f -256 -256 -0 -0 -0 -77 -0x37de -0x48485256 -256 -256 -0 -0 -0 -60 -0x8789 -0x81ed1978 -256 -256 -1 -0 -0 -39 -0xf7b9 -0xe0c0838e -256 -256 -1 -0 -0 -69 -0x91bc -0xc039fd94 -256 -256 -1 -0 -0 -28 -0x4e1a -0xd74ae308 -256 -256 -0 -0 -0 -70 -0xcd09 -0xb33d92fb -256 -256 -1 -0 -0 -96 -0x444f -0xa46b6ea7 -256 -256 -1 -1 -0 -73 -0xfe19 -0x4749c3b8 -256 -256 -1 -0 -0 -79 -0xd91d -0x545512f -256 -256 -1 -0 -0 -47 -0x6ba -0x7fe9174d -256 -256 -1 -0 -0 -69 -0xf436 -0x6f44607d -256 -256 -1 -1 -0 -47 -0x184 -0x43534446 -256 -256 -1 -0 -0 -84 -0x98e0 -0x4d95c46 -256 -256 -1 -1 -0 -40 -0x68e5 -0xaf01fba9 -256 -256 -1 -1 -0 -100 -0x7d0c -0xa251bb62 -256 -256 -1 -0 -0 -79 -0x6d7f -0xbaa898fd -256 -256 -0 -0 -0 -92 -0x16c4 -0x54a4e2fb -256 -256 -1 -0 -0 -74 -0xf5e3 -0x9fdcfb82 -256 -256 -0 -0 -0 -31 -0xaf7d -0x6e0d3fcd -256 -256 -1 -0 -0 -90 -0x362b -0x3228dc71 -256 -256 -0 -0 -0 -90 -0xeea -0xa2f13fda -256 -256 -0 -0 -0 -52 -0xbfbc -0x58a68514 -256 -256 -1 -0 -0 -58 -0x8867 -0x2413387b -256 -256 -1 -1 -0 -23 -0x1f6f -0xdd1f12de -256 -256 -1 -0 -0 -75 -0xd3a -0x5f22e99a -256 -256 -1 -0 -0 -15 -0x65c9 -0xd25d5fff -256 -256 -0 -0 -0 -65 -0xe0f2 -0xd545d615 -256 -256 -1 -0 -0 -27 -0x6094 -0x14fddf41 -256 -256 -1 -0 -0 -21 -0xb014 -0x80bd19e3 -256 -256 -1 -1 -0 -21 -0x664d -0xe2b61f61 -256 -256 -1 -0 -0 -84 -0x10 -0xb96b037 -256 -256 -1 -1 -0 -87 -0xaffe -0x93f089b2 -256 -256 -1 -1 -0 -82 -0xd7b9 -0x61422959 -256 -256 -0 -0 -0 -39 -0xe897 -0x5ef5bff5 -256 -256 -0 -0 -0 -84 -0x8226 -0x2aa658d0 -256 -256 -1 -0 -0 -76 -0x8097 -0xff09bda -256 -256 -0 -0 -0 -52 -0x30f7 -0x32c242a5 -256 -256 -0 -0 -0 -67 -0xde66 -0x687067a3 -256 -256 -0 -0 -0 -55 -0xc438 -0xff562ffd -256 -256 -1 -1 -0 -71 -0x519a -0x8f5f3d25 -256 -256 -0 -0 -0 -63 -0x18cc -0xdb76762e -256 -256 -1 -0 -0 -71 -0xe8e2 -0xab20ce9a -256 -256 -0 -0 -0 -14 -0x24bd -0xab3bf050 -256 -256 -0 -0 -0 -33 -0x29a4 -0xedfa2d63 -256 -256 -1 -0 -0 -26 -0xefec -0x5dd4da04 -256 -256 -0 -0 -0 -66 -0xf16f -0x37b60e5 -256 -256 -0 -0 -0 -74 -0x199a -0xba0986dc -256 -256 -0 -0 -0 -34 -0x3c -0x722ea868 -256 -256 -1 -0 -0 -96 -0xa4ad -0x30534287 -256 -256 -0 -0 -0 -79 -0xba3e -0x6cb835f -256 -256 -1 -1 -0 -39 -0x85ab -0xdda66a71 -256 -256 -0 -0 -0 -27 -0x368b -0x6c03f76e -256 -256 -1 -0 -0 -42 -0x7243 -0x6f8d0220 -256 -256 -1 -1 -0 -73 -0x5451 -0xeeb7010c -256 -256 -1 -1 -0 -19 -0x3759 -0xa9ae11cb -256 -256 -1 -1 -0 -20 -0x8d77 -0x1d982eb2 -256 -256 -1 -0 -0 -55 -0x3c48 -0xae8f0bf6 -256 -256 -0 -0 -0 -67 -0x1859 -0x44a079d0 -256 -256 -0 -0 -0 -61 -0xe875 -0x3177abfd -256 -256 -1 -0 -0 -45 -0x9173 -0xc918b21d -256 -256 -0 -0 -0 -16 -0x2b78 -0x19a3876a -256 -256 -0 -0 -0 -95 -0x1712 -0x39b6a999 -256 -256 -1 -0 -0 -45 -0xfb75 -0xddc7a4ff -256 -256 -1 -0 -0 -20 -0x9b62 -0x47e261d0 -256 -256 -0 -0 -0 -11 -0x5e5f -0xc04f1e7e -256 -256 -0 -0 -0 -27 -0xe54b -0x23eefac9 -256 -256 -1 -1 -0 -86 -0x58fa -0x24121406 -256 -256 -0 -0 -0 -67 -0x3a44 -0x7ccd7957 -256 -256 -1 -1 -0 -95 -0xfd79 -0x6a30ddc4 -256 -256 -1 -0 -0 -22 -0x942d -0x1adda26 -256 -256 -0 -0 -0 -79 -0x41b5 -0x46153744 -256 -256 -0 -0 -0 -66 -0x8f49 -0x3afdbcd -256 -256 -1 -1 -0 -89 -0xb351 -0x1d01bfa4 -256 -256 -1 -1 -0 -52 -0x6eda -0xb565a0a4 -256 -256 -0 -0 -0 -41 -0x97a3 -0xd44b8fd -256 -256 -1 -0 -0 -35 -0xb9d6 -0x8d8ba1e4 -256 -256 -0 -0 -0 -68 -0x82d5 -0xfbfc47c9 -256 -256 -0 -0 -0 -83 -0x1221 -0xea749b4b -256 -256 -0 -0 -0 -39 -0x53e9 -0x7eb07b0 -256 -256 -0 -0 -0 -65 -0x1d33 -0xeaf685dc -256 -256 -0 -0 -0 -15 -0xcd0b -0x69695e3a -256 -256 -0 -0 -0 -60 -0x699f -0xdfc0a873 -256 -256 -0 -0 -0 -83 -0xed -0x39f14187 -256 -256 -1 -1 -0 -94 -0x6771 -0x6aba96d5 -256 -256 -0 -0 -0 -24 -0xdea -0x63cdf0b6 -256 -256 -1 -0 -0 -41 -0xd395 -0x5093bb19 -256 -256 -1 -1 -0 -46 -0x5c36 -0xae71c219 -256 -256 -0 -0 -0 -16 -0x8bec -0x714d2d87 -256 -256 -1 -1 -0 -98 -0xd06e -0x6dd02f36 -256 -256 -1 -0 -0 -62 -0x7777 -0x24836c14 -256 -256 -0 -0 -0 -92 -0x538b -0x5f73eb95 -256 -256 -0 -0 -0 -23 -0x4056 -0x44e3d1aa -256 -256 -0 -0 -0 -90 -0xab41 -0x5ac5c590 -256 -256 -0 -0 -0 -44 -0xcf6e -0xeb1771c0 -256 -256 -1 -0 -0 -85 -0x5a0a -0x9171b7a4 -256 -256 -1 -1 -0 -94 -0xa194 -0xacb845c0 -256 -256 -0 -0 -0 -83 -0xf688 -0xa9bd8205 -256 -256 -0 -0 -0 -76 -0xa00b -0x499be1d0 -256 -256 -1 -0 -0 -27 -0x586d -0xbf4821b3 -256 -256 -0 -0 -0 -96 -0xfbb1 -0xaea83249 -256 -256 -0 -0 -0 -33 -0xbbc6 -0xa3590bd8 -256 -256 -1 -1 -0 -28 -0xda57 -0x74796919 -256 -256 -1 -0 -0 -95 -0x3fa7 -0x4f69d0e7 -256 -256 -1 -1 -0 -92 -0x6d35 -0x90910275 -256 -256 -0 -0 -0 -17 -0xaf27 -0x35c67ba3 -256 -256 -0 -0 -0 -35 -0x25b9 -0x56cbe257 -256 -256 -0 -0 -0 -96 -0x6849 -0xc564d25a -256 -256 -1 -1 -0 -35 -0xe8d6 -0x5ebf0c13 -256 -256 -1 -1 -0 -38 -0xeed7 -0xed09f0c2 -256 -256 -1 -1 -0 -68 -0xdba6 -0x5d4d694b -256 -256 -0 -0 -0 -55 -0x4ab1 -0xc3807a27 -256 -256 -0 -0 -0 -52 -0xabe3 -0xe0c865a9 -256 -256 -0 -0 -0 -57 -0xf07e -0xdd2402b -256 -256 -0 -0 -0 -15 -0x6158 -0xb7dcd0c -256 -256 -1 -0 -0 -60 -0xbb1 -0x47865e8b -256 -256 -0 -0 -0 -23 -0x7041 -0xef2c4e67 -256 -256 -0 -0 -0 -33 -0x9d80 -0x654ead19 -256 -256 -1 -1 -0 -56 -0x7064 -0x6bef27d7 -256 -256 -0 -0 -0 -47 -0x148d -0x14fdc25d -256 -256 -0 -0 -0 -41 -0xf664 -0x3180bf83 -256 -256 -1 -0 -0 -36 -0xc90a -0x8c089fd9 -256 -256 -1 -1 -0 -64 -0xf7d6 -0xc7f6eee6 -256 -256 -1 -1 -0 -56 -0x4ce2 -0x39a70f1d -256 -256 -0 -0 -0 -46 -0x4c48 -0x8ad27943 -256 -256 -0 -0 -0 -28 -0x1dbc -0xf45bf885 -256 -256 -1 -0 -0 -40 -0xf8f1 -0xeb894057 -256 -256 -0 -0 -0 -39 -0x9837 -0x8197679c -256 -256 -1 -0 -0 -72 -0xe457 -0xff3f2058 -256 -256 -0 -0 -0 -33 -0xf337 -0x6236780d -256 -256 -0 -0 -0 -34 -0xc413 -0x849c5d9b -256 -256 -0 -0 -0 -71 -0x7eee -0x10bb3e09 -256 -256 -0 -0 -0 -87 -0x1a1d -0x2c336828 -256 -256 -0 -0 -0 -57 -0x71ab -0x7558c1ad -256 -256 -0 -0 -0 -21 -0xfb6d -0x99dffb2 -256 -256 -1 -1 -0 -65 -0x10a9 -0xce92743 -256 -256 -1 -1 -0 -50 -0x1577 -0x908e7ad2 -256 -256 -1 -0 -0 -61 -0x3489 -0xd8f3d017 -256 -256 -0 -0 -0 -57 -0x3ab6 -0xe5f00ba5 -256 -256 -0 -0 -0 -34 -0x24ad -0xad36abf0 -256 -256 -1 -1 -0 -93 -0x4d68 -0x6300779b -256 -256 -0 -0 -0 -16 -0xa366 -0x2d9be3bc -256 -256 -0 -0 -0 -84 -0x470f -0x90ae4be2 -256 -256 -0 -0 -0 -71 -0x886a -0xc19af78c -256 -256 -1 -1 -0 -89 -0xa811 -0xd549bcdf -256 -256 -0 -0 -0 -41 -0xb9cf -0x3deb2629 -256 -256 -0 -0 -0 -41 -0x65cc -0xbe835f48 -256 -256 -0 -0 -0 -49 -0xf503 -0xb9fa47b -256 -256 -0 -0 -0 -52 -0x35 -0x885c33f9 -256 -256 -0 -0 -0 -95 -0x6b05 -0x64c32bf5 -256 -256 -0 -0 -0 -74 -0x72e0 -0x807fa35d -256 -256 -0 -0 -0 -80 -0x6669 -0x6a7350f8 -256 -256 -1 -1 -0 -27 -0x8f5d -0x98ac1ed1 -256 -256 -0 -0 -0 -19 -0x83f1 -0x63169fba -256 -256 -1 -1 -0 -89 -0xcdc4 -0x72dd1e8f -256 -256 -0 -0 -0 -94 -0x1b75 -0xfff91d42 -256 -256 -1 -0 -0 -23 -0xec22 -0x78507fd0 -256 -256 -1 -0 -0 -48 -0x8c66 -0xfa1f8128 -256 -256 -1 -0 -0 -10 -0xbe9f -0xef26edb0 -256 -256 -1 -0 -0 -64 -0xebd7 -0xdafb088c -256 -256 -1 -1 -0 -75 -0xb223 -0x559ce890 -256 -256 -0 -0 -0 -63 -0xe61 -0xf3321758 -256 -256 -0 -0 -0 -40 -0x2f3d -0x53f2853f -256 -256 -0 -0 -0 -39 -0xbe16 -0x8d674255 -256 -256 -1 -0 -0 -38 -0x9b0e -0x6f651df7 -256 -256 -1 -1 -0 -35 -0x3840 -0x9c4575f9 -256 -256 -0 -0 -0 -27 -0x652d -0x4acd06b2 -256 -256 -0 -0 -0 -77 -0x9833 -0x680572f6 -256 -256 -0 -0 -0 -36 -0xb8da -0xbb5e76cc -256 -256 -0 -0 -0 -77 -0xce05 -0xcb8978c7 -256 -256 -0 -0 -0 -67 -0xfb7c -0xec2a810c -256 -256 -1 -0 -0 -70 -0x55a4 -0x4c704e88 -256 -256 -1 -0 -0 -27 -0x3a39 -0x735c10f3 -256 -256 -1 -1 -0 -60 -0xcffc -0x1d872c0 -256 -256 -1 -1 -0 -51 -0x39 -0xebfc4bd3 -256 -256 -1 -0 -0 -61 -0xba57 -0x7abf3a1e -256 -256 -0 -0 -0 -27 -0xeafa -0x8c6b2dba -256 -256 -0 -0 -0 -87 -0xd17 -0x3e884073 -256 -256 -1 -0 -0 -62 -0x1c49 -0xdddeb0be -256 -256 -1 -1 -0 -88 -0x1213 -0x9499ef93 -256 -256 -1 -1 -0 -53 -0xa8eb -0x4374f125 -256 -256 -0 -0 -0 -75 -0x4512 -0xbb338fb0 -256 -256 -1 -1 -0 -52 -0xf12 -0x2d05b659 -256 -256 -0 -0 -0 -79 -0xd256 -0xd57e65b4 -256 -256 -0 -0 -0 -36 -0xeb2e -0x2eb653f5 -256 -256 -0 -0 -0 -18 -0xfee9 -0x1a694f72 -256 -256 -0 -0 -0 -90 -0x146 -0x472870f3 -256 -256 -1 -0 -0 -36 -0x88cc -0x7c6480ba -256 -256 -0 -0 -0 -73 -0xa252 -0x8a062cd0 -256 -256 -0 -0 -0 -65 -0x3790 -0xbdd237bf -256 -256 -1 -0 -0 -37 -0xd19e -0x4182f266 -256 -256 -0 -0 -0 -27 -0x5c54 -0xee673fc -256 -256 -0 -0 -0 -87 -0x96e2 -0x50db2456 -256 -256 -0 -0 -0 -68 -0xf4fb -0x88475fbc -256 -256 -1 -1 -0 -20 -0xecc3 -0x993d2621 -256 -256 -1 -1 -0 -88 -0x14ef -0xcae0d036 -256 -256 -1 -0 -0 -93 -0x8979 -0x4b674e56 -256 -256 -0 -0 -0 -70 -0x9d55 -0x59457088 -256 -256 -0 -0 -0 -78 -0xb48e -0xf83d0484 -256 -256 -1 -1 -0 -12 -0x26c5 -0x510ba053 -256 -256 -0 -0 -0 -59 -0xe70f -0x2804e20d -256 -256 -1 -1 -0 -64 -0x6cf5 -0xb67aba90 -256 -256 -1 -0 -0 -91 -0x9a63 -0x6201a5ee -256 -256 -0 -0 -0 -31 -0xfa3a -0x707077e6 -256 -256 -1 -0 -0 -67 -0xc0f9 -0xe3cef49a -256 -256 -1 -0 -0 -69 -0x255a -0x38b77e6f -256 -256 -1 -1 -0 -51 -0xb927 -0xfd7095f7 -256 -256 -1 -0 -0 -27 -0xff4d -0x50cd3585 -256 -256 -1 -0 -0 -83 -0x81c -0x444376c1 -256 -256 -0 -0 -0 -24 -0xff00 -0x45fa2f95 -256 -256 -1 -0 -0 -88 -0x98b9 -0x1d80c5a3 -256 -256 -0 -0 -0 -99 -0x106f -0x337fd140 -256 -256 -1 -0 -0 -68 -0x3a9c -0x490364c7 -256 -256 -0 -0 -0 -40 -0x3a4a -0xd3fdc4f0 -256 -256 -1 -1 -0 -79 -0x70 -0xc42d18ae -256 -256 -0 -0 -0 -65 -0x621f -0x5af195ea -256 -256 -1 -0 -0 -40 -0x2f89 -0x8d1474e1 -256 -256 -1 -1 -0 -17 -0xa8ac -0x8768b436 -256 -256 -1 -1 -0 -37 -0xa724 -0x925c2ff2 -256 -256 -1 -0 -0 -60 -0x43fd -0x11a4333c -256 -256 -0 -0 -0 -87 -0xdefe -0x5cddae5a -256 -256 -1 -1 -0 -88 -0x6f99 -0x7562b00 -256 -256 -0 -0 -0 -51 -0xe919 -0x433c7666 -256 -256 -1 -1 -0 -42 -0x4e60 -0x2e3ed1fe -256 -256 -1 -1 -0 -31 -0xcf1a -0xbd27b332 -256 -256 -0 -0 -0 -77 -0xf25a -0x5260f80 -256 -256 -0 -0 -0 -32 -0x2600 -0x5882953a -256 -256 -0 -0 -0 -20 -0x5c53 -0xce06fc8e -256 -256 -1 -1 -0 -32 -0x46d3 -0x4aaf6b46 -256 -256 -1 -0 -0 -78 -0xe84 -0x3edb22a1 -256 -256 -1 -1 -0 -59 -0x1748 -0x7bba6c6a -256 -256 -0 -0 -0 -62 -0xbe85 -0xb7babd99 -256 -256 -1 -0 -0 -49 -0x9edf -0xfc789dae -256 -256 -1 -0 -0 -98 -0x1f3 -0xa856793b -256 -256 -1 -1 -0 -40 -0xf634 -0xe95764c5 -256 -256 -0 -0 -0 -43 -0x9d71 -0x77648ad9 -256 -256 -0 -0 -0 -17 -0x38a9 -0x4559dbb6 -256 -256 -1 -1 -0 -98 -0x6b51 -0xb3f23872 -256 -256 -1 -0 -0 -82 -0x8342 -0x2307c99a -256 -256 -0 -0 -0 -60 -0x8e36 -0x1d961d25 -256 -256 -0 -0 -0 -37 -0xef5e -0xbe49476e -256 -256 -1 -0 -0 -77 -0x4223 -0x85c2dbfe -256 -256 -1 -0 -0 -91 -0x89ac -0x11553b0a -256 -256 -1 -0 -0 -85 -0xa3bd -0xb129da19 -256 -256 -1 -0 -0 -92 -0xa1b5 -0x97efe78d -256 -256 -1 -1 -0 -57 -0xad3a -0x3c37aabb -256 -256 -0 -0 -0 -45 -0x39b8 -0xe80344ab -256 -256 -1 -0 -0 -75 -0x2606 -0x38123311 -256 -256 -1 -0 -0 -75 -0x16f6 -0x555aae06 -256 -256 -0 -0 -0 -24 -0xb377 -0xa7f521b7 -256 -256 -0 -0 -0 -60 -0xc63 -0xbf5ccb6f -256 -256 -1 -0 -0 -98 -0x9ec6 -0x486995ec -256 -256 -1 -0 -0 -86 -0x4fd9 -0x157081f2 -256 -256 -1 -1 -0 -35 -0x92b -0x99fad6ad -256 -256 -1 -1 -0 -23 -0x6c91 -0x159a68f -256 -256 -0 -0 -0 -95 -0x2e02 -0xe10304c -256 -256 -0 -0 -0 -36 -0x321d -0x4b295a8a -256 -256 -0 -0 -0 -75 -0xe362 -0x80de23d1 -256 -256 -0 -0 -0 -56 -0xe91f -0xf750b34b -256 -256 -1 -0 -0 -53 -0x34ee -0xd8ab4d7f -256 -256 -1 -1 -0 -55 -0xbfca -0xd2a64430 -256 -256 -1 -1 -0 -92 -0xc4c3 -0x3d376a19 -256 -256 -1 -0 -0 -80 -0x3b4a -0x79fe2740 -256 -256 -0 -0 -0 -85 -0xb099 -0xaa6ab2b -256 -256 -0 -0 -0 -29 -0xba75 -0xa70a6b56 -256 -256 -1 -0 -0 -34 -0x9b27 -0xbd82116 -256 -256 -1 -0 -0 -69 -0x245c -0x3c4efabf -256 -256 -0 -0 -0 -61 -0x5a84 -0x66b50db7 -256 -256 -1 -1 -0 -46 -0x8b97 -0xb0cd364b -256 -256 -0 -0 -0 -47 -0x6081 -0x967dc4a6 -256 -256 -1 -0 -0 -96 -0x2b3e -0x9b15e754 -256 -256 -1 -0 -0 -59 -0x208f -0xf067302b -256 -256 -0 -0 -0 -46 -0xca88 -0xfe66fe11 -256 -256 -1 -1 -0 -39 -0x6821 -0x5292bdba -256 -256 -1 -0 -0 -98 -0xf2b2 -0xa621d84b -256 -256 -0 -0 -0 -28 -0x9f02 -0xc7117d56 -256 -256 -1 -1 -0 -25 -0x7c2b -0x94546580 -256 -256 -1 -1 -0 -23 -0xd845 -0x3f652ca8 -256 -256 -0 -0 -0 -41 -0xfab2 -0xacf28cb1 -256 -256 -0 -0 -0 -99 -0x5aa0 -0x43713317 -256 -256 -1 -0 -0 -80 -0x8003 -0x1bc69bf3 -256 -256 -1 -0 -0 -56 -0x152 -0xdf131248 -256 -256 -1 -0 -0 -96 -0x8301 -0x679cdcfe -256 -256 -1 -1 -0 -16 -0x77ea -0x8716d98e -256 -256 -0 -0 -0 -15 -0xddf6 -0x30afcfc5 -256 -256 -1 -0 -0 -19 -0x45bd -0x255e4c37 -256 -256 -1 -0 -0 -95 -0x9095 -0xd38a6b7e -256 -256 -1 -0 -0 -12 -0x9a3 -0xf0075f82 -256 -256 -1 -0 -0 -85 -0x3a19 -0x616747aa -256 -256 -1 -1 -0 -64 -0x2a5c -0x41a68bdc -256 -256 -1 -0 -0 -87 -0xf6c9 -0x866195e1 -256 -256 -1 -1 -0 -96 -0x50a6 -0x25904b02 -256 -256 -1 -1 -0 -95 -0xcb64 -0xd62b1939 -256 -256 -0 -0 -0 -47 -0xbc27 -0xf793c974 -256 -256 -1 -0 -0 -84 -0xa0ab -0x3d437dca -256 -256 -0 -0 -0 -11 -0x53b7 -0x9c668365 -256 -256 -0 -0 -0 -79 -0xbb04 -0x99ca245b -256 -256 -0 -0 -0 -81 -0x86f6 -0xb4513fe6 -256 -256 -1 -1 -0 -65 -0x5bc8 -0x6310db6c -256 -256 -0 -0 -0 -77 -0xc93b -0x68e8f0f7 -256 -256 -0 -0 -0 -60 -0x2e79 -0x3a3d8aa5 -256 -256 -0 -0 -0 -19 -0x9870 -0xbb5b42d5 -256 -256 -0 -0 -0 -10 -0xaa57 -0xbf056a05 -256 -256 -1 -1 -0 -89 -0xc3c3 -0xf57be869 -256 -256 -0 -0 -0 -78 -0x5ae1 -0x28188b9c -256 -256 -0 -0 -0 -50 -0x94ae -0x30adde24 -256 -256 -1 -0 -0 -97 -0x4dee -0x70b3ed2f -256 -256 -1 -0 -0 -33 -0xf609 -0x8d2e867c -256 -256 -1 -1 -0 -66 -0x5a2d -0x5122a57f -256 -256 -0 -0 -0 -32 -0x767c -0xe411bcea -256 -256 -1 -1 -0 -49 -0xafb7 -0xa26f8563 -256 -256 -1 -0 -0 -80 -0xd58f -0xe6a3593 -256 -256 -1 -0 -0 -68 -0x27c9 -0xa79ad182 -256 -256 -0 -0 -0 -22 -0x3e9 -0x120212a6 -256 -256 -0 -0 -0 -49 -0xbee0 -0xe85104ea -256 -256 -0 -0 -0 -99 -0xbdd5 -0xe9244161 -256 -256 -0 -0 -0 -35 -0xa124 -0x9373b330 -256 -256 -1 -0 -0 -53 -0x8b8e -0x76f15b6f -256 -256 -1 -0 -0 -66 -0xd0b8 -0x9f9b742f -256 -256 -1 -0 -0 -10 -0x29ad -0xc296c81a -256 -256 -1 -1 -0 -98 -0x35d1 -0x7bf0071f -256 -256 -1 -0 -0 -70 -0xe8ff -0x54116f85 -256 -256 -1 -1 -0 -54 -0x151b -0xc8c37313 -256 -256 -0 -0 -0 -75 -0x55b -0x743fda5c -256 -256 -1 -0 -0 -85 -0x80dd -0x3f938c6 -256 -256 -1 -1 -0 -98 -0x7114 -0xfc21f98c -256 -256 -1 -1 -0 -63 -0x374e -0xd550e91f -256 -256 -0 -0 -0 -70 -0xc88a -0xe1da8f50 -256 -256 -1 -0 -0 -45 -0x3a16 -0xa8ccc1e2 -256 -256 -1 -1 -0 -50 -0x4baa -0x30c11edc -256 -256 -0 -0 -0 -62 -0xe6a5 -0x56d69059 -256 -256 -0 -0 -0 -75 -0xcbf6 -0xc1fe9d06 -256 -256 -1 -1 -0 -14 -0x7634 -0xf280e8a2 -256 -256 -1 -1 -0 -68 -0x71b0 -0x603af418 -256 -256 -1 -0 -0 -75 -0x3de1 -0x27131825 -256 -256 -1 -1 -0 -13 -0x3ba2 -0x94d78e83 -256 -256 -0 -0 -0 -57 -0x5bbe -0x39f4cd94 -256 -256 -1 -1 -0 -15 -0x1e98 -0x45a24780 -256 -256 -1 -1 -0 -16 -0xcead -0x59e48a23 -256 -256 -1 -1 -0 -73 -0xce6d -0xb911617c -256 -256 -0 -0 -0 -82 -0xd162 -0x6cc2b674 -256 -256 -0 -0 -0 -24 -0x3969 -0x5d95ddc1 -256 -256 -1 -0 -0 -59 -0x82f0 -0x2266dbed -256 -256 -1 -1 -0 -95 -0xce81 -0x6655fde5 -256 -256 -1 -0 -0 -23 -0xd34 -0x818815a -256 -256 -1 -0 -0 -24 -0xdec3 -0x84812e17 -256 -256 -1 -0 -0 -98 -0x4f17 -0x8239c592 -256 -256 -1 -1 -0 -16 -0x3b83 -0x876c18e0 -256 -256 -0 -0 -0 -19 -0x1e6e -0xa0db4e57 -256 -256 -1 -0 -0 -63 -0xf1c4 -0x530bcab1 -256 -256 -0 -0 -0 -42 -0x632e -0x10bcb74d -256 -256 -1 -0 -0 -85 -0x94a9 -0x6ebb136f -256 -256 -0 -0 -0 -71 -0xdcce -0x9ad538d2 -256 -256 -0 -0 -0 -91 -0x9621 -0xe517e119 -256 -256 -0 -0 -0 -70 -0x58c7 -0x36721f19 -256 -256 -1 -1 -0 -18 -0xe767 -0x63c01833 -256 -256 -1 -0 -0 -94 -0x388a -0x3290adf0 -256 -256 -0 -0 -0 -65 -0x4bad -0xffd79aae -256 -256 -0 -0 -0 -95 -0xbe7c -0xa234357b -256 -256 -1 -1 -0 -74 -0x449e -0x388574fe -256 -256 -1 -0 -0 -21 -0xcba9 -0x62ad39d4 -256 -256 -0 -0 -0 -36 -0xf118 -0x6eb857a9 -256 -256 -1 -0 -0 -22 -0x58fd -0xa396804e -256 -256 -1 -1 -0 -24 -0x7daa -0x4195045d -256 -256 -1 -0 -0 -27 -0x43fd -0x31427a4d -256 -256 -1 -0 -0 -89 -0x737c -0xfb1b3f75 -256 -256 -0 -0 -0 -51 -0x6f21 -0x7ba1a1f9 -256 -256 -1 -0 -0 -43 -0xa80a -0x79491d01 -256 -256 -0 -0 -0 -49 -0x846c -0x5a785ac -256 -256 -0 -0 -0 -28 -0x1209 -0x56e23d00 -256 -256 -1 -0 -0 -97 -0x363e -0x88903ff9 -256 -256 -1 -0 -0 -97 -0xda1c -0x1d84b5d1 -256 -256 -0 -0 -0 -94 -0xb93e -0x4159b755 -256 -256 -0 -0 -0 -42 -0x6ea6 -0x91f99876 -256 -256 -0 -0 -0 -63 -0xb508 -0x208c79b6 -256 -256 -1 -1 -0 -98 -0xa70 -0x4982e8d3 -256 -256 -0 -0 -0 -60 -0x8a2a -0x22b60df8 -256 -256 -1 -1 -0 -99 -0xb864 -0xa94d8ff2 -256 -256 -0 -0 -0 -88 -0x6d6c -0x3837d4c -256 -256 -1 -0 -0 -43 -0x39ed -0xa77bb13 -256 -256 -1 -0 -0 -60 -0x43b -0x49128de3 -256 -256 -0 -0 -0 -13 -0xde8d -0xfa7fda4c -256 -256 -1 -0 -0 -63 -0xa0c8 -0xfa71c4a3 -256 -256 -0 -0 -0 -88 -0xb349 -0xeff0bb3c -256 -256 -1 -0 -0 -60 -0xadb1 -0x7eb30d31 -256 -256 -1 -1 -0 -30 -0x7c0b -0x345f6785 -256 -256 -0 -0 -0 -73 -0xcc00 -0x9f688b87 -256 -256 -1 -1 -0 -54 -0xae28 -0x5b20603f -256 -256 -1 -0 -0 -33 -0xd03e -0x60ee842f -256 -256 -1 -0 -0 -40 -0xb19e -0xa1d0d11e -256 -256 -0 -0 -0 -16 -0x393f -0x7abcb853 -256 -256 -1 -1 -0 -44 -0x4d6a -0xd5f41057 -256 -256 -0 -0 -0 -98 -0x6815 -0x92e60616 -256 -256 -0 -0 -0 -55 -0x558 -0xb2c88697 -256 -256 -0 -0 -0 -52 -0xcde9 -0x63fa223e -256 -256 -1 -1 -0 -19 -0xc022 -0x13b2a69c -256 -256 -0 -0 -0 -26 -0xed90 -0x7ae0d76a -256 -256 -0 -0 -0 -47 -0x4692 -0x40f755bf -256 -256 -1 -0 -0 -100 -0x5c3f -0xc00f0bab -256 -256 -1 -1 -0 -37 -0x7423 -0x2ed9ae43 -256 -256 -1 -0 -0 -92 -0x13b3 -0x4f30e8f5 -256 -256 -0 -0 -0 -95 -0x2e52 -0x208c9a09 -256 -256 -1 -1 -0 -98 -0xe7 -0x9252969d -256 -256 -1 -0 -0 -39 -0x7feb -0x43dc0789 -256 -256 -1 -1 -0 -84 -0x7169 -0x380c93cd -256 -256 -0 -0 -0 -39 -0x406a -0x3be76447 -256 -256 -1 -1 -0 -78 -0xca27 -0x515cf8b9 -256 -256 -1 -1 -0 -33 -0xedcd -0x26fca722 -256 -256 -0 -0 -0 -69 -0x94cd -0x631b2928 -256 -256 -0 -0 -0 -43 -0xc253 -0x55234f45 -256 -256 -0 -0 -0 -48 -0x7d86 -0xca3d54c5 -256 -256 -0 -0 -0 -25 -0x5038 -0xdd1fc735 -256 -256 -1 -0 -0 -23 -0xa1a5 -0xcca4d0f5 -256 -256 -1 -1 -0 -82 -0x2f0f -0xfd6e1b12 -256 -256 -1 -0 -0 -53 -0x3f9a -0x2b2ed5bb -256 -256 -1 -0 -0 -69 -0xb7a8 -0x27c32377 -256 -256 -0 -0 -0 -20 -0x7377 -0xb8d2fed7 -256 -256 -0 -0 -0 -23 -0xfea4 -0x6058914f -256 -256 -0 -0 -0 -55 -0xaab3 -0x11f174cb -256 -256 -1 -1 -0 -29 -0xeb8a -0x23c1ce8c -256 -256 -0 -0 -0 -16 -0xb54c -0xe8e8bd31 -256 -256 -0 -0 -0 -31 -0xea8a -0xd6c0615e -256 -256 -1 -1 -0 -35 -0x51d3 -0xb4fe0564 -256 -256 -0 -0 -0 -32 -0xb38a -0x5765e2cf -256 -256 -1 -0 -0 -40 -0x8b57 -0x20efa055 -256 -256 -0 -0 -0 -91 -0x2a93 -0x4d96fa3d -256 -256 -1 -1 -0 -49 -0xfbc9 -0x2ab50dce -256 -256 -1 -0 -0 -95 -0xaaab -0xf2e8b252 -256 -256 -0 -0 -0 -89 -0x491b -0x37386d7b -256 -256 -0 -0 -0 -68 -0xd76c -0x4c20a98b -256 -256 -0 -0 -0 -45 -0xe7c4 -0xd4f2eaec -256 -256 -0 -0 -0 -68 -0x46df -0xbf0a84a5 -256 -256 -0 -0 -0 -32 -0x134c -0xc7e4f193 -256 -256 -1 -1 -0 -53 -0x2828 -0xc91b9fec -256 -256 -1 -0 -0 -65 -0x94d0 -0xe85d1f60 -256 -256 -1 -0 -0 -75 -0xcd5 -0x84b3c866 -256 -256 -0 -0 -0 -86 -0x8373 -0x50cd9870 -256 -256 -0 -0 -0 -51 -0xd789 -0xf5b54a41 -256 -256 -0 -0 -0 -46 -0x71d4 -0xfa8476db -256 -256 -0 -0 -0 -38 -0x67c4 -0x20c1f774 -256 -256 -0 -0 -0 -28 -0x1ca6 -0xa23c8263 -256 -256 -0 -0 -0 -99 -0x74cb -0xa32941f0 -256 -256 -0 -0 -0 -67 -0xd6b1 -0xf0d1c5ac -256 -256 -0 -0 -0 -27 -0xf07 -0x9c2d47d8 -256 -256 -1 -0 -0 -79 -0x63db -0xcd8030e5 -256 -256 -1 -1 -0 -89 -0xaf42 -0xcd445f66 -256 -256 -0 -0 -0 -63 -0xa6cb -0x96c07f3 -256 -256 -1 -1 -0 -24 -0x3873 -0xe21e0de1 -256 -256 -0 -0 -0 -36 -0x222f -0xab275b60 -256 -256 -1 -0 -0 -90 -0x82b3 -0xd10db96e -256 -256 -0 -0 -0 -46 -0xdc99 -0xa0987b0e -256 -256 -0 -0 -0 -30 -0x7ede -0xab5b87d2 -256 -256 -1 -0 -0 -94 -0x749e -0xe19a832a -256 -256 -0 -0 -0 -34 -0x7b1c -0x45910395 -256 -256 -0 -0 -0 -61 -0x2e98 -0xd7cbab02 -256 -256 -1 -0 -0 -50 -0x120d -0xbbf3b063 -256 -256 -1 -1 -0 -12 -0x7db0 -0x6154284b -256 -256 -0 -0 -0 -39 -0xb685 -0x5fa72984 -256 -256 -0 -0 -0 -68 -0x75b3 -0x932c52e8 -256 -256 -0 -0 -0 -66 -0x2c3 -0xc786ca90 -256 -256 -0 -0 -0 -88 -0x6ec2 -0xd0e75eb8 -256 -256 -1 -0 -0 -78 -0x7bd2 -0x44d0fd9a -256 -256 -0 -0 -0 -21 -0x6084 -0xae4c0a5d -256 -256 -0 -0 -0 -66 -0x1e93 -0xf3b90b04 -256 -256 -0 -0 -0 -90 -0x53d9 -0xc30e3d3b -256 -256 -0 -0 -0 -64 -0x6157 -0xe8ad12a2 -256 -256 -0 -0 -0 -25 -0x43e1 -0x29231f8 -256 -256 -0 -0 -0 -23 -0xad63 -0x893c7a6f -256 -256 -1 -1 -0 -66 -0xacb0 -0xecee390 -256 -256 -1 -0 -0 -32 -0x332f -0xd8f95140 -256 -256 -0 -0 -0 -28 -0xd2cf -0xe5da6506 -256 -256 -0 -0 -0 -47 -0xb5fa -0x1a4559e3 -256 -256 -0 -0 -0 -90 -0x6e81 -0xe40a11e5 -256 -256 -0 -0 -0 -50 -0x9899 -0xbb1da2c0 -256 -256 -0 -0 -0 -72 -0x3e66 -0x4c84b6de -256 -256 -0 -0 -0 -52 -0x46ae -0x6271d86f -256 -256 -1 -0 -0 -40 -0xd250 -0x5d60b35a -256 -256 -1 -1 -0 -98 -0x7edf -0x763d141b -256 -256 -0 -0 -0 -63 -0xb89 -0x7cf32296 -256 -256 -1 -1 -0 -50 -0x1067 -0x572606bd -256 -256 -0 -0 -0 -19 -0x5cfa -0x23451039 -256 -256 -0 -0 -0 -89 -0x23e6 -0xfe6ed53e -256 -256 -1 -0 -0 -83 -0xb32e -0x23790b0f -256 -256 -0 -0 -0 -22 -0xfd34 -0xa3161623 -256 -256 -0 -0 -0 -94 -0x306b -0x15bd2630 -256 -256 -0 -0 -0 -67 -0x33dd -0xad76c0b6 -256 -256 -0 -0 -0 -21 -0xdbe9 -0xdca386f6 -256 -256 -0 -0 -0 -97 -0xfb65 -0x5ce721a9 -256 -256 -1 -1 -0 -88 -0xe48d -0xcac2c6ee -256 -256 -1 -0 -0 -82 -0x7d17 -0x7d87b944 -256 -256 -1 -0 -0 -74 -0x8af9 -0x61fcf3ff -256 -256 -0 -0 -0 -46 -0xe39f -0x9e58d8d5 -256 -256 -0 -0 -0 -78 -0xef37 -0xfac1c244 -256 -256 -0 -0 -0 -83 -0x3d2c -0x12ad0deb -256 -256 -0 -0 -0 -39 -0xa9d4 -0xa8f139fb -256 -256 -0 -0 -0 -53 -0x98ee -0x662f2af5 -256 -256 -1 -0 -0 -20 -0x5a4 -0x5301fc2b -256 -256 -1 -1 -0 -47 -0xc5f8 -0x30a4cce0 -256 -256 -1 -0 -0 -11 -0x8955 -0xd191e822 -256 -256 -0 -0 -0 -58 -0xc0c1 -0x319d4bef -256 -256 -0 -0 -0 -38 -0x7b9b -0x89d3d145 -256 -256 -0 -0 -0 -41 -0x142c -0xb2cdcafe -256 -256 -1 -1 -0 -11 -0xb225 -0x938d4bed -256 -256 -0 -0 -0 -60 -0xb2c4 -0x505c4cc1 -256 -256 -1 -0 -0 -97 -0x29f3 -0x7a7f306f -256 -256 -0 -0 -0 -56 -0x9db1 -0x67b15d3e -256 -256 -0 -0 -0 -52 -0x3fcd -0x12541c7a -256 -256 -1 -0 -0 -59 -0xf8b0 -0xf959d4fd -256 -256 -0 -0 -0 -67 -0xeae2 -0x2527fa5 -256 -256 -1 -1 -0 -69 -0xde28 -0x4a5b8e77 -256 -256 -1 -1 -0 -54 -0x401e -0x88c9103f -256 -256 -0 -0 -0 -74 -0x1914 -0x2c1f2ca4 -256 -256 -0 -0 -0 -97 -0x120c -0xb4bea2f1 -256 -256 -1 -0 -0 -26 -0x14c9 -0xd8630519 -256 -256 -1 -1 -0 -38 -0xa4c -0xa12f82b6 -256 -256 -0 -0 -0 -22 -0x6e7e -0xa27cef3a -256 -256 -1 -1 -0 -97 -0xda9b -0xea5ddb5d -256 -256 -1 -0 -0 -89 -0xdf22 -0x25ddc76a -256 -256 -1 -1 -0 -12 -0xb354 -0xdabba64e -256 -256 -1 -1 -0 -37 -0x7c5a -0xaea14fce -256 -256 -0 -0 -0 -58 -0x3a2d -0x1d820b45 -256 -256 -0 -0 -0 -51 -0xf574 -0x439b9383 -256 -256 -1 -0 -0 -62 -0xed77 -0xadf20234 -256 -256 -0 -0 -0 -44 -0x9761 -0x9bd6a36f -256 -256 -1 -1 -0 -73 -0x3f89 -0x5a8822cc -256 -256 -1 -1 -0 -13 -0xf8b3 -0x4ad0546b -256 -256 -0 -0 -0 -64 -0x64c7 -0xdb0523af -256 -256 -1 -0 -0 -78 -0x6f30 -0xc9fb2af9 -256 -256 -0 -0 -0 -88 -0x59a1 -0x9d2e52fc -256 -256 -1 -0 -0 -46 -0xbd93 -0xf59baf5a -256 -256 -1 -1 -0 -13 -0xc084 -0xd31eefe5 -256 -256 -1 -1 -0 -53 -0xcad1 -0x3b37632d -256 -256 -1 -0 -0 -100 -0x73ef -0x2ea55844 -256 -256 -1 -1 -0 -56 -0xb5ef -0x62ef7382 -256 -256 -0 -0 -0 -71 -0xc21e -0x46288e58 -256 -256 -1 -1 -0 -95 -0xf9d2 -0x853699c -256 -256 -1 -0 -0 -42 -0x3dc1 -0x62812e01 -256 -256 -0 -0 -0 -27 -0x1236 -0x6bc42c68 -256 -256 -0 -0 -0 -30 -0xda69 -0xd4dfd669 -256 -256 -1 -1 -0 -91 -0x8952 -0x5966d504 -256 -256 -0 -0 -0 -69 -0x1982 -0x7a56c3fd -256 -256 -1 -0 -0 -47 -0xde40 -0xcafa39e4 -256 -256 -0 -0 -0 -73 -0x62b2 -0xb223d7fc -256 -256 -1 -0 -0 -50 -0x4726 -0xa57365a2 -256 -256 -0 -0 -0 -75 -0xa124 -0xb6242734 -256 -256 -1 -0 -0 -97 -0x2a5a -0x8d731c58 -256 -256 -0 -0 -0 -59 -0xa822 -0xd2415c2f -256 -256 -0 -0 -0 -74 -0x60da -0xb7dc3221 -256 -256 -1 -0 -0 -72 -0xd0da -0x5c130eb7 -256 -256 -0 -0 -0 -42 -0x3c59 -0x677490b2 -256 -256 -1 -1 -0 -11 -0xdd5d -0x88f7067c -256 -256 -0 -0 -0 -69 -0x5e3 -0xe3fe8377 -256 -256 -0 -0 -0 -96 -0x7d97 -0xaacb0c86 -256 -256 -1 -0 -0 -18 -0x927c -0xb36d84f7 -256 -256 -0 -0 -0 -84 -0xce21 -0x2d612292 -256 -256 -1 -1 -0 -80 -0xeafa -0x41a15cc7 -256 -256 -0 -0 -0 -91 -0xb9d6 -0xd47e5e0c -256 -256 -1 -0 -0 -37 -0xbab5 -0xa5103eec -256 -256 -0 -0 -0 -51 -0x9e6e -0x81949275 -256 -256 -0 -0 -0 -74 -0x7424 -0x64b28d14 -256 -256 -1 -1 -0 -16 -0xeb09 -0x81f943aa -256 -256 -0 -0 -0 -13 -0xe7a3 -0x972a2704 -256 -256 -0 -0 -0 -80 -0x3a52 -0x6f5c1cd -256 -256 -1 -0 -0 -11 -0x8597 -0x60b37aba -256 -256 -1 -1 -0 -64 -0xa51a -0x9903cdcd -256 -256 -0 -0 -0 -87 -0x8409 -0xb784309c -256 -256 -1 -0 -0 -71 -0xc1b6 -0x58e3724d -256 -256 -1 -1 -0 -66 -0x9a03 -0x2e821460 -256 -256 -1 -0 -0 -26 -0xcff -0x3cfe9aea -256 -256 -0 -0 -0 -26 -0x4d8 -0x367a06df -256 -256 -1 -1 -0 -17 -0xb9ba -0x7fe9ecb0 -256 -256 -0 -0 -0 -25 -0x1400 -0x8a3c33e8 -256 -256 -0 -0 -0 -48 -0x39c4 -0xf92a2c18 -256 -256 -0 -0 -0 -12 -0x36a8 -0xf3b7b698 -256 -256 -1 -1 -0 -33 -0xb9ec -0x2e8a9d58 -256 -256 -1 -0 -0 -24 -0xe082 -0x3ee9cf9c -256 -256 -1 -1 -0 -73 -0xf030 -0x3e624aaf -256 -256 -1 -1 -0 -91 -0x71e6 -0xde44c101 -256 -256 -1 -1 -0 -60 -0x74f -0xf3810762 -256 -256 -1 -0 -0 -92 -0xb0cb -0xd4966b68 -256 -256 -0 -0 -0 -49 -0x17c2 -0x3a48dd98 -256 -256 -0 -0 -0 -91 -0xa743 -0x7471311e -256 -256 -0 -0 -0 -65 -0x7628 -0x67bd5811 -256 -256 -0 -0 -0 -60 -0x7f0d -0x27c7cbce -256 -256 -1 -0 -0 -51 -0xa8f5 -0xf49b8d95 -256 -256 -1 -1 -0 -59 -0xb5b2 -0x5654164e -256 -256 -1 -0 -0 -44 -0x106e -0x120d74d0 -256 -256 -1 -1 -0 -29 -0xe4ba -0xf3f28777 -256 -256 -0 -0 -0 -13 -0xb127 -0x7aff0a7 -256 -256 -0 -0 -0 -29 -0x87c8 -0xbbeaacd5 -256 -256 -0 -0 -0 -28 -0xc57b -0x60120e -256 -256 -0 -0 -0 -48 -0xea80 -0x37cc12b1 -256 -256 -1 -1 -0 -64 -0x5cda -0xffd0d676 -256 -256 -1 -0 -0 -19 -0xb94c -0x82af0b9a -256 -256 -1 -1 -0 -94 -0xbc27 -0x45f2ece3 -256 -256 -1 -0 -0 -99 -0xa073 -0x31c4bea7 -256 -256 -1 -1 -0 -96 -0x1606 -0xc9b558bb -256 -256 -1 -0 -0 -67 -0xb77d -0x5484c26a -256 -256 -0 -0 -0 -78 -0x6cf7 -0x45700f1a -256 -256 -1 -0 -0 -36 -0xc5d -0x7e858b29 -256 -256 -1 -1 -0 -86 -0x4159 -0x94e3536e -256 -256 -0 -0 -0 -76 -0x2c6b -0x6fdf8ec1 -256 -256 -0 -0 -0 -75 -0x1bf -0xe41ff457 -256 -256 -1 -0 -0 -88 -0xedd4 -0xae51c161 -256 -256 -0 -0 -0 -40 -0x3664 -0x3c22e37c -256 -256 -1 -0 -0 -28 -0xca4a -0xc91d3a3c -256 -256 -1 -0 -0 -10 -0x30d -0xfece5bf8 -256 -256 -0 -0 -0 -45 -0xcb86 -0x2cfbf320 -256 -256 -1 -0 -0 -67 -0xd1fb -0x969fa971 -256 -256 -1 -1 -0 -86 -0xe78 -0x5935c28 -256 -256 -1 -0 -0 -28 -0xfbd1 -0x5fb34e1 -256 -256 -1 -1 -0 -26 -0x977e -0x36622d40 -256 -256 -0 -0 -0 -92 -0x4507 -0x6353287e -256 -256 -1 -0 -0 -80 -0xe20d -0x9f0cf444 -256 -256 -0 -0 -0 -80 -0x9b0b -0x46aa7ddd -256 -256 -1 -1 -0 -12 -0x63a6 -0x2a013bb9 -256 -256 -0 -0 -0 -42 -0x6ff3 -0x1a2f9eea -256 -256 -1 -0 -0 -55 -0xc364 -0xa4f1d6fe -256 -256 -1 -1 -0 -84 -0x10c6 -0xaa6508f7 -256 -256 -1 -1 -0 -98 -0x6a05 -0xb97a6c14 -256 -256 -0 -0 -0 -14 -0x6762 -0xb61c82b -256 -256 -1 -0 -0 -36 -0x798a -0xcf5fd3b2 -256 -256 -0 -0 -0 -22 -0x35d8 -0x3787dea -256 -256 -1 -0 -0 -27 -0xe959 -0xaccec19b -256 -256 -1 -1 -0 -94 -0x1777 -0x1c06ddc3 -256 -256 -1 -1 -0 -93 -0x9dc4 -0x1d127ab3 -256 -256 -1 -1 -0 -33 -0xfea3 -0xb1a1ad3 -256 -256 -1 -1 -0 -28 -0x719 -0x502c26f6 -256 -256 -1 -0 -0 -46 -0x136d -0x4328bd31 -256 -256 -0 -0 -0 -60 -0x4662 -0x47bd2cdd -256 -256 -0 -0 -0 -95 -0x17f3 -0x7019c805 -256 -256 -1 -0 -0 -28 -0x1523 -0x43b8b4ee -256 -256 -1 -1 -0 -89 -0xa7ac -0x56ed799 -256 -256 -1 -1 -0 -66 -0x1871 -0x95619fd5 -256 -256 -0 -0 -0 -88 -0xd39 -0xcb5976b3 -256 -256 -0 -0 -0 -73 -0xd609 -0x5e2ff385 -256 -256 -0 -0 -0 -69 -0x3348 -0x7254cf5f -256 -256 -1 -1 -0 -38 -0xd895 -0x4d9e63a0 -256 -256 -0 -0 -0 -70 -0x4e3b -0xc0c7a8da -256 -256 -0 -0 -0 -43 -0xf5ad -0xb76ecd3d -256 -256 -1 -0 -0 -65 -0xc26f -0xcf6c0151 -256 -256 -1 -1 -0 -45 -0xecae -0xae9a87f6 -256 -256 -1 -1 -0 -37 -0x7e09 -0xfa4bef88 -256 -256 -0 -0 -0 -17 -0x9ec9 -0xa3232210 -256 -256 -0 -0 -0 -58 -0x9ba8 -0xb9b856e1 -256 -256 -1 -1 -0 -33 -0xb8c1 -0x2b29612a -256 -256 -1 -1 -0 -96 -0x9360 -0xc3043957 -256 -256 -0 -0 -0 -13 -0x2014 -0x4229a6c -256 -256 -1 -1 -0 -18 -0x94e2 -0xa1f62dd6 -256 -256 -1 -1 -0 -90 -0xd8c5 -0xc6970ebc -256 -256 -0 -0 -0 -87 -0x2548 -0xe9c8a8aa -256 -256 -1 -0 -0 -37 -0x7ee2 -0x6ff240f3 -256 -256 -1 -0 -0 -71 -0xf098 -0x65f875b -256 -256 -0 -0 -0 -69 -0xb266 -0x15443639 -256 -256 -0 -0 -0 -84 -0x5f10 -0xcb0853d7 -256 -256 -1 -1 -0 -17 -0xfa76 -0x15e8f70c -256 -256 -1 -0 -0 -93 -0x6f9b -0xbced4fb -256 -256 -0 -0 -0 -53 -0xdb83 -0x8bbeb0eb -256 -256 -0 -0 -0 -10 -0x9712 -0xd52c2e19 -256 -256 -1 -1 -0 -17 -0x4070 -0xa6461b90 -256 -256 -0 -0 -0 -67 -0x563c -0x8f15aabf -256 -256 -0 -0 -0 -93 -0x48f0 -0x7e912ae8 -256 -256 -0 -0 -0 -50 -0x233 -0xf3554001 -256 -256 -1 -0 -0 -39 -0xb01a -0xfa8f38a9 -256 -256 -1 -1 -0 -14 -0x1d8a -0x1c1d7df2 -256 -256 -0 -0 -0 -59 -0xd05a -0x47dee28f -256 -256 -0 -0 -0 -19 -0xe610 -0xcf9b048c -256 -256 -1 -1 -0 -27 -0xd0eb -0x4276ff2 -256 -256 -1 -0 -0 -40 -0x86ec -0xc7512a82 -256 -256 -1 -1 -0 -11 -0xd423 -0x5d6269c3 -256 -256 -1 -1 -0 -75 -0xa057 -0xfb290784 -256 -256 -0 -0 -0 -11 -0x7ebb -0xfc39b86e -256 -256 -0 -0 -0 -89 -0xe6a -0xe34d58e1 -256 -256 -0 -0 -0 -93 -0xf9f5 -0x1054104b -256 -256 -1 -0 -0 -38 -0xb382 -0x4197fbf9 -256 -256 -0 -0 -0 -58 -0x72a3 -0xc5aa7d7f -256 -256 -0 -0 -0 -44 -0x3101 -0x6352b3d5 -256 -256 -1 -0 -0 -41 -0x973f -0xa5e96915 -256 -256 -0 -0 -0 -32 -0x71da -0x667530a -256 -256 -0 -0 -0 -49 -0x574a -0x33974f25 -256 -256 -0 -0 -0 -13 -0x161f -0x13948020 -256 -256 -0 -0 -0 -10 -0xcf71 -0xd623c111 -256 -256 -1 -0 -0 -93 -0x7ff5 -0x1dc78216 -256 -256 -0 -0 -0 -14 -0x3b37 -0xd8615f7a -256 -256 -0 -0 -0 -23 -0xe633 -0xe2f9ce4e -256 -256 -1 -1 -0 -82 -0x5513 -0xe668158e -256 -256 -0 -0 -0 -18 -0x99a6 -0xe6c7b9f3 -256 -256 -0 -0 -0 -71 -0xec36 -0x1f6af3d9 -256 -256 -0 -0 -0 -52 -0xef3e -0x42737de7 -256 -256 -0 -0 -0 -96 -0xeacc -0x63877c3a -256 -256 -1 -1 -0 -87 -0xd724 -0x8a72542d -256 -256 -0 -0 -0 -99 -0xf66e -0x20d6a1ae -256 -256 -0 -0 -0 -32 -0xda6a -0xb5384071 -256 -256 -1 -0 -0 -29 -0x4fe0 -0x35ece5b -256 -256 -1 -0 -0 -18 -0xe7a6 -0x80535a47 -256 -256 -0 -0 -0 -32 -0x3f4a -0xf4084091 -256 -256 -0 -0 -0 -22 -0x1bce -0x6515098f -256 -256 -1 -0 -0 -59 -0xd76a -0x96ac58e7 -256 -256 -0 -0 -0 -19 -0xafe7 -0x685e3d51 -256 -256 -1 -0 -0 -90 -0x693f -0x2533308a -256 -256 -0 -0 -0 -72 -0x12 -0x3ffda2b6 -256 -256 -0 -0 -0 -42 -0x3b41 -0xc6fab9a7 -256 -256 -1 -1 -0 -21 -0x86a7 -0x324778ad -256 -256 -0 -0 -0 -97 -0x1054 -0x747ad9dc -256 -256 -1 -0 -0 -56 -0xde9c -0x898dfb91 -256 -256 -1 -1 -0 -75 -0x7f83 -0xa14d7df1 -256 -256 -0 -0 -0 -66 -0xb80f -0x24f5d1bd -256 -256 -1 -0 -0 -99 -0x5130 -0xdc57f580 -256 -256 -1 -0 -0 -92 -0xacbf -0x4eb5b375 -256 -256 -0 -0 -0 -46 -0x2958 -0xc81a0eda -256 -256 -0 -0 -0 -76 -0x6784 -0x142aa726 -256 -256 -1 -0 -0 -42 -0xa973 -0x9bc38edf -256 -256 -0 -0 -0 -85 -0x9e56 -0xd9d61b66 -256 -256 -0 -0 -0 -18 -0xf85 -0xdfd07101 -256 -256 -1 -0 -0 -29 -0x3d09 -0x1735a357 -256 -256 -1 -0 -0 -23 -0x3123 -0xef1aa76d -256 -256 -1 -0 -0 -88 -0xb2c6 -0xa694dd2c -256 -256 -0 -0 -0 -21 -0xc276 -0xaf068a87 -256 -256 -0 -0 -0 -82 -0xaa6f -0xdb780576 -256 -256 -1 -0 -0 -83 -0xc7f3 -0x23a433c -256 -256 -1 -0 -0 -49 -0xa011 -0xb959a74a -256 -256 -0 -0 -0 -11 -0x8138 -0x635ab27e -256 -256 -1 -1 -0 -77 -0x617b -0x8fb84979 -256 -256 -0 -0 -0 -10 -0xdff -0x47521c34 -256 -256 -0 -0 -0 -62 -0x230 -0xbf824390 -256 -256 -0 -0 -0 -53 -0xf03f -0x15d65006 -256 -256 -0 -0 -0 -19 -0xd71e -0x238c99f6 -256 -256 -0 -0 -0 -44 -0x6942 -0x867d553a -256 -256 -0 -0 -0 -16 -0x218e -0x6517a5d3 -256 -256 -1 -0 -0 -49 -0x36eb -0xee91404c -256 -256 -0 -0 -0 -11 -0x14a6 -0x3d92eddd -256 -256 -0 -0 -0 -44 -0x5f54 -0xa3162690 -256 -256 -1 -1 -0 -34 -0xae9e -0xe73bf1fc -256 -256 -1 -0 -0 -65 -0xd94e -0xa1528e98 -256 -256 -0 -0 -0 -96 -0x22d9 -0x6328f91c -256 -256 -1 -1 -0 -66 -0xbafa -0xcacc995e -256 -256 -1 -0 -0 -43 -0xa5cd -0xc84df8e4 -256 -256 -0 -0 -0 -85 -0x8e26 -0x2a718302 -256 -256 -1 -1 -0 -91 -0xb509 -0xf7285ab -256 -256 -0 -0 -0 -12 -0xeacb -0x5c161273 -256 -256 -1 -1 -0 -60 -0x1ce7 -0x8b06e4e1 -256 -256 -0 -0 -0 -37 -0x6169 -0x73f965cf -256 -256 -0 -0 -0 -16 -0xdb8 -0x198bfa70 -256 -256 -1 -0 -0 -16 -0x3204 -0x8ca129d -256 -256 -0 -0 -0 -62 -0x3b76 -0xcd88293a -256 -256 -1 -0 -0 -25 -0xc416 -0x65198d08 -256 -256 -1 -1 -0 -18 -0x2de2 -0xeabf8f96 -256 -256 -1 -1 -0 -57 -0xc495 -0x37d909c9 -256 -256 -1 -0 -0 -69 -0x869c -0x39105a5a -256 -256 -0 -0 -0 -83 -0x2482 -0xcb02ef63 -256 -256 -1 -0 -0 -50 -0x663e -0x8e0ff8b7 -256 -256 -1 -0 -0 -68 -0xec96 -0xfc41ed3 -256 -256 -0 -0 -0 -54 -0x74fb -0x24612ff5 -256 -256 -0 -0 -0 -16 -0x7e0b -0xa1612c50 -256 -256 -0 -0 -0 -61 -0x257a -0x1a23d139 -256 -256 -0 -0 -0 -37 -0x5317 -0x8b243fa9 -256 -256 -0 -0 -0 -98 -0xab41 -0xdd7c9704 -256 -256 -1 -0 -0 -46 -0x3bf0 -0x2650c8fd -256 -256 -1 -1 -0 -93 -0xd0c3 -0xc85c7c88 -256 -256 -1 -1 -0 -22 -0xac1e -0xb9d6d697 -256 -256 -0 -0 -0 -64 -0x18b0 -0x91bc0976 -256 -256 -0 -0 -0 -93 -0x17e5 -0x482fc221 -256 -256 -0 -0 -0 -31 -0x8b81 -0x555b054c -256 -256 -1 -1 -0 -21 -0xc9ad -0x1ae849dc -256 -256 -0 -0 -0 -63 -0x57bc -0xf2c852d3 -256 -256 -1 -1 -0 -51 -0x3ad9 -0x762c7dbd -256 -256 -1 -1 -0 -30 -0x1168 -0x1732fdb7 -256 -256 -1 -0 -0 -47 -0xe0a5 -0x53acb39b -256 -256 -1 -0 -0 -85 -0xb4eb -0x6b98639 -256 -256 -1 -1 -0 -28 -0x914f -0x84d6b427 -256 -256 -1 -1 -0 -40 -0xab8e -0x4ca5817e -256 -256 -1 -0 -0 -70 -0x2f0d -0xb2ea3b05 -256 -256 -0 -0 -0 -49 -0x5f38 -0x86fec9e9 -256 -256 -1 -0 -0 -66 -0x1ee8 -0x401b23ce -256 -256 -1 -1 -0 -19 -0xb243 -0x9dd5355f -256 -256 -0 -0 -0 -76 -0xa314 -0x3ac7332a -256 -256 -0 -0 -0 -47 -0xef81 -0xbaf0ec -256 -256 -1 -1 -0 -98 -0xa7f9 -0x3f87902f -256 -256 -1 -0 -0 -20 -0x3607 -0x8011de96 -256 -256 -0 -0 -0 -44 -0x12cb -0xc59293ad -256 -256 -1 -0 -0 -57 -0x6d3e -0x7b34fe2e -256 -256 -1 -1 -0 -95 -0x1f6f -0x24c88f7 -256 -256 -1 -0 -0 -41 -0xd027 -0xf5f8d15b -256 -256 -0 -0 -0 -23 -0x59be -0x6da15da5 -256 -256 -0 -0 -0 -20 -0x4d9a -0xa5cc8489 -256 -256 -0 -0 -0 -46 -0xe942 -0x931ebe02 -256 -256 -1 -1 -0 -46 -0x428e -0xec540c4c -256 -256 -0 -0 -0 -91 -0xb165 -0x748ed400 -256 -256 -0 -0 -0 -31 -0x6b1 -0x6deebfa4 -256 -256 -0 -0 -0 -70 -0x5c51 -0xb22aa1a6 -256 -256 -0 -0 -0 -59 -0xdf08 -0x4982d514 -256 -256 -1 -1 -0 -68 -0xc51 -0x7eeccda1 -256 -256 -0 -0 -0 -82 -0xd27 -0xda57ed8a -256 -256 -0 -0 -0 -18 -0x9d12 -0x986db59a -256 -256 -0 -0 -0 -62 -0xf9c2 -0x76b4ea82 -256 -256 -1 -0 -0 -24 -0xe53b -0x14988379 -256 -256 -1 -0 -0 -10 -0xb77e -0xd9224446 -256 -256 -0 -0 -0 -92 -0xfcb9 -0x283d0ff6 -256 -256 -1 -1 -0 -20 -0x8c20 -0x22a28c44 -256 -256 -1 -0 -0 -46 -0x5000 -0xa54d0cf -256 -256 -0 -0 -0 -95 -0x33fd -0xf49acdf3 -256 -256 -0 -0 -0 -52 -0x9d2 -0xb83a87b8 -256 -256 -1 -0 -0 -14 -0x10a7 -0x18caae56 -256 -256 -1 -1 -0 -96 -0xe0e6 -0x7d553429 -256 -256 -0 -0 -0 -12 -0x52f4 -0xcfb4eac2 -256 -256 -1 -0 -0 -14 -0x8ac3 -0xfbd37c52 -256 -256 -1 -1 -0 -56 -0x2f7d -0x101ee547 -256 -256 -0 -0 -0 -76 -0xcbc5 -0x35d831f5 -256 -256 -0 -0 -0 -20 -0x684f -0xf4ecd6c9 -256 -256 -1 -0 -0 -37 -0x2aa9 -0xd7b4e28f -256 -256 -1 -1 -0 -50 -0xbb58 -0x210a8c75 -256 -256 -1 -1 -0 -97 -0xaf80 -0xdeb9c936 -256 -256 -1 -1 -0 -38 -0x80dd -0xb48fb777 -256 -256 -1 -1 -0 -41 -0xd6cc -0xf67a86ff -256 -256 -0 -0 -0 -30 -0x1cbf -0xeed562e8 -256 -256 -1 -0 -0 -13 -0x1fea -0xde99e52f -256 -256 -0 -0 -0 -16 -0xb13d -0xde1c7bee -256 -256 -1 -1 -0 -42 -0xe19d -0xeefc1f9e -256 -256 -1 -0 -0 -84 -0x77f9 -0xf085a70c -256 -256 -1 -0 -0 -92 -0x1ca3 -0x9eb91d6b -256 -256 -0 -0 -0 -40 -0x2420 -0x9a667e9d -256 -256 -1 -1 -0 -58 -0x975d -0xdfd6bb3b -256 -256 -0 -0 -0 -57 -0xd6f7 -0x8bba7a5f -256 -256 -1 -0 -0 -24 -0xb537 -0x9582f53f -256 -256 -1 -1 -0 -35 -0x7584 -0x8f7ffb40 -256 -256 -1 -1 -0 -68 -0x9ed1 -0x22d2bfc7 -256 -256 -1 -0 -0 -67 -0xa3d4 -0x24f7fbe0 -256 -256 -1 -0 -0 -67 -0x7974 -0x720812a3 -256 -256 -1 -0 -0 -85 -0x852c -0x29d1cf86 -256 -256 -0 -0 -0 -60 -0xa169 -0xbb0e1d30 -256 -256 -0 -0 -0 -88 -0x62e2 -0xe02f94fe -256 -256 -0 -0 -0 -62 -0x5114 -0xebc25333 -256 -256 -1 -0 -0 -80 -0xdee2 -0x63491ea6 -256 -256 -0 -0 -0 -27 -0xf2d9 -0x18a6b578 -256 -256 -1 -0 -0 -34 -0x2b64 -0xbe0ba582 -256 -256 -0 -0 -0 -30 -0x710e -0x8290838b -256 -256 -0 -0 -0 -32 -0x96d4 -0xb4f20653 -256 -256 -1 -1 -0 -64 -0xee4f -0x7f3fd5ef -256 -256 -1 -0 -0 -58 -0xcb06 -0xa98961a4 -256 -256 -1 -0 -0 -21 -0x2f2c -0x893f404d -256 -256 -1 -0 -0 -19 -0xbf0a -0x160cd526 -256 -256 -1 -0 -0 -62 -0xff41 -0xf5c8b0c -256 -256 -0 -0 -0 -89 -0x66dc -0xa51ead84 -256 -256 -1 -1 -0 -43 -0xf422 -0x5fa28bb0 -256 -256 -0 -0 -0 -47 -0x7fda -0x9ab6b03c -256 -256 -0 -0 -0 -56 -0xa0ef -0x3c4a8217 -256 -256 -0 -0 -0 -48 -0x76db -0x3f7c9682 -256 -256 -0 -0 -0 -54 -0x85bc -0x8b0933e2 -256 -256 -0 -0 -0 -35 -0x63e3 -0x900ac627 -256 -256 -0 -0 -0 -34 -0x5a8e -0x53564c36 -256 -256 -1 -0 -0 -39 -0xbd3d -0x7b0aac89 -256 -256 -1 -0 -0 -54 -0x303a -0x9dcaa200 -256 -256 -0 -0 -0 -48 -0x9d3b -0x7fa3989e -256 -256 -1 -0 -0 -49 -0x5ff0 -0xded11521 -256 -256 -0 -0 -0 -68 -0xbe7e -0x6cd21089 -256 -256 -1 -1 -0 -55 -0x5fee -0x3e56a136 -256 -256 -0 -0 -0 -75 -0x22cc -0x90d5c575 -256 -256 -1 -1 -0 -24 -0xfe9 -0xbc45fac2 -256 -256 -0 -0 -0 -98 -0xb0bf -0x6981d2b -256 -256 -0 -0 -0 -30 -0x9d9e -0x5faa482d -256 -256 -0 -0 -0 -79 -0xee57 -0xe10ddd89 -256 -256 -1 -0 -0 -98 -0xb9df -0xf7d99d24 -256 -256 -1 -0 -0 -40 -0x2581 -0x499b0a69 -256 -256 -1 -0 -0 -67 -0xaca5 -0xc3b35ceb -256 -256 -0 -0 -0 -72 -0x1e7b -0x34db311 -256 -256 -1 -0 -0 -73 -0x90d9 -0xfac28187 -256 -256 -1 -1 -0 -68 -0xff4a -0xdabc3b1d -256 -256 -1 -0 -0 -100 -0x88dc -0x3d1c9f43 -256 -256 -0 -0 -0 -42 -0x3c41 -0x25560443 -256 -256 -1 -0 -0 -56 -0x905 -0x68192915 -256 -256 -1 -0 -0 -66 -0x77d5 -0x43dfd723 -256 -256 -1 -1 -0 -85 -0x3f68 -0xcf4801aa -256 -256 -0 -0 -0 -15 -0x69a0 -0xb9a090ee -256 -256 -1 -1 -0 -27 -0xf3c8 -0x6e20f3aa -256 -256 -0 -0 -0 -71 -0x8901 -0x38a21b89 -256 -256 -0 -0 -0 -43 -0x56bc -0xe940e29d -256 -256 -0 -0 -0 -92 -0xde9f -0x92814398 -256 -256 -0 -0 -0 -54 -0x6d17 -0x4f0efe8 -256 -256 -1 -0 -0 -38 -0xbba3 -0x6a543614 -256 -256 -1 -0 -0 -57 -0x44bb -0x58c751a3 -256 -256 -0 -0 -0 -31 -0x2d7c -0x294f2233 -256 -256 -0 -0 -0 -63 -0x5b28 -0x37eeed19 -256 -256 -1 -1 -0 -98 -0xded -0x1a13a94a -256 -256 -0 -0 -0 -70 -0xee45 -0x29b28474 -256 -256 -1 -0 -0 -55 -0x10e3 -0x5a43615 -256 -256 -1 -0 -0 -95 -0x4dff -0x55b9d5d2 -256 -256 -0 -0 -0 -18 -0x9c32 -0xed90cf57 -256 -256 -1 -1 -0 -80 -0x3901 -0x9c75c719 -256 -256 -0 -0 -0 -50 -0x273b -0xbbf3a11c -256 -256 -1 -1 -0 -16 -0xa655 -0xe4c57e38 -256 -256 -1 -0 -0 -51 -0xe96d -0xc8ef493e -256 -256 -0 -0 -0 -34 -0x2b45 -0x722f0885 -256 -256 -0 -0 -0 -75 -0x9e64 -0x7f034330 -256 -256 -0 -0 -0 -32 -0xd9d0 -0x2a1d3204 -256 -256 -0 -0 -0 -70 -0x7b98 -0x87aeb02e -256 -256 -0 -0 -0 -13 -0xdbfe -0xcb9a2014 -256 -256 -0 -0 -0 -79 -0x7fb3 -0xfeb6cd34 -256 -256 -0 -0 -0 -34 -0xe1a0 -0x3417a179 -256 -256 -1 -1 -0 -59 -0xc244 -0xc6a226f6 -256 -256 -0 -0 -0 -48 -0x2c6d -0xcea2dd40 -256 -256 -1 -0 -0 -26 -0xa28c -0xaa15e35f -256 -256 -1 -0 -0 -61 -0x87c0 -0x296cf47e -256 -256 -0 -0 -0 -12 -0x56f0 -0x24da31f2 -256 -256 -1 -0 -0 -51 -0x7db -0x86c9f0ce -256 -256 -0 -0 -0 -20 -0xea7d -0xa252a1cc -256 -256 -1 -1 -0 -21 -0x7d42 -0x6503704d -256 -256 -0 -0 -0 -43 -0xb148 -0x11fb3332 -256 -256 -1 -1 -0 -24 -0xad88 -0xcd1c3f90 -256 -256 -1 -0 -0 -47 -0x8b37 -0x1aaf90c6 -256 -256 -0 -0 -0 -92 -0x5068 -0xdf35cc5a -256 -256 -1 -1 -0 -48 -0x431a -0x48509782 -256 -256 -0 -0 -0 -58 -0xafa6 -0x295b6bd3 -256 -256 -0 -0 -0 -22 -0xda25 -0xcf72ee65 -256 -256 -1 -0 -0 -40 -0xf64e -0xc493920b -256 -256 -1 -1 -0 -12 -0xa42d -0x739955c4 -256 -256 -1 -0 -0 -79 -0x22d5 -0x6a7c455 -256 -256 -1 -0 -0 -87 -0xbe16 -0x320e4f5d -256 -256 -0 -0 -0 -90 -0xe5ce -0xf0c8636d -256 -256 -0 -0 -0 -57 -0xff55 -0x77094fa7 -256 -256 -0 -0 -0 -37 -0x9970 -0x796d1032 -256 -256 -1 -1 -0 -16 -0xfb2b -0xfb7ad5a8 -256 -256 -1 -1 -0 -57 -0x54fc -0x5dbe1eaf -256 -256 -1 -0 -0 -99 -0x7a53 -0x27120f48 -256 -256 -1 -0 -0 -14 -0x3ad7 -0xe941466d -256 -256 -1 -1 -0 -70 -0xc089 -0x13431699 -256 -256 -1 -1 -0 -56 -0xe162 -0x2b3a7fd8 -256 -256 -1 -0 -0 -14 -0x2c5f -0x449585af -256 -256 -1 -0 -0 -71 -0x7017 -0xa0a4ba73 -256 -256 -0 -0 -0 -55 -0x33d5 -0x95426f0d -256 -256 -1 -1 -0 -76 -0x4349 -0xbf9acadb -256 -256 -1 -1 -0 -69 -0xd701 -0xf6d7ff15 -256 -256 -1 -0 -0 -16 -0xebb0 -0xe4514e6b -256 -256 -1 -0 -0 -72 -0x8196 -0xcbd14228 -256 -256 -1 -1 -0 -65 -0x9588 -0x7e75c3b3 -256 -256 -0 -0 -0 -69 -0x9f24 -0x512ec306 -256 -256 -1 -1 -0 -84 -0x217b -0xa6dedc3e -256 -256 -1 -0 -0 -98 -0x3cc1 -0x9cb9eb25 -256 -256 -1 -1 -0 -16 -0x2c03 -0x7800518a -256 -256 -1 -1 -0 -69 -0xed68 -0x49d2851a -256 -256 -1 -1 -0 -58 -0x6e4a -0xda66996e -256 -256 -0 -0 -0 -75 -0xa1d -0x79200aee -256 -256 -1 -1 -0 -48 -0xfd8f -0xc4c76c70 -256 -256 -0 -0 -0 -28 -0x9d89 -0x6d740aa4 -256 -256 -0 -0 -0 -77 -0xd6eb -0x6345011a -256 -256 -1 -1 -0 -45 -0x4d8f -0xc170cb1b -256 -256 -0 -0 -0 -78 -0x4677 -0xa557edc7 -256 -256 -0 -0 -0 -33 -0x3b8f -0x9b4c5004 -256 -256 -1 -1 -0 -87 -0x2f34 -0xb6a5cd4e -256 -256 -1 -1 -0 -58 -0x3d39 -0xb26b01ea -256 -256 -1 -0 -0 -57 -0xfa75 -0xa6c0cd5d -256 -256 -0 -0 -0 -31 -0x1686 -0x2646f19a -256 -256 -1 -0 -0 -32 -0x7fef -0xcabaab3b -256 -256 -1 -1 -0 -51 -0x4624 -0x80250b07 -256 -256 -1 -1 -0 -45 -0x4f4a -0xf402263c -256 -256 -1 -1 -0 -47 -0x319 -0xa67944cd -256 -256 -0 -0 -0 -28 -0xf4a1 -0x22d1e511 -256 -256 -0 -0 -0 -31 -0x7ef -0x205d3680 -256 -256 -1 -1 -0 -96 -0xbd67 -0xa9a55398 -256 -256 -1 -0 -0 -56 -0x4c0a -0x4ccc96bc -256 -256 -1 -1 -0 -14 -0x44fc -0x9cd16c5e -256 -256 -0 -0 -0 -87 -0x10d0 -0xd196531c -256 -256 -1 -1 -0 -84 -0xd1b8 -0xc82623e6 -256 -256 -0 -0 -0 -26 -0xa867 -0x7e523fd2 -256 -256 -0 -0 -0 -63 -0xbfee -0x436af1c9 -256 -256 -1 -0 -0 -53 -0xcb77 -0x5ccf37ec -256 -256 -1 -1 -0 -38 -0xb93 -0x9a7c79f9 -256 -256 -1 -0 -0 -71 -0xad36 -0xe18143f1 -256 -256 -0 -0 -0 -67 -0x541b -0x549075f3 -256 -256 -1 -0 -0 -12 -0x1608 -0xc7db9d2b -256 -256 -1 -1 -0 -36 -0x6023 -0xc3e8b3e6 -256 -256 -0 -0 -0 -72 -0x56ac -0xbfb8fcf8 -256 -256 -0 -0 -0 -97 -0x9d7b -0x76e6cf4a -256 -256 -1 -1 -0 -55 -0xa304 -0x21fd0514 -256 -256 -1 -1 -0 -60 -0x6a74 -0x6d4b7f4c -256 -256 -1 -1 -0 -76 -0x2293 -0xa63c5cdf -256 -256 -1 -0 -0 -30 -0x585e -0x20a12fe1 -256 -256 -1 -0 -0 -82 -0xfa7 -0x39fb552e -256 -256 -1 -0 -0 -28 -0x17ca -0x3dfaea59 -256 -256 -1 -1 -0 -34 -0xaf2d -0x73ea8eb3 -256 -256 -0 -0 -0 -35 -0x94ce -0x382bf49f -256 -256 -1 -0 -0 -69 -0xf14 -0x5cbe34b0 -256 -256 -1 -0 -0 -94 -0x2f7d -0x47d1231d -256 -256 -0 -0 -0 -65 -0xd5f8 -0x611a0d6a -256 -256 -0 -0 -0 -100 -0x728d -0xb36189cc -256 -256 -0 -0 -0 -37 -0x6231 -0xce5f58c1 -256 -256 -0 -0 -0 -69 -0x1fef -0xee912909 -256 -256 -0 -0 -0 -49 -0x8b77 -0xb21fcf97 -256 -256 -1 -1 -0 -91 -0xfe42 -0x70cf6030 -256 -256 -1 -0 -0 -22 -0x1743 -0x3d8aa43 -256 -256 -1 -0 -0 -35 -0xa17d -0xf5726e8e -256 -256 -0 -0 -0 -64 -0x4e1d -0x97298cb5 -256 -256 -1 -1 -0 -51 -0x1547 -0xddee8fcc -256 -256 -0 -0 -0 -81 -0xebad -0x382aa7c0 -256 -256 -1 -1 -0 -19 -0x9766 -0x15da9378 -256 -256 -1 -1 -0 -16 -0xceda -0xcb2c98d -256 -256 -1 -0 -0 -59 -0x4a52 -0xf286f332 -256 -256 -0 -0 -0 -33 -0xc611 -0xee913b8c -256 -256 -1 -1 -0 -57 -0x421f -0x15aad766 -256 -256 -1 -1 -0 -42 -0x4474 -0xf24e27d -256 -256 -0 -0 -0 -76 -0xc675 -0x8239e679 -256 -256 -0 -0 -0 -95 -0xf7b8 -0xa1c8dfe -256 -256 -1 -0 -0 -66 -0x4c66 -0x4358c42c -256 -256 -0 -0 -0 -25 -0x5ffc -0x3ab6b558 -256 -256 -1 -1 -0 -31 -0x3b30 -0xc2654536 -256 -256 -0 -0 -0 -91 -0x6738 -0xe85a6050 -256 -256 -0 -0 -0 -49 -0xa1e -0x9d48dfbe -256 -256 -1 -1 -0 -80 -0x4c80 -0x16889875 -256 -256 -0 -0 -0 -57 -0xc0c8 -0xa5d10b74 -256 -256 -1 -1 -0 -97 -0xf87 -0x383f7f70 -256 -256 -0 -0 -0 -16 -0x72bb -0xf68b3126 -256 -256 -0 -0 -0 -44 -0x723c -0x1f6fcb69 -256 -256 -0 -0 -0 -95 -0xb5dc -0xcf969633 -256 -256 -0 -0 -0 -22 -0xfbf9 -0xbcaa6fc3 -256 -256 -1 -0 -0 -87 -0x85fd -0xbda6f4fe -256 -256 -1 -1 -0 -96 -0xf3f0 -0xc4ffd0f7 -256 -256 -0 -0 -0 -52 -0xa15c -0x52a6d4eb -256 -256 -0 -0 -0 -84 -0xf69f -0xb654501c -256 -256 -1 -1 -0 -35 -0x9717 -0x3d05fb7f -256 -256 -0 -0 -0 -97 -0x2115 -0x36f2ee90 -256 -256 -0 -0 -0 -23 -0x38f -0x69a46f32 -256 -256 -0 -0 -0 -93 -0x33b6 -0x8348c349 -256 -256 -1 -0 -0 -43 -0x6c8a -0x57cf585f -256 -256 -0 -0 -0 -42 -0xbfef -0xd089aad1 -256 -256 -1 -1 -0 -22 -0xcdb -0xb97e8ab7 -256 -256 -0 -0 -0 -77 -0xd1a9 -0x89064d72 -256 -256 -1 -0 -0 -11 -0x8516 -0xe95ad794 -256 -256 -1 -0 -0 -100 -0x14d8 -0x43938a38 -256 -256 -1 -0 -0 -80 -0xf527 -0x89c7d7f5 -256 -256 -0 -0 -0 -91 -0x91d2 -0x2fd0d7a7 -256 -256 -0 -0 -0 -53 -0x1f03 -0x9f3c8aaa -256 -256 -0 -0 -0 -91 -0x2c55 -0x28e98a7c -256 -256 -0 -0 -0 -63 -0xa40a -0x48fb3871 -256 -256 -1 -0 -0 -61 -0x17ff -0xcf1a481 -256 -256 -0 -0 -0 -43 -0xbd2f -0xf4931d56 -256 -256 -0 -0 -0 -16 -0xf21 -0x43482cce -256 -256 -0 -0 -0 -83 -0x4148 -0x76adb5bb -256 -256 -0 -0 -0 -92 -0xad4c -0x5f2cda15 -256 -256 -0 -0 -0 -48 -0x72d5 -0x13ef1c7 -256 -256 -1 -0 -0 -65 -0xf002 -0x7d84f815 -256 -256 -0 -0 -0 -24 -0x5b04 -0x12d4c61f -256 -256 -0 -0 -0 -46 -0x9d96 -0xe58e49ee -256 -256 -1 -0 -0 -25 -0x7cae -0xb7e89d3e -256 -256 -0 -0 -0 -31 -0x20f5 -0x260a9b8c -256 -256 -0 -0 -0 -17 -0xde84 -0x9901c874 -256 -256 -0 -0 -0 -98 -0x8e8e -0xa31f2038 -256 -256 -1 -0 -0 -29 -0xfdb8 -0x91698043 -256 -256 -1 -0 -0 -73 -0x1aa -0x1c05f79c -256 -256 -1 -1 -0 -18 -0x18b7 -0xa5b2a5e6 -256 -256 -0 -0 -0 -69 -0x2bef -0x806a8694 -256 -256 -0 -0 -0 -84 -0x409 -0x40ce432d -256 -256 -0 -0 -0 -90 -0x2ab4 -0x1735163b -256 -256 -1 -1 -0 -27 -0xea9d -0x4e3b69eb -256 -256 -1 -0 -0 -63 -0xc8e4 -0x2da92209 -256 -256 -1 -1 -0 -65 -0x70e4 -0x302aaca6 -256 -256 -0 -0 -0 -97 -0xf262 -0x2803702 -256 -256 -1 -1 -0 -40 -0x3f90 -0xf1fabe81 -256 -256 -1 -1 -0 -61 -0xab9a -0xbdf698e3 -256 -256 -1 -1 -0 -95 -0x98b9 -0xa7e7be01 -256 -256 -0 -0 -0 -67 -0x9be5 -0xe3760c1b -256 -256 -1 -1 -0 -68 -0x8668 -0xdeed0a49 -256 -256 -1 -1 -0 -64 -0x331f -0xb6a21f03 -256 -256 -1 -1 -0 -73 -0xb562 -0xe46ff8c0 -256 -256 -0 -0 -0 -87 -0x710a -0xb44ead6d -256 -256 -1 -1 -0 -33 -0xea2a -0xe441aaf7 -256 -256 -1 -0 -0 -18 -0x1c45 -0xffe503f7 -256 -256 -1 -0 -0 -92 -0x7a90 -0x17c5aba4 -256 -256 -0 -0 -0 -50 -0x5a84 -0x89d2a15d -256 -256 -1 -0 -0 -63 -0x155 -0xb42a1f37 -256 -256 -0 -0 -0 -33 -0x365 -0x5b9ff13f -256 -256 -1 -0 -0 -59 -0xd64a -0x6ab5bc3a -256 -256 -0 -0 -0 -46 -0x33fa -0x863cf941 -256 -256 -1 -0 -0 -49 -0xa998 -0x98e409ea -256 -256 -0 -0 -0 -38 -0x1667 -0x622bb184 -256 -256 -0 -0 -0 -96 -0x1322 -0xbbce9b86 -256 -256 -1 -1 -0 -59 -0x93a2 -0x5b212184 -256 -256 -0 -0 -0 -96 -0x624 -0xff3d9ee2 -256 -256 -1 -1 -0 -91 -0x7b0d -0xbcd5b6c2 -256 -256 -0 -0 -0 -70 -0xc8ad -0x9e10e31d -256 -256 -0 -0 -0 -79 -0x94c7 -0x41b4a960 -256 -256 -1 -0 -0 -56 -0x6a9d -0x1372bf77 -256 -256 -0 -0 -0 -97 -0x198a -0x8d740c9b -256 -256 -0 -0 -0 -24 -0x1e75 -0xa64f5329 -256 -256 -1 -0 -0 -39 -0x9c4c -0xfd826ba -256 -256 -0 -0 -0 -46 -0xf232 -0x9fa845d6 -256 -256 -1 -1 -0 -37 -0xb42a -0x8a3ff0b0 -256 -256 -0 -0 -0 -47 -0xea6b -0x5765f45e -256 -256 -1 -0 -0 -93 -0x1ae -0x649abf37 -256 -256 -0 -0 -0 -90 -0x7dde -0x5ae4abcf -256 -256 -0 -0 -0 -73 -0x33cd -0xbe628c9b -256 -256 -0 -0 -0 -50 -0x31da -0x33eb36ab -256 -256 -1 -0 -0 -73 -0x1c5 -0x19bae18 -256 -256 -0 -0 -0 -78 -0xf983 -0x4f499ee0 -256 -256 -0 -0 -0 -56 -0xf71f -0xf5f31441 -256 -256 -1 -0 -0 -95 -0x22d4 -0x99e35eb7 -256 -256 -0 -0 -0 -58 -0x7d3a -0x40d5df4c -256 -256 -1 -1 -0 -82 -0x74c4 -0xffcaa4e6 -256 -256 -1 -0 -0 -29 -0x8cc6 -0x336159b3 -256 -256 -0 -0 -0 -95 -0xe061 -0x38935c18 -256 -256 -0 -0 -0 -19 -0x9260 -0xd0908da1 -256 -256 -0 -0 -0 -77 -0x1e02 -0xb5698ec5 -256 -256 -0 -0 -0 -90 -0x3382 -0x16774325 -256 -256 -0 -0 -0 -70 -0xede8 -0xdce69e0b -256 -256 -0 -0 -0 -21 -0xa280 -0xee8d0a2e -256 -256 -1 -0 -0 -35 -0x8a69 -0x7934826e -256 -256 -0 -0 -0 -65 -0x4433 -0xcc7c88fb -256 -256 -0 -0 -0 -70 -0x44a7 -0xf4fc279e -256 -256 -0 -0 -0 -59 -0x1775 -0xa7f49eea -256 -256 -1 -0 -0 -40 -0x1d25 -0x57ee9a70 -256 -256 -1 -1 -0 -49 -0xc8b5 -0x3158384e -256 -256 -0 -0 -0 -34 -0x4b72 -0x853f648d -256 -256 -1 -1 -0 -93 -0x87a4 -0xe20b15a7 -256 -256 -0 -0 -0 -20 -0xafbd -0x5ed6706a -256 -256 -0 -0 -0 -43 -0xd845 -0x4bc577ca -256 -256 -0 -0 -0 -33 -0x117 -0x5c0ca124 -256 -256 -0 -0 -0 -24 -0xd706 -0x68b4a70d -256 -256 -0 -0 -0 -92 -0x2646 -0xb4ecc0c -256 -256 -0 -0 -0 -82 -0x8b6e -0x85fbbe61 -256 -256 -0 -0 -0 -15 -0xc455 -0x7dea1a6b -256 -256 -1 -0 -0 -22 -0xe6ff -0x7a915f67 -256 -256 -0 -0 -0 -15 -0xb2b -0x56ddeb64 -256 -256 -0 -0 -0 -61 -0x96be -0xf4c72d06 -256 -256 -0 -0 -0 -72 -0xf875 -0x6f9a6945 -256 -256 -0 -0 -0 -12 -0x8a6b -0x994d53bd -256 -256 -0 -0 -0 -46 -0xb6cd -0x7929920c -256 -256 -0 -0 -0 -16 -0x126e -0x2e901b16 -256 -256 -1 -1 -0 -50 -0x5930 -0xd7da97da -256 -256 -0 -0 -0 -66 -0x6625 -0x288d89a2 -256 -256 -1 -0 -0 -55 -0xd338 -0x8d95631b -256 -256 -0 -0 -0 -79 -0x2813 -0x32eb848e -256 -256 -0 -0 -0 -11 -0x1640 -0xae90fcc0 -256 -256 -0 -0 -0 -42 -0x2e9c -0x4d6e6dc5 -256 -256 -0 -0 -0 -72 -0xf3df -0x72f2b85b -256 -256 -1 -0 -0 -12 -0x2b07 -0xa7a97cce -256 -256 -0 -0 -0 -74 -0xe5e3 -0xabeaa65c -256 -256 -1 -0 -0 -57 -0x7f2e -0xde394964 -256 -256 -0 -0 -0 -39 -0x1c31 -0x4b118110 -256 -256 -1 -0 -0 -36 -0x131b -0x42c5b62 -256 -256 -0 -0 -0 -80 -0xe97a -0xbaaea3e5 -256 -256 -0 -0 -0 -61 -0x8c41 -0xa752107b -256 -256 -1 -1 -0 -22 -0xf716 -0xd839c096 -256 -256 -0 -0 -0 -95 -0x549a -0x444271ec -256 -256 -0 -0 -0 -30 -0x74a5 -0xc2de1034 -256 -256 -0 -0 -0 -56 -0x6464 -0xb69d1023 -256 -256 -0 -0 -0 -99 -0xa25b -0xc5d3956a -256 -256 -0 -0 -0 -53 -0x8b15 -0x15737f27 -256 -256 -1 -1 -0 -74 -0x8b2e -0xdc289b02 -256 -256 -1 -1 -0 -57 -0x568f -0x1d80b526 -256 -256 -1 -0 -0 -34 -0x91a1 -0x7d4e8a9f -256 -256 -0 -0 -0 -11 -0x6aee -0xce110896 -256 -256 -0 -0 -0 -65 -0x5c4 -0x32697444 -256 -256 -0 -0 -0 -75 -0x176f -0xe48f6275 -256 -256 -0 -0 -0 -16 -0x4410 -0x622d50bb -256 -256 -1 -0 -0 -75 -0x7098 -0xa212e1d4 -256 -256 -0 -0 -0 -93 -0x5103 -0xa3226b3 -256 -256 -0 -0 -0 -85 -0x2783 -0x1cd11eaa -256 -256 -0 -0 -0 -70 -0xa3b3 -0xcc905332 -256 -256 -1 -0 -0 -11 -0xe1af -0xe4c7aa10 -256 -256 -0 -0 -0 -100 -0x4057 -0x775b2317 -256 -256 -1 -1 -0 -60 -0x7f77 -0x2bb9bb68 -256 -256 -1 -0 -0 -37 -0xbfda -0x25a1f51e -256 -256 -1 -0 -0 -47 -0x9175 -0xf3ffd57d -256 -256 -1 -0 -0 -58 -0xc951 -0x17ac55df -256 -256 -0 -0 -0 -92 -0x97cc -0x8a0d4b36 -256 -256 -1 -1 -0 -19 -0x2b84 -0x9fa07ecc -256 -256 -0 -0 -0 -94 -0xfa68 -0x7ffca740 -256 -256 -1 -1 -0 -31 -0xe3cc -0xbadd0f41 -256 -256 -1 -1 -0 -88 -0x3eb6 -0x143f1de2 -256 -256 -0 -0 -0 -74 -0xd6c2 -0x3a4c8816 -256 -256 -1 -1 -0 -63 -0x7fdc -0xa22dab3a -256 -256 -1 -1 -0 -67 -0x63e2 -0x1ac5c15f -256 -256 -0 -0 -0 -85 -0xf52d -0x47f7db79 -256 -256 -0 -0 -0 -74 -0x4684 -0xd0fbe39f -256 -256 -0 -0 -0 -31 -0x4eb4 -0xcb93f20f -256 -256 -0 -0 -0 -93 -0xfc38 -0xb96b6965 -256 -256 -0 -0 -0 -87 -0x1ed8 -0x76a54e6b -256 -256 -0 -0 -0 -58 -0xaaae -0xf62671df -256 -256 -0 -0 -0 -81 -0xe3f6 -0x34ae57c4 -256 -256 -1 -0 -0 -56 -0x3b58 -0x330d1b3c -256 -256 -0 -0 -0 -32 -0x5fdf -0x9507a4a2 -256 -256 -1 -0 -0 -78 -0x2f0 -0xe1e194c5 -256 -256 -0 -0 -0 -34 -0x405e -0xd34a090c -256 -256 -1 -0 -0 -19 -0x8df4 -0xf487b852 -256 -256 -1 -1 -0 -16 -0xf03f -0xd97deea4 -256 -256 -0 -0 -0 -94 -0xb098 -0x83796faf -256 -256 -0 -0 -0 -36 -0xd113 -0xb0b74950 -256 -256 -0 -0 -0 -57 -0xdede -0x3760a39d -256 -256 -0 -0 -0 -56 -0xd48c -0xf9432a7 -256 -256 -1 -1 -0 -82 -0x7f9f -0xa3e722c0 -256 -256 -1 -0 -0 -42 -0xb27c -0xd711f11a -256 -256 -1 -0 -0 -63 -0x8e08 -0x543ace95 -256 -256 -1 -1 -0 -87 -0xc0b1 -0xa1f236c8 -256 -256 -0 -0 -0 -40 -0xe0bc -0x3720196f -256 -256 -0 -0 -0 -13 -0x7379 -0x333a0174 -256 -256 -1 -0 -0 -93 -0x7ea2 -0xb1289fc4 -256 -256 -0 -0 -0 -75 -0x5a3c -0x9014b80a -256 -256 -0 -0 -0 -19 -0x3046 -0x99474d84 -256 -256 -1 -1 -0 -86 -0xd6e -0x23f49959 -256 -256 -0 -0 -0 -80 -0x5993 -0x5dee9e12 -256 -256 -1 -1 -0 -30 -0x23ff -0x8827ef2c -256 -256 -0 -0 -0 -71 -0x5cff -0x3d1a1b3a -256 -256 -1 -1 -0 -50 -0x86e2 -0xc3fcafb -256 -256 -0 -0 -0 -96 -0x3aae -0x7fdfeb6e -256 -256 -0 -0 -0 -96 -0x920c -0x118577b1 -256 -256 -1 -0 -0 -40 -0x2dd2 -0x148a33ec -256 -256 -0 -0 -0 -67 -0xf79f -0x50566597 -256 -256 -1 -0 -0 -53 -0xc860 -0x6e591844 -256 -256 -0 -0 -0 -40 -0xcde8 -0x4ec3abd5 -256 -256 -0 -0 -0 -94 -0x7a2b -0x5577c61 -256 -256 -0 -0 -0 -70 -0x2a69 -0x12bc4a9c -256 -256 -1 -0 -0 -24 -0x320e -0x574a9e0a -256 -256 -1 -0 -0 -13 -0xdde1 -0xf57407e4 -256 -256 -1 -1 -0 -36 -0x6cad -0x29109028 -256 -256 -0 -0 -0 -98 -0x257d -0xbd5a1ead -256 -256 -1 -1 -0 -88 -0xa966 -0x1dba72b8 -256 -256 -0 -0 -0 -29 -0xb1f5 -0x7bb346a1 -256 -256 -1 -0 -0 -94 -0x1da8 -0x5739676c -256 -256 -0 -0 -0 -81 -0xd493 -0x415bdd58 -256 -256 -1 -0 -0 -76 -0x667 -0xd1f4f367 -256 -256 -1 -1 -0 -71 -0xecaf -0x602a809d -256 -256 -1 -0 -0 -34 -0x2722 -0xdebada3 -256 -256 -0 -0 -0 -19 -0x9dc8 -0x708381a7 -256 -256 -0 -0 -0 -74 -0x8018 -0x1402080f -256 -256 -0 -0 -0 -93 -0x6d19 -0xc6711759 -256 -256 -1 -0 -0 -31 -0xb709 -0x4b4641db -256 -256 -0 -0 -0 -19 -0xdd8 -0xdf3b9afa -256 -256 -1 -1 -0 -32 -0x9622 -0x5fc4b7e8 -256 -256 -0 -0 -0 -62 -0xae61 -0xec5dc0c -256 -256 -0 -0 -0 -14 -0x19e6 -0x549872a7 -256 -256 -1 -0 -0 -91 -0x2b6c -0xb4d5078f -256 -256 -1 -1 -0 -11 -0x272b -0xcfbca7c -256 -256 -1 -1 -0 -98 -0x3ad9 -0x91e5ca8f -256 -256 -0 -0 -0 -62 -0x862d -0x87d8d000 -256 -256 -0 -0 -0 -15 -0x8877 -0x953fd1a3 -256 -256 -1 -1 -0 -98 -0xdce6 -0x2e789b21 -256 -256 -1 -1 -0 -27 -0xa402 -0x85a7f5b3 -256 -256 -1 -0 -0 -69 -0x6eb4 -0x2bf574f2 -256 -256 -1 -1 -0 -94 -0x158 -0xd57127d4 -256 -256 -1 -0 -0 -100 -0x9620 -0x31e05fd8 -256 -256 -0 -0 -0 -15 -0xd761 -0x9c398b32 -256 -256 -0 -0 -0 -90 -0x7af8 -0x4692d23e -256 -256 -0 -0 -0 -59 -0x3afd -0xbb77e1f7 -256 -256 -0 -0 -0 -66 -0xf9a8 -0xefb12bb2 -256 -256 -1 -0 -0 -100 -0x6552 -0xd66aa1e5 -256 -256 -0 -0 -0 -60 -0x9891 -0xb1cbfca -256 -256 -1 -0 -0 -58 -0x2254 -0x6ee634b -256 -256 -1 -0 -0 -81 -0x8922 -0xd7deb53f -256 -256 -0 -0 -0 -30 -0xb730 -0x36343169 -256 -256 -0 -0 -0 -43 -0x5ecb -0x2ce74e2d -256 -256 -1 -1 -0 -66 -0xf930 -0xa8dfd4b8 -256 -256 -0 -0 -0 -93 -0xd637 -0x337a470d -256 -256 -1 -1 -0 -66 -0x1fd0 -0xcaf5013d -256 -256 -0 -0 -0 -66 -0x6d96 -0x1e33b442 -256 -256 -1 -0 -0 -47 -0xd60f -0x537546a1 -256 -256 -0 -0 -0 -26 -0xbc16 -0x4816570b -256 -256 -1 -1 -0 -30 -0x3601 -0xf6b4dc63 -256 -256 -1 -1 -0 -63 -0x9a65 -0x90c6f532 -256 -256 -1 -1 -0 -18 -0xdaf8 -0xfa87c6f0 -256 -256 -1 -1 -0 -49 -0xf95e -0xbec4c638 -256 -256 -1 -1 -0 -81 -0x1254 -0x87230590 -256 -256 -1 -1 -0 -48 -0x30fa -0xe95143ed -256 -256 -0 -0 -0 -46 -0xb9bc -0x9ffe22e4 -256 -256 -0 -0 -0 -91 -0x2f15 -0xd69d9d11 -256 -256 -0 -0 -0 -48 -0xc936 -0x3b270178 -256 -256 -1 -1 -0 -100 -0x947a -0xbb79179 -256 -256 -1 -0 -0 -79 -0x533f -0xac582afb -256 -256 -1 -1 -0 -10 -0x17dd -0x5a5f9286 -256 -256 -0 -0 -0 -10 -0x893b -0x947161f1 -256 -256 -0 -0 -0 -67 -0xd20 -0x6690fecd -256 -256 -0 -0 -0 -72 -0x41c1 -0xd4a1554b -256 -256 -1 -0 -0 -10 -0xda5c -0xf2d513a4 -256 -256 -0 -0 -0 -55 -0xd1b9 -0x6fcf7627 -256 -256 -0 -0 -0 -11 -0xf5ed -0xb02c1b9a -256 -256 -1 -0 -0 -12 -0xb890 -0xec48cceb -256 -256 -1 -1 -0 -64 -0x6898 -0x8fe9adfd -256 -256 -1 -1 -0 -36 -0x18eb -0x76f3f550 -256 -256 -0 -0 -0 -72 -0xc49c -0x9835f981 -256 -256 -0 -0 -0 -25 -0x4479 -0x126f0c90 -256 -256 -0 -0 -0 -95 -0x7c80 -0x757cc01 -256 -256 -1 -0 -0 -85 -0xd059 -0x1239bc1c -256 -256 -1 -1 -0 -22 -0xd86e -0x1b6042f8 -256 -256 -1 -0 -0 -81 -0x40ce -0xb4b23414 -256 -256 -1 -1 -0 -59 -0x3e44 -0x36df89d9 -256 -256 -1 -1 -0 -38 -0x343b -0x24280b0c -256 -256 -1 -1 -0 -75 -0xc8d1 -0xa301eb28 -256 -256 -1 -1 -0 -62 -0x85ab -0x754e62b7 -256 -256 -0 -0 -0 -15 -0xc5ba -0x17606af3 -256 -256 -1 -0 -0 -100 -0x2ed2 -0xa5da8573 -256 -256 -0 -0 -0 -69 -0x5449 -0x3c646bb0 -256 -256 -1 -0 -0 -56 -0xb408 -0xacd5fc50 -256 -256 -1 -0 -0 -71 -0x1bb6 -0x8355ab21 -256 -256 -0 -0 -0 -35 -0x613a -0x4942c32f -256 -256 -0 -0 -0 -25 -0x7ec -0xb56229f7 -256 -256 -0 -0 -0 -88 -0x9df7 -0x1145c087 -256 -256 -0 -0 -0 -55 -0x9fdd -0x740d7d8c -256 -256 -0 -0 -0 -38 -0x1c18 -0x89048f13 -256 -256 -1 -1 -0 -98 -0xcab6 -0x85325f03 -256 -256 -0 -0 -0 -79 -0x14a0 -0xff2c48f0 -256 -256 -1 -0 -0 -93 -0xeaa2 -0x4ad82053 -256 -256 -1 -0 -0 -47 -0x7771 -0x1654bd03 -256 -256 -1 -0 -0 -68 -0xd065 -0x9b5aa762 -256 -256 -0 -0 -0 -59 -0xdd69 -0xa99f4775 -256 -256 -0 -0 -0 -49 -0x4dd8 -0xb17e139d -256 -256 -0 -0 -0 -82 -0x4849 -0x17ec3b44 -256 -256 -0 -0 -0 -52 -0x4df -0x155d409 -256 -256 -0 -0 -0 -52 -0xc3a7 -0x81c5e11 -256 -256 -0 -0 -0 -67 -0x9f89 -0xdffba766 -256 -256 -1 -0 -0 -93 -0x4ffc -0x72032f1e -256 -256 -0 -0 -0 -30 -0xe27f -0xfe30e6b6 -256 -256 -1 -0 -0 -64 -0x8b7e -0xd1987e7a -256 -256 -0 -0 -0 -24 -0xe4df -0xeaae01ec -256 -256 -1 -1 -0 -58 -0x979a -0x95b8ef93 -256 -256 -1 -1 -0 -23 -0xe46 -0x2ba9de5e -256 -256 -1 -1 -0 -59 -0x8023 -0xc0db1c48 -256 -256 -1 -1 -0 -23 -0x2235 -0xbb157628 -256 -256 -1 -0 -0 -92 -0x34ab -0xd91b386b -256 -256 -0 -0 -0 -75 -0x1168 -0xaba8b0b3 -256 -256 -0 -0 -0 -27 -0xcbaf -0xd9150e2d -256 -256 -1 -1 -0 -55 -0x5971 -0x719e0bf0 -256 -256 -0 -0 -0 -61 -0xddab -0x99c32f0b -256 -256 -0 -0 -0 -64 -0x29b0 -0x3142506e -256 -256 -0 -0 -0 -38 -0xec21 -0x6544f19e -256 -256 -1 -1 -0 -25 -0x902 -0xf3f4057e -256 -256 -0 -0 -0 -64 -0x55ec -0x201779c5 -256 -256 -1 -1 -0 -13 -0xcf53 -0x95b8f26f -256 -256 -1 -1 -0 -36 -0xdf9d -0x516feb6c -256 -256 -0 -0 -0 -95 -0xbd82 -0xb7ab7c72 -256 -256 -1 -0 -0 -74 -0xcd3c -0x99135308 -256 -256 -0 -0 -0 -48 -0xd38c -0x2a1d94f7 -256 -256 -0 -0 -0 -69 -0x4a90 -0x176a6bc3 -256 -256 -1 -0 -0 -74 -0x7669 -0xee8681b5 -256 -256 -1 -1 -0 -47 -0x7c3d -0xd4fd1d4f -256 -256 -0 -0 -0 -54 -0xae14 -0x54513540 -256 -256 -1 -0 -0 -65 -0x94c6 -0xf7b02a33 -256 -256 -1 -1 -0 -60 -0xb846 -0x23d6c2c1 -256 -256 -0 -0 -0 -33 -0x4c7f -0x5b5a400 -256 -256 -1 -1 -0 -44 -0xff86 -0xdfdb80df -256 -256 -1 -1 -0 -49 -0x1c22 -0x66291b0a -256 -256 -1 -1 -0 -67 -0x4825 -0xbd0074c1 -256 -256 -0 -0 -0 -61 -0xaa29 -0x4702399a -256 -256 -1 -0 -0 -49 -0xc68f -0x7d0d13ac -256 -256 -1 -1 -0 -36 -0xc297 -0xd6a63765 -256 -256 -1 -1 -0 -26 -0xdb74 -0x6e9c1299 -256 -256 -1 -0 -0 -39 -0x8d0a -0xf0011852 -256 -256 -0 -0 -0 -72 -0x607f -0x172238a3 -256 -256 -0 -0 -0 -18 -0x3123 -0xe8497783 -256 -256 -1 -0 -0 -43 -0xc366 -0xd625ed45 -256 -256 -1 -0 -0 -72 -0xe56f -0x652f4973 -256 -256 -0 -0 -0 -88 -0xd9d8 -0xc2609381 -256 -256 -1 -0 -0 -72 -0xfae9 -0x7bec1939 -256 -256 -0 -0 -0 -83 -0xcdfe -0xc7518ea1 -256 -256 -0 -0 -0 -23 -0x86ee -0x65354330 -256 -256 -0 -0 -0 -28 -0xb32 -0xf2b73d3 -256 -256 -0 -0 -0 -58 -0x60a1 -0xa9fb2aec -256 -256 -0 -0 -0 -82 -0x442c -0x1e8ba879 -256 -256 -1 -0 -0 -37 -0xd651 -0xe81ecb56 -256 -256 -0 -0 -0 -38 -0xb025 -0x3f360a5d -256 -256 -0 -0 -0 -28 -0x6339 -0x465e8e82 -256 -256 -1 -1 -0 -71 -0x5bba -0xe36d4fd2 -256 -256 -0 -0 -0 -37 -0xe41a -0xd37fbed -256 -256 -1 -1 -0 -74 -0x2122 -0x961d6219 -256 -256 -0 -0 -0 -96 -0xd5d0 -0x65972351 -256 -256 -0 -0 -0 -44 -0x147d -0x29145f2d -256 -256 -0 -0 -0 -41 -0xf99b -0xe3c6c731 -256 -256 -1 -0 -0 -42 -0xccb9 -0xefb24042 -256 -256 -1 -1 -0 -13 -0xe143 -0xb0847e59 -256 -256 -0 -0 -0 -11 -0xad2b -0xda1a24a3 -256 -256 -1 -1 -0 -25 -0x2145 -0xd40c82bc -256 -256 -1 -0 -0 -25 -0x3bbd -0x1f0466c7 -256 -256 -0 -0 -0 -34 -0x3445 -0x64067b5c -256 -256 -0 -0 -0 -22 -0x7fbe -0x27d7bc0 -256 -256 -1 -1 -0 -70 -0x3b32 -0x75b54871 -256 -256 -1 -1 -0 -83 -0x81b4 -0x5c40c861 -256 -256 -1 -1 -0 -24 -0x927c -0x7ea9dcd0 -256 -256 -1 -1 -0 -39 -0x65c5 -0x27a71b9e -256 -256 -0 -0 -0 -34 -0xd4b4 -0x1669647d -256 -256 -0 -0 -0 -46 -0x2954 -0xdda3d1a0 -256 -256 -0 -0 -0 -49 -0x1154 -0x20cff9c8 -256 -256 -1 -1 -0 -51 -0xfb1 -0xf6eae570 -256 -256 -1 -1 -0 -51 -0x7333 -0x9ecf568f -256 -256 -0 -0 -0 -32 -0xace2 -0xee1ce1b9 -256 -256 -1 -1 -0 -32 -0xd4a3 -0x41bdf330 -256 -256 -1 -0 -0 -75 -0xf180 -0x2f103bd9 -256 -256 -0 -0 -0 -28 -0x150c -0xd13d8cc8 -256 -256 -1 -0 -0 -89 -0x802d -0xf4bb57f0 -256 -256 -1 -0 -0 -66 -0x909d -0x24d8326c -256 -256 -0 -0 -0 -10 -0xaffd -0x426f6637 -256 -256 -1 -1 -0 -42 -0x568f -0x37531840 -256 -256 -1 -0 -0 -94 -0xed6f -0x368d4af3 -256 -256 -0 -0 -0 -55 -0x209f -0xd16d7908 -256 -256 -0 -0 -0 -18 -0xd3cd -0x32b9c5e7 -256 -256 -1 -1 -0 -27 -0xe055 -0xeb3c4eb2 -256 -256 -0 -0 -0 -67 -0x409 -0x4811cd7e -256 -256 -1 -1 -0 -54 -0x5b78 -0xf2404407 -256 -256 -1 -1 -0 -61 -0xea58 -0x49a3cd3a -256 -256 -0 -0 -0 -40 -0xdabc -0x7b2a97b4 -256 -256 -1 -1 -0 -87 -0xdabe -0x9906d6ec -256 -256 -0 -0 -0 -76 -0xa9e5 -0x9edb584d -256 -256 -0 -0 -0 -74 -0x9316 -0x84829928 -256 -256 -0 -0 -0 -46 -0x80f3 -0xe5952cd8 -256 -256 -1 -1 -0 -82 -0xbc6c -0x77e62c8e -256 -256 -1 -0 -0 -97 -0x73f7 -0x42e38dbe -256 -256 -0 -0 -0 -56 -0xdcaa -0xa77bdd46 -256 -256 -1 -0 -0 -53 -0xfaa0 -0x8191c8cd -256 -256 -1 -0 -0 -55 -0x23b2 -0x9cf9d13 -256 -256 -1 -1 -0 -51 -0x418a -0x43422e1b -256 -256 -0 -0 -0 -83 -0xcc5b -0x13d3d05c -256 -256 -1 -1 -0 -33 -0x3f5f -0x17cc31ae -256 -256 -0 -0 -0 -47 -0xf199 -0x364973e2 -256 -256 -0 -0 -0 -29 -0x4149 -0x838f3d1c -256 -256 -0 -0 -0 -62 -0xb186 -0x957d28f -256 -256 -1 -1 -0 -100 -0xcd8f -0xf1626d5a -256 -256 -0 -0 -0 -74 -0xb610 -0x532c6658 -256 -256 -1 -1 -0 -78 -0xcb62 -0xb5328f65 -256 -256 -1 -0 -0 -74 -0x6d50 -0xf4cd714b -256 -256 -0 -0 -0 -92 -0x8653 -0xe786b7b0 -256 -256 -0 -0 -0 -22 -0x23d7 -0x2d905f8d -256 -256 -0 -0 -0 -37 -0xca08 -0x48834ea8 -256 -256 -1 -1 -0 -78 -0x5e45 -0x3701fd8e -256 -256 -1 -1 -0 -85 -0xa44b -0xe3c324e8 -256 -256 -0 -0 -0 -71 -0x48cf -0x8915f85d -256 -256 -1 -1 -0 -76 -0xca46 -0x368c7d60 -256 -256 -0 -0 -0 -84 -0x93df -0x4528219b -256 -256 -0 -0 -0 -72 -0x4f1 -0xa7f27f83 -256 -256 -0 -0 -0 -32 -0xf5ea -0x9e292642 -256 -256 -0 -0 -0 -52 -0xd3ca -0x66ec7c99 -256 -256 -1 -0 -0 -11 -0x2f13 -0x466a088f -256 -256 -0 -0 -0 -73 -0xf920 -0x71d34b15 -256 -256 -0 -0 -0 -57 -0x4e76 -0xacd45da3 -256 -256 -1 -1 -0 -57 -0xae89 -0x70cbcf70 -256 -256 -1 -0 -0 -67 -0x6fdf -0x2dfd4649 -256 -256 -0 -0 -0 -54 -0x17b8 -0xcfabc33a -256 -256 -1 -1 -0 -12 -0xbf57 -0xb25fcee0 -256 -256 -0 -0 -0 -67 -0xfead -0x5e74e7c -256 -256 -1 -1 -0 -54 -0x5938 -0xfcf2fb77 -256 -256 -0 -0 -0 -87 -0x4522 -0xce5ea6b -256 -256 -0 -0 -0 -94 -0x40f6 -0x52cfe03a -256 -256 -0 -0 -0 -78 -0xeab3 -0x3a58af3c -256 -256 -1 -0 -0 -27 -0xf2ee -0x7023b2fa -256 -256 -0 -0 -0 -63 -0xe1f9 -0xc8c81e5c -256 -256 -0 -0 -0 -29 -0x61c3 -0x7826cd81 -256 -256 -1 -1 -0 -19 -0xa660 -0xa8ee60bb -256 -256 -1 -1 -0 -26 -0x5502 -0xc06205c5 -256 -256 -1 -0 -0 -45 -0xb9b3 -0x13e21e14 -256 -256 -1 -0 -0 -48 -0xd153 -0xbefd053a -256 -256 -1 -0 -0 -92 -0x739e -0x568e51b4 -256 -256 -0 -0 -0 -16 -0xee39 -0xcf96cd1a -256 -256 -1 -0 -0 -90 -0x6bea -0xecb0cec4 -256 -256 -0 -0 -0 -46 -0x581b -0x27b58f34 -256 -256 -0 -0 -0 -34 -0x656f -0xd715a466 -256 -256 -1 -1 -0 -100 -0x183 -0xe581cfaa -256 -256 -0 -0 -0 -52 -0xe07c -0x548cca19 -256 -256 -1 -0 -0 -37 -0xb619 -0x4a55c253 -256 -256 -0 -0 -0 -94 -0x925f -0x695db461 -256 -256 -0 -0 -0 -77 -0x3b71 -0xc10caa87 -256 -256 -1 -1 -0 -45 -0x6405 -0x9c21bd56 -256 -256 -1 -0 -0 -13 -0x65d6 -0x1a6873e6 -256 -256 -0 -0 -0 -45 -0xef76 -0x42f14e69 -256 -256 -1 -1 -0 -90 -0xcfe4 -0x404ec5ed -256 -256 -0 -0 -0 -51 -0x7c2c -0xec11f8dd -256 -256 -0 -0 -0 -87 -0x6e35 -0x82c34545 -256 -256 -1 -0 -0 -92 -0x1f30 -0x4e0d7709 -256 -256 -1 -0 -0 -36 -0xb544 -0x6e512b1c -256 -256 -0 -0 -0 -32 -0x300e -0x739d525b -256 -256 -1 -1 -0 -47 -0x2ca6 -0xf09bb9f -256 -256 -1 -1 -0 -35 -0xe78e -0xafd65a4c -256 -256 -1 -1 -0 -86 -0x1701 -0x3bc4b4b2 -256 -256 -1 -1 -0 -44 -0x8655 -0x703550e5 -256 -256 -1 -1 -0 -62 -0xfdee -0x88e656c4 -256 -256 -1 -1 -0 -99 -0xc679 -0x28d6a5ab -256 -256 -0 -0 -0 -52 -0x7988 -0x334c11cd -256 -256 -0 -0 -0 -59 -0xf470 -0xe0150d27 -256 -256 -0 -0 -0 -60 -0xa5c6 -0x1fcf4b77 -256 -256 -1 -0 -0 -99 -0xf1f -0x4f661362 -256 -256 -0 -0 -0 -95 -0x7980 -0xda276395 -256 -256 -1 -1 -0 -58 -0x16ce -0x917fba64 -256 -256 -0 -0 -0 -14 -0x140f -0xaa4cbbf -256 -256 -1 -0 -0 -25 -0x1c89 -0x51419614 -256 -256 -0 -0 -0 -24 -0xc55a -0x50506c6a -256 -256 -0 -0 -0 -92 -0x5baa -0x2a111ce7 -256 -256 -0 -0 -0 -30 -0x623 -0x26639dbd -256 -256 -0 -0 -0 -35 -0x918b -0x9a4ddda2 -256 -256 -0 -0 -0 -30 -0xe823 -0xc2d7f40f -256 -256 -1 -0 -0 -73 -0x25f -0xe5adf15 -256 -256 -0 -0 -0 -59 -0xe656 -0x9f5a7130 -256 -256 -0 -0 -0 -18 -0xb849 -0xd8511b12 -256 -256 -0 -0 -0 -92 -0x5b56 -0xa285ae23 -256 -256 -1 -1 -0 -92 -0xd5df -0xd41e47f2 -256 -256 -1 -1 -0 -74 -0xf66e -0x62725730 -256 -256 -1 -0 -0 -96 -0x6c4d -0x1cdc385f -256 -256 -1 -0 -0 -96 -0x3fd6 -0xdae66827 -256 -256 -0 -0 -0 -68 -0x7c86 -0x83daf1fb -256 -256 -1 -0 -0 -71 -0xa73b -0x98d37cfd -256 -256 -0 -0 -0 -59 -0x2682 -0xa841e678 -256 -256 -1 -0 -0 -49 -0x72cc -0x2c6a539e -256 -256 -0 -0 -0 -50 -0xd59c -0xe79bedd1 -256 -256 -0 -0 -0 -13 -0xa6d1 -0xfd103222 -256 -256 -0 -0 -0 -24 -0x3e1b -0x8bd250fd -256 -256 -1 -1 -0 -67 -0x5459 -0xb700c1ef -256 -256 -0 -0 -0 -59 -0x1ec2 -0x72deb07f -256 -256 -1 -0 -0 -14 -0x2002 -0x3a72692 -256 -256 -1 -1 -0 -80 -0x94da -0xa19fce87 -256 -256 -0 -0 -0 -15 -0x4bbd -0x4a69ddb1 -256 -256 -1 -0 -0 -21 -0xd6db -0x6a2b657d -256 -256 -0 -0 -0 -29 -0x4617 -0xb75a4b0c -256 -256 -1 -0 -0 -84 -0x97c0 -0xb04f059b -256 -256 -1 -0 -0 -22 -0x96e4 -0x6610d52a -256 -256 -0 -0 -0 -58 -0xa797 -0xc3545440 -256 -256 -1 -1 -0 -85 -0xc6d6 -0xda275028 -256 -256 -1 -1 -0 -78 -0xb7cb -0xf1c0afcb -256 -256 -0 -0 -0 -34 -0xeff7 -0xb75872b5 -256 -256 -0 -0 -0 -99 -0x184b -0xb5b4972d -256 -256 -0 -0 -0 -81 -0xdfe2 -0xfcc37483 -256 -256 -0 -0 -0 -82 -0xb5ad -0x668d5e70 -256 -256 -1 -1 -0 -74 -0x2f57 -0xb0bbd678 -256 -256 -1 -0 -0 -47 -0x786c -0x1c2c2e3f -256 -256 -1 -0 -0 -60 -0xdbe1 -0x479886d8 -256 -256 -1 -0 -0 -83 -0x8a56 -0xc45a9da7 -256 -256 -0 -0 -0 -87 -0xa123 -0xfbede8c -256 -256 -1 -0 -0 -99 -0xd5d4 -0x64e8f486 -256 -256 -0 -0 -0 -60 -0x38ce -0x9a53236b -256 -256 -0 -0 -0 -39 -0xe2a1 -0x3b88eb3e -256 -256 -0 -0 -0 -44 -0x5ea2 -0xba590af2 -256 -256 -1 -1 -0 -65 -0xc9e1 -0xf1253874 -256 -256 -1 -0 -0 -22 -0x4384 -0x9d48c732 -256 -256 -0 -0 -0 -70 -0x2fce -0xa389444a -256 -256 -0 -0 -0 -93 -0x478a -0xcfb574d -256 -256 -1 -0 -0 -70 -0xaf3a -0xc2fe42e5 -256 -256 -0 -0 -0 -64 -0x6daf -0x4c360c19 -256 -256 -1 -1 -0 -69 -0xa077 -0x8bab5985 -256 -256 -0 -0 -0 -91 -0x5382 -0x8085206c -256 -256 -1 -1 -0 -100 -0x87d2 -0xa2dd300b -256 -256 -1 -1 -0 -84 -0x9d8e -0xfd0265cf -256 -256 -1 -1 -0 -63 -0xbbc0 -0x38fa0798 -256 -256 -0 -0 -0 -68 -0xa665 -0x4fc52a29 -256 -256 -1 -0 -0 -48 -0xb8be -0x67983a71 -256 -256 -0 -0 -0 -94 -0xddac -0x3fa419fe -256 -256 -1 -0 -0 -92 -0x601d -0x93d451e3 -256 -256 -1 -1 -0 -72 -0x1148 -0x7a48a365 -256 -256 -1 -0 -0 -98 -0x709c -0x93685800 -256 -256 -0 -0 -0 -65 -0xfd76 -0x82e928bd -256 -256 -0 -0 -0 -79 -0x660d -0x9cea4e92 -256 -256 -1 -1 -0 -19 -0x2942 -0xadbfce89 -256 -256 -0 -0 -0 -66 -0x5bee -0x8fdd0bb -256 -256 -0 -0 -0 -67 -0x1ca4 -0xfd2031aa -256 -256 -0 -0 -0 -26 -0xeead -0xcd43b49d -256 -256 -0 -0 -0 -34 -0xbe20 -0xc680d6ea -256 -256 -0 -0 -0 -65 -0x300a -0x5086c50b -256 -256 -0 -0 -0 -88 -0x9083 -0x339e92b4 -256 -256 -0 -0 -0 -89 -0xa93e -0x4f52dac5 -256 -256 -1 -0 -0 -94 -0x93f9 -0xa71aec26 -256 -256 -0 -0 -0 -44 -0x59dd -0x739ade14 -256 -256 -1 -0 -0 -77 -0xe851 -0x8a7cbca3 -256 -256 -0 -0 -0 -73 -0xd628 -0xdfad6d8b -256 -256 -1 -0 -0 -25 -0x413c -0x5ca6350d -256 -256 -0 -0 -0 -47 -0x7c27 -0x48b694e7 -256 -256 -0 -0 -0 -92 -0x34ca -0x489c094d -256 -256 -0 -0 -0 -78 -0x176d -0x7b9856fd -256 -256 -0 -0 -0 -62 -0x2d92 -0x694f3374 -256 -256 -1 -1 -0 -95 -0x6668 -0x1694f840 -256 -256 -0 -0 -0 -61 -0x59cb -0x16eebbd8 -256 -256 -1 -1 -0 -41 -0x1c91 -0x52fc5e40 -256 -256 -0 -0 -0 -58 -0xc1a9 -0x7f579af1 -256 -256 -0 -0 -0 -46 -0xdc9 -0x3ff504 -256 -256 -0 -0 -0 -91 -0xe815 -0x6ac87e56 -256 -256 -0 -0 -0 -77 -0x9fe0 -0xc1eb37e8 -256 -256 -1 -1 -0 -35 -0xdeba -0x918bb8b4 -256 -256 -1 -1 -0 -25 -0x134d -0xc97b5b4c -256 -256 -1 -0 -0 -43 -0x8d58 -0x52e33fef -256 -256 -0 -0 -0 -89 -0x4976 -0xfeb1184 -256 -256 -1 -1 -0 -50 -0x86e8 -0xde3b6cc2 -256 -256 -1 -1 -0 -91 -0xa662 -0x4b36be4 -256 -256 -1 -1 -0 -56 -0x4dbf -0x54b8164 -256 -256 -1 -1 -0 -72 -0x6b7b -0xae8a9c90 -256 -256 -1 -0 -0 -38 -0x2124 -0xf68340d7 -256 -256 -0 -0 -0 -55 -0xeb9b -0xed055180 -256 -256 -1 -0 -0 -56 -0xe279 -0x50311428 -256 -256 -1 -0 -0 -16 -0x6e6d -0x2c069e98 -256 -256 -0 -0 -0 -57 -0xc6c6 -0x57e96ddc -256 -256 -0 -0 -0 -40 -0x623a -0xd07aa537 -256 -256 -0 -0 -0 -59 -0x57da -0xdbd438f9 -256 -256 -0 -0 -0 -29 -0xc2d -0xdd00771b -256 -256 -0 -0 -0 -53 -0xc495 -0xa904836e -256 -256 -0 -0 -0 -69 -0x1bf6 -0x44c9ea03 -256 -256 -0 -0 -0 -34 -0x9cc -0x623e24f7 -256 -256 -1 -1 -0 -100 -0x5eb0 -0x79a1bc7c -256 -256 -1 -0 -0 -30 -0x63dd -0xb7a5e47d -256 -256 -1 -0 -0 -52 -0xde76 -0xfd59bc08 -256 -256 -1 -0 -0 -77 -0x433b -0xbb733f2a -256 -256 -1 -1 -0 -33 -0xb2bf -0x55f9762b -256 -256 -1 -1 -0 -76 -0x4bcd -0xe0a39949 -256 -256 -1 -0 -0 -96 -0x19fb -0x64e1da08 -256 -256 -1 -1 -0 -53 -0x5740 -0x30dd035c -256 -256 -0 -0 -0 -13 -0xd0c8 -0x9150c0fb -256 -256 -1 -0 -0 -84 -0xf4b1 -0x726c5153 -256 -256 -0 -0 -0 -69 -0xc4dd -0x64622dff -256 -256 -1 -1 -0 -68 -0xab2d -0x20a4f4ef -256 -256 -1 -0 -0 -81 -0xefea -0xb61d0630 -256 -256 -1 -1 -0 -42 -0x9199 -0x7682963f -256 -256 -0 -0 -0 -16 -0x26f -0xff99b1ee -256 -256 -1 -1 -0 -98 -0xcc94 -0x49fd9cba -256 -256 -0 -0 -0 -18 -0x30ee -0xedf38bec -256 -256 -0 -0 -0 -92 -0x1384 -0xd5f32886 -256 -256 -1 -0 -0 -46 -0xca50 -0x1e4f580d -256 -256 -1 -0 -0 -97 -0x95ff -0xdee78822 -256 -256 -1 -1 -0 -72 -0x3dfa -0xa93fb2ae -256 -256 -0 -0 -0 -88 -0x9b65 -0xcba3863a -256 -256 -0 -0 -0 -99 -0xa860 -0x622390da -256 -256 -1 -1 -0 -89 -0x9c9c -0x72adffd -256 -256 -0 -0 -0 -10 -0xf488 -0x6c1a8570 -256 -256 -1 -1 -0 -19 -0xf0b2 -0xc5957fd0 -256 -256 -1 -0 -0 -15 -0x760c -0xb89b00f9 -256 -256 -1 -0 -0 -81 -0x55ff -0x7cd6f7e9 -256 -256 -1 -1 -0 -59 -0x404c -0x3852f943 -256 -256 -0 -0 -0 -80 -0x1208 -0xcd82a6c1 -256 -256 -1 -1 -0 -32 -0xa6df -0x5df68787 -256 -256 -0 -0 -0 -16 -0x7646 -0x7856091 -256 -256 -0 -0 -0 -18 -0x8c76 -0xd227371a -256 -256 -1 -1 -0 -78 -0xe351 -0xa4752755 -256 -256 -1 -0 -0 -25 -0x69b0 -0x48e1533c -256 -256 -0 -0 -0 -14 -0xbc75 -0xeee1e0b1 -256 -256 -1 -0 -0 -50 -0x2aa0 -0x80872a25 -256 -256 -0 -0 -0 -24 -0x2b15 -0x29ec1f98 -256 -256 -1 -1 -0 -81 -0x77f9 -0xb1ed3549 -256 -256 -0 -0 -0 -84 -0xdb7e -0xb0114a18 -256 -256 -0 -0 -0 -69 -0xe98b -0xdd758eca -256 -256 -0 -0 -0 -49 -0x1b5f -0x93a13fae -256 -256 -0 -0 -0 -63 -0x9ab2 -0xea95904e -256 -256 -0 -0 -0 -54 -0x1467 -0xc4f247c8 -256 -256 -0 -0 -0 -17 -0x2656 -0xc39866aa -256 -256 -0 -0 -0 -87 -0x4582 -0xf0343c63 -256 -256 -0 -0 -0 -15 -0x92d -0x77901211 -256 -256 -1 -1 -0 -100 -0xe4ce -0x5e9f025f -256 -256 -0 -0 -0 -85 -0xf3ed -0x56e611f9 -256 -256 -1 -0 -0 -40 -0xa78e -0x78b44ac8 -256 -256 -1 -0 -0 -35 -0x7467 -0x40996121 -256 -256 -0 -0 -0 -41 -0x9a29 -0xc4c26327 -256 -256 -1 -0 -0 -33 -0x5f35 -0xbadf2f8e -256 -256 -1 -1 -0 -58 -0x1b5f -0x2e7342e2 -256 -256 -1 -1 -0 -30 -0xf397 -0x2b164957 -256 -256 -1 -1 -0 -91 -0xadff -0xddc8f246 -256 -256 -1 -0 -0 -52 -0xae37 -0x9989fc95 -256 -256 -0 -0 -0 -78 -0x755b -0x77c2f941 -256 -256 -1 -1 -0 -38 -0x5330 -0x143be470 -256 -256 -1 -0 -0 -27 -0xb7be -0x6dc9d233 -256 -256 -1 -0 -0 -77 -0x4630 -0x75eb4afd -256 -256 -0 -0 -0 -47 -0xbecf -0xd221b26a -256 -256 -0 -0 -0 -83 -0xf5b1 -0xc04c0061 -256 -256 -0 -0 -0 -47 -0xb407 -0xb8e89966 -256 -256 -0 -0 -0 -67 -0x335e -0x314aa534 -256 -256 -1 -1 -0 -75 -0xd788 -0x869c0025 -256 -256 -0 -0 -0 -56 -0xd7b5 -0xe114d545 -256 -256 -1 -0 -0 -97 -0xf5a -0x4e1c3e41 -256 -256 -1 -0 -0 -59 -0xdc0f -0x7f6e4142 -256 -256 -1 -1 -0 -26 -0xf65 -0x96243340 -256 -256 -0 -0 -0 -96 -0xccdf -0xba426ad4 -256 -256 -0 -0 -0 -35 -0x1019 -0x135b278a -256 -256 -0 -0 -0 -70 -0x55f8 -0xd33d9fc2 -256 -256 -0 -0 -0 -37 -0xa7df -0xc9d7c325 -256 -256 -1 -0 -0 -93 -0xc3bf -0x84fa3cf5 -256 -256 -0 -0 -0 -77 -0xbd99 -0x7a8ba2d5 -256 -256 -0 -0 -0 -70 -0xa96 -0xa702f383 -256 -256 -0 -0 -0 -59 -0xd751 -0x18be1e16 -256 -256 -1 -0 -0 -25 -0x30f6 -0x181c80ec -256 -256 -1 -1 -0 -30 -0x2084 -0x3c02f703 -256 -256 -0 -0 -0 -28 -0x5278 -0xc67a25fb -256 -256 -1 -1 -0 -15 -0x2ac3 -0x72d4d45e -256 -256 -1 -0 -0 -77 -0xe818 -0xd8eaa1e5 -256 -256 -1 -0 -0 -56 -0x7998 -0xcbfdaf79 -256 -256 -1 -1 -0 -22 -0x27f9 -0x8e8a46a1 -256 -256 -0 -0 -0 -72 -0xfec3 -0xeda66ade -256 -256 -1 -1 -0 -81 -0x6ddd -0x72e3827e -256 -256 -0 -0 -0 -78 -0x136d -0x1a7dbdaf -256 -256 -0 -0 -0 -60 -0x8263 -0xb6ac6f61 -256 -256 -1 -1 -0 -85 -0xa616 -0xc832109c -256 -256 -1 -0 -0 -10 -0x7771 -0x4b080672 -256 -256 -0 -0 -0 -40 -0xa900 -0xb38e6f98 -256 -256 -0 -0 -0 -36 -0x485c -0x28b1e73e -256 -256 -0 -0 -0 -19 -0x61b5 -0x226ed81d -256 -256 -0 -0 -0 -54 -0x17b0 -0x8decaf84 -256 -256 -0 -0 -0 -19 -0xfd6d -0xe3e29e03 -256 -256 -1 -1 -0 -99 -0xcbd3 -0x930c4c24 -256 -256 -1 -0 -0 -87 -0xff5d -0x7c64788e -256 -256 -0 -0 -0 -60 -0x9e0d -0x24bde9c8 -256 -256 -0 -0 -0 -98 -0xff1a -0x109c51a7 -256 -256 -0 -0 -0 -65 -0x973f -0x115fa1ef -256 -256 -0 -0 -0 -85 -0x515e -0x63ad4c1f -256 -256 -0 -0 -0 -17 -0x58ec -0x602ef46f -256 -256 -1 -1 -0 -15 -0x3c33 -0x9a98eeb -256 -256 -0 -0 -0 -11 -0xaa6d -0xc262e226 -256 -256 -0 -0 -0 -60 -0x7935 -0x9eb0184b -256 -256 -1 -1 -0 -12 -0xe4bc -0x838e0b1a -256 -256 -1 -0 -0 -95 -0xd673 -0x48ea2794 -256 -256 -1 -1 -0 -14 -0xde72 -0xe956b92a -256 -256 -0 -0 -0 -77 -0x62f8 -0x83644b03 -256 -256 -1 -0 -0 -46 -0x3b28 -0xec152b4e -256 -256 -1 -1 -0 -96 -0xc248 -0xc88ed4e0 -256 -256 -0 -0 -0 -10 -0x209e -0x890a2f8f -256 -256 -0 -0 -0 -42 -0x4bc6 -0xd8cdbf84 -256 -256 -0 -0 -0 -82 -0xe680 -0x3fcb29bd -256 -256 -0 -0 -0 -50 -0xe86f -0x57cd461b -256 -256 -0 -0 -0 -67 -0x90d4 -0xe470424f -256 -256 -1 -1 -0 -27 -0xfb91 -0xa092d1df -256 -256 -0 -0 -0 -65 -0x92cd -0x2e97d04a -256 -256 -0 -0 -0 -78 -0xfa3a -0x8d857606 -256 -256 -1 -0 -0 -59 -0xf1c8 -0xac1dc1f2 -256 -256 -1 -0 -0 -70 -0x8ddc -0x5b7439fe -256 -256 -1 -1 -0 -68 -0x42b0 -0x75655c2f -256 -256 -0 -0 -0 -50 -0x5acb -0x2257c279 -256 -256 -1 -1 -0 -84 -0x3b93 -0x5be1dab5 -256 -256 -1 -0 -0 -34 -0x3a0f -0x1701ae50 -256 -256 -0 -0 -0 -100 -0x7117 -0xe5b391c8 -256 -256 -1 -1 -0 -24 -0xf30 -0xafc465b6 -256 -256 -0 -0 -0 -88 -0x3584 -0x72c683a9 -256 -256 -0 -0 -0 -58 -0x4ace -0xebc97576 -256 -256 -0 -0 -0 -52 -0x8910 -0xad56468 -256 -256 -1 -1 -0 -39 -0x5076 -0x89736d9a -256 -256 -0 -0 -0 -64 -0x414 -0x58eedc48 -256 -256 -1 -1 -0 -25 -0x65ee -0x9a3b54da -256 -256 -0 -0 -0 -62 -0xb776 -0x3d6c0a75 -256 -256 -1 -1 -0 -48 -0xb6ae -0x9fbeab3e -256 -256 -0 -0 -0 -71 -0x4a9 -0x1f7e3923 -256 -256 -0 -0 -0 -71 -0x263b -0xb620041a -256 -256 -1 -1 -0 -18 -0x4b6e -0x4d2d272d -256 -256 -1 -0 -0 -16 -0x435 -0xcd905ccc -256 -256 -0 -0 -0 -61 -0x8f88 -0x1fbbb708 -256 -256 -0 -0 -0 -32 -0x7df -0x330c8255 -256 -256 -1 -1 -0 -87 -0xfb9b -0xa28956c8 -256 -256 -0 -0 -0 -34 -0xb865 -0x57298bc5 -256 -256 -0 -0 -0 -29 -0x967e -0x44602dd2 -256 -256 -0 -0 -0 -80 -0xeb1c -0x9ddbf9e5 -256 -256 -0 -0 -0 -63 -0xfde2 -0x59f39e5c -256 -256 -0 -0 -0 -45 -0x10c5 -0xd1da3762 -256 -256 -0 -0 -0 -30 -0x5841 -0xd96d37cd -256 -256 -1 -0 -0 -89 -0xe0a9 -0xb8175f55 -256 -256 -0 -0 -0 -40 -0x4f53 -0xabbedb9d -256 -256 -1 -0 -0 -39 -0xa581 -0x58603747 -256 -256 -1 -0 -0 -13 -0xa1b8 -0x12f528dc -256 -256 -0 -0 -0 -17 -0xfd00 -0xc9a267fe -256 -256 -1 -0 -0 -46 -0x975 -0x31c3f624 -256 -256 -0 -0 -0 -38 -0x472 -0xa6f23d31 -256 -256 -1 -1 -0 -71 -0xb6c4 -0xdeee7dda -256 -256 -1 -1 -0 -77 -0x2129 -0x37a536e4 -256 -256 -0 -0 -0 -39 -0x3f95 -0xe517b64c -256 -256 -0 -0 -0 -87 -0x3384 -0x41880eb8 -256 -256 -0 -0 -0 -45 -0xc796 -0xdbaf5bd2 -256 -256 -0 -0 -0 -43 -0xc06e -0x22125f01 -256 -256 -0 -0 -0 -94 -0xa607 -0xe14612c9 -256 -256 -0 -0 -0 -92 -0x38d -0xc7bbe6e7 -256 -256 -1 -1 -0 -89 -0x49ab -0x8d91c7b0 -256 -256 -0 -0 -0 -78 -0x18ec -0xb2abd5b3 -256 -256 -0 -0 -0 -46 -0xdb03 -0xaea19e55 -256 -256 -0 -0 -0 -79 -0xac66 -0x7f0ec0b7 -256 -256 -0 -0 -0 -94 -0x96ea -0x62e9ead7 -256 -256 -1 -0 -0 -46 -0x2e80 -0xab7f78de -256 -256 -0 -0 -0 -17 -0xe80a -0x9a572ce5 -256 -256 -0 -0 -0 -59 -0xddd4 -0xd73a0930 -256 -256 -0 -0 -0 -88 -0x9385 -0x9b1c0d0 -256 -256 -1 -0 -0 -68 -0x7543 -0xf2a90248 -256 -256 -0 -0 -0 -93 -0x7d4e -0x1421da43 -256 -256 -0 -0 -0 -72 -0x11a4 -0x5046360b -256 -256 -1 -0 -0 -35 -0xf84 -0x239df495 -256 -256 -1 -1 -0 -73 -0x7519 -0x80d116f6 -256 -256 -0 -0 -0 -15 -0xa7c0 -0xffef58d -256 -256 -0 -0 -0 -17 -0xfb04 -0xd2167011 -256 -256 -0 -0 -0 -75 -0x3468 -0xe67a27cd -256 -256 -1 -0 -0 -63 -0x3d56 -0xe3f32573 -256 -256 -0 -0 -0 -15 -0x1d87 -0x3bd06b6c -256 -256 -0 -0 -0 -51 -0xda63 -0x30293b07 -256 -256 -1 -1 -0 -10 -0x70bc -0xb6658a30 -256 -256 -0 -0 -0 -42 -0xe311 -0x71acd471 -256 -256 -0 -0 -0 -90 -0x3b7a -0xb64464f0 -256 -256 -0 -0 -0 -13 -0xd0a4 -0xd563eda2 -256 -256 -0 -0 -0 -81 -0xcccc -0x230fd35b -256 -256 -0 -0 -0 -53 -0x2eb5 -0x2a0fbf69 -256 -256 -1 -0 -0 -47 -0x1795 -0x4abfe117 -256 -256 -0 -0 -0 -84 -0x95f6 -0x8c98f7da -256 -256 -0 -0 -0 -43 -0x9a10 -0x515cd878 -256 -256 -0 -0 -0 -23 -0xbf27 -0xa4b675e2 -256 -256 -0 -0 -0 -63 -0x48e0 -0x1557bdbb -256 -256 -1 -0 -0 -40 -0xd590 -0x7897f978 -256 -256 -1 -1 -0 -87 -0x398c -0xe551b821 -256 -256 -1 -0 -0 -53 -0xbe27 -0x5ddff1ec -256 -256 -0 -0 -0 -76 -0xd139 -0xd0a317e5 -256 -256 -0 -0 -0 -12 -0x5252 -0x7a07bac5 -256 -256 -0 -0 -0 -97 -0x331e -0xc3a2d24b -256 -256 -1 -0 -0 -14 -0x30f2 -0x2c4f5d2a -256 -256 -0 -0 -0 -66 -0x17b2 -0xb8291e35 -256 -256 -1 -0 -0 -20 -0x68b1 -0x60bfecc2 -256 -256 -1 -1 -0 -80 -0x2732 -0x9b6511d5 -256 -256 -0 -0 -0 -80 -0xa15a -0x64883d7 -256 -256 -1 -0 -0 -34 -0x14ac -0x32323285 -256 -256 -0 -0 -0 -44 -0x803d -0xe0ffd1d0 -256 -256 -1 -0 -0 -64 -0xf19d -0xb4c887cd -256 -256 -1 -1 -0 -40 -0xc0d9 -0xc07bb9e2 -256 -256 -0 -0 -0 -38 -0x94e0 -0x1a939ebc -256 -256 -1 -0 -0 -93 -0x3693 -0xc08e4219 -256 -256 -1 -1 -0 -10 -0x90ad -0xf2f4206a -256 -256 -0 -0 -0 -32 -0xaf4b -0x3486b167 -256 -256 -0 -0 -0 -63 -0x4425 -0x462efeb2 -256 -256 -1 -0 -0 -92 -0x14b4 -0xb491a59b -256 -256 -0 -0 -0 -39 -0xc2a0 -0xf1304ca -256 -256 -0 -0 -0 -52 -0x5f1a -0xbd0b4e72 -256 -256 -0 -0 -0 -42 -0x3d7c -0x718068e4 -256 -256 -0 -0 -0 -17 -0xf8b9 -0xad874770 -256 -256 -0 -0 -0 -42 -0x977f -0x76eca8c2 -256 -256 -1 -0 -0 -59 -0x2821 -0x6b311a73 -256 -256 -0 -0 -0 -61 -0x67e9 -0x1389ad18 -256 -256 -1 -0 -0 -21 -0x97b0 -0xfbe841c6 -256 -256 -1 -1 -0 -32 -0xe463 -0xc08398a7 -256 -256 -0 -0 -0 -75 -0xd5ec -0xfaaa07ed -256 -256 -1 -0 -0 -31 -0xa2 -0xe7b12442 -256 -256 -0 -0 -0 -34 -0x4c7b -0xa29e3dbe -256 -256 -0 -0 -0 -57 -0x63e2 -0xc2b1710e -256 -256 -0 -0 -0 -38 -0x5c98 -0xe0d9753 -256 -256 -0 -0 -0 -80 -0xc2ee -0xd38ee8db -256 -256 -1 -0 -0 -45 -0x84a6 -0x9f9b2455 -256 -256 -0 -0 -0 -33 -0x8a66 -0x57098e4a -256 -256 -0 -0 -0 -90 -0x60fe -0x13af2f83 -256 -256 -1 -1 -0 -59 -0xbe48 -0xb0373816 -256 -256 -1 -1 -0 -81 -0x4ca4 -0xc7351d38 -256 -256 -0 -0 -0 -21 -0xa712 -0xfe1f5968 -256 -256 -1 -1 -0 -83 -0xe8c -0x39d70083 -256 -256 -1 -0 -0 -43 -0x3893 -0x612d2c57 -256 -256 -0 -0 -0 -75 -0xad26 -0x6e211a32 -256 -256 -0 -0 -0 -49 -0x9a11 -0xf62c9fa3 -256 -256 -0 -0 -0 -68 -0x4d22 -0x8be1a204 -256 -256 -0 -0 -0 -75 -0x6409 -0x6ec7f4d5 -256 -256 -0 -0 -0 -50 -0xb86 -0x424083a3 -256 -256 -1 -0 -0 -56 -0xcb5d -0x918f5ace -256 -256 -0 -0 -0 -61 -0xb1aa -0xf8f478f1 -256 -256 -1 -0 -0 -81 -0xcfec -0xe78fa734 -256 -256 -0 -0 -0 -24 -0x9d6b -0x41cda94d -256 -256 -1 -1 -0 -25 -0x107e -0xcd83919a -256 -256 -0 -0 -0 -70 -0xd670 -0x4948a932 -256 -256 -1 -1 -0 -28 -0x1820 -0xd6d1ece3 -256 -256 -0 -0 -0 -39 -0x7c12 -0xe9503253 -256 -256 -0 -0 -0 -22 -0x9896 -0xcf561ac -256 -256 -1 -0 -0 -17 -0x5ac4 -0x6a3979a7 -256 -256 -0 -0 -0 -23 -0xc75f -0x2657b739 -256 -256 -0 -0 -0 -29 -0x76d3 -0xef8bb4 -256 -256 -1 -0 -0 -52 -0xf8b -0xbfad0612 -256 -256 -0 -0 -0 -50 -0x5360 -0x83bfda68 -256 -256 -0 -0 -0 -100 -0x98e3 -0xe86c0d69 -256 -256 -0 -0 -0 -28 -0x1afb -0xa1bcd88c -256 -256 -1 -0 -0 -81 -0x4811 -0x11429fb8 -256 -256 -1 -1 -0 -28 -0xea83 -0x28398f55 -256 -256 -1 -0 -0 -54 -0x8571 -0xc6f91662 -256 -256 -1 -1 -0 -52 -0x954 -0x482f92c3 -256 -256 -1 -1 -0 -12 -0x6d7c -0x405aafcd -256 -256 -1 -0 -0 -29 -0x377d -0x65a3636d -256 -256 -1 -0 -0 -39 -0xfe9a -0xb35d75c6 -256 -256 -1 -0 -0 -90 -0xb15d -0xa3e7c821 -256 -256 -1 -1 -0 -45 -0x2396 -0x62eb0af8 -256 -256 -0 -0 -0 -63 -0x9196 -0x765824f3 -256 -256 -0 -0 -0 -70 -0x8cb5 -0xf15d4027 -256 -256 -0 -0 -0 -68 -0x72c7 -0x61514e04 -256 -256 -1 -0 -0 -25 -0x140f -0xfcd62972 -256 -256 -1 -1 -0 -43 -0x4d45 -0x3afbdaa -256 -256 -0 -0 -0 -78 -0x2dc7 -0x172b1257 -256 -256 -0 -0 -0 -10 -0xb08 -0xa4a0bc57 -256 -256 -1 -0 -0 -69 -0xb1d6 -0x7608577 -256 -256 -1 -1 -0 -77 -0x942b -0x4d896b44 -256 -256 -1 -1 -0 -61 -0x1ec8 -0x1661e609 -256 -256 -0 -0 -0 -47 -0x417c -0x9ce532aa -256 -256 -0 -0 -0 -64 -0xc10b -0x4dbaec55 -256 -256 -1 -1 -0 -17 -0xc695 -0xdb44423d -256 -256 -1 -0 -0 -85 -0xc5d4 -0x24b79717 -256 -256 -1 -1 -0 -24 -0x7c0 -0xe9a2eb83 -256 -256 -0 -0 -0 -98 -0xb724 -0x2699080a -256 -256 -1 -0 -0 -47 -0x88cd -0x2084e61d -256 -256 -0 -0 -0 -93 -0x8a2e -0x7352de2 -256 -256 -1 -0 -0 -74 -0x5094 -0x1acd2834 -256 -256 -0 -0 -0 -80 -0xb96b -0x3c5ae380 -256 -256 -1 -0 -0 -12 -0x6cc0 -0x20baa32b -256 -256 -1 -1 -0 -74 -0x3916 -0x25d37342 -256 -256 -0 -0 -0 -99 -0x95b8 -0x71b4dd85 -256 -256 -1 -1 -0 -59 -0x979 -0xe1f99294 -256 -256 -0 -0 -0 -86 -0x3b6e -0x92625711 -256 -256 -1 -1 -0 -65 -0x27d4 -0x21457ad0 -256 -256 -1 -1 -0 -76 -0x68fa -0x3b0cdab4 -256 -256 -0 -0 -0 -64 -0xeb6b -0xd34fadea -256 -256 -1 -1 -0 -74 -0xf638 -0x2e853ddb -256 -256 -1 -1 -0 -19 -0xc268 -0x3f81b211 -256 -256 -1 -0 -0 -45 -0xe2ef -0xcc05445d -256 -256 -1 -0 -0 -26 -0x8377 -0xc2d77fbd -256 -256 -0 -0 -0 -65 -0xe3b9 -0xaf0c8012 -256 -256 -1 -0 -0 -60 -0x46f2 -0x26d92baf -256 -256 -1 -0 -0 -14 -0x7a66 -0x555d7d38 -256 -256 -0 -0 -0 -44 -0xfecf -0xd71f0f1c -256 -256 -0 -0 -0 -42 -0x920d -0xd5384ba2 -256 -256 -0 -0 -0 -94 -0xf1ca -0xfb356e0f -256 -256 -1 -0 -0 -72 -0x5197 -0xf0d35db9 -256 -256 -0 -0 -0 -97 -0x6aa2 -0xfb08d4b4 -256 -256 -1 -0 -0 -83 -0xe2db -0xcfee7e77 -256 -256 -0 -0 -0 -48 -0x8039 -0xdb61e492 -256 -256 -0 -0 -0 -71 -0x45ae -0x37965ee4 -256 -256 -1 -0 -0 -41 -0x108b -0x6cb37d52 -256 -256 -1 -1 -0 -53 -0x2b16 -0x1ae98f0b -256 -256 -1 -1 -0 -81 -0xdc6e -0x54fd1989 -256 -256 -1 -1 -0 -53 -0xf86c -0xa0380642 -256 -256 -1 -0 -0 -48 -0x1ffb -0xccec3bbc -256 -256 -1 -0 -0 -71 -0xf2ca -0xfb5164c5 -256 -256 -1 -0 -0 -22 -0x4cbe -0xe7f4fba6 -256 -256 -1 -1 -0 -16 -0x8422 -0x7ee64ead -256 -256 -1 -1 -0 -76 -0x2f2f -0x8c1e5391 -256 -256 -0 -0 -0 -67 -0xa99e -0x959f7258 -256 -256 -1 -0 -0 -48 -0xaa35 -0xbafb8076 -256 -256 -1 -0 -0 -44 -0x68b -0x72f989fe -256 -256 -1 -1 -0 -65 -0x7e9b -0x6457b80e -256 -256 -0 -0 -0 -47 -0x672f -0x32039076 -256 -256 -1 -1 -0 -29 -0xcd74 -0x10478b1f -256 -256 -0 -0 -0 -25 -0x597f -0xabbfa7cb -256 -256 -1 -0 -0 -37 -0x419f -0x8efc394f -256 -256 -1 -0 -0 -22 -0xed12 -0x5541cd39 -256 -256 -0 -0 -0 -74 -0xcdb8 -0x2d21e8f9 -256 -256 -1 -0 -0 -32 -0xc213 -0x6985f111 -256 -256 -1 -0 -0 -32 -0x2fe2 -0x1a395f1f -256 -256 -0 -0 -0 -91 -0x39bf -0x43b20906 -256 -256 -0 -0 -0 -99 -0x2713 -0xe5ad141a -256 -256 -1 -1 -0 -87 -0x4a30 -0x6b3f306f -256 -256 -0 -0 -0 -50 -0x9a94 -0x9a881dd6 -256 -256 -0 -0 -0 -30 -0xdf9 -0x83a5811d -256 -256 -1 -1 -0 -52 -0x9d14 -0x92b31e96 -256 -256 -0 -0 -0 -40 -0x2b19 -0xecd05797 -256 -256 -0 -0 -0 -68 -0x1f4c -0xb6a36e79 -256 -256 -1 -0 -0 -37 -0x8134 -0x1886955 -256 -256 -0 -0 -0 -35 -0x6093 -0x72fd889c -256 -256 -1 -1 -0 -98 -0xffe8 -0x3174cce4 -256 -256 -1 -0 -0 -52 -0x2ab7 -0xb30ac4c9 -256 -256 -1 -0 -0 -23 -0x33dc -0xa86f9f33 -256 -256 -1 -0 -0 -76 -0x4f56 -0xcf20d016 -256 -256 -0 -0 -0 -69 -0x7da1 -0xd2045a2c -256 -256 -1 -1 -0 -87 -0xfbd6 -0xb498a41d -256 -256 -0 -0 -0 -13 -0x66db -0x8273b811 -256 -256 -0 -0 -0 -27 -0xf2de -0x9fc627e6 -256 -256 -0 -0 -0 -45 -0x4908 -0x8007309e -256 -256 -1 -0 -0 -40 -0xecca -0x756e7506 -256 -256 -1 -0 -0 -70 -0xbce0 -0xc135fc41 -256 -256 -0 -0 -0 -71 -0x9dcc -0x467972d9 -256 -256 -0 -0 -0 -32 -0x35fa -0x720de698 -256 -256 -0 -0 -0 -75 -0x2476 -0xe6941b46 -256 -256 -0 -0 -0 -36 -0x44dc -0xb6f8edb9 -256 -256 -0 -0 -0 -73 -0xb363 -0x2e25b9ae -256 -256 -0 -0 -0 -57 -0xc8c1 -0x26e508d2 -256 -256 -0 -0 -0 -21 -0xd1d1 -0x8153c929 -256 -256 -0 -0 -0 -14 -0x3225 -0x91652cb4 -256 -256 -0 -0 -0 -41 -0x6cb4 -0x37e9947 -256 -256 -0 -0 -0 -71 -0x7b82 -0x27312814 -256 -256 -0 -0 -0 -58 -0xbe85 -0xfababe86 -256 -256 -0 -0 -0 -28 -0x9720 -0x99643024 -256 -256 -0 -0 -0 -88 -0x392b -0x7254caa3 -256 -256 -0 -0 -0 -19 -0x1187 -0x283e1095 -256 -256 -1 -1 -0 -29 -0x8e9a -0xbc2983c7 -256 -256 -0 -0 -0 -38 -0xd5d3 -0x5fd3ac15 -256 -256 -0 -0 -0 -87 -0x2730 -0xe8d2d7ba -256 -256 -0 -0 -0 -57 -0xfe98 -0x896c3f1d -256 -256 -0 -0 -0 -35 -0x7205 -0xb4ecfb6c -256 -256 -0 -0 -0 -38 -0x546f -0x3c193024 -256 -256 -1 -0 -0 -78 -0x9036 -0x5b8d822 -256 -256 -1 -1 -0 -22 -0x8bdc -0x9c21f935 -256 -256 -1 -1 -0 -88 -0xaec1 -0xbb248a97 -256 -256 -0 -0 -0 -14 -0xc59f -0x2afea044 -256 -256 -1 -1 -0 -53 -0x7b01 -0x6e3cef7f -256 -256 -0 -0 -0 -63 -0x4caf -0xb665a6e9 -256 -256 -1 -0 -0 -36 -0x93f5 -0xc8dec58a -256 -256 -0 -0 -0 -74 -0x39a7 -0xb061dff9 -256 -256 -0 -0 -0 -87 -0xc86f -0x2e06227e -256 -256 -1 -0 -0 -94 -0x13ce -0x4c98ca44 -256 -256 -1 -1 -0 -22 -0xa306 -0x701d9738 -256 -256 -0 -0 -0 -36 -0x43da -0xc6030e3e -256 -256 -0 -0 -0 -87 -0xa02c -0x8cbc8f43 -256 -256 -1 -1 -0 -36 -0x4161 -0x6c191478 -256 -256 -0 -0 -0 -44 -0x4980 -0x172d13c9 -256 -256 -0 -0 -0 -73 -0x4b3b -0x23425785 -256 -256 -0 -0 -0 -20 -0x9f10 -0x1b3c6b2 -256 -256 -0 -0 -0 -54 -0x7e35 -0xdc918209 -256 -256 -1 -0 -0 -80 -0xa39f -0x4e90762f -256 -256 -0 -0 -0 -16 -0x198b -0x7505d2d2 -256 -256 -0 -0 -0 -79 -0xd7a7 -0xe9ea6994 -256 -256 -1 -0 -0 -43 -0x544a -0xa7b09e49 -256 -256 -0 -0 -0 -14 -0xa72e -0x55b0bd2 -256 -256 -1 -1 -0 -46 -0x24e7 -0xb0a7202b -256 -256 -0 -0 -0 -88 -0x4257 -0x5b8f0095 -256 -256 -1 -1 -0 -17 -0xa3db -0x9f714526 -256 -256 -1 -1 -0 -88 -0xb24c -0xfe1104b -256 -256 -1 -1 -0 -92 -0x8fe4 -0x2f4844a6 -256 -256 -1 -0 -0 -32 -0x17b4 -0x7a922075 -256 -256 -1 -1 -0 -64 -0xd373 -0x63fd22b9 -256 -256 -0 -0 -0 -31 -0xca20 -0x43fabb17 -256 -256 -1 -0 -0 -37 -0xd428 -0x8f8dc362 -256 -256 -0 -0 -0 -98 -0x7416 -0x1af123cf -256 -256 -1 -1 -0 -48 -0x7825 -0x5e0ad075 -256 -256 -0 -0 -0 -46 -0x8179 -0x80704687 -256 -256 -0 -0 -0 -76 -0x4c9b -0xb4c066c2 -256 -256 -1 -0 -0 -95 -0x350a -0x7ce11d25 -256 -256 -0 -0 -0 -98 -0xa584 -0x7f44dce9 -256 -256 -1 -0 -0 -79 -0xe590 -0x419eb472 -256 -256 -1 -0 -0 -99 -0x42fe -0x2c330de6 -256 -256 -0 -0 -0 -63 -0x969a -0xedb67c55 -256 -256 -1 -1 -0 -43 -0x5d91 -0xf1e6d4f -256 -256 -1 -0 -0 -75 -0xd152 -0xf68161d6 -256 -256 -0 -0 -0 -65 -0xe026 -0xed230b4d -256 -256 -0 -0 -0 -65 -0x5f7c -0xee678fa6 -256 -256 -1 -1 -0 -44 -0x40e -0xa6945dfb -256 -256 -0 -0 -0 -13 -0x4e66 -0xeeb5ecb9 -256 -256 -1 -1 -0 -36 -0x15c4 -0x3a7e153d -256 -256 -1 -1 -0 -22 -0x4b27 -0xe5ab3e73 -256 -256 -1 -0 -0 -53 -0x3343 -0x5ffda4b2 -256 -256 -0 -0 -0 -50 -0x1abb -0x41a9bf10 -256 -256 -1 -0 -0 -46 -0x65b5 -0xdc7f5e0d -256 -256 -1 -1 -0 -79 -0x504e -0x82bf3953 -256 -256 -0 -0 -0 -31 -0x7f59 -0x4334a27 -256 -256 -0 -0 -0 -20 -0xaee -0xcb45c4a6 -256 -256 -0 -0 -0 -54 -0x984b -0x60c4d059 -256 -256 -1 -1 -0 -66 -0x40d5 -0x3debbf57 -256 -256 -0 -0 -0 -83 -0xd587 -0xdf6ca8b8 -256 -256 -0 -0 -0 -28 -0xfc4d -0xf3011638 -256 -256 -0 -0 -0 -16 -0x9abb -0x5f644e0e -256 -256 -0 -0 -0 -50 -0xb2f9 -0x33965b6 -256 -256 -1 -1 -0 -25 -0x337a -0x5be712a4 -256 -256 -1 -0 -0 -41 -0x2d6f -0x5a0264bd -256 -256 -0 -0 -0 -24 -0xce36 -0x26f16dd5 -256 -256 -1 -0 -0 -38 -0x492 -0x4658a2e0 -256 -256 -1 -0 -0 -36 -0x39af -0x783eab36 -256 -256 -1 -1 -0 -49 -0xef41 -0xf63864be -256 -256 -0 -0 -0 -32 -0x9ac9 -0x225adab3 -256 -256 -1 -0 -0 -95 -0x3a3e -0x9b902812 -256 -256 -1 -0 -0 -29 -0xb148 -0xb1aa156 -256 -256 -1 -1 -0 -90 -0x1803 -0x3b7c341d -256 -256 -1 -1 -0 -19 -0x8c2c -0xc1c842ff -256 -256 -1 -0 -0 -91 -0xc5f -0x57bbf08 -256 -256 -0 -0 -0 -65 -0xf298 -0x71b840a4 -256 -256 -0 -0 -0 -20 -0xdc44 -0x70f5a686 -256 -256 -1 -1 -0 -97 -0x7f0e -0x7b24f4e4 -256 -256 -0 -0 -0 -90 -0xbc80 -0xd3f659d8 -256 -256 -0 -0 -0 -81 -0x3116 -0x717e858c -256 -256 -1 -1 -0 -55 -0x6d52 -0xbdde336d -256 -256 -1 -0 -0 -77 -0x8ef5 -0xc5377e46 -256 -256 -0 -0 -0 -48 -0x1cf -0x42da1d47 -256 -256 -0 -0 -0 -37 -0xed0f -0x86c6f0df -256 -256 -0 -0 -0 -51 -0x3d07 -0xca994e60 -256 -256 -1 -1 -0 -49 -0x7b3c -0xc50046f0 -256 -256 -0 -0 -0 -40 -0x79e1 -0x2f4b005c -256 -256 -1 -0 -0 -40 -0xb763 -0x6c9dc496 -256 -256 -0 -0 -0 -96 -0x19d9 -0x1348fd9c -256 -256 -1 -1 -0 -26 -0x4850 -0xe857575f -256 -256 -0 -0 -0 -61 -0x5b06 -0x2d613719 -256 -256 -1 -0 -0 -13 -0x8b5 -0x54661506 -256 -256 -1 -1 -0 -32 -0x5344 -0xb0cc6dcf -256 -256 -0 -0 -0 -90 -0xe32f -0xa35e37f4 -256 -256 -1 -0 -0 -94 -0x60b4 -0x8ba22e29 -256 -256 -0 -0 -0 -45 -0xbbf4 -0xb41e14e1 -256 -256 -0 -0 -0 -60 -0xda45 -0x4420469e -256 -256 -0 -0 -0 -27 -0x8a90 -0xb29de53c -256 -256 -1 -1 -0 -79 -0x8d6c -0x16038f9b -256 -256 -0 -0 -0 -44 -0xef10 -0xf2f09adf -256 -256 -1 -1 -0 -65 -0x4b69 -0x5f8969be -256 -256 -1 -1 -0 -56 -0x77f1 -0xc9c2e6c1 -256 -256 -0 -0 -0 -74 -0x26a6 -0xa35e84dc -256 -256 -0 -0 -0 -40 -0x4cf3 -0xe24d68ce -256 -256 -1 -0 -0 -59 -0xdd01 -0x435f07b2 -256 -256 -1 -0 -0 -62 -0xb586 -0xb41cc519 -256 -256 -1 -0 -0 -30 -0x7fdf -0x4841d457 -256 -256 -0 -0 -0 -39 -0xce95 -0xfc07f84c -256 -256 -0 -0 -0 -52 -0xd23f -0xccc3ceb7 -256 -256 -0 -0 -0 -47 -0xb1c4 -0xa6f54dc7 -256 -256 -1 -0 -0 -13 -0x36ac -0x217f4cd2 -256 -256 -0 -0 -0 -32 -0xa048 -0x1f010270 -256 -256 -0 -0 -0 -17 -0x51ab -0x69e60bb4 -256 -256 -0 -0 -0 -67 -0xa4ed -0x8b4af501 -256 -256 -0 -0 -0 -23 -0x75a -0x299c5988 -256 -256 -1 -1 -0 -59 -0x93fe -0xb8d02d5 -256 -256 -1 -0 -0 -24 -0x4357 -0xde9ea640 -256 -256 -0 -0 -0 -88 -0xbc70 -0xe40822bd -256 -256 -1 -0 -0 -59 -0x8c15 -0x78698c04 -256 -256 -0 -0 -0 -81 -0xcc0 -0xec73e48 -256 -256 -0 -0 -0 -85 -0x25cb -0xc6e5ce2c -256 -256 -1 -1 -0 -94 -0xcb00 -0xd27a2434 -256 -256 -0 -0 -0 -62 -0x3e30 -0x6fff8fd0 -256 -256 -0 -0 -0 -74 -0x49f7 -0xa1773008 -256 -256 -0 -0 -0 -98 -0xcb74 -0x60f4687e -256 -256 -0 -0 -0 -12 -0xbc70 -0xd737fb4d -256 -256 -1 -1 -0 -67 -0xbd1d -0x10554713 -256 -256 -1 -0 -0 -91 -0xa06c -0xaa79cb38 -256 -256 -1 -1 -0 -13 -0x774e -0xcb8a4ad7 -256 -256 -0 -0 -0 -27 -0x63d0 -0x64519e1 -256 -256 -0 -0 -0 -72 -0x5472 -0xa5b6a77e -256 -256 -0 -0 -0 -34 -0xc1bc -0x7c00242e -256 -256 -1 -1 -0 -79 -0xc000 -0xece3bcfa -256 -256 -1 -0 -0 -33 -0x6e7b -0x55e7e501 -256 -256 -1 -1 -0 -94 -0xd5b5 -0xc471015e -256 -256 -1 -1 -0 -47 -0xdb41 -0x4a1ce798 -256 -256 -0 -0 -0 -48 -0x78d1 -0xd7925ff9 -256 -256 -1 -1 -0 -99 -0xf671 -0xecc50b2d -256 -256 -1 -1 -0 -54 -0x4c06 -0xcfe6ec79 -256 -256 -1 -0 -0 -91 -0xdaa0 -0xe7b7dd7d -256 -256 -1 -1 -0 -25 -0x2bfe -0x4039314c -256 -256 -1 -1 -0 -14 -0xb3d1 -0x91a09e9 -256 -256 -0 -0 -0 -39 -0x7a00 -0x3653c131 -256 -256 -1 -1 -0 -73 -0x5efc -0xd6564098 -256 -256 -0 -0 -0 -24 -0xb34a -0x99611740 -256 -256 -1 -1 -0 -97 -0x1619 -0xec86e49 -256 -256 -1 -1 -0 -60 -0xb1d5 -0xe97efb62 -256 -256 -1 -1 -0 -36 -0x607f -0xff988368 -256 -256 -1 -0 -0 -15 -0xe54f -0x67a44b07 -256 -256 -0 -0 -0 -24 -0x5303 -0x15b20e28 -256 -256 -1 -1 -0 -92 -0x5407 -0x9bceb64c -256 -256 -1 -1 -0 -69 -0x11a8 -0xbc5e6504 -256 -256 -1 -1 -0 -87 -0x7aba -0x9aa4a4ce -256 -256 -1 -0 -0 -60 -0xb49b -0x5c78947 -256 -256 -0 -0 -0 -95 -0x3864 -0x75161960 -256 -256 -0 -0 -0 -12 -0x6dd6 -0xcb41f092 -256 -256 -1 -1 -0 -58 -0x3e4 -0x3b7f98c9 -256 -256 -0 -0 -0 -18 -0x902a -0x8a9c97c2 -256 -256 -0 -0 -0 -81 -0x41e7 -0xa2dfeb8 -256 -256 -0 -0 -0 -81 -0x3f5a -0x77788d07 -256 -256 -1 -1 -0 -95 -0xf8d8 -0x891939ae -256 -256 -1 -1 -0 -28 -0x428c -0xc08c385b -256 -256 -1 -0 -0 -53 -0x2937 -0xa1d50c7a -256 -256 -1 -1 -0 -93 -0xbd5c -0xd5786db6 -256 -256 -1 -1 -0 -45 -0x617b -0x12a6974f -256 -256 -0 -0 -0 -98 -0x26fd -0x284e202b -256 -256 -0 -0 -0 -26 -0x483f -0x1cc150aa -256 -256 -0 -0 -0 -11 -0xcca -0xf5b985de -256 -256 -0 -0 -0 -31 -0x5bd1 -0x38b04dee -256 -256 -1 -1 -0 -20 -0x3d28 -0x60a79186 -256 -256 -0 -0 -0 -61 -0x7037 -0x97630c0a -256 -256 -0 -0 -0 -85 -0xa9bb -0xad192127 -256 -256 -1 -0 -0 -13 -0x5f16 -0xeda103e2 -256 -256 -0 -0 -0 -65 -0x4520 -0x5e798aa8 -256 -256 -1 -1 -0 -78 -0x9230 -0x1b5ab3e2 -256 -256 -1 -1 -0 -61 -0xd6c5 -0x6a2f883b -256 -256 -0 -0 -0 -32 -0xcbe5 -0xdf8bbba1 -256 -256 -0 -0 -0 -39 -0x8477 -0x67943eeb -256 -256 -0 -0 -0 -66 -0x1c07 -0x5a9c8496 -256 -256 -0 -0 -0 -75 -0x200c -0x25c2a02 -256 -256 -1 -1 -0 -99 -0x671e -0x779a6bf0 -256 -256 -1 -1 -0 -95 -0x2981 -0xb2d80f01 -256 -256 -1 -0 -0 -27 -0xc813 -0x2e92d4a3 -256 -256 -1 -1 -0 -85 -0x1e01 -0x248c3880 -256 -256 -1 -0 -0 -78 -0xac8c -0xf01d21a9 -256 -256 -0 -0 -0 -85 -0x8521 -0x277affce -256 -256 -1 -0 -0 -61 -0xf64e -0x1e87201 -256 -256 -0 -0 -0 -87 -0x89ae -0xe299bda4 -256 -256 -1 -0 -0 -28 -0x4638 -0x4d85a634 -256 -256 -1 -1 -0 -97 -0xa4b5 -0xe4d6cdae -256 -256 -0 -0 -0 -69 -0x7bb0 -0xcac88a75 -256 -256 -0 -0 -0 -42 -0xed83 -0x7eead1e8 -256 -256 -0 -0 -0 -85 -0x12d8 -0xb48dac20 -256 -256 -0 -0 -0 -33 -0x5f3d -0x9df6cca -256 -256 -0 -0 -0 -44 -0xbe03 -0xdbc31dd7 -256 -256 -0 -0 -0 -57 -0x4c36 -0xee065554 -256 -256 -0 -0 -0 -75 -0x7e00 -0xd62f8a32 -256 -256 -1 -1 -0 -15 -0xdd19 -0x5e2ca0f0 -256 -256 -0 -0 -0 -61 -0x719a -0x1e3adc96 -256 -256 -1 -1 -0 -14 -0x68a4 -0xfe043f49 -256 -256 -0 -0 -0 -38 -0x81a9 -0xf6cc6e10 -256 -256 -0 -0 -0 -66 -0xe0fd -0xd55c11a8 -256 -256 -0 -0 -0 -100 -0x92f5 -0x376d89e8 -256 -256 -0 -0 -0 -11 -0x6fc1 -0xcc6cbd69 -256 -256 -0 -0 -0 -17 -0xf413 -0xe7552488 -256 -256 -0 -0 -0 -99 -0xec49 -0x51e0927f -256 -256 -0 -0 -0 -15 -0x91c -0x9f2e6375 -256 -256 -0 -0 -0 -62 -0xc5c5 -0x3a838e11 -256 -256 -0 -0 -0 -15 -0xf4f7 -0x2335acb4 -256 -256 -1 -0 -0 -46 -0x8a84 -0xfc4b8070 -256 -256 -1 -1 -0 -75 -0x83e9 -0xa62d325b -256 -256 -0 -0 -0 -52 -0xdcc9 -0x4693fe85 -256 -256 -1 -0 -0 -54 -0x8177 -0x144f5df0 -256 -256 -1 -1 -0 -82 -0x3b8d -0xafe1d520 -256 -256 -0 -0 -0 -77 -0x4424 -0x4c09f338 -256 -256 -1 -1 -0 -23 -0xa5f -0x5999630f -256 -256 -1 -1 -0 -67 -0xb787 -0x2343ae4f -256 -256 -0 -0 -0 -74 -0x2a88 -0xa75c08d0 -256 -256 -1 -0 -0 -17 -0x5e8b -0x6479ab33 -256 -256 -0 -0 -0 -31 -0x5fff -0x8898645e -256 -256 -1 -1 -0 -23 -0x9607 -0x4421264a -256 -256 -1 -0 -0 -73 -0x7949 -0xf5192ed7 -256 -256 -0 -0 -0 -36 -0xeccb -0x8d97de60 -256 -256 -0 -0 -0 -49 -0x22eb -0x44b476d7 -256 -256 -0 -0 -0 -26 -0xdbca -0x803e7010 -256 -256 -1 -1 -0 -46 -0x16d8 -0x1d2479d3 -256 -256 -1 -0 -0 -26 -0x309a -0x6791e437 -256 -256 -1 -0 -0 -35 -0xc85e -0x768910da -256 -256 -1 -1 -0 -27 -0x7c2c -0x35e32cd6 -256 -256 -0 -0 -0 -99 -0xc356 -0xdfa0a3aa -256 -256 -1 -1 -0 -30 -0x8aca -0xa2b66821 -256 -256 -0 -0 -0 -27 -0x9a57 -0xb32ac04f -256 -256 -0 -0 -0 -55 -0x6db7 -0xe80e07ba -256 -256 -1 -0 -0 -66 -0x91c3 -0x87b006a8 -256 -256 -1 -0 -0 -98 -0x4d54 -0x6bd48834 -256 -256 -1 -0 -0 -25 -0xf25c -0x68e85f83 -256 -256 -0 -0 -0 -52 -0xf880 -0x168322fc -256 -256 -0 -0 -0 -42 -0x5e4d -0xf60e2381 -256 -256 -1 -1 -0 -44 -0x3fc7 -0xcb964ef1 -256 -256 -0 -0 -0 -76 -0x7608 -0xaf873914 -256 -256 -0 -0 -0 -74 -0xd56b -0x110cde07 -256 -256 -0 -0 -0 -51 -0x7f80 -0xfb63f5f3 -256 -256 -0 -0 -0 -10 -0x1c00 -0x18d585a2 -256 -256 -1 -1 -0 -100 -0x4392 -0xd506b15f -256 -256 -1 -0 -0 -83 -0xcfad -0x84f90dc6 -256 -256 -0 -0 -0 -51 -0xbaae -0x84804b53 -256 -256 -1 -0 -0 -75 -0x9601 -0x982f80e7 -256 -256 -0 -0 -0 -97 -0x9b81 -0xd85fffed -256 -256 -1 -0 -0 -27 -0x139e -0xf3cc7bcf -256 -256 -0 -0 -0 -92 -0x43e0 -0x2ffa29e3 -256 -256 -1 -1 -0 -28 -0x1b73 -0x374e5008 -256 -256 -1 -0 -0 -32 -0x6389 -0xdfc2ff55 -256 -256 -0 -0 -0 -45 -0xb1d9 -0xadaa07de -256 -256 -1 -1 -0 -73 -0xf1c5 -0x31f98445 -256 -256 -0 -0 -0 -73 -0x135b -0x8df94f17 -256 -256 -1 -1 -0 -78 -0x67ab -0xafa04274 -256 -256 -0 -0 -0 -40 -0x6d6c -0xa584c295 -256 -256 -0 -0 -0 -51 -0x74d9 -0xaf39cee0 -256 -256 -1 -0 -0 -46 -0xc8cf -0x9e81bed2 -256 -256 -0 -0 -0 -96 -0xc44e -0x1a879a86 -256 -256 -0 -0 -0 -89 -0x32a7 -0xdca9c432 -256 -256 -0 -0 -0 -15 -0x2f78 -0xf1401e5f -256 -256 -0 -0 -0 -54 -0xeecb -0xeea763a1 -256 -256 -0 -0 -0 -52 -0x4089 -0x185e40fb -256 -256 -0 -0 -0 -34 -0xc661 -0xf8806f72 -256 -256 -0 -0 -0 -71 -0x606f -0xfb250ed4 -256 -256 -1 -0 -0 -54 -0xfcd9 -0xb6ed4afd -256 -256 -0 -0 -0 -64 -0xf314 -0xf4c20853 -256 -256 -0 -0 -0 -43 -0xc74 -0xb94e63b4 -256 -256 -0 -0 -0 -59 -0xa3ed -0xdefae78a -256 -256 -0 -0 -0 -72 -0xe2e9 -0x7a0fb773 -256 -256 -0 -0 -0 -15 -0xd100 -0x12c5d8c2 -256 -256 -1 -1 -0 -83 -0x2d57 -0x290fe56 -256 -256 -1 -1 -0 -70 -0x436c -0x62af8f44 -256 -256 -1 -0 -0 -51 -0xbe6a -0xa9ba3692 -256 -256 -0 -0 -0 -64 -0xa0c8 -0xf065aae2 -256 -256 -0 -0 -0 -84 -0xb4aa -0xe8c58ce9 -256 -256 -1 -0 -0 -49 -0x4b2d -0xb70473af -256 -256 -0 -0 -0 -47 -0x624c -0x399f99b5 -256 -256 -0 -0 -0 -74 -0x16be -0xad0ab13 -256 -256 -0 -0 -0 -31 -0xadd9 -0x686f434a -256 -256 -1 -0 -0 -29 -0xf00a -0x3200fd51 -256 -256 -1 -1 -0 -48 -0xf7b8 -0x8d9c9ced -256 -256 -0 -0 -0 -62 -0x56d3 -0xb91fb627 -256 -256 -0 -0 -0 -15 -0x5111 -0x88e883fa -256 -256 -0 -0 -0 -43 -0x87ed -0x2f46897a -256 -256 -0 -0 -0 -27 -0xf21d -0x4387aed0 -256 -256 -1 -1 -0 -96 -0x9a21 -0xd50e0fec -256 -256 -0 -0 -0 -59 -0xdd58 -0xf96dd6b9 -256 -256 -0 -0 -0 -47 -0x2c84 -0x18e06981 -256 -256 -1 -0 -0 -45 -0xb8a8 -0xd066aa9c -256 -256 -0 -0 -0 -27 -0xd87b -0xfd49d0e0 -256 -256 -0 -0 -0 -77 -0xea37 -0x3bc6892e -256 -256 -1 -1 -0 -62 -0x7e90 -0xcbf5d738 -256 -256 -1 -0 -0 -25 -0x412 -0x2e9aeb7f -256 -256 -1 -0 -0 -87 -0xe20d -0xfc4133d2 -256 -256 -0 -0 -0 -72 -0xec57 -0x11b6a371 -256 -256 -0 -0 -0 -57 -0xf104 -0xcb240851 -256 -256 -0 -0 -0 -23 -0xc8b7 -0x1a6c7d51 -256 -256 -1 -1 -0 -30 -0xb0e5 -0xc39e7b32 -256 -256 -0 -0 -0 -51 -0x1700 -0x5b1aec9e -256 -256 -1 -1 -0 -50 -0x1ae0 -0x710148ac -256 -256 -1 -0 -0 -93 -0x85a6 -0xede71096 -256 -256 -0 -0 -0 -64 -0x3ae7 -0xc09fca85 -256 -256 -1 -0 -0 -29 -0x4ed6 -0xa3aac7d6 -256 -256 -0 -0 -0 -16 -0xf475 -0x58cefc36 -256 -256 -0 -0 -0 -79 -0xad06 -0x499a9916 -256 -256 -0 -0 -0 -64 -0xf926 -0x6f598ad4 -256 -256 -0 -0 -0 -28 -0x9c2e -0x6ae7b311 -256 -256 -1 -1 -0 -87 -0x5e24 -0x89ea5f93 -256 -256 -1 -0 -0 -18 -0xcbaa -0xe9a329c -256 -256 -1 -0 -0 -66 -0x4e7e -0x8271c123 -256 -256 -1 -0 -0 -33 -0xf412 -0xf5d75dca -256 -256 -1 -0 -0 -88 -0xb22b -0xcb2f2c85 -256 -256 -1 -1 -0 -13 -0x9412 -0x48e5b241 -256 -256 -1 -1 -0 -16 -0xecca -0x7f05bdac -256 -256 -0 -0 -0 -88 -0x5125 -0x52fc49c3 -256 -256 -0 -0 -0 -54 -0xeef7 -0xb7e11c13 -256 -256 -1 -0 -0 -56 -0x86f8 -0x6873c914 -256 -256 -0 -0 -0 -26 -0x4c2 -0x7b592480 -256 -256 -0 -0 -0 -14 -0x2a5 -0x1061e3e1 -256 -256 -0 -0 -0 -42 -0xb397 -0x79682af2 -256 -256 -1 -0 -0 -45 -0x5a40 -0x119b882e -256 -256 -0 -0 -0 -66 -0xb4d6 -0xeb0cdeb1 -256 -256 -0 -0 -0 -99 -0xbdf8 -0x3b07f809 -256 -256 -1 -0 -0 -51 -0x6d33 -0xcbcf9d7b -256 -256 -1 -1 -0 -30 -0x86ed -0x61c51e9c -256 -256 -0 -0 -0 -68 -0x5e2e -0x4415df0f -256 -256 -1 -1 -0 -66 -0xfe6e -0xea50c0a8 -256 -256 -1 -0 -0 -72 -0x54c0 -0xc2cae0ab -256 -256 -1 -0 -0 -24 -0xab2a -0x4ca696d7 -256 -256 -1 -1 -0 -33 -0x7ae3 -0x38f30f08 -256 -256 -0 -0 -0 -31 -0x2992 -0xeb679c95 -256 -256 -1 -1 -0 -46 -0xbbec -0xc7e561bc -256 -256 -0 -0 -0 -97 -0xefd3 -0x6d96f43f -256 -256 -1 -1 -0 -31 -0xfc76 -0x3efe7e7e -256 -256 -0 -0 -0 -27 -0x5fd1 -0xf7d9a826 -256 -256 -1 -1 -0 -64 -0xcb98 -0x39c47983 -256 -256 -1 -0 -0 -38 -0x9371 -0xe1b7ca76 -256 -256 -0 -0 -0 -33 -0x7756 -0x31be764a -256 -256 -0 -0 -0 -17 -0x29a8 -0x8e74223a -256 -256 -1 -1 -0 -39 -0xcf2b -0x6a794134 -256 -256 -0 -0 -0 -42 -0xf999 -0x6c938ae7 -256 -256 -0 -0 -0 -81 -0x1d33 -0xf5549107 -256 -256 -1 -0 -0 -27 -0xc3ee -0x6c49dde2 -256 -256 -0 -0 -0 -10 -0xc4e8 -0x447e1261 -256 -256 -0 -0 -0 -25 -0xf09d -0xd91f8e58 -256 -256 -1 -0 -0 -12 -0x8ac4 -0x25e537ec -256 -256 -0 -0 -0 -69 -0x6dba -0xe2238fb5 -256 -256 -1 -0 -0 -42 -0xcccb -0x21d14bd3 -256 -256 -1 -1 -0 -82 -0x82ae -0xa30b1953 -256 -256 -1 -0 -0 -63 -0xcc20 -0x85543f8a -256 -256 -0 -0 -0 -31 -0x92f6 -0xf3d58af5 -256 -256 -1 -1 -0 -10 -0x6b68 -0xea90bf06 -256 -256 -1 -1 -0 -42 -0xee4c -0x56018460 -256 -256 -0 -0 -0 -55 -0x113d -0x87630674 -256 -256 -0 -0 -0 -94 -0x84cb -0xe0d18cd3 -256 -256 -1 -0 -0 -88 -0xe2e5 -0xe4f5d963 -256 -256 -1 -1 -0 -16 -0x2ee7 -0xc4a3fc6d -256 -256 -0 -0 -0 -88 -0xff61 -0xc24c26fc -256 -256 -0 -0 -0 -84 -0xa43b -0x6b34be54 -256 -256 -0 -0 -0 -52 -0x99b8 -0xea5ec474 -256 -256 -1 -1 -0 -49 -0x8f7c -0x212c645c -256 -256 -1 -1 -0 -28 -0xab68 -0x6bb8343a -256 -256 -1 -1 -0 -55 -0x1d89 -0xd476bf51 -256 -256 -0 -0 -0 -86 -0x269 -0xe75d82ec -256 -256 -1 -1 -0 -38 -0xb9c5 -0xd0dda26a -256 -256 -1 -1 -0 -38 -0x7c2c -0x6865edb3 -256 -256 -1 -1 -0 -29 -0x9c5f -0xbaeac709 -256 -256 -0 -0 -0 -71 -0x43e4 -0x943cde9 -256 -256 -0 -0 -0 -56 -0x7ad9 -0xf5ee8cd -256 -256 -1 -1 -0 -94 -0x70d -0x446573f5 -256 -256 -0 -0 -0 -68 -0xcbd2 -0xc9c3ef13 -256 -256 -1 -1 -0 -26 -0xb038 -0x832091a7 -256 -256 -1 -0 -0 -34 -0x6eb4 -0xec7f5287 -256 -256 -1 -1 -0 -87 -0xa5e1 -0x14cb3f7f -256 -256 -0 -0 -0 -10 -0x394a -0x23ffec76 -256 -256 -1 -1 -0 -21 -0x6a76 -0x58e3183 -256 -256 -1 -0 -0 -36 -0xe71c -0x75986b77 -256 -256 -0 -0 -0 -82 -0xffdf -0xe8562a12 -256 -256 -0 -0 -0 -28 -0x720b -0xda62868e -256 -256 -0 -0 -0 -62 -0xdf8c -0x3b165bd3 -256 -256 -0 -0 -0 -69 -0xf310 -0xa31e6181 -256 -256 -1 -0 -0 -98 -0x7290 -0x732ed34b -256 -256 -1 -1 -0 -60 -0xe48f -0x65e41590 -256 -256 -1 -1 -0 -93 -0x89fb -0xaf61b7bd -256 -256 -0 -0 -0 -96 -0x5031 -0xb10060d1 -256 -256 -0 -0 -0 -100 -0xdfcc -0x752df1af -256 -256 -1 -1 -0 -19 -0xb241 -0xbca8bf31 -256 -256 -0 -0 -0 -45 -0x8660 -0x7dd6b971 -256 -256 -0 -0 -0 -64 -0xd75e -0x57e33b63 -256 -256 -0 -0 -0 -93 -0xb70a -0xa8cf27fb -256 -256 -0 -0 -0 -30 -0xda46 -0x38ec5954 -256 -256 -1 -1 -0 -20 -0xba24 -0x429afbf3 -256 -256 -0 -0 -0 -70 -0x958 -0x401db1ea -256 -256 -1 -1 -0 -41 -0xf93f -0xc3d628b9 -256 -256 -0 -0 -0 -75 -0x2978 -0x1e8fca88 -256 -256 -0 -0 -0 -45 -0x39ef -0xd5d89cac -256 -256 -0 -0 -0 -49 -0xb1c -0x22ba17e7 -256 -256 -0 -0 -0 -33 -0x5e4b -0xc0113872 -256 -256 -1 -0 -0 -11 -0x4b23 -0x8093c007 -256 -256 -0 -0 -0 -26 -0x77d3 -0xb755f449 -256 -256 -1 -0 -0 -10 -0xc222 -0x998fbcb1 -256 -256 -1 -0 -0 -50 -0x89ac -0x6b1125e7 -256 -256 -0 -0 -0 -27 -0x110 -0x1e0c3183 -256 -256 -1 -1 -0 -39 -0x14bb -0x5ccbebfb -256 -256 -0 -0 -0 -35 -0xef3a -0x9e527122 -256 -256 -0 -0 -0 -85 -0x3ad5 -0xc0552232 -256 -256 -0 -0 -0 -80 -0x2a61 -0xfa3f0f81 -256 -256 -0 -0 -0 -51 -0xfef4 -0xc1a1f9c4 -256 -256 -1 -0 -0 -26 -0x88e2 -0x229a7dba -256 -256 -0 -0 -0 -52 -0xbedf -0xbec316f2 -256 -256 -0 -0 -0 -82 -0xb055 -0x4ead52f2 -256 -256 -1 -1 -0 -32 -0x1df7 -0x7dd34b64 -256 -256 -1 -0 -0 -39 -0x6c1 -0xf10934d7 -256 -256 -1 -0 -0 -70 -0xb845 -0x235f69f2 -256 -256 -1 -1 -0 -44 -0x6b88 -0x5d703d3e -256 -256 -1 -0 -0 -76 -0xff1e -0x242a78a7 -256 -256 -0 -0 -0 -14 -0xcc8 -0x70cc6a5f -256 -256 -0 -0 -0 -43 -0xf9ba -0xab4973b3 -256 -256 -1 -1 -0 -23 -0xac9b -0x6990ffd3 -256 -256 -1 -1 -0 -62 -0xa3ab -0x88b0b323 -256 -256 -0 -0 -0 -88 -0xbebc -0x441dfeb8 -256 -256 -0 -0 -0 -12 -0xfe17 -0xed884636 -256 -256 -0 -0 -0 -64 -0x888d -0xb9492aec -256 -256 -0 -0 -0 -12 -0xa987 -0xc371bfea -256 -256 -1 -1 -0 -65 -0xc693 -0x177b51f6 -256 -256 -0 -0 -0 -85 -0x1a1e -0x9fa0a309 -256 -256 -0 -0 -0 -49 -0xb51e -0x741086e3 -256 -256 -1 -0 -0 -59 -0xbd4b -0x17c48dd0 -256 -256 -0 -0 -0 -70 -0x38fb -0xec4296c6 -256 -256 -1 -0 -0 -73 -0xd865 -0xbb8ba75b -256 -256 -1 -0 -0 -42 -0x13e5 -0xa7b4b6c5 -256 -256 -1 -1 -0 -12 -0x6183 -0xd148b5a -256 -256 -0 -0 -0 -19 -0xd592 -0xae40014 -256 -256 -1 -0 -0 -92 -0x8e53 -0xad6790cb -256 -256 -1 -1 -0 -49 -0xc1d6 -0xbc227ab2 -256 -256 -0 -0 -0 -31 -0x1e68 -0xf108ab83 -256 -256 -1 -1 -0 -45 -0x41ef -0x534dc632 -256 -256 -0 -0 -0 -58 -0xfa39 -0xa8471124 -256 -256 -0 -0 -0 -11 -0xdab5 -0xe8a8adee -256 -256 -0 -0 -0 -29 -0x1321 -0x2176c368 -256 -256 -0 -0 -0 -81 -0x47a0 -0xe6c11f2c -256 -256 -0 -0 -0 -50 -0xd6aa -0x8ac8285d -256 -256 -0 -0 -0 -21 -0xcfbc -0xa72afb7d -256 -256 -0 -0 -0 -18 -0xbc53 -0xe3ff71fe -256 -256 -0 -0 -0 -65 -0x4613 -0x2704e3c2 -256 -256 -0 -0 -0 -61 -0x29c3 -0x19a32040 -256 -256 -1 -1 -0 -18 -0x1497 -0x8788804e -256 -256 -0 -0 -0 -40 -0xbc43 -0xabe3f1a7 -256 -256 -0 -0 -0 -90 -0x1444 -0xa40c17a1 -256 -256 -0 -0 -0 -63 -0xb7ce -0x5c73756c -256 -256 -0 -0 -0 -64 -0xd4cc -0xa952fe88 -256 -256 -0 -0 -0 -83 -0x6e15 -0x6042a126 -256 -256 -0 -0 -0 -72 -0x629b -0x5884cf53 -256 -256 -1 -1 -0 -79 -0x8774 -0x1e0f70a0 -256 -256 -1 -1 -0 -12 -0xaaed -0x8e813026 -256 -256 -1 -1 -0 -78 -0x29e3 -0x8a501dc7 -256 -256 -1 -1 -0 -93 -0x6560 -0x120d01b4 -256 -256 -0 -0 -0 -76 -0xac6c -0x9230b79b -256 -256 -1 -1 -0 -64 -0x13a6 -0x9d1a61fb -256 -256 -1 -1 -0 -100 -0x8a11 -0xa1da0b18 -256 -256 -0 -0 -0 -24 -0x3150 -0x882619d6 -256 -256 -0 -0 -0 -11 -0x5fbf -0x87246528 -256 -256 -0 -0 -0 -75 -0xaf12 -0xc9722c92 -256 -256 -0 -0 -0 -62 -0x2271 -0x20061ec1 -256 -256 -1 -1 -0 -89 -0xbef4 -0xc1e88b47 -256 -256 -0 -0 -0 -87 -0x7ea7 -0x49a4d4b -256 -256 -1 -1 -0 -45 -0x3796 -0x410cd927 -256 -256 -1 -0 -0 -25 -0xe8e3 -0xd5b6eeee -256 -256 -1 -0 -0 -92 -0xcf92 -0x709537e0 -256 -256 -0 -0 -0 -72 -0x4af3 -0x66098357 -256 -256 -0 -0 -0 -17 -0xdb55 -0x1f45ffdf -256 -256 -1 -1 -0 -42 -0x7f9d -0x607aa6fc -256 -256 -0 -0 -0 -23 -0x507 -0x6f023254 -256 -256 -1 -1 -0 -11 -0xe8d0 -0x36abd294 -256 -256 -1 -1 -0 -65 -0x8017 -0xfaa9cff9 -256 -256 -1 -1 -0 -77 -0xff3a -0x8b7fd099 -256 -256 -0 -0 -0 -61 -0xd4d0 -0x7999d1e1 -256 -256 -1 -0 -0 -68 -0xdc0d -0x386cc241 -256 -256 -0 -0 -0 -14 -0xf616 -0x6d8fc49d -256 -256 -0 -0 -0 -97 -0x6375 -0x65ed89c6 -256 -256 -1 -0 -0 -41 -0x8e2b -0x189f9675 -256 -256 -0 -0 -0 -46 -0xfa83 -0x43b7821f -256 -256 -0 -0 -0 -67 -0xea92 -0x1cc460b7 -256 -256 -0 -0 -0 -63 -0x2adc -0xda649241 -256 -256 -1 -1 -0 -88 -0xa1cf -0x1ad04d68 -256 -256 -1 -0 -0 -32 -0x9794 -0x86d8a40a -256 -256 -1 -0 -0 -10 -0x1634 -0x57db8b8b -256 -256 -1 -1 -0 -41 -0x752 -0x49fec65f -256 -256 -1 -1 -0 -100 -0x60c -0x94cac51b -256 -256 -0 -0 -0 -67 -0xf8d4 -0x1682e66 -256 -256 -0 -0 -0 -55 -0x1c15 -0x6f038d39 -256 -256 -0 -0 -0 -30 -0x855b -0x2fdddb91 -256 -256 -0 -0 -0 -36 -0x3da6 -0xbdb75b70 -256 -256 -0 -0 -0 -58 -0xcb45 -0x7966d009 -256 -256 -1 -0 -0 -89 -0xa7fa -0x3e31de0f -256 -256 -1 -0 -0 -58 -0xa5de -0xc30e7214 -256 -256 -0 -0 -0 -51 -0xfec2 -0xd83e92b0 -256 -256 -0 -0 -0 -42 -0x5dfb -0x9fff14b0 -256 -256 -0 -0 -0 -52 -0xc1be -0xb2be0769 -256 -256 -0 -0 -0 -19 -0x2e7f -0x6dc8fa6c -256 -256 -0 -0 -0 -68 -0xc292 -0x58f6cfbf -256 -256 -1 -0 -0 -49 -0xa36e -0x944fa05f -256 -256 -0 -0 -0 -60 -0x7e37 -0xb6c2259c -256 -256 -1 -1 -0 -87 -0x13ae -0xf0994756 -256 -256 -1 -1 -0 -84 -0x1e77 -0x126b886c -256 -256 -0 -0 -0 -28 -0x2279 -0x53bbb564 -256 -256 -0 -0 -0 -93 -0xb67d -0xda9ba0e8 -256 -256 -0 -0 -0 -47 -0xa0ec -0x253a4bf3 -256 -256 -0 -0 -0 -99 -0x56ad -0xd3338b1 -256 -256 -1 -0 -0 -98 -0xccae -0x3aa2f2b1 -256 -256 -1 -1 -0 -31 -0xfc41 -0x76bf3550 -256 -256 -0 -0 -0 -77 -0x9db2 -0xbecedeee -256 -256 -1 -0 -0 -71 -0xe6e3 -0xda20e00c -256 -256 -1 -1 -0 -91 -0x53af -0x7589934a -256 -256 -1 -0 -0 -72 -0x7d02 -0x38b50aa9 -256 -256 -0 -0 -0 -92 -0x5abb -0xda3d05d0 -256 -256 -0 -0 -0 -98 -0x1f15 -0xfc64b8e -256 -256 -0 -0 -0 -75 -0xb517 -0x8f9e225b -256 -256 -0 -0 -0 -72 -0xa545 -0x8fa13929 -256 -256 -1 -1 -0 -17 -0x7573 -0xf8f856bd -256 -256 -0 -0 -0 -62 -0x8ebd -0x227cf415 -256 -256 -1 -1 -0 -49 -0xf377 -0x93636afe -256 -256 -1 -0 -0 -15 -0x98aa -0xfe25d077 -256 -256 -1 -0 -0 -94 -0x8cca -0xc3d96beb -256 -256 -0 -0 -0 -79 -0x9b82 -0x8b428e95 -256 -256 -1 -1 -0 -26 -0x56e6 -0xd5f119de -256 -256 -1 -0 -0 -55 -0x6682 -0xe569d76 -256 -256 -1 -1 -0 -95 -0x4a03 -0xcaaa50e5 -256 -256 -0 -0 -0 -29 -0x3280 -0x82c4f85c -256 -256 -0 -0 -0 -88 -0xbef3 -0x8bd30b97 -256 -256 -0 -0 -0 -13 -0x330f -0xbf6d4b4 -256 -256 -1 -1 -0 -35 -0x9f25 -0xdbbf2beb -256 -256 -0 -0 -0 -73 -0x3fc4 -0xd905ebb7 -256 -256 -0 -0 -0 -75 -0xe12e -0x233fe114 -256 -256 -1 -1 -0 -31 -0x583 -0xf12d597d -256 -256 -1 -0 -0 -36 -0xfc5d -0xc3302bf8 -256 -256 -1 -0 -0 -99 -0x1f66 -0x114c190a -256 -256 -0 -0 -0 -45 -0x5ad5 -0x67fe01ef -256 -256 -1 -1 -0 -30 -0xa566 -0x90c31337 -256 -256 -1 -1 -0 -75 -0x2e72 -0x85ae6169 -256 -256 -1 -1 -0 -42 -0xea47 -0xee09a2c7 -256 -256 -0 -0 -0 -89 -0xb877 -0xf7a538cb -256 -256 -1 -1 -0 -93 -0x4806 -0x8cccd68c -256 -256 -1 -1 -0 -81 -0x2782 -0x1e29f526 -256 -256 -0 -0 -0 -88 -0x1065 -0xec4c18c3 -256 -256 -1 -0 -0 -56 -0xc691 -0x66d55923 -256 -256 -1 -1 -0 -79 -0x17d9 -0xc6b76d7f -256 -256 -0 -0 -0 -78 -0x443d -0x11832a05 -256 -256 -1 -1 -0 -28 -0x4da6 -0x481ba3f7 -256 -256 -1 -0 -0 -20 -0xb166 -0xabdfcccd -256 -256 -1 -0 -0 -98 -0xa7e1 -0xdcc4b6b2 -256 -256 -0 -0 -0 -40 -0x57dc -0x181be87a -256 -256 -1 -1 -0 -84 -0x57b0 -0x9a86723a -256 -256 -1 -0 -0 -75 -0xef93 -0xfc52d1cb -256 -256 -1 -1 -0 -37 -0xe5cc -0xefba1639 -256 -256 -0 -0 -0 -42 -0x68df -0xe7f4c8b8 -256 -256 -1 -0 -0 -58 -0x78fc -0xc75fc2d3 -256 -256 -1 -1 -0 -29 -0x2211 -0xdd0263c4 -256 -256 -0 -0 -0 -27 -0x6f4a -0xf48bda59 -256 -256 -0 -0 -0 -36 -0xc15d -0x191389a8 -256 -256 -1 -0 -0 -75 -0x9006 -0x34eac69f -256 -256 -1 -0 -0 -20 -0x6e3a -0x703d2dab -256 -256 -0 -0 -0 -87 -0x95d9 -0xb997939b -256 -256 -1 -1 -0 -16 -0x7eaa -0x8b5b8c95 -256 -256 -0 -0 -0 -21 -0x5426 -0xb2c1de2 -256 -256 -1 -1 -0 -51 -0xfec8 -0x8db256b0 -256 -256 -0 -0 -0 -55 -0xf575 -0xa7f939c3 -256 -256 -1 -0 -0 -23 -0x872 -0xccd9fbfb -256 -256 -1 -1 -0 -78 -0x263e -0xe6144b5a -256 -256 -0 -0 -0 -93 -0x1fb2 -0xb6c235e5 -256 -256 -1 -1 -0 -89 -0xe2a6 -0x596a7ab4 -256 -256 -1 -0 -0 -72 -0x4627 -0xe9da5222 -256 -256 -0 -0 -0 -90 -0xe062 -0x49e72804 -256 -256 -1 -0 -0 -72 -0xb131 -0x724ea13a -256 -256 -0 -0 -0 -61 -0xa1ff -0xc34a3f7b -256 -256 -1 -1 -0 -30 -0xb649 -0x291f1438 -256 -256 -0 -0 -0 -58 -0x790b -0x11068050 -256 -256 -1 -1 -0 -55 -0xa62c -0x1c36341a -256 -256 -0 -0 -0 -66 -0xfee7 -0xf8cda0f5 -256 -256 -0 -0 -0 -77 -0xd2dc -0x44e5e169 -256 -256 -0 -0 -0 -72 -0xe3b1 -0x44f6959d -256 -256 -0 -0 -0 -22 -0x356f -0x878846d -256 -256 -1 -0 -0 -18 -0x8630 -0x190ca5b6 -256 -256 -0 -0 -0 -87 -0x3bd1 -0xf4b572e7 -256 -256 -0 -0 -0 -75 -0x95c9 -0xedb52f5a -256 -256 -1 -0 -0 -10 -0x93b0 -0x120551e7 -256 -256 -1 -0 -0 -95 -0xe0bd -0x2ca9b0a3 -256 -256 -1 -1 -0 -30 -0xf557 -0xa9ebd7da -256 -256 -1 -0 -0 -87 -0x17d6 -0xc33c8080 -256 -256 -1 -1 -0 -46 -0xe064 -0xab4f6622 -256 -256 -0 -0 -0 -21 -0x41af -0x313d3fba -256 -256 -0 -0 -0 -19 -0xfff6 -0xd463c4cb -256 -256 -1 -1 -0 -18 -0x4b5 -0xbd859749 -256 -256 -1 -0 -0 -63 -0xb409 -0xc752f0b5 -256 -256 -1 -0 -0 -61 -0xce61 -0x1b85e1f1 -256 -256 -1 -1 -0 -30 -0xa293 -0x8b9d0a01 -256 -256 -1 -1 -0 -84 -0x7fda -0x9374077f -256 -256 -1 -1 -0 -34 -0x523c -0xea95435f -256 -256 -1 -1 -0 -25 -0x397c -0xe496517 -256 -256 -0 -0 -0 -85 -0xd8d9 -0x55860ac4 -256 -256 -1 -1 -0 -87 -0x7db0 -0x865580c4 -256 -256 -1 -0 -0 -31 -0x48e0 -0x95296745 -256 -256 -0 -0 -0 -70 -0xee2d -0x86e51ed9 -256 -256 -1 -1 -0 -82 -0x6131 -0xea22d92f -256 -256 -1 -0 -0 -57 -0xcd64 -0xd5807cc2 -256 -256 -1 -1 -0 -57 -0xca0f -0xd63d06e1 -256 -256 -1 -1 -0 -69 -0xf0fc -0x1401b294 -256 -256 -1 -0 -0 -14 -0xad74 -0x1421d34c -256 -256 -1 -0 -0 -19 -0xcb4b -0x682b4559 -256 -256 -0 -0 -0 -21 -0x960a -0x83cae247 -256 -256 -0 -0 -0 -12 -0x4fde -0x1a542ea2 -256 -256 -0 -0 -0 -53 -0x432f -0x1d1bdb9c -256 -256 -0 -0 -0 -29 -0x4d98 -0x12e5ddc3 -256 -256 -1 -1 -0 -99 -0x4f6d -0x2c6f1bf4 -256 -256 -1 -0 -0 -80 -0x6f42 -0x9c41b8c -256 -256 -0 -0 -0 -38 -0x2f6e -0x57ce3d4e -256 -256 -0 -0 -0 -97 -0x6d59 -0x8b19db61 -256 -256 -0 -0 -0 -75 -0xa3c5 -0x844b5e64 -256 -256 -0 -0 -0 -21 -0x9fe3 -0x250ee5ef -256 -256 -0 -0 -0 -53 -0xbae -0xcea5be4b -256 -256 -1 -0 -0 -77 -0xa -0xa4081b07 -256 -256 -1 -1 -0 -28 -0xf10e -0x5f0142e9 -256 -256 -1 -1 -0 -43 -0x8066 -0x444b1f02 -256 -256 -0 -0 -0 -58 -0xe593 -0x9c75fcbd -256 -256 -0 -0 -0 -85 -0x7aff -0x4530470 -256 -256 -0 -0 -0 -96 -0x1c16 -0x7ca53d21 -256 -256 -0 -0 -0 -59 -0x7a6a -0xf230d14 -256 -256 -1 -0 -0 -73 -0x8dbb -0xedd6ce34 -256 -256 -1 -0 -0 -65 -0xfd0e -0xd7e3aa83 -256 -256 -1 -0 -0 -40 -0xa2f -0x42413aee -256 -256 -0 -0 -0 -21 -0x7cfd -0xf9a2bad0 -256 -256 -0 -0 -0 -83 -0x250e -0x6646dbbd -256 -256 -1 -1 -0 -96 -0xa315 -0x28922802 -256 -256 -0 -0 -0 -37 -0x57c -0x6158239c -256 -256 -0 -0 -0 -98 -0xbe16 -0xf6baa8b4 -256 -256 -1 -0 -0 -82 -0x60ef -0x60ae0eb4 -256 -256 -1 -0 -0 -100 -0x359b -0xa3bbb122 -256 -256 -0 -0 -0 -48 -0x3667 -0x45217af2 -256 -256 -0 -0 -0 -33 -0xd7df -0xd990183e -256 -256 -1 -0 -0 -48 -0x27a2 -0x6b4bebca -256 -256 -0 -0 -0 -72 -0x768 -0xd12413ad -256 -256 -1 -1 -0 -82 -0x3269 -0x1e8cc838 -256 -256 -0 -0 -0 -65 -0xb935 -0x1d289ceb -256 -256 -1 -1 -0 -96 -0x989e -0xde1162e0 -256 -256 -0 -0 -0 -58 -0xbc91 -0xc37621fd -256 -256 -0 -0 -0 -100 -0x53d0 -0x9ce93c89 -256 -256 -1 -1 -0 -96 -0x3d1d -0xebdbd55f -256 -256 -0 -0 -0 -53 -0x71bd -0x30912d96 -256 -256 -0 -0 -0 -75 -0xed9a -0x4fb69840 -256 -256 -0 -0 -0 -59 -0x8916 -0x5ad1ffcb -256 -256 -0 -0 -0 -85 -0x48da -0x1aba68fe -256 -256 -1 -1 -0 -87 -0x43ee -0xdff8f9f3 -256 -256 -1 -0 -0 -25 -0x5c87 -0xcf7aeddc -256 -256 -1 -0 -0 -49 -0x9bf8 -0xe6948be7 -256 -256 -1 -1 -0 -87 -0x483 -0x1edba8ee -256 -256 -0 -0 -0 -15 -0xac26 -0xebf29611 -256 -256 -0 -0 -0 -92 -0x55b6 -0xd6316eb4 -256 -256 -1 -1 -0 -38 -0x10f7 -0x6ac6c5d6 -256 -256 -1 -1 -0 -12 -0x2274 -0x61cf7448 -256 -256 -0 -0 -0 -37 -0x911e -0x48ce958d -256 -256 -1 -0 -0 -42 -0x9dbf -0xa8144c6e -256 -256 -0 -0 -0 -78 -0x56c9 -0xa211b779 -256 -256 -0 -0 -0 -37 -0x172a -0x6861d2a3 -256 -256 -1 -0 -0 -99 -0xabba -0x758326b2 -256 -256 -1 -1 -0 -46 -0x4b7a -0xc168554 -256 -256 -0 -0 -0 -91 -0x16cf -0x8fda7e7e -256 -256 -1 -0 -0 -24 -0x8bc9 -0xcf02d44e -256 -256 -1 -0 -0 -37 -0x8d3b -0xd5d60d9f -256 -256 -0 -0 -0 -98 -0xc9f6 -0x9b3529f0 -256 -256 -1 -1 -0 -98 -0x6128 -0xdd72c595 -256 -256 -0 -0 -0 -50 -0xbc01 -0x5b3d7107 -256 -256 -1 -1 -0 -13 -0x9998 -0xd9d7a6ac -256 -256 -0 -0 -0 -48 -0xb8bd -0x1dd32e71 -256 -256 -0 -0 -0 -69 -0x1b9 -0x64f3f390 -256 -256 -1 -0 -0 -99 -0xb2ef -0x92968dff -256 -256 -1 -1 -0 -69 -0x398e -0xdd9919dd -256 -256 -0 -0 -0 -49 -0x52cd -0xe5683d7d -256 -256 -0 -0 -0 -73 -0x514 -0xb8873566 -256 -256 -1 -0 -0 -23 -0x52c6 -0x656a9da6 -256 -256 -0 -0 -0 -60 -0x6dc8 -0x7341ba01 -256 -256 -1 -0 -0 -39 -0xf2b -0xade1175d -256 -256 -0 -0 -0 -19 -0xed1c -0x326fd4f6 -256 -256 -1 -0 -0 -31 -0x83bb -0x9704097b -256 -256 -0 -0 -0 -49 -0xdec7 -0xa4ef8cd5 -256 -256 -0 -0 -0 -35 -0x161b -0x3ad44f0 -256 -256 -1 -0 -0 -54 -0x608e -0xf89e742c -256 -256 -1 -1 -0 -18 -0x1a65 -0xed496ec2 -256 -256 -0 -0 -0 -48 -0xc1e3 -0xd342a43e -256 -256 -1 -1 -0 -89 -0xfd94 -0x9320331d -256 -256 -1 -1 -0 -11 -0x13c2 -0x694317f1 -256 -256 -0 -0 -0 -52 -0xdab2 -0x2f42d163 -256 -256 -0 -0 -0 -93 -0xa15a -0x20c3015a -256 -256 -1 -0 -0 -91 -0x8908 -0xe2c93a83 -256 -256 -0 -0 -0 -59 -0x5f4e -0xfcd8f9c2 -256 -256 -1 -1 -0 -32 -0x82a7 -0x339e7f28 -256 -256 -0 -0 -0 -48 -0x8c62 -0xc296c475 -256 -256 -0 -0 -0 -42 -0xe1a4 -0xbe29a6d6 -256 -256 -1 -0 -0 -85 -0x4989 -0xa6cba200 -256 -256 -0 -0 -0 -70 -0x25f0 -0xd00bd4b4 -256 -256 -1 -1 -0 -46 -0x4e8e -0xceb1c33f -256 -256 -1 -1 -0 -78 -0xc660 -0xbd936128 -256 -256 -1 -0 -0 -11 -0x17c -0xb2f99289 -256 -256 -1 -0 -0 -10 -0x52b8 -0xfd225160 -256 -256 -0 -0 -0 -45 -0xd271 -0x5aeab93a -256 -256 -0 -0 -0 -11 -0x58b6 -0x9c32387c -256 -256 -0 -0 -0 -95 -0x9c87 -0x5233509b -256 -256 -1 -0 -0 -11 -0xe08d -0xa1067f0c -256 -256 -0 -0 -0 -13 -0x530d -0x479f9a13 -256 -256 -0 -0 -0 -61 -0xf4b3 -0xd76afb6d -256 -256 -0 -0 -0 -45 -0x892c -0x391c8812 -256 -256 -1 -0 -0 -60 -0x2ffe -0xfce83946 -256 -256 -0 -0 -0 -88 -0x3343 -0xe45cc49e -256 -256 -0 -0 -0 -99 -0x315f -0x38205d0f -256 -256 -1 -0 -0 -14 -0x8e27 -0x5fd24b52 -256 -256 -0 -0 -0 -56 -0xcecd -0xaa425e6a -256 -256 -1 -0 -0 -86 -0x9560 -0x23c8f975 -256 -256 -0 -0 -0 -59 -0xab62 -0x1909f29b -256 -256 -1 -1 -0 -90 -0x7d2c -0xe2dfdaee -256 -256 -0 -0 -0 -30 -0x99ff -0x7ee0faa5 -256 -256 -1 -0 -0 -96 -0x9b34 -0x7ffb4d15 -256 -256 -0 -0 -0 -40 -0x4b2 -0xd64c67a6 -256 -256 -1 -0 -0 -71 -0xb452 -0x399f0312 -256 -256 -1 -1 -0 -26 -0x11bb -0x2635e083 -256 -256 -0 -0 -0 -99 -0xb6c6 -0x5928da1a -256 -256 -1 -1 -0 -30 -0x276b -0x4c323724 -256 -256 -0 -0 -0 -92 -0x9f0 -0xdd32c104 -256 -256 -0 -0 -0 -74 -0xf4d2 -0x4dd84b42 -256 -256 -0 -0 -0 -85 -0x4dbb -0xe789b519 -256 -256 -0 -0 -0 -49 -0x7895 -0x88516a71 -256 -256 -0 -0 -0 -87 -0x7363 -0xbc35e9ad -256 -256 -0 -0 -0 -77 -0x2cf0 -0xe3613760 -256 -256 -1 -1 -0 -53 -0x6bee -0xb719ef14 -256 -256 -0 -0 -0 -72 -0x9755 -0x9109a7a2 -256 -256 -1 -0 -0 -67 -0x980 -0xb06dbec0 -256 -256 -0 -0 -0 -84 -0x74cf -0xd18539e4 -256 -256 -1 -1 -0 -30 -0xb827 -0xc2511868 -256 -256 -0 -0 -0 -65 -0x628a -0xb47ac0e7 -256 -256 -1 -0 -0 -52 -0xe803 -0x1342e33c -256 -256 -0 -0 -0 -57 -0x3af4 -0xb6d4062 -256 -256 -0 -0 -0 -10 -0x4b30 -0x63b2fa08 -256 -256 -1 -1 -0 -87 -0xd658 -0x1abf53a8 -256 -256 -1 -0 -0 -34 -0xa1ec -0x5e092d62 -256 -256 -1 -1 -0 -89 -0x914e -0x6f0961da -256 -256 -1 -1 -0 -61 -0x76f1 -0xffb480f6 -256 -256 -1 -1 -0 -32 -0xf48 -0x773a45b2 -256 -256 -1 -1 -0 -90 -0x607a -0x24694fd -256 -256 -0 -0 -0 -36 -0xfc91 -0x2f346c7d -256 -256 -1 -1 -0 -91 -0x1389 -0xc904f217 -256 -256 -0 -0 -0 -31 -0xcc01 -0xb00ee447 -256 -256 -0 -0 -0 -44 -0x1fec -0x5d4c6d36 -256 -256 -1 -0 -0 -59 -0xd246 -0x3b72324c -256 -256 -0 -0 -0 -28 -0x84fc -0xba558aab -256 -256 -1 -1 -0 -29 -0x5e46 -0xfa051085 -256 -256 -1 -1 -0 -38 -0x33b7 -0x509552b0 -256 -256 -1 -1 -0 -34 -0xd231 -0x11c0d793 -256 -256 -0 -0 -0 -30 -0xcf1a -0x2a68184b -256 -256 -1 -1 -0 -33 -0xb828 -0x59e12d00 -256 -256 -1 -1 -0 -31 -0xaf55 -0xdbdc924b -256 -256 -1 -0 -0 -43 -0x803a -0x6fa49a8f -256 -256 -1 -0 -0 -31 -0xf3cd -0x18a55292 -256 -256 -1 -1 -0 -18 -0x12ad -0x4d254aae -256 -256 -1 -0 -0 -99 -0xae65 -0x4c1c5b3d -256 -256 -1 -0 -0 -95 -0x1ce9 -0x2c6774ef -256 -256 -0 -0 -0 -60 -0x404a -0x38894cb -256 -256 -0 -0 -0 -13 -0x8ca2 -0x3b7d33aa -256 -256 -0 -0 -0 -95 -0x8ba5 -0x314ce66c -256 -256 -1 -1 -0 -57 -0x2379 -0xc9c32377 -256 -256 -0 -0 -0 -33 -0x785 -0x2e68b0c4 -256 -256 -0 -0 -0 -76 -0x5d5f -0x985ec889 -256 -256 -0 -0 -0 -100 -0x73b2 -0x9dfa330f -256 -256 -1 -0 -0 -33 -0xf107 -0xa8b5ff96 -256 -256 -1 -1 -0 -99 -0xebc8 -0xff86915f -256 -256 -0 -0 -0 -75 -0x8636 -0x4fbd9097 -256 -256 -0 -0 -0 -58 -0x456b -0x9ad03226 -256 -256 -0 -0 -0 -50 -0xda6f -0xaa37943c -256 -256 -0 -0 -0 -90 -0xc96d -0x2a3a51ce -256 -256 -0 -0 -0 -18 -0xf2ae -0x4e17c92d -256 -256 -0 -0 -0 -99 -0xdc1c -0xd49a7e16 -256 -256 -1 -1 -0 -55 -0x97e1 -0x595409f7 -256 -256 -1 -0 -0 -33 -0x4ae6 -0xc143a1bb -256 -256 -1 -0 -0 -29 -0x8127 -0x67599725 -256 -256 -0 -0 -0 -55 -0x616b -0xe91daeac -256 -256 -1 -0 -0 -53 -0xb30 -0xf8f0f578 -256 -256 -1 -1 -0 -64 -0x29db -0x715fe3ec -256 -256 -0 -0 -0 -61 -0x29d3 -0x63adc75 -256 -256 -1 -0 -0 -44 -0xeb02 -0x3190d605 -256 -256 -1 -0 -0 -19 -0x20c5 -0xb61291a3 -256 -256 -0 -0 -0 -100 -0xf176 -0x6aa77ca4 -256 -256 -1 -1 -0 -58 -0x51e0 -0x5b2246ea -256 -256 -0 -0 -0 -74 -0xddba -0x540d48ee -256 -256 -0 -0 -0 -65 -0x976e -0x19f1a241 -256 -256 -0 -0 -0 -29 -0x5e63 -0x1f835b45 -256 -256 -1 -1 -0 -36 -0x36f5 -0x5c56f62f -256 -256 -0 -0 -0 -100 -0x546e -0xb7bb8a37 -256 -256 -0 -0 -0 -74 -0x12a8 -0xcc61cfea -256 -256 -0 -0 -0 -31 -0x9f03 -0xc749382c -256 -256 -0 -0 -0 -75 -0xb997 -0xca7b72b5 -256 -256 -0 -0 -0 -83 -0xb860 -0x59576444 -256 -256 -1 -0 -0 -26 -0xdd8b -0xdfdb5db9 -256 -256 -1 -0 -0 -59 -0x89b2 -0x37a8b57a -256 -256 -1 -1 -0 -48 -0x68c3 -0x343b290b -256 -256 -0 -0 -0 -22 -0xdb33 -0xe28aad92 -256 -256 -0 -0 -0 -21 -0x3853 -0x53ed6957 -256 -256 -0 -0 -0 -89 -0x993c -0x42f63aab -256 -256 -1 -0 -0 -96 -0x3bcf -0x855c0515 -256 -256 -0 -0 -0 -15 -0x9fe6 -0xaabaebbc -256 -256 -1 -0 -0 -94 -0x5028 -0xf44c4bf6 -256 -256 -0 -0 -0 -23 -0xbd2e -0x346664b -256 -256 -0 -0 -0 -68 -0xdb2d -0x37a620c7 -256 -256 -1 -1 -0 -75 -0xd1ec -0x374cbe55 -256 -256 -1 -0 -0 -63 -0xe41e -0x12aad85f -256 -256 -0 -0 -0 -66 -0xae2a -0x87b1ada7 -256 -256 -0 -0 -0 -65 -0x6649 -0x2a953f5f -256 -256 -1 -1 -0 -24 -0x4ddb -0x2c515321 -256 -256 -1 -1 -0 -84 -0x737e -0xe4eefdf3 -256 -256 -0 -0 -0 -33 -0x895e -0x109f38ed -256 -256 -1 -1 -0 -70 -0x448e -0xd29db299 -256 -256 -0 -0 -0 -52 -0xa880 -0xa88f72d8 -256 -256 -0 -0 -0 -92 -0x455 -0x63315fce -256 -256 -1 -0 -0 -11 -0x5c57 -0x5376a0e1 -256 -256 -0 -0 -0 -50 -0xfdd9 -0xb08d3530 -256 -256 -1 -1 -0 -28 -0x3e94 -0xf227be82 -256 -256 -1 -0 -0 -26 -0xa55d -0x2547b0e8 -256 -256 -1 -0 -0 -24 -0x8a25 -0x6e76f7da -256 -256 -0 -0 -0 -57 -0x7bf7 -0x5ac93b6b -256 -256 -1 -1 -0 -43 -0xece9 -0x471436b2 -256 -256 -0 -0 -0 -73 -0xef1 -0xc9ac4208 -256 -256 -1 -0 -0 -24 -0x39a1 -0x8eb347f0 -256 -256 -0 -0 -0 -14 -0x48cd -0x844da0e6 -256 -256 -0 -0 -0 -57 -0xf847 -0xdefe43ed -256 -256 -1 -0 -0 -92 -0x1208 -0x5d2a86b6 -256 -256 -0 -0 -0 -28 -0xeb24 -0xae77d277 -256 -256 -1 -0 -0 -22 -0x9130 -0x2e22496e -256 -256 -1 -1 -0 -29 -0x1ede -0xe326da52 -256 -256 -1 -1 -0 -61 -0x43c1 -0x89617e7 -256 -256 -1 -1 -0 -74 -0x4d18 -0x31fb9e01 -256 -256 -0 -0 -0 -63 -0x842c -0x23723758 -256 -256 -0 -0 -0 -45 -0x7246 -0x6db1f359 -256 -256 -0 -0 -0 -50 -0xcb02 -0x4a5de4a0 -256 -256 -0 -0 -0 -39 -0xcd53 -0x8973bb8f -256 -256 -0 -0 -0 -44 -0x758d -0xb07d6824 -256 -256 -1 -0 -0 -85 -0x1338 -0xf3a9ef1d -256 -256 -1 -0 -0 -76 -0x6ccf -0x9db300cd -256 -256 -0 -0 -0 -22 -0xde2a -0xa34d73d8 -256 -256 -0 -0 -0 -46 -0xcc11 -0x445b5e46 -256 -256 -0 -0 -0 -45 -0xe675 -0x68981c9a -256 -256 -0 -0 -0 -18 -0xc563 -0xc699122e -256 -256 -0 -0 -0 -99 -0xe935 -0xc375502f -256 -256 -1 -0 -0 -29 -0x2c4 -0x376fe628 -256 -256 -0 -0 -0 -73 -0xe81f -0x45abfa53 -256 -256 -0 -0 -0 -84 -0x198e -0xc7112a8c -256 -256 -1 -0 -0 -29 -0x1b04 -0x88dec537 -256 -256 -0 -0 -0 -51 -0x4e59 -0x1423b1ae -256 -256 -1 -0 -0 -91 -0x4139 -0x6fbae168 -256 -256 -1 -0 -0 -39 -0x7b79 -0x83ddddba -256 -256 -1 -1 -0 -94 -0xac7d -0x64f4705d -256 -256 -0 -0 -0 -61 -0x8df8 -0xcbd3328f -256 -256 -1 -0 -0 -17 -0x365f -0x36b28bd8 -256 -256 -1 -0 -0 -47 -0x743c -0xe1beef84 -256 -256 -0 -0 -0 -73 -0xcf26 -0x4d916445 -256 -256 -0 -0 -0 -31 -0x889b -0x2209373e -256 -256 -1 -1 -0 -43 -0x8734 -0xb1a73b18 -256 -256 -0 -0 -0 -19 -0x5938 -0xc767b89e -256 -256 -1 -1 -0 -72 -0x836c -0x7c7dcfd3 -256 -256 -1 -0 -0 -100 -0x6ec7 -0xfe1a56d8 -256 -256 -0 -0 -0 -89 -0x4f2d -0xec446168 -256 -256 -1 -1 -0 -44 -0xe75e -0x4f648bfe -256 -256 -0 -0 -0 -40 -0xbc38 -0x1c8bfb2a -256 -256 -1 -1 -0 -72 -0x9840 -0x96712819 -256 -256 -1 -0 -0 -33 -0xe891 -0x855c4515 -256 -256 -1 -0 -0 -57 -0x175d -0x45addd1c -256 -256 -1 -1 -0 -38 -0x468c -0x6ab58cc4 -256 -256 -1 -1 -0 -86 -0xb90b -0x89c4c294 -256 -256 -1 -1 -0 -86 -0x5830 -0x77a4c0fc -256 -256 -1 -0 -0 -94 -0x6071 -0x943fa6e3 -256 -256 -0 -0 -0 -56 -0xfa8d -0x96c27c2c -256 -256 -0 -0 -0 -66 -0x2e1 -0xd79bf6d0 -256 -256 -0 -0 -0 -57 -0xe4c2 -0x18f1745e -256 -256 -1 -1 -0 -62 -0x4f63 -0xfe5474a4 -256 -256 -0 -0 -0 -16 -0xd380 -0xcb941c89 -256 -256 -1 -0 -0 -65 -0xe069 -0xf94700fc -256 -256 -1 -1 -0 -43 -0xcb80 -0x8466fa6f -256 -256 -1 -1 -0 -81 -0x27ab -0x43cad2d9 -256 -256 -1 -0 -0 -79 -0x12ca -0x18e119ba -256 -256 -1 -1 -0 -82 -0x9881 -0xbbf95c0 -256 -256 -0 -0 -0 -74 -0x28e -0x7b1223b9 -256 -256 -0 -0 -0 -72 -0x7b95 -0x717cbb56 -256 -256 -0 -0 -0 -43 -0xfe53 -0x16ec5dcc -256 -256 -0 -0 -0 -49 -0x3622 -0x4e6df246 -256 -256 -0 -0 -0 -15 -0xd6d9 -0xe0b8c03f -256 -256 -0 -0 -0 -55 -0x7028 -0x704c21ad -256 -256 -0 -0 -0 -16 -0xbe25 -0x57ba69d5 -256 -256 -1 -1 -0 -94 -0xdb3b -0xc736fa9 -256 -256 -1 -0 -0 -28 -0xf0d1 -0x40a950e2 -256 -256 -1 -1 -0 -41 -0x7df6 -0x4b6ca5e8 -256 -256 -1 -1 -0 -28 -0xa000 -0x62f633f4 -256 -256 -0 -0 -0 -85 -0x82e6 -0x9218fbc -256 -256 -0 -0 -0 -76 -0x471e -0xf2cf1f0f -256 -256 -0 -0 -0 -20 -0xb6bd -0x682ab06 -256 -256 -1 -0 -0 -58 -0x98e1 -0x151215cd -256 -256 -0 -0 -0 -27 -0xa029 -0x65301fb -256 -256 -1 -1 -0 -16 -0x3917 -0x8d99ab2 -256 -256 -0 -0 -0 -22 -0x6405 -0xb73bda9d -256 -256 -1 -1 -0 -41 -0x8873 -0xb403ce25 -256 -256 -0 -0 -0 -35 -0xce4a -0x960fc3d6 -256 -256 -1 -1 -0 -26 -0x3911 -0x519f00c -256 -256 -1 -1 -0 -37 -0x53b7 -0xa1167c36 -256 -256 -1 -1 -0 -36 -0x6565 -0xfb410e5a -256 -256 -0 -0 -0 -16 -0xe00b -0x391e9e96 -256 -256 -0 -0 -0 -13 -0xcf4 -0xb03c8536 -256 -256 -1 -0 -0 -28 -0xff8b -0xceae3519 -256 -256 -1 -1 -0 -60 -0xe06 -0x36c78d27 -256 -256 -1 -0 -0 -45 -0x680c -0x800940a4 -256 -256 -0 -0 -0 -77 -0x5c2f -0x6c9817b -256 -256 -1 -1 -0 -21 -0xbae2 -0xb271f7b7 -256 -256 -0 -0 -0 -43 -0x6550 -0x21ff0eee -256 -256 -1 -1 -0 -57 -0x9b80 -0xf687617f -256 -256 -1 -0 -0 -21 -0xf0d7 -0x7d6819ab -256 -256 -1 -0 -0 -13 -0xcc2c -0x97288ddc -256 -256 -1 -0 -0 -33 -0x193e -0x5c99cb27 -256 -256 -0 -0 -0 -38 -0xb27e -0x2002834a -256 -256 -1 -0 -0 -36 -0xe3f -0x51856b6f -256 -256 -0 -0 -0 -29 -0xae2 -0x5090872e -256 -256 -0 -0 -0 -68 -0x48a2 -0xa9aa7c2d -256 -256 -1 -1 -0 -75 -0x387d -0xe32c1c40 -256 -256 -1 -0 -0 -54 -0x7152 -0xacd5aa31 -256 -256 -1 -0 -0 -73 -0xf415 -0x4f3a7510 -256 -256 -0 -0 -0 -49 -0x99d9 -0x36f2547f -256 -256 -0 -0 -0 -27 -0x1a6c -0x44797603 -256 -256 -1 -0 -0 -24 -0x235a -0x1ecc3010 -256 -256 -1 -0 -0 -54 -0x45d6 -0x4ecd208e -256 -256 -1 -1 -0 -32 -0x6bec -0x5056525c -256 -256 -0 -0 -0 -56 -0xa4f9 -0xda2d38b0 -256 -256 -1 -0 -0 -70 -0x127d -0xe2b56f46 -256 -256 -1 -0 -0 -66 -0x8ae1 -0x1ef260e9 -256 -256 -1 -0 -0 -88 -0x1f77 -0xa1a2b12a -256 -256 -1 -1 -0 -12 -0x1e38 -0x4fd939fb -256 -256 -1 -0 -0 -68 -0x3f31 -0x25f02ee4 -256 -256 -0 -0 -0 -36 -0x83d7 -0x2f7918be -256 -256 -0 -0 -0 -76 -0xd4d1 -0x4639c62e -256 -256 -0 -0 -0 -27 -0x9a7 -0x3b98d41 -256 -256 -0 -0 -0 -75 -0xd351 -0x25a0d012 -256 -256 -1 -1 -0 -68 -0x9232 -0xb286a934 -256 -256 -1 -1 -0 -81 -0x8a3f -0xfb73ec8c -256 -256 -1 -1 -0 -30 -0x3f02 -0x446a4ff6 -256 -256 -0 -0 -0 -79 -0x45a3 -0x4450fad0 -256 -256 -1 -1 -0 -34 -0x81b2 -0xc75f6e51 -256 -256 -0 -0 -0 -44 -0x3652 -0xe04e584b -256 -256 -1 -1 -0 -90 -0x79fc -0x521c543f -256 -256 -0 -0 -0 -30 -0xa33c -0xabd26a31 -256 -256 -1 -1 -0 -92 -0xa136 -0x307fdabb -256 -256 -1 -1 -0 -64 -0xebe3 -0x8c4d3c26 -256 -256 -0 -0 -0 -48 -0xe094 -0x7a88375 -256 -256 -1 -1 -0 -58 -0xa6df -0x28e5e6e4 -256 -256 -0 -0 -0 -43 -0xb2a -0x4dc2ac95 -256 -256 -0 -0 -0 -55 -0x7f78 -0xcbc3ac15 -256 -256 -1 -1 -0 -30 -0xf7f2 -0x69fb9807 -256 -256 -0 -0 -0 -68 -0x6ccc -0xa9c1431f -256 -256 -0 -0 -0 -96 -0xb453 -0xdfd6c700 -256 -256 -0 -0 -0 -90 -0x7103 -0x621879bd -256 -256 -1 -0 -0 -68 -0x7fd -0x2f3b1294 -256 -256 -0 -0 -0 -29 -0x4a6b -0x7abdd6dd -256 -256 -1 -0 -0 -20 -0x3173 -0xa110247d -256 -256 -1 -1 -0 -44 -0x8229 -0x7ec10f4b -256 -256 -1 -0 -0 -65 -0x25e -0xe7c8839f -256 -256 -1 -1 -0 -38 -0x64a5 -0xc4ec4723 -256 -256 -1 -0 -0 -13 -0x8d62 -0xb8c42498 -256 -256 -1 -1 -0 -88 -0x2590 -0x5dd2bfb6 -256 -256 -1 -1 -0 -78 -0x75 -0xe324a1f5 -256 -256 -0 -0 -0 -17 -0xe572 -0x58830234 -256 -256 -0 -0 -0 -14 -0xf8f1 -0xd1fb9714 -256 -256 -0 -0 -0 -49 -0x1463 -0xf95e0d04 -256 -256 -0 -0 -0 -29 -0x5365 -0x730dd324 -256 -256 -0 -0 -0 -43 -0x25ee -0xe498b090 -256 -256 -0 -0 -0 -17 -0x5039 -0xe793650b -256 -256 -0 -0 -0 -10 -0xa62a -0xd5272727 -256 -256 -0 -0 -0 -12 -0x8f45 -0xf660b598 -256 -256 -1 -0 -0 -89 -0xbb6a -0x20934b5f -256 -256 -0 -0 -0 -31 -0xad69 -0xbd589f72 -256 -256 -0 -0 -0 -26 -0x4d53 -0x9c3410bb -256 -256 -1 -0 -0 -30 -0xf886 -0xf8e54c80 -256 -256 -1 -0 -0 -54 -0x6158 -0x14f66a75 -256 -256 -0 -0 -0 -56 -0xdb2a -0x798f39c1 -256 -256 -0 -0 -0 -74 -0xcf5a -0x723ac77f -256 -256 -0 -0 -0 -45 -0x73d1 -0x2666a094 -256 -256 -0 -0 -0 -82 -0xb6aa -0xaeccc8a7 -256 -256 -0 -0 -0 -56 -0x9d31 -0xe48a7f0a -256 -256 -0 -0 -0 -26 -0x1c77 -0xc82e4858 -256 -256 -1 -0 -0 -95 -0x633 -0x7d07a63 -256 -256 -0 -0 -0 -54 -0xcd35 -0x8b71385e -256 -256 -1 -1 -0 -76 -0xd288 -0xaa5fc72d -256 -256 -1 -0 -0 -39 -0x8b6b -0xfe3736e1 -256 -256 -0 -0 -0 -50 -0x37bb -0xeb309b7a -256 -256 -1 -0 -0 -40 -0xa7cf -0x44a0beb1 -256 -256 -0 -0 -0 -87 -0xb54a -0x185f136a -256 -256 -0 -0 -0 -76 -0xb3f9 -0x21551207 -256 -256 -0 -0 -0 -40 -0xc98d -0x2e9bb117 -256 -256 -0 -0 -0 -25 -0xec56 -0xe2f6fe7 -256 -256 -0 -0 -0 -28 -0xef3e -0x57ec5075 -256 -256 -0 -0 -0 -24 -0xfbfb -0x2b34ee38 -256 -256 -0 -0 -0 -99 -0x44c9 -0xf07ffc8 -256 -256 -0 -0 -0 -87 -0x8ac3 -0x36dbd4e2 -256 -256 -0 -0 -0 -13 -0x3540 -0xa0f05b1a -256 -256 -1 -1 -0 -28 -0xa454 -0xf64edac3 -256 -256 -1 -0 -0 -78 -0x7219 -0xd5dc8e96 -256 -256 -1 -0 -0 -11 -0xb04f -0x755bdd77 -256 -256 -0 -0 -0 -100 -0x14e -0xafac2417 -256 -256 -0 -0 -0 -31 -0x9b43 -0x8433b30a -256 -256 -0 -0 -0 -86 -0x60b0 -0x5dde1f25 -256 -256 -1 -0 -0 -100 -0x1f98 -0x5f87b88b -256 -256 -0 -0 -0 -65 -0x4b9d -0x67e4003e -256 -256 -0 -0 -0 -46 -0x1c43 -0x41ff1ec -256 -256 -1 -0 -0 -41 -0xdc87 -0xcb6d2e03 -256 -256 -1 -1 -0 -23 -0xa9f2 -0xffe8db32 -256 -256 -0 -0 -0 -90 -0x92fd -0xc257fb24 -256 -256 -0 -0 -0 -34 -0x5d71 -0xa90eded8 -256 -256 -0 -0 -0 -62 -0x267e -0xc0800d36 -256 -256 -0 -0 -0 -84 -0xbc7 -0xccf74914 -256 -256 -1 -0 -0 -12 -0x838f -0x7ebf6974 -256 -256 -0 -0 -0 -100 -0x6376 -0x8fd770f7 -256 -256 -0 -0 -0 -57 -0x5186 -0x2801f647 -256 -256 -0 -0 -0 -43 -0xa46c -0xc84952e3 -256 -256 -0 -0 -0 -62 -0xc344 -0xbe21d2f2 -256 -256 -1 -1 -0 -60 -0xfee0 -0xde8dfa75 -256 -256 -1 -1 -0 -94 -0x6f1 -0x230dec95 -256 -256 -0 -0 -0 -91 -0xe66a -0xe74a34c0 -256 -256 -0 -0 -0 -32 -0x8803 -0x8f7a2dbd -256 -256 -0 -0 -0 -16 -0xb67e -0x8eebc501 -256 -256 -1 -1 -0 -24 -0xa586 -0x6c783115 -256 -256 -0 -0 -0 -51 -0x8687 -0x9f837204 -256 -256 -0 -0 -0 -34 -0xe9ff -0x5f2d62f8 -256 -256 -0 -0 -0 -79 -0x84b3 -0x1df0bc0 -256 -256 -1 -0 -0 -91 -0x2c65 -0x1f349027 -256 -256 -0 -0 -0 -38 -0xe5f3 -0x89cd72c9 -256 -256 -1 -1 -0 -64 -0x6d1d -0xb320f43a -256 -256 -1 -0 -0 -97 -0x3436 -0x7de39ef2 -256 -256 -0 -0 -0 -45 -0xa2bd -0x82e3f037 -256 -256 -0 -0 -0 -53 -0x6ef4 -0xe9fb5b65 -256 -256 -0 -0 -0 -12 -0x1daf -0xb63fa6d4 -256 -256 -1 -1 -0 -68 -0x7efd -0x246b029 -256 -256 -0 -0 -0 -72 -0x774a -0x5b8efb89 -256 -256 -1 -0 -0 -19 -0xb5ae -0x35370e3 -256 -256 -0 -0 -0 -42 -0x5d67 -0x38d20df3 -256 -256 -1 -1 -0 -96 -0xa88a -0x15675c4b -256 -256 -0 -0 -0 -45 -0x6af9 -0xa74dd964 -256 -256 -1 -1 -0 -84 -0x96e1 -0xfd31cc00 -256 -256 -0 -0 -0 -18 -0xe8cd -0x93ae4238 -256 -256 -1 -1 -0 -90 -0x185e -0x4e10e573 -256 -256 -1 -1 -0 -50 -0x709d -0xbff7f730 -256 -256 -0 -0 -0 -27 -0xebb7 -0x41ace2b3 -256 -256 -0 -0 -0 -40 -0xce26 -0x28d24cc -256 -256 -0 -0 -0 -91 -0x83b1 -0xde6e4cfe -256 -256 -1 -0 -0 -41 -0x5fdf -0xaf7b56f0 -256 -256 -0 -0 -0 -59 -0xce12 -0x633b475e -256 -256 -1 -0 -0 -97 -0x8f51 -0xf13a7b44 -256 -256 -0 -0 -0 -48 -0xfe23 -0xc839ba2 -256 -256 -1 -0 -0 -34 -0x726 -0x76a037f5 -256 -256 -1 -1 -0 -32 -0xc4a1 -0xde837a4c -256 -256 -0 -0 -0 -69 -0x8928 -0x58becfc5 -256 -256 -0 -0 -0 -42 -0x3a81 -0x9e217256 -256 -256 -1 -0 -0 -51 -0xf107 -0x9638d370 -256 -256 -0 -0 -0 -73 -0x775d -0xe7828c6a -256 -256 -0 -0 -0 -95 -0x9be6 -0xb2aa5156 -256 -256 -0 -0 -0 -25 -0xc8e4 -0x3b84f9b5 -256 -256 -1 -1 -0 -96 -0x76ab -0x422ecf3d -256 -256 -0 -0 -0 -49 -0x69eb -0x586d296 -256 -256 -1 -1 -0 -78 -0x1a24 -0x4e14cf09 -256 -256 -0 -0 -0 -46 -0x459a -0x90e7006d -256 -256 -0 -0 -0 -69 -0xa641 -0x4e2757d7 -256 -256 -1 -0 -0 -87 -0x4ba9 -0xa4d7b23c -256 -256 -0 -0 -0 -51 -0x64c -0xe3cd45fd -256 -256 -1 -1 -0 -10 -0xe6e1 -0xad769025 -256 -256 -0 -0 -0 -100 -0x6c36 -0x9e55277f -256 -256 -0 -0 -0 -33 -0x7f57 -0x81c38fef -256 -256 -1 -0 -0 -29 -0x6c -0x7cdb6492 -256 -256 -0 -0 -0 -97 -0xeca6 -0xba631b44 -256 -256 -1 -1 -0 -51 -0xf84c -0xc42efc34 -256 -256 -1 -1 -0 -73 -0xa2d4 -0x59b205f6 -256 -256 -0 -0 -0 -62 -0x274c -0x787b628f -256 -256 -1 -1 -0 -39 -0x29ce -0xdd32b81c -256 -256 -0 -0 -0 -36 -0xf054 -0x937300a3 -256 -256 -0 -0 -0 -96 -0xf18 -0x10078333 -256 -256 -1 -1 -0 -46 -0x5111 -0x78607ea7 -256 -256 -0 -0 -0 -52 -0xc989 -0x72354acb -256 -256 -0 -0 -0 -41 -0x922b -0x3b12c214 -256 -256 -0 -0 -0 -92 -0x775b -0x7cc36e61 -256 -256 -1 -0 -0 -84 -0x2fad -0x36a06909 -256 -256 -1 -1 -0 -72 -0x791 -0xb851ece5 -256 -256 -0 -0 -0 -23 -0xe879 -0x68b0951f -256 -256 -1 -1 -0 -43 -0xc6fd -0xcd962c87 -256 -256 -1 -1 -0 -35 -0xd39d -0xa459b5a9 -256 -256 -1 -0 -0 -85 -0x96d4 -0x2bb1430d -256 -256 -1 -1 -0 -81 -0xcd5 -0xc05e15b2 -256 -256 -1 -0 -0 -36 -0x2e08 -0xf30ec501 -256 -256 -0 -0 -0 -37 -0xf9d9 -0x1951cfbe -256 -256 -1 -0 -0 -73 -0x6473 -0x5ead8381 -256 -256 -1 -1 -0 -99 -0x8eb9 -0x8a0a1428 -256 -256 -0 -0 -0 -87 -0x6a79 -0x12bc1b13 -256 -256 -1 -0 -0 -64 -0x1dbf -0x3e461d6b -256 -256 -1 -0 -0 -80 -0x47ea -0x49e6751c -256 -256 -0 -0 -0 -41 -0x59 -0xb4667668 -256 -256 -0 -0 -0 -22 -0x8c75 -0x28257a3c -256 -256 -0 -0 -0 -48 -0xc70 -0x7f06438 -256 -256 -0 -0 -0 -99 -0x9ce -0x16c5f337 -256 -256 -0 -0 -0 -20 -0xfa47 -0x8dcad981 -256 -256 -0 -0 -0 -68 -0xe058 -0x9304a634 -256 -256 -0 -0 -0 -59 -0x9ad5 -0x1bc99e97 -256 -256 -1 -0 -0 -68 -0xb77a -0x7ca3b6d0 -256 -256 -1 -0 -0 -63 -0xa2ee -0x1c83b74d -256 -256 -0 -0 -0 -73 -0x26dc -0x7b398b5a -256 -256 -0 -0 -0 -12 -0xfbe5 -0x4a6457a4 -256 -256 -0 -0 -0 -55 -0xb38b -0xc0126734 -256 -256 -0 -0 -0 -96 -0x144a -0xcfbac59 -256 -256 -1 -1 -0 -46 -0xf6a -0x86011f96 -256 -256 -0 -0 -0 -99 -0x8a82 -0xa86a2241 -256 -256 -0 -0 -0 -36 -0x9afd -0x7b888465 -256 -256 -1 -0 -0 -64 -0x1aec -0x87bd9f3 -256 -256 -1 -1 -0 -92 -0x1c06 -0x9727891b -256 -256 -0 -0 -0 -27 -0x28ef -0xb82f23aa -256 -256 -0 -0 -0 -52 -0x9d05 -0xff20f916 -256 -256 -1 -0 -0 -46 -0x9736 -0xfc6783a1 -256 -256 -0 -0 -0 -99 -0x7c91 -0x5667d0e -256 -256 -1 -1 -0 -24 -0x263c -0x53bb6557 -256 -256 -1 -0 -0 -84 -0xac6 -0xeac56897 -256 -256 -0 -0 -0 -40 -0xeb12 -0xcf5db656 -256 -256 -1 -1 -0 -24 -0x6b1 -0x2d8b70ae -256 -256 -0 -0 -0 -17 -0xa6a3 -0x44bf4a3e -256 -256 -0 -0 -0 -61 -0x8931 -0x8fadc5f7 -256 -256 -1 -0 -0 -14 -0x981c -0x6ecb9c94 -256 -256 -1 -1 -0 -25 -0x6fc -0x4d2e06f6 -256 -256 -0 -0 -0 -86 -0x50b3 -0x6186f7cc -256 -256 -1 -0 -0 -23 -0x58f1 -0xc2a7a7a0 -256 -256 -1 -1 -0 -65 -0x8609 -0xa91d3f92 -256 -256 -0 -0 -0 -52 -0xe51c -0xee5f2fcf -256 -256 -0 -0 -0 -45 -0xa799 -0xb906badf -256 -256 -1 -0 -0 -60 -0xee37 -0x76d34171 -256 -256 -0 -0 -0 -24 -0x7bfd -0x2188c303 -256 -256 -1 -1 -0 -97 -0xa137 -0x7e854616 -256 -256 -0 -0 -0 -61 -0xf2f2 -0xd5330e2c -256 -256 -1 -0 -0 -34 -0x4d22 -0xba35ae88 -256 -256 -0 -0 -0 -63 -0x5fa9 -0xc7c33482 -256 -256 -1 -0 -0 -12 -0x4ac0 -0xab190318 -256 -256 -1 -1 -0 -60 -0x7d1 -0xe3947fce -256 -256 -1 -0 -0 -76 -0x775d -0x6eddc3ac -256 -256 -0 -0 -0 -17 -0xbf10 -0x2ed5d881 -256 -256 -1 -1 -0 -57 -0x12b -0xd002eb08 -256 -256 -1 -1 -0 -97 -0xf06e -0xce5b0bc8 -256 -256 -0 -0 -0 -19 -0xbc8c -0xe8e9780e -256 -256 -0 -0 -0 -68 -0xab79 -0xa62e09cb -256 -256 -1 -0 -0 -21 -0xe6aa -0x431c5bb5 -256 -256 -0 -0 -0 -48 -0x7a05 -0xdd46ba18 -256 -256 -0 -0 -0 -37 -0x5a52 -0xbc5ff5fd -256 -256 -1 -1 -0 -14 -0x9949 -0xd0e31be0 -256 -256 -1 -0 -0 -29 -0x3355 -0x66d409e4 -256 -256 -0 -0 -0 -49 -0xf0e3 -0x8f0c03d1 -256 -256 -0 -0 -0 -70 -0x3771 -0xf3ea6a82 -256 -256 -1 -1 -0 -70 -0x65f8 -0xa765afbe -256 -256 -1 -1 -0 -23 -0xb2c9 -0x77cc154c -256 -256 -0 -0 -0 -32 -0x156b -0x12d53c60 -256 -256 -0 -0 -0 -16 -0xe62b -0x27a38ea8 -256 -256 -0 -0 -0 -22 -0xbeba -0xa456d74d -256 -256 -0 -0 -0 -83 -0xe8d7 -0x2ef2b8fe -256 -256 -0 -0 -0 -90 -0xbf6 -0x37ac92a3 -256 -256 -1 -1 -0 -69 -0x9cce -0x1344866b -256 -256 -0 -0 -0 -56 -0x8868 -0x3a545bf6 -256 -256 -0 -0 -0 -67 -0x1399 -0x1733c14d -256 -256 -0 -0 -0 -93 -0xef7a -0xc1304dd5 -256 -256 -1 -1 -0 -19 -0x2cb6 -0x7f3db48d -256 -256 -0 -0 -0 -92 -0x9f55 -0xfbb440fc -256 -256 -1 -0 -0 -66 -0xfa6 -0x2ea99b2d -256 -256 -1 -0 -0 -27 -0xcec -0xef52265f -256 -256 -0 -0 -0 -42 -0x5c14 -0x679ea53d -256 -256 -0 -0 -0 -98 -0x6ec1 -0xd4d10a9c -256 -256 -1 -1 -0 -42 -0xbcda -0xeb20a866 -256 -256 -0 -0 -0 -42 -0xc74 -0x961b66a8 -256 -256 -0 -0 -0 -78 -0x627 -0x657bb755 -256 -256 -1 -0 -0 -77 -0xe088 -0xab32b661 -256 -256 -0 -0 -0 -82 -0x6ab7 -0xa32d7377 -256 -256 -0 -0 -0 -17 -0x6587 -0xb60a8a1b -256 -256 -0 -0 -0 -96 -0x2844 -0xd9341fa4 -256 -256 -0 -0 -0 -83 -0x57cf -0x67adf6b6 -256 -256 -0 -0 -0 -26 -0x220a -0xd6525d6a -256 -256 -0 -0 -0 -41 -0x346b -0xc229f4fa -256 -256 -1 -0 -0 -64 -0x89e5 -0x6070775a -256 -256 -1 -1 -0 -92 -0x2369 -0x19dda2b0 -256 -256 -0 -0 -0 -68 -0x8726 -0xb8633709 -256 -256 -0 -0 -0 -19 -0xe106 -0xf727c0dd -256 -256 -0 -0 -0 -72 -0x2c74 -0x4df1eb1b -256 -256 -0 -0 -0 -83 -0xd6bb -0xffb1b1f8 -256 -256 -1 -0 -0 -98 -0x7d58 -0xee9372c8 -256 -256 -0 -0 -0 -68 -0x41e -0x2ad11320 -256 -256 -0 -0 -0 -14 -0x652d -0x7abafc19 -256 -256 -1 -0 -0 -17 -0x38e7 -0xd5c4b4a4 -256 -256 -1 -0 -0 -45 -0x9231 -0xe85fb3f9 -256 -256 -0 -0 -0 -35 -0xdcb8 -0xcc913354 -256 -256 -0 -0 -0 -17 -0xdef5 -0x4c769a75 -256 -256 -1 -1 -0 -96 -0x51d6 -0x35893804 -256 -256 -0 -0 -0 -80 -0x866b -0x80e3a68 -256 -256 -1 -0 -0 -58 -0xe2ba -0xb1d3d227 -256 -256 -1 -0 -0 -52 -0xddd9 -0xd5cdc449 -256 -256 -0 -0 -0 -42 -0xa6fa -0x95f907e1 -256 -256 -0 -0 -0 -29 -0x9526 -0x795e607a -256 -256 -1 -1 -0 -33 -0x5b34 -0x6818a6eb -256 -256 -1 -1 -0 -60 -0x51b7 -0xe7501228 -256 -256 -1 -1 -0 -76 -0xf969 -0x4d5ba8c1 -256 -256 -1 -1 -0 -37 -0x345f -0x8550ad42 -256 -256 -0 -0 -0 -72 -0xd707 -0x88185453 -256 -256 -1 -0 -0 -16 -0x4477 -0x56fe8fe9 -256 -256 -0 -0 -0 -76 -0xec45 -0xf22801df -256 -256 -0 -0 -0 -79 -0x1dbd -0xb5420d1b -256 -256 -0 -0 -0 -86 -0xf8c2 -0xdd0db287 -256 -256 -0 -0 -0 -71 -0x90d7 -0x5787aaa7 -256 -256 -1 -1 -0 -20 -0x1c80 -0x6acbd331 -256 -256 -0 -0 -0 -25 -0xf112 -0x4c225b31 -256 -256 -1 -0 -0 -94 -0xe0e2 -0x87bfb6a5 -256 -256 -0 -0 -0 -36 -0xc630 -0x43917c7b -256 -256 -0 -0 -0 -32 -0x9788 -0x9c558fd6 -256 -256 -1 -0 -0 -70 -0x88f4 -0x72bb1d23 -256 -256 -1 -0 -0 -45 -0x48b -0x3e316dba -256 -256 -0 -0 -0 -48 -0x4c62 -0x7fb4a9eb -256 -256 -0 -0 -0 -25 -0x224a -0x2d3fb885 -256 -256 -1 -0 -0 -70 -0xfa75 -0xf5c60577 -256 -256 -0 -0 -0 -75 -0xe231 -0xf5c42a55 -256 -256 -0 -0 -0 -88 -0xf757 -0x3da84da5 -256 -256 -0 -0 -0 -23 -0x2208 -0x4e6851f1 -256 -256 -0 -0 -0 -34 -0xdadf -0xbede00fb -256 -256 -0 -0 -0 -39 -0x6ab5 -0xa715a02e -256 -256 -0 -0 -0 -81 -0xd1ff -0xdbcbd797 -256 -256 -0 -0 -0 -62 -0x5d84 -0x81203393 -256 -256 -0 -0 -0 -26 -0xeb19 -0x3df79768 -256 -256 -0 -0 -0 -11 -0x741e -0xea0c7c9 -256 -256 -1 -0 -0 -17 -0x9b19 -0x86de13c8 -256 -256 -0 -0 -0 -50 -0xfd6 -0x5ffe8ca -256 -256 -0 -0 -0 -82 -0xdb7f -0x3e2c2ff9 -256 -256 -0 -0 -0 -35 -0x7730 -0xa719d437 -256 -256 -1 -0 -0 -62 -0xfbd9 -0x2d2cabcf -256 -256 -1 -0 -0 -87 -0xd82e -0x560b8873 -256 -256 -1 -1 -0 -22 -0xdd16 -0xc07c12a6 -256 -256 -1 -0 -0 -98 -0x3d90 -0xf5a390ac -256 -256 -0 -0 -0 -53 -0x452c -0x47bc62ab -256 -256 -1 -0 -0 -25 -0x2fb7 -0x9fef4adc -256 -256 -1 -1 -0 -46 -0x44df -0x3f33ed68 -256 -256 -0 -0 -0 -63 -0x2 -0x9510a760 -256 -256 -1 -0 -0 -23 -0xd191 -0x8558591e -256 -256 -1 -1 -0 -43 -0x1cdb -0x5a155207 -256 -256 -0 -0 -0 -73 -0xb3bd -0x2f1d9c8c -256 -256 -0 -0 -0 -53 -0x92e3 -0xe0c91b76 -256 -256 -0 -0 -0 -41 -0x63c4 -0x4e8b776f -256 -256 -0 -0 -0 -78 -0xec63 -0x8c2816ff -256 -256 -0 -0 -0 -67 -0x5f66 -0xb7cf57c9 -256 -256 -1 -0 -0 -10 -0x9d69 -0x76e5b570 -256 -256 -1 -0 -0 -94 -0x2a31 -0xd42f5868 -256 -256 -0 -0 -0 -14 -0x9d8d -0xbdb90b24 -256 -256 -0 -0 -0 -14 -0xf9b2 -0x3a0b47dc -256 -256 -0 -0 -0 -76 -0x6556 -0x5a6d6e76 -256 -256 -1 -0 -0 -39 -0x8b81 -0xe0a2649c -256 -256 -1 -1 -0 -49 -0xa318 -0xdcc4b85d -256 -256 -1 -1 -0 -68 -0x3fd4 -0x6cb513e1 -256 -256 -1 -0 -0 -85 -0x2520 -0xb524e031 -256 -256 -1 -1 -0 -13 -0x3bbf -0x6aadf569 -256 -256 -1 -0 -0 -76 -0x5cac -0xded8a898 -256 -256 -0 -0 -0 -43 -0x2600 -0x25c825dc -256 -256 -1 -1 -0 -57 -0x6644 -0xdd9524b6 -256 -256 -0 -0 -0 -15 -0xa0d8 -0x622c98a -256 -256 -0 -0 -0 -32 -0x157 -0x12255b12 -256 -256 -0 -0 -0 -38 -0x4542 -0xbc7f70c0 -256 -256 -0 -0 -0 -74 -0x291 -0x91480dfa -256 -256 -0 -0 -0 -27 -0x1906 -0xd89b63d6 -256 -256 -0 -0 -0 -53 -0x12d8 -0x3285ea37 -256 -256 -1 -1 -0 -78 -0xf1ca -0x50613957 -256 -256 -0 -0 -0 -26 -0x38a2 -0x5156a663 -256 -256 -1 -0 -0 -41 -0x723a -0xe2d0942d -256 -256 -1 -1 -0 -85 -0x3555 -0x74ad466b -256 -256 -0 -0 -0 -45 -0x7224 -0xe36eb782 -256 -256 -0 -0 -0 -26 -0x9c0b -0x97de2d20 -256 -256 -1 -1 -0 -78 -0x8fa1 -0x14b2faa2 -256 -256 -0 -0 -0 -34 -0x678c -0x9cb6f2cd -256 -256 -0 -0 -0 -81 -0x4e25 -0xae1779cd -256 -256 -1 -1 -0 -100 -0xcafc -0x1eadce49 -256 -256 -0 -0 -0 -10 -0x8e1f -0x1bd4b7b9 -256 -256 -1 -1 -0 -44 -0x73cb -0xdfd1f0b3 -256 -256 -1 -0 -0 -87 -0x2fd8 -0xb8db434a -256 -256 -0 -0 -0 -68 -0xdf9c -0xbd9a6b8b -256 -256 -0 -0 -0 -12 -0x29fc -0x6a2c13b7 -256 -256 -1 -1 -0 -17 -0x3d1c -0x13725d26 -256 -256 -0 -0 -0 -60 -0xdc9 -0x69570b05 -256 -256 -0 -0 -0 -20 -0x245e -0x748bcfc2 -256 -256 -1 -0 -0 -97 -0xdb63 -0x3c33fcce -256 -256 -0 -0 -0 -17 -0xd0c8 -0xcafa8a2 -256 -256 -1 -0 -0 -34 -0xaad8 -0xbc309453 -256 -256 -0 -0 -0 -64 -0x2088 -0xbff6c02e -256 -256 -1 -1 -0 -86 -0x83ba -0x5b7ced89 -256 -256 -1 -0 -0 -66 -0xd176 -0xa9bd8d5f -256 -256 -0 -0 -0 -28 -0xaa3a -0xb124fa81 -256 -256 -0 -0 -0 -22 -0xc42d -0x94366199 -256 -256 -0 -0 -0 -24 -0x653 -0xc13f5eb -256 -256 -0 -0 -0 -24 -0xbac7 -0x522deece -256 -256 -0 -0 -0 -23 -0x152c -0xda301d05 -256 -256 -0 -0 -0 -48 -0xe6e7 -0x3292ef64 -256 -256 -1 -1 -0 -57 -0x7727 -0xaff9fd24 -256 -256 -1 -0 -0 -58 -0xa335 -0x7308e535 -256 -256 -1 -1 -0 -95 -0x4a13 -0xc0fbb90f -256 -256 -0 -0 -0 -92 -0x5a7f -0x829394a2 -256 -256 -1 -1 -0 -56 -0xffae -0x5e1a1c3c -256 -256 -1 -0 -0 -45 -0xb2b5 -0x98e75656 -256 -256 -0 -0 -0 -89 -0xf261 -0xbeac27c3 -256 -256 -0 -0 -0 -74 -0x1bcf -0x78ab0a9d -256 -256 -0 -0 -0 -96 -0xcf3b -0x8f51aa14 -256 -256 -0 -0 -0 -47 -0xfd3f -0xfc169a7c -256 -256 -1 -1 -0 -85 -0xc6bf -0x7a7cd25f -256 -256 -0 -0 -0 -12 -0x84fb -0x9015b663 -256 -256 -0 -0 -0 -54 -0x6095 -0xee3a9f01 -256 -256 -1 -0 -0 -39 -0xb667 -0xb92aa02b -256 -256 -0 -0 -0 -72 -0x20eb -0x1737d9a3 -256 -256 -0 -0 -0 -83 -0xa494 -0x81a49b85 -256 -256 -0 -0 -0 -49 -0xe941 -0x40ba8625 -256 -256 -0 -0 -0 -28 -0x9eb2 -0x1d8a5e97 -256 -256 -1 -1 -0 -64 -0x3b93 -0x9d5aabad -256 -256 -0 -0 -0 -45 -0x16a9 -0xdceea8f2 -256 -256 -0 -0 -0 -37 -0x2f31 -0x5c59930a -256 -256 -1 -0 -0 -60 -0xd6ea -0xf5113482 -256 -256 -0 -0 -0 -69 -0x17b5 -0xc6dddb1f -256 -256 -0 -0 -0 -25 -0xadd4 -0x12bf41d3 -256 -256 -1 -1 -0 -32 -0xf0f7 -0x169579cc -256 -256 -1 -0 -0 -23 -0xcd2c -0x89ae8c0 -256 -256 -1 -0 -0 -42 -0x9e84 -0xdc747a73 -256 -256 -1 -0 -0 -36 -0x667b -0x7b0f07f -256 -256 -0 -0 -0 -66 -0x9411 -0x6e25032a -256 -256 -1 -0 -0 -37 -0x1d38 -0x40a9c9d6 -256 -256 -1 -1 -0 -14 -0xd085 -0x65a258a5 -256 -256 -1 -1 -0 -78 -0xa5d2 -0xedb81fb -256 -256 -0 -0 -0 -30 -0xed69 -0xab25fe08 -256 -256 -0 -0 -0 -94 -0x2430 -0x22646711 -256 -256 -1 -1 -0 -62 -0x609b -0x3d0e5ed8 -256 -256 -0 -0 -0 -86 -0xf1e8 -0x3d4b7b74 -256 -256 -1 -1 -0 -85 -0xa351 -0x4ae69c08 -256 -256 -1 -0 -0 -51 -0x135b -0x5d1b34ec -256 -256 -0 -0 -0 -22 -0x2dfb -0xd6a9090c -256 -256 -1 -0 -0 -21 -0x4c87 -0x36406e33 -256 -256 -1 -1 -0 -31 -0x5f97 -0xad22f56b -256 -256 -0 -0 -0 -10 -0x51f7 -0x7e173c3a -256 -256 -0 -0 -0 -97 -0x2e48 -0x1335cfdd -256 -256 -0 -0 -0 -82 -0xd3a -0xab4987f0 -256 -256 -0 -0 -0 -53 -0xaa67 -0x7eeb1475 -256 -256 -1 -1 -0 -89 -0x6170 -0xde96e204 -256 -256 -1 -1 -0 -38 -0xefe -0x5994d252 -256 -256 -0 -0 -0 -62 -0x5382 -0xd6c300a8 -256 -256 -1 -1 -0 -72 -0x83d0 -0x92990447 -256 -256 -1 -1 -0 -76 -0xe12 -0xe1e5dd0c -256 -256 -1 -1 -0 -88 -0x3387 -0x5a094473 -256 -256 -1 -1 -0 -66 -0x1f51 -0xcd89c53e -256 -256 -0 -0 -0 -46 -0xd824 -0xc8d16e2a -256 -256 -0 -0 -0 -65 -0xff74 -0x6bbd4380 -256 -256 -0 -0 -0 -56 -0xbc80 -0xa2d312d9 -256 -256 -1 -1 -0 -55 -0x1755 -0xe43b490a -256 -256 -0 -0 -0 -45 -0x6471 -0x22005f5a -256 -256 -1 -0 -0 -80 -0xaa73 -0x452daf5a -256 -256 -1 -0 -0 -72 -0xba48 -0xd522c9ff -256 -256 -1 -1 -0 -15 -0xc3f7 -0xe419f75 -256 -256 -1 -0 -0 -67 -0xccd3 -0x2a22aa14 -256 -256 -0 -0 -0 -38 -0x6aee -0xc484090e -256 -256 -1 -0 -0 -70 -0xd70d -0x30cfa2c3 -256 -256 -0 -0 -0 -43 -0xd234 -0x59f76289 -256 -256 -1 -1 -0 -11 -0xbf6a -0x2a40823f -256 -256 -1 -1 -0 -21 -0x2997 -0xc4e3ab29 -256 -256 -0 -0 -0 -92 -0xc66e -0x7be01dd9 -256 -256 -0 -0 -0 -51 -0xe60a -0x5aaf421 -256 -256 -1 -0 -0 -64 -0xca0 -0xd5efb42a -256 -256 -1 -0 -0 -43 -0xcd2b -0xe47f61ce -256 -256 -0 -0 -0 -48 -0x4d22 -0x9b4b93d8 -256 -256 -0 -0 -0 -54 -0xc337 -0xbcc0cbdb -256 -256 -0 -0 -0 -65 -0x7fdc -0x9db47b22 -256 -256 -0 -0 -0 -50 -0x7442 -0x8ff83ca4 -256 -256 -1 -0 -0 -47 -0x8af2 -0xd90928aa -256 -256 -1 -1 -0 -39 -0xe492 -0xd170a8e2 -256 -256 -0 -0 -0 -84 -0x42f -0x5b96e855 -256 -256 -1 -1 -0 -73 -0xb436 -0x3270da2b -256 -256 -1 -0 -0 -83 -0xb1fb -0x82373d0b -256 -256 -0 -0 -0 -23 -0xa6a5 -0xcc82f083 -256 -256 -0 -0 -0 -25 -0x59f6 -0xcae93b1 -256 -256 -1 -0 -0 -63 -0xb1f1 -0x31a5d7c9 -256 -256 -0 -0 -0 -67 -0xcdee -0xfc89b115 -256 -256 -1 -0 -0 -52 -0x6ef1 -0x86778613 -256 -256 -0 -0 -0 -45 -0xdb3e -0x5243dfb3 -256 -256 -1 -1 -0 -81 -0x73e -0xe6260170 -256 -256 -0 -0 -0 -22 -0xdd6 -0xe25652f3 -256 -256 -1 -1 -0 -59 -0x9004 -0xddccace0 -256 -256 -1 -1 -0 -26 -0xaa4f -0xb1364dda -256 -256 -1 -1 -0 -48 -0x41ca -0x818101eb -256 -256 -0 -0 -0 -43 -0x3944 -0xbce6123f -256 -256 -0 -0 -0 -85 -0x8d12 -0xa8159459 -256 -256 -0 -0 -0 -60 -0x8694 -0x399392a7 -256 -256 -0 -0 -0 -60 -0x1c41 -0xab4f9a56 -256 -256 -1 -1 -0 -46 -0x5f76 -0x91ef60e5 -256 -256 -1 -1 -0 -90 -0x89b2 -0xba1a2902 -256 -256 -1 -0 -0 -49 -0xa2db -0x14800835 -256 -256 -0 -0 -0 -36 -0xe76c -0x8115a64f -256 -256 -0 -0 -0 -28 -0x194d -0xa57412b4 -256 -256 -0 -0 -0 -11 -0xd190 -0x839af53e -256 -256 -1 -1 -0 -71 -0x2241 -0x7e578708 -256 -256 -1 -1 -0 -61 -0x2782 -0xbcec4ee3 -256 -256 -0 -0 -0 -76 -0x354a -0x6ef2376b -256 -256 -0 -0 -0 -95 -0xd659 -0xe22fcf5b -256 -256 -0 -0 -0 -65 -0xb59 -0x773e1a39 -256 -256 -0 -0 -0 -40 -0x5f53 -0xd667d613 -256 -256 -1 -0 -0 -57 -0x7501 -0xe640f3b8 -256 -256 -0 -0 -0 -10 -0x3b6b -0xee6229ed -256 -256 -1 -0 -0 -74 -0x24f0 -0x9bef1f63 -256 -256 -0 -0 -0 -77 -0x4281 -0xf4df4cfd -256 -256 -1 -0 -0 -27 -0x5c0e -0xf4e57a5f -256 -256 -1 -1 -0 -26 -0x141a -0x935231b5 -256 -256 -0 -0 -0 -14 -0x954b -0xfb33a933 -256 -256 -0 -0 -0 -55 -0xe5f6 -0x6597032a -256 -256 -1 -0 -0 -14 -0xa58b -0x4d4df3dc -256 -256 -1 -1 -0 -66 -0xfe0c -0x67e43be9 -256 -256 -1 -1 -0 -93 -0xe087 -0x5ee109b4 -256 -256 -0 -0 -0 -47 -0xce96 -0x14434d8b -256 -256 -0 -0 -0 -57 -0xdb45 -0x2df0a22 -256 -256 -0 -0 -0 -22 -0xd6e2 -0x339e2fbe -256 -256 -0 -0 -0 -18 -0xb1e4 -0xe30cf0a3 -256 -256 -1 -0 -0 -68 -0xa636 -0x4ac0522c -256 -256 -0 -0 -0 -51 -0xcb03 -0x3a5559fe -256 -256 -0 -0 -0 -85 -0x241d -0xa63190c2 -256 -256 -1 -1 -0 -48 -0x2920 -0xd17e35c7 -256 -256 -0 -0 -0 -28 -0x6385 -0xd01c3cb2 -256 -256 -1 -0 -0 -12 -0xdef3 -0xc1b266a0 -256 -256 -1 -1 -0 -50 -0x7a4c -0x276bb4d6 -256 -256 -1 -0 -0 -56 -0xb045 -0xb62028c3 -256 -256 -1 -0 -0 -92 -0xf950 -0xc099f902 -256 -256 -1 -1 -0 -57 -0xb39e -0x2abb3b96 -256 -256 -0 -0 -0 -24 -0x2ae9 -0x685ceecb -256 -256 -1 -0 -0 -99 -0x4554 -0xb78748a1 -256 -256 -0 -0 -0 -52 -0xca00 -0x8770d57f -256 -256 -1 -1 -0 -40 -0x25c1 -0x3d07efcd -256 -256 -0 -0 -0 -93 -0x9f18 -0x4185fb72 -256 -256 -0 -0 -0 -25 -0x80f8 -0x7b238b88 -256 -256 -1 -1 -0 -45 -0xd080 -0x3332f47c -256 -256 -0 -0 -0 -77 -0x9cd1 -0x50ada9a8 -256 -256 -0 -0 -0 -28 -0x3da6 -0x585b7873 -256 -256 -0 -0 -0 -55 -0x78e6 -0x2c79cc79 -256 -256 -0 -0 -0 -57 -0x854d -0xe82a46a7 -256 -256 -0 -0 -0 -25 -0x70c2 -0x20a138fb -256 -256 -0 -0 -0 -29 -0x8b64 -0x13429752 -256 -256 -0 -0 -0 -37 -0x9195 -0xe08cf096 -256 -256 -1 -0 -0 -12 -0x226d -0xd86d22c8 -256 -256 -1 -0 -0 -67 -0x4110 -0x379d96c0 -256 -256 -1 -0 -0 -85 -0x7d5 -0x37f2b7b0 -256 -256 -1 -1 -0 -31 -0x3ed7 -0x5ae08922 -256 -256 -0 -0 -0 -91 -0x59e1 -0x2902fdbc -256 -256 -1 -0 -0 -71 -0x7afb -0x38e522ac -256 -256 -0 -0 -0 -84 -0x5afe -0x60baadd5 -256 -256 -1 -0 -0 -19 -0xaece -0xc5cee660 -256 -256 -1 -1 -0 -90 -0xe1c5 -0x1e27c71a -256 -256 -1 -1 -0 -73 -0x5868 -0x8db351ab -256 -256 -0 -0 -0 -78 -0x15ef -0x833f4e46 -256 -256 -1 -1 -0 -82 -0x86a8 -0x4ce012ce -256 -256 -1 -0 -0 -35 -0xfe0 -0xd9ffdc5a -256 -256 -1 -0 -0 -18 -0x9be -0x71e43fb3 -256 -256 -0 -0 -0 -56 -0xea37 -0x7ca00e3e -256 -256 -1 -0 -0 -50 -0xd9c4 -0x63723c62 -256 -256 -0 -0 -0 -11 -0x975e -0x42d7fa40 -256 -256 -0 -0 -0 -21 -0xe8b6 -0x77d4f8ab -256 -256 -1 -0 -0 -21 -0x8a13 -0x1c69570c -256 -256 -0 -0 -0 -12 -0xd49b -0x6ffc6a17 -256 -256 -0 -0 -0 -70 -0xefd2 -0x68422f67 -256 -256 -1 -1 -0 -42 -0x9418 -0x4e6f12c6 -256 -256 -1 -0 -0 -20 -0xc530 -0x307d2771 -256 -256 -0 -0 -0 -100 -0xb351 -0x2f0acb54 -256 -256 -0 -0 -0 -94 -0x6f49 -0x13558d46 -256 -256 -0 -0 -0 -14 -0x30e3 -0xa4b38551 -256 -256 -1 -0 -0 -59 -0x319f -0xfda94039 -256 -256 -0 -0 -0 -18 -0xa029 -0xb8d769aa -256 -256 -0 -0 -0 -90 -0xec28 -0xfa3fd8fe -256 -256 -1 -0 -0 -49 -0x980f -0x536abb2b -256 -256 -0 -0 -0 -30 -0xc8db -0xc47ad0cb -256 -256 -1 -1 -0 -43 -0x2807 -0xdb8a0189 -256 -256 -1 -1 -0 -77 -0xa475 -0x5e17551f -256 -256 -0 -0 -0 -83 -0x4efd -0x189c52d9 -256 -256 -1 -0 -0 -66 -0x5db1 -0x4ed87c57 -256 -256 -1 -1 -0 -52 -0xd4d4 -0x86fcc346 -256 -256 -1 -0 -0 -80 -0x7d19 -0xc3c596ce -256 -256 -1 -1 -0 -99 -0x53dc -0x8fa8a61d -256 -256 -1 -0 -0 -25 -0xf57b -0x2262d796 -256 -256 -1 -0 -0 -94 -0x1a42 -0x3f1e84d2 -256 -256 -1 -0 -0 -10 -0x825e -0x99669d0f -256 -256 -0 -0 -0 -50 -0xad6e -0xc4864f9 -256 -256 -1 -1 -0 -20 -0x522e -0xd1eb40ba -256 -256 -1 -0 -0 -41 -0x94c4 -0x52d2c1e0 -256 -256 -0 -0 -0 -36 -0x5 -0x167a21e3 -256 -256 -0 -0 -0 -90 -0x52c6 -0x2d37f723 -256 -256 -0 -0 -0 -76 -0x36f1 -0xacdbd914 -256 -256 -1 -1 -0 -15 -0x97e2 -0x6980dab4 -256 -256 -0 -0 -0 -68 -0x52d -0x5a02b7e -256 -256 -0 -0 -0 -68 -0x116b -0xcae6b403 -256 -256 -1 -0 -0 -13 -0xe031 -0x39cef7a -256 -256 -1 -1 -0 -82 -0xe3be -0x818d4874 -256 -256 -1 -1 -0 -91 -0x664a -0x534a5bd7 -256 -256 -1 -0 -0 -24 -0x89dd -0xfcc5725a -256 -256 -0 -0 -0 -91 -0x6c9e -0xadd9b119 -256 -256 -1 -1 -0 -40 -0x52e2 -0x619d3180 -256 -256 -0 -0 -0 -26 -0xa95f -0xb868e82a -256 -256 -0 -0 -0 -44 -0x7f08 -0x7bfa18ba -256 -256 -1 -1 -0 -76 -0x17c7 -0xf441873 -256 -256 -0 -0 -0 -92 -0x91a3 -0xfd6344e9 -256 -256 -1 -0 -0 -33 -0xf8f4 -0x56807270 -256 -256 -0 -0 -0 -94 -0x9b54 -0x35a299f1 -256 -256 -1 -0 -0 -90 -0x9dea -0xac0f9567 -256 -256 -0 -0 -0 -82 -0xd3ab -0x2158f8df -256 -256 -0 -0 -0 -27 -0xd665 -0x5ff05f54 -256 -256 -0 -0 -0 -61 -0x38fd -0x36c53ba8 -256 -256 -1 -1 -0 -73 -0xf2af -0x524c81cf -256 -256 -0 -0 -0 -88 -0x3bda -0xb51b7143 -256 -256 -1 -1 -0 -27 -0xd1fd -0x31a3491e -256 -256 -1 -0 -0 -62 -0x73ce -0x296def6f -256 -256 -0 -0 -0 -89 -0x2816 -0x260bb079 -256 -256 -0 -0 -0 -61 -0x24bd -0x86d68ef6 -256 -256 -0 -0 -0 -67 -0x431e -0x21d6b68 -256 -256 -0 -0 -0 -25 -0xb7ca -0xa334b27c -256 -256 -1 -1 -0 -34 -0x81d -0x7c5468e5 -256 -256 -0 -0 -0 -44 -0xf98c -0xb40cc4cf -256 -256 -1 -1 -0 -86 -0xc1ec -0xb5a4410c -256 -256 -1 -0 -0 -83 -0xeb4c -0x6a336e83 -256 -256 -0 -0 -0 -16 -0x4196 -0x434c719f -256 -256 -1 -0 -0 -26 -0x4867 -0x15335aec -256 -256 -1 -0 -0 -98 -0x5bd4 -0x1e2cdabb -256 -256 -0 -0 -0 -53 -0x85fd -0x3d6e6e88 -256 -256 -0 -0 -0 -38 -0x4ca4 -0x642be944 -256 -256 -1 -0 -0 -95 -0x62ec -0xd60fde71 -256 -256 -1 -1 -0 -73 -0x9f91 -0x291b3164 -256 -256 -1 -1 -0 -36 -0xc7a4 -0x1b26dece -256 -256 -0 -0 -0 -83 -0x3875 -0x60834010 -256 -256 -0 -0 -0 -29 -0x28dc -0xb866476f -256 -256 -0 -0 -0 -83 -0xd370 -0xa2840677 -256 -256 -0 -0 -0 -17 -0x2438 -0x6a85e0fb -256 -256 -1 -0 -0 -34 -0xa6cf -0x381ef53 -256 -256 -0 -0 -0 -57 -0x21a2 -0x69776918 -256 -256 -0 -0 -0 -35 -0x33bc -0x3b3081a9 -256 -256 -0 -0 -0 -49 -0x327e -0xc497ac2 -256 -256 -0 -0 -0 -52 -0xe386 -0xe02aa15c -256 -256 -0 -0 -0 -75 -0xc976 -0xf6b6c052 -256 -256 -1 -0 -0 -15 -0xe491 -0xce856920 -256 -256 -0 -0 -0 -79 -0xa572 -0xde5b27b2 -256 -256 -1 -0 -0 -81 -0xb9bb -0x2fcf218b -256 -256 -0 -0 -0 -76 -0x212a -0x30a26dd1 -256 -256 -1 -1 -0 -44 -0x6c7e -0xfd6955e0 -256 -256 -0 -0 -0 -62 -0x5064 -0xd38e4a75 -256 -256 -0 -0 -0 -19 -0xc155 -0xcdfc6207 -256 -256 -0 -0 -0 -41 -0x7424 -0x69546fec -256 -256 -1 -1 -0 -61 -0x3dd7 -0x854a47d -256 -256 -1 -0 -0 -57 -0x465d -0x29b2327 -256 -256 -1 -1 -0 -42 -0xaff9 -0x746d2f79 -256 -256 -1 -0 -0 -39 -0x592e -0xa3afacfb -256 -256 -0 -0 -0 -29 -0x50fd -0x8a5416fc -256 -256 -1 -0 -0 -100 -0x61b6 -0x678eb964 -256 -256 -0 -0 -0 -26 -0x1cd1 -0xc3a7756b -256 -256 -1 -1 -0 -21 -0xa08a -0x66ef41a2 -256 -256 -0 -0 -0 -58 -0x34b0 -0x14fec395 -256 -256 -1 -1 -0 -57 -0xc064 -0xd6cc3d2d -256 -256 -1 -0 -0 -42 -0x846d -0xfc4d9af1 -256 -256 -1 -1 -0 -76 -0xe538 -0xeb12baf5 -256 -256 -1 -1 -0 -40 -0x44c3 -0x5026f8be -256 -256 -0 -0 -0 -60 -0x4c29 -0x9ad7f735 -256 -256 -1 -0 -0 -89 -0xd4c7 -0xc4dd2eda -256 -256 -0 -0 -0 -35 -0x5533 -0x54e1af41 -256 -256 -1 -0 -0 -17 -0xb5c2 -0x1a75348f -256 -256 -0 -0 -0 -18 -0x826e -0x69a2015b -256 -256 -1 -1 -0 -87 -0x7fff -0xa47d4ed9 -256 -256 -1 -0 -0 -84 -0x8911 -0x61e85b31 -256 -256 -0 -0 -0 -58 -0xed5c -0x75b670c6 -256 -256 -0 -0 -0 -92 -0x90a8 -0x328ba298 -256 -256 -0 -0 -0 -68 -0xb437 -0x3858f6a0 -256 -256 -1 -0 -0 -31 -0xd166 -0x747f0ec1 -256 -256 -1 -0 -0 -24 -0x7222 -0xa9831a21 -256 -256 -0 -0 -0 -30 -0x291 -0xd1004668 -256 -256 -0 -0 -0 -25 -0xf331 -0x25836897 -256 -256 -1 -1 -0 -14 -0xc27f -0xcbbe2c61 -256 -256 -0 -0 -0 -60 -0xe233 -0xd2167d5b -256 -256 -0 -0 -0 -99 -0x9620 -0xc9acca41 -256 -256 -0 -0 -0 -76 -0xff4a -0x819fb7ad -256 -256 -1 -0 -0 -69 -0x1fa9 -0xc0dc2ab0 -256 -256 -1 -1 -0 -54 -0x4b9 -0xb341e49f -256 -256 -0 -0 -0 -13 -0x4eee -0x52c0fd47 -256 -256 -0 -0 -0 -49 -0x4ec1 -0x3daf6adc -256 -256 -0 -0 -0 -89 -0x7be4 -0xd52dceda -256 -256 -0 -0 -0 -48 -0xee7c -0xd3047364 -256 -256 -0 -0 -0 -20 -0x9f1c -0x6ded08b7 -256 -256 -0 -0 -0 -100 -0xeed -0x1fb82fea -256 -256 -0 -0 -0 -62 -0x9222 -0xe6665a4c -256 -256 -0 -0 -0 -24 -0x3779 -0x7bbbe73e -256 -256 -0 -0 -0 -52 -0xf8df -0xa4741faa -256 -256 -0 -0 -0 -79 -0x437d -0x1502464c -256 -256 -0 -0 -0 -26 -0x716f -0xc32b36ea -256 -256 -0 -0 -0 -13 -0xf6b5 -0xbb4972c6 -256 -256 -1 -1 -0 -61 -0x8b33 -0xe314165f -256 -256 -0 -0 -0 -25 -0x2403 -0x2b78e836 -256 -256 -0 -0 -0 -46 -0x5bca -0xc444d429 -256 -256 -1 -0 -0 -35 -0xecce -0x52bb910c -256 -256 -0 -0 -0 -53 -0x6fb5 -0xea1dc499 -256 -256 -0 -0 -0 -36 -0xaed7 -0xd39ce811 -256 -256 -0 -0 -0 -41 -0xcc62 -0xe5275fe4 -256 -256 -0 -0 -0 -30 -0xb256 -0x807024ce -256 -256 -1 -0 -0 -24 -0x1d7e -0x4ba99677 -256 -256 -0 -0 -0 -77 -0xd92 -0xe5636be -256 -256 -1 -0 -0 -48 -0xa12e -0x86185185 -256 -256 -1 -0 -0 -24 -0x31bc -0xc44b2c95 -256 -256 -0 -0 -0 -83 -0xd30f -0x70d1f418 -256 -256 -1 -0 -0 -39 -0xf91e -0xde9238fb -256 -256 -0 -0 -0 -27 -0xff50 -0x4af1cf56 -256 -256 -0 -0 -0 -91 -0x4fe7 -0x721266f6 -256 -256 -1 -1 -0 -55 -0x345e -0xf8983708 -256 -256 -1 -1 -0 -32 -0xeaab -0xbbdc20d6 -256 -256 -0 -0 -0 -56 -0x3c6b -0x6ec6901a -256 -256 -0 -0 -0 -99 -0x3164 -0x23952c06 -256 -256 -0 -0 -0 -23 -0x5f07 -0xb8afdfd9 -256 -256 -0 -0 -0 -99 -0xba6c -0x28172cb8 -256 -256 -1 -0 -0 -37 -0x4f76 -0xdd9deb90 -256 -256 -1 -0 -0 -78 -0x1239 -0xd1fbe65 -256 -256 -1 -1 -0 -76 -0xe3b1 -0x87f3acf -256 -256 -0 -0 -0 -15 -0xce9f -0xf70ee6ef -256 -256 -1 -1 -0 -66 -0x3cb6 -0x7876442a -256 -256 -1 -0 -0 -44 -0x530f -0x8e71ce98 -256 -256 -1 -0 -0 -39 -0xbf51 -0x2c35be32 -256 -256 -0 -0 -0 -87 -0xfc12 -0xa7c112c7 -256 -256 -0 -0 -0 -44 -0xd56e -0x1e1898e8 -256 -256 -1 -1 -0 -25 -0x8893 -0x5e842ef1 -256 -256 -0 -0 -0 -90 -0x3cce -0x1c36640c -256 -256 -1 -0 -0 -13 -0x8952 -0x1dcf96af -256 -256 -1 -0 -0 -67 -0xf790 -0x8b09c858 -256 -256 -0 -0 -0 -32 -0x1332 -0x6d581b43 -256 -256 -1 -0 -0 -27 -0x6994 -0x5ce0579a -256 -256 -0 -0 -0 -32 -0x6814 -0xd13fb30d -256 -256 -1 -1 -0 -13 -0x193b -0x14c99e14 -256 -256 -0 -0 -0 -12 -0x1a2e -0x7a755f4d -256 -256 -1 -0 -0 -92 -0x9258 -0x802701c8 -256 -256 -0 -0 -0 -15 -0x467 -0x28f2fc43 -256 -256 -0 -0 -0 -51 -0xd614 -0xd93b0995 -256 -256 -0 -0 -0 -35 -0x2b5a -0x2dd3bee6 -256 -256 -0 -0 -0 -49 -0xaa8 -0x6518507a -256 -256 -1 -1 -0 -67 -0xa7f3 -0xe24331f9 -256 -256 -1 -1 -0 -51 -0xbd -0x5d1a0c8e -256 -256 -0 -0 -0 -49 -0xe3c9 -0x579867cb -256 -256 -1 -1 -0 -85 -0x5a0c -0xfafbe688 -256 -256 -1 -1 -0 -62 -0x4a58 -0xd8e7c7df -256 -256 -1 -1 -0 -73 -0x2451 -0x644fe983 -256 -256 -0 -0 -0 -32 -0xec27 -0x39ce473a -256 -256 -1 -0 -0 -56 -0xb320 -0x6941fd34 -256 -256 -1 -1 -0 -96 -0xb744 -0x2831f6f5 -256 -256 -1 -0 -0 -21 -0x6a85 -0x21bee2e2 -256 -256 -1 -1 -0 -53 -0xf409 -0x2cda2171 -256 -256 -1 -1 -0 -67 -0x134e -0x436d2d90 -256 -256 -0 -0 -0 -20 -0xb70e -0x76a323f5 -256 -256 -1 -0 -0 -93 -0xf6a2 -0x3c425718 -256 -256 -0 -0 -0 -27 -0xbc4e -0x8a47e3d6 -256 -256 -0 -0 -0 -54 -0x755c -0xc2bd6526 -256 -256 -1 -1 -0 -95 -0x8f68 -0x7cb4ce7e -256 -256 -1 -1 -0 -60 -0x3e74 -0xb9654e81 -256 -256 -1 -0 -0 -82 -0x152 -0x8cfcd0c7 -256 -256 -0 -0 -0 -11 -0xbdb2 -0xa0adef4 -256 -256 -1 -0 -0 -73 -0xe53 -0x6cd7e7b3 -256 -256 -0 -0 -0 -45 -0x14f5 -0x682e645e -256 -256 -0 -0 -0 -23 -0x4ff4 -0x9729bebb -256 -256 -0 -0 -0 -59 -0xf95c -0x565fb32b -256 -256 -1 -1 -0 -42 -0x8445 -0x9863d268 -256 -256 -1 -1 -0 -35 -0xdeb -0xec742f2d -256 -256 -0 -0 -0 -89 -0x5134 -0x2aa5466a -256 -256 -1 -1 -0 -48 -0x1427 -0x3ea497ae -256 -256 -0 -0 -0 -35 -0x1688 -0xb2e9dbee -256 -256 -0 -0 -0 -83 -0xfadc -0xaf98e6cd -256 -256 -1 -1 -0 -50 -0x8402 -0xe2af2eb5 -256 -256 -0 -0 -0 -16 -0x4717 -0x3427d7a4 -256 -256 -1 -1 -0 -96 -0xd14e -0x1f4b927d -256 -256 -1 -0 -0 -10 -0x2c9f -0xc23a9eba -256 -256 -1 -1 -0 -43 -0xbc6d -0x5f1e1138 -256 -256 -1 -1 -0 -88 -0xf40a -0xa74fa06a -256 -256 -1 -1 -0 -16 -0xd5ca -0xbe731477 -256 -256 -0 -0 -0 -89 -0x6027 -0x1d87c604 -256 -256 -1 -1 -0 -32 -0x3845 -0x9ecc6660 -256 -256 -1 -0 -0 -79 -0x5e8e -0xfc751e94 -256 -256 -1 -1 -0 -32 -0x6430 -0xe9abb32c -256 -256 -0 -0 -0 -56 -0xabc3 -0x2dd302b0 -256 -256 -0 -0 -0 -64 -0x63ed -0xc8684fce -256 -256 -1 -0 -0 -18 -0x5d00 -0x3e273c0e -256 -256 -0 -0 -0 -76 -0x2853 -0x84f42f8f -256 -256 -1 -1 -0 -43 -0x2dca -0x2e5ed864 -256 -256 -0 -0 -0 -92 -0x2b81 -0x48509da -256 -256 -0 -0 -0 -41 -0xc169 -0x8f7b86cc -256 -256 -1 -0 -0 -84 -0x793d -0x313c104a -256 -256 -1 -0 -0 -18 -0x1989 -0x2ac6902c -256 -256 -1 -0 -0 -27 -0xdef5 -0x80e815f6 -256 -256 -0 -0 -0 -80 -0x18b -0xccf1b6e7 -256 -256 -0 -0 -0 -23 -0x78bd -0x8f8b0c5e -256 -256 -0 -0 -0 -93 -0x913d -0xe354bef5 -256 -256 -0 -0 -0 -91 -0xf941 -0xc20433a3 -256 -256 -1 -1 -0 -99 -0x83da -0x6b68dc15 -256 -256 -0 -0 -0 -27 -0xee79 -0x71d51a2 -256 -256 -0 -0 -0 -62 -0xf616 -0x543d2441 -256 -256 -0 -0 -0 -62 -0xe829 -0xd5f275a6 -256 -256 -1 -0 -0 -57 -0x3dcf -0x8fe5c9b2 -256 -256 -0 -0 -0 -74 -0xff51 -0x48c881ac -256 -256 -1 -0 -0 -11 -0x3c7e -0x55fe71a6 -256 -256 -0 -0 -0 -36 -0x9d98 -0x168f0e52 -256 -256 -0 -0 -0 -60 -0x1135 -0xeaefc997 -256 -256 -1 -1 -0 -31 -0x8f6f -0xee005471 -256 -256 -1 -1 -0 -81 -0x5fb0 -0x1cd68d1a -256 -256 -0 -0 -0 -23 -0xcbfa -0x8cb8ea87 -256 -256 -0 -0 -0 -25 -0xc14a -0xfc7e2be2 -256 -256 -0 -0 -0 -34 -0x570f -0xa05f1d46 -256 -256 -1 -0 -0 -30 -0xa663 -0xec5c6f0f -256 -256 -1 -0 -0 -60 -0x461f -0x5077ecef -256 -256 -1 -1 -0 -90 -0xcf30 -0x59207e74 -256 -256 -1 -0 -0 -30 -0x9e5d -0x1af5be63 -256 -256 -0 -0 -0 -78 -0x1446 -0xa6f7cdaa -256 -256 -1 -0 -0 -52 -0x81ca -0x38dc6d3f -256 -256 -0 -0 -0 -63 -0xb5bf -0x93e915e0 -256 -256 -1 -0 -0 -72 -0xc5ac -0x69b0e833 -256 -256 -0 -0 -0 -78 -0x7415 -0x3edf15e8 -256 -256 -1 -1 -0 -77 -0x3fd8 -0xfe5b1895 -256 -256 -0 -0 -0 -78 -0x80f0 -0x3768693 -256 -256 -1 -1 -0 -26 -0x2e2a -0xc0fe8e67 -256 -256 -1 -1 -0 -58 -0x1d50 -0x9f2521a5 -256 -256 -1 -0 -0 -55 -0xb6b9 -0xf13c7430 -256 -256 -0 -0 -0 -56 -0xcf1 -0x1f683413 -256 -256 -1 -1 -0 -35 -0x1b2e -0xc3342214 -256 -256 -1 -0 -0 -78 -0xdc63 -0xe94db23c -256 -256 -1 -0 -0 -84 -0x93a8 -0x2d2aa261 -256 -256 -1 -0 -0 -35 -0x3895 -0x51e9d9d2 -256 -256 -1 -0 -0 -31 -0x9067 -0x3fc1c81f -256 -256 -0 -0 -0 -50 -0xf817 -0x51611689 -256 -256 -1 -1 -0 -74 -0x650f -0x405c8e44 -256 -256 -1 -1 -0 -81 -0xc8e1 -0xebe04d3c -256 -256 -1 -0 -0 -30 -0xfc5e -0x4dd4ab2a -256 -256 -0 -0 -0 -13 -0xa29e -0x46395ada -256 -256 -1 -1 -0 -45 -0x1650 -0xed435511 -256 -256 -0 -0 -0 -63 -0x7cfd -0xecb69c56 -256 -256 -0 -0 -0 -12 -0x81d1 -0x592aa6ab -256 -256 -1 -0 -0 -95 -0xdd25 -0x5cdb6fd4 -256 -256 -0 -0 -0 -89 -0xda6b -0xe24dcefb -256 -256 -1 -0 -0 -47 -0xd22d -0x4961eeef -256 -256 -0 -0 -0 -36 -0x52ae -0xa3a64073 -256 -256 -0 -0 -0 -49 -0x14e9 -0x2e22b460 -256 -256 -1 -1 -0 -57 -0x4b4a -0xd8acbcf5 -256 -256 -0 -0 -0 -83 -0x240f -0x747b1e55 -256 -256 -1 -1 -0 -83 -0x4637 -0xb8852ab1 -256 -256 -1 -1 -0 -34 -0xf0d2 -0xb842ee0 -256 -256 -0 -0 -0 -13 -0xa6eb -0x2ad475d5 -256 -256 -0 -0 -0 -26 -0x27e4 -0x54ef223 -256 -256 -0 -0 -0 -30 -0x9c07 -0x8f37b074 -256 -256 -1 -1 -0 -42 -0x8408 -0x8dba3b4e -256 -256 -0 -0 -0 -99 -0x8fe8 -0xb6b12e2 -256 -256 -1 -0 -0 -57 -0xa2f4 -0x8ac70fba -256 -256 -0 -0 -0 -32 -0x42fb -0xce2bf990 -256 -256 -0 -0 -0 -30 -0xb3c4 -0xa6d2b26f -256 -256 -0 -0 -0 -73 -0x4713 -0xc8b5a749 -256 -256 -1 -1 -0 -30 -0xe911 -0xd07d8862 -256 -256 -0 -0 -0 -35 -0xae0d -0xa88634a2 -256 -256 -1 -0 -0 -64 -0xcff7 -0x14b7d4f1 -256 -256 -1 -1 -0 -79 -0x94e -0x1614a597 -256 -256 -0 -0 -0 -20 -0x63c5 -0x46c6f208 -256 -256 -1 -1 -0 -86 -0x8ea2 -0xa41f6010 -256 -256 -0 -0 -0 -96 -0xb764 -0xbf7648ea -256 -256 -1 -1 -0 -77 -0x99ac -0x5bf0291a -256 -256 -0 -0 -0 -47 -0xaf06 -0x26416abc -256 -256 -1 -0 -0 -91 -0xfadf -0xa47b9ae -256 -256 -0 -0 -0 -92 -0x2aac -0xcbf59afa -256 -256 -1 -1 -0 -67 -0x5544 -0x16292269 -256 -256 -0 -0 -0 -13 -0x6da1 -0x46b34c61 -256 -256 -1 -1 -0 -91 -0x72b3 -0x6f4bab9e -256 -256 -1 -1 -0 -17 -0x9658 -0x350bf905 -256 -256 -1 -0 -0 -38 -0x6f7a -0xabff75f4 -256 -256 -0 -0 -0 -64 -0x3c41 -0x19c4f2a5 -256 -256 -1 -1 -0 -57 -0xa696 -0x850572e6 -256 -256 -0 -0 -0 -51 -0xe21e -0x33d7df7f -256 -256 -1 -1 -0 -75 -0x7982 -0xbb03df3f -256 -256 -0 -0 -0 -47 -0xd355 -0x5b704d26 -256 -256 -0 -0 -0 -67 -0x9e6a -0xded23ff5 -256 -256 -1 -1 -0 -68 -0x43fd -0x4eee27d8 -256 -256 -0 -0 -0 -37 -0xf9ac -0x658129bb -256 -256 -1 -1 -0 -50 -0x626c -0xa9a776ad -256 -256 -0 -0 -0 -51 -0x9bc2 -0x6b02906a -256 -256 -0 -0 -0 -14 -0x46ec -0x718076f8 -256 -256 -1 -1 -0 -41 -0x1696 -0xb2b47608 -256 -256 -0 -0 -0 -82 -0x3b1d -0xb69f597c -256 -256 -0 -0 -0 -75 -0x535c -0x559d59d1 -256 -256 -1 -1 -0 -79 -0xf233 -0xe92ecef5 -256 -256 -0 -0 -0 -37 -0x69a8 -0x5494508d -256 -256 -1 -0 -0 -45 -0xf361 -0xbc73c1bc -256 -256 -0 -0 -0 -62 -0xfb4d -0xa8b84f7d -256 -256 -1 -1 -0 -68 -0x7a58 -0x410d054b -256 -256 -0 -0 -0 -26 -0x471f -0x856ad0a0 -256 -256 -0 -0 -0 -49 -0x2b3a -0xe608dcca -256 -256 -1 -0 -0 -13 -0x47d4 -0xa41f1fa2 -256 -256 -1 -1 -0 -31 -0x1726 -0xd8470ad9 -256 -256 -1 -0 -0 -38 -0x1bd5 -0x63d4f071 -256 -256 -1 -0 -0 -83 -0xe28 -0x81b0c99e -256 -256 -0 -0 -0 -86 -0xd66a -0x5bd6908b -256 -256 -1 -1 -0 -89 -0xaa6d -0x65e1ebbc -256 -256 -1 -1 -0 -26 -0x5166 -0x28f7e15e -256 -256 -1 -0 -0 -26 -0xa67c -0x569ba025 -256 -256 -1 -0 -0 -93 -0x11d3 -0x8ee401b7 -256 -256 -1 -0 -0 -40 -0x45a0 -0x18ca9f9f -256 -256 -0 -0 -0 -84 -0xa8bf -0xd84df92d -256 -256 -1 -0 -0 -99 -0x4241 -0x61185568 -256 -256 -0 -0 -0 -100 -0x4282 -0xdca41b2d -256 -256 -0 -0 -0 -99 -0x24c6 -0x4ccf61a0 -256 -256 -1 -0 -0 -51 -0xa26f -0xa666312e -256 -256 -1 -1 -0 -19 -0x8f54 -0x4b605efe -256 -256 -1 -1 -0 -78 -0x9a43 -0xb7ded636 -256 -256 -1 -1 -0 -89 -0x7714 -0x82096784 -256 -256 -0 -0 -0 -11 -0x7bb9 -0x37cc1318 -256 -256 -1 -0 -0 -36 -0x95c2 -0x5f70b96f -256 -256 -0 -0 -0 -67 -0xba26 -0xaa5e3f4b -256 -256 -0 -0 -0 -60 -0xa555 -0x71c25d1a -256 -256 -0 -0 -0 -22 -0x61fa -0x70b64729 -256 -256 -1 -1 -0 -89 -0x6a42 -0x8f5786c7 -256 -256 -1 -1 -0 -81 -0x6079 -0x9520fa40 -256 -256 -1 -1 -0 -83 -0x78c1 -0xcfcfa2a9 -256 -256 -0 -0 -0 -18 -0xd400 -0xcfaf8b35 -256 -256 -0 -0 -0 -33 -0xb367 -0xb1e02027 -256 -256 -1 -0 -0 -57 -0x68b8 -0x36c6c405 -256 -256 -0 -0 -0 -39 -0x1997 -0x8818f34 -256 -256 -0 -0 -0 -99 -0x2a40 -0x8de323fb -256 -256 -0 -0 -0 -22 -0x4ad4 -0x86424f29 -256 -256 -1 -0 -0 -66 -0x7f38 -0x76f517fe -256 -256 -1 -0 -0 -32 -0xd7ec -0x861d085b -256 -256 -0 -0 -0 -19 -0xbde8 -0x9b5aa868 -256 -256 -0 -0 -0 -94 -0x9a55 -0x81f5e912 -256 -256 -0 -0 -0 -84 -0x39c6 -0x9bbfcadf -256 -256 -1 -1 -0 -98 -0x266f -0xb4bdcec8 -256 -256 -1 -0 -0 -31 -0xd63 -0xf07f4ec4 -256 -256 -0 -0 -0 -21 -0xa53b -0xc7882a7f -256 -256 -0 -0 -0 -68 -0x390f -0xaff8c1af -256 -256 -0 -0 -0 -36 -0x5b95 -0x4e5f2d3f -256 -256 -1 -0 -0 -12 -0x8408 -0xdee01fc5 -256 -256 -0 -0 -0 -70 -0x1b75 -0x7e623266 -256 -256 -1 -0 -0 -95 -0xfc66 -0x589a6d0c -256 -256 -1 -1 -0 -96 -0x38e3 -0x199a295c -256 -256 -0 -0 -0 -43 -0x5838 -0xa06061ce -256 -256 -0 -0 -0 -17 -0x7a13 -0x1d53e8af -256 -256 -0 -0 -0 -60 -0xf9d5 -0x91d9380a -256 -256 -0 -0 -0 -86 -0x917b -0xd58be357 -256 -256 -0 -0 -0 -96 -0x783d -0xe9a80ca4 -256 -256 -1 -0 -0 -11 -0xd607 -0x9587049c -256 -256 -0 -0 -0 -55 -0xaf35 -0xde2a159a -256 -256 -0 -0 -0 -10 -0x3a6a -0xc253cc9c -256 -256 -1 -1 -0 -79 -0xc9d5 -0xb268fd16 -256 -256 -1 -1 -0 -89 -0xe3e5 -0x227449c7 -256 -256 -0 -0 -0 -48 -0xe964 -0x39c99878 -256 -256 -0 -0 -0 -43 -0xe73 -0x7bd3f75b -256 -256 -0 -0 -0 -75 -0xf04e -0x274251f7 -256 -256 -1 -1 -0 -64 -0xddad -0x275a4893 -256 -256 -0 -0 -0 -68 -0x1294 -0x8f4cb8e -256 -256 -1 -1 -0 -89 -0xd51a -0xe8d208e2 -256 -256 -0 -0 -0 -96 -0x209c -0x5189d146 -256 -256 -1 -0 -0 -86 -0xcdba -0xcd153c6 -256 -256 -1 -0 -0 -10 -0xed0b -0xf98f6431 -256 -256 -1 -1 -0 -71 -0x36cc -0x5e7f6f59 -256 -256 -1 -0 -0 -80 -0xfaf -0x237073d7 -256 -256 -0 -0 -0 -89 -0xd083 -0xa129fa0a -256 -256 -0 -0 -0 -92 -0x810b -0xb0b8cf06 -256 -256 -1 -0 -0 -46 -0x7bba -0xd86267da -256 -256 -1 -1 -0 -64 -0x9584 -0x8cc5403a -256 -256 -1 -0 -0 -13 -0xa063 -0xcf7eae38 -256 -256 -1 -0 -0 -42 -0x3188 -0x9a55c2cc -256 -256 -0 -0 -0 -68 -0xac2f -0x6a054b90 -256 -256 -0 -0 -0 -77 -0xedf0 -0xf2f5f150 -256 -256 -0 -0 -0 -54 -0xd5cd -0x6656211 -256 -256 -0 -0 -0 -35 -0xe497 -0xc2e21e3b -256 -256 -1 -0 -0 -22 -0x66af -0x3add3415 -256 -256 -0 -0 -0 -51 -0xd8b4 -0x28d5f723 -256 -256 -0 -0 -0 -91 -0x6d55 -0x1de8bf50 -256 -256 -1 -0 -0 -50 -0xd459 -0x6b4bc446 -256 -256 -1 -0 -0 -52 -0xcc60 -0xcaebbb9b -256 -256 -0 -0 -0 -78 -0x7939 -0x98770d1c -256 -256 -1 -0 -0 -78 -0x49c8 -0x5a73f857 -256 -256 -1 -0 -0 -17 -0xcbd4 -0x85cc06c3 -256 -256 -0 -0 -0 -43 -0x345d -0x912a8b46 -256 -256 -0 -0 -0 -62 -0x2a1e -0xfddead7e -256 -256 -1 -0 -0 -76 -0x8310 -0x900c03a4 -256 -256 -0 -0 -0 -32 -0x8a1b -0x7e136b72 -256 -256 -1 -0 -0 -90 -0x8e54 -0x5fedd6eb -256 -256 -1 -0 -0 -59 -0xa307 -0x6b8f9267 -256 -256 -0 -0 -0 -24 -0x38b7 -0x8ae9adfa -256 -256 -1 -0 -0 -98 -0xf68f -0xb3d1594f -256 -256 -1 -1 -0 -54 -0xa3e7 -0x2a2cda10 -256 -256 -1 -0 -0 -43 -0x4925 -0x62419c57 -256 -256 -0 -0 -0 -58 -0x6299 -0xda00ceed -256 -256 -1 -0 -0 -92 -0xd3f7 -0xb073cf2e -256 -256 -1 -0 -0 -40 -0x8a4d -0x9d20ad5d -256 -256 -1 -0 -0 -58 -0xe7b0 -0x986b5510 -256 -256 -0 -0 -0 -33 -0x5ad -0x4f0e3946 -256 -256 -1 -1 -0 -78 -0x63aa -0xcc743974 -256 -256 -0 -0 -0 -49 -0x12c1 -0x3f54cd2f -256 -256 -0 -0 -0 -56 -0x38e3 -0x54d755d5 -256 -256 -1 -1 -0 -46 -0xaf3f -0x5e80749f -256 -256 -1 -0 -0 -86 -0x5b78 -0x144c2b43 -256 -256 -0 -0 -0 -42 -0x66bc -0x2f6472d1 -256 -256 -0 -0 -0 -37 -0xdeb8 -0x62d3004c -256 -256 -1 -0 -0 -69 -0x9911 -0x3a12ff3c -256 -256 -0 -0 -0 -45 -0x64df -0xdc21dadc -256 -256 -1 -1 -0 -89 -0x197b -0xa39c30ca -256 -256 -1 -1 -0 -61 -0x2509 -0x38ed1f09 -256 -256 -1 -0 -0 -70 -0x3486 -0xcc7190bd -256 -256 -1 -0 -0 -11 -0xdab9 -0xccf21b8e -256 -256 -0 -0 -0 -93 -0x324e -0xe99d3d4 -256 -256 -0 -0 -0 -54 -0x4c9b -0x1a2b46fe -256 -256 -0 -0 -0 -95 -0x717b -0x9b7f63f3 -256 -256 -1 -1 -0 -81 -0xdb0e -0xfdae935a -256 -256 -0 -0 -0 -94 -0x4d78 -0x92c0c67a -256 -256 -0 -0 -0 -95 -0x7de7 -0x768708e5 -256 -256 -0 -0 -0 -10 -0x559d -0xfa1569b0 -256 -256 -1 -1 -0 -58 -0x372d -0x57f8d69e -256 -256 -0 -0 -0 -78 -0xabdb -0xed90e399 -256 -256 -1 -1 -0 -60 -0xc776 -0x15b1961d -256 -256 -0 -0 -0 -71 -0xa426 -0xdbb1f85 -256 -256 -1 -1 -0 -27 -0xde3e -0xc4449c62 -256 -256 -1 -0 -0 -14 -0xfda3 -0xe288d0e8 -256 -256 -0 -0 -0 -32 -0xe1a8 -0xd58c137d -256 -256 -1 -1 -0 -98 -0x95f1 -0xb862a53 -256 -256 -0 -0 -0 -12 -0xd1a -0x6b902a2 -256 -256 -1 -1 -0 -37 -0x6e35 -0x9dd7b662 -256 -256 -1 -1 -0 -50 -0xb04a -0xe65a2366 -256 -256 -0 -0 -0 -32 -0x4d94 -0x313506dc -256 -256 -1 -1 -0 -17 -0x63e8 -0x9acdaa72 -256 -256 -0 -0 -0 -74 -0xd223 -0xdd119959 -256 -256 -0 -0 -0 -42 -0xac31 -0xb7f052d2 -256 -256 -0 -0 -0 -36 -0x5933 -0xb367878f -256 -256 -1 -0 -0 -71 -0x43cd -0xfc69ca52 -256 -256 -0 -0 -0 -50 -0xc45e -0x9d353581 -256 -256 -0 -0 -0 -88 -0xae24 -0xe22ef781 -256 -256 -1 -1 -0 -54 -0xc78e -0xe2c8e724 -256 -256 -0 -0 -0 -65 -0x865d -0x2866365 -256 -256 -1 -0 -0 -82 -0xf360 -0x4ea82bcc -256 -256 -1 -0 -0 -69 -0x9360 -0xfeb76fd4 -256 -256 -1 -0 -0 -29 -0xc83c -0x14ef8ac7 -256 -256 -0 -0 -0 -31 -0x436 -0x240bc6c4 -256 -256 -0 -0 -0 -56 -0x7a5f -0x8b237e06 -256 -256 -1 -0 -0 -88 -0xa3e8 -0x1e2e29b4 -256 -256 -1 -0 -0 -47 -0x3ce -0x7cbae88b -256 -256 -1 -1 -0 -59 -0x86f8 -0xd3901364 -256 -256 -0 -0 -0 -32 -0x6fcf -0xde3998fb -256 -256 -1 -1 -0 -33 -0x2533 -0xd2bd2117 -256 -256 -1 -0 -0 -34 -0xb6f7 -0xd58c8370 -256 -256 -0 -0 -0 -43 -0x13a5 -0x2073e6ff -256 -256 -0 -0 -0 -19 -0x6564 -0xc7e61593 -256 -256 -1 -0 -0 -18 -0xa09b -0x9ea3ef88 -256 -256 -1 -0 -0 -66 -0xdca8 -0x893b9fa -256 -256 -0 -0 -0 -88 -0xa10e -0x394e6b3b -256 -256 -0 -0 -0 -80 -0x9029 -0x6be2a201 -256 -256 -1 -0 -0 -55 -0xd66e -0xdabea9ae -256 -256 -1 -0 -0 -42 -0x1983 -0x653d46ce -256 -256 -1 -1 -0 -92 -0xddb7 -0x7f081eef -256 -256 -0 -0 -0 -25 -0xcea8 -0x9206777d -256 -256 -1 -1 -0 -49 -0x9b1b -0x5642dd6f -256 -256 -1 -0 -0 -55 -0x5c5d -0xcf23a2d7 -256 -256 -1 -1 -0 -72 -0x63dc -0xfd1d5912 -256 -256 -0 -0 -0 -27 -0xa181 -0x518348fd -256 -256 -1 -1 -0 -35 -0x286 -0x73f70e65 -256 -256 -0 -0 -0 -76 -0x7b86 -0xd8f88757 -256 -256 -0 -0 -0 -58 -0x1def -0x3b4541a0 -256 -256 -1 -0 -0 -54 -0xd43d -0x95ca5d00 -256 -256 -1 -1 -0 -34 -0xf0e0 -0x9afbb5c4 -256 -256 -0 -0 -0 -96 -0x6ca4 -0xd64634e -256 -256 -0 -0 -0 -90 -0x9df8 -0x5756789b -256 -256 -0 -0 -0 -60 -0xdcd7 -0x3563664d -256 -256 -1 -1 -0 -60 -0x8403 -0xa5614875 -256 -256 -0 -0 -0 -91 -0xb8fa -0xb9d97c86 -256 -256 -1 -0 -0 -80 -0xd7bf -0x9045c268 -256 -256 -0 -0 -0 -72 -0x44b0 -0xd67f1739 -256 -256 -0 -0 -0 -89 -0x7bd8 -0xfc41c11 -256 -256 -1 -1 -0 -10 -0x2f4f -0xf15d11e4 -256 -256 -1 -0 -0 -87 -0x3de8 -0x91fc2714 -256 -256 -1 -0 -0 -12 -0xb325 -0xef5425de -256 -256 -0 -0 -0 -70 -0xc0b0 -0xded1d741 -256 -256 -1 -0 -0 -56 -0x6da0 -0x7708a9c8 -256 -256 -0 -0 -0 -33 -0x4c99 -0x1160d96d -256 -256 -1 -1 -0 -87 -0x6896 -0xa0e5b9 -256 -256 -1 -1 -0 -77 -0x11e2 -0x4dbb26fb -256 -256 -1 -0 -0 -70 -0x471e -0xab2f2875 -256 -256 -0 -0 -0 -18 -0x37f6 -0xec4a5000 -256 -256 -1 -1 -0 -93 -0xa638 -0x6e29a4ec -256 -256 -0 -0 -0 -38 -0x5d3 -0xac7ee090 -256 -256 -1 -0 -0 -64 -0x805e -0x3a2ed455 -256 -256 -1 -0 -0 -28 -0x15c -0x853f942d -256 -256 -1 -0 -0 -40 -0xfa67 -0xcc9c213e -256 -256 -1 -1 -0 -24 -0x79e6 -0xfff9e6c -256 -256 -0 -0 -0 -45 -0xd866 -0x1c4414a2 -256 -256 -0 -0 -0 -37 -0xe1c3 -0x80260345 -256 -256 -1 -1 -0 -31 -0xc0f1 -0xd43376df -256 -256 -1 -1 -0 -23 -0x1d26 -0x2774249c -256 -256 -0 -0 -0 -53 -0xf98b -0xfd82b188 -256 -256 -0 -0 -0 -42 -0xdaba -0xbdeebed2 -256 -256 -1 -1 -0 -12 -0x20e0 -0xc8da36bc -256 -256 -1 -1 -0 -38 -0x8afe -0xaef26cbb -256 -256 -1 -0 -0 -24 -0x325a -0xc519844d -256 -256 -1 -1 -0 -46 -0xf67a -0x4cf33e5c -256 -256 -0 -0 -0 -14 -0x9dc0 -0x19118fa8 -256 -256 -1 -1 -0 -33 -0xe377 -0x9e3c4d82 -256 -256 -0 -0 -0 -67 -0xc8cd -0x60f66c6e -256 -256 -1 -0 -0 -32 -0x6fb3 -0x6a55f679 -256 -256 -1 -0 -0 -27 -0xa574 -0xa40249a7 -256 -256 -0 -0 -0 -88 -0xcac9 -0x36336e01 -256 -256 -1 -1 -0 -88 -0x59f7 -0xcccd15a7 -256 -256 -0 -0 -0 -84 -0xdf4e -0xe41dda36 -256 -256 -0 -0 -0 -61 -0x5fbc -0x3bae73d7 -256 -256 -1 -1 -0 -22 -0x9a94 -0x7b2d526a -256 -256 -0 -0 -0 -77 -0x2840 -0x6e35844b -256 -256 -1 -0 -0 -44 -0xe32c -0x298fa907 -256 -256 -0 -0 -0 -25 -0x895f -0x76beee44 -256 -256 -1 -0 -0 -53 -0x1f8b -0x63367231 -256 -256 -1 -1 -0 -92 -0xc8be -0x67db260e -256 -256 -0 -0 -0 -46 -0xf0d9 -0x19edef06 -256 -256 -0 -0 -0 -30 -0x489c -0xd3683c06 -256 -256 -1 -0 -0 -97 -0x68d1 -0x2a08dcc5 -256 -256 -0 -0 -0 -79 -0x6b3f -0x7c1fce64 -256 -256 -1 -0 -0 -96 -0xdf24 -0x8c3c8165 -256 -256 -0 -0 -0 -14 -0x642b -0x33ecc505 -256 -256 -0 -0 -0 -64 -0x2bd2 -0xb7e70385 -256 -256 -0 -0 -0 -30 -0x5be6 -0x9f706f93 -256 -256 -1 -1 -0 -15 -0x78ee -0x8c03a56a -256 -256 -0 -0 -0 -30 -0xf117 -0xc2f44af7 -256 -256 -1 -1 -0 -90 -0xf073 -0xaa676d73 -256 -256 -0 -0 -0 -59 -0xf8c2 -0x821893f6 -256 -256 -0 -0 -0 -28 -0xc222 -0x148ddb3e -256 -256 -1 -0 -0 -92 -0x37b0 -0x6f6ef3e8 -256 -256 -0 -0 -0 -35 -0x3c68 -0xc27f068e -256 -256 -1 -1 -0 -87 -0x182 -0x9c8673e2 -256 -256 -1 -1 -0 -31 -0x2913 -0x2ad647af -256 -256 -0 -0 -0 -99 -0x939a -0x307e038d -256 -256 -1 -0 -0 -84 -0xcbaf -0x6850da9c -256 -256 -0 -0 -0 -91 -0xf059 -0x4c760a2 -256 -256 -1 -0 -0 -69 -0x2818 -0x7781c1d9 -256 -256 -1 -1 -0 -21 -0x5545 -0x74c195d -256 -256 -1 -1 -0 -59 -0xeb3b -0x9f73aa64 -256 -256 -0 -0 -0 -40 -0x1681 -0x4684e741 -256 -256 -1 -0 -0 -96 -0x4fd6 -0x1c754d9d -256 -256 -1 -0 -0 -22 -0x48c -0x81d1345 -256 -256 -0 -0 -0 -80 -0x6762 -0x482f4f1d -256 -256 -0 -0 -0 -62 -0xfc02 -0xb222720 -256 -256 -1 -1 -0 -45 -0x81c1 -0xafa2777b -256 -256 -0 -0 -0 -73 -0xa649 -0xa0aecbe9 -256 -256 -0 -0 -0 -82 -0x15a8 -0x529d3aee -256 -256 -1 -1 -0 -18 -0xf9dd -0x5295c771 -256 -256 -0 -0 -0 -95 -0xa788 -0x73bba8d5 -256 -256 -1 -1 -0 -65 -0x5bbb -0x2fda5e2d -256 -256 -1 -1 -0 -60 -0xf2a0 -0xf006c00f -256 -256 -1 -1 -0 -62 -0x7104 -0xa1be1fc -256 -256 -1 -0 -0 -26 -0xa1cf -0xfbc6bc81 -256 -256 -1 -1 -0 -13 -0xd648 -0x9b7755d2 -256 -256 -1 -1 -0 -52 -0x8d72 -0xb0451190 -256 -256 -0 -0 -0 -96 -0x358f -0x6b0254f1 -256 -256 -0 -0 -0 -55 -0x3be8 -0x86ef73ff -256 -256 -0 -0 -0 -27 -0x2db7 -0x475e9ded -256 -256 -1 -0 -0 -25 -0x1f51 -0xc5409e51 -256 -256 -0 -0 -0 -97 -0x31ae -0xabf4c74 -256 -256 -0 -0 -0 -72 -0x2e4c -0x1fc6f4c9 -256 -256 -1 -0 -0 -27 -0x3b0a -0xdc6c3a9 -256 -256 -0 -0 -0 -77 -0x44ff -0x8a2ddbb0 -256 -256 -0 -0 -0 -19 -0x258b -0x4cefc7d -256 -256 -1 -1 -0 -41 -0x61f3 -0x66c1376c -256 -256 -1 -1 -0 -53 -0x9ae9 -0xb1732dbc -256 -256 -1 -0 -0 -41 -0xf179 -0x9295718f -256 -256 -0 -0 -0 -38 -0xbdc6 -0x67a83d1e -256 -256 -0 -0 -0 -40 -0x39f1 -0x6d4fca85 -256 -256 -1 -0 -0 -59 -0x711c -0xe05da168 -256 -256 -1 -1 -0 -44 -0x3b5f -0xfb9d3df2 -256 -256 -0 -0 -0 -31 -0xd818 -0x94ed0b66 -256 -256 -0 -0 -0 -67 -0x1744 -0x843b224e -256 -256 -1 -0 -0 -76 -0x9dfa -0xeeeb36bd -256 -256 -1 -1 -0 -18 -0x690e -0x69a36a56 -256 -256 -1 -0 -0 -54 -0x12e9 -0xb86a8176 -256 -256 -0 -0 -0 -36 -0x8a2f -0x679d189b -256 -256 -0 -0 -0 -37 -0x7bcb -0xa12358e9 -256 -256 -1 -0 -0 -92 -0x3cd2 -0x13e36d3b -256 -256 -0 -0 -0 -33 -0x39c2 -0x9f734e06 -256 -256 -0 -0 -0 -72 -0x8ab7 -0x1a909c43 -256 -256 -1 -0 -0 -58 -0xabdb -0x9a33c739 -256 -256 -1 -0 -0 -39 -0xb59c -0x3e7f3f2 -256 -256 -1 -1 -0 -30 -0x8077 -0x890bfe02 -256 -256 -0 -0 -0 -92 -0x85d2 -0x14ba541e -256 -256 -0 -0 -0 -44 -0xe5c -0x97f2bc56 -256 -256 -0 -0 -0 -13 -0xe999 -0x2cd9172f -256 -256 -0 -0 -0 -64 -0xaf9f -0xa71e599c -256 -256 -0 -0 -0 -14 -0x5090 -0xfeb60345 -256 -256 -1 -0 -0 -32 -0xadfb -0x6bc5906c -256 -256 -0 -0 -0 -41 -0xa353 -0x5e5857cf -256 -256 -0 -0 -0 -95 -0x7396 -0x31c203fd -256 -256 -1 -0 -0 -95 -0xf410 -0xd30c87f -256 -256 -0 -0 -0 -83 -0xcf48 -0xa490d60b -256 -256 -1 -0 -0 -77 -0xb5b8 -0xa21be700 -256 -256 -0 -0 -0 -89 -0xcf -0x9dea11d -256 -256 -1 -1 -0 -100 -0x1c98 -0x5460f0f8 -256 -256 -0 -0 -0 -88 -0x88d0 -0x2b308de5 -256 -256 -0 -0 -0 -33 -0xb727 -0xdad11a5d -256 -256 -0 -0 -0 -79 -0xbcf3 -0x645a661 -256 -256 -1 -0 -0 -93 -0x5e25 -0xbca42923 -256 -256 -0 -0 -0 -91 -0x7d03 -0xbebc39f6 -256 -256 -0 -0 -0 -86 -0x7612 -0xde02bd28 -256 -256 -1 -0 -0 -62 -0x7a64 -0x2ef4fd0a -256 -256 -1 -1 -0 -58 -0x185a -0x4ac9d02c -256 -256 -0 -0 -0 -72 -0x582 -0xd4a64391 -256 -256 -1 -1 -0 -49 -0x356d -0x117fe399 -256 -256 -0 -0 -0 -66 -0x7b30 -0x119ed7 -256 -256 -0 -0 -0 -43 -0xf99f -0x56c99a51 -256 -256 -1 -1 -0 -81 -0x4400 -0xf2b62fdb -256 -256 -1 -1 -0 -60 -0xe684 -0xbb7e84d9 -256 -256 -0 -0 -0 -72 -0x2a20 -0x16182368 -256 -256 -1 -1 -0 -41 -0x3ef0 -0xb9d65bb5 -256 -256 -0 -0 -0 -69 -0xb117 -0x2c0918fd -256 -256 -0 -0 -0 -15 -0x85f1 -0x2b55fa32 -256 -256 -1 -1 -0 -48 -0xf3a5 -0xaa275d3c -256 -256 -0 -0 -0 -99 -0xd3c7 -0x42945213 -256 -256 -0 -0 -0 -54 -0xea6b -0xc42a56d -256 -256 -0 -0 -0 -91 -0xf48 -0x33311483 -256 -256 -0 -0 -0 -12 -0x2d10 -0x571f1168 -256 -256 -0 -0 -0 -45 -0x1efb -0x63805248 -256 -256 -0 -0 -0 -80 -0xcd57 -0x168120c4 -256 -256 -0 -0 -0 -59 -0xbc54 -0x9d751e26 -256 -256 -1 -0 -0 -30 -0x7487 -0xcc6529ff -256 -256 -0 -0 -0 -26 -0x550c -0x95efd3bd -256 -256 -1 -1 -0 -18 -0xcc65 -0xb73d2b3a -256 -256 -1 -1 -0 -29 -0x1f0d -0xd1dd8921 -256 -256 -0 -0 -0 -51 -0xa5c0 -0x3cc3f9a0 -256 -256 -0 -0 -0 -62 -0x86cc -0xaa3ad9f3 -256 -256 -1 -1 -0 -27 -0x7266 -0xd44727fe -256 -256 -1 -0 -0 -77 -0x40c -0x5e159ffc -256 -256 -0 -0 -0 -37 -0x7e64 -0xa2275dc3 -256 -256 -1 -1 -0 -19 -0x2049 -0xb25ba35c -256 -256 -0 -0 -0 -97 -0xd12f -0xa2da9b99 -256 -256 -1 -1 -0 -51 -0x6d57 -0x18b89c1 -256 -256 -0 -0 -0 -94 -0xc66 -0x6eec9069 -256 -256 -1 -1 -0 -49 -0x7fe6 -0x5e7394b3 -256 -256 -0 -0 -0 -95 -0x527a -0xaa3fd11d -256 -256 -0 -0 -0 -36 -0x6aa2 -0x5d93e3dc -256 -256 -1 -0 -0 -80 -0x9d24 -0x35705256 -256 -256 -0 -0 -0 -51 -0xccf0 -0x10d3275e -256 -256 -0 -0 -0 -46 -0xda7 -0x1cc11387 -256 -256 -0 -0 -0 -54 -0x7cfd -0x4fe46174 -256 -256 -1 -0 -0 -69 -0xdd84 -0x4a847e59 -256 -256 -1 -1 -0 -34 -0xe9c5 -0xa7938e40 -256 -256 -0 -0 -0 -36 -0x90fb -0xd51cebb8 -256 -256 -1 -0 -0 -43 -0x9c39 -0x79f5ea06 -256 -256 -1 -0 -0 -28 -0x4609 -0x78d1f22e -256 -256 -1 -0 -0 -75 -0xc20a -0xea614a9a -256 -256 -1 -0 -0 -89 -0x1730 -0x5231d49b -256 -256 -1 -0 -0 -51 -0x664e -0xeb7aafdd -256 -256 -0 -0 -0 -23 -0x72bc -0x76cdf895 -256 -256 -0 -0 -0 -75 -0xc191 -0x67caa8b4 -256 -256 -0 -0 -0 -99 -0x86 -0x293329ff -256 -256 -0 -0 -0 -38 -0x42fa -0xbd0b7bbe -256 -256 -1 -1 -0 -97 -0x4542 -0x28398d -256 -256 -0 -0 -0 -98 -0xc4e9 -0x373fe6ba -256 -256 -1 -0 -0 -73 -0x8c0b -0x7596b101 -256 -256 -0 -0 -0 -42 -0xd875 -0x8fe19bac -256 -256 -0 -0 -0 -88 -0x3779 -0x155c3550 -256 -256 -0 -0 -0 -65 -0xd47d -0x9b9bf8a3 -256 -256 -0 -0 -0 -33 -0xa037 -0xdb6c13ca -256 -256 -0 -0 -0 -66 -0x9aeb -0xb5ea9794 -256 -256 -0 -0 -0 -49 -0x250e -0xc278650f -256 -256 -0 -0 -0 -83 -0x29a8 -0xa296bdce -256 -256 -1 -0 -0 -25 -0x4d65 -0x5ca17445 -256 -256 -0 -0 -0 -70 -0x65dc -0x49fbb01 -256 -256 -0 -0 -0 -63 -0xbd30 -0xc070a67e -256 -256 -1 -1 -0 -25 -0x8fe4 -0xbec41578 -256 -256 -0 -0 -0 -22 -0x7460 -0x79eaa27e -256 -256 -1 -0 -0 -27 -0xeb0b -0x27f447d3 -256 -256 -0 -0 -0 -55 -0x36ee -0x67323a01 -256 -256 -1 -1 -0 -73 -0x3541 -0xc0acc5d4 -256 -256 -0 -0 -0 -90 -0x307c -0xbf8b7d96 -256 -256 -0 -0 -0 -41 -0x12fa -0xac891896 -256 -256 -0 -0 -0 -78 -0x76bb -0x396966aa -256 -256 -0 -0 -0 -42 -0x3c2e -0xcd42ba5 -256 -256 -0 -0 -0 -20 -0x4a7f -0x8ea00df6 -256 -256 -0 -0 -0 -47 -0xfdd3 -0xe6426d9 -256 -256 -1 -1 -0 -86 -0x64b6 -0xc8717fc3 -256 -256 -0 -0 -0 -17 -0xc346 -0xd04c0e5e -256 -256 -1 -1 -0 -12 -0x1df3 -0xc2669b8 -256 -256 -0 -0 -0 -21 -0x89f -0x693668d5 -256 -256 -1 -0 -0 -15 -0xeafd -0xb340bbf3 -256 -256 -1 -0 -0 -11 -0xb8a7 -0xbf5fd8d4 -256 -256 -1 -0 -0 -25 -0x4cb7 -0x5949802b -256 -256 -0 -0 -0 -71 -0x464e -0x84e18b47 -256 -256 -1 -1 -0 -89 -0xe506 -0x8ce960ba -256 -256 -0 -0 -0 -38 -0x538a -0x950d6730 -256 -256 -1 -0 -0 -74 -0x4562 -0xc4c4111b -256 -256 -0 -0 -0 -26 -0x3e74 -0x9c3aab45 -256 -256 -1 -0 -0 -20 -0x6864 -0x35f80903 -256 -256 -1 -1 -0 -58 -0x836e -0xfcabbe1e -256 -256 -1 -0 -0 -89 -0x97e8 -0x1a600002 -256 -256 -0 -0 -0 -100 -0x5809 -0xbf2646e7 -256 -256 -1 -1 -0 -24 -0xe35d -0x65968471 -256 -256 -1 -1 -0 -39 -0xabcf -0x81600b37 -256 -256 -0 -0 -0 -78 -0xd32d -0x9a143556 -256 -256 -0 -0 -0 -27 -0xada1 -0x3abad93e -256 -256 -1 -1 -0 -84 -0xdf33 -0x380a8f4d -256 -256 -0 -0 -0 -45 -0x72e5 -0x1fffc08e -256 -256 -1 -0 -0 -37 -0x32cf -0x889ed878 -256 -256 -0 -0 -0 -34 -0x3627 -0xf4d07a8c -256 -256 -0 -0 -0 -23 -0x796c -0xddaf7e9 -256 -256 -0 -0 -0 -51 -0x8c77 -0x13d34953 -256 -256 -0 -0 -0 -91 -0xca14 -0xcb3e91a1 -256 -256 -1 -0 -0 -81 -0x6279 -0xf13646e0 -256 -256 -0 -0 -0 -70 -0xfbd0 -0x9051bc84 -256 -256 -0 -0 -0 -42 -0xb2de -0xd4b5ac98 -256 -256 -0 -0 -0 -85 -0xba33 -0xf1e6e10d -256 -256 -1 -1 -0 -55 -0x742d -0x23b78d61 -256 -256 -1 -0 -0 -12 -0x995f -0xe966dc77 -256 -256 -0 -0 -0 -38 -0xab15 -0x97f91a4d -256 -256 -0 -0 -0 -10 -0xfe31 -0xc58da205 -256 -256 -1 -0 -0 -46 -0x10a9 -0xc39c6ab -256 -256 -1 -0 -0 -79 -0xcd72 -0xbeb78eba -256 -256 -0 -0 -0 -47 -0x1e5a -0x4c678b06 -256 -256 -0 -0 -0 -98 -0x7996 -0x4a3912b6 -256 -256 -0 -0 -0 -43 -0xf065 -0x348241fc -256 -256 -1 -1 -0 -81 -0x6b37 -0x2f7773d1 -256 -256 -1 -1 -0 -46 -0x5041 -0x737f757e -256 -256 -0 -0 -0 -95 -0x67f4 -0xd2972b1c -256 -256 -0 -0 -0 -37 -0x17d4 -0x4e7a7a4a -256 -256 -0 -0 -0 -71 -0x5acc -0x135fc634 -256 -256 -1 -0 -0 -28 -0x925f -0x54e3e723 -256 -256 -0 -0 -0 -81 -0x44a3 -0x99fc137e -256 -256 -1 -1 -0 -59 -0x1978 -0x9ffb68ea -256 -256 -0 -0 -0 -32 -0x9a88 -0x800f29d0 -256 -256 -1 -0 -0 -71 -0xf439 -0xcd1c0edb -256 -256 -1 -0 -0 -48 -0x3a88 -0x63bc2e5d -256 -256 -0 -0 -0 -63 -0x24b0 -0xb3749a79 -256 -256 -0 -0 -0 -98 -0x704f -0x94c56bfc -256 -256 -0 -0 -0 -78 -0xd022 -0x31054d2f -256 -256 -1 -1 -0 -41 -0xc292 -0xd7dea19c -256 -256 -1 -1 -0 -78 -0x5057 -0xddb44e6f -256 -256 -0 -0 -0 -85 -0x200 -0x91d931bb -256 -256 -0 -0 -0 -60 -0x50c5 -0x22459cfb -256 -256 -0 -0 -0 -51 -0x4d02 -0x598e5098 -256 -256 -0 -0 -0 -74 -0xa705 -0xd997fd98 -256 -256 -1 -0 -0 -88 -0xc617 -0x74e4a73f -256 -256 -0 -0 -0 -87 -0xbd5a -0x8a2ba3b8 -256 -256 -0 -0 -0 -35 -0xdc5d -0x5791b785 -256 -256 -1 -0 -0 -44 -0x90aa -0xe58120f1 -256 -256 -0 -0 -0 -44 -0xc92 -0x99425cba -256 -256 -1 -1 -0 -54 -0xa2ea -0x46d6752b -256 -256 -0 -0 -0 -62 -0xed09 -0xe27241b4 -256 -256 -0 -0 -0 -60 -0x7653 -0xb8c612fa -256 -256 -1 -0 -0 -36 -0x70b1 -0x319a6da3 -256 -256 -1 -0 -0 -69 -0xbf82 -0xcf19fe8c -256 -256 -1 -1 -0 -47 -0x388a -0x81983a31 -256 -256 -1 -0 -0 -84 -0x874c -0xc05bca05 -256 -256 -1 -1 -0 -29 -0x9be0 -0xbd04f100 -256 -256 -1 -0 -0 -35 -0x83e9 -0xfa4bd55d -256 -256 -0 -0 -0 -15 -0xef15 -0xcd6faf0d -256 -256 -0 -0 -0 -15 -0xd5b3 -0xdd38ed4e -256 -256 -1 -0 -0 -55 -0xee6f -0x2e5024dd -256 -256 -1 -1 -0 -42 -0x2c3a -0x8b82c9df -256 -256 -0 -0 -0 -39 -0xb5e9 -0x18eb8f41 -256 -256 -0 -0 -0 -10 -0x67f5 -0xbf916b9c -256 -256 -1 -0 -0 -46 -0x7dbf -0xdca90e9d -256 -256 -0 -0 -0 -11 -0xa2dc -0xcc86f881 -256 -256 -0 -0 -0 -74 -0x541a -0x929e9e53 -256 -256 -1 -1 -0 -75 -0xcfdc -0xbba4e858 -256 -256 -1 -0 -0 -81 -0x94 -0x28d61b9 -256 -256 -1 -1 -0 -98 -0x6192 -0xe66ed436 -256 -256 -1 -1 -0 -52 -0x56e6 -0x2125b0fe -256 -256 -1 -1 -0 -35 -0xb4be -0xf0e65634 -256 -256 -1 -0 -0 -24 -0x5600 -0x1769de -256 -256 -0 -0 -0 -69 -0xe99f -0x6e72e4f2 -256 -256 -1 -1 -0 -61 -0x5343 -0x9e1e68fa -256 -256 -0 -0 -0 -26 -0x9f0b -0xf16800e2 -256 -256 -0 -0 -0 -61 -0x3cf8 -0x7e201fe7 -256 -256 -1 -1 -0 -47 -0x450a -0x1c6954aa -256 -256 -1 -1 -0 -84 -0x216d -0x3c7f3299 -256 -256 -0 -0 -0 -60 -0x647a -0xe34d84bd -256 -256 -1 -0 -0 -15 -0x491a -0xe0f3b9e1 -256 -256 -0 -0 -0 -26 -0x6ef7 -0xfc650d61 -256 -256 -0 -0 -0 -42 -0xa3d2 -0xad431081 -256 -256 -1 -0 -0 -69 -0x7f0c -0xcfa64b93 -256 -256 -0 -0 -0 -68 -0xa1c6 -0xaa49a129 -256 -256 -0 -0 -0 -64 -0xb9df -0x8241414b -256 -256 -0 -0 -0 -48 -0x97c9 -0x7b17896d -256 -256 -0 -0 -0 -36 -0xe984 -0x36d570be -256 -256 -0 -0 -0 -53 -0xa081 -0xe30acde0 -256 -256 -1 -1 -0 -32 -0x70cd -0xb3d5d332 -256 -256 -1 -1 -0 -96 -0xf9ed -0xa7f782c2 -256 -256 -0 -0 -0 -54 -0x34d8 -0xc273c787 -256 -256 -0 -0 -0 -16 -0x55ac -0x149be20c -256 -256 -0 -0 -0 -94 -0xa68d -0xf15c360b -256 -256 -0 -0 -0 -78 -0xe71a -0xffa15ed1 -256 -256 -0 -0 -0 -54 -0x51f1 -0x9b8b6f22 -256 -256 -1 -0 -0 -30 -0xed5f -0x4a57ebbd -256 -256 -0 -0 -0 -22 -0x9ad1 -0xeff93286 -256 -256 -1 -1 -0 -77 -0xe1d -0xad9b9377 -256 -256 -1 -1 -0 -15 -0xf10a -0x129fecb6 -256 -256 -1 -1 -0 -86 -0xcb4e -0x702ae1d6 -256 -256 -1 -0 -0 -15 -0xf8a6 -0xe3885247 -256 -256 -1 -1 -0 -97 -0xc33d -0xa29a04c7 -256 -256 -1 -0 -0 -84 -0xb854 -0x84e7a867 -256 -256 -1 -1 -0 -29 -0x6baf -0xdc3dfbd9 -256 -256 -0 -0 -0 -18 -0xd070 -0x6b6b456a -256 -256 -0 -0 -0 -97 -0xb58e -0xa2450558 -256 -256 -1 -0 -0 -58 -0xe9d1 -0x550f514 -256 -256 -1 -1 -0 -20 -0x1d37 -0x53ddabe9 -256 -256 -0 -0 -0 -97 -0xdb18 -0x72aac80c -256 -256 -0 -0 -0 -50 -0xc25f -0x4adfeca0 -256 -256 -0 -0 -0 -48 -0x3e6 -0x85274e4e -256 -256 -0 -0 -0 -31 -0x18ac -0x1c4326b4 -256 -256 -1 -1 -0 -18 -0xb099 -0x92bdbe09 -256 -256 -0 -0 -0 -84 -0x2c93 -0x1e1cf903 -256 -256 -0 -0 -0 -86 -0xffae -0x1b990cf2 -256 -256 -1 -1 -0 -58 -0x295d -0xfa418b1a -256 -256 -1 -0 -0 -71 -0x9ac -0xf78d8dbc -256 -256 -0 -0 -0 -57 -0x7844 -0x553d4881 -256 -256 -0 -0 -0 -67 -0x6c72 -0x996a2718 -256 -256 -1 -0 -0 -63 -0xa9fb -0x7f54cee5 -256 -256 -0 -0 -0 -33 -0x792 -0x949ba1bd -256 -256 -1 -0 -0 -54 -0xb33c -0xad3d92ea -256 -256 -0 -0 -0 -87 -0xcd78 -0xfd02bc58 -256 -256 -1 -0 -0 -80 -0x3c37 -0xeebc46e1 -256 -256 -1 -0 -0 -76 -0x789f -0x90f9160b -256 -256 -0 -0 -0 -26 -0xd88c -0x4fa0b0b6 -256 -256 -0 -0 -0 -73 -0x5977 -0xd4c4c3bc -256 -256 -0 -0 -0 -67 -0xe3a6 -0x3cd2f03c -256 -256 -0 -0 -0 -54 -0xb199 -0xb0d8a714 -256 -256 -0 -0 -0 -71 -0x4303 -0x9055ab45 -256 -256 -0 -0 -0 -32 -0x572e -0xa1c08b38 -256 -256 -1 -1 -0 -42 -0x437c -0x9540d29c -256 -256 -0 -0 -0 -94 -0x23f3 -0x1e2b113 -256 -256 -0 -0 -0 -14 -0x98a0 -0xe4f329b3 -256 -256 -0 -0 -0 -37 -0x6639 -0x2c9c02f1 -256 -256 -0 -0 -0 -55 -0x64e6 -0xb495b267 -256 -256 -1 -0 -0 -62 -0xdc0e -0x94ee562a -256 -256 -0 -0 -0 -38 -0x12db -0xc5aac758 -256 -256 -1 -1 -0 -47 -0xcfcf -0x3c95837e -256 -256 -0 -0 -0 -66 -0xa9e2 -0x7d3312fc -256 -256 -1 -1 -0 -73 -0xa967 -0xc4003e20 -256 -256 -1 -0 -0 -99 -0xa791 -0xf39988a8 -256 -256 -0 -0 -0 -12 -0x54ad -0x3aec3b15 -256 -256 -0 -0 -0 -41 -0xb6a3 -0xd2d56e07 -256 -256 -1 -1 -0 -27 -0xe55a -0x15e70642 -256 -256 -1 -1 -0 -51 -0x3851 -0x4b090288 -256 -256 -1 -1 -0 -98 -0x1d5c -0x690d551d -256 -256 -0 -0 -0 -85 -0x763a -0x182d503e -256 -256 -1 -1 -0 -97 -0x47d2 -0x72f124ef -256 -256 -0 -0 -0 -70 -0x88b9 -0x75fb6559 -256 -256 -1 -1 -0 -19 -0xcad4 -0x1c10e159 -256 -256 -0 -0 -0 -58 -0x46b4 -0x36e55e -256 -256 -1 -1 -0 -25 -0x26af -0x64abab16 -256 -256 -0 -0 -0 -26 -0xd3a6 -0x501799cf -256 -256 -1 -1 -0 -54 -0xfd20 -0x6e003b61 -256 -256 -1 -0 -0 -73 -0x91a7 -0xbf60d422 -256 -256 -0 -0 -0 -61 -0xc67c -0x166e178c -256 -256 -1 -0 -0 -82 -0xe7e8 -0x785b0460 -256 -256 -0 -0 -0 -60 -0x7968 -0xe53d127 -256 -256 -0 -0 -0 -41 -0x20b2 -0xb33795a5 -256 -256 -1 -0 -0 -42 -0x787c -0x600c2183 -256 -256 -0 -0 -0 -67 -0x508b -0x3602830b -256 -256 -0 -0 -0 -98 -0x9a94 -0x1a5cdfd -256 -256 -1 -1 -0 -43 -0x6ac9 -0x61d8e435 -256 -256 -0 -0 -0 -97 -0xe33d -0xae0ef145 -256 -256 -1 -0 -0 -64 -0x64e1 -0xa58d8ff4 -256 -256 -1 -0 -0 -75 -0xf3dc -0x7df54eee -256 -256 -1 -0 -0 -81 -0x28fb -0x46d98ab5 -256 -256 -0 -0 -0 -15 -0xd0b4 -0x88621c64 -256 -256 -0 -0 -0 -18 -0x7d17 -0xc4dda981 -256 -256 -1 -0 -0 -54 -0x12a -0x532e4d01 -256 -256 -1 -1 -0 -90 -0x1ead -0xd5208295 -256 -256 -0 -0 -0 -55 -0x1314 -0x46fa4f6b -256 -256 -0 -0 -0 -22 -0x8579 -0x30a4d431 -256 -256 -1 -1 -0 -17 -0xc259 -0x2061bec0 -256 -256 -0 -0 -0 -53 -0x109d -0x176c37b6 -256 -256 -1 -1 -0 -77 -0x903b -0x9ca36621 -256 -256 -0 -0 -0 -22 -0x27a9 -0xf6629758 -256 -256 -0 -0 -0 -96 -0xc1ac -0x9c31e7a4 -256 -256 -0 -0 -0 -23 -0x4695 -0xa01454ec -256 -256 -1 -1 -0 -43 -0x4f8 -0xa23b80ad -256 -256 -0 -0 -0 -47 -0x75f -0xdfbcead3 -256 -256 -1 -0 -0 -63 -0xf073 -0xe1227df0 -256 -256 -0 -0 -0 -84 -0x4fbe -0x16aba380 -256 -256 -0 -0 -0 -74 -0x7446 -0x71a51b2b -256 -256 -0 -0 -0 -60 -0x99cc -0xb2fb00c5 -256 -256 -0 -0 -0 -23 -0x139a -0xb6a8fb3 -256 -256 -0 -0 -0 -41 -0x6714 -0xc1c2a45d -256 -256 -0 -0 -0 -100 -0x9311 -0xb9850029 -256 -256 -0 -0 -0 -43 -0x279f -0xffa95f37 -256 -256 -0 -0 -0 -13 -0x6307 -0xcc4d3d9 -256 -256 -0 -0 -0 -90 -0xd664 -0xc21e4fc -256 -256 -0 -0 -0 -92 -0x7671 -0x67a8aa1f -256 -256 -1 -0 -0 -40 -0x49e4 -0xd085f9b0 -256 -256 -0 -0 -0 -49 -0x394d -0xd008b37d -256 -256 -0 -0 -0 -93 -0xb78b -0x20e65ec4 -256 -256 -0 -0 -0 -16 -0xfd75 -0x49302e4d -256 -256 -0 -0 -0 -69 -0xd0ba -0x41dc8e4a -256 -256 -1 -0 -0 -64 -0xc57c -0x85544581 -256 -256 -1 -1 -0 -32 -0x2c93 -0x572f472c -256 -256 -1 -0 -0 -30 -0x2934 -0xdc5e4109 -256 -256 -1 -1 -0 -18 -0xc24e -0x5081ffa3 -256 -256 -1 -0 -0 -22 -0x2a77 -0x25d066b4 -256 -256 -1 -1 -0 -23 -0xc1d1 -0x87524e77 -256 -256 -0 -0 -0 -80 -0xf14c -0x992e8559 -256 -256 -1 -0 -0 -55 -0xd3b4 -0xe95db77e -256 -256 -0 -0 -0 -63 -0xb300 -0xd0cc577e -256 -256 -0 -0 -0 -50 -0xb8d3 -0xaea6c3ad -256 -256 -0 -0 -0 -60 -0x5310 -0xb549cd05 -256 -256 -0 -0 -0 -19 -0xfeef -0xad4d6b5f -256 -256 -1 -1 -0 -41 -0x151f -0xd5309c37 -256 -256 -1 -1 -0 -58 -0x4751 -0xdf51795 -256 -256 -1 -1 -0 -19 -0xeda4 -0x50ec4127 -256 -256 -1 -1 -0 -86 -0x7214 -0xb666af29 -256 -256 -0 -0 -0 -56 -0xd848 -0xb06299e4 -256 -256 -1 -1 -0 -49 -0xa07 -0x12ab0e8e -256 -256 -1 -1 -0 -99 -0xc708 -0x6543512e -256 -256 -0 -0 -0 -42 -0x562a -0x97159979 -256 -256 -1 -1 -0 -48 -0x5f1 -0x67090d14 -256 -256 -1 -1 -0 -82 -0xe26a -0x5222894a -256 -256 -0 -0 -0 -28 -0xfa57 -0xa0a47355 -256 -256 -1 -0 -0 -49 -0x8cc9 -0xd8f2f68b -256 -256 -0 -0 -0 -54 -0x450d -0x86ad72fd -256 -256 -1 -1 -0 -89 -0xfe54 -0xed9a0024 -256 -256 -0 -0 -0 -97 -0x8387 -0xc9d515b8 -256 -256 -1 -1 -0 -68 -0x1b7d -0x9823003f -256 -256 -0 -0 -0 -56 -0x1031 -0x72b6bb38 -256 -256 -1 -1 -0 -96 -0xbdad -0x131cfc5c -256 -256 -0 -0 -0 -44 -0x14c0 -0x1f71b45f -256 -256 -1 -0 -0 -69 -0x5ec0 -0x8f22e9cf -256 -256 -1 -1 -0 -23 -0xc184 -0x88658533 -256 -256 -1 -0 -0 -77 -0x9269 -0x80b40c72 -256 -256 -0 -0 -0 -22 -0x2da9 -0x72c7ad68 -256 -256 -1 -0 -0 -23 -0xf9c7 -0x37871264 -256 -256 -0 -0 -0 -77 -0x5ebb -0x533e1a6 -256 -256 -0 -0 -0 -59 -0x14fc -0xfb3751b3 -256 -256 -0 -0 -0 -50 -0x9035 -0xf2d2d7a2 -256 -256 -0 -0 -0 -92 -0x2b56 -0xe1a0feac -256 -256 -1 -1 -0 -98 -0x43e9 -0xda661332 -256 -256 -0 -0 -0 -32 -0xa165 -0x63c786dd -256 -256 -0 -0 -0 -55 -0xcd0a -0xb3e4384e -256 -256 -0 -0 -0 -58 -0x9003 -0x42e5b4f3 -256 -256 -1 -0 -0 -49 -0xf0f9 -0x4f1ba434 -256 -256 -0 -0 -0 -91 -0xbfec -0x48467956 -256 -256 -1 -0 -0 -95 -0xd0f -0x244b0044 -256 -256 -1 -1 -0 -55 -0x71a4 -0x50349f10 -256 -256 -1 -0 -0 -46 -0x7193 -0x4a4f5b78 -256 -256 -0 -0 -0 -44 -0x99fd -0x535b0a49 -256 -256 -0 -0 -0 -96 -0x5a22 -0x22a31d5d -256 -256 -0 -0 -0 -19 -0xe78f -0x97d77041 -256 -256 -1 -1 -0 -41 -0xfe93 -0x4b0bc42b -256 -256 -1 -1 -0 -19 -0xf63a -0xc980122d -256 -256 -0 -0 -0 -37 -0x6353 -0x5e2951ad -256 -256 -0 -0 -0 -37 -0xcfa6 -0x9dd78697 -256 -256 -0 -0 -0 -75 -0xa144 -0x7ee26529 -256 -256 -0 -0 -0 -30 -0xd26b -0xba76ab28 -256 -256 -1 -1 -0 -31 -0x79fa -0x98f4618f -256 -256 -1 -0 -0 -17 -0x1195 -0x14d03915 -256 -256 -0 -0 -0 -51 -0x16f6 -0x706405aa -256 -256 -1 -1 -0 -40 -0x7da2 -0x592ad59f -256 -256 -1 -0 -0 -11 -0x6180 -0x4f37b70e -256 -256 -1 -1 -0 -11 -0x256 -0xfa652eae -256 -256 -1 -1 -0 -58 -0x25de -0x203e5589 -256 -256 -0 -0 -0 -96 -0x4945 -0x5f92439 -256 -256 -1 -1 -0 -13 -0x1600 -0xec95578b -256 -256 -1 -1 -0 -39 -0x691b -0xcfc0096f -256 -256 -1 -1 -0 -89 -0x2a3d -0xb28704ad -256 -256 -1 -1 -0 -14 -0xb893 -0x198e2cbf -256 -256 -1 -1 -0 -46 -0xb835 -0xaa0b2345 -256 -256 -1 -1 -0 -55 -0xeb91 -0x856bc916 -256 -256 -1 -0 -0 -89 -0xa73c -0xda9adca -256 -256 -1 -0 -0 -66 -0xc41 -0x494fa5a1 -256 -256 -0 -0 -0 -99 -0x3f86 -0x9bf1fa88 -256 -256 -0 -0 -0 -52 -0xd3d4 -0x9a0a56ab -256 -256 -1 -0 -0 -17 -0x902b -0x256e9c4 -256 -256 -1 -1 -0 -33 -0x88b9 -0x322f3321 -256 -256 -1 -0 -0 -20 -0xb42c -0x5266dab3 -256 -256 -1 -0 -0 -97 -0x9044 -0x206439ad -256 -256 -0 -0 -0 -50 -0x761f -0x8d4b00c -256 -256 -1 -0 -0 -69 -0xe921 -0x2921f344 -256 -256 -1 -1 -0 -95 -0xc20f -0xd06c00dc -256 -256 -0 -0 -0 -34 -0x9015 -0xcfe9178 -256 -256 -1 -0 -0 -66 -0x12d2 -0x9bf9d95e -256 -256 -1 -1 -0 -56 -0x7b76 -0x70fc760e -256 -256 -1 -1 -0 -91 -0xb7c3 -0xa4ac477d -256 -256 -1 -1 -0 -36 -0x2d1c -0xc42a1857 -256 -256 -0 -0 -0 -79 -0xb23c -0x6891dc37 -256 -256 -1 -0 -0 -43 -0xdb -0x9636f886 -256 -256 -0 -0 -0 -50 -0x6279 -0x95c98ee -256 -256 -0 -0 -0 -18 -0x7eef -0x167500f5 -256 -256 -0 -0 -0 -40 -0x7271 -0x620384ca -256 -256 -0 -0 -0 -96 -0x1022 -0x5049df5a -256 -256 -1 -1 -0 -58 -0x6eda -0xc5787442 -256 -256 -1 -0 -0 -89 -0xedc5 -0x6d1b85ff -256 -256 -0 -0 -0 -37 -0x6d26 -0xd83204e1 -256 -256 -1 -0 -0 -93 -0x227b -0x38d684da -256 -256 -0 -0 -0 -31 -0x3a39 -0xfe18defe -256 -256 -1 -0 -0 -65 -0xa24f -0xa2387119 -256 -256 -0 -0 -0 -12 -0x991d -0x9ebea559 -256 -256 -0 -0 -0 -55 -0x61eb -0x1b91a021 -256 -256 -1 -1 -0 -84 -0x7e0b -0x7b82d2af -256 -256 -1 -0 -0 -91 -0x5a8c -0xf6ac0ebd -256 -256 -1 -1 -0 -36 -0xb00 -0x5c198214 -256 -256 -1 -0 -0 -17 -0x5a46 -0x8653f9f3 -256 -256 -1 -1 -0 -66 -0x2daf -0x6aa463ba -256 -256 -1 -0 -0 -65 -0x1c7e -0x1eeb41ae -256 -256 -0 -0 -0 -40 -0x87c2 -0x23757747 -256 -256 -1 -0 -0 -75 -0xb87c -0x5008f3d0 -256 -256 -0 -0 -0 -73 -0x5c29 -0x4500d1ad -256 -256 -1 -1 -0 -60 -0x907d -0x4e3c8538 -256 -256 -1 -0 -0 -52 -0x60c8 -0x7e264e24 -256 -256 -1 -0 -0 -46 -0x1a2d -0xd894361c -256 -256 -1 -1 -0 -87 -0x241 -0x5aa1b66e -256 -256 -0 -0 -0 -70 -0xfcc5 -0x494bfa90 -256 -256 -1 -0 -0 -57 -0x6a4d -0x35ff3d1 -256 -256 -0 -0 -0 -48 -0xa116 -0x96fd02d4 -256 -256 -0 -0 -0 -66 -0x82cc -0x1bf9b28e -256 -256 -0 -0 -0 -32 -0x3234 -0x8a735dbf -256 -256 -0 -0 -0 -58 -0x61f6 -0x9a3bd100 -256 -256 -0 -0 -0 -85 -0x4d8d -0x6b1bb02b -256 -256 -0 -0 -0 -75 -0xbaa2 -0xc47c7bcc -256 -256 -0 -0 -0 -27 -0x8125 -0xe9a7301 -256 -256 -0 -0 -0 -17 -0xdc3c -0x382ab4f6 -256 -256 -1 -0 -0 -84 -0xe3c7 -0x4b8ad26 -256 -256 -1 -1 -0 -81 -0x841c -0x3c73a21d -256 -256 -0 -0 -0 -38 -0x159d -0x828e592d -256 -256 -1 -0 -0 -64 -0x8463 -0xa63fa3fb -256 -256 -0 -0 -0 -97 -0xda1f -0x65654b63 -256 -256 -0 -0 -0 -79 -0xebee -0x8ffa09d9 -256 -256 -0 -0 -0 -47 -0x9c86 -0xbfa1745b -256 -256 -1 -0 -0 -71 -0x7f5a -0x701410c5 -256 -256 -0 -0 -0 -57 -0x93ed -0x39ff3004 -256 -256 -1 -1 -0 -71 -0xf424 -0x5dc892e5 -256 -256 -1 -1 -0 -25 -0x5183 -0x6a08cbaf -256 -256 -0 -0 -0 -41 -0xb9ed -0xe56b8d22 -256 -256 -0 -0 -0 -60 -0x5a49 -0x5af8e190 -256 -256 -1 -1 -0 -55 -0xede6 -0xb5ffaf41 -256 -256 -0 -0 -0 -45 -0x9e06 -0x8d4fed82 -256 -256 -1 -0 -0 -100 -0xd178 -0x1b4e2d8e -256 -256 -1 -1 -0 -16 -0x2cbd -0x8a0af83a -256 -256 -0 -0 -0 -89 -0xbce5 -0x843e93a6 -256 -256 -1 -1 -0 -97 -0xf7b7 -0xf3861d94 -256 -256 -1 -1 -0 -79 -0xeaff -0x11530724 -256 -256 -0 -0 -0 -12 -0xb15a -0xb6c9e6aa -256 -256 -1 -1 -0 -81 -0xb57c -0xbe83ee0a -256 -256 -1 -0 -0 -14 -0x4c65 -0x8ac38fb5 -256 -256 -1 -1 -0 -42 -0xa955 -0xd2166728 -256 -256 -1 -0 -0 -26 -0xd452 -0x9f7d21a1 -256 -256 -1 -0 -0 -49 -0xeb92 -0x8f50191c -256 -256 -1 -0 -0 -32 -0xd023 -0x35403236 -256 -256 -0 -0 -0 -91 -0x18ad -0x9c0da5b2 -256 -256 -1 -0 -0 -93 -0x26e4 -0xfa23275b -256 -256 -1 -0 -0 -13 -0x1872 -0xbc4b5f6b -256 -256 -1 -1 -0 -77 -0x7a68 -0xab4ecd2e -256 -256 -0 -0 -0 -96 -0x553b -0x776a8d5a -256 -256 -1 -1 -0 -87 -0x910c -0x5c204cd8 -256 -256 -1 -1 -0 -12 -0x8002 -0x22432588 -256 -256 -1 -1 -0 -82 -0x1df4 -0x27f2ec37 -256 -256 -1 -0 -0 -87 -0xed70 -0x9eacf19b -256 -256 -1 -0 -0 -33 -0xe271 -0xd0091571 -256 -256 -0 -0 -0 -60 -0x7dbf -0xe1f81256 -256 -256 -1 -0 -0 -51 -0x79c6 -0x3f8e91f4 -256 -256 -1 -0 -0 -11 -0x27d1 -0x96aeb216 -256 -256 -1 -1 -0 -47 -0xe3c3 -0xfdb0012d -256 -256 -1 -0 -0 -13 -0xaecc -0x6cf19ee -256 -256 -0 -0 -0 -19 -0x828c -0x82be12d4 -256 -256 -0 -0 -0 -70 -0x1a12 -0xf4b3a74b -256 -256 -0 -0 -0 -31 -0x7119 -0x9467496c -256 -256 -1 -1 -0 -88 -0x6b1a -0xb20895c3 -256 -256 -0 -0 -0 -61 -0xe40e -0x58558117 -256 -256 -0 -0 -0 -54 -0xbaf0 -0x2cc253d6 -256 -256 -1 -1 -0 -55 -0x5534 -0xe06ae81d -256 -256 -0 -0 -0 -83 -0xe75 -0xafc6e1ef -256 -256 -1 -1 -0 -58 -0x9f9 -0x60f0d365 -256 -256 -1 -1 -0 -73 -0xde76 -0xe496ed28 -256 -256 -1 -0 -0 -24 -0x6fb9 -0xb128ed7c -256 -256 -1 -0 -0 -26 -0x29ef -0x9c7e093e -256 -256 -0 -0 -0 -52 -0x313b -0x88837b24 -256 -256 -1 -0 -0 -63 -0x918b -0xffc58128 -256 -256 -1 -0 -0 -36 -0x3294 -0x8793c40 -256 -256 -0 -0 -0 -21 -0x8826 -0x995455ca -256 -256 -1 -1 -0 -96 -0x5a52 -0x2d3d0a42 -256 -256 -0 -0 -0 -92 -0xfd4d -0xcf1f2cf4 -256 -256 -0 -0 -0 -81 -0x8b -0x8b2bd5a6 -256 -256 -1 -0 -0 -17 -0xc1a8 -0x4a2de299 -256 -256 -0 -0 -0 -87 -0x64a4 -0xa79f394c -256 -256 -1 -0 -0 -60 -0x49ba -0x43fffae2 -256 -256 -1 -1 -0 -85 -0xb58d -0x74213e39 -256 -256 -1 -1 -0 -97 -0xee6d -0xaf5dce3d -256 -256 -1 -0 -0 -45 -0x2a64 -0x52322fa8 -256 -256 -1 -0 -0 -69 -0x341b -0xff697511 -256 -256 -1 -0 -0 -85 -0x2a7b -0xceb0ba8f -256 -256 -1 -1 -0 -40 -0x39ef -0xbccc535f -256 -256 -0 -0 -0 -80 -0xb3b -0xf7d8a98c -256 -256 -1 -1 -0 -90 -0xe885 -0x7bc75164 -256 -256 -0 -0 -0 -61 -0x3c98 -0x34285341 -256 -256 -0 -0 -0 -10 -0xba8d -0x19de0e0b -256 -256 -1 -0 -0 -69 -0xa8a4 -0xf000bc49 -256 -256 -0 -0 -0 -66 -0x44ea -0x24abd294 -256 -256 -1 -1 -0 -81 -0xdf74 -0xb1213a87 -256 -256 -1 -0 -0 -23 -0xc792 -0xd93b0505 -256 -256 -0 -0 -0 -48 -0x6f60 -0x16f4343d -256 -256 -1 -0 -0 -61 -0xa66c -0x325c8b59 -256 -256 -0 -0 -0 -66 -0x4a2b -0x716e92c0 -256 -256 -0 -0 -0 -76 -0x3003 -0x4232de6 -256 -256 -0 -0 -0 -19 -0x8bc9 -0x4b02029b -256 -256 -1 -0 -0 -49 -0x6da1 -0xe188842b -256 -256 -1 -0 -0 -43 -0xd5bd -0x97e6b63d -256 -256 -1 -1 -0 -60 -0x6538 -0x9faeacef -256 -256 -1 -1 -0 -76 -0x3cd4 -0xda2b5de3 -256 -256 -1 -1 -0 -55 -0x9b79 -0xc3f0083d -256 -256 -0 -0 -0 -96 -0xafe1 -0xf7db6b75 -256 -256 -0 -0 -0 -36 -0xf511 -0xc67763df -256 -256 -1 -1 -0 -75 -0x3baa -0x3fcf7366 -256 -256 -0 -0 -0 -85 -0x1624 -0xd34c8dfc -256 -256 -1 -1 -0 -63 -0xcf5a -0x2940e213 -256 -256 -0 -0 -0 -59 -0x5379 -0xb986eaa -256 -256 -1 -1 -0 -21 -0x2813 -0x5b0a9ec4 -256 -256 -0 -0 -0 -19 -0xb707 -0x88c5974e -256 -256 -0 -0 -0 -74 -0x4308 -0x429f5415 -256 -256 -1 -0 -0 -54 -0x18d3 -0xfd2f3fe5 -256 -256 -0 -0 -0 -38 -0x2f0a -0x5cae3d62 -256 -256 -0 -0 -0 -99 -0x3408 -0x3bee7e3d -256 -256 -0 -0 -0 -48 -0xb2b8 -0x2bede3f6 -256 -256 -0 -0 -0 -69 -0xbd60 -0x85653594 -256 -256 -0 -0 -0 -13 -0x8350 -0x6367b962 -256 -256 -0 -0 -0 -91 -0x7758 -0xb166242 -256 -256 -0 -0 -0 -43 -0x7362 -0xb0fdfb14 -256 -256 -1 -1 -0 -79 -0x8be5 -0xccb92dc -256 -256 -1 -0 -0 -65 -0x5a27 -0x48c79fe1 -256 -256 -0 -0 -0 -64 -0x95b1 -0xc4a23469 -256 -256 -1 -0 -0 -85 -0x2df9 -0xb77e9b29 -256 -256 -0 -0 -0 diff --git a/jobs/backend-occamy/man_mixed.txt b/jobs/backend-occamy/man_mixed.txt deleted file mode 100644 index 55bba9d5..00000000 --- a/jobs/backend-occamy/man_mixed.txt +++ /dev/null @@ -1,4000 +0,0 @@ -32963 -0x481 -0xafb10a1c -2 -128 -0 -0 -0 -20816 -0x9e0e -0x6d3649fa -8 -2 -0 -0 -0 -12333 -0x3de0 -0x2787b787 -8 -128 -0 -0 -0 -12888 -0x77e4 -0xd741bf2e -64 -32 -1 -0 -0 -13493 -0x34ea -0xf38c95c4 -1 -256 -0 -0 -0 -7491 -0xf4d6 -0xdc4c6d54 -2 -2 -0 -0 -0 -8387 -0x5654 -0xfb4bf0c7 -32 -256 -1 -0 -0 -24749 -0x2331 -0x36e7374f -16 -256 -1 -1 -0 -22140 -0x97ab -0x1290c703 -1 -8 -1 -1 -0 -20109 -0xf1bd -0xa7b21d69 -1 -2 -1 -0 -0 -34858 -0x56a2 -0x26fe6730 -16 -1 -1 -0 -0 -29027 -0xc06e -0x99ddc154 -64 -256 -0 -0 -0 -26197 -0xc08e -0x5cdbac21 -32 -2 -0 -0 -0 -18203 -0xf2b8 -0x34555b6c -1 -32 -1 -0 -0 -22338 -0x3f9f -0x50945ff5 -8 -32 -1 -1 -0 -2638 -0xcf69 -0xb65f5d6d -2 -128 -0 -0 -0 -2848 -0xd854 -0xd4f77230 -16 -64 -0 -0 -0 -21402 -0x52c2 -0x7ac7005f -128 -256 -0 -0 -0 -30441 -0xe5be -0x2bf88dda -128 -256 -0 -0 -0 -39498 -0x3779 -0x473b4de5 -128 -16 -1 -0 -0 -13899 -0xc35a -0x7037fb1d -4 -8 -1 -1 -0 -20916 -0xdaf6 -0x83f99f77 -8 -64 -0 -0 -0 -12635 -0xa8f7 -0xd43d8f8 -4 -4 -1 -1 -0 -10093 -0xeea6 -0x9de4cb09 -64 -128 -0 -0 -0 -25924 -0xc1f7 -0x859215f8 -32 -1 -0 -0 -0 -38213 -0x600d -0x9d43f1a1 -2 -4 -0 -0 -0 -8072 -0xdce6 -0x91f7829b -128 -2 -1 -0 -0 -10288 -0x5c2e -0xdb3017ee -32 -64 -0 -0 -0 -12559 -0x1d44 -0x8cb4051b -4 -4 -1 -0 -0 -32950 -0xd902 -0x94649121 -128 -2 -1 -1 -0 -30795 -0x986 -0x181e2efa -4 -1 -0 -0 -0 -21801 -0x8003 -0xb087a54f -1 -2 -1 -0 -0 -21661 -0x9cd4 -0x5511fd70 -2 -128 -1 -0 -0 -29468 -0xbaae -0xda0b8fed -256 -256 -1 -0 -0 -4127 -0xf6cd -0x856664dc -8 -32 -1 -0 -0 -28848 -0x4004 -0x9e81fa62 -1 -4 -0 -0 -0 -17209 -0x71e7 -0x7420e9eb -2 -16 -1 -1 -0 -19461 -0x427d -0xcb3b2e92 -16 -8 -0 -0 -0 -9472 -0x809c -0xde525591 -32 -16 -1 -0 -0 -22117 -0xb9af -0x3d2d47ec -1 -16 -1 -1 -0 -17544 -0x72ee -0xfcc0879f -64 -128 -0 -0 -0 -24984 -0xc082 -0x5ee830ee -64 -4 -0 -0 -0 -38464 -0xde4e -0x740772d2 -16 -4 -1 -0 -0 -38166 -0xe482 -0x47fcb45f -16 -1 -1 -0 -0 -29289 -0xfb7a -0x1bd656c0 -32 -2 -1 -0 -0 -1769 -0xbddc -0x63ef9342 -2 -4 -0 -0 -0 -14501 -0x307e -0xdbe8baee -64 -2 -1 -0 -0 -21306 -0xce78 -0x66af8593 -32 -128 -1 -0 -0 -8368 -0x4e9e -0x45d80c9a -128 -1 -1 -0 -0 -22657 -0xea1b -0xdd6f520d -256 -4 -0 -0 -0 -36705 -0x6687 -0x9c638ef3 -16 -64 -0 -0 -0 -17723 -0x9647 -0x8bab27 -2 -2 -1 -0 -0 -33497 -0xa77c -0x3257cddf -8 -1 -0 -0 -0 -18662 -0xdebe -0x8bff5318 -256 -2 -1 -1 -0 -34378 -0x5c11 -0xb040bd65 -1 -8 -1 -0 -0 -38645 -0x25f8 -0x6ede5871 -64 -16 -0 -0 -0 -2285 -0x727b -0x82387400 -1 -128 -1 -1 -0 -18143 -0x7adf -0x74608e81 -4 -128 -0 -0 -0 -27860 -0x4752 -0xada67ac -8 -8 -1 -1 -0 -752 -0x69ef -0x38405527 -4 -8 -1 -1 -0 -24789 -0x25a4 -0x5266841c -4 -16 -0 -0 -0 -35143 -0x503e -0xc5274d8e -2 -64 -1 -0 -0 -6196 -0x32d3 -0x34474c94 -8 -1 -1 -0 -0 -779 -0xce5e -0x276c7f2 -32 -2 -0 -0 -0 -38496 -0x9cdd -0x33e9a052 -16 -32 -0 -0 -0 -24384 -0x515 -0x18904f82 -8 -16 -0 -0 -0 -36206 -0x190b -0xb83a3e33 -2 -32 -0 -0 -0 -34949 -0x37f6 -0x92b811b4 -128 -256 -1 -1 -0 -34901 -0x7a12 -0x291b1ab6 -256 -128 -0 -0 -0 -16597 -0xd43d -0x6258ae30 -8 -4 -1 -0 -0 -7551 -0x74b3 -0x51f225e3 -2 -32 -1 -1 -0 -19672 -0x286d -0x4cbff62e -16 -256 -1 -0 -0 -16567 -0x80d7 -0xfbf7dc2 -4 -256 -1 -0 -0 -31135 -0x7d37 -0x115c5c58 -64 -128 -1 -1 -0 -14132 -0x7204 -0x8a39fd4e -128 -8 -0 -0 -0 -17506 -0x10de -0xe85d934c -256 -2 -1 -1 -0 -21670 -0x11a7 -0x9b830e06 -16 -8 -1 -1 -0 -27169 -0x8b4 -0xe980c71d -32 -4 -0 -0 -0 -8542 -0x5a40 -0x5cc7b0ec -32 -128 -0 -0 -0 -8611 -0xb84e -0x6dfbc2c4 -16 -128 -1 -1 -0 -35033 -0x61ec -0x3f50b3c7 -2 -8 -1 -0 -0 -26117 -0xc79f -0xf60b30e8 -128 -32 -0 -0 -0 -8780 -0xbc90 -0x8ad356ac -64 -32 -0 -0 -0 -31889 -0x9cab -0x2033a02 -8 -64 -1 -1 -0 -37707 -0x3fbd -0xb8674019 -64 -4 -0 -0 -0 -13933 -0xdb34 -0xf7d882ae -1 -8 -1 -1 -0 -31421 -0x9817 -0xd51f71b0 -256 -16 -0 -0 -0 -25640 -0x98d -0x70d9b529 -8 -64 -1 -0 -0 -17569 -0x536b -0x7a65ecb8 -16 -16 -0 -0 -0 -12640 -0x22e3 -0x409a9ff7 -2 -1 -1 -0 -0 -30985 -0xcc77 -0xaaf3f37b -128 -32 -1 -1 -0 -1081 -0xc66 -0x200c6ccf -64 -4 -1 -0 -0 -39407 -0x40e4 -0x28919d64 -256 -64 -0 -0 -0 -23681 -0xde03 -0x3e2987b0 -32 -64 -1 -0 -0 -7088 -0x7de8 -0x8e36dc97 -2 -1 -1 -1 -0 -10600 -0x1666 -0xd1f2a279 -4 -64 -1 -0 -0 -25098 -0xb413 -0x234dc2df -4 -256 -0 -0 -0 -690 -0xf2d4 -0x88d30302 -128 -16 -0 -0 -0 -26712 -0x17d9 -0x277c2ace -4 -32 -1 -0 -0 -11290 -0x5daf -0x82a3168 -1 -2 -1 -0 -0 -37896 -0x8b91 -0x5147a45b -16 -128 -0 -0 -0 -32209 -0xd6b2 -0xd50252a6 -64 -256 -1 -1 -0 -33710 -0xf47a -0x2b0ef453 -128 -2 -0 -0 -0 -7374 -0x4d59 -0xa7d9f469 -4 -4 -1 -0 -0 -5088 -0xc5e -0x52ec3dc5 -1 -2 -1 -1 -0 -35390 -0xc47d -0xdb39571 -64 -64 -0 -0 -0 -13160 -0x366c -0x53a6782a -2 -16 -0 -0 -0 -29273 -0x7bed -0x2152b84 -2 -64 -0 -0 -0 -10029 -0x421e -0x949b375a -128 -32 -1 -1 -0 -38821 -0xfb44 -0xb234e116 -1 -128 -0 -0 -0 -11850 -0x275f -0x104fc910 -64 -4 -1 -1 -0 -699 -0xf545 -0xe0a50b58 -2 -256 -0 -0 -0 -17954 -0x2b89 -0x2996ee6 -32 -2 -0 -0 -0 -22199 -0x75c3 -0xe22c019a -2 -2 -1 -0 -0 -2781 -0x7a7f -0xca30677b -64 -4 -1 -0 -0 -10072 -0x3f2e -0x3f532254 -128 -64 -1 -0 -0 -12669 -0x7887 -0x8260325d -128 -1 -0 -0 -0 -4532 -0x5a10 -0x1b4a65de -8 -32 -0 -0 -0 -25789 -0xf43 -0xfd8c2f76 -32 -32 -1 -0 -0 -2328 -0x1f8c -0x15c9f085 -8 -4 -0 -0 -0 -8324 -0x1733 -0x59c7ec2b -4 -8 -1 -0 -0 -18352 -0x32d2 -0x6902630c -64 -4 -1 -1 -0 -25651 -0xd03c -0x9f814b11 -8 -16 -0 -0 -0 -106 -0x8067 -0x5f3bd120 -8 -2 -1 -0 -0 -27918 -0xadb5 -0xb093fb2d -256 -8 -1 -0 -0 -25047 -0x67da -0xcfe8c827 -32 -4 -1 -1 -0 -12408 -0x5538 -0x3152940 -1 -1 -0 -0 -0 -19236 -0x3969 -0xade924a9 -4 -4 -0 -0 -0 -5899 -0xbf9e -0x41eea9d2 -8 -2 -0 -0 -0 -34118 -0x4f55 -0xd50147f -64 -128 -0 -0 -0 -13681 -0x5e72 -0x4155537c -16 -256 -0 -0 -0 -21510 -0x6dbe -0xdd37bb2c -32 -2 -0 -0 -0 -32323 -0xbce0 -0x2f2e983c -128 -1 -1 -1 -0 -10862 -0x5b5c -0xc8248560 -2 -4 -1 -0 -0 -758 -0xf627 -0x37a756a5 -4 -8 -0 -0 -0 -39496 -0x163d -0xee61107f -4 -16 -0 -0 -0 -34821 -0x2141 -0xa4c7808f -256 -256 -0 -0 -0 -23119 -0xdfaf -0x9d46dc41 -32 -1 -0 -0 -0 -32207 -0x58d7 -0xe7788931 -16 -2 -1 -1 -0 -18619 -0x2b95 -0xdf88279d -8 -2 -0 -0 -0 -32623 -0xb26e -0xa5ccdddb -4 -64 -0 -0 -0 -12909 -0x126c -0x35165c12 -1 -128 -0 -0 -0 -5594 -0xb2b8 -0xdd6e19b0 -2 -64 -1 -1 -0 -19792 -0xcefa -0x88a9af8a -128 -1 -0 -0 -0 -16849 -0xa4f6 -0x386b51d5 -1 -128 -1 -0 -0 -30349 -0xe469 -0xe6634c6b -2 -256 -1 -0 -0 -1281 -0x589e -0x66218a0b -64 -2 -0 -0 -0 -37656 -0xfcaf -0x1ca0d75a -1 -64 -0 -0 -0 -10215 -0x6d5a -0xba916fb1 -256 -32 -1 -0 -0 -1666 -0xe791 -0x3084f861 -256 -64 -0 -0 -0 -26638 -0xceed -0x88934040 -1 -64 -1 -0 -0 -15008 -0x9454 -0xd1194f65 -64 -32 -1 -0 -0 -30120 -0x3b41 -0x4e127b32 -64 -2 -0 -0 -0 -24816 -0xa5af -0xb0e80923 -4 -64 -0 -0 -0 -26091 -0x698 -0x8b099b34 -256 -1 -0 -0 -0 -764 -0x2043 -0xf58e2a98 -1 -32 -0 -0 -0 -28563 -0x6a70 -0xb09ab2ba -4 -256 -1 -0 -0 -13694 -0x1989 -0xa2df6b6a -128 -2 -0 -0 -0 -13618 -0x5f8d -0xc27c248d -8 -256 -1 -0 -0 -31497 -0x290a -0x2b66f708 -1 -256 -0 -0 -0 -1445 -0xe96d -0xc0f4aec0 -64 -2 -1 -1 -0 -32344 -0xfb15 -0xfd64ed60 -16 -8 -0 -0 -0 -17617 -0x3591 -0x847dbaa4 -8 -8 -0 -0 -0 -10175 -0xf728 -0x29aa2b59 -2 -64 -1 -1 -0 -11186 -0xe5de -0x1908c876 -2 -1 -1 -0 -0 -291 -0x2ca9 -0x919f309d -128 -1 -0 -0 -0 -17090 -0xca2f -0xd73b7b0c -128 -16 -1 -1 -0 -4755 -0x69e1 -0x47d50713 -8 -256 -1 -1 -0 -2292 -0x2c23 -0x264d39a4 -16 -4 -1 -1 -0 -19172 -0xceb2 -0x5c8fcb65 -2 -64 -1 -0 -0 -9623 -0x1169 -0x7f12e424 -2 -4 -1 -0 -0 -29702 -0x2832 -0x3949737a -16 -8 -1 -1 -0 -23876 -0x6226 -0xe2a0eae3 -8 -4 -0 -0 -0 -16048 -0x7c40 -0x7ce095d6 -1 -32 -0 -0 -0 -39466 -0xbd16 -0x1b34fd9a -256 -1 -0 -0 -0 -2023 -0x5377 -0xf0d15799 -16 -256 -1 -0 -0 -30083 -0xcc25 -0x68c2b2e -32 -4 -1 -0 -0 -26130 -0xc694 -0x68469fd8 -8 -16 -0 -0 -0 -8522 -0xb165 -0x48dd3323 -32 -4 -0 -0 -0 -34469 -0xb4b0 -0x1a347300 -1 -16 -1 -0 -0 -7313 -0x61e1 -0x2fd4d085 -32 -16 -1 -1 -0 -29223 -0xc3f2 -0xa7a0ffe -8 -4 -1 -1 -0 -31881 -0x4028 -0xc4d3a481 -4 -128 -0 -0 -0 -36507 -0x1108 -0x47d0d800 -128 -256 -1 -1 -0 -5904 -0x9e9 -0x5ca3c11 -256 -32 -0 -0 -0 -22050 -0xf83b -0xb4a3db29 -16 -256 -0 -0 -0 -13205 -0x2ba9 -0xf11b9990 -4 -64 -1 -1 -0 -18983 -0x2113 -0x86f70a8d -32 -16 -1 -0 -0 -36297 -0x72ed -0x87f91aba -32 -16 -0 -0 -0 -31657 -0xfd90 -0x1764b5c8 -2 -256 -0 -0 -0 -1918 -0x3328 -0xad01ef78 -32 -4 -1 -1 -0 -175 -0x81a3 -0xf9dd28bf -256 -8 -0 -0 -0 -27572 -0x2e11 -0x59d9e83d -8 -4 -1 -1 -0 -2368 -0x2812 -0x93e520b7 -2 -16 -1 -1 -0 -25561 -0xe140 -0x712c9035 -1 -16 -0 -0 -0 -13509 -0xd5f4 -0x295c3a4a -1 -4 -0 -0 -0 -1286 -0x26bf -0x5a73db9e -2 -32 -0 -0 -0 -31338 -0x4e3d -0x186d04d0 -32 -64 -0 -0 -0 -36103 -0x9441 -0x5dd7c43 -1 -64 -1 -1 -0 -5491 -0x2835 -0x713ee34e -32 -128 -1 -0 -0 -18408 -0x43a8 -0xd4690e9c -8 -4 -1 -1 -0 -32608 -0x6210 -0x445554a2 -16 -256 -1 -1 -0 -38212 -0x5d68 -0xfa6a136 -2 -1 -1 -1 -0 -13250 -0xb825 -0x7a8bc60 -128 -32 -0 -0 -0 -37362 -0x120e -0x25a67b8a -1 -128 -0 -0 -0 -7243 -0x37b3 -0x68c69ef6 -64 -4 -1 -1 -0 -24880 -0x3c47 -0xd9e7ebb7 -1 -2 -0 -0 -0 -22674 -0xef95 -0x975fcbd0 -16 -32 -0 -0 -0 -24884 -0xfa7a -0x771e7dc7 -8 -32 -0 -0 -0 -27449 -0x86c6 -0x961f2159 -64 -16 -0 -0 -0 -17816 -0x5ab0 -0xd430c296 -4 -32 -0 -0 -0 -28482 -0x3dbf -0xa7ae18ce -16 -128 -1 -1 -0 -30099 -0xd664 -0x82d201d3 -16 -128 -1 -0 -0 -39327 -0xc7b0 -0x477b7b60 -8 -4 -0 -0 -0 -14869 -0xd5e1 -0xcedec035 -2 -8 -1 -0 -0 -31405 -0xda13 -0x7e914d27 -4 -256 -0 -0 -0 -1803 -0x4e75 -0xe0c22daa -32 -64 -0 -0 -0 -24432 -0x603b -0x17c3eee1 -256 -8 -0 -0 -0 -33214 -0xdaa8 -0x4a203016 -8 -1 -0 -0 -0 -21528 -0xd0c8 -0x40f13ca1 -64 -32 -1 -0 -0 -11560 -0x4d35 -0x8ba764b2 -64 -64 -0 -0 -0 -34444 -0xfa91 -0x744cc1fa -16 -1 -0 -0 -0 -29048 -0x6d89 -0xb3b5197e -64 -256 -1 -1 -0 -5601 -0x4a4e -0x276dc4c2 -1 -64 -1 -1 -0 -27847 -0x3771 -0x722c5537 -2 -64 -1 -0 -0 -561 -0x5db2 -0xd9a8958e -2 -128 -1 -1 -0 -36081 -0xb7b -0x9bfbabd3 -1 -4 -0 -0 -0 -39835 -0x8e47 -0x22f03a77 -256 -1 -0 -0 -0 -17581 -0x306d -0xd9ace7ad -1 -32 -0 -0 -0 -1782 -0xf8df -0x8e972830 -4 -1 -0 -0 -0 -22122 -0x908a -0xd57355de -64 -2 -0 -0 -0 -21610 -0xcb51 -0xeb0b29dd -4 -128 -0 -0 -0 -34420 -0x8492 -0x2d749dd -16 -64 -0 -0 -0 -8769 -0xf01 -0x252fee30 -4 -2 -0 -0 -0 -12561 -0x5b1d -0xd4cc8aa2 -64 -32 -1 -1 -0 -21368 -0xa8a -0x2ebbb1cd -128 -32 -1 -1 -0 -31936 -0x7a9f -0xee10ab2f -8 -2 -1 -1 -0 -38660 -0x1011 -0xefe28524 -32 -4 -1 -1 -0 -9830 -0xc0a1 -0x851e815b -2 -128 -0 -0 -0 -11000 -0x86d0 -0x5b22c553 -128 -1 -0 -0 -0 -15669 -0x9e6f -0x6971c9e -128 -16 -1 -0 -0 -2181 -0x320c -0x9ae1dd83 -1 -16 -0 -0 -0 -8392 -0x9167 -0xdb88027b -8 -2 -1 -0 -0 -13808 -0x5336 -0xeae76c2a -1 -1 -0 -0 -0 -2061 -0xc31e -0xbdb4bd5c -16 -64 -0 -0 -0 -11470 -0xaab5 -0x7af6a747 -256 -2 -0 -0 -0 -33553 -0x2c3e -0xc651df7e -128 -256 -1 -1 -0 -32067 -0x95d9 -0xcaef3aec -32 -64 -1 -0 -0 -22432 -0x2f68 -0x8b8b4909 -16 -128 -0 -0 -0 -39509 -0xb073 -0xfce00851 -8 -4 -0 -0 -0 -2391 -0xb422 -0xb0a3f2e3 -128 -32 -0 -0 -0 -7362 -0x4d90 -0x8b706750 -256 -2 -1 -0 -0 -16702 -0x68a2 -0x8a5a78a1 -8 -16 -0 -0 -0 -35581 -0x62b6 -0xe8d3b009 -32 -32 -1 -0 -0 -11820 -0x13b5 -0xc2043c50 -32 -1 -1 -1 -0 -12650 -0x6600 -0x171aeb77 -32 -4 -1 -1 -0 -11135 -0x7f9f -0xb108afe5 -8 -128 -1 -0 -0 -32252 -0x227a -0x139e8840 -256 -32 -1 -0 -0 -6073 -0x9560 -0xe76cd7dd -32 -8 -0 -0 -0 -27404 -0x184f -0x708fed19 -128 -4 -0 -0 -0 -16371 -0x9246 -0x92371b36 -4 -256 -1 -1 -0 -31031 -0xbe49 -0x84dec98e -1 -1 -0 -0 -0 -32942 -0xa2b1 -0x1c0ae32 -8 -1 -1 -0 -0 -33964 -0xd06e -0x57a98279 -4 -64 -0 -0 -0 -30319 -0x3a3e -0xc9e4244a -128 -4 -0 -0 -0 -12100 -0xa528 -0xf7a53930 -128 -1 -0 -0 -0 -8298 -0x6e08 -0xaaea7159 -8 -1 -1 -1 -0 -23266 -0xe032 -0xeb68dd57 -256 -64 -0 -0 -0 -1511 -0x1dc2 -0xaa1d86a1 -4 -8 -1 -1 -0 -10957 -0x71e6 -0x839842f9 -1 -256 -0 -0 -0 -4382 -0x84aa -0xa6361a30 -8 -16 -0 -0 -0 -27330 -0x2438 -0xe017b79f -2 -16 -0 -0 -0 -8007 -0x9975 -0xd7cfd816 -4 -128 -0 -0 -0 -9663 -0x8a02 -0xf0ac6e1d -16 -1 -1 -1 -0 -29851 -0x32eb -0x61f8dcf4 -4 -64 -0 -0 -0 -17476 -0xc5ba -0x8e503326 -128 -1 -0 -0 -0 -15853 -0xfa02 -0x5e74084b -256 -32 -1 -1 -0 -37475 -0x105e -0x99d66f08 -64 -2 -1 -1 -0 -2492 -0x95d6 -0xe4650567 -256 -4 -0 -0 -0 -4137 -0xc675 -0x22c80b37 -4 -32 -0 -0 -0 -8422 -0xf6e6 -0xfaf03b0a -32 -1 -0 -0 -0 -33272 -0xbc6a -0xd7145331 -2 -4 -0 -0 -0 -13181 -0x25ef -0xc0617a3c -32 -128 -0 -0 -0 -28849 -0x6a1f -0x3463f9dd -32 -1 -1 -0 -0 -3679 -0x62f6 -0xda749258 -8 -64 -1 -0 -0 -3302 -0x2f99 -0x26bdbd6 -16 -8 -1 -1 -0 -11590 -0x3630 -0xa978399b -32 -32 -1 -1 -0 -21404 -0xfd3e -0xa31e65de -64 -64 -0 -0 -0 -36881 -0xefab -0xb608d2d9 -256 -16 -1 -0 -0 -33334 -0x999d -0xbc97b443 -32 -8 -0 -0 -0 -16692 -0x90ae -0x9a2f0b16 -1 -8 -0 -0 -0 -4428 -0x6636 -0xa1ae84ee -32 -16 -0 -0 -0 -22915 -0xb08f -0xeb5e735d -64 -16 -0 -0 -0 -26694 -0xc309 -0x39ee4caa -128 -128 -0 -0 -0 -29406 -0x68a0 -0x608d50e2 -1 -64 -0 -0 -0 -33243 -0x91f9 -0x93453a93 -1 -1 -0 -0 -0 -27880 -0x6280 -0x95c44ea7 -1 -4 -0 -0 -0 -16493 -0x15ea -0xb5dc4fc0 -16 -256 -0 -0 -0 -16988 -0x97e -0xca289db6 -2 -2 -0 -0 -0 -13039 -0xea53 -0xdccb6f2e -4 -64 -1 -1 -0 -28239 -0x268f -0xb1bc7397 -64 -256 -1 -1 -0 -35278 -0x62e6 -0x9fc6e176 -2 -1 -1 -1 -0 -4957 -0xd5cf -0x8cb1bce5 -1 -32 -0 -0 -0 -24635 -0x92e2 -0x3afc7293 -16 -1 -0 -0 -0 -7080 -0xbc24 -0x144b63c6 -128 -1 -1 -1 -0 -9701 -0x91e5 -0x6d9da2b -8 -1 -0 -0 -0 -29261 -0x45d4 -0xdff5a03e -16 -8 -0 -0 -0 -38330 -0x97e2 -0x8870e12d -32 -8 -1 -0 -0 -5242 -0x97a1 -0x9cc284f7 -2 -256 -1 -0 -0 -23365 -0x3d8b -0x7a0aea91 -64 -8 -1 -1 -0 -20484 -0x13ca -0x2fd435eb -64 -1 -1 -1 -0 -20781 -0x3360 -0x134aa86b -1 -2 -0 -0 -0 -12469 -0x2297 -0x445737b3 -64 -2 -0 -0 -0 -29807 -0x2ec1 -0x817dd8f4 -1 -256 -1 -0 -0 -16874 -0x833c -0xb40a89dd -8 -4 -0 -0 -0 -39472 -0x57c9 -0xbaa8d491 -8 -1 -0 -0 -0 -13525 -0x5ca7 -0xb9889e0d -1 -128 -1 -0 -0 -15086 -0x394a -0xfaa4f333 -128 -16 -1 -0 -0 -6269 -0x9489 -0xa2d1a2af -8 -2 -1 -1 -0 -14971 -0x6c67 -0xd8182fb9 -1 -128 -0 -0 -0 -32529 -0x486c -0x519923d0 -4 -32 -1 -0 -0 -36867 -0x7f73 -0x11fea838 -128 -32 -0 -0 -0 -37208 -0xab8e -0xd44c3a1e -8 -2 -0 -0 -0 -24374 -0x4e92 -0x500d4736 -128 -16 -1 -0 -0 -13958 -0xccd3 -0x1a293a09 -8 -16 -1 -0 -0 -15392 -0xf76f -0x5293d5a8 -16 -256 -1 -1 -0 -14615 -0x28c2 -0x9ac68a8e -32 -16 -1 -0 -0 -146 -0x6b12 -0x2339e56d -2 -64 -1 -0 -0 -4856 -0x8b7 -0x398bf6a3 -16 -64 -0 -0 -0 -476 -0x183c -0x21541f72 -1 -256 -1 -0 -0 -16916 -0x7ca1 -0x8ce89357 -2 -4 -1 -1 -0 -22208 -0xa -0xf9c0a716 -128 -16 -0 -0 -0 -32025 -0xa00e -0x44437d40 -8 -2 -1 -1 -0 -22368 -0x70d4 -0x2a97e71e -32 -1 -1 -1 -0 -10938 -0x7800 -0xd31ef7cf -16 -128 -1 -0 -0 -24372 -0xd785 -0xbaf89dfb -1 -32 -0 -0 -0 -4273 -0xdeb6 -0x96669017 -8 -32 -0 -0 -0 -20412 -0xdf04 -0xaa6d207c -8 -4 -1 -1 -0 -23297 -0x77cd -0x6b869437 -4 -64 -1 -0 -0 -8781 -0x79fe -0xc42a41fd -32 -64 -1 -1 -0 -38418 -0xeff0 -0x469dff35 -1 -256 -1 -0 -0 -8624 -0xd233 -0x514db6fd -4 -16 -0 -0 -0 -14352 -0x631a -0xf183bda6 -32 -2 -1 -1 -0 -25690 -0x2cb5 -0xfd266fd7 -64 -1 -0 -0 -0 -30852 -0xe382 -0x582fddab -256 -4 -0 -0 -0 -10183 -0xb145 -0x65502a08 -1 -2 -1 -0 -0 -17183 -0xc826 -0xbc534780 -64 -1 -1 -1 -0 -20507 -0x5910 -0x190b6ad9 -16 -32 -1 -0 -0 -27802 -0xaa4c -0x1017eee7 -256 -2 -1 -0 -0 -28810 -0x2a5f -0x24b767d2 -8 -1 -1 -1 -0 -7615 -0x3bb3 -0x5d245ac9 -64 -256 -0 -0 -0 -36951 -0x74a6 -0x31199b27 -32 -16 -1 -1 -0 -39771 -0x897c -0x271323d0 -32 -2 -1 -1 -0 -16843 -0x5b2d -0x298b32e -16 -2 -0 -0 -0 -32685 -0x3b2a -0xc5902f6b -128 -256 -0 -0 -0 -7467 -0x40fe -0x10c1b40b -1 -32 -0 -0 -0 -12730 -0xaf36 -0x2097bf34 -1 -8 -0 -0 -0 -9578 -0x192b -0x3796da99 -1 -16 -0 -0 -0 -13012 -0x4ced -0xe43d69c1 -16 -16 -0 -0 -0 -15912 -0x694 -0x331b5883 -1 -128 -1 -0 -0 -30373 -0x65b9 -0xc7829bcf -256 -4 -1 -1 -0 -23732 -0xa5a -0x54249d9e -128 -16 -1 -0 -0 -36812 -0xdff6 -0x64552b10 -256 -128 -0 -0 -0 -37851 -0xc496 -0x271a148d -2 -4 -1 -1 -0 -7680 -0x9ea4 -0xdd90a2fa -32 -8 -0 -0 -0 -419 -0x1725 -0x51154003 -256 -128 -0 -0 -0 -28729 -0x5040 -0xff956632 -4 -4 -0 -0 -0 -32370 -0xc18a -0x3670992a -16 -1 -0 -0 -0 -3863 -0x17dd -0x6ad11d61 -16 -4 -0 -0 -0 -36921 -0x546d -0x65c9e92d -256 -256 -0 -0 -0 -6682 -0x3ba6 -0x9afa1569 -8 -8 -1 -0 -0 -487 -0x4ee6 -0x5a9b6fbb -128 -1 -1 -1 -0 -2274 -0x88d2 -0x9e6a298b -32 -32 -0 -0 -0 -23731 -0xa66f -0x2dc10a6b -16 -16 -1 -1 -0 -11732 -0xa044 -0x4396d308 -16 -16 -1 -1 -0 -20395 -0x1613 -0xd9f83b49 -32 -1 -0 -0 -0 -12510 -0x8048 -0x77e08ffe -16 -64 -0 -0 -0 -5658 -0x1c4b -0x1878c6c3 -2 -64 -0 -0 -0 -25557 -0xf582 -0x213b7b8e -8 -1 -1 -0 -0 -20592 -0xd020 -0x399345bb -8 -1 -1 -1 -0 -36740 -0x844c -0x6606ccc1 -64 -256 -0 -0 -0 -32456 -0xcda6 -0x7f76ddb9 -4 -2 -0 -0 -0 -31942 -0x4cf5 -0xa33ffdd0 -16 -8 -0 -0 -0 -5274 -0x46f5 -0x99297be2 -16 -64 -0 -0 -0 -10419 -0xebe5 -0x5e42fdf5 -2 -128 -1 -1 -0 -31824 -0xdadf -0x92e5af94 -1 -1 -0 -0 -0 -15263 -0xcd0c -0xa3427a08 -8 -1 -0 -0 -0 -20104 -0x5b6b -0xfe40c87c -2 -256 -1 -1 -0 -38082 -0xc79a -0xedffaca -1 -256 -1 -0 -0 -16515 -0x4eb0 -0x4e30806f -16 -4 -0 -0 -0 -12336 -0x27c9 -0xed66edcb -8 -128 -1 -0 -0 -5668 -0x6bd8 -0x1d937e35 -1 -8 -0 -0 -0 -15942 -0x5c8f -0x4f4fb0da -1 -32 -0 -0 -0 -34235 -0xea63 -0xc7724803 -8 -2 -1 -1 -0 -21558 -0xe1d6 -0xf5b94ee2 -4 -64 -1 -0 -0 -34204 -0x317b -0x799519b8 -16 -2 -1 -0 -0 -30627 -0x91cd -0x8563df29 -32 -2 -1 -1 -0 -24642 -0xb3e0 -0xef23f4e5 -4 -1 -1 -1 -0 -31247 -0x2dd3 -0xd08f94f3 -1 -2 -1 -1 -0 -37432 -0xa3ac -0x1a7fcf1b -64 -128 -1 -1 -0 -35429 -0xdde3 -0x2ff76cd4 -16 -256 -1 -1 -0 -24000 -0x7b9f -0x202c60c5 -16 -256 -0 -0 -0 -27560 -0x84ab -0x9029fef2 -16 -128 -0 -0 -0 -4895 -0xb2aa -0x3e6362e9 -16 -256 -1 -1 -0 -3875 -0x42f4 -0x9b576f0c -128 -8 -1 -0 -0 -25955 -0xf9e7 -0x4426e732 -128 -4 -0 -0 -0 -13442 -0xed24 -0x3c09fcc5 -8 -16 -0 -0 -0 -27563 -0x8753 -0x1d9d9793 -8 -128 -1 -0 -0 -35864 -0x8285 -0xd80ba9be -2 -128 -0 -0 -0 -7321 -0x2789 -0x95b6047d -4 -32 -1 -1 -0 -2203 -0xc258 -0x8c8a9a64 -128 -8 -1 -1 -0 -8374 -0x3318 -0x11bc43a7 -32 -128 -1 -0 -0 -27868 -0x5ec1 -0xe866de70 -16 -64 -1 -0 -0 -17467 -0xa83d -0xdb2a5047 -256 -32 -0 -0 -0 -13940 -0x68af -0xa1acf619 -16 -1 -1 -0 -0 -13160 -0x63fb -0x2f980a62 -8 -64 -0 -0 -0 -18925 -0x2c42 -0xf4e11d9d -64 -1 -1 -1 -0 -21454 -0xc141 -0x9bf0e3c -32 -1 -1 -0 -0 -1300 -0x1132 -0xaf10beaa -2 -1 -0 -0 -0 -29460 -0x206b -0x3b67cf7e -1 -16 -1 -1 -0 -24899 -0xf4ee -0xa5b9786e -256 -256 -1 -1 -0 -12731 -0x77c4 -0xd5770394 -2 -256 -1 -1 -0 -2458 -0x25b -0x3cc32c8b -16 -1 -0 -0 -0 -33227 -0x1e4 -0xac4c0b9 -128 -32 -0 -0 -0 -18711 -0x8574 -0x210026c8 -16 -16 -1 -1 -0 -3106 -0x6976 -0x38cfb61d -1 -4 -1 -0 -0 -15881 -0x8ffc -0xe6e74162 -4 -1 -1 -1 -0 -13527 -0x3396 -0xa8dd41d6 -16 -128 -1 -1 -0 -39467 -0x417b -0xf409c192 -16 -256 -1 -1 -0 -17096 -0x6771 -0x2bd4f078 -256 -1 -1 -1 -0 -20144 -0x6c2b -0x6ebce05c -64 -16 -1 -0 -0 -19493 -0x7ba -0x2a973df0 -16 -4 -0 -0 -0 -5203 -0x151 -0x16baf699 -16 -256 -0 -0 -0 -25423 -0x71ae -0x67bb535 -4 -8 -1 -0 -0 -37347 -0xcddb -0xd15baa0e -2 -4 -1 -1 -0 -26376 -0x131e -0x54107eaf -64 -2 -0 -0 -0 -32040 -0x6fe7 -0x48f3ce82 -256 -128 -1 -1 -0 -17767 -0x1740 -0x53747556 -16 -2 -0 -0 -0 -5083 -0xe71 -0xb421e4c6 -64 -128 -1 -1 -0 -1528 -0xfe32 -0x3af03db7 -128 -128 -0 -0 -0 -32077 -0xde55 -0x27cb7cef -128 -64 -1 -0 -0 -33837 -0x4c8e -0xd841e6b3 -4 -4 -1 -1 -0 -8274 -0x2b27 -0x243d3583 -256 -16 -0 -0 -0 -20965 -0x9e8a -0x877e57ec -4 -64 -0 -0 -0 -14736 -0x6b84 -0xf3782d3e -8 -8 -1 -0 -0 -28261 -0x67fe -0xa7ae4e60 -32 -64 -0 -0 -0 -6584 -0x1ff3 -0xa30066c2 -2 -8 -0 -0 -0 -13861 -0x2198 -0x60a928c4 -1 -8 -1 -1 -0 -10174 -0xd4d0 -0x148d9721 -16 -2 -0 -0 -0 -712 -0x5a6c -0x9024739 -4 -1 -0 -0 -0 -30256 -0x4145 -0x9ec1fb66 -32 -256 -0 -0 -0 -33917 -0xd83 -0x6f00ad11 -256 -4 -0 -0 -0 -13919 -0x9879 -0x5e4d7fed -128 -128 -0 -0 -0 -27997 -0xd606 -0xb2e62ffb -8 -16 -1 -1 -0 -34971 -0xf134 -0x60c39ccc -4 -256 -1 -0 -0 -27125 -0x4edf -0x1d9ce0a3 -2 -32 -1 -0 -0 -30791 -0xcbaf -0x6a0ca0d6 -8 -2 -1 -0 -0 -24027 -0xe157 -0x6c3f5f0 -256 -4 -1 -0 -0 -17672 -0x8065 -0xd6a64926 -256 -1 -1 -0 -0 -30615 -0xbb4e -0xee6d8f5e -4 -128 -1 -1 -0 -19171 -0xdd5d -0x4c205c48 -256 -1 -0 -0 -0 -39655 -0x66d -0xde42428 -128 -8 -0 -0 -0 -20491 -0xa92 -0x533b13b0 -4 -16 -0 -0 -0 -20470 -0x58de -0x81a535a9 -16 -128 -0 -0 -0 -4923 -0x78f2 -0x34d1f10e -64 -16 -1 -1 -0 -5422 -0xfed9 -0x1d39f33f -4 -4 -0 -0 -0 -14809 -0xc5f2 -0x116693e -128 -128 -1 -0 -0 -16247 -0x8eaf -0xb6995836 -16 -16 -1 -0 -0 -24729 -0x1731 -0xe54b601a -16 -16 -0 -0 -0 -13498 -0x4f70 -0xdb6dff73 -128 -32 -1 -0 -0 -17327 -0x9fd8 -0x9bd9bc62 -4 -256 -0 -0 -0 -39474 -0x82d4 -0xf5d8c4f2 -256 -16 -0 -0 -0 -27235 -0x947a -0x20eb61c5 -64 -1 -1 -0 -0 -597 -0xcebe -0x97d181e5 -1 -4 -0 -0 -0 -11426 -0x6760 -0xd5ecbb6a -128 -4 -1 -1 -0 -38546 -0xdf4d -0x52aad766 -1 -128 -1 -0 -0 -37638 -0x48b6 -0xf233fbf0 -2 -64 -0 -0 -0 -24356 -0x49d4 -0xfcbdf47d -2 -1 -1 -0 -0 -26244 -0x25d0 -0x9f95e495 -1 -32 -1 -0 -0 -36892 -0x9bea -0xf4e19abc -256 -128 -0 -0 -0 -13526 -0x6b16 -0xae3eb3ea -256 -2 -0 -0 -0 -36394 -0x3a1f -0x32cd990b -128 -128 -0 -0 -0 -4748 -0x1f84 -0xaf4898b7 -1 -2 -1 -1 -0 -23388 -0xcfa4 -0x48dc1680 -64 -4 -1 -1 -0 -26864 -0x3bd9 -0x5249f6e0 -32 -8 -1 -0 -0 -2727 -0x9fd -0xa801135e -64 -64 -1 -1 -0 -8927 -0xf433 -0x4e36c7e8 -2 -2 -0 -0 -0 -11857 -0xe181 -0x5527695e -256 -16 -1 -0 -0 -23284 -0xc0d6 -0x7dc76f90 -16 -1 -0 -0 -0 -10951 -0x4d57 -0x29eba2d0 -128 -8 -0 -0 -0 -12185 -0x4a07 -0x98a22b64 -2 -32 -1 -0 -0 -35693 -0x5440 -0x575595fe -128 -16 -0 -0 -0 -24687 -0xdd3d -0xf771b853 -256 -1 -1 -1 -0 -26275 -0x6a7 -0xd1b5b868 -16 -2 -0 -0 -0 -25521 -0x1c2e -0x8155207b -1 -4 -1 -1 -0 -9515 -0xb6dc -0xc5117138 -128 -8 -0 -0 -0 -19754 -0xdd3f -0x173e3154 -2 -64 -1 -0 -0 -39148 -0x7ee9 -0x73f10b8e -2 -2 -1 -0 -0 -30244 -0xd4b6 -0xea086d19 -8 -16 -0 -0 -0 -23004 -0x8419 -0xceeb694f -1 -32 -0 -0 -0 diff --git a/jobs/backend-occamy/man_simple.txt b/jobs/backend-occamy/man_simple.txt deleted file mode 100644 index 8d4b2d7b..00000000 --- a/jobs/backend-occamy/man_simple.txt +++ /dev/null @@ -1,73 +0,0 @@ -2 -0x0 -0x3ff -256 -256 -0 -0 -0 - -4 -0x2 -0x1001 -256 -256 -0 -0 -0 -1 -0x0 -0x1000 -256 -256 -0 -0 -0 -1 -0x0 -0x1000 -256 -256 -0 -0 -0 -1 -0x0 -0x1000 -256 -256 -0 -0 -0 -1 -0x0 -0x1000 -256 -256 -0 -0 -0 -1 -0x0 -0x1000 -256 -256 -0 -0 -0 -3 -0x90 -0x13fe -256 -256 -1 -0 -0 -1 -0x02 -0x1002 -256 -256 -0 -0 -0 diff --git a/jobs/backend-occamy/man_small.txt b/jobs/backend-occamy/man_small.txt deleted file mode 100644 index ed857952..00000000 --- a/jobs/backend-occamy/man_small.txt +++ /dev/null @@ -1,160000 +0,0 @@ -3 -0xd0b3 -0x1010f237 -256 -256 -0 -0 -0 -2 -0x1e44 -0x2023712 -256 -256 -1 -0 -0 -10 -0x1c7e -0xbd8ea79d -256 -256 -0 -0 -0 -9 -0xeef -0x562e6a7d -256 -256 -0 -0 -0 -2 -0x78c8 -0x740e9b62 -256 -256 -1 -0 -0 -6 -0x45c0 -0x49e0d2b0 -256 -256 -0 -0 -0 -6 -0x4b88 -0xded31a88 -256 -256 -0 -0 -0 -6 -0x6c45 -0x51a84ce1 -256 -256 -1 -0 -0 -2 -0x70a8 -0x63d9d15c -256 -256 -1 -0 -0 -4 -0xc20 -0xb532ca5f -256 -256 -1 -0 -0 -5 -0xc4a0 -0x37503ba7 -256 -256 -0 -0 -0 -10 -0x8d97 -0xd1815b49 -256 -256 -1 -0 -0 -6 -0x2922 -0x51f6719f -256 -256 -0 -0 -0 -9 -0xfdfd -0xd60ca036 -256 -256 -1 -0 -0 -9 -0xe79a -0x72ac3a34 -256 -256 -0 -0 -0 -10 -0x9b87 -0x2a259b89 -256 -256 -0 -0 -0 -9 -0xb128 -0x420e18ed -256 -256 -0 -0 -0 -10 -0x490a -0x3bb4b797 -256 -256 -0 -0 -0 -3 -0xe33d -0xa1417a0c -256 -256 -0 -0 -0 -5 -0x9709 -0x8498760 -256 -256 -1 -0 -0 -5 -0xaef8 -0xa75ba9bd -256 -256 -1 -0 -0 -1 -0x4a67 -0x173a0d22 -256 -256 -0 -0 -0 -6 -0xf7e9 -0x5d6040a6 -256 -256 -1 -0 -0 -9 -0x5d24 -0x42c62c4e -256 -256 -1 -0 -0 -4 -0x5b28 -0xa55ecd6b -256 -256 -0 -0 -0 -7 -0x293d -0x92be647 -256 -256 -1 -0 -0 -9 -0xd53c -0xd4741618 -256 -256 -1 -0 -0 -10 -0xabd0 -0x86f3e55e -256 -256 -1 -0 -0 -1 -0x2eb1 -0xf9e5b5da -256 -256 -1 -0 -0 -9 -0xb82e -0x993df6d3 -256 -256 -0 -0 -0 -10 -0x8605 -0xefa04d19 -256 -256 -1 -0 -0 -10 -0xfbc4 -0x50f2c447 -256 -256 -0 -0 -0 -1 -0x5fe3 -0xb6c0a5ea -256 -256 -0 -0 -0 -10 -0xe28c -0x43024247 -256 -256 -0 -0 -0 -3 -0x9426 -0x27a4aefb -256 -256 -0 -0 -0 -2 -0xc69b -0x1c333457 -256 -256 -1 -0 -0 -2 -0x83b6 -0xa8368ff7 -256 -256 -1 -0 -0 -4 -0xce18 -0xc9299859 -256 -256 -0 -0 -0 -6 -0x86d1 -0xd4cb18e -256 -256 -0 -0 -0 -2 -0xbd53 -0x79e02b84 -256 -256 -0 -0 -0 -3 -0xb818 -0x27140f57 -256 -256 -0 -0 -0 -4 -0x3781 -0xc296db1b -256 -256 -1 -0 -0 -9 -0xe396 -0x95e316d6 -256 -256 -0 -0 -0 -2 -0x74d5 -0x91aeb11a -256 -256 -0 -0 -0 -2 -0xd684 -0x37034678 -256 -256 -0 -0 -0 -8 -0x2194 -0xcccf8fdd -256 -256 -1 -0 -0 -10 -0x3073 -0xdcb56e42 -256 -256 -1 -0 -0 -8 -0xc429 -0xe4f855e0 -256 -256 -0 -0 -0 -5 -0x6f0e -0x295d5c31 -256 -256 -1 -0 -0 -8 -0xd50f -0x215b8fe8 -256 -256 -1 -0 -0 -1 -0x1e03 -0x71a2df1e -256 -256 -0 -0 -0 -3 -0x3a6d -0x32fb385c -256 -256 -1 -0 -0 -5 -0xa3c1 -0xeabc3f56 -256 -256 -0 -0 -0 -7 -0xbd98 -0xd131f97b -256 -256 -0 -0 -0 -5 -0xd867 -0x6fa2c4a5 -256 -256 -0 -0 -0 -4 -0x7962 -0x3afbf760 -256 -256 -1 -0 -0 -7 -0x87af -0xa30404ee -256 -256 -0 -0 -0 -4 -0x7e8c -0x7616617 -256 -256 -0 -0 -0 -6 -0x7a53 -0xd0844f1e -256 -256 -0 -0 -0 -8 -0x3816 -0xc2d2d277 -256 -256 -1 -0 -0 -9 -0x41b6 -0x2f353481 -256 -256 -1 -0 -0 -5 -0xa29d -0x338b0197 -256 -256 -1 -0 -0 -4 -0x9c8d -0x67c8ee48 -256 -256 -0 -0 -0 -2 -0x5206 -0x26516ae9 -256 -256 -0 -0 -0 -1 -0xe75d -0x298508d2 -256 -256 -1 -0 -0 -10 -0x4256 -0xaf654d27 -256 -256 -1 -0 -0 -9 -0x64cf -0xae57830f -256 -256 -1 -0 -0 -2 -0xc3de -0xfc460066 -256 -256 -1 -0 -0 -1 -0xc5a8 -0xe1b928b9 -256 -256 -1 -0 -0 -10 -0xccd5 -0xca185e8f -256 -256 -1 -0 -0 -6 -0xf3f4 -0x9abd190f -256 -256 -1 -0 -0 -2 -0xcb73 -0x5d7a3c11 -256 -256 -1 -0 -0 -2 -0xebb9 -0xbc661429 -256 -256 -0 -0 -0 -9 -0xe9de -0x70fda6c7 -256 -256 -0 -0 -0 -1 -0xca8b -0xe4857bd5 -256 -256 -0 -0 -0 -2 -0xaba4 -0x9f884607 -256 -256 -1 -0 -0 -4 -0x5b90 -0x6f544cad -256 -256 -1 -0 -0 -7 -0x15a0 -0xc02cabab -256 -256 -1 -0 -0 -5 -0x815f -0x31b033da -256 -256 -1 -0 -0 -4 -0xc89b -0x822f51e -256 -256 -0 -0 -0 -6 -0xe716 -0xc89be566 -256 -256 -0 -0 -0 -10 -0xf3a3 -0x58ecb34c -256 -256 -1 -0 -0 -9 -0xdff4 -0x8c1f6279 -256 -256 -0 -0 -0 -3 -0xf690 -0xc4636f30 -256 -256 -1 -0 -0 -10 -0x3f0a -0x10268a75 -256 -256 -0 -0 -0 -2 -0xeaa4 -0x2a7e4884 -256 -256 -0 -0 -0 -4 -0xa0e8 -0x3db5f771 -256 -256 -0 -0 -0 -1 -0x59f7 -0x866e6725 -256 -256 -0 -0 -0 -1 -0x288f -0x702c275d -256 -256 -1 -0 -0 -8 -0xadfa -0xb7700843 -256 -256 -0 -0 -0 -6 -0xedb2 -0xe40e6911 -256 -256 -1 -0 -0 -8 -0x45fc -0x4111c0f5 -256 -256 -0 -0 -0 -9 -0x31a4 -0x71851789 -256 -256 -0 -0 -0 -8 -0xfa34 -0x4e97b7c8 -256 -256 -0 -0 -0 -6 -0xf6f5 -0x90ec9a11 -256 -256 -1 -0 -0 -9 -0x737 -0x29ab0d7c -256 -256 -0 -0 -0 -6 -0x8b4 -0xb3977e67 -256 -256 -0 -0 -0 -1 -0xbb3 -0xcc46594a -256 -256 -0 -0 -0 -9 -0x894e -0xb9372bb2 -256 -256 -1 -0 -0 -6 -0xca25 -0x44aecb20 -256 -256 -0 -0 -0 -3 -0x6450 -0xb05f8e34 -256 -256 -1 -0 -0 -2 -0xe5d -0x5d38fe54 -256 -256 -1 -0 -0 -3 -0xa7e1 -0x5289e902 -256 -256 -1 -0 -0 -7 -0xb421 -0xe7872e2a -256 -256 -0 -0 -0 -6 -0x6fd0 -0x92cf8980 -256 -256 -0 -0 -0 -5 -0x8c6a -0x30bf3b16 -256 -256 -0 -0 -0 -1 -0x6e0d -0x6d55ec36 -256 -256 -0 -0 -0 -2 -0x57b4 -0x55fa7a07 -256 -256 -0 -0 -0 -10 -0x64fc -0x25065be4 -256 -256 -0 -0 -0 -5 -0x61be -0x33f2f5ba -256 -256 -0 -0 -0 -1 -0xf8b1 -0x5564cf64 -256 -256 -0 -0 -0 -4 -0x994f -0x75f2a942 -256 -256 -1 -0 -0 -6 -0xc09d -0x1f99d06a -256 -256 -0 -0 -0 -10 -0xf186 -0x9b3c641c -256 -256 -1 -0 -0 -6 -0xf772 -0x21dc6946 -256 -256 -0 -0 -0 -6 -0xaf50 -0xa292f1b8 -256 -256 -1 -0 -0 -8 -0x98ad -0xfeae0189 -256 -256 -0 -0 -0 -5 -0x9785 -0xa199248c -256 -256 -1 -0 -0 -8 -0x494e -0xbca3a709 -256 -256 -0 -0 -0 -7 -0x4e5d -0x21c7c019 -256 -256 -0 -0 -0 -8 -0x960a -0x94705a99 -256 -256 -0 -0 -0 -6 -0xd504 -0xe1807b0b -256 -256 -0 -0 -0 -7 -0x3744 -0x7fe2d659 -256 -256 -0 -0 -0 -3 -0x1ec -0x28e90594 -256 -256 -1 -0 -0 -4 -0xb237 -0xa823e22d -256 -256 -0 -0 -0 -4 -0x2f16 -0xac933e67 -256 -256 -0 -0 -0 -5 -0x1a19 -0x67aea598 -256 -256 -1 -0 -0 -4 -0x4c6e -0x61bd2fc1 -256 -256 -1 -0 -0 -1 -0x41c0 -0x88b6df3 -256 -256 -1 -0 -0 -9 -0x16ea -0xb694b1fa -256 -256 -1 -0 -0 -2 -0x3083 -0x64d56b9a -256 -256 -1 -0 -0 -5 -0xc758 -0xbab5a61f -256 -256 -0 -0 -0 -1 -0x5b18 -0x5bd2a466 -256 -256 -0 -0 -0 -4 -0xed61 -0xd2de3d58 -256 -256 -0 -0 -0 -8 -0xf077 -0xb81a3475 -256 -256 -0 -0 -0 -10 -0x5c5d -0x6158c56 -256 -256 -1 -0 -0 -5 -0x9617 -0x33614cf1 -256 -256 -0 -0 -0 -1 -0x2e76 -0xdec3f080 -256 -256 -1 -0 -0 -10 -0x411b -0xe0ea9e7a -256 -256 -1 -0 -0 -4 -0x19 -0x35f3641f -256 -256 -1 -0 -0 -3 -0x83e8 -0xc75a08cb -256 -256 -1 -0 -0 -8 -0x8f94 -0xfe1ec734 -256 -256 -0 -0 -0 -5 -0x3efd -0x773a37e5 -256 -256 -0 -0 -0 -5 -0xb76d -0xe69224b0 -256 -256 -1 -0 -0 -7 -0x1ed6 -0xcf1a07a9 -256 -256 -0 -0 -0 -7 -0xf10 -0xc96bd762 -256 -256 -1 -0 -0 -3 -0x962 -0x4c5ad6be -256 -256 -0 -0 -0 -6 -0xc4d4 -0xa9a193e5 -256 -256 -0 -0 -0 -10 -0x2c12 -0x605b199a -256 -256 -0 -0 -0 -6 -0x4ebe -0x5e8ed451 -256 -256 -1 -0 -0 -1 -0xc8f2 -0x3baf6d60 -256 -256 -0 -0 -0 -10 -0x5ba7 -0x72964c74 -256 -256 -0 -0 -0 -10 -0x1fd0 -0xb150f759 -256 -256 -0 -0 -0 -5 -0xdc7d -0x2ec56bff -256 -256 -1 -0 -0 -9 -0x2205 -0x3e32825a -256 -256 -1 -0 -0 -5 -0xeb82 -0x22db3330 -256 -256 -0 -0 -0 -8 -0x56d1 -0x1ab67b21 -256 -256 -1 -0 -0 -7 -0x6a5e -0x592342ac -256 -256 -1 -0 -0 -6 -0xc6ee -0x1dab5844 -256 -256 -1 -0 -0 -1 -0x1e0b -0x8d4dbed0 -256 -256 -1 -0 -0 -2 -0x9a6 -0xd31abb73 -256 -256 -1 -0 -0 -7 -0xea73 -0xaef3744f -256 -256 -1 -0 -0 -4 -0xc2a1 -0xc3fb11db -256 -256 -0 -0 -0 -3 -0x7f01 -0xd0dae765 -256 -256 -0 -0 -0 -7 -0x4230 -0x8cf840e4 -256 -256 -0 -0 -0 -9 -0xedaa -0x69503082 -256 -256 -1 -0 -0 -2 -0xb325 -0x9b4f2c1d -256 -256 -1 -0 -0 -3 -0x7571 -0xe942ccf6 -256 -256 -1 -0 -0 -2 -0x2f88 -0xa786bfba -256 -256 -0 -0 -0 -1 -0x5b5d -0xd0a5c73e -256 -256 -0 -0 -0 -9 -0x70c5 -0x2b163996 -256 -256 -0 -0 -0 -4 -0x6080 -0x6ad0e86 -256 -256 -0 -0 -0 -9 -0x7296 -0x1f2c3e5a -256 -256 -1 -0 -0 -4 -0x2607 -0x4656f6 -256 -256 -1 -0 -0 -3 -0xc21f -0xce317509 -256 -256 -0 -0 -0 -4 -0x990 -0xef840165 -256 -256 -1 -0 -0 -5 -0x676c -0x70dff824 -256 -256 -0 -0 -0 -10 -0x3fbf -0x5368d88b -256 -256 -0 -0 -0 -6 -0xc45f -0xa83b9ccd -256 -256 -1 -0 -0 -8 -0x4ad0 -0x17a6a86c -256 -256 -0 -0 -0 -7 -0x29ec -0x152d37c7 -256 -256 -1 -0 -0 -3 -0x39e8 -0x169f428 -256 -256 -0 -0 -0 -3 -0x1bf -0x24c63bed -256 -256 -1 -0 -0 -3 -0x951f -0x7911c2c5 -256 -256 -0 -0 -0 -1 -0xc6e -0x9ceb5b99 -256 -256 -1 -0 -0 -5 -0xa7f0 -0x31686190 -256 -256 -1 -0 -0 -3 -0xcd35 -0x51136dcd -256 -256 -0 -0 -0 -1 -0x5a03 -0x3ea68ac4 -256 -256 -0 -0 -0 -7 -0x9114 -0xc6b38fed -256 -256 -1 -0 -0 -7 -0x272c -0x5cb9c3e2 -256 -256 -0 -0 -0 -3 -0xd8ac -0xfbad2496 -256 -256 -0 -0 -0 -8 -0x5d83 -0x48135da9 -256 -256 -1 -0 -0 -7 -0x317a -0x837347c8 -256 -256 -1 -0 -0 -5 -0x4458 -0x422d9c3f -256 -256 -0 -0 -0 -4 -0x5af6 -0x995910d9 -256 -256 -1 -0 -0 -1 -0x9011 -0xe89bce83 -256 -256 -1 -0 -0 -2 -0x97e7 -0xfaf2a116 -256 -256 -0 -0 -0 -6 -0x697e -0x30a9623f -256 -256 -1 -0 -0 -4 -0xa172 -0x4bbb9806 -256 -256 -1 -0 -0 -3 -0x7a17 -0x3cd877b0 -256 -256 -0 -0 -0 -6 -0xc2f9 -0x39906955 -256 -256 -1 -0 -0 -8 -0x65fe -0x673fb759 -256 -256 -0 -0 -0 -7 -0xc7c1 -0xe52b45cb -256 -256 -1 -0 -0 -8 -0x4d66 -0x43a5a00f -256 -256 -1 -0 -0 -4 -0x5c04 -0x1adfbf8f -256 -256 -0 -0 -0 -10 -0x7127 -0xe666a549 -256 -256 -0 -0 -0 -4 -0x42dd -0x941a9324 -256 -256 -0 -0 -0 -8 -0xf705 -0x70e8b0ff -256 -256 -0 -0 -0 -1 -0xc70d -0x4af876c4 -256 -256 -0 -0 -0 -7 -0x8c92 -0xac055237 -256 -256 -1 -0 -0 -3 -0x83e0 -0xc4a4d510 -256 -256 -0 -0 -0 -2 -0xb782 -0xa9860f52 -256 -256 -0 -0 -0 -4 -0xf4a1 -0x3cae0dc7 -256 -256 -1 -0 -0 -2 -0x4730 -0xd37ac011 -256 -256 -1 -0 -0 -2 -0x84fa -0x45a62b83 -256 -256 -0 -0 -0 -9 -0x601e -0x9e4cc7c1 -256 -256 -1 -0 -0 -6 -0x74f7 -0xd28a9456 -256 -256 -1 -0 -0 -4 -0x7e8a -0x2404b6a5 -256 -256 -0 -0 -0 -4 -0xc12 -0x9ade9d57 -256 -256 -1 -0 -0 -4 -0xb541 -0xf8887280 -256 -256 -0 -0 -0 -3 -0x8bb4 -0xb1badb13 -256 -256 -0 -0 -0 -5 -0xe223 -0x7b741a4b -256 -256 -1 -0 -0 -2 -0x45d4 -0x8b640ba -256 -256 -1 -0 -0 -4 -0xed97 -0x7bc632df -256 -256 -0 -0 -0 -1 -0x41ff -0x6c0079a2 -256 -256 -1 -0 -0 -2 -0xb464 -0x8c1fc8a0 -256 -256 -0 -0 -0 -5 -0x4979 -0x27047277 -256 -256 -1 -0 -0 -8 -0x2db0 -0x5e6cc9d2 -256 -256 -1 -0 -0 -4 -0xe8f -0xff7aed51 -256 -256 -0 -0 -0 -10 -0x1f51 -0xfc0010f8 -256 -256 -0 -0 -0 -6 -0x1f73 -0xf180ffaa -256 -256 -0 -0 -0 -1 -0x2063 -0x707cb671 -256 -256 -0 -0 -0 -1 -0xd74b -0x1e9003f9 -256 -256 -1 -0 -0 -2 -0x3f84 -0x41570c93 -256 -256 -1 -0 -0 -10 -0x8295 -0x4299ce9d -256 -256 -0 -0 -0 -6 -0xaa83 -0x829d0038 -256 -256 -0 -0 -0 -7 -0xb130 -0xd56cbc -256 -256 -0 -0 -0 -6 -0x425d -0x3034fa45 -256 -256 -0 -0 -0 -7 -0x6eb9 -0xaa95b586 -256 -256 -0 -0 -0 -6 -0x444e -0x3647a42f -256 -256 -1 -0 -0 -2 -0x6855 -0x2129f4b5 -256 -256 -1 -0 -0 -5 -0xb896 -0x11bc6c4c -256 -256 -1 -0 -0 -4 -0x1f9f -0xb2e85125 -256 -256 -0 -0 -0 -7 -0x2dd4 -0x76668e3d -256 -256 -1 -0 -0 -2 -0xd5a6 -0x791ee5bd -256 -256 -1 -0 -0 -9 -0x1242 -0xa6fba5d -256 -256 -1 -0 -0 -8 -0x92f8 -0x845cc23a -256 -256 -0 -0 -0 -9 -0xa246 -0x68f8ca4c -256 -256 -0 -0 -0 -7 -0x8e00 -0x4aab74cb -256 -256 -1 -0 -0 -7 -0x860b -0x825e3411 -256 -256 -1 -0 -0 -10 -0x88d7 -0x3652a1fe -256 -256 -1 -0 -0 -2 -0x6237 -0x6399baa0 -256 -256 -1 -0 -0 -2 -0x4993 -0x7c493f8c -256 -256 -1 -0 -0 -6 -0x5e37 -0xb80421bf -256 -256 -0 -0 -0 -7 -0xfbd8 -0x77aec49a -256 -256 -1 -0 -0 -1 -0x72b2 -0x52ca26fa -256 -256 -1 -0 -0 -2 -0x1ff7 -0xcca66b36 -256 -256 -1 -0 -0 -5 -0x2428 -0x8a46c8d -256 -256 -1 -0 -0 -10 -0x27a3 -0x20af9253 -256 -256 -0 -0 -0 -4 -0xeede -0xde6ef605 -256 -256 -1 -0 -0 -2 -0x7351 -0xa248cbec -256 -256 -1 -0 -0 -6 -0xa60c -0xcfe9b6eb -256 -256 -0 -0 -0 -5 -0x104d -0x44c676b5 -256 -256 -0 -0 -0 -3 -0x4a3a -0x89cb453b -256 -256 -1 -0 -0 -9 -0xb5a7 -0xac629b4d -256 -256 -0 -0 -0 -4 -0x3f51 -0xadc43e1d -256 -256 -0 -0 -0 -4 -0xd8b8 -0xabe867b7 -256 -256 -0 -0 -0 -4 -0x1f48 -0x6dbcf304 -256 -256 -0 -0 -0 -3 -0x9e5e -0x8643ac6b -256 -256 -0 -0 -0 -4 -0x1a90 -0x52892083 -256 -256 -1 -0 -0 -6 -0xb5 -0xb471cab1 -256 -256 -0 -0 -0 -8 -0x24ea -0x7bf875 -256 -256 -0 -0 -0 -2 -0xefc3 -0xd60ad197 -256 -256 -0 -0 -0 -5 -0x2ccf -0x2bd95fa4 -256 -256 -1 -0 -0 -1 -0xcec5 -0x56cd3e1e -256 -256 -0 -0 -0 -6 -0xaae4 -0xc57695e1 -256 -256 -1 -0 -0 -10 -0x8737 -0xa660386c -256 -256 -0 -0 -0 -4 -0xae2a -0x29e74e2b -256 -256 -0 -0 -0 -10 -0x3183 -0x3876a90d -256 -256 -1 -0 -0 -9 -0x59ca -0x7c566680 -256 -256 -1 -0 -0 -8 -0x6aa4 -0xfb103a3a -256 -256 -1 -0 -0 -10 -0x1d2 -0x25a8293a -256 -256 -1 -0 -0 -2 -0x26 -0x47d2189f -256 -256 -1 -0 -0 -4 -0x5088 -0x8e4a53da -256 -256 -1 -0 -0 -10 -0xf31a -0x4192d46c -256 -256 -1 -0 -0 -9 -0xea76 -0x615eb6a5 -256 -256 -1 -0 -0 -9 -0xa97f -0xc4f78dc6 -256 -256 -0 -0 -0 -1 -0xe458 -0xd7abdd2 -256 -256 -0 -0 -0 -2 -0xca26 -0x4b61cf5 -256 -256 -0 -0 -0 -5 -0xd1c8 -0xb6dc1f95 -256 -256 -1 -0 -0 -7 -0x3bba -0x289b4135 -256 -256 -0 -0 -0 -3 -0xdb50 -0xa97b4335 -256 -256 -1 -0 -0 -5 -0x6060 -0xaa39783e -256 -256 -0 -0 -0 -3 -0x2fbe -0x78e0e754 -256 -256 -1 -0 -0 -1 -0x4e43 -0x34d830af -256 -256 -1 -0 -0 -4 -0x8d9e -0xd090fe1c -256 -256 -0 -0 -0 -4 -0x152 -0xbed62c23 -256 -256 -1 -0 -0 -4 -0x4908 -0x139ce56d -256 -256 -0 -0 -0 -9 -0x65e -0xb7ea8b38 -256 -256 -1 -0 -0 -3 -0x8145 -0xb957e0c4 -256 -256 -1 -0 -0 -1 -0xb416 -0xf1f49a3 -256 -256 -0 -0 -0 -6 -0xbfb2 -0x7abe6e5c -256 -256 -1 -0 -0 -8 -0xd5b8 -0x6bb6ab27 -256 -256 -1 -0 -0 -5 -0x19a9 -0x734d694 -256 -256 -0 -0 -0 -3 -0x5089 -0x1ddb04ba -256 -256 -0 -0 -0 -9 -0x969c -0x3031ccd2 -256 -256 -0 -0 -0 -7 -0x57eb -0xe5843ee0 -256 -256 -1 -0 -0 -9 -0xcadd -0x28bda5e8 -256 -256 -1 -0 -0 -5 -0xdbb1 -0xc7f16409 -256 -256 -1 -0 -0 -10 -0x971b -0x6791af2b -256 -256 -0 -0 -0 -2 -0x4ffc -0xc28e6 -256 -256 -0 -0 -0 -1 -0x65d7 -0xaeaf0829 -256 -256 -0 -0 -0 -8 -0x5dd9 -0x413d38a4 -256 -256 -1 -0 -0 -7 -0xcc06 -0xb04c232b -256 -256 -0 -0 -0 -8 -0xf9a7 -0x647e48a2 -256 -256 -1 -0 -0 -9 -0x261c -0xbd927026 -256 -256 -0 -0 -0 -2 -0x9d60 -0xdd67d894 -256 -256 -0 -0 -0 -7 -0xfa9b -0x89c93cc4 -256 -256 -1 -0 -0 -3 -0x36b6 -0xb63cee98 -256 -256 -1 -0 -0 -10 -0x1141 -0x8027bde2 -256 -256 -1 -0 -0 -7 -0x8dd1 -0xcb20ac67 -256 -256 -1 -0 -0 -7 -0x36b4 -0xc0e50e9b -256 -256 -0 -0 -0 -9 -0x5a5c -0xb5489d74 -256 -256 -1 -0 -0 -2 -0x2665 -0xeeb0f5ec -256 -256 -1 -0 -0 -5 -0xae22 -0x2a66373 -256 -256 -0 -0 -0 -2 -0xf36a -0xcde33c90 -256 -256 -0 -0 -0 -6 -0xadca -0x1749c08a -256 -256 -0 -0 -0 -5 -0x3adc -0xc98d33fd -256 -256 -0 -0 -0 -4 -0xdf57 -0x9ce06cea -256 -256 -1 -0 -0 -3 -0x9b40 -0x32f9ae1f -256 -256 -1 -0 -0 -3 -0xf73d -0x343b9cb5 -256 -256 -1 -0 -0 -6 -0xcf26 -0x31c32541 -256 -256 -0 -0 -0 -6 -0x5a65 -0xa02bb5f7 -256 -256 -1 -0 -0 -4 -0x9f47 -0xfcdffcad -256 -256 -0 -0 -0 -4 -0xb456 -0x8126b11a -256 -256 -0 -0 -0 -3 -0x9c20 -0x5ebd34de -256 -256 -0 -0 -0 -5 -0x45a8 -0x5bd05cf3 -256 -256 -0 -0 -0 -4 -0x5971 -0x95bcdd46 -256 -256 -1 -0 -0 -2 -0xe181 -0xe415b069 -256 -256 -0 -0 -0 -2 -0xcc67 -0xbe5b20a7 -256 -256 -1 -0 -0 -6 -0x1674 -0x57e8b7db -256 -256 -1 -0 -0 -1 -0xdd9c -0x716b9435 -256 -256 -0 -0 -0 -5 -0xcdd9 -0xcf7b7dd1 -256 -256 -1 -0 -0 -1 -0x8cc4 -0x4f8d2bcd -256 -256 -0 -0 -0 -6 -0xcc0a -0x2fe6260a -256 -256 -0 -0 -0 -8 -0xc677 -0xf3bc3e1c -256 -256 -1 -0 -0 -4 -0x837e -0x6d4f672 -256 -256 -0 -0 -0 -5 -0xf6ea -0xc8d0949e -256 -256 -1 -0 -0 -3 -0x4f4f -0x79d8d923 -256 -256 -0 -0 -0 -1 -0x62a6 -0x3efd0a67 -256 -256 -0 -0 -0 -7 -0xda1b -0xd35016a7 -256 -256 -1 -0 -0 -9 -0x7025 -0x50e303c3 -256 -256 -0 -0 -0 -10 -0x620b -0x806da19b -256 -256 -1 -0 -0 -7 -0x3353 -0x83ee7c30 -256 -256 -1 -0 -0 -8 -0xad9e -0x562974a -256 -256 -0 -0 -0 -10 -0xf90a -0x2d632921 -256 -256 -1 -0 -0 -6 -0x3a06 -0xb3728001 -256 -256 -0 -0 -0 -5 -0x41c6 -0xefdf6f67 -256 -256 -0 -0 -0 -5 -0xd564 -0x3754843a -256 -256 -1 -0 -0 -10 -0xdc12 -0xf962b8fe -256 -256 -1 -0 -0 -7 -0x56ab -0xd2549d74 -256 -256 -1 -0 -0 -9 -0x4b85 -0x863477c9 -256 -256 -1 -0 -0 -9 -0x553f -0x7e432377 -256 -256 -0 -0 -0 -4 -0x21a7 -0x96a6fb8a -256 -256 -1 -0 -0 -6 -0xef73 -0xce028bb7 -256 -256 -0 -0 -0 -2 -0xdf07 -0xe61be04 -256 -256 -1 -0 -0 -6 -0x1506 -0x1456c116 -256 -256 -1 -0 -0 -1 -0x5e2f -0x1b2709a4 -256 -256 -1 -0 -0 -8 -0xd70b -0xec3b094d -256 -256 -0 -0 -0 -4 -0x61e9 -0x937f8319 -256 -256 -0 -0 -0 -7 -0x5c47 -0x4ca4ad96 -256 -256 -1 -0 -0 -4 -0x68ba -0x85607e4c -256 -256 -0 -0 -0 -6 -0x6d3c -0xe9ff9ee4 -256 -256 -1 -0 -0 -2 -0xecff -0x1e9bedad -256 -256 -0 -0 -0 -9 -0x89f5 -0xebb203d7 -256 -256 -1 -0 -0 -4 -0xd780 -0x65c31731 -256 -256 -1 -0 -0 -5 -0xe32e -0x7dc37e11 -256 -256 -1 -0 -0 -7 -0xec5a -0x8f59b4b9 -256 -256 -0 -0 -0 -8 -0x63cc -0x19c9dc07 -256 -256 -0 -0 -0 -9 -0xd744 -0xf2337074 -256 -256 -1 -0 -0 -5 -0xc3f2 -0x2785a95c -256 -256 -1 -0 -0 -5 -0x1a0f -0x6a180129 -256 -256 -1 -0 -0 -4 -0x5571 -0x56d0b187 -256 -256 -1 -0 -0 -7 -0x8613 -0xb1ed4524 -256 -256 -0 -0 -0 -1 -0x93ba -0x8ca2dcab -256 -256 -0 -0 -0 -7 -0xcbfc -0x881cecec -256 -256 -1 -0 -0 -2 -0xe6bc -0x41f0607f -256 -256 -0 -0 -0 -2 -0xb458 -0xf6fa45d5 -256 -256 -0 -0 -0 -5 -0x518 -0x9ea5180b -256 -256 -0 -0 -0 -1 -0x8fe1 -0x8f164194 -256 -256 -1 -0 -0 -1 -0x22f -0xb939c855 -256 -256 -1 -0 -0 -9 -0xae8a -0x1a338238 -256 -256 -0 -0 -0 -3 -0xc545 -0x64db59cc -256 -256 -1 -0 -0 -6 -0x228b -0x18e390a1 -256 -256 -1 -0 -0 -10 -0x90fd -0x595633ad -256 -256 -1 -0 -0 -3 -0x4dbf -0x73f0e6a8 -256 -256 -0 -0 -0 -8 -0xa929 -0xb71fb442 -256 -256 -1 -0 -0 -3 -0x9096 -0xd9127f02 -256 -256 -1 -0 -0 -9 -0xc6f3 -0xeee55a45 -256 -256 -0 -0 -0 -5 -0x1cd7 -0x71de937f -256 -256 -1 -0 -0 -1 -0x9039 -0x4f2937b8 -256 -256 -0 -0 -0 -3 -0x6687 -0x29784fbe -256 -256 -1 -0 -0 -3 -0x94da -0x880217da -256 -256 -1 -0 -0 -5 -0xfbe8 -0xf3adbfd9 -256 -256 -1 -0 -0 -6 -0x5486 -0x3257da3f -256 -256 -0 -0 -0 -5 -0xb2a7 -0xd4a418d0 -256 -256 -0 -0 -0 -3 -0x2ac1 -0x9e2aea57 -256 -256 -1 -0 -0 -2 -0x489 -0xf49132f8 -256 -256 -1 -0 -0 -9 -0xaf3 -0x5a82cdfa -256 -256 -1 -0 -0 -8 -0x1c75 -0x32739621 -256 -256 -1 -0 -0 -8 -0xadd5 -0xee9de214 -256 -256 -1 -0 -0 -9 -0x7768 -0x7af5994e -256 -256 -0 -0 -0 -7 -0xb3fd -0x1799fa10 -256 -256 -1 -0 -0 -4 -0x21e8 -0x9e08af44 -256 -256 -0 -0 -0 -8 -0x141a -0xa35449fe -256 -256 -1 -0 -0 -8 -0x6714 -0x4da970ff -256 -256 -0 -0 -0 -5 -0x9fd6 -0x30f11f78 -256 -256 -1 -0 -0 -6 -0x6d80 -0xc6b7fbd8 -256 -256 -0 -0 -0 -1 -0xfc21 -0x89e4016b -256 -256 -1 -0 -0 -3 -0x27ad -0xa28242b9 -256 -256 -0 -0 -0 -4 -0xc1ca -0xcffd3115 -256 -256 -1 -0 -0 -9 -0xa29b -0x18f3fa5f -256 -256 -0 -0 -0 -7 -0xfdd6 -0xf7df0015 -256 -256 -1 -0 -0 -7 -0x9c7c -0x2f00b7c1 -256 -256 -0 -0 -0 -3 -0x2624 -0x3d9d72f2 -256 -256 -0 -0 -0 -8 -0x3fcc -0xf7a1127d -256 -256 -0 -0 -0 -2 -0x7fca -0xc895c2b2 -256 -256 -0 -0 -0 -3 -0xe934 -0x2d4993b0 -256 -256 -1 -0 -0 -3 -0xf09a -0xfd009d24 -256 -256 -1 -0 -0 -10 -0x8740 -0xf51de2e6 -256 -256 -0 -0 -0 -4 -0x633a -0x9287d389 -256 -256 -1 -0 -0 -5 -0x8a1 -0xd6664d5d -256 -256 -1 -0 -0 -8 -0xdc25 -0x615739c5 -256 -256 -1 -0 -0 -3 -0xb269 -0xd9eb284d -256 -256 -1 -0 -0 -4 -0x9ecf -0xef0e93ba -256 -256 -0 -0 -0 -3 -0xee9c -0xe12b8a9 -256 -256 -1 -0 -0 -1 -0x7fb4 -0x2673e10e -256 -256 -0 -0 -0 -7 -0x5185 -0x22cf81f7 -256 -256 -0 -0 -0 -3 -0x7d95 -0x9b5acc9e -256 -256 -0 -0 -0 -9 -0x963e -0xde554f4d -256 -256 -1 -0 -0 -4 -0x1550 -0xa37e5f46 -256 -256 -0 -0 -0 -1 -0x5c44 -0x107677ec -256 -256 -1 -0 -0 -10 -0x462e -0x7c149ff0 -256 -256 -0 -0 -0 -1 -0xbafd -0x1743b80e -256 -256 -1 -0 -0 -2 -0xdb8e -0xeba9bb58 -256 -256 -0 -0 -0 -8 -0x48f7 -0x314934d4 -256 -256 -0 -0 -0 -8 -0xaaaa -0x8d388575 -256 -256 -0 -0 -0 -7 -0xcc7d -0x1ad61913 -256 -256 -1 -0 -0 -4 -0x4947 -0xa168a007 -256 -256 -0 -0 -0 -2 -0x255f -0xf81323f1 -256 -256 -1 -0 -0 -6 -0x12d7 -0x31c0ce93 -256 -256 -0 -0 -0 -4 -0x6fb0 -0x384733f3 -256 -256 -0 -0 -0 -5 -0xb55f -0xa21a0e7e -256 -256 -1 -0 -0 -6 -0xc765 -0xd427b27a -256 -256 -0 -0 -0 -6 -0x6d7 -0x7d9e1349 -256 -256 -0 -0 -0 -2 -0xf2d0 -0x97bb8641 -256 -256 -0 -0 -0 -8 -0x40e1 -0x795575eb -256 -256 -0 -0 -0 -1 -0x6e56 -0x3ac7a198 -256 -256 -0 -0 -0 -7 -0x9b9f -0x829d576c -256 -256 -0 -0 -0 -5 -0xce8a -0xfc887fd6 -256 -256 -1 -0 -0 -1 -0xc3dc -0x4a1aaeb2 -256 -256 -1 -0 -0 -7 -0x413e -0x83db497e -256 -256 -0 -0 -0 -7 -0xf727 -0xd908c82 -256 -256 -1 -0 -0 -10 -0xd7d5 -0xf3084d62 -256 -256 -0 -0 -0 -7 -0x460d -0xcb9dcf49 -256 -256 -0 -0 -0 -8 -0x5ded -0xbe145d9a -256 -256 -1 -0 -0 -7 -0xaa49 -0xa2f988a0 -256 -256 -1 -0 -0 -5 -0x4b61 -0xe23c1b36 -256 -256 -1 -0 -0 -3 -0x9456 -0xf338029b -256 -256 -0 -0 -0 -4 -0x7b79 -0x6ca77307 -256 -256 -0 -0 -0 -4 -0x1a19 -0x8c64aec5 -256 -256 -1 -0 -0 -3 -0xcb61 -0xfd53bc8 -256 -256 -1 -0 -0 -5 -0xb258 -0x142d674e -256 -256 -0 -0 -0 -7 -0xf47e -0xe543e8aa -256 -256 -1 -0 -0 -1 -0x42d2 -0x932c55cf -256 -256 -0 -0 -0 -10 -0x9132 -0x2dea84e7 -256 -256 -1 -0 -0 -3 -0xa806 -0x9b526bf2 -256 -256 -0 -0 -0 -10 -0xe1d2 -0xdf04d4d6 -256 -256 -1 -0 -0 -2 -0xc543 -0xc8fb27df -256 -256 -1 -0 -0 -4 -0x643b -0x73bb9db9 -256 -256 -0 -0 -0 -5 -0x5934 -0xf621a638 -256 -256 -0 -0 -0 -2 -0x80cd -0x1fd37cc9 -256 -256 -1 -0 -0 -1 -0x6108 -0x9057b128 -256 -256 -1 -0 -0 -10 -0xe375 -0x7861ff76 -256 -256 -1 -0 -0 -5 -0xb4bf -0xfc87f7d4 -256 -256 -1 -0 -0 -6 -0x5a9e -0x5e96c277 -256 -256 -0 -0 -0 -2 -0x69fa -0x275023fa -256 -256 -1 -0 -0 -6 -0xa251 -0x937fc958 -256 -256 -0 -0 -0 -4 -0xb0d -0xa97e50b -256 -256 -1 -0 -0 -3 -0xe7db -0xaa3d50bd -256 -256 -0 -0 -0 -7 -0x9663 -0x8425783f -256 -256 -1 -0 -0 -7 -0xc4a5 -0xf7f0763b -256 -256 -1 -0 -0 -7 -0x2921 -0x9f985a99 -256 -256 -0 -0 -0 -8 -0xa6fe -0x878813ea -256 -256 -1 -0 -0 -3 -0x3018 -0xb83f1d4a -256 -256 -0 -0 -0 -6 -0x7d4e -0xfd66487c -256 -256 -0 -0 -0 -10 -0x5ac1 -0xbb9abd8a -256 -256 -1 -0 -0 -4 -0xc458 -0x754ea6e7 -256 -256 -0 -0 -0 -4 -0x124b -0x2107aa4f -256 -256 -0 -0 -0 -3 -0xb260 -0x3e16ad4a -256 -256 -1 -0 -0 -1 -0xb408 -0x3eb51734 -256 -256 -0 -0 -0 -5 -0xd153 -0xae03b271 -256 -256 -0 -0 -0 -2 -0xce2e -0xbbd40f85 -256 -256 -1 -0 -0 -5 -0x2233 -0xaefd8b1a -256 -256 -1 -0 -0 -10 -0xd8f -0x4b2acc20 -256 -256 -1 -0 -0 -1 -0x9fdb -0xebae9c6b -256 -256 -0 -0 -0 -10 -0x20d0 -0xdf581979 -256 -256 -1 -0 -0 -10 -0xe61f -0x593c1adf -256 -256 -1 -0 -0 -4 -0xca37 -0x7f45f87f -256 -256 -1 -0 -0 -10 -0x27a3 -0xbe4b1034 -256 -256 -1 -0 -0 -10 -0xa9f2 -0x65d21075 -256 -256 -1 -0 -0 -8 -0xc7b8 -0xdc5b0581 -256 -256 -0 -0 -0 -9 -0x573f -0x44e3702d -256 -256 -0 -0 -0 -6 -0xc31 -0xcdd0e44a -256 -256 -1 -0 -0 -5 -0xcc75 -0x60651aa8 -256 -256 -0 -0 -0 -2 -0xf40c -0x6b3167bd -256 -256 -1 -0 -0 -1 -0xb629 -0x6e8ba0c1 -256 -256 -0 -0 -0 -9 -0xff5b -0x9ec51bdc -256 -256 -1 -0 -0 -4 -0x896d -0x84c9d3cd -256 -256 -1 -0 -0 -2 -0xe138 -0x4f38f74d -256 -256 -1 -0 -0 -6 -0xf5fa -0xfc5dff59 -256 -256 -0 -0 -0 -7 -0x2839 -0x5d29de9 -256 -256 -0 -0 -0 -6 -0xf09c -0x199cc595 -256 -256 -1 -0 -0 -1 -0x12e -0xdf5f1d67 -256 -256 -1 -0 -0 -9 -0x747c -0x6031bf1d -256 -256 -1 -0 -0 -8 -0xd478 -0x48a89679 -256 -256 -1 -0 -0 -8 -0xaf5b -0xac4b6a8 -256 -256 -1 -0 -0 -1 -0x8e63 -0xab43ddbc -256 -256 -1 -0 -0 -9 -0xf7b9 -0x6cabbb5f -256 -256 -0 -0 -0 -7 -0x9039 -0x9357348c -256 -256 -1 -0 -0 -7 -0x4159 -0x3eb45481 -256 -256 -1 -0 -0 -1 -0xca6c -0x634b76e9 -256 -256 -1 -0 -0 -9 -0x7a3c -0xf2d1183a -256 -256 -0 -0 -0 -9 -0xe9c0 -0x5291ac21 -256 -256 -0 -0 -0 -2 -0xe6ea -0xc7a3ddd5 -256 -256 -1 -0 -0 -8 -0xa55 -0xb475c12f -256 -256 -1 -0 -0 -8 -0x7ee1 -0x8ffdf6a4 -256 -256 -1 -0 -0 -8 -0x5f71 -0x987b9bc5 -256 -256 -1 -0 -0 -4 -0xfa42 -0x58b5b904 -256 -256 -1 -0 -0 -3 -0x82ae -0xb2eb0e54 -256 -256 -0 -0 -0 -9 -0x4164 -0x941d6ec0 -256 -256 -0 -0 -0 -10 -0x249 -0x8193f4b8 -256 -256 -0 -0 -0 -2 -0x5994 -0x56916efd -256 -256 -1 -0 -0 -3 -0x50ac -0x822e0039 -256 -256 -1 -0 -0 -5 -0xb324 -0xe7bc442f -256 -256 -1 -0 -0 -8 -0x6701 -0x17009f55 -256 -256 -0 -0 -0 -1 -0xc53c -0x2c2f534f -256 -256 -1 -0 -0 -5 -0x68 -0xa15392da -256 -256 -0 -0 -0 -10 -0xca73 -0x16a8eab8 -256 -256 -0 -0 -0 -6 -0x6181 -0xfa498493 -256 -256 -1 -0 -0 -8 -0x567 -0x7f5cfcea -256 -256 -1 -0 -0 -3 -0x3ee9 -0x16bbe8b6 -256 -256 -1 -0 -0 -7 -0xbf95 -0x12b5180f -256 -256 -0 -0 -0 -4 -0xbed8 -0x2688d0a2 -256 -256 -0 -0 -0 -10 -0x3e16 -0xa6bc6a5c -256 -256 -1 -0 -0 -3 -0xef0c -0x3ff5b254 -256 -256 -0 -0 -0 -4 -0xc3ab -0xac53f3f8 -256 -256 -0 -0 -0 -3 -0x3403 -0xacfc6dad -256 -256 -0 -0 -0 -6 -0x1eab -0x6a27aad4 -256 -256 -0 -0 -0 -5 -0xf70d -0x53cbed1 -256 -256 -1 -0 -0 -2 -0x92a9 -0xb5e35db6 -256 -256 -0 -0 -0 -4 -0x7aef -0x5846ffb8 -256 -256 -0 -0 -0 -9 -0xcba9 -0x7f9691d5 -256 -256 -1 -0 -0 -8 -0xb89c -0xb91871b6 -256 -256 -1 -0 -0 -4 -0xfdda -0xd71ec5ee -256 -256 -0 -0 -0 -7 -0xc4c2 -0xc68530ef -256 -256 -1 -0 -0 -7 -0x3a20 -0xeed42c24 -256 -256 -1 -0 -0 -4 -0xbeae -0xcb9d7cd -256 -256 -0 -0 -0 -1 -0x5c4d -0x7b76f585 -256 -256 -0 -0 -0 -8 -0x62e -0x7bd0350b -256 -256 -0 -0 -0 -8 -0x3665 -0x7c4b4595 -256 -256 -0 -0 -0 -1 -0xa74e -0xad28ccf7 -256 -256 -0 -0 -0 -9 -0x94c4 -0x1b95c9d1 -256 -256 -0 -0 -0 -6 -0x3914 -0x559ef218 -256 -256 -1 -0 -0 -8 -0x3b02 -0x8b817166 -256 -256 -0 -0 -0 -6 -0xabda -0x73f489c -256 -256 -0 -0 -0 -5 -0xa44c -0xfc628c7 -256 -256 -1 -0 -0 -7 -0x3d9e -0xf626b54b -256 -256 -0 -0 -0 -10 -0xd59a -0x9c1e7450 -256 -256 -1 -0 -0 -9 -0xf49d -0x8cbeb253 -256 -256 -1 -0 -0 -8 -0x1a8c -0x99199a6e -256 -256 -1 -0 -0 -2 -0xf854 -0x47fd74d2 -256 -256 -1 -0 -0 -6 -0xcba1 -0xea3f26f7 -256 -256 -0 -0 -0 -9 -0xb6ca -0xacce2c74 -256 -256 -0 -0 -0 -7 -0x5f44 -0xdf9056cd -256 -256 -1 -0 -0 -5 -0xcc54 -0xfb8e7bdf -256 -256 -0 -0 -0 -9 -0xbda1 -0xccb8d35a -256 -256 -0 -0 -0 -6 -0x4f78 -0xa8c0a417 -256 -256 -1 -0 -0 -9 -0x67c3 -0xb28cd9e4 -256 -256 -0 -0 -0 -2 -0xe6c0 -0x28b7d04c -256 -256 -1 -0 -0 -2 -0x1180 -0x6178fe3c -256 -256 -1 -0 -0 -5 -0x33cb -0xb9c93591 -256 -256 -1 -0 -0 -4 -0x7273 -0x9e582d33 -256 -256 -1 -0 -0 -6 -0x4865 -0x882641b6 -256 -256 -0 -0 -0 -9 -0x4647 -0xcce57f36 -256 -256 -1 -0 -0 -2 -0xe6aa -0x70f457fa -256 -256 -0 -0 -0 -1 -0xcd6c -0x6fd8cc6c -256 -256 -0 -0 -0 -4 -0xcadc -0xc1cacc3e -256 -256 -1 -0 -0 -10 -0xc41 -0xbbbc83a9 -256 -256 -1 -0 -0 -8 -0x976e -0xe8b64385 -256 -256 -0 -0 -0 -5 -0xcfcf -0xb512badd -256 -256 -0 -0 -0 -10 -0x1e7f -0x83deed36 -256 -256 -0 -0 -0 -4 -0x42d4 -0x4b51b6e7 -256 -256 -1 -0 -0 -10 -0x5f1e -0x1c32b7da -256 -256 -0 -0 -0 -8 -0x1a27 -0xeb781bab -256 -256 -0 -0 -0 -2 -0x2a4a -0x870dfb8d -256 -256 -0 -0 -0 -3 -0xb599 -0x860c40c9 -256 -256 -0 -0 -0 -10 -0x79b6 -0x33b584d -256 -256 -0 -0 -0 -8 -0x156f -0xd9f5beb0 -256 -256 -1 -0 -0 -7 -0x595b -0xc2ffd9f5 -256 -256 -1 -0 -0 -3 -0xc7d3 -0x671c38b9 -256 -256 -1 -0 -0 -6 -0xdf54 -0xdebcc759 -256 -256 -1 -0 -0 -4 -0xbfda -0x76893779 -256 -256 -0 -0 -0 -6 -0x2bbe -0x1cd2c47c -256 -256 -0 -0 -0 -8 -0x5ce7 -0xae964c26 -256 -256 -0 -0 -0 -6 -0x857e -0x1d278791 -256 -256 -1 -0 -0 -2 -0xf951 -0xb31e5e16 -256 -256 -1 -0 -0 -4 -0xc64c -0xc305bd1f -256 -256 -1 -0 -0 -9 -0x960a -0x12cdcdb0 -256 -256 -0 -0 -0 -5 -0x9b11 -0xfbcb083a -256 -256 -1 -0 -0 -2 -0x9ebd -0xa614bb43 -256 -256 -0 -0 -0 -1 -0xc30b -0x47b570fc -256 -256 -1 -0 -0 -8 -0xae4c -0x9e7760ae -256 -256 -0 -0 -0 -2 -0xe578 -0xabeaf0e3 -256 -256 -1 -0 -0 -7 -0x1c54 -0x56385b71 -256 -256 -0 -0 -0 -7 -0x5243 -0x2e04ca29 -256 -256 -1 -0 -0 -7 -0x6315 -0x72b70c49 -256 -256 -0 -0 -0 -2 -0x8b29 -0x24582d1b -256 -256 -1 -0 -0 -10 -0x8812 -0x21f8ea56 -256 -256 -1 -0 -0 -10 -0xc975 -0x2e3ee8a5 -256 -256 -1 -0 -0 -6 -0x480b -0x7462cc9f -256 -256 -1 -0 -0 -3 -0x749e -0xb0ebe781 -256 -256 -0 -0 -0 -5 -0xceda -0xcbc1a7b8 -256 -256 -1 -0 -0 -3 -0xadfe -0x97e6cb86 -256 -256 -0 -0 -0 -4 -0xf80c -0x86fc1d2 -256 -256 -0 -0 -0 -3 -0x48c8 -0x23b1ca11 -256 -256 -1 -0 -0 -4 -0x1cfc -0x16b35484 -256 -256 -1 -0 -0 -6 -0xeebc -0x1d0ae67e -256 -256 -1 -0 -0 -1 -0x45d7 -0x77bb25f5 -256 -256 -0 -0 -0 -2 -0xeb0d -0xbf92fa49 -256 -256 -0 -0 -0 -4 -0x557 -0xd3df254d -256 -256 -0 -0 -0 -3 -0x9a3a -0x2ecd9825 -256 -256 -1 -0 -0 -10 -0x48ec -0xee21b233 -256 -256 -1 -0 -0 -10 -0x242a -0xfe7d1b2f -256 -256 -1 -0 -0 -3 -0xf859 -0x186c919b -256 -256 -1 -0 -0 -4 -0x5367 -0xfa8d6604 -256 -256 -0 -0 -0 -6 -0x4959 -0x741db9cf -256 -256 -1 -0 -0 -10 -0xea4a -0xb22cb8d0 -256 -256 -0 -0 -0 -2 -0x731a -0x493285ce -256 -256 -1 -0 -0 -5 -0x488d -0x1d1da205 -256 -256 -1 -0 -0 -2 -0x1c9f -0x496a0044 -256 -256 -0 -0 -0 -6 -0x854e -0xddf13648 -256 -256 -0 -0 -0 -9 -0x8031 -0x7ecd21e1 -256 -256 -0 -0 -0 -9 -0x1ce1 -0xc3b72031 -256 -256 -1 -0 -0 -9 -0x5e37 -0xed3986b3 -256 -256 -0 -0 -0 -5 -0x1e68 -0x779f1b8d -256 -256 -0 -0 -0 -6 -0xc63d -0x51459747 -256 -256 -1 -0 -0 -8 -0x5397 -0x152d6b59 -256 -256 -1 -0 -0 -3 -0x3935 -0x668ae1b -256 -256 -0 -0 -0 -4 -0x44e6 -0x8cac0a95 -256 -256 -0 -0 -0 -7 -0x9b38 -0x2295f491 -256 -256 -0 -0 -0 -2 -0x18f7 -0x473bfc24 -256 -256 -1 -0 -0 -2 -0x7057 -0xb1696d76 -256 -256 -0 -0 -0 -2 -0xf8b5 -0x30bc037e -256 -256 -0 -0 -0 -9 -0x1140 -0x4f1078fe -256 -256 -0 -0 -0 -7 -0x2cf0 -0xdaf1cd74 -256 -256 -0 -0 -0 -3 -0x9b0 -0x36182318 -256 -256 -1 -0 -0 -1 -0xd595 -0x490b93ab -256 -256 -1 -0 -0 -3 -0xa94f -0xbafb1c05 -256 -256 -0 -0 -0 -7 -0x4483 -0x2feebbe0 -256 -256 -1 -0 -0 -3 -0x815f -0xd57cf62a -256 -256 -0 -0 -0 -5 -0xaba -0x7033f5db -256 -256 -0 -0 -0 -6 -0xa636 -0x137bd0ea -256 -256 -1 -0 -0 -1 -0x91a4 -0x14de2c93 -256 -256 -0 -0 -0 -2 -0xea05 -0x1ce2214c -256 -256 -0 -0 -0 -2 -0x8c86 -0xbc8b2101 -256 -256 -0 -0 -0 -8 -0x6707 -0xe84d2af9 -256 -256 -1 -0 -0 -6 -0xe785 -0x872bf343 -256 -256 -1 -0 -0 -1 -0x25aa -0x5e63ad7c -256 -256 -0 -0 -0 -8 -0x81a3 -0xb6905e0e -256 -256 -1 -0 -0 -5 -0x1241 -0xa29a2c41 -256 -256 -0 -0 -0 -8 -0x1e6a -0xa3cefda7 -256 -256 -0 -0 -0 -1 -0xc297 -0x939c3eed -256 -256 -1 -0 -0 -8 -0xa661 -0x70ecc027 -256 -256 -0 -0 -0 -8 -0x19a4 -0xff5fbb -256 -256 -1 -0 -0 -1 -0x999e -0x9b0bfa70 -256 -256 -0 -0 -0 -9 -0x8e82 -0x7bba7a6a -256 -256 -1 -0 -0 -6 -0x8852 -0x1a76043e -256 -256 -0 -0 -0 -10 -0x8b20 -0x5b1d68ab -256 -256 -0 -0 -0 -5 -0x67c3 -0xc645d666 -256 -256 -1 -0 -0 -7 -0x30c4 -0x5b4bf2bc -256 -256 -0 -0 -0 -2 -0x30dc -0x9fff1feb -256 -256 -0 -0 -0 -3 -0xafd0 -0xe1d0aaae -256 -256 -1 -0 -0 -6 -0x489a -0x782fb85f -256 -256 -0 -0 -0 -1 -0x18fd -0x2d083de1 -256 -256 -0 -0 -0 -1 -0x261 -0xa46795d5 -256 -256 -0 -0 -0 -4 -0xe2d7 -0xc13da11a -256 -256 -1 -0 -0 -4 -0x3738 -0x4d59612d -256 -256 -1 -0 -0 -10 -0x85df -0x9e9d699f -256 -256 -0 -0 -0 -5 -0xec37 -0x1f7535aa -256 -256 -1 -0 -0 -7 -0xdc56 -0x4ddf1919 -256 -256 -0 -0 -0 -2 -0x338b -0xef89693b -256 -256 -1 -0 -0 -2 -0xe609 -0xbe66788c -256 -256 -1 -0 -0 -8 -0xe95c -0xd2174c5f -256 -256 -1 -0 -0 -4 -0x52a5 -0xbae616c9 -256 -256 -0 -0 -0 -1 -0xe1c2 -0xae0db7bf -256 -256 -0 -0 -0 -4 -0xe970 -0xf6feeb5d -256 -256 -1 -0 -0 -8 -0xab12 -0x46b79b22 -256 -256 -0 -0 -0 -2 -0x305d -0xff9794aa -256 -256 -1 -0 -0 -9 -0x4616 -0xddc19234 -256 -256 -1 -0 -0 -3 -0xfbd8 -0x6db9275c -256 -256 -1 -0 -0 -2 -0xc6dd -0x153fc785 -256 -256 -0 -0 -0 -6 -0x81fe -0x835b93fd -256 -256 -0 -0 -0 -2 -0xaa4a -0x7928b8f5 -256 -256 -0 -0 -0 -8 -0xb635 -0xd8fc75a2 -256 -256 -0 -0 -0 -5 -0xe130 -0x633ea095 -256 -256 -0 -0 -0 -1 -0xebca -0x117aed26 -256 -256 -1 -0 -0 -2 -0x7461 -0x4caee2e8 -256 -256 -0 -0 -0 -5 -0x3240 -0x6f2b7e49 -256 -256 -1 -0 -0 -1 -0x6aa -0xcbaaa579 -256 -256 -0 -0 -0 -2 -0x9197 -0x526eecde -256 -256 -0 -0 -0 -2 -0x711d -0x17dbf364 -256 -256 -0 -0 -0 -8 -0xecd1 -0x4b4544aa -256 -256 -0 -0 -0 -3 -0x4616 -0x9b3b1061 -256 -256 -0 -0 -0 -8 -0xc8e6 -0xc8c8736d -256 -256 -0 -0 -0 -7 -0xa9cd -0x625437e9 -256 -256 -0 -0 -0 -5 -0x81c2 -0x29c4fc92 -256 -256 -1 -0 -0 -9 -0xdd07 -0x4c9c96a4 -256 -256 -1 -0 -0 -8 -0x9296 -0x2a4dd541 -256 -256 -0 -0 -0 -9 -0x652e -0x10677aba -256 -256 -0 -0 -0 -1 -0x30ed -0x3ece7e97 -256 -256 -0 -0 -0 -10 -0x9e07 -0x1e4557af -256 -256 -1 -0 -0 -10 -0x90cf -0x8df833b1 -256 -256 -1 -0 -0 -7 -0x225a -0x678be5c0 -256 -256 -0 -0 -0 -10 -0xa9c9 -0x5fdba154 -256 -256 -0 -0 -0 -3 -0xc842 -0x74691b4e -256 -256 -0 -0 -0 -9 -0x6560 -0xebe32afd -256 -256 -1 -0 -0 -4 -0x116c -0x757be1b2 -256 -256 -0 -0 -0 -9 -0x6e7f -0x3d757bb8 -256 -256 -0 -0 -0 -10 -0x24c5 -0xbab4c3ca -256 -256 -1 -0 -0 -7 -0xb13 -0xfc5c54b4 -256 -256 -0 -0 -0 -1 -0x78b8 -0xae41e65e -256 -256 -1 -0 -0 -4 -0xf73b -0xaf55af02 -256 -256 -0 -0 -0 -6 -0x1dde -0x17fedcd9 -256 -256 -0 -0 -0 -6 -0xe0bb -0xfa87bf9 -256 -256 -0 -0 -0 -10 -0xf368 -0x1dab1bb -256 -256 -0 -0 -0 -6 -0xe128 -0xb07d0297 -256 -256 -1 -0 -0 -1 -0xda92 -0x6e334622 -256 -256 -0 -0 -0 -9 -0x8c6e -0xcf8ab83c -256 -256 -1 -0 -0 -1 -0x23b8 -0xfa8df766 -256 -256 -0 -0 -0 -7 -0xd9c2 -0x11abc66d -256 -256 -0 -0 -0 -4 -0x439 -0x10965ba7 -256 -256 -1 -0 -0 -6 -0x4c1 -0x4feed4eb -256 -256 -0 -0 -0 -6 -0x38df -0x577c7b75 -256 -256 -1 -0 -0 -6 -0x5173 -0x73ed0dd6 -256 -256 -0 -0 -0 -5 -0xca22 -0x46ec7d1 -256 -256 -0 -0 -0 -6 -0xcc48 -0x938bfd29 -256 -256 -1 -0 -0 -9 -0xcc07 -0xabf2a4c1 -256 -256 -0 -0 -0 -9 -0xec80 -0xe0de833 -256 -256 -0 -0 -0 -3 -0xfa0d -0xc1b048d -256 -256 -1 -0 -0 -3 -0x252f -0x5f752422 -256 -256 -0 -0 -0 -5 -0xccf5 -0x660071c4 -256 -256 -0 -0 -0 -10 -0x9b89 -0x30512cad -256 -256 -0 -0 -0 -9 -0xe82a -0x8cdc6bc2 -256 -256 -1 -0 -0 -4 -0x1a8b -0x266fef86 -256 -256 -0 -0 -0 -7 -0x5363 -0x4f268bb8 -256 -256 -0 -0 -0 -4 -0x3e93 -0xf22ef5b8 -256 -256 -1 -0 -0 -5 -0x6ae5 -0xffdc9bc6 -256 -256 -0 -0 -0 -1 -0x71d6 -0x8f0ff72c -256 -256 -0 -0 -0 -6 -0xacd1 -0xd2a5b7a7 -256 -256 -1 -0 -0 -9 -0x26a2 -0xb30017ad -256 -256 -1 -0 -0 -3 -0x1187 -0xab792765 -256 -256 -0 -0 -0 -8 -0x8ee9 -0x1a8718d -256 -256 -0 -0 -0 -7 -0xf2b8 -0x7e61bfba -256 -256 -1 -0 -0 -5 -0xbd24 -0xb9f85271 -256 -256 -1 -0 -0 -8 -0xfcc9 -0x67d9b5c1 -256 -256 -0 -0 -0 -2 -0x53ce -0x108aa089 -256 -256 -0 -0 -0 -2 -0x3d71 -0x2ecca66f -256 -256 -0 -0 -0 -6 -0x9860 -0x6708eecf -256 -256 -0 -0 -0 -9 -0x12af -0x6eaa1ac9 -256 -256 -0 -0 -0 -3 -0xb50d -0x8a78b3dc -256 -256 -0 -0 -0 -5 -0xe912 -0xe71f3c9d -256 -256 -1 -0 -0 -2 -0x3362 -0xa79bc220 -256 -256 -1 -0 -0 -9 -0x2080 -0x7fe13761 -256 -256 -0 -0 -0 -9 -0x5260 -0xf014e441 -256 -256 -1 -0 -0 -7 -0x71ba -0x9a59d2c5 -256 -256 -1 -0 -0 -3 -0x7b91 -0xbac842ae -256 -256 -1 -0 -0 -1 -0x5bbb -0x2a3a7a6b -256 -256 -0 -0 -0 -9 -0xddca -0x3b0b3eef -256 -256 -0 -0 -0 -1 -0x87bb -0xd94d9b0e -256 -256 -1 -0 -0 -9 -0x405d -0xc0c1898c -256 -256 -0 -0 -0 -7 -0xac13 -0x55f828c1 -256 -256 -0 -0 -0 -3 -0x93b5 -0x8de49ea5 -256 -256 -0 -0 -0 -6 -0xb8d3 -0x25a8ad92 -256 -256 -1 -0 -0 -1 -0xc4e1 -0x8669b570 -256 -256 -1 -0 -0 -2 -0x895b -0xe89153ea -256 -256 -1 -0 -0 -2 -0x2875 -0xc1515ac7 -256 -256 -0 -0 -0 -9 -0xd463 -0xa0f5348f -256 -256 -0 -0 -0 -7 -0x756f -0xed30c765 -256 -256 -1 -0 -0 -6 -0xba76 -0x463de14d -256 -256 -0 -0 -0 -4 -0x2a7a -0xe97fd00c -256 -256 -0 -0 -0 -5 -0xfa02 -0x4e3cfe3 -256 -256 -1 -0 -0 -10 -0xe3f7 -0x9823f288 -256 -256 -1 -0 -0 -9 -0xbc5d -0x93dce717 -256 -256 -0 -0 -0 -3 -0x60e3 -0xc07d4ed -256 -256 -1 -0 -0 -4 -0x2509 -0x84a47dec -256 -256 -0 -0 -0 -2 -0x5b7a -0xafbcee2e -256 -256 -1 -0 -0 -3 -0x6bca -0x4ddc0892 -256 -256 -1 -0 -0 -2 -0xd4c7 -0xf5bce05e -256 -256 -1 -0 -0 -4 -0xede2 -0xee34023d -256 -256 -1 -0 -0 -1 -0x88a9 -0x4ec84dfd -256 -256 -0 -0 -0 -7 -0x5e9c -0xbf39566a -256 -256 -1 -0 -0 -5 -0x501d -0xed2897dc -256 -256 -0 -0 -0 -9 -0x35d8 -0xe1ea382d -256 -256 -0 -0 -0 -2 -0x28b3 -0x1c69d4bd -256 -256 -1 -0 -0 -3 -0xd0fb -0xc992af8f -256 -256 -1 -0 -0 -8 -0x8d1a -0x13db6ef8 -256 -256 -0 -0 -0 -4 -0x4c5b -0x4291042f -256 -256 -1 -0 -0 -3 -0xc4a6 -0x9088ec39 -256 -256 -0 -0 -0 -6 -0x84d9 -0xc7134a63 -256 -256 -0 -0 -0 -9 -0xa462 -0x6ba4e7b6 -256 -256 -1 -0 -0 -4 -0x2de2 -0x92431c7a -256 -256 -1 -0 -0 -9 -0x5fcc -0x9c0402c4 -256 -256 -0 -0 -0 -6 -0xc144 -0x4e7c4c63 -256 -256 -0 -0 -0 -4 -0xef69 -0x9871370f -256 -256 -0 -0 -0 -3 -0x91da -0x7267d80a -256 -256 -0 -0 -0 -10 -0xd84a -0xd12ce0b2 -256 -256 -0 -0 -0 -8 -0x7c71 -0x688d7058 -256 -256 -1 -0 -0 -8 -0x1670 -0xb2bfdfa1 -256 -256 -1 -0 -0 -10 -0xb83a -0xa126429c -256 -256 -1 -0 -0 -4 -0x3b99 -0x6450f55a -256 -256 -0 -0 -0 -5 -0xbb74 -0x5097b3d7 -256 -256 -0 -0 -0 -8 -0x1906 -0x2e7e5a87 -256 -256 -1 -0 -0 -8 -0x63a7 -0xa07782ca -256 -256 -1 -0 -0 -7 -0x48fb -0xfabced0 -256 -256 -1 -0 -0 -10 -0xec0 -0xaa7d811d -256 -256 -0 -0 -0 -5 -0x6a0f -0x3544b200 -256 -256 -0 -0 -0 -7 -0x423 -0xa63d4621 -256 -256 -1 -0 -0 -9 -0xd82a -0xd1897c53 -256 -256 -1 -0 -0 -6 -0xdaf2 -0x531f0475 -256 -256 -1 -0 -0 -1 -0x37d5 -0x56a605c -256 -256 -1 -0 -0 -7 -0x27a9 -0xa4b0dcba -256 -256 -0 -0 -0 -3 -0x5293 -0xb1b907ee -256 -256 -0 -0 -0 -10 -0xe2cf -0x63d9d1c3 -256 -256 -1 -0 -0 -5 -0x1fea -0x671fa7d0 -256 -256 -1 -0 -0 -10 -0xc722 -0xa8994e89 -256 -256 -1 -0 -0 -1 -0x8187 -0x3cfe9e82 -256 -256 -1 -0 -0 -7 -0xe3b6 -0x95e47218 -256 -256 -1 -0 -0 -3 -0x1117 -0x500c7104 -256 -256 -0 -0 -0 -1 -0x936d -0x2fe99635 -256 -256 -1 -0 -0 -7 -0xd7ac -0xd1aff73a -256 -256 -0 -0 -0 -6 -0xe36c -0x7235420b -256 -256 -1 -0 -0 -6 -0x3ea9 -0x58d40c2 -256 -256 -0 -0 -0 -1 -0xc4e6 -0xc8bd0d54 -256 -256 -1 -0 -0 -8 -0xd05 -0xb7c32451 -256 -256 -1 -0 -0 -8 -0x90b1 -0x8b80bbb5 -256 -256 -1 -0 -0 -8 -0x1058 -0xa9a06bb3 -256 -256 -1 -0 -0 -1 -0x2fb2 -0xd8a2d9ba -256 -256 -0 -0 -0 -7 -0xeded -0xf8be1ef -256 -256 -1 -0 -0 -7 -0x52e2 -0xd813664 -256 -256 -1 -0 -0 -10 -0x2e13 -0x4f7beaa -256 -256 -1 -0 -0 -10 -0x742c -0x1a61bba1 -256 -256 -0 -0 -0 -7 -0xbfaa -0xebb70d7d -256 -256 -0 -0 -0 -5 -0x7599 -0xe66b28c1 -256 -256 -0 -0 -0 -4 -0x4c33 -0x20ba42da -256 -256 -1 -0 -0 -4 -0x933 -0x206b9090 -256 -256 -1 -0 -0 -9 -0xcbd7 -0xf4d2242a -256 -256 -0 -0 -0 -9 -0x4ff5 -0x47e60d7c -256 -256 -1 -0 -0 -9 -0xa29f -0xd72642b9 -256 -256 -1 -0 -0 -6 -0x1645 -0x9fdfd085 -256 -256 -0 -0 -0 -4 -0xdd99 -0x9c0af4d0 -256 -256 -1 -0 -0 -6 -0x2434 -0x327020d6 -256 -256 -0 -0 -0 -2 -0xed7b -0x61756a15 -256 -256 -0 -0 -0 -4 -0x86a9 -0x94b6e1d4 -256 -256 -1 -0 -0 -5 -0x5bb5 -0xb531b678 -256 -256 -0 -0 -0 -10 -0x4dd7 -0x960b1a41 -256 -256 -0 -0 -0 -3 -0x3d42 -0x704f25ae -256 -256 -1 -0 -0 -2 -0x93e5 -0xab0898b5 -256 -256 -1 -0 -0 -3 -0xd908 -0x592162e0 -256 -256 -1 -0 -0 -1 -0xbfc0 -0xea837d05 -256 -256 -0 -0 -0 -2 -0xa98d -0x12ec7987 -256 -256 -0 -0 -0 -1 -0xbc41 -0x24d4757a -256 -256 -0 -0 -0 -5 -0xb96b -0x54fdda36 -256 -256 -0 -0 -0 -5 -0xe460 -0xe19c328f -256 -256 -1 -0 -0 -3 -0xf012 -0x892d15e4 -256 -256 -0 -0 -0 -6 -0xb616 -0xbdae7f30 -256 -256 -0 -0 -0 -8 -0x48c2 -0x40a9f85b -256 -256 -1 -0 -0 -5 -0x1bd9 -0xd2e0cada -256 -256 -0 -0 -0 -1 -0x434f -0x3422a549 -256 -256 -0 -0 -0 -3 -0x7baf -0x34128b7c -256 -256 -0 -0 -0 -6 -0xee26 -0xcfc6a3ec -256 -256 -0 -0 -0 -4 -0x6408 -0x6ba942fd -256 -256 -0 -0 -0 -3 -0x9a75 -0x46afe5f3 -256 -256 -1 -0 -0 -10 -0xad91 -0x70eb794d -256 -256 -0 -0 -0 -3 -0x6ce3 -0x7427aeb7 -256 -256 -0 -0 -0 -5 -0xd4b1 -0x9c2ae6d7 -256 -256 -1 -0 -0 -6 -0x2e0 -0xbb493c10 -256 -256 -1 -0 -0 -6 -0x1c1e -0xee98c454 -256 -256 -1 -0 -0 -1 -0xb4aa -0xa5eeb4f -256 -256 -1 -0 -0 -4 -0x3c31 -0x4cf42441 -256 -256 -0 -0 -0 -8 -0x216e -0xb173014d -256 -256 -1 -0 -0 -3 -0xf8b3 -0xc15e1fe6 -256 -256 -0 -0 -0 -5 -0xb8b0 -0x72b90170 -256 -256 -1 -0 -0 -2 -0xf9ea -0x52c3dce0 -256 -256 -0 -0 -0 -10 -0x7f24 -0x1a69ba -256 -256 -0 -0 -0 -2 -0xeae -0x8540ee83 -256 -256 -1 -0 -0 -3 -0xb099 -0xdac173be -256 -256 -1 -0 -0 -6 -0x4b29 -0x6661d3d0 -256 -256 -1 -0 -0 -5 -0xd0f9 -0xef55ab6b -256 -256 -0 -0 -0 -9 -0x6a94 -0x6e713a5c -256 -256 -0 -0 -0 -2 -0xfab5 -0x1db14f3e -256 -256 -1 -0 -0 -5 -0xd117 -0x4bb061d3 -256 -256 -1 -0 -0 -1 -0xd556 -0x3818c70c -256 -256 -1 -0 -0 -5 -0xa3f1 -0xdbd0cb00 -256 -256 -1 -0 -0 -1 -0x7a67 -0x48c23095 -256 -256 -0 -0 -0 -6 -0x295e -0xa5e0723f -256 -256 -1 -0 -0 -1 -0xe517 -0xde7c6c5 -256 -256 -0 -0 -0 -6 -0xf0fc -0xd33fcee1 -256 -256 -0 -0 -0 -10 -0xa959 -0xc74a24d1 -256 -256 -1 -0 -0 -9 -0x803a -0xa48a5d49 -256 -256 -0 -0 -0 -7 -0xba59 -0xa5feb354 -256 -256 -0 -0 -0 -8 -0x7c4 -0x4ea055da -256 -256 -1 -0 -0 -3 -0x682d -0x93ae3100 -256 -256 -0 -0 -0 -5 -0xb287 -0xd416d595 -256 -256 -0 -0 -0 -5 -0xe617 -0x6ad0b67a -256 -256 -0 -0 -0 -6 -0xe47c -0xb9a849f4 -256 -256 -0 -0 -0 -8 -0x3673 -0x6ad805a6 -256 -256 -0 -0 -0 -1 -0xb4f8 -0xc3128e2c -256 -256 -1 -0 -0 -8 -0xa856 -0x634b17e3 -256 -256 -1 -0 -0 -6 -0x7878 -0xa107235b -256 -256 -1 -0 -0 -9 -0x43 -0x9f5af24c -256 -256 -1 -0 -0 -3 -0xb960 -0xd711790c -256 -256 -0 -0 -0 -4 -0xf9f2 -0x8481f21c -256 -256 -0 -0 -0 -3 -0xc23f -0x9f27fba8 -256 -256 -1 -0 -0 -4 -0x7bf4 -0xce85bc6a -256 -256 -1 -0 -0 -4 -0xd636 -0x4caeb8be -256 -256 -0 -0 -0 -2 -0x9401 -0x97140998 -256 -256 -1 -0 -0 -4 -0xd452 -0xc93bab29 -256 -256 -0 -0 -0 -6 -0xb827 -0x6983042f -256 -256 -1 -0 -0 -9 -0xce0f -0x198dde88 -256 -256 -0 -0 -0 -3 -0x8605 -0x37646193 -256 -256 -0 -0 -0 -10 -0x46d0 -0xfa42cfaa -256 -256 -1 -0 -0 -7 -0xaf44 -0x8d47affa -256 -256 -1 -0 -0 -5 -0x47c -0xc74f1c07 -256 -256 -1 -0 -0 -9 -0x46d7 -0x9a9b8f7b -256 -256 -1 -0 -0 -9 -0xe939 -0xd299404e -256 -256 -0 -0 -0 -8 -0x499a -0x35a4d23a -256 -256 -1 -0 -0 -8 -0x2b7c -0xdd4c39a0 -256 -256 -0 -0 -0 -5 -0x220a -0xde8c7627 -256 -256 -0 -0 -0 -4 -0xe2b0 -0x45568cf1 -256 -256 -1 -0 -0 -2 -0x485a -0xb0c13eff -256 -256 -0 -0 -0 -1 -0x704 -0xec4feea8 -256 -256 -0 -0 -0 -7 -0xad11 -0x8cbeb3f3 -256 -256 -0 -0 -0 -1 -0xe42f -0xb48a1b9e -256 -256 -1 -0 -0 -6 -0xfe25 -0x80005794 -256 -256 -0 -0 -0 -9 -0x56b8 -0x14969abe -256 -256 -1 -0 -0 -9 -0x7cb4 -0x8ca15b73 -256 -256 -1 -0 -0 -2 -0xe72e -0x315ac57f -256 -256 -0 -0 -0 -5 -0xe93 -0xdc316323 -256 -256 -0 -0 -0 -5 -0xf00e -0x956729b6 -256 -256 -1 -0 -0 -8 -0xa3db -0x312d2b47 -256 -256 -0 -0 -0 -10 -0x3a3d -0xfe0c1959 -256 -256 -0 -0 -0 -3 -0xdf7b -0xa2890da3 -256 -256 -0 -0 -0 -8 -0x7149 -0xf1ef580e -256 -256 -1 -0 -0 -5 -0xa0db -0x16784cc7 -256 -256 -0 -0 -0 -3 -0x9a65 -0x90bf6583 -256 -256 -1 -0 -0 -1 -0x8cdc -0x7a74d03e -256 -256 -0 -0 -0 -3 -0xda83 -0x8c7d0849 -256 -256 -1 -0 -0 -7 -0x7794 -0xab6747d9 -256 -256 -1 -0 -0 -3 -0xf500 -0x6ab0f8e1 -256 -256 -0 -0 -0 -8 -0x8c0c -0x2b3334de -256 -256 -1 -0 -0 -5 -0xdc71 -0xb01fd9ee -256 -256 -1 -0 -0 -6 -0xd4bf -0x8d3365f8 -256 -256 -0 -0 -0 -5 -0xabef -0xc08b36f2 -256 -256 -0 -0 -0 -8 -0x81a0 -0x5b62934b -256 -256 -0 -0 -0 -3 -0x2d -0xaecff3bf -256 -256 -0 -0 -0 -6 -0xc6d0 -0x7b0ed136 -256 -256 -0 -0 -0 -6 -0x14b -0x7258695a -256 -256 -0 -0 -0 -3 -0xa9a2 -0x9cf950c6 -256 -256 -0 -0 -0 -9 -0x6542 -0xfdb8770d -256 -256 -1 -0 -0 -8 -0x3779 -0xc96536b7 -256 -256 -0 -0 -0 -8 -0x2e2a -0xfd6b1e5e -256 -256 -0 -0 -0 -6 -0x4f7d -0x3449485c -256 -256 -0 -0 -0 -10 -0x2b21 -0xa178b3b8 -256 -256 -0 -0 -0 -8 -0x6771 -0xe6bbb53 -256 -256 -0 -0 -0 -10 -0xeab7 -0xdfec4315 -256 -256 -0 -0 -0 -3 -0x13df -0xd84550eb -256 -256 -1 -0 -0 -6 -0x9421 -0xef17db98 -256 -256 -1 -0 -0 -10 -0x98ad -0x4a24c19f -256 -256 -1 -0 -0 -1 -0x4689 -0x5d21c62d -256 -256 -1 -0 -0 -8 -0x96be -0x58aeba57 -256 -256 -0 -0 -0 -6 -0xc4a6 -0x3fa7ba9c -256 -256 -1 -0 -0 -1 -0x46ee -0x2e988772 -256 -256 -1 -0 -0 -5 -0x40af -0x4369bec5 -256 -256 -1 -0 -0 -1 -0xaa16 -0xd78e655c -256 -256 -0 -0 -0 -3 -0x2e61 -0x5995cb0d -256 -256 -1 -0 -0 -3 -0x49f6 -0xc5812dca -256 -256 -1 -0 -0 -5 -0x4868 -0x3678d1a -256 -256 -1 -0 -0 -2 -0xe8c4 -0xebd71850 -256 -256 -0 -0 -0 -8 -0xb7fa -0xdedddd4f -256 -256 -0 -0 -0 -4 -0x3a97 -0xa5f6968f -256 -256 -0 -0 -0 -5 -0xeef3 -0xc6a76575 -256 -256 -1 -0 -0 -6 -0xcc7d -0xc301befc -256 -256 -0 -0 -0 -9 -0x383e -0xd74b25a7 -256 -256 -1 -0 -0 -4 -0x1bce -0xbd9c55f5 -256 -256 -1 -0 -0 -7 -0x3339 -0xc26d7f25 -256 -256 -0 -0 -0 -6 -0x139c -0xdcb67a0f -256 -256 -0 -0 -0 -2 -0xd3a8 -0x636bfa0d -256 -256 -0 -0 -0 -2 -0x3d15 -0xcec1120a -256 -256 -0 -0 -0 -2 -0x27d5 -0x7c212590 -256 -256 -1 -0 -0 -9 -0x157c -0x29aaf5dd -256 -256 -0 -0 -0 -4 -0x5c47 -0xc439913e -256 -256 -1 -0 -0 -9 -0x1fa4 -0xaf64a672 -256 -256 -0 -0 -0 -8 -0xf054 -0xaaf79189 -256 -256 -0 -0 -0 -2 -0xd790 -0x6e3d03fd -256 -256 -1 -0 -0 -3 -0xddcb -0x316add33 -256 -256 -0 -0 -0 -1 -0x20a3 -0x92d4f89 -256 -256 -1 -0 -0 -6 -0x1bde -0x169d890b -256 -256 -1 -0 -0 -9 -0xa2d1 -0xed61fbea -256 -256 -0 -0 -0 -10 -0xb530 -0x691c4d48 -256 -256 -0 -0 -0 -7 -0x454d -0x79010ef5 -256 -256 -1 -0 -0 -9 -0x96c6 -0xc4f6e70f -256 -256 -0 -0 -0 -2 -0x9480 -0x6e580b27 -256 -256 -0 -0 -0 -8 -0x6114 -0x76ff20f7 -256 -256 -1 -0 -0 -4 -0xf817 -0x44d659e8 -256 -256 -0 -0 -0 -10 -0x971f -0x23c6bd42 -256 -256 -0 -0 -0 -8 -0xc2c5 -0x1414bfdf -256 -256 -1 -0 -0 -2 -0xfa42 -0x870cef49 -256 -256 -0 -0 -0 -7 -0xe7c8 -0xd6e6f8e2 -256 -256 -0 -0 -0 -8 -0x9349 -0xb1584948 -256 -256 -1 -0 -0 -10 -0x34b9 -0x9c05cf79 -256 -256 -0 -0 -0 -6 -0xaf1f -0xfa7fb978 -256 -256 -0 -0 -0 -1 -0x1558 -0x1d9af285 -256 -256 -0 -0 -0 -3 -0x59e5 -0x9aa74f7b -256 -256 -0 -0 -0 -5 -0x563 -0x9ab7f0ce -256 -256 -1 -0 -0 -3 -0xc246 -0x41190420 -256 -256 -1 -0 -0 -1 -0x1113 -0x2dae286e -256 -256 -1 -0 -0 -3 -0x3f9 -0x8dcd4fa8 -256 -256 -1 -0 -0 -10 -0xf7be -0x2a654d3a -256 -256 -1 -0 -0 -8 -0x783 -0x2ea7dc01 -256 -256 -0 -0 -0 -4 -0x77c3 -0xb5314224 -256 -256 -1 -0 -0 -2 -0x1839 -0x474dc377 -256 -256 -0 -0 -0 -7 -0x99b5 -0x1bead367 -256 -256 -0 -0 -0 -6 -0xeb15 -0x6ba4c9e6 -256 -256 -0 -0 -0 -3 -0x3f7f -0x37cfafbf -256 -256 -0 -0 -0 -8 -0x1cec -0x356fafa4 -256 -256 -0 -0 -0 -8 -0xbcd1 -0xa3669932 -256 -256 -1 -0 -0 -9 -0xbff8 -0xdfaf79fd -256 -256 -1 -0 -0 -3 -0x19da -0x4acfb636 -256 -256 -1 -0 -0 -4 -0x54d5 -0xc007e6db -256 -256 -0 -0 -0 -4 -0xfc76 -0x13656619 -256 -256 -0 -0 -0 -2 -0xc06a -0xa6fad46c -256 -256 -0 -0 -0 -5 -0x8a81 -0xf6c9e159 -256 -256 -1 -0 -0 -7 -0x874d -0x92fb65b4 -256 -256 -1 -0 -0 -5 -0x9068 -0x69ffc074 -256 -256 -1 -0 -0 -4 -0xce3d -0xd8a79dd7 -256 -256 -1 -0 -0 -9 -0x4254 -0xff6c868f -256 -256 -0 -0 -0 -3 -0x12f7 -0x5478cc71 -256 -256 -1 -0 -0 -5 -0x4e9e -0x4e024d04 -256 -256 -0 -0 -0 -3 -0xa4b6 -0x9b5e8924 -256 -256 -1 -0 -0 -10 -0xf900 -0xf4b0c206 -256 -256 -1 -0 -0 -9 -0x747c -0xd20097e -256 -256 -0 -0 -0 -8 -0xa7f3 -0xcb78de2 -256 -256 -1 -0 -0 -3 -0xe513 -0x8bc34b21 -256 -256 -0 -0 -0 -5 -0x9c8 -0x116f974a -256 -256 -0 -0 -0 -10 -0x76c7 -0x2d54bfde -256 -256 -1 -0 -0 -3 -0xe3e9 -0x4103a484 -256 -256 -1 -0 -0 -10 -0x4fd8 -0x9b7fecea -256 -256 -0 -0 -0 -3 -0xa9da -0x55b11ad9 -256 -256 -0 -0 -0 -8 -0xf21 -0x6073dffb -256 -256 -0 -0 -0 -2 -0x788e -0xb1344f45 -256 -256 -1 -0 -0 -1 -0x5a7c -0xbb7b4eeb -256 -256 -0 -0 -0 -4 -0x995a -0x67124c38 -256 -256 -0 -0 -0 -8 -0x3ff6 -0x9e66e89a -256 -256 -1 -0 -0 -5 -0x83ab -0x6c9f34b6 -256 -256 -1 -0 -0 -9 -0x7f45 -0x2152a329 -256 -256 -1 -0 -0 -7 -0x39d -0x395ff65f -256 -256 -1 -0 -0 -4 -0x584e -0x65bee003 -256 -256 -0 -0 -0 -5 -0x96e0 -0x24852215 -256 -256 -0 -0 -0 -4 -0xd44a -0x3a09369d -256 -256 -1 -0 -0 -3 -0x74dc -0x38e5850 -256 -256 -1 -0 -0 -1 -0x89f7 -0x57e44259 -256 -256 -1 -0 -0 -2 -0x3c45 -0x19d51dc -256 -256 -1 -0 -0 -6 -0x3282 -0x5ed3738a -256 -256 -1 -0 -0 -4 -0x4cba -0x6ce63c67 -256 -256 -1 -0 -0 -10 -0xec36 -0x5f961f4a -256 -256 -1 -0 -0 -3 -0x2611 -0x3225f70b -256 -256 -0 -0 -0 -7 -0x55ce -0x1c8ebd38 -256 -256 -0 -0 -0 -3 -0xc8e -0x8398c893 -256 -256 -1 -0 -0 -5 -0x9c39 -0xf89d682e -256 -256 -1 -0 -0 -5 -0x515 -0x3e38335b -256 -256 -1 -0 -0 -6 -0x71eb -0xd07426b0 -256 -256 -0 -0 -0 -3 -0xb48 -0x8fa7cf40 -256 -256 -1 -0 -0 -1 -0x24f9 -0xe0fa492c -256 -256 -1 -0 -0 -2 -0x7d36 -0x4d7b293b -256 -256 -0 -0 -0 -10 -0x1d6c -0x25b8375 -256 -256 -1 -0 -0 -6 -0x394 -0x420eab43 -256 -256 -0 -0 -0 -7 -0x169 -0xfad18037 -256 -256 -0 -0 -0 -1 -0x8aa1 -0xa8b120d2 -256 -256 -1 -0 -0 -1 -0xea2b -0xdfe3b356 -256 -256 -1 -0 -0 -5 -0x7d50 -0x103f37c -256 -256 -0 -0 -0 -3 -0x4e1c -0xbe6e210b -256 -256 -0 -0 -0 -4 -0xa9f4 -0x75662647 -256 -256 -0 -0 -0 -5 -0xab94 -0x70f91b5 -256 -256 -1 -0 -0 -10 -0xfe6f -0x7520f62d -256 -256 -0 -0 -0 -8 -0x53ae -0x4cb56651 -256 -256 -0 -0 -0 -5 -0x8edd -0x9414bcf0 -256 -256 -1 -0 -0 -4 -0xf61b -0x2af725bf -256 -256 -0 -0 -0 -3 -0x1af5 -0x6d5f010f -256 -256 -1 -0 -0 -6 -0x7b71 -0x2d20407a -256 -256 -0 -0 -0 -6 -0x56d7 -0x273ca181 -256 -256 -1 -0 -0 -8 -0xf763 -0xbfc267cc -256 -256 -0 -0 -0 -6 -0xe659 -0xe398ea92 -256 -256 -0 -0 -0 -7 -0x3773 -0x475f48d7 -256 -256 -1 -0 -0 -1 -0x15b9 -0x5aa71fbb -256 -256 -0 -0 -0 -5 -0x6809 -0xc64fd2c3 -256 -256 -1 -0 -0 -8 -0xf0d4 -0xc657b9d8 -256 -256 -0 -0 -0 -5 -0xbe66 -0xd4c31519 -256 -256 -0 -0 -0 -4 -0x96e5 -0xd7bc6fe5 -256 -256 -0 -0 -0 -2 -0x7b41 -0xd34d1f2f -256 -256 -1 -0 -0 -10 -0xf897 -0x9b45c427 -256 -256 -0 -0 -0 -1 -0xa9d3 -0xf80a25db -256 -256 -0 -0 -0 -4 -0xf325 -0xc2404f60 -256 -256 -1 -0 -0 -10 -0x66a -0xda0ceff7 -256 -256 -0 -0 -0 -10 -0x7cb5 -0xc1de1861 -256 -256 -1 -0 -0 -2 -0x1b80 -0x23e0cb3e -256 -256 -0 -0 -0 -6 -0x6cc2 -0x2d2ea583 -256 -256 -1 -0 -0 -8 -0x98d0 -0xe2b05bf -256 -256 -0 -0 -0 -9 -0xbcd4 -0x61f585bf -256 -256 -0 -0 -0 -3 -0x15a4 -0xe7a6da27 -256 -256 -1 -0 -0 -7 -0xdd66 -0xfb030b31 -256 -256 -1 -0 -0 -3 -0x14d4 -0x51ffa596 -256 -256 -1 -0 -0 -2 -0x1bbb -0x5dabedc9 -256 -256 -0 -0 -0 -7 -0x1d1b -0x54c7ab4 -256 -256 -0 -0 -0 -2 -0xd13e -0x91b4e64b -256 -256 -1 -0 -0 -6 -0x1766 -0x27c31b36 -256 -256 -1 -0 -0 -7 -0x76ee -0xfae9ce69 -256 -256 -1 -0 -0 -7 -0xd353 -0xbd39a9a9 -256 -256 -1 -0 -0 -1 -0xa789 -0x85188ad8 -256 -256 -1 -0 -0 -4 -0xbd7a -0xdad1b8ee -256 -256 -0 -0 -0 -3 -0xe9a1 -0xe4b86492 -256 -256 -1 -0 -0 -4 -0x10ee -0xfde04fea -256 -256 -0 -0 -0 -7 -0xcf13 -0x87a0a6a2 -256 -256 -1 -0 -0 -1 -0xb3f7 -0xb8670607 -256 -256 -1 -0 -0 -6 -0x17fb -0x787e18e9 -256 -256 -1 -0 -0 -9 -0x9a10 -0x680bccbd -256 -256 -1 -0 -0 -9 -0x2bc4 -0x9011db7 -256 -256 -0 -0 -0 -2 -0x91d -0x9bcd93e6 -256 -256 -0 -0 -0 -7 -0x9720 -0x59874561 -256 -256 -1 -0 -0 -1 -0xdc06 -0x1bae3a43 -256 -256 -1 -0 -0 -8 -0xbeee -0xe6e26df2 -256 -256 -0 -0 -0 -9 -0xf7ec -0x90045b40 -256 -256 -0 -0 -0 -1 -0x145e -0x4d08d603 -256 -256 -0 -0 -0 -3 -0x7be3 -0xf17e74bc -256 -256 -1 -0 -0 -8 -0x3d04 -0x119ea978 -256 -256 -0 -0 -0 -8 -0x4b12 -0x98f0143e -256 -256 -1 -0 -0 -5 -0x9eca -0xf0faa526 -256 -256 -0 -0 -0 -6 -0x19b0 -0x2d1ec8f5 -256 -256 -1 -0 -0 -4 -0x5d4c -0x5f030f6c -256 -256 -1 -0 -0 -8 -0xed99 -0x1faa99db -256 -256 -1 -0 -0 -8 -0xc141 -0x1d26418d -256 -256 -0 -0 -0 -10 -0x2dac -0x1f659db5 -256 -256 -0 -0 -0 -7 -0xd1df -0x681b8f33 -256 -256 -1 -0 -0 -2 -0x9e76 -0xd54b6bc5 -256 -256 -1 -0 -0 -1 -0x6e01 -0x4f42b49a -256 -256 -1 -0 -0 -3 -0xa447 -0xcaf61f37 -256 -256 -1 -0 -0 -2 -0x797b -0x8d173c97 -256 -256 -0 -0 -0 -3 -0xc18e -0x2d831048 -256 -256 -0 -0 -0 -10 -0xee35 -0xd963bf2f -256 -256 -1 -0 -0 -9 -0xe2e7 -0x81af1703 -256 -256 -0 -0 -0 -8 -0x4dff -0xa6e22eca -256 -256 -1 -0 -0 -9 -0x4454 -0x6c38ee31 -256 -256 -1 -0 -0 -2 -0xd6fb -0x4d0c1abd -256 -256 -0 -0 -0 -7 -0xcd17 -0x309732b7 -256 -256 -0 -0 -0 -5 -0x63b7 -0xb78b8399 -256 -256 -1 -0 -0 -8 -0x869c -0x87ea4cb2 -256 -256 -0 -0 -0 -8 -0x3bee -0x7d172dee -256 -256 -0 -0 -0 -6 -0x3cef -0xb1350329 -256 -256 -1 -0 -0 -6 -0x7dd4 -0x3795fe3d -256 -256 -1 -0 -0 -8 -0x1b84 -0x413255e9 -256 -256 -1 -0 -0 -4 -0x3135 -0xbd64b626 -256 -256 -1 -0 -0 -2 -0xb465 -0x7a236e99 -256 -256 -0 -0 -0 -7 -0xc1d7 -0x4ccb7a22 -256 -256 -0 -0 -0 -9 -0x2451 -0x8691483b -256 -256 -0 -0 -0 -9 -0xd85d -0xce15598f -256 -256 -1 -0 -0 -9 -0x15a0 -0x27395ec0 -256 -256 -0 -0 -0 -8 -0xc8d -0xf8055de2 -256 -256 -0 -0 -0 -1 -0x177d -0x766936ce -256 -256 -0 -0 -0 -6 -0xc4d5 -0xa1304078 -256 -256 -1 -0 -0 -4 -0x217 -0x2239d531 -256 -256 -0 -0 -0 -5 -0x1bd -0x3dbf956e -256 -256 -1 -0 -0 -10 -0xa678 -0xaf38b75a -256 -256 -0 -0 -0 -8 -0xa13b -0xf0321ed2 -256 -256 -1 -0 -0 -7 -0xe312 -0x6efd9984 -256 -256 -0 -0 -0 -3 -0x4194 -0xfdb3a127 -256 -256 -1 -0 -0 -3 -0xce8e -0x1b287b54 -256 -256 -0 -0 -0 -5 -0x7b1 -0xea85ca11 -256 -256 -1 -0 -0 -7 -0x1ca9 -0x80f9442 -256 -256 -1 -0 -0 -9 -0x44df -0x9186df5a -256 -256 -0 -0 -0 -4 -0x9d2f -0xff6882de -256 -256 -0 -0 -0 -7 -0x6eb5 -0x1fb0a43 -256 -256 -1 -0 -0 -1 -0x697 -0x42aaeba1 -256 -256 -1 -0 -0 -9 -0xf081 -0xbfb2b4c2 -256 -256 -1 -0 -0 -5 -0xd39d -0xffdc977c -256 -256 -1 -0 -0 -1 -0xcc33 -0x34833550 -256 -256 -0 -0 -0 -3 -0x5c0a -0x76e41ba2 -256 -256 -1 -0 -0 -2 -0xdbf0 -0x643130ac -256 -256 -1 -0 -0 -10 -0x8481 -0x4e21227b -256 -256 -1 -0 -0 -9 -0xf4ca -0xe378e4b1 -256 -256 -1 -0 -0 -4 -0x1e5d -0xc2e1bdc7 -256 -256 -1 -0 -0 -4 -0xe28c -0x81d16ba -256 -256 -1 -0 -0 -7 -0x443f -0xa02f1a77 -256 -256 -0 -0 -0 -3 -0xa412 -0x5035551c -256 -256 -1 -0 -0 -5 -0x63a1 -0x69425bd5 -256 -256 -1 -0 -0 -4 -0x5dd0 -0xf4478b5f -256 -256 -0 -0 -0 -10 -0xf6ba -0x559603ce -256 -256 -0 -0 -0 -10 -0x3af5 -0xf9ded846 -256 -256 -1 -0 -0 -6 -0xfc9 -0x331b32b7 -256 -256 -0 -0 -0 -8 -0xa49a -0x2fae7367 -256 -256 -1 -0 -0 -8 -0x35e6 -0xd51a70a4 -256 -256 -0 -0 -0 -8 -0xdc32 -0xd4fccf24 -256 -256 -0 -0 -0 -8 -0x1128 -0x99003b3e -256 -256 -1 -0 -0 -2 -0x8545 -0x601fc400 -256 -256 -0 -0 -0 -4 -0xd962 -0x987dccab -256 -256 -0 -0 -0 -3 -0x7f69 -0x73e48b16 -256 -256 -0 -0 -0 -3 -0x9102 -0xa57724db -256 -256 -1 -0 -0 -3 -0x5ae8 -0xd50b7972 -256 -256 -0 -0 -0 -4 -0xb611 -0x4fbbd030 -256 -256 -1 -0 -0 -4 -0x9a74 -0xc56c50c4 -256 -256 -1 -0 -0 -10 -0xcb49 -0x68794c3 -256 -256 -0 -0 -0 -3 -0x5df7 -0xd873c6ab -256 -256 -0 -0 -0 -8 -0xa6e3 -0x96bd63de -256 -256 -0 -0 -0 -4 -0x70d2 -0x63f5bff5 -256 -256 -1 -0 -0 -7 -0x54a -0xbef208f9 -256 -256 -1 -0 -0 -3 -0xb50a -0xc46274f0 -256 -256 -1 -0 -0 -7 -0xe0d -0x4c321edb -256 -256 -1 -0 -0 -8 -0x50fa -0xef0c3764 -256 -256 -1 -0 -0 -6 -0xf6ab -0xd779da7e -256 -256 -0 -0 -0 -9 -0xd1da -0x699abfb5 -256 -256 -1 -0 -0 -10 -0x7bf -0x34c123e5 -256 -256 -0 -0 -0 -6 -0x9fae -0x1d3e327c -256 -256 -0 -0 -0 -1 -0xaf62 -0x94160a -256 -256 -0 -0 -0 -4 -0xf0bd -0x4398d2c4 -256 -256 -1 -0 -0 -1 -0x7e86 -0x3516a443 -256 -256 -1 -0 -0 -9 -0xf1fc -0x10f4bd4a -256 -256 -1 -0 -0 -10 -0x7eb2 -0x5de10cac -256 -256 -1 -0 -0 -2 -0x817d -0xf61246fb -256 -256 -1 -0 -0 -7 -0xa635 -0xd640ba9c -256 -256 -0 -0 -0 -3 -0xec2a -0x6087c055 -256 -256 -0 -0 -0 -2 -0xe9bc -0x733ca541 -256 -256 -0 -0 -0 -7 -0x87c8 -0xcacbbdfe -256 -256 -0 -0 -0 -6 -0x5316 -0xfc8b1786 -256 -256 -0 -0 -0 -9 -0xa39c -0x503cd28a -256 -256 -0 -0 -0 -1 -0x363b -0x5fc75eb2 -256 -256 -1 -0 -0 -1 -0xbc5e -0x60c9a82 -256 -256 -0 -0 -0 -8 -0xed71 -0x5101e586 -256 -256 -0 -0 -0 -3 -0x4c52 -0x54367a53 -256 -256 -0 -0 -0 -7 -0x6919 -0xb230eb56 -256 -256 -0 -0 -0 -10 -0x2d8d -0xa861d8ea -256 -256 -0 -0 -0 -8 -0x432e -0x978b748b -256 -256 -0 -0 -0 -8 -0x6aa -0x30b9bba5 -256 -256 -1 -0 -0 -2 -0x2ad0 -0xc9f70052 -256 -256 -0 -0 -0 -9 -0x7343 -0xb7055e54 -256 -256 -1 -0 -0 -2 -0x471c -0x4d8dc4d5 -256 -256 -1 -0 -0 -1 -0x21b3 -0xf03e9cb4 -256 -256 -1 -0 -0 -7 -0x971e -0x4182d807 -256 -256 -1 -0 -0 -1 -0x39cd -0xc323f08b -256 -256 -1 -0 -0 -10 -0xf436 -0xfcc09ef3 -256 -256 -0 -0 -0 -7 -0xf1b6 -0xb943a3bd -256 -256 -1 -0 -0 -2 -0x3469 -0xf1b81e15 -256 -256 -1 -0 -0 -4 -0x93f6 -0x10f252aa -256 -256 -1 -0 -0 -2 -0x8288 -0xf6641927 -256 -256 -0 -0 -0 -10 -0xb21d -0x153f51db -256 -256 -0 -0 -0 -4 -0x74af -0x9b44ca13 -256 -256 -1 -0 -0 -5 -0x2e0b -0x31378bc7 -256 -256 -0 -0 -0 -6 -0xee88 -0xb19bf96e -256 -256 -1 -0 -0 -2 -0x35a2 -0x829995fd -256 -256 -0 -0 -0 -5 -0x6efd -0x7f8829d2 -256 -256 -1 -0 -0 -4 -0x83a2 -0x48d4b81f -256 -256 -0 -0 -0 -3 -0x1e4b -0x5d2269f -256 -256 -1 -0 -0 -6 -0x62e1 -0xb4c37b19 -256 -256 -1 -0 -0 -6 -0xe7e5 -0x2c68b686 -256 -256 -1 -0 -0 -4 -0xf728 -0x14180b23 -256 -256 -1 -0 -0 -6 -0x9917 -0x7d7db0d -256 -256 -0 -0 -0 -1 -0x5e9f -0xda86d389 -256 -256 -0 -0 -0 -1 -0xbbed -0xfeeca783 -256 -256 -1 -0 -0 -8 -0x4488 -0x2cb94d3a -256 -256 -0 -0 -0 -1 -0x2e72 -0x40291489 -256 -256 -0 -0 -0 -10 -0xdec6 -0x6a2f8b7 -256 -256 -1 -0 -0 -8 -0x699 -0x6461a7c -256 -256 -1 -0 -0 -5 -0xc4d0 -0x5eb35c21 -256 -256 -0 -0 -0 -6 -0xd14a -0xc8eba6aa -256 -256 -0 -0 -0 -1 -0xe640 -0xe6f5093b -256 -256 -1 -0 -0 -4 -0x9962 -0x6ee6bbe0 -256 -256 -0 -0 -0 -5 -0xe6b2 -0xff19df5c -256 -256 -1 -0 -0 -3 -0x6438 -0x8b896c68 -256 -256 -0 -0 -0 -5 -0xb249 -0x640e4420 -256 -256 -1 -0 -0 -8 -0xbd5 -0x4b8edfef -256 -256 -0 -0 -0 -10 -0x7a9b -0xe79599a3 -256 -256 -1 -0 -0 -9 -0xe302 -0x3bb0c65e -256 -256 -0 -0 -0 -4 -0xd1a6 -0x74cc23c0 -256 -256 -0 -0 -0 -6 -0x486a -0x5d6b02b8 -256 -256 -0 -0 -0 -4 -0x41c9 -0xd8c6d74b -256 -256 -0 -0 -0 -4 -0x5318 -0x7f0c10bd -256 -256 -0 -0 -0 -9 -0x5657 -0x45c97b95 -256 -256 -0 -0 -0 -9 -0x42c2 -0x6f068554 -256 -256 -1 -0 -0 -5 -0x575f -0x8760dc11 -256 -256 -0 -0 -0 -2 -0xa661 -0xec0e4ca4 -256 -256 -1 -0 -0 -2 -0xd6a0 -0x6ff8a083 -256 -256 -1 -0 -0 -3 -0x39a0 -0x3b31012d -256 -256 -0 -0 -0 -1 -0x759a -0xd2cf7181 -256 -256 -0 -0 -0 -10 -0x4601 -0xa9c205e8 -256 -256 -1 -0 -0 -2 -0x7ee8 -0x4213a8f -256 -256 -1 -0 -0 -3 -0xa4f7 -0x93491911 -256 -256 -0 -0 -0 -2 -0x2c34 -0xaf5b41dd -256 -256 -0 -0 -0 -7 -0x961d -0x441bd53a -256 -256 -1 -0 -0 -6 -0xa -0x649a826b -256 -256 -0 -0 -0 -10 -0x203b -0xc2cfe735 -256 -256 -1 -0 -0 -3 -0x387 -0x6ab93db6 -256 -256 -0 -0 -0 -10 -0x7e47 -0xf60a3a52 -256 -256 -0 -0 -0 -8 -0x4c93 -0xa4739897 -256 -256 -0 -0 -0 -3 -0x55c2 -0x7c1ea07a -256 -256 -1 -0 -0 -7 -0x9743 -0xd0f8080b -256 -256 -0 -0 -0 -2 -0xbb90 -0x343962b1 -256 -256 -0 -0 -0 -7 -0x39fa -0x3fc7cba0 -256 -256 -0 -0 -0 -10 -0x94fd -0x2ada4749 -256 -256 -0 -0 -0 -1 -0x3c85 -0xe57dc3f0 -256 -256 -0 -0 -0 -9 -0xe015 -0x90632f68 -256 -256 -0 -0 -0 -10 -0xf54c -0x631cda52 -256 -256 -1 -0 -0 -8 -0xfeca -0xb9968f89 -256 -256 -1 -0 -0 -2 -0x2db3 -0xc37179fd -256 -256 -0 -0 -0 -6 -0x73b4 -0x1ee199a5 -256 -256 -1 -0 -0 -3 -0x3a66 -0x9a8402b3 -256 -256 -1 -0 -0 -7 -0x9c1 -0xb8097356 -256 -256 -0 -0 -0 -9 -0x7524 -0x558ea23 -256 -256 -0 -0 -0 -1 -0x351f -0x467fce16 -256 -256 -0 -0 -0 -10 -0xc9a -0x3cb14df0 -256 -256 -1 -0 -0 -10 -0x64e1 -0x581652db -256 -256 -0 -0 -0 -1 -0xf9bb -0xa4c670d -256 -256 -0 -0 -0 -2 -0xfcc1 -0xe2277a26 -256 -256 -1 -0 -0 -3 -0xf938 -0xfd9f824 -256 -256 -1 -0 -0 -10 -0x4fb4 -0x9313f45c -256 -256 -0 -0 -0 -5 -0x5461 -0x8e700a8a -256 -256 -1 -0 -0 -8 -0x608c -0x8574dcbc -256 -256 -0 -0 -0 -7 -0xdfe1 -0xeca2c0cf -256 -256 -1 -0 -0 -10 -0x357 -0x80dd9283 -256 -256 -0 -0 -0 -1 -0x1658 -0x25ae1a2e -256 -256 -0 -0 -0 -3 -0xea81 -0xe7a6977b -256 -256 -1 -0 -0 -6 -0x2465 -0x9cda4c14 -256 -256 -0 -0 -0 -9 -0xd411 -0xd1f97dc8 -256 -256 -0 -0 -0 -7 -0x1133 -0xac99cde5 -256 -256 -1 -0 -0 -2 -0xc8b2 -0xf635723a -256 -256 -1 -0 -0 -10 -0x3ea -0xde66d9a6 -256 -256 -1 -0 -0 -8 -0x6d56 -0xf4b14081 -256 -256 -0 -0 -0 -4 -0x2403 -0x276ff217 -256 -256 -1 -0 -0 -3 -0xfd3f -0x4f906915 -256 -256 -0 -0 -0 -4 -0x773c -0x86b91f09 -256 -256 -1 -0 -0 -2 -0x9ff6 -0xed398e35 -256 -256 -0 -0 -0 -6 -0xb267 -0x93b0e9fe -256 -256 -1 -0 -0 -4 -0x6fbc -0x6e45a743 -256 -256 -1 -0 -0 -10 -0x229b -0xde6c545 -256 -256 -0 -0 -0 -2 -0x254c -0x3444089d -256 -256 -0 -0 -0 -1 -0xb471 -0x9924815a -256 -256 -1 -0 -0 -9 -0x1f7a -0xfef2b2b9 -256 -256 -1 -0 -0 -2 -0xd64d -0xbe427e4b -256 -256 -1 -0 -0 -6 -0x77c9 -0x2d764abe -256 -256 -1 -0 -0 -10 -0x1e18 -0xd4f0ee5c -256 -256 -0 -0 -0 -7 -0x48e5 -0x6316dcd9 -256 -256 -0 -0 -0 -10 -0xa92c -0x5ca02804 -256 -256 -1 -0 -0 -8 -0xc029 -0x668decfa -256 -256 -1 -0 -0 -8 -0x3952 -0x98152888 -256 -256 -1 -0 -0 -10 -0xcb07 -0x7b526fd -256 -256 -1 -0 -0 -4 -0x4baf -0xe5fbb5d7 -256 -256 -0 -0 -0 -2 -0xb2af -0xd0a3d02b -256 -256 -0 -0 -0 -9 -0x83b2 -0xf5309ce0 -256 -256 -1 -0 -0 -2 -0x6f42 -0xf9a0341c -256 -256 -0 -0 -0 -8 -0xd3c3 -0xe964bebe -256 -256 -0 -0 -0 -5 -0x1690 -0x33d30c5 -256 -256 -0 -0 -0 -1 -0x14a3 -0x7211d076 -256 -256 -1 -0 -0 -7 -0x6011 -0xfcc2da81 -256 -256 -0 -0 -0 -5 -0xbb95 -0x5b6a46c4 -256 -256 -1 -0 -0 -10 -0x230d -0x6cc76b44 -256 -256 -1 -0 -0 -9 -0xc835 -0xde7a9f8f -256 -256 -1 -0 -0 -1 -0x542f -0x6909b192 -256 -256 -1 -0 -0 -5 -0xef2f -0x30dc69e4 -256 -256 -1 -0 -0 -2 -0x7b8e -0x39b6e018 -256 -256 -0 -0 -0 -10 -0xa63e -0xf3dd7bae -256 -256 -0 -0 -0 -3 -0x20a -0x3111a97d -256 -256 -1 -0 -0 -5 -0x9918 -0x6c9970ca -256 -256 -1 -0 -0 -7 -0xfeed -0xd9af992a -256 -256 -0 -0 -0 -3 -0xa65d -0xf593a941 -256 -256 -0 -0 -0 -1 -0x3ff8 -0x79c1741e -256 -256 -0 -0 -0 -3 -0x76e3 -0x16563ed -256 -256 -0 -0 -0 -9 -0xc4a0 -0x70c1111a -256 -256 -0 -0 -0 -9 -0x3332 -0x5859649a -256 -256 -1 -0 -0 -3 -0xe786 -0x697229e6 -256 -256 -1 -0 -0 -7 -0xbd4a -0x440dff1f -256 -256 -1 -0 -0 -4 -0x3590 -0xfe0b2eef -256 -256 -1 -0 -0 -3 -0x6833 -0x51b87a83 -256 -256 -1 -0 -0 -1 -0x33cd -0x739f589b -256 -256 -0 -0 -0 -10 -0xd4df -0x3785e671 -256 -256 -0 -0 -0 -4 -0x1e4b -0x1a394f64 -256 -256 -0 -0 -0 -8 -0x942a -0x7e110a75 -256 -256 -1 -0 -0 -5 -0x2a0f -0x966a6841 -256 -256 -1 -0 -0 -5 -0x85ff -0x7d78bf72 -256 -256 -1 -0 -0 -6 -0xf5b9 -0xa0a24807 -256 -256 -1 -0 -0 -9 -0x45ef -0xc15e411d -256 -256 -1 -0 -0 -7 -0x81ab -0x46c92e24 -256 -256 -0 -0 -0 -9 -0x6252 -0x8588eef0 -256 -256 -1 -0 -0 -1 -0xc4e7 -0x3d070bc0 -256 -256 -1 -0 -0 -10 -0xb522 -0x17fae429 -256 -256 -1 -0 -0 -7 -0x9374 -0x949f1edc -256 -256 -0 -0 -0 -5 -0xc831 -0xd0780660 -256 -256 -1 -0 -0 -1 -0xf681 -0x91a5356a -256 -256 -1 -0 -0 -5 -0x6667 -0x8d9774e1 -256 -256 -1 -0 -0 -4 -0xce91 -0xc545b113 -256 -256 -0 -0 -0 -5 -0x10af -0x2d773d6 -256 -256 -0 -0 -0 -4 -0x2e46 -0x5b3a201b -256 -256 -1 -0 -0 -5 -0x9698 -0x3f35cf5d -256 -256 -0 -0 -0 -2 -0x87bd -0xd42912e6 -256 -256 -0 -0 -0 -7 -0x8c18 -0x6dfdf262 -256 -256 -0 -0 -0 -7 -0xddb6 -0x665f3e21 -256 -256 -0 -0 -0 -4 -0x1755 -0xb6cf8ea2 -256 -256 -0 -0 -0 -3 -0x4a94 -0xd39d67f5 -256 -256 -0 -0 -0 -1 -0x8aca -0x1266226a -256 -256 -1 -0 -0 -3 -0x586a -0x1c81ec0d -256 -256 -0 -0 -0 -8 -0x7952 -0x7554c944 -256 -256 -0 -0 -0 -3 -0x8893 -0x7123e00d -256 -256 -1 -0 -0 -10 -0xa07 -0xf65fc08d -256 -256 -0 -0 -0 -3 -0x7842 -0xea5e1f5b -256 -256 -1 -0 -0 -1 -0xbfa -0xb64baaa5 -256 -256 -0 -0 -0 -1 -0x168e -0x9d6e511f -256 -256 -0 -0 -0 -1 -0x55b8 -0x19da7583 -256 -256 -1 -0 -0 -8 -0xcbf4 -0xb75531ea -256 -256 -0 -0 -0 -1 -0x1629 -0x3302a9cc -256 -256 -1 -0 -0 -7 -0x9e05 -0xca555d39 -256 -256 -0 -0 -0 -5 -0xea1a -0x3747798e -256 -256 -0 -0 -0 -3 -0xda36 -0xc39990ff -256 -256 -1 -0 -0 -8 -0xa502 -0x6fd1f034 -256 -256 -0 -0 -0 -8 -0x78f1 -0x9cea8f19 -256 -256 -0 -0 -0 -7 -0x6df0 -0x53476501 -256 -256 -1 -0 -0 -4 -0x6e17 -0x676da7f -256 -256 -1 -0 -0 -5 -0x1077 -0xc22cccd4 -256 -256 -1 -0 -0 -4 -0x49f2 -0xf2e4d7b5 -256 -256 -0 -0 -0 -5 -0x524b -0x5376c326 -256 -256 -0 -0 -0 -10 -0x70b0 -0xf81a57cd -256 -256 -1 -0 -0 -7 -0x4061 -0x3d504deb -256 -256 -1 -0 -0 -4 -0x78a -0x19c06b1c -256 -256 -0 -0 -0 -10 -0x202a -0x7a209eb -256 -256 -0 -0 -0 -4 -0xca9b -0xd5e7a3e0 -256 -256 -1 -0 -0 -6 -0x1cb4 -0x8d0da28d -256 -256 -1 -0 -0 -1 -0xe65f -0x6b1781ae -256 -256 -0 -0 -0 -3 -0x8852 -0x12d42d3c -256 -256 -1 -0 -0 -6 -0x21ad -0xc7c297b2 -256 -256 -0 -0 -0 -7 -0xee29 -0xe5e0c0 -256 -256 -0 -0 -0 -4 -0x972 -0xeeed4820 -256 -256 -1 -0 -0 -3 -0xff34 -0xe25a9266 -256 -256 -1 -0 -0 -1 -0x2ced -0x2584e5a6 -256 -256 -1 -0 -0 -2 -0x1cfe -0xd67a240d -256 -256 -1 -0 -0 -5 -0x41e4 -0x26a6863 -256 -256 -0 -0 -0 -6 -0x3192 -0xb52fcc91 -256 -256 -1 -0 -0 -7 -0x9f7c -0xd7d71bc3 -256 -256 -1 -0 -0 -3 -0xf341 -0x3d30f4d -256 -256 -0 -0 -0 -7 -0xb6e6 -0xbde44ef4 -256 -256 -0 -0 -0 -6 -0x65af -0x93b84d88 -256 -256 -1 -0 -0 -1 -0xc554 -0xd32b3784 -256 -256 -1 -0 -0 -10 -0x9923 -0x2a7f4eea -256 -256 -0 -0 -0 -5 -0x5e18 -0x8ba06105 -256 -256 -0 -0 -0 -4 -0x46ac -0x792fa1db -256 -256 -1 -0 -0 -10 -0x461c -0xbfe4dd66 -256 -256 -0 -0 -0 -6 -0xf380 -0xf5d1e8d6 -256 -256 -0 -0 -0 -4 -0x3b5 -0x10533e4d -256 -256 -0 -0 -0 -8 -0xba5b -0xac6b758d -256 -256 -1 -0 -0 -6 -0x5afe -0xa7fa4f1f -256 -256 -0 -0 -0 -4 -0x6348 -0x86aa7937 -256 -256 -0 -0 -0 -5 -0xd26c -0xa6643b8b -256 -256 -1 -0 -0 -2 -0x419 -0x390c7a36 -256 -256 -0 -0 -0 -3 -0xec71 -0xeb3a8568 -256 -256 -0 -0 -0 -5 -0x4e02 -0x83eeb526 -256 -256 -0 -0 -0 -8 -0x9764 -0x8321a30e -256 -256 -1 -0 -0 -8 -0x406 -0xf208c16b -256 -256 -0 -0 -0 -9 -0xa32c -0x622e0135 -256 -256 -1 -0 -0 -9 -0xe928 -0x7471aa3b -256 -256 -1 -0 -0 -8 -0xf14b -0x5b38dd00 -256 -256 -1 -0 -0 -2 -0xc975 -0x53fbfa5c -256 -256 -0 -0 -0 -5 -0xf064 -0x5b3314ce -256 -256 -0 -0 -0 -7 -0x547a -0xeb1bcd95 -256 -256 -0 -0 -0 -5 -0x7985 -0x14534f91 -256 -256 -0 -0 -0 -2 -0x68ac -0x6219d4a1 -256 -256 -1 -0 -0 -3 -0x87b3 -0xf3d14470 -256 -256 -1 -0 -0 -8 -0xb533 -0xea61c631 -256 -256 -1 -0 -0 -9 -0x8807 -0xed49f9ad -256 -256 -0 -0 -0 -1 -0xb7f5 -0x3d24be1a -256 -256 -1 -0 -0 -10 -0x53e5 -0xcb4b1b3e -256 -256 -0 -0 -0 -9 -0x73b2 -0xc5986cb7 -256 -256 -0 -0 -0 -6 -0xdb7d -0xb7e3563f -256 -256 -1 -0 -0 -2 -0xcb5c -0x1a892798 -256 -256 -1 -0 -0 -7 -0x90fe -0x79f5f781 -256 -256 -0 -0 -0 -10 -0x889 -0xeae0465 -256 -256 -0 -0 -0 -4 -0x6dc1 -0x3345c7a9 -256 -256 -1 -0 -0 -10 -0x59ae -0xa766bb72 -256 -256 -0 -0 -0 -10 -0xe80d -0x55e9c54 -256 -256 -0 -0 -0 -6 -0xfeb -0xc41d9762 -256 -256 -1 -0 -0 -3 -0xbc49 -0xea1f7176 -256 -256 -1 -0 -0 -2 -0xf35a -0xb98274c0 -256 -256 -0 -0 -0 -7 -0x8248 -0x36ab4929 -256 -256 -1 -0 -0 -10 -0x9f1 -0xee89c8bf -256 -256 -1 -0 -0 -10 -0x3c6d -0xa4258aaa -256 -256 -1 -0 -0 -8 -0x1024 -0xa19af5ff -256 -256 -1 -0 -0 -8 -0xd6a2 -0xbdeb2580 -256 -256 -1 -0 -0 -7 -0xe579 -0x4f86b11a -256 -256 -0 -0 -0 -9 -0x9e85 -0xd90eca54 -256 -256 -1 -0 -0 -7 -0xc369 -0x4dcb4d5c -256 -256 -1 -0 -0 -1 -0x9a65 -0x5859fb97 -256 -256 -0 -0 -0 -8 -0x970c -0x8a5a6c9a -256 -256 -1 -0 -0 -3 -0xbf49 -0xb2d5a994 -256 -256 -0 -0 -0 -6 -0xbaa3 -0x9c6c0f66 -256 -256 -0 -0 -0 -9 -0x51c0 -0x5fad4b1f -256 -256 -0 -0 -0 -9 -0x4363 -0xc7e5827b -256 -256 -1 -0 -0 -3 -0x39c9 -0x96f91986 -256 -256 -1 -0 -0 -9 -0x3009 -0xae3efaf7 -256 -256 -0 -0 -0 -2 -0x68f5 -0x5214ecd5 -256 -256 -0 -0 -0 -2 -0x5019 -0xad6d0f05 -256 -256 -1 -0 -0 -3 -0x74da -0x7d2661f1 -256 -256 -0 -0 -0 -6 -0x77b7 -0x8ccabba1 -256 -256 -1 -0 -0 -5 -0x36e7 -0x6e08ff78 -256 -256 -0 -0 -0 -2 -0x8ef2 -0x4506606e -256 -256 -0 -0 -0 -3 -0xcc1b -0x95cf41eb -256 -256 -1 -0 -0 -4 -0x9ed3 -0xcecc0019 -256 -256 -0 -0 -0 -5 -0x38fe -0x79c06a56 -256 -256 -0 -0 -0 -7 -0x9978 -0x1d891f35 -256 -256 -1 -0 -0 -1 -0x1d6b -0x2380d894 -256 -256 -0 -0 -0 -1 -0xa420 -0x79776082 -256 -256 -1 -0 -0 -2 -0x65c9 -0x227ba86c -256 -256 -1 -0 -0 -9 -0x8c36 -0x9cd1f1bd -256 -256 -0 -0 -0 -10 -0xf08 -0x1e0a4c15 -256 -256 -1 -0 -0 -2 -0x7ef1 -0x79c8ddbe -256 -256 -0 -0 -0 -8 -0xd1ac -0x5e5b82e9 -256 -256 -0 -0 -0 -4 -0x41a4 -0x331eed5b -256 -256 -0 -0 -0 -5 -0x3517 -0x33e8d5d6 -256 -256 -0 -0 -0 -8 -0x6829 -0xf5682474 -256 -256 -0 -0 -0 -8 -0x9f64 -0x31a6a850 -256 -256 -1 -0 -0 -9 -0xaccf -0x22ece82d -256 -256 -1 -0 -0 -2 -0x2acf -0x9cb9f582 -256 -256 -0 -0 -0 -4 -0x1bf4 -0xb8a8c53e -256 -256 -1 -0 -0 -6 -0xcf82 -0xb78e1686 -256 -256 -0 -0 -0 -4 -0xe1df -0xc32ac24d -256 -256 -0 -0 -0 -9 -0xb56b -0xd300ca0e -256 -256 -1 -0 -0 -4 -0xddec -0x99f9c185 -256 -256 -0 -0 -0 -9 -0xf90c -0xe81a7d58 -256 -256 -1 -0 -0 -5 -0x1bd4 -0x51db80c2 -256 -256 -1 -0 -0 -1 -0x4d20 -0xc900fe6d -256 -256 -0 -0 -0 -5 -0x5c7 -0xf181d90 -256 -256 -0 -0 -0 -10 -0x2227 -0x6afa0a1e -256 -256 -1 -0 -0 -9 -0x4d83 -0x281277f9 -256 -256 -1 -0 -0 -1 -0xf2af -0xd285d2e6 -256 -256 -1 -0 -0 -9 -0xaf1c -0xd0a31cf6 -256 -256 -1 -0 -0 -7 -0x9d1e -0x9043425b -256 -256 -0 -0 -0 -5 -0x21a5 -0x3fa8f564 -256 -256 -1 -0 -0 -4 -0x69a4 -0x7f3bb2cd -256 -256 -1 -0 -0 -5 -0x84a7 -0x525de89b -256 -256 -1 -0 -0 -4 -0xef86 -0xead0efea -256 -256 -0 -0 -0 -4 -0xce6e -0x60551743 -256 -256 -0 -0 -0 -4 -0xd01a -0xa077e06 -256 -256 -0 -0 -0 -10 -0xb3ef -0x14cb91eb -256 -256 -1 -0 -0 -9 -0x9db9 -0x116b08e4 -256 -256 -0 -0 -0 -3 -0x33b5 -0x78bacfa9 -256 -256 -1 -0 -0 -5 -0x5254 -0x952aae98 -256 -256 -1 -0 -0 -2 -0x9b1e -0xf160689a -256 -256 -0 -0 -0 -6 -0x9551 -0x2a33ddce -256 -256 -0 -0 -0 -9 -0x98ff -0x34b7b086 -256 -256 -1 -0 -0 -6 -0x9601 -0x5de93e11 -256 -256 -0 -0 -0 -1 -0xc9e7 -0x236faec6 -256 -256 -0 -0 -0 -8 -0xcf52 -0xe9d47a89 -256 -256 -0 -0 -0 -2 -0x234b -0xf8eb4bd2 -256 -256 -0 -0 -0 -8 -0x982 -0xff40ac13 -256 -256 -1 -0 -0 -2 -0x9867 -0x98b30881 -256 -256 -1 -0 -0 -2 -0x536e -0xbbb36faf -256 -256 -1 -0 -0 -5 -0xfabf -0xc09f2961 -256 -256 -1 -0 -0 -3 -0xa89b -0x44f61eaa -256 -256 -1 -0 -0 -9 -0x577a -0x28fdce8a -256 -256 -0 -0 -0 -3 -0xe561 -0x1e23709c -256 -256 -1 -0 -0 -10 -0x66ea -0x24f7d95 -256 -256 -1 -0 -0 -3 -0xdbd3 -0x71b4481f -256 -256 -1 -0 -0 -5 -0x9f95 -0x9965f196 -256 -256 -1 -0 -0 -8 -0x472b -0xdef9d220 -256 -256 -0 -0 -0 -5 -0x9c35 -0x4c38dca2 -256 -256 -0 -0 -0 -7 -0x912c -0x4045d568 -256 -256 -1 -0 -0 -5 -0x27bc -0xec1afef4 -256 -256 -1 -0 -0 -9 -0xf591 -0xcdcb0d4d -256 -256 -1 -0 -0 -8 -0x2889 -0xac09a53b -256 -256 -0 -0 -0 -10 -0x916e -0xfc5c9bf7 -256 -256 -0 -0 -0 -8 -0xbb23 -0xc99d0d18 -256 -256 -1 -0 -0 -8 -0xf37f -0xa6b48789 -256 -256 -0 -0 -0 -9 -0xa0fb -0xaf9815a -256 -256 -0 -0 -0 -4 -0xc9c3 -0x8272e0cc -256 -256 -1 -0 -0 -4 -0x3e18 -0x4c912cf4 -256 -256 -1 -0 -0 -4 -0xe3f5 -0x1bc50a58 -256 -256 -1 -0 -0 -6 -0x8ea2 -0x9c2763bd -256 -256 -1 -0 -0 -3 -0x5051 -0x6f1348c8 -256 -256 -0 -0 -0 -10 -0xdf0f -0xf829b78b -256 -256 -1 -0 -0 -2 -0x9e4a -0xf13afda9 -256 -256 -0 -0 -0 -4 -0xa7bb -0x9b11d6fb -256 -256 -0 -0 -0 -6 -0xa762 -0x94c2457b -256 -256 -0 -0 -0 -3 -0x3f98 -0x5dc80eb4 -256 -256 -1 -0 -0 -8 -0x8643 -0x9efd1b03 -256 -256 -1 -0 -0 -9 -0x19bf -0x16618620 -256 -256 -1 -0 -0 -7 -0xb157 -0x6f7af20c -256 -256 -1 -0 -0 -5 -0x876d -0x407e8b7b -256 -256 -1 -0 -0 -9 -0x52b1 -0xcef30184 -256 -256 -1 -0 -0 -10 -0x5a5c -0x3e90894a -256 -256 -1 -0 -0 -3 -0xb9bb -0x7a108b29 -256 -256 -0 -0 -0 -2 -0xca36 -0xe009f8e6 -256 -256 -0 -0 -0 -8 -0xbff9 -0x8a9d62a5 -256 -256 -1 -0 -0 -3 -0x528b -0xcd881fe8 -256 -256 -1 -0 -0 -9 -0x3364 -0x3723abd5 -256 -256 -1 -0 -0 -6 -0x7e09 -0xdf30f400 -256 -256 -0 -0 -0 -4 -0x8edc -0xff412d2e -256 -256 -1 -0 -0 -8 -0x2d6e -0x6203d586 -256 -256 -0 -0 -0 -4 -0xd71 -0x9b610bf0 -256 -256 -1 -0 -0 -5 -0xc6bc -0xd92879b8 -256 -256 -1 -0 -0 -5 -0x701e -0xc9459db7 -256 -256 -0 -0 -0 -8 -0x8bf -0xb5e3df48 -256 -256 -1 -0 -0 -7 -0xf62c -0xddbc3f77 -256 -256 -1 -0 -0 -5 -0x87cc -0x80273c1f -256 -256 -0 -0 -0 -5 -0x49ed -0xa91d2f3f -256 -256 -1 -0 -0 -9 -0xbfcf -0xc08b9a2a -256 -256 -0 -0 -0 -9 -0xae88 -0xb28d46aa -256 -256 -0 -0 -0 -6 -0xf1d2 -0xf1a8e495 -256 -256 -1 -0 -0 -5 -0x61a3 -0xe1b0ffc -256 -256 -0 -0 -0 -6 -0xf3a0 -0xf46d526e -256 -256 -1 -0 -0 -9 -0x35dd -0x16eca8bf -256 -256 -0 -0 -0 -5 -0x984e -0xb04d5207 -256 -256 -1 -0 -0 -8 -0xe00a -0x57d3dda -256 -256 -1 -0 -0 -2 -0x8294 -0xe0fffdab -256 -256 -0 -0 -0 -7 -0xbef5 -0xef95c4b6 -256 -256 -1 -0 -0 -6 -0x7ab4 -0x2f89b42c -256 -256 -1 -0 -0 -2 -0x5338 -0x73ae441b -256 -256 -0 -0 -0 -1 -0x94d1 -0x2ff42b58 -256 -256 -1 -0 -0 -2 -0x2f9d -0xeaf1dade -256 -256 -1 -0 -0 -3 -0x9c50 -0x3f227267 -256 -256 -1 -0 -0 -9 -0x1743 -0xa5f978e1 -256 -256 -1 -0 -0 -10 -0x793c -0x7bd3b06e -256 -256 -1 -0 -0 -10 -0x1f7e -0x273a7baa -256 -256 -0 -0 -0 -5 -0x7e9d -0x3a2468f5 -256 -256 -0 -0 -0 -5 -0xd63f -0x1d5861c7 -256 -256 -0 -0 -0 -4 -0xe75e -0x45f96f05 -256 -256 -0 -0 -0 -7 -0x6ab4 -0xfb12ff0c -256 -256 -1 -0 -0 -1 -0xa35f -0x88799240 -256 -256 -1 -0 -0 -10 -0xb83a -0x41f0f761 -256 -256 -1 -0 -0 -4 -0x348a -0xf829b11d -256 -256 -0 -0 -0 -1 -0x1c -0xfe4e2392 -256 -256 -0 -0 -0 -4 -0xbbe2 -0x3f282553 -256 -256 -0 -0 -0 -8 -0xe32b -0x3196e8eb -256 -256 -0 -0 -0 -4 -0xffd4 -0x5cfd4ae8 -256 -256 -1 -0 -0 -3 -0xa3e9 -0x258e952a -256 -256 -0 -0 -0 -4 -0x61ca -0xabc67d3b -256 -256 -0 -0 -0 -3 -0x9bfd -0x878c0f1b -256 -256 -0 -0 -0 -8 -0x2a0d -0xc0c99db -256 -256 -0 -0 -0 -5 -0x8223 -0xf8353440 -256 -256 -1 -0 -0 -8 -0xb551 -0x1c2bfa02 -256 -256 -0 -0 -0 -2 -0xc571 -0xda544a7c -256 -256 -1 -0 -0 -6 -0xaa27 -0xc40e24e2 -256 -256 -0 -0 -0 -5 -0x44c0 -0xab4b2761 -256 -256 -0 -0 -0 -6 -0x7343 -0x5d157751 -256 -256 -0 -0 -0 -10 -0x928 -0x7f85d018 -256 -256 -1 -0 -0 -1 -0xaae9 -0x9134426f -256 -256 -1 -0 -0 -3 -0xcca -0xb6cf0728 -256 -256 -0 -0 -0 -1 -0x2da -0xa100ee9a -256 -256 -1 -0 -0 -9 -0x6f85 -0x94a0eca6 -256 -256 -1 -0 -0 -4 -0xc9c2 -0xf69be53c -256 -256 -0 -0 -0 -9 -0xb4d8 -0xbd3d439d -256 -256 -0 -0 -0 -7 -0x6460 -0xda046b95 -256 -256 -0 -0 -0 -5 -0x9f99 -0x8571123b -256 -256 -1 -0 -0 -5 -0x3bf7 -0x207f0792 -256 -256 -0 -0 -0 -9 -0x8d1d -0xb63adb8c -256 -256 -0 -0 -0 -10 -0x8970 -0xa5af9499 -256 -256 -1 -0 -0 -5 -0xddab -0xd70805 -256 -256 -1 -0 -0 -4 -0xe35f -0xc92cca84 -256 -256 -0 -0 -0 -8 -0x1c2c -0x7427e666 -256 -256 -0 -0 -0 -6 -0x9ef5 -0x9ab703a4 -256 -256 -1 -0 -0 -3 -0xb572 -0x3e5ee5d3 -256 -256 -0 -0 -0 -5 -0x2a77 -0x76d1c5ba -256 -256 -1 -0 -0 -1 -0x2304 -0xf9aba900 -256 -256 -0 -0 -0 -6 -0x4d4b -0x1db776f8 -256 -256 -0 -0 -0 -5 -0xb4a3 -0x70a43332 -256 -256 -0 -0 -0 -2 -0xa294 -0x129e45c -256 -256 -0 -0 -0 -4 -0xe0d7 -0x70ab3132 -256 -256 -1 -0 -0 -4 -0x3f37 -0x16650cb9 -256 -256 -1 -0 -0 -7 -0x3555 -0x63a0cf5e -256 -256 -0 -0 -0 -3 -0xe214 -0xe06af272 -256 -256 -1 -0 -0 -4 -0x7bc6 -0x2ffbb161 -256 -256 -1 -0 -0 -6 -0xaa3f -0x1c5d3823 -256 -256 -0 -0 -0 -6 -0xcbf0 -0x66f21528 -256 -256 -0 -0 -0 -9 -0xe218 -0x269a9122 -256 -256 -0 -0 -0 -5 -0x7503 -0x4ee37cbd -256 -256 -0 -0 -0 -3 -0x148c -0x6eae6dd1 -256 -256 -0 -0 -0 -2 -0xa1fe -0xc424c786 -256 -256 -1 -0 -0 -1 -0x3da2 -0x77ffcf3f -256 -256 -1 -0 -0 -7 -0x3557 -0x4317f465 -256 -256 -1 -0 -0 -2 -0x9bd8 -0xeb199739 -256 -256 -1 -0 -0 -1 -0x46fc -0xf0175570 -256 -256 -0 -0 -0 -1 -0x88e2 -0x8adc5602 -256 -256 -0 -0 -0 -10 -0x62d2 -0xdf902227 -256 -256 -0 -0 -0 -6 -0xc7c4 -0x28053eab -256 -256 -1 -0 -0 -8 -0x2410 -0xc7a8e2c -256 -256 -1 -0 -0 -6 -0xce24 -0x2b98f15c -256 -256 -0 -0 -0 -6 -0xe212 -0x91e17028 -256 -256 -1 -0 -0 -10 -0x76bf -0xec1dbe64 -256 -256 -0 -0 -0 -8 -0xdd05 -0x7382c338 -256 -256 -0 -0 -0 -6 -0xcbbc -0x8ea0408e -256 -256 -1 -0 -0 -10 -0x1d86 -0x44b6dda4 -256 -256 -0 -0 -0 -2 -0xbc8e -0xc1253d2f -256 -256 -0 -0 -0 -3 -0xffa5 -0x75f2da5 -256 -256 -0 -0 -0 -10 -0x8993 -0xa9760fd0 -256 -256 -1 -0 -0 -1 -0x451a -0xd4c10720 -256 -256 -0 -0 -0 -6 -0x70d7 -0x15c69ae5 -256 -256 -1 -0 -0 -5 -0xa9da -0x1e1e598d -256 -256 -0 -0 -0 -8 -0x3d51 -0x6b730703 -256 -256 -0 -0 -0 -10 -0x1504 -0x595ca10a -256 -256 -0 -0 -0 -7 -0xa16 -0xe25c9a99 -256 -256 -0 -0 -0 -5 -0xbc25 -0xcd121201 -256 -256 -0 -0 -0 -9 -0xdac3 -0xbc283502 -256 -256 -1 -0 -0 -3 -0x77b9 -0x55aabae8 -256 -256 -1 -0 -0 -9 -0x2df0 -0x387a2609 -256 -256 -1 -0 -0 -9 -0xa641 -0x97093a40 -256 -256 -1 -0 -0 -9 -0x4baf -0x2822236d -256 -256 -0 -0 -0 -5 -0x6ff3 -0xff998bf7 -256 -256 -0 -0 -0 -9 -0xb685 -0x283afd7a -256 -256 -0 -0 -0 -2 -0x485 -0x733916be -256 -256 -0 -0 -0 -10 -0xd81 -0xafd60a65 -256 -256 -0 -0 -0 -5 -0x4763 -0xee6a6713 -256 -256 -1 -0 -0 -7 -0x7325 -0x4319fae5 -256 -256 -0 -0 -0 -8 -0x1470 -0x140be3e5 -256 -256 -1 -0 -0 -7 -0xae0d -0x575ee812 -256 -256 -0 -0 -0 -5 -0x4bd1 -0xbf7e5614 -256 -256 -1 -0 -0 -3 -0xf984 -0xe63e06f9 -256 -256 -0 -0 -0 -10 -0xccf8 -0x760411b3 -256 -256 -0 -0 -0 -4 -0x222c -0xa559f212 -256 -256 -0 -0 -0 -6 -0xc6f2 -0xb6dbc1a7 -256 -256 -0 -0 -0 -10 -0x217e -0x430334c7 -256 -256 -1 -0 -0 -6 -0x2fee -0x882e6068 -256 -256 -1 -0 -0 -6 -0xb95c -0xd67a046a -256 -256 -0 -0 -0 -3 -0x4c0b -0x47b172b -256 -256 -0 -0 -0 -9 -0xa27e -0x3b4ae696 -256 -256 -0 -0 -0 -4 -0xa907 -0xf55a00be -256 -256 -0 -0 -0 -4 -0xa3c5 -0xa2ac2d0c -256 -256 -0 -0 -0 -7 -0xc19e -0x229ff18 -256 -256 -1 -0 -0 -6 -0x198c -0x4cb42f3d -256 -256 -0 -0 -0 -2 -0xc9fe -0xe26c1608 -256 -256 -1 -0 -0 -9 -0x5e3a -0xbdcc70c0 -256 -256 -1 -0 -0 -2 -0x912 -0x45f17582 -256 -256 -1 -0 -0 -8 -0x50ea -0xefa9e9e6 -256 -256 -0 -0 -0 -4 -0xefa3 -0x4335ee7b -256 -256 -1 -0 -0 -2 -0xdff4 -0xad52707a -256 -256 -1 -0 -0 -2 -0x258f -0xe80c7d7e -256 -256 -0 -0 -0 -6 -0x4a30 -0x5e785e13 -256 -256 -1 -0 -0 -3 -0x302f -0xa4ead9e6 -256 -256 -0 -0 -0 -2 -0x5f11 -0xbaeafd11 -256 -256 -1 -0 -0 -4 -0xd383 -0x3b58af2 -256 -256 -1 -0 -0 -1 -0x45ba -0x140d512 -256 -256 -0 -0 -0 -1 -0x83e1 -0x4225a904 -256 -256 -0 -0 -0 -6 -0xa0e2 -0x245573af -256 -256 -0 -0 -0 -2 -0x523c -0x27f899af -256 -256 -0 -0 -0 -1 -0xec56 -0xfa3b0897 -256 -256 -0 -0 -0 -4 -0xcb15 -0x2bedf582 -256 -256 -0 -0 -0 -9 -0x7b31 -0xdc56d879 -256 -256 -0 -0 -0 -1 -0x97d6 -0x4f3128d3 -256 -256 -0 -0 -0 -8 -0xd394 -0xf2e1bd45 -256 -256 -0 -0 -0 -4 -0x5690 -0xf8e92e45 -256 -256 -1 -0 -0 -8 -0xa40c -0x9921deb5 -256 -256 -0 -0 -0 -3 -0x6551 -0x6bcbc10d -256 -256 -1 -0 -0 -2 -0x5261 -0xd6d44af5 -256 -256 -0 -0 -0 -3 -0xf134 -0x348ec5b3 -256 -256 -1 -0 -0 -6 -0x62b8 -0x9a32cc43 -256 -256 -1 -0 -0 -5 -0x5078 -0xc4cc845b -256 -256 -0 -0 -0 -7 -0x2da -0x24f04222 -256 -256 -1 -0 -0 -9 -0xa225 -0x6af97af6 -256 -256 -1 -0 -0 -7 -0xd5bb -0x1f9d1529 -256 -256 -0 -0 -0 -7 -0x9d1c -0xcb565f44 -256 -256 -0 -0 -0 -7 -0x3caf -0xf7e3e07 -256 -256 -0 -0 -0 -4 -0x1abe -0x968fce7a -256 -256 -0 -0 -0 -8 -0x5f47 -0x17531822 -256 -256 -1 -0 -0 -10 -0x6518 -0x685cb978 -256 -256 -0 -0 -0 -4 -0xba15 -0x8b4c5a6e -256 -256 -0 -0 -0 -5 -0x16f1 -0xec840b11 -256 -256 -1 -0 -0 -2 -0xdb0 -0xd4c977a4 -256 -256 -1 -0 -0 -1 -0xd201 -0x9a1cd83e -256 -256 -1 -0 -0 -7 -0xfed1 -0xbf72118c -256 -256 -0 -0 -0 -2 -0xb61e -0x7f056d32 -256 -256 -1 -0 -0 -9 -0x9845 -0xf16b110d -256 -256 -1 -0 -0 -5 -0x456c -0x6b7785dd -256 -256 -0 -0 -0 -5 -0x2496 -0x6f01b4a9 -256 -256 -1 -0 -0 -6 -0xce9e -0x6f381de4 -256 -256 -1 -0 -0 -8 -0x6d2b -0x38574cd9 -256 -256 -1 -0 -0 -7 -0xae53 -0x5276bbb6 -256 -256 -1 -0 -0 -4 -0x783 -0x8830026d -256 -256 -0 -0 -0 -1 -0x75be -0xe78e877f -256 -256 -1 -0 -0 -9 -0xf755 -0xf3555c1f -256 -256 -1 -0 -0 -1 -0x540c -0xe018c381 -256 -256 -1 -0 -0 -9 -0xc2b3 -0x58ec6d01 -256 -256 -1 -0 -0 -10 -0x522a -0x4e8583d0 -256 -256 -1 -0 -0 -9 -0x11c3 -0x3cc28f1e -256 -256 -1 -0 -0 -4 -0xb1a3 -0x2e2c2bb2 -256 -256 -1 -0 -0 -3 -0xb1db -0x5953e678 -256 -256 -1 -0 -0 -1 -0x9236 -0xa53685fa -256 -256 -1 -0 -0 -6 -0xe696 -0xfa4bd825 -256 -256 -0 -0 -0 -9 -0x7b4b -0xe874b97f -256 -256 -0 -0 -0 -4 -0x1193 -0x2f7f919 -256 -256 -0 -0 -0 -6 -0x76b -0x30f20a88 -256 -256 -1 -0 -0 -7 -0x8621 -0x5fd108e7 -256 -256 -0 -0 -0 -5 -0xf62a -0xd64dd178 -256 -256 -0 -0 -0 -5 -0xc1e1 -0x1c3984a0 -256 -256 -1 -0 -0 -5 -0xcd0b -0x95985f60 -256 -256 -0 -0 -0 -6 -0xc062 -0xfe391399 -256 -256 -0 -0 -0 -10 -0xde63 -0x6b5eaefc -256 -256 -0 -0 -0 -3 -0x45af -0x1f59d126 -256 -256 -1 -0 -0 -7 -0x520f -0x40a1fe02 -256 -256 -0 -0 -0 -7 -0xbb6a -0x119bc391 -256 -256 -0 -0 -0 -2 -0xfb6a -0xe7f8e4cf -256 -256 -1 -0 -0 -2 -0xb259 -0x864eb913 -256 -256 -0 -0 -0 -5 -0xc6b9 -0x618b80e0 -256 -256 -0 -0 -0 -5 -0x70ef -0x13f1eec8 -256 -256 -1 -0 -0 -2 -0xeea6 -0xdb8b17b2 -256 -256 -0 -0 -0 -8 -0x5b21 -0x34618ea -256 -256 -0 -0 -0 -4 -0xe651 -0xc9cd166b -256 -256 -0 -0 -0 -4 -0xbe2a -0x6e58a6b6 -256 -256 -1 -0 -0 -8 -0xbaaa -0x8f4480d7 -256 -256 -1 -0 -0 -1 -0x69c0 -0x555fc8f9 -256 -256 -0 -0 -0 -7 -0x4173 -0xb3945f8d -256 -256 -1 -0 -0 -2 -0x6b09 -0x4f5dfa -256 -256 -1 -0 -0 -10 -0xed14 -0x55ad98e3 -256 -256 -0 -0 -0 -10 -0xebcd -0x23421f54 -256 -256 -0 -0 -0 -2 -0xb6f1 -0xefe41ed5 -256 -256 -1 -0 -0 -7 -0x9f24 -0xf5c71f42 -256 -256 -1 -0 -0 -6 -0x6fe4 -0x3bc64577 -256 -256 -1 -0 -0 -2 -0x8f58 -0x132775b9 -256 -256 -0 -0 -0 -5 -0xe477 -0x79a781a4 -256 -256 -0 -0 -0 -8 -0x5117 -0x427e2b10 -256 -256 -0 -0 -0 -1 -0xf18 -0x27d694ac -256 -256 -0 -0 -0 -1 -0x2c97 -0x944ea24b -256 -256 -1 -0 -0 -3 -0x990c -0xefc01bee -256 -256 -0 -0 -0 -8 -0x94c0 -0xeeaa27e3 -256 -256 -1 -0 -0 -10 -0x14a7 -0x208f713 -256 -256 -0 -0 -0 -4 -0x9205 -0x57f4d833 -256 -256 -1 -0 -0 -7 -0xf09a -0xb7d1444d -256 -256 -0 -0 -0 -9 -0x88ac -0xa337a4f3 -256 -256 -0 -0 -0 -7 -0xa478 -0x5f4550f5 -256 -256 -1 -0 -0 -5 -0x8fbc -0x83f63019 -256 -256 -1 -0 -0 -7 -0xf168 -0x2df5b5d3 -256 -256 -0 -0 -0 -10 -0xa2a9 -0xccefb7b6 -256 -256 -1 -0 -0 -5 -0x74e -0xf62138a -256 -256 -0 -0 -0 -2 -0x65f2 -0x7b510214 -256 -256 -0 -0 -0 -8 -0xc5d5 -0x225e49db -256 -256 -0 -0 -0 -6 -0xae42 -0x9b7c2d04 -256 -256 -0 -0 -0 -10 -0x6f45 -0xaf4f2d43 -256 -256 -1 -0 -0 -9 -0xc1ea -0xc35c0274 -256 -256 -1 -0 -0 -3 -0xa995 -0xb9a72795 -256 -256 -1 -0 -0 -7 -0xb40f -0xe53d7680 -256 -256 -1 -0 -0 -3 -0xd938 -0xa18d5885 -256 -256 -1 -0 -0 -1 -0xb537 -0xb0f9d5d2 -256 -256 -0 -0 -0 -5 -0x2741 -0x708c2319 -256 -256 -1 -0 -0 -7 -0xfd07 -0xec78a187 -256 -256 -1 -0 -0 -7 -0x2ed1 -0x5fe7a9f7 -256 -256 -1 -0 -0 -7 -0x9577 -0x1189b87 -256 -256 -0 -0 -0 -3 -0xef2e -0x32a82a36 -256 -256 -0 -0 -0 -4 -0x5b4d -0xe8cff94d -256 -256 -1 -0 -0 -10 -0x4fbf -0xefc25a3e -256 -256 -1 -0 -0 -10 -0x885f -0x96c619d4 -256 -256 -1 -0 -0 -4 -0x591 -0xe581f183 -256 -256 -1 -0 -0 -2 -0x38c1 -0x39edcd8f -256 -256 -1 -0 -0 -1 -0xf96f -0xd6bf346c -256 -256 -0 -0 -0 -6 -0x128c -0x70cce673 -256 -256 -0 -0 -0 -6 -0x5994 -0xc216d669 -256 -256 -1 -0 -0 -5 -0x775b -0x4e96086b -256 -256 -0 -0 -0 -7 -0x338d -0x407ae0da -256 -256 -0 -0 -0 -8 -0x827c -0x4060050e -256 -256 -1 -0 -0 -2 -0x591d -0xa169ee7d -256 -256 -1 -0 -0 -10 -0x655d -0x7ec0d9e9 -256 -256 -0 -0 -0 -4 -0xddd8 -0x995b88ec -256 -256 -0 -0 -0 -8 -0x8beb -0x47d64faf -256 -256 -1 -0 -0 -3 -0x99a9 -0xa4d17ba2 -256 -256 -0 -0 -0 -5 -0x27be -0x5a450336 -256 -256 -1 -0 -0 -9 -0x405d -0xc77a926b -256 -256 -1 -0 -0 -4 -0x994b -0x4f994392 -256 -256 -1 -0 -0 -5 -0x6b38 -0x77bae5b1 -256 -256 -0 -0 -0 -7 -0x5324 -0x70d722cc -256 -256 -1 -0 -0 -3 -0x9a68 -0x86f471a2 -256 -256 -0 -0 -0 -3 -0x3f26 -0x2aeb1470 -256 -256 -1 -0 -0 -4 -0xe268 -0x5264624 -256 -256 -0 -0 -0 -10 -0xdd20 -0xc5f8808b -256 -256 -1 -0 -0 -9 -0xa67c -0x9b77f23e -256 -256 -1 -0 -0 -7 -0xae71 -0x3ad0ea00 -256 -256 -0 -0 -0 -3 -0xb7db -0xb07a8e8d -256 -256 -1 -0 -0 -3 -0x6082 -0xc8178307 -256 -256 -1 -0 -0 -2 -0xc5d3 -0xbfc2e0de -256 -256 -0 -0 -0 -1 -0x6890 -0xc191659b -256 -256 -1 -0 -0 -5 -0x5bdc -0x201fcc47 -256 -256 -1 -0 -0 -3 -0x53d2 -0xa4a0bcb0 -256 -256 -1 -0 -0 -2 -0x28e6 -0xf80d313f -256 -256 -1 -0 -0 -7 -0x5d70 -0x1758f184 -256 -256 -1 -0 -0 -7 -0xfcfe -0x6c3e04a5 -256 -256 -1 -0 -0 -7 -0x9d2c -0x508655a6 -256 -256 -1 -0 -0 -10 -0xe87c -0x25f7cc73 -256 -256 -0 -0 -0 -3 -0x4a33 -0x2ceb2167 -256 -256 -1 -0 -0 -2 -0x7a90 -0x3582476c -256 -256 -1 -0 -0 -7 -0x4f1d -0x82bc32de -256 -256 -1 -0 -0 -4 -0x5664 -0xead1f530 -256 -256 -0 -0 -0 -10 -0x1c16 -0x549bbf09 -256 -256 -0 -0 -0 -7 -0x12a7 -0x1c775f44 -256 -256 -0 -0 -0 -4 -0xfca0 -0xd34bd9a2 -256 -256 -0 -0 -0 -2 -0xd198 -0xb5fd9c41 -256 -256 -1 -0 -0 -6 -0xe2b8 -0x176d56d2 -256 -256 -0 -0 -0 -9 -0xb615 -0x9cdcff4b -256 -256 -1 -0 -0 -9 -0xc736 -0x355fcd49 -256 -256 -0 -0 -0 -3 -0x8591 -0x8deb5398 -256 -256 -0 -0 -0 -5 -0xb26 -0x3c4cd4d4 -256 -256 -0 -0 -0 -5 -0xbd5c -0x29551847 -256 -256 -0 -0 -0 -3 -0x54b1 -0xbb29bf52 -256 -256 -0 -0 -0 -2 -0x7652 -0xaa41d463 -256 -256 -1 -0 -0 -1 -0x907b -0x1a6edc5 -256 -256 -0 -0 -0 -4 -0x49a3 -0x8e675d7d -256 -256 -1 -0 -0 -1 -0x4ba5 -0x836e0faf -256 -256 -0 -0 -0 -9 -0xc24a -0x608d0619 -256 -256 -0 -0 -0 -8 -0x7ad7 -0xd4728689 -256 -256 -0 -0 -0 -6 -0xdbcf -0xd9e4afd8 -256 -256 -0 -0 -0 -9 -0xa32b -0x1b2ee83e -256 -256 -1 -0 -0 -9 -0x428b -0xd1d52e68 -256 -256 -1 -0 -0 -9 -0x4c9 -0x8b5af4ae -256 -256 -1 -0 -0 -6 -0x712b -0x9f25bbd9 -256 -256 -1 -0 -0 -6 -0x8008 -0xd03943f -256 -256 -1 -0 -0 -3 -0x821d -0xead2be20 -256 -256 -0 -0 -0 -5 -0x2d9b -0x23a4737 -256 -256 -1 -0 -0 -2 -0x665a -0xf0ffe94d -256 -256 -0 -0 -0 -4 -0x7416 -0x14e6cb13 -256 -256 -0 -0 -0 -7 -0xb034 -0x6d451f89 -256 -256 -1 -0 -0 -10 -0xbd6b -0x5f3af8c7 -256 -256 -0 -0 -0 -7 -0x33c9 -0xc71ea0b2 -256 -256 -0 -0 -0 -4 -0xd6f4 -0x30a2218 -256 -256 -1 -0 -0 -4 -0x56bc -0xc99afdeb -256 -256 -1 -0 -0 -3 -0x372 -0xc7beac2b -256 -256 -0 -0 -0 -7 -0xae05 -0x2b6490e3 -256 -256 -1 -0 -0 -7 -0x5d7f -0xa8e18c92 -256 -256 -0 -0 -0 -8 -0xfee4 -0xcc85681b -256 -256 -1 -0 -0 -10 -0xdfd3 -0x430b90d8 -256 -256 -0 -0 -0 -10 -0x27d7 -0x2e459518 -256 -256 -0 -0 -0 -4 -0xcb3d -0xe7d46d3 -256 -256 -0 -0 -0 -7 -0xdae5 -0x174274a6 -256 -256 -0 -0 -0 -3 -0x77fc -0xe5163dec -256 -256 -0 -0 -0 -1 -0xf486 -0xa9131e6a -256 -256 -1 -0 -0 -7 -0x3ce1 -0xd40b8eb5 -256 -256 -1 -0 -0 -3 -0xc009 -0x35134c7e -256 -256 -1 -0 -0 -10 -0x7e24 -0x7734bc40 -256 -256 -1 -0 -0 -1 -0x1a96 -0x774313aa -256 -256 -0 -0 -0 -6 -0x6e7a -0xeeb5a4a6 -256 -256 -0 -0 -0 -4 -0x3396 -0x34a1c866 -256 -256 -1 -0 -0 -7 -0xcd8c -0x2b4fe2b3 -256 -256 -1 -0 -0 -7 -0x534b -0xd0ab4a49 -256 -256 -1 -0 -0 -5 -0xfcf -0x962c626e -256 -256 -0 -0 -0 -9 -0xf633 -0x4636cfe -256 -256 -1 -0 -0 -1 -0xec89 -0xb5e576b4 -256 -256 -1 -0 -0 -7 -0xaf47 -0xc95f8596 -256 -256 -1 -0 -0 -7 -0xa16f -0xd754a436 -256 -256 -1 -0 -0 -10 -0xe044 -0x9a1852f9 -256 -256 -1 -0 -0 -5 -0x55f0 -0xa18f2fa9 -256 -256 -0 -0 -0 -5 -0xb910 -0x9c9e94b4 -256 -256 -0 -0 -0 -5 -0x59ea -0xda40c398 -256 -256 -1 -0 -0 -4 -0x8e83 -0x2ccf64fa -256 -256 -0 -0 -0 -7 -0xb0be -0x37cb1d47 -256 -256 -1 -0 -0 -7 -0xc509 -0xd556f35d -256 -256 -1 -0 -0 -7 -0x5dd8 -0xe2bce66a -256 -256 -1 -0 -0 -3 -0x118a -0xbc3f00a4 -256 -256 -0 -0 -0 -10 -0x50a7 -0x857b0a01 -256 -256 -0 -0 -0 -6 -0xf503 -0x1881943a -256 -256 -1 -0 -0 -4 -0x6dbd -0x85bd5183 -256 -256 -0 -0 -0 -6 -0x7251 -0xa5229689 -256 -256 -1 -0 -0 -8 -0xc11f -0xa4a0b14f -256 -256 -1 -0 -0 -3 -0x635e -0x65fa8ff9 -256 -256 -0 -0 -0 -6 -0xb5ec -0x7c1b00f6 -256 -256 -0 -0 -0 -6 -0x655f -0x912791fb -256 -256 -0 -0 -0 -8 -0xcad3 -0x54d1efdc -256 -256 -1 -0 -0 -2 -0x30b6 -0x89c5cc1c -256 -256 -1 -0 -0 -9 -0x7b21 -0xd739f68c -256 -256 -1 -0 -0 -9 -0x5c24 -0x8008b0c8 -256 -256 -1 -0 -0 -4 -0xe927 -0xbf3ea126 -256 -256 -0 -0 -0 -5 -0x5916 -0xcedc4551 -256 -256 -1 -0 -0 -2 -0xa3b7 -0x8ac3a552 -256 -256 -1 -0 -0 -3 -0xe67d -0x4ec91c68 -256 -256 -1 -0 -0 -3 -0x5006 -0xcde810a9 -256 -256 -1 -0 -0 -1 -0xe795 -0x63c8ece1 -256 -256 -1 -0 -0 -7 -0x4f2a -0x29dd37f7 -256 -256 -0 -0 -0 -6 -0xc53b -0x549fef35 -256 -256 -0 -0 -0 -9 -0x1db7 -0x363a7ae1 -256 -256 -1 -0 -0 -10 -0xf3f1 -0x7ae84599 -256 -256 -0 -0 -0 -10 -0xbbc -0x7d679d40 -256 -256 -1 -0 -0 -9 -0x2fcc -0x8d493669 -256 -256 -1 -0 -0 -5 -0xbdc9 -0x1d0a8fd6 -256 -256 -0 -0 -0 -7 -0x47d9 -0x400ccb1e -256 -256 -1 -0 -0 -6 -0xf5bc -0x5c4bd04c -256 -256 -1 -0 -0 -1 -0x2919 -0x8f78971 -256 -256 -1 -0 -0 -1 -0x5337 -0x83d10ea7 -256 -256 -1 -0 -0 -8 -0x41ba -0x8f428306 -256 -256 -0 -0 -0 -1 -0xb1a6 -0xa74bb606 -256 -256 -0 -0 -0 -10 -0x8afd -0x4701d62b -256 -256 -0 -0 -0 -2 -0x7d1d -0xaface3be -256 -256 -1 -0 -0 -9 -0xfe5f -0x6b3c3994 -256 -256 -0 -0 -0 -1 -0xcad -0x6e8bffec -256 -256 -1 -0 -0 -1 -0x96ea -0x8a69a789 -256 -256 -1 -0 -0 -5 -0x6be4 -0xb0266e26 -256 -256 -0 -0 -0 -10 -0xca0c -0xb05d3ba2 -256 -256 -1 -0 -0 -7 -0x62ee -0x4145bd76 -256 -256 -0 -0 -0 -10 -0x32ac -0x2e3f6299 -256 -256 -0 -0 -0 -6 -0x2b1d -0xa2ba321c -256 -256 -1 -0 -0 -8 -0xeaa0 -0x42d76cbd -256 -256 -0 -0 -0 -10 -0x74b -0xf7442b10 -256 -256 -0 -0 -0 -2 -0x874f -0x23f8dfbb -256 -256 -0 -0 -0 -8 -0x2762 -0xf0c9fec3 -256 -256 -0 -0 -0 -6 -0x51be -0x6eb47f36 -256 -256 -0 -0 -0 -3 -0x9086 -0x80bea068 -256 -256 -0 -0 -0 -6 -0xbee -0xc0796aba -256 -256 -0 -0 -0 -5 -0xc2cb -0x95a1074d -256 -256 -1 -0 -0 -2 -0x427f -0x923640da -256 -256 -0 -0 -0 -7 -0x8735 -0x38b8ac12 -256 -256 -0 -0 -0 -6 -0x5d7b -0xaacbe3b8 -256 -256 -1 -0 -0 -10 -0x597a -0x9b85bc9e -256 -256 -0 -0 -0 -10 -0xb9af -0xdade74a0 -256 -256 -0 -0 -0 -5 -0x4286 -0xa9b9eb1d -256 -256 -1 -0 -0 -1 -0x6940 -0x7b27a2dd -256 -256 -0 -0 -0 -8 -0x135 -0xcebeb5db -256 -256 -1 -0 -0 -5 -0x61db -0xf8844378 -256 -256 -0 -0 -0 -9 -0x1d54 -0xa637cb24 -256 -256 -0 -0 -0 -6 -0x25f6 -0x5dd0e229 -256 -256 -1 -0 -0 -1 -0x112b -0x2d46c408 -256 -256 -0 -0 -0 -2 -0x86f3 -0x6b6322d0 -256 -256 -0 -0 -0 -6 -0xf498 -0x3c10fcb7 -256 -256 -1 -0 -0 -4 -0xc20e -0x740ad1d3 -256 -256 -0 -0 -0 -1 -0x9a28 -0x78a5d3ed -256 -256 -0 -0 -0 -6 -0xf32d -0x7485b15 -256 -256 -1 -0 -0 -6 -0xbe21 -0x9cea2661 -256 -256 -0 -0 -0 -6 -0xb0c1 -0xed1ef92b -256 -256 -1 -0 -0 -5 -0xb7ad -0x34fe196d -256 -256 -1 -0 -0 -2 -0x50da -0x7f1570e3 -256 -256 -1 -0 -0 -6 -0xbcea -0xc5ab21fe -256 -256 -0 -0 -0 -7 -0xf904 -0xd6cf1b19 -256 -256 -0 -0 -0 -9 -0xde8d -0xf2577ae3 -256 -256 -0 -0 -0 -5 -0x954d -0xe2519b68 -256 -256 -0 -0 -0 -8 -0xb414 -0xcebdf45c -256 -256 -1 -0 -0 -4 -0x2f02 -0x34fa710c -256 -256 -1 -0 -0 -9 -0x7b6d -0x67f81537 -256 -256 -0 -0 -0 -6 -0xa300 -0x1a3dc2a2 -256 -256 -1 -0 -0 -2 -0x2a66 -0xa4a0344b -256 -256 -0 -0 -0 -6 -0x857e -0xbf3e3eef -256 -256 -1 -0 -0 -3 -0x272c -0x88f7484f -256 -256 -1 -0 -0 -9 -0xa080 -0x7ea20aa5 -256 -256 -1 -0 -0 -10 -0xd79a -0x7e0fc421 -256 -256 -1 -0 -0 -1 -0x7108 -0xd52df97e -256 -256 -1 -0 -0 -8 -0xb120 -0xc1fadcf2 -256 -256 -1 -0 -0 -9 -0xf14a -0xbd39b03d -256 -256 -0 -0 -0 -8 -0xdc0 -0xac95ef7 -256 -256 -1 -0 -0 -6 -0x2cff -0xf0183a36 -256 -256 -1 -0 -0 -1 -0x1a8b -0x9af4f439 -256 -256 -0 -0 -0 -9 -0x729a -0xa73415ad -256 -256 -0 -0 -0 -1 -0x460b -0x183c5422 -256 -256 -0 -0 -0 -3 -0x5312 -0xeeb791c -256 -256 -1 -0 -0 -9 -0x7ee -0x2a17bf68 -256 -256 -0 -0 -0 -1 -0x1efe -0x676c819e -256 -256 -0 -0 -0 -9 -0x61e9 -0xb5d31cf7 -256 -256 -0 -0 -0 -6 -0x5615 -0x8cda4f2d -256 -256 -0 -0 -0 -10 -0xb270 -0x4ad7a772 -256 -256 -0 -0 -0 -2 -0x66e7 -0x90c2e41a -256 -256 -0 -0 -0 -9 -0x994b -0x73f55501 -256 -256 -1 -0 -0 -4 -0xf17b -0xb9811515 -256 -256 -0 -0 -0 -2 -0xec95 -0xd6adb6d2 -256 -256 -0 -0 -0 -8 -0x6f7d -0xd6a0fc7b -256 -256 -0 -0 -0 -1 -0x31e6 -0x848d0e43 -256 -256 -0 -0 -0 -9 -0xc7d -0xd48b3a97 -256 -256 -0 -0 -0 -10 -0xac26 -0x86781169 -256 -256 -0 -0 -0 -2 -0x4269 -0x20ee50d5 -256 -256 -1 -0 -0 -10 -0x3c8d -0x80377755 -256 -256 -0 -0 -0 -2 -0xc074 -0x951f32c -256 -256 -1 -0 -0 -10 -0x6ea9 -0x9a14eb04 -256 -256 -0 -0 -0 -5 -0xe57a -0xc6838c02 -256 -256 -1 -0 -0 -2 -0x95ad -0xf557bb0d -256 -256 -0 -0 -0 -1 -0x6255 -0x5176044f -256 -256 -1 -0 -0 -8 -0xbfa8 -0xf27d9ff4 -256 -256 -0 -0 -0 -8 -0xd73a -0x56073a10 -256 -256 -1 -0 -0 -3 -0x1e24 -0xc80c80ab -256 -256 -0 -0 -0 -9 -0x283b -0x9b1be5d7 -256 -256 -1 -0 -0 -2 -0xbf41 -0xfe19aec -256 -256 -1 -0 -0 -7 -0xc652 -0x7e1661f6 -256 -256 -1 -0 -0 -5 -0x588d -0x34cf8b9d -256 -256 -0 -0 -0 -10 -0xf7a7 -0x3e3c19bc -256 -256 -1 -0 -0 -8 -0x3fff -0xf5a2db85 -256 -256 -0 -0 -0 -5 -0xaebe -0xc11796d0 -256 -256 -0 -0 -0 -1 -0x3e6d -0x4daae129 -256 -256 -0 -0 -0 -6 -0x3d4e -0x6c91298f -256 -256 -1 -0 -0 -6 -0x5b66 -0x886c8827 -256 -256 -1 -0 -0 -7 -0x86b7 -0x31cfaa95 -256 -256 -1 -0 -0 -8 -0x9cbc -0x164a9665 -256 -256 -0 -0 -0 -2 -0x68c6 -0x8a94b26 -256 -256 -1 -0 -0 -8 -0xb4ab -0xbe02abb6 -256 -256 -1 -0 -0 -1 -0x8a86 -0x95067135 -256 -256 -0 -0 -0 -2 -0x29c6 -0x9c0077a9 -256 -256 -1 -0 -0 -2 -0x33d3 -0x24d05204 -256 -256 -0 -0 -0 -7 -0x508e -0xa97c98e3 -256 -256 -0 -0 -0 -9 -0xf470 -0xf1afc390 -256 -256 -0 -0 -0 -10 -0x23bc -0x104a519f -256 -256 -0 -0 -0 -8 -0x15d5 -0xabe263de -256 -256 -0 -0 -0 -2 -0x3232 -0xef7fc85 -256 -256 -0 -0 -0 -3 -0xdc9d -0xd7b6944 -256 -256 -1 -0 -0 -4 -0xc325 -0xf08946fc -256 -256 -1 -0 -0 -2 -0xd3b9 -0xcb594733 -256 -256 -1 -0 -0 -8 -0x1046 -0xced51897 -256 -256 -1 -0 -0 -9 -0x8455 -0xa55ed20c -256 -256 -1 -0 -0 -8 -0xcf5 -0xeeb97a87 -256 -256 -1 -0 -0 -1 -0x14b -0xd14310e6 -256 -256 -0 -0 -0 -6 -0x9ce9 -0x2e98505f -256 -256 -1 -0 -0 -10 -0x29a9 -0x9335b803 -256 -256 -1 -0 -0 -6 -0x1712 -0xb475ef0c -256 -256 -0 -0 -0 -6 -0x790e -0xca497cfe -256 -256 -1 -0 -0 -7 -0x23a -0x6bdeed34 -256 -256 -1 -0 -0 -1 -0xd721 -0x9e933f80 -256 -256 -0 -0 -0 -9 -0xea7b -0x650bec71 -256 -256 -1 -0 -0 -1 -0xba28 -0x97846bdc -256 -256 -0 -0 -0 -10 -0x5648 -0x10300f46 -256 -256 -0 -0 -0 -7 -0xe26b -0x26ea670d -256 -256 -1 -0 -0 -2 -0x4120 -0xa5f8f286 -256 -256 -1 -0 -0 -3 -0x2ae -0x5304c96d -256 -256 -1 -0 -0 -8 -0xff -0x7ee68c8d -256 -256 -1 -0 -0 -9 -0x58db -0x4c62ffb4 -256 -256 -1 -0 -0 -8 -0xd792 -0xef262c3b -256 -256 -1 -0 -0 -7 -0xca42 -0x80ed0b5c -256 -256 -1 -0 -0 -3 -0x9efd -0xdaa6d5b -256 -256 -0 -0 -0 -4 -0x9ae -0x81ba9ddc -256 -256 -0 -0 -0 -6 -0x93b -0xfd02193f -256 -256 -0 -0 -0 -10 -0x27be -0xd0ac4b18 -256 -256 -1 -0 -0 -5 -0x773d -0x9e42bb3a -256 -256 -0 -0 -0 -6 -0x728a -0x779d5611 -256 -256 -1 -0 -0 -6 -0xb5af -0xac3b554b -256 -256 -0 -0 -0 -9 -0xd7a8 -0x49030f8b -256 -256 -1 -0 -0 -2 -0x570 -0x37af96fb -256 -256 -1 -0 -0 -7 -0xf507 -0xb8d3ee17 -256 -256 -1 -0 -0 -10 -0x5eb0 -0xd0688987 -256 -256 -1 -0 -0 -7 -0x8472 -0x144c463c -256 -256 -0 -0 -0 -8 -0x91eb -0x38382a61 -256 -256 -1 -0 -0 -7 -0xd028 -0xf90b0b44 -256 -256 -1 -0 -0 -6 -0x95a4 -0xc8c00731 -256 -256 -1 -0 -0 -7 -0xb992 -0xa008d2c2 -256 -256 -0 -0 -0 -5 -0xbebf -0xe4ac79bd -256 -256 -1 -0 -0 -10 -0xccda -0x1f799fce -256 -256 -0 -0 -0 -5 -0x758c -0x1a91a471 -256 -256 -1 -0 -0 -3 -0x75b5 -0x45d5d60a -256 -256 -1 -0 -0 -2 -0xa221 -0x893cc246 -256 -256 -0 -0 -0 -9 -0x456a -0xe4243053 -256 -256 -0 -0 -0 -10 -0xdd66 -0x78212561 -256 -256 -1 -0 -0 -6 -0x5b25 -0xd845504a -256 -256 -1 -0 -0 -9 -0x6d9e -0xe38d9b1e -256 -256 -1 -0 -0 -3 -0x78d9 -0x6b2dd835 -256 -256 -0 -0 -0 -8 -0x2897 -0x607c9dfa -256 -256 -1 -0 -0 -4 -0x5b67 -0xc0f16de4 -256 -256 -0 -0 -0 -8 -0xb28c -0x31735b44 -256 -256 -1 -0 -0 -6 -0x403e -0x99678a24 -256 -256 -0 -0 -0 -2 -0xe591 -0xfc76b2cd -256 -256 -0 -0 -0 -9 -0x5263 -0xc2fd4fa0 -256 -256 -1 -0 -0 -8 -0xf43d -0x383af7f0 -256 -256 -1 -0 -0 -8 -0x35f3 -0xaed1e51c -256 -256 -0 -0 -0 -4 -0x291f -0xfadee797 -256 -256 -0 -0 -0 -8 -0xa259 -0xd7d87e02 -256 -256 -1 -0 -0 -1 -0x81de -0x7b2ff489 -256 -256 -0 -0 -0 -4 -0x3bad -0xe30ff0bc -256 -256 -0 -0 -0 -2 -0xb11c -0x98d0ca65 -256 -256 -1 -0 -0 -4 -0xa7a2 -0xbcb1cf20 -256 -256 -1 -0 -0 -5 -0x1f3d -0x440bea46 -256 -256 -1 -0 -0 -3 -0xb498 -0x9710fabf -256 -256 -1 -0 -0 -7 -0x32e3 -0x8b9e6129 -256 -256 -1 -0 -0 -5 -0x8db5 -0x3dc43725 -256 -256 -0 -0 -0 -5 -0x6d60 -0x8cf0e031 -256 -256 -0 -0 -0 -1 -0xd882 -0xc5013acd -256 -256 -1 -0 -0 -5 -0xfd63 -0x2174b63b -256 -256 -1 -0 -0 -8 -0xe6ac -0xc3ae2ca1 -256 -256 -1 -0 -0 -2 -0x84e9 -0x8a6e75e5 -256 -256 -1 -0 -0 -2 -0x290a -0x6a359baf -256 -256 -0 -0 -0 -6 -0x37c5 -0xc650b3c1 -256 -256 -1 -0 -0 -6 -0xc2f5 -0xa242265c -256 -256 -0 -0 -0 -2 -0xa68 -0x93ed6ff4 -256 -256 -1 -0 -0 -7 -0x428f -0x7df146a7 -256 -256 -0 -0 -0 -5 -0xf795 -0xe7c37075 -256 -256 -1 -0 -0 -10 -0x6035 -0xab4a35e5 -256 -256 -1 -0 -0 -1 -0x491f -0x4defb9b3 -256 -256 -0 -0 -0 -9 -0x3bfa -0x9a54f7bb -256 -256 -1 -0 -0 -7 -0xb96c -0xc7766198 -256 -256 -0 -0 -0 -5 -0x2cef -0x14ccc850 -256 -256 -0 -0 -0 -3 -0x617e -0xd999e1ae -256 -256 -0 -0 -0 -1 -0x2f4f -0x97fc631c -256 -256 -0 -0 -0 -5 -0xdea2 -0x4c20f947 -256 -256 -1 -0 -0 -9 -0x69c2 -0x9f724093 -256 -256 -1 -0 -0 -6 -0x81f7 -0x27d253e7 -256 -256 -0 -0 -0 -10 -0x9dfe -0xc5c75377 -256 -256 -0 -0 -0 -8 -0x691 -0x20bb8496 -256 -256 -1 -0 -0 -5 -0x9fd0 -0x5e003d39 -256 -256 -1 -0 -0 -9 -0xe89c -0x2347b290 -256 -256 -0 -0 -0 -8 -0xb73e -0x60942d52 -256 -256 -1 -0 -0 -3 -0x8227 -0x68b5daa8 -256 -256 -0 -0 -0 -10 -0x561e -0xd80f65ae -256 -256 -1 -0 -0 -6 -0xf053 -0x474a0787 -256 -256 -0 -0 -0 -2 -0x615 -0x8df6b1fe -256 -256 -1 -0 -0 -2 -0x7d07 -0x6f53b3e0 -256 -256 -1 -0 -0 -8 -0x28dd -0xf20a207b -256 -256 -1 -0 -0 -5 -0x675e -0x8ddb0c8e -256 -256 -0 -0 -0 -2 -0xc14c -0x71508121 -256 -256 -1 -0 -0 -7 -0x8a14 -0xf1986de9 -256 -256 -1 -0 -0 -5 -0xf55 -0xa4ecf7c0 -256 -256 -0 -0 -0 -4 -0x7159 -0x5c172408 -256 -256 -1 -0 -0 -9 -0xfbb7 -0x9e163009 -256 -256 -0 -0 -0 -1 -0x3cd -0xb6fa10fb -256 -256 -1 -0 -0 -3 -0xc41 -0x8d766e00 -256 -256 -0 -0 -0 -7 -0x66cf -0x48f3794e -256 -256 -1 -0 -0 -2 -0x189e -0xb752e682 -256 -256 -1 -0 -0 -5 -0x7208 -0x43661b8e -256 -256 -1 -0 -0 -8 -0x2b3f -0x4e4ec7da -256 -256 -0 -0 -0 -4 -0xbc8b -0x1f579d8 -256 -256 -0 -0 -0 -3 -0xdcd -0xa0f987e6 -256 -256 -1 -0 -0 -2 -0xf029 -0xa3425a53 -256 -256 -0 -0 -0 -4 -0x5805 -0x109bc31e -256 -256 -0 -0 -0 -2 -0xc640 -0xec150bc9 -256 -256 -0 -0 -0 -10 -0x8284 -0x2e8ec8bd -256 -256 -1 -0 -0 -3 -0xa409 -0xb26fd454 -256 -256 -1 -0 -0 -3 -0x79b7 -0x7e4141e2 -256 -256 -1 -0 -0 -7 -0xc1d9 -0xe389a8bf -256 -256 -1 -0 -0 -7 -0x62d0 -0x4a7851c6 -256 -256 -1 -0 -0 -3 -0x132d -0xefc39875 -256 -256 -1 -0 -0 -1 -0xcc52 -0x5a6334c8 -256 -256 -1 -0 -0 -3 -0x8d33 -0xfb58d61f -256 -256 -1 -0 -0 -7 -0xc42f -0xde039986 -256 -256 -0 -0 -0 -5 -0x9216 -0xf7a7e5bd -256 -256 -0 -0 -0 -4 -0x6e50 -0xd83f814 -256 -256 -0 -0 -0 -2 -0xc7c7 -0xc943039a -256 -256 -1 -0 -0 -6 -0xf1d4 -0x95c15048 -256 -256 -1 -0 -0 -5 -0x18d9 -0x9885162a -256 -256 -1 -0 -0 -8 -0x8bcf -0x427bf1c0 -256 -256 -1 -0 -0 -5 -0x3f45 -0x56d54cac -256 -256 -1 -0 -0 -3 -0xadf5 -0x61209d55 -256 -256 -0 -0 -0 -1 -0x8474 -0x31e5057b -256 -256 -0 -0 -0 -6 -0x297 -0x3f1dc902 -256 -256 -0 -0 -0 -4 -0xf59a -0x9801531e -256 -256 -0 -0 -0 -5 -0x2136 -0x92a107c0 -256 -256 -0 -0 -0 -6 -0xed9a -0xa53159cc -256 -256 -0 -0 -0 -10 -0xfff4 -0x2e582972 -256 -256 -0 -0 -0 -9 -0xb3b2 -0xa244f2 -256 -256 -1 -0 -0 -2 -0x928b -0x21c4f4b8 -256 -256 -1 -0 -0 -2 -0x31fc -0x3862306 -256 -256 -0 -0 -0 -4 -0x5b74 -0xd795fd53 -256 -256 -1 -0 -0 -6 -0xfee9 -0x6a9da47 -256 -256 -1 -0 -0 -8 -0xc239 -0xea08aba9 -256 -256 -0 -0 -0 -6 -0x4ce1 -0x9a361de4 -256 -256 -1 -0 -0 -3 -0x116a -0x403358ad -256 -256 -0 -0 -0 -1 -0x1f26 -0x3972edea -256 -256 -0 -0 -0 -1 -0x8c -0xb2c6bc5c -256 -256 -1 -0 -0 -3 -0xeb11 -0x6d5fc5a7 -256 -256 -0 -0 -0 -5 -0x9cac -0xe0a444f5 -256 -256 -1 -0 -0 -4 -0x7156 -0xb17fad83 -256 -256 -1 -0 -0 -2 -0xd826 -0xab78e823 -256 -256 -0 -0 -0 -3 -0x83d6 -0xbb26e6a4 -256 -256 -1 -0 -0 -3 -0x8edd -0xa181cb57 -256 -256 -1 -0 -0 -3 -0x150a -0x639853fe -256 -256 -0 -0 -0 -4 -0x7f48 -0x7f000252 -256 -256 -0 -0 -0 -9 -0x44b2 -0x9af151c -256 -256 -1 -0 -0 -6 -0x4f0d -0xd464208d -256 -256 -0 -0 -0 -1 -0x1244 -0xaf60e1ed -256 -256 -1 -0 -0 -1 -0x7bbb -0xf60b444b -256 -256 -0 -0 -0 -5 -0x277a -0xb183446e -256 -256 -1 -0 -0 -9 -0xaa3b -0xae7c81e5 -256 -256 -0 -0 -0 -1 -0x3841 -0x14a509f5 -256 -256 -0 -0 -0 -10 -0x5729 -0xe12b0a8d -256 -256 -1 -0 -0 -3 -0x9b2c -0xc5ac3332 -256 -256 -1 -0 -0 -7 -0x4db4 -0x4595bde1 -256 -256 -1 -0 -0 -2 -0x274a -0x4f9fbe32 -256 -256 -0 -0 -0 -5 -0xfc18 -0x78c51104 -256 -256 -0 -0 -0 -3 -0xa5ed -0x1d70bd37 -256 -256 -1 -0 -0 -6 -0x943c -0x10cf423 -256 -256 -1 -0 -0 -10 -0xdcad -0xff3640a7 -256 -256 -0 -0 -0 -10 -0x926c -0x351d8972 -256 -256 -0 -0 -0 -3 -0x6725 -0xf7440a45 -256 -256 -0 -0 -0 -8 -0xf318 -0xe135abd1 -256 -256 -0 -0 -0 -3 -0xbb0f -0xfc765f3e -256 -256 -0 -0 -0 -1 -0xa110 -0x15f84492 -256 -256 -1 -0 -0 -6 -0xdcfe -0xd098fb36 -256 -256 -1 -0 -0 -1 -0x894f -0x2aa422cd -256 -256 -0 -0 -0 -3 -0xbfff -0x97b084db -256 -256 -1 -0 -0 -1 -0x4b03 -0x908d777a -256 -256 -0 -0 -0 -1 -0xccc -0xd6f422b6 -256 -256 -1 -0 -0 -10 -0x41bb -0xe79327a1 -256 -256 -0 -0 -0 -6 -0x7e08 -0x2c35adbf -256 -256 -1 -0 -0 -8 -0xda12 -0xc192ca7f -256 -256 -0 -0 -0 -1 -0x11fb -0x91cf7c64 -256 -256 -1 -0 -0 -4 -0xc1b -0x563976ba -256 -256 -0 -0 -0 -10 -0xdbb1 -0xc046b20c -256 -256 -0 -0 -0 -5 -0xd6c0 -0xcd99529f -256 -256 -1 -0 -0 -6 -0xb7b1 -0x4e048ad9 -256 -256 -0 -0 -0 -2 -0xe172 -0x740988ee -256 -256 -1 -0 -0 -6 -0xd136 -0x20d95bdd -256 -256 -0 -0 -0 -4 -0xda7b -0x3582d9d1 -256 -256 -0 -0 -0 -8 -0x9230 -0x4a010b1e -256 -256 -0 -0 -0 -1 -0xbeeb -0x52253937 -256 -256 -0 -0 -0 -9 -0x7fa7 -0x812a97da -256 -256 -0 -0 -0 -3 -0x4ec2 -0x2789477d -256 -256 -0 -0 -0 -1 -0xf853 -0xc2155f2 -256 -256 -0 -0 -0 -7 -0x8502 -0xccd654f4 -256 -256 -0 -0 -0 -7 -0x2fb7 -0xbbd3bc11 -256 -256 -1 -0 -0 -3 -0x5f91 -0xe80cddaf -256 -256 -0 -0 -0 -2 -0x2a9b -0x91347708 -256 -256 -1 -0 -0 -10 -0xa9b8 -0x5816403a -256 -256 -0 -0 -0 -2 -0xd827 -0x3d6a3bbb -256 -256 -1 -0 -0 -9 -0x7b7c -0xf0eae9e6 -256 -256 -1 -0 -0 -2 -0x4717 -0xd4c46cb6 -256 -256 -1 -0 -0 -9 -0x7cec -0xfbbf4d4c -256 -256 -1 -0 -0 -4 -0xb977 -0x11f18b92 -256 -256 -0 -0 -0 -8 -0x60a8 -0x14f7737a -256 -256 -0 -0 -0 -6 -0x9b2f -0xd4d198ed -256 -256 -0 -0 -0 -4 -0x8ba9 -0x75e98b97 -256 -256 -0 -0 -0 -8 -0x6b26 -0x9efce036 -256 -256 -0 -0 -0 -10 -0x556e -0xe19e5360 -256 -256 -0 -0 -0 -3 -0x84dd -0xb6a0faa8 -256 -256 -1 -0 -0 -9 -0xaba2 -0x8609d8e7 -256 -256 -0 -0 -0 -1 -0xa7ee -0x3fa7ec8 -256 -256 -0 -0 -0 -4 -0xc02a -0x92890f8d -256 -256 -0 -0 -0 -7 -0x302f -0x419fccee -256 -256 -1 -0 -0 -5 -0x42d -0xaabbef74 -256 -256 -1 -0 -0 -10 -0x6b5b -0x2b74f19f -256 -256 -0 -0 -0 -6 -0xdd10 -0xb4f479fc -256 -256 -0 -0 -0 -4 -0xd90f -0xee4cd4eb -256 -256 -0 -0 -0 -7 -0xbc41 -0xee4f5168 -256 -256 -0 -0 -0 -9 -0xc511 -0x9168cb24 -256 -256 -0 -0 -0 -3 -0xbd3f -0xccb5a168 -256 -256 -1 -0 -0 -4 -0x585c -0xc9accb93 -256 -256 -0 -0 -0 -3 -0x7cc8 -0x82b3dda0 -256 -256 -1 -0 -0 -3 -0x9f70 -0x1cd644b2 -256 -256 -1 -0 -0 -2 -0x39a -0xeb7385cd -256 -256 -0 -0 -0 -9 -0xd049 -0x687089cd -256 -256 -0 -0 -0 -8 -0x57fc -0x11aafff8 -256 -256 -0 -0 -0 -2 -0xc08 -0x41a7f2a1 -256 -256 -0 -0 -0 -3 -0xa777 -0xfe5ba96e -256 -256 -0 -0 -0 -2 -0xce06 -0x16df23dc -256 -256 -1 -0 -0 -3 -0x28e9 -0x740dea30 -256 -256 -1 -0 -0 -10 -0x728b -0x433cae58 -256 -256 -0 -0 -0 -2 -0xd74f -0xd63e2360 -256 -256 -0 -0 -0 -3 -0xe6fc -0xcc8aefe -256 -256 -0 -0 -0 -2 -0x5d12 -0xefac1df9 -256 -256 -1 -0 -0 -4 -0xbfaf -0x6ad54a8c -256 -256 -0 -0 -0 -7 -0x8876 -0x9affe14d -256 -256 -1 -0 -0 -9 -0x7c17 -0xe2a26b0a -256 -256 -1 -0 -0 -9 -0x8886 -0x437d4820 -256 -256 -1 -0 -0 -3 -0x3bb3 -0x7b941731 -256 -256 -0 -0 -0 -9 -0x7739 -0xbcc1c317 -256 -256 -0 -0 -0 -8 -0x3cd -0x20ebe759 -256 -256 -1 -0 -0 -3 -0x3d3c -0xa582ba80 -256 -256 -1 -0 -0 -10 -0xe2a5 -0xeba17111 -256 -256 -0 -0 -0 -1 -0x9bda -0xcde81bd2 -256 -256 -1 -0 -0 -8 -0x727b -0x64d26389 -256 -256 -1 -0 -0 -6 -0x1aa -0x7c339243 -256 -256 -0 -0 -0 -8 -0x56cc -0xe9a3afd1 -256 -256 -0 -0 -0 -10 -0xb885 -0xb07d97f1 -256 -256 -1 -0 -0 -5 -0x7d6c -0x48e2259 -256 -256 -1 -0 -0 -5 -0xd300 -0x205689ef -256 -256 -1 -0 -0 -5 -0x8315 -0x4b6ddb3d -256 -256 -0 -0 -0 -4 -0xd587 -0x89aff229 -256 -256 -1 -0 -0 -7 -0xb6aa -0x2754941e -256 -256 -0 -0 -0 -4 -0xea82 -0x22e80df0 -256 -256 -1 -0 -0 -6 -0x6111 -0xe3ec9526 -256 -256 -1 -0 -0 -3 -0x26af -0xcd72789e -256 -256 -1 -0 -0 -9 -0x4fd6 -0x8bcb88a5 -256 -256 -1 -0 -0 -10 -0xd83 -0xaa274ed3 -256 -256 -0 -0 -0 -8 -0xa61e -0xb20f7816 -256 -256 -0 -0 -0 -2 -0x7a92 -0xace2869b -256 -256 -1 -0 -0 -7 -0xad36 -0x15f59299 -256 -256 -1 -0 -0 -4 -0x112e -0xd6117ffd -256 -256 -0 -0 -0 -3 -0x8b9a -0xb58166f1 -256 -256 -1 -0 -0 -4 -0xd307 -0x22cca638 -256 -256 -1 -0 -0 -6 -0x86f -0xb758d7c6 -256 -256 -0 -0 -0 -2 -0xf7c -0x6e06c278 -256 -256 -0 -0 -0 -7 -0xf889 -0x4e9cb62c -256 -256 -1 -0 -0 -3 -0x76ef -0x32bf6504 -256 -256 -1 -0 -0 -3 -0x6bad -0xbdc9bbe9 -256 -256 -1 -0 -0 -9 -0x6127 -0x6c7bd3c8 -256 -256 -0 -0 -0 -9 -0x732d -0x46e1079a -256 -256 -0 -0 -0 -3 -0xf796 -0x72683eab -256 -256 -1 -0 -0 -10 -0x5308 -0x3ee02240 -256 -256 -1 -0 -0 -7 -0x3117 -0xfef0068c -256 -256 -1 -0 -0 -10 -0x3169 -0xa780a9c7 -256 -256 -0 -0 -0 -9 -0xef58 -0x937027c0 -256 -256 -1 -0 -0 -5 -0x54d2 -0x97290bf1 -256 -256 -1 -0 -0 -4 -0x11fb -0xf500c407 -256 -256 -1 -0 -0 -5 -0x2c7b -0x9e902a98 -256 -256 -1 -0 -0 -7 -0xcadd -0xb68eb997 -256 -256 -0 -0 -0 -9 -0xb7dd -0x6cff6671 -256 -256 -1 -0 -0 -9 -0xec7d -0x7303198f -256 -256 -1 -0 -0 -7 -0x92c5 -0x65fbd6a1 -256 -256 -1 -0 -0 -5 -0xa246 -0x3206cf77 -256 -256 -1 -0 -0 -7 -0x7dd6 -0x727afbb -256 -256 -0 -0 -0 -6 -0xcc7c -0x9595a9eb -256 -256 -0 -0 -0 -7 -0x79d9 -0x5496c050 -256 -256 -0 -0 -0 -1 -0x2abf -0x7a7cd3ae -256 -256 -0 -0 -0 -4 -0x4c6e -0x4674f346 -256 -256 -0 -0 -0 -7 -0xdd97 -0x6285ac4d -256 -256 -1 -0 -0 -3 -0x353e -0x9f50d7fa -256 -256 -0 -0 -0 -1 -0xdc1e -0x95eb7fcf -256 -256 -1 -0 -0 -6 -0xb172 -0xd2b6b33c -256 -256 -1 -0 -0 -5 -0x503c -0x97656930 -256 -256 -0 -0 -0 -1 -0xdf2c -0x5a9c1aa3 -256 -256 -1 -0 -0 -7 -0xbda -0xc6ba5f20 -256 -256 -1 -0 -0 -7 -0x7470 -0xd711ed8 -256 -256 -0 -0 -0 -10 -0xda15 -0x428d78f -256 -256 -1 -0 -0 -9 -0x88b6 -0x6a82f99d -256 -256 -1 -0 -0 -6 -0x462b -0x775400e7 -256 -256 -1 -0 -0 -2 -0xbd08 -0xe6d1c0c1 -256 -256 -1 -0 -0 -2 -0x1e81 -0xa44e38a5 -256 -256 -1 -0 -0 -5 -0xbc54 -0xa88e8b69 -256 -256 -0 -0 -0 -3 -0x1e93 -0xdc8785bf -256 -256 -0 -0 -0 -9 -0xb312 -0xc2bd270c -256 -256 -1 -0 -0 -1 -0x14e1 -0x899c7a4a -256 -256 -1 -0 -0 -6 -0x2ad6 -0x4e566b -256 -256 -1 -0 -0 -10 -0x57db -0x316e8aa4 -256 -256 -0 -0 -0 -8 -0x2171 -0x1501c1de -256 -256 -0 -0 -0 -7 -0xe237 -0xbaf3847e -256 -256 -1 -0 -0 -9 -0xe7d4 -0x72422a6d -256 -256 -1 -0 -0 -7 -0xe47f -0xf94ebff4 -256 -256 -1 -0 -0 -1 -0x3c25 -0xa09de006 -256 -256 -0 -0 -0 -3 -0x1872 -0x6f696289 -256 -256 -0 -0 -0 -10 -0xbb0a -0x722dfcdd -256 -256 -1 -0 -0 -3 -0x68d -0xc3aeaf40 -256 -256 -1 -0 -0 -3 -0x2d68 -0x9d856d29 -256 -256 -0 -0 -0 -5 -0x8058 -0x42de652f -256 -256 -0 -0 -0 -6 -0xa07c -0x8d571aaa -256 -256 -0 -0 -0 -8 -0x6dcb -0xf1a83efe -256 -256 -0 -0 -0 -1 -0x1ab9 -0x3a3088d2 -256 -256 -1 -0 -0 -8 -0x8110 -0x101d911c -256 -256 -1 -0 -0 -5 -0x9471 -0x96c33e56 -256 -256 -1 -0 -0 -3 -0x5332 -0x2e7ff420 -256 -256 -0 -0 -0 -3 -0x9973 -0x87e4a52c -256 -256 -1 -0 -0 -2 -0x574d -0x402ed610 -256 -256 -1 -0 -0 -6 -0x9fa1 -0xc011783d -256 -256 -1 -0 -0 -7 -0xc7f4 -0xa856baa2 -256 -256 -0 -0 -0 -8 -0x1605 -0xcc7dcde3 -256 -256 -1 -0 -0 -5 -0x6d12 -0xeaf16fc7 -256 -256 -1 -0 -0 -8 -0x7eb3 -0x6100134d -256 -256 -1 -0 -0 -3 -0xcdee -0x394a3fb8 -256 -256 -0 -0 -0 -7 -0x9f96 -0x87ab536 -256 -256 -0 -0 -0 -2 -0x4876 -0x8577ce -256 -256 -1 -0 -0 -4 -0x6cd8 -0x34ff7b21 -256 -256 -0 -0 -0 -5 -0xd7fb -0xb6a967e1 -256 -256 -0 -0 -0 -2 -0x205c -0xf4c7ee26 -256 -256 -1 -0 -0 -1 -0xda94 -0x8e754edd -256 -256 -1 -0 -0 -8 -0xd11c -0x60a3fa08 -256 -256 -0 -0 -0 -6 -0xe0bc -0x360a8118 -256 -256 -1 -0 -0 -7 -0xd31b -0x11e784f6 -256 -256 -1 -0 -0 -9 -0x5b8 -0x6d265c79 -256 -256 -0 -0 -0 -8 -0xac71 -0x300d6ef0 -256 -256 -0 -0 -0 -7 -0xedcf -0xeaad3eb -256 -256 -1 -0 -0 -6 -0xb3ef -0x3abdbc76 -256 -256 -0 -0 -0 -3 -0x532a -0x10f4450b -256 -256 -0 -0 -0 -8 -0x848a -0xe9dbae53 -256 -256 -0 -0 -0 -3 -0x2a4e -0x911687e7 -256 -256 -0 -0 -0 -9 -0xe1e0 -0xc7ecb39e -256 -256 -0 -0 -0 -8 -0xec56 -0x2f1cddd7 -256 -256 -0 -0 -0 -4 -0xdfeb -0xf26fe5e2 -256 -256 -1 -0 -0 -9 -0xed50 -0x9190fb5a -256 -256 -0 -0 -0 -10 -0xbb7a -0x7480485a -256 -256 -1 -0 -0 -1 -0x6f01 -0x3c311b22 -256 -256 -1 -0 -0 -8 -0x1425 -0xf5551f6f -256 -256 -1 -0 -0 -10 -0xdea6 -0x5188de03 -256 -256 -1 -0 -0 -7 -0x7e28 -0x8ddbcffd -256 -256 -1 -0 -0 -6 -0x34e5 -0xde91b956 -256 -256 -0 -0 -0 -8 -0x9796 -0xcf9aa812 -256 -256 -0 -0 -0 -5 -0x63cf -0xd43f720f -256 -256 -0 -0 -0 -5 -0x20ad -0x3e427b2a -256 -256 -1 -0 -0 -2 -0x742c -0x1ea699e5 -256 -256 -0 -0 -0 -10 -0xf9e0 -0xf09da3fd -256 -256 -1 -0 -0 -2 -0xd492 -0xdf6724ee -256 -256 -1 -0 -0 -3 -0xf97f -0x5e9445e4 -256 -256 -1 -0 -0 -7 -0x1efd -0x8aa3a5b5 -256 -256 -0 -0 -0 -10 -0x669c -0xc080bbf -256 -256 -0 -0 -0 -9 -0xd1c4 -0x1d9a34bd -256 -256 -0 -0 -0 -6 -0xfd1c -0xf1dd7671 -256 -256 -0 -0 -0 -10 -0xfff2 -0xf18b034d -256 -256 -1 -0 -0 -3 -0xe0d4 -0x5b5c1e8c -256 -256 -0 -0 -0 -3 -0x1db8 -0xb4d5fd33 -256 -256 -0 -0 -0 -10 -0x664a -0x689b514c -256 -256 -1 -0 -0 -3 -0xbf9 -0xdc996203 -256 -256 -0 -0 -0 -1 -0x7e32 -0xacfad22d -256 -256 -0 -0 -0 -1 -0x415a -0xea07f616 -256 -256 -1 -0 -0 -2 -0xbd0b -0x38e767ae -256 -256 -0 -0 -0 -10 -0x538f -0x28c9c5c5 -256 -256 -1 -0 -0 -7 -0x4c04 -0x9c1ac430 -256 -256 -0 -0 -0 -8 -0x877b -0x2a7128b4 -256 -256 -0 -0 -0 -3 -0xf10f -0x7a1000c3 -256 -256 -1 -0 -0 -1 -0x2c81 -0x6dfe5efb -256 -256 -0 -0 -0 -7 -0x2f6b -0x41204c68 -256 -256 -1 -0 -0 -1 -0xdf83 -0x46f88691 -256 -256 -0 -0 -0 -7 -0x225b -0x20fafcd2 -256 -256 -1 -0 -0 -5 -0xe874 -0xb675a09e -256 -256 -0 -0 -0 -8 -0xdf62 -0x3e44673c -256 -256 -0 -0 -0 -4 -0x3f2 -0xd5f321d4 -256 -256 -1 -0 -0 -1 -0xc2cf -0x9838c816 -256 -256 -1 -0 -0 -7 -0xb70a -0xb5b674ee -256 -256 -1 -0 -0 -6 -0xe94d -0xf6ebaf0d -256 -256 -1 -0 -0 -7 -0xdf72 -0x2864bc4c -256 -256 -0 -0 -0 -1 -0x96c8 -0x1b46e94e -256 -256 -0 -0 -0 -8 -0xf50e -0x345dbc88 -256 -256 -1 -0 -0 -8 -0x84c4 -0xbeeb6b2f -256 -256 -0 -0 -0 -7 -0x2365 -0xa225493c -256 -256 -0 -0 -0 -8 -0xda82 -0x41f42570 -256 -256 -0 -0 -0 -2 -0xca40 -0x6d08fccf -256 -256 -1 -0 -0 -8 -0x9e87 -0x3c9217b4 -256 -256 -1 -0 -0 -10 -0x29e4 -0xab7fdfd -256 -256 -0 -0 -0 -8 -0xd834 -0xc0214171 -256 -256 -1 -0 -0 -3 -0xe89e -0xef58327e -256 -256 -0 -0 -0 -7 -0xc142 -0x7ce459bd -256 -256 -1 -0 -0 -8 -0xda98 -0x31ab6886 -256 -256 -0 -0 -0 -4 -0xc938 -0x2710b0ff -256 -256 -0 -0 -0 -6 -0x42c -0x82fa296d -256 -256 -1 -0 -0 -7 -0xa054 -0x5b4e1a49 -256 -256 -0 -0 -0 -2 -0xc03a -0x8a48d436 -256 -256 -0 -0 -0 -3 -0x2fa9 -0x1cea4e1b -256 -256 -0 -0 -0 -7 -0x38dd -0x729b1c84 -256 -256 -0 -0 -0 -9 -0xf21d -0x6aad6b3d -256 -256 -0 -0 -0 -5 -0x6783 -0xee3e1c04 -256 -256 -0 -0 -0 -2 -0xb13c -0x92d040e5 -256 -256 -1 -0 -0 -6 -0x9b52 -0x9c168c8 -256 -256 -1 -0 -0 -10 -0xf1d6 -0x1e8b15eb -256 -256 -0 -0 -0 -10 -0x4908 -0x78dbfda2 -256 -256 -1 -0 -0 -8 -0x93b0 -0xe7b4852f -256 -256 -0 -0 -0 -9 -0x2756 -0xeca56d80 -256 -256 -1 -0 -0 -8 -0x83db -0x2c8dc3d5 -256 -256 -0 -0 -0 -10 -0xebc2 -0x18679e7a -256 -256 -0 -0 -0 -5 -0xa90 -0x6f5bc182 -256 -256 -1 -0 -0 -5 -0x4858 -0x276a4b26 -256 -256 -1 -0 -0 -1 -0x5264 -0x965d9469 -256 -256 -1 -0 -0 -6 -0x3ab7 -0x1142e7ec -256 -256 -0 -0 -0 -5 -0x9039 -0x923f2019 -256 -256 -1 -0 -0 -1 -0xa6d3 -0xbd963d27 -256 -256 -0 -0 -0 -6 -0xdcd5 -0x4198e88e -256 -256 -0 -0 -0 -8 -0xc417 -0x29683d87 -256 -256 -0 -0 -0 -3 -0x5527 -0x413f7443 -256 -256 -1 -0 -0 -5 -0x5cfb -0x6dc7941 -256 -256 -0 -0 -0 -3 -0xc09f -0x88e0f21b -256 -256 -0 -0 -0 -2 -0x92f6 -0x295b0713 -256 -256 -0 -0 -0 -3 -0xe9c9 -0xaf33e9f9 -256 -256 -1 -0 -0 -8 -0x69d2 -0x6cd3c729 -256 -256 -1 -0 -0 -5 -0x2a06 -0xa9857eb3 -256 -256 -0 -0 -0 -3 -0x2344 -0x24e7e654 -256 -256 -0 -0 -0 -3 -0x4a0 -0x16e3f78b -256 -256 -0 -0 -0 -5 -0xb2ce -0x48c05be1 -256 -256 -0 -0 -0 -4 -0xad91 -0x8c97a368 -256 -256 -1 -0 -0 -5 -0x9fb0 -0x54339f4a -256 -256 -1 -0 -0 -8 -0xfadc -0xf7d7e4b4 -256 -256 -1 -0 -0 -10 -0xb74f -0x37d3285 -256 -256 -1 -0 -0 -4 -0xd771 -0x83beb64c -256 -256 -0 -0 -0 -6 -0x446c -0x2030a7c9 -256 -256 -0 -0 -0 -3 -0xa0bd -0xb80899f9 -256 -256 -1 -0 -0 -6 -0xa3f4 -0x3aaa243a -256 -256 -0 -0 -0 -3 -0xd984 -0x3eda541a -256 -256 -1 -0 -0 -8 -0x11f3 -0x7fa7e61f -256 -256 -1 -0 -0 -10 -0x376c -0x8543b3f4 -256 -256 -0 -0 -0 -4 -0x7111 -0x4ac3798a -256 -256 -1 -0 -0 -10 -0x6529 -0x5993e217 -256 -256 -1 -0 -0 -8 -0x54d4 -0x44220b34 -256 -256 -0 -0 -0 -10 -0x771a -0xe094ad3b -256 -256 -1 -0 -0 -8 -0xc6c0 -0xa8459f1a -256 -256 -0 -0 -0 -9 -0x6a53 -0x83f35800 -256 -256 -0 -0 -0 -3 -0x1595 -0xd0d86857 -256 -256 -0 -0 -0 -10 -0x1350 -0xf648a71a -256 -256 -1 -0 -0 -4 -0x5f6a -0x944dbf10 -256 -256 -1 -0 -0 -8 -0x26e9 -0x439f6cb0 -256 -256 -1 -0 -0 -7 -0x3ad6 -0xc687778c -256 -256 -1 -0 -0 -7 -0x349b -0x5b06890c -256 -256 -1 -0 -0 -2 -0x25f1 -0x19675f34 -256 -256 -0 -0 -0 -7 -0x287 -0x3ee48285 -256 -256 -0 -0 -0 -3 -0x2611 -0x1c1d5a52 -256 -256 -0 -0 -0 -2 -0xa5b1 -0x728162fb -256 -256 -0 -0 -0 -7 -0x5a60 -0x6ed47c9a -256 -256 -1 -0 -0 -9 -0x7943 -0xb97dfdd0 -256 -256 -1 -0 -0 -10 -0xa68f -0x63c31fea -256 -256 -1 -0 -0 -7 -0xff2 -0xa0aa373c -256 -256 -1 -0 -0 -2 -0x2003 -0xc07e4547 -256 -256 -0 -0 -0 -6 -0xcbae -0xba5bf985 -256 -256 -1 -0 -0 -2 -0x77da -0x4f3e2584 -256 -256 -0 -0 -0 -7 -0x8ddf -0xbb629898 -256 -256 -0 -0 -0 -3 -0x6a87 -0x45250e81 -256 -256 -0 -0 -0 -4 -0x545b -0x59a9c825 -256 -256 -1 -0 -0 -4 -0xd854 -0xeed23306 -256 -256 -0 -0 -0 -4 -0x547a -0xcb32be61 -256 -256 -1 -0 -0 -5 -0x936c -0xfd4e2543 -256 -256 -1 -0 -0 -6 -0x72ff -0x32d44c4c -256 -256 -0 -0 -0 -3 -0x1e58 -0x7596cb42 -256 -256 -0 -0 -0 -5 -0x80aa -0x26288124 -256 -256 -0 -0 -0 -6 -0xd945 -0xbf0f6d71 -256 -256 -0 -0 -0 -5 -0xa485 -0x9065a41d -256 -256 -1 -0 -0 -5 -0x3ab7 -0x2a54131e -256 -256 -0 -0 -0 -4 -0x4c0 -0x3dba5f51 -256 -256 -0 -0 -0 -6 -0xdced -0x9ddf9f69 -256 -256 -1 -0 -0 -4 -0xd228 -0x9adfbd9d -256 -256 -1 -0 -0 -3 -0x7431 -0x46a448f5 -256 -256 -0 -0 -0 -7 -0xfe47 -0xa07bf6fa -256 -256 -1 -0 -0 -7 -0xb27d -0xfde53342 -256 -256 -0 -0 -0 -1 -0x11da -0xe3e4ac32 -256 -256 -1 -0 -0 -6 -0x9738 -0x536cc870 -256 -256 -1 -0 -0 -8 -0x82ac -0xe63da09b -256 -256 -0 -0 -0 -6 -0xa640 -0x5c60ccef -256 -256 -1 -0 -0 -10 -0x9840 -0xb0bc5735 -256 -256 -0 -0 -0 -9 -0xe2f5 -0x31cddf7c -256 -256 -0 -0 -0 -7 -0xc3eb -0x24a0e236 -256 -256 -1 -0 -0 -8 -0x7b93 -0x91d9d60c -256 -256 -1 -0 -0 -4 -0xc171 -0x45eb86f4 -256 -256 -1 -0 -0 -9 -0x9af4 -0x9499bd61 -256 -256 -0 -0 -0 -7 -0x97f5 -0xcd04bc00 -256 -256 -0 -0 -0 -5 -0x97c5 -0x9029a67c -256 -256 -0 -0 -0 -8 -0xcbce -0x17ae8b4e -256 -256 -1 -0 -0 -3 -0xa2a2 -0x4a491fdb -256 -256 -1 -0 -0 -8 -0x7561 -0xaab909a3 -256 -256 -1 -0 -0 -3 -0x127 -0xeb4ac2c8 -256 -256 -1 -0 -0 -9 -0x1852 -0x82a686ec -256 -256 -0 -0 -0 -5 -0x59e8 -0xf650aba0 -256 -256 -0 -0 -0 -5 -0x5343 -0x7f208b5e -256 -256 -0 -0 -0 -4 -0xa97f -0x51a31780 -256 -256 -0 -0 -0 -2 -0x7f2e -0x114e7dde -256 -256 -0 -0 -0 -1 -0x47c1 -0x23964213 -256 -256 -0 -0 -0 -6 -0xb778 -0x5c45788f -256 -256 -0 -0 -0 -9 -0x8ac4 -0x95d36ea6 -256 -256 -0 -0 -0 -7 -0x5e13 -0x3f06886c -256 -256 -1 -0 -0 -9 -0xc302 -0xaf1ff50b -256 -256 -0 -0 -0 -7 -0xcbbb -0xbf32ae64 -256 -256 -0 -0 -0 -5 -0xa510 -0xfe200757 -256 -256 -1 -0 -0 -3 -0x67e4 -0xb202012e -256 -256 -0 -0 -0 -6 -0xc8f7 -0x6a109994 -256 -256 -1 -0 -0 -6 -0x4973 -0x3c327d4b -256 -256 -0 -0 -0 -6 -0x5f44 -0x3a3d336e -256 -256 -0 -0 -0 -2 -0xd76c -0xee33231f -256 -256 -1 -0 -0 -5 -0xee91 -0x7c8465 -256 -256 -0 -0 -0 -3 -0x5be4 -0x3af0f6bc -256 -256 -1 -0 -0 -10 -0x4d9a -0x1fb1899e -256 -256 -1 -0 -0 -6 -0x50bd -0x596dace5 -256 -256 -0 -0 -0 -8 -0x26b9 -0x4222fcb9 -256 -256 -1 -0 -0 -6 -0x3bd9 -0x48c7d540 -256 -256 -0 -0 -0 -2 -0xdee -0x7c2f630e -256 -256 -0 -0 -0 -5 -0x891 -0x7c3c632c -256 -256 -0 -0 -0 -9 -0x7e84 -0x108f6421 -256 -256 -0 -0 -0 -1 -0x6caf -0x5f2eee92 -256 -256 -1 -0 -0 -2 -0x866c -0x3706bbea -256 -256 -1 -0 -0 -6 -0x5bad -0xad026eaa -256 -256 -0 -0 -0 -2 -0x8f19 -0xd1019d7d -256 -256 -1 -0 -0 -2 -0x3005 -0xa543fd2a -256 -256 -0 -0 -0 -10 -0x465e -0xa19fe77 -256 -256 -0 -0 -0 -1 -0x3d6a -0xf9ed8559 -256 -256 -1 -0 -0 -4 -0x7bb4 -0xdb28e9d -256 -256 -0 -0 -0 -9 -0x38a6 -0xc9c402f1 -256 -256 -1 -0 -0 -10 -0xb26a -0xc6e29c7f -256 -256 -0 -0 -0 -9 -0xbd32 -0xd1cd7ad6 -256 -256 -1 -0 -0 -9 -0xe7a8 -0x27fe82fe -256 -256 -1 -0 -0 -2 -0x2c55 -0x23fb3183 -256 -256 -1 -0 -0 -2 -0xc2b5 -0xb1200b4b -256 -256 -1 -0 -0 -4 -0x5384 -0xd81c2341 -256 -256 -0 -0 -0 -6 -0x2c8 -0xb081bc6a -256 -256 -1 -0 -0 -9 -0xd3e5 -0x78219e03 -256 -256 -0 -0 -0 -10 -0x1835 -0xb3eb890b -256 -256 -0 -0 -0 -10 -0xdcaa -0xae290f71 -256 -256 -1 -0 -0 -4 -0xddc6 -0x63fd8f0a -256 -256 -1 -0 -0 -10 -0x180e -0x1ad0d50c -256 -256 -1 -0 -0 -7 -0xab93 -0xbafbc245 -256 -256 -0 -0 -0 -8 -0x16ae -0xbf34a4b4 -256 -256 -1 -0 -0 -3 -0x3bb -0xd421a184 -256 -256 -0 -0 -0 -1 -0x57ed -0x7aa620c8 -256 -256 -1 -0 -0 -9 -0x470c -0xaec98879 -256 -256 -0 -0 -0 -7 -0x999c -0x51a95595 -256 -256 -0 -0 -0 -5 -0xd34b -0x20f59848 -256 -256 -0 -0 -0 -3 -0xc9ab -0x281eb778 -256 -256 -0 -0 -0 -9 -0x2513 -0x931d18d9 -256 -256 -0 -0 -0 -4 -0x2819 -0x252216d7 -256 -256 -0 -0 -0 -4 -0x85ae -0x638bb3bd -256 -256 -0 -0 -0 -10 -0xa241 -0x9cfcd2d8 -256 -256 -1 -0 -0 -5 -0x293f -0xfb6a7a9e -256 -256 -1 -0 -0 -1 -0x32ad -0xbb21817d -256 -256 -1 -0 -0 -4 -0x5106 -0x5bbbde4c -256 -256 -0 -0 -0 -6 -0x27eb -0xec0a912 -256 -256 -0 -0 -0 -10 -0xfe0b -0xa83d6a41 -256 -256 -0 -0 -0 -3 -0xc135 -0x1e455c6 -256 -256 -1 -0 -0 -9 -0xe7d7 -0xaa9929ed -256 -256 -1 -0 -0 -5 -0x62d6 -0x8f3ce613 -256 -256 -1 -0 -0 -7 -0xd9ef -0xad3fbade -256 -256 -1 -0 -0 -1 -0x2dda -0xd3f0a5e9 -256 -256 -0 -0 -0 -5 -0x74c3 -0xfc53d956 -256 -256 -1 -0 -0 -1 -0x5a10 -0x7badc55a -256 -256 -0 -0 -0 -9 -0x8dfa -0x38c6e6ea -256 -256 -1 -0 -0 -6 -0x632e -0xecd15d92 -256 -256 -1 -0 -0 -5 -0x3d3b -0x10869f8c -256 -256 -0 -0 -0 -6 -0xc688 -0xbcebab7d -256 -256 -0 -0 -0 -7 -0x7487 -0x88d4034e -256 -256 -0 -0 -0 -10 -0xe45d -0x6da12792 -256 -256 -0 -0 -0 -6 -0x8ae5 -0xee0911ed -256 -256 -0 -0 -0 -6 -0xf4e7 -0xb5fe9837 -256 -256 -0 -0 -0 -7 -0x1113 -0x3e8084e4 -256 -256 -0 -0 -0 -9 -0x3db1 -0x973b052a -256 -256 -1 -0 -0 -8 -0xb61 -0x70166f62 -256 -256 -1 -0 -0 -7 -0x5cdf -0x27fdb4f1 -256 -256 -0 -0 -0 -7 -0x923b -0xb476700 -256 -256 -1 -0 -0 -2 -0xab28 -0x4ce032a8 -256 -256 -1 -0 -0 -3 -0xcff2 -0xf6955bce -256 -256 -0 -0 -0 -10 -0x616c -0xde7f3d20 -256 -256 -0 -0 -0 -2 -0x701a -0x70785ca8 -256 -256 -0 -0 -0 -1 -0xe337 -0xb51c8dc0 -256 -256 -1 -0 -0 -5 -0x9b23 -0xe88fc605 -256 -256 -0 -0 -0 -8 -0x8447 -0x32bec080 -256 -256 -1 -0 -0 -6 -0xd156 -0x3484b566 -256 -256 -0 -0 -0 -6 -0x4110 -0x462fce7b -256 -256 -1 -0 -0 -8 -0xcc12 -0x66ed837b -256 -256 -1 -0 -0 -7 -0x3131 -0x8470cef9 -256 -256 -1 -0 -0 -1 -0x9945 -0xb3df327f -256 -256 -0 -0 -0 -4 -0x65c4 -0xe663c18 -256 -256 -1 -0 -0 -8 -0xb74a -0x2c09f293 -256 -256 -0 -0 -0 -3 -0x4579 -0x23102143 -256 -256 -1 -0 -0 -8 -0x2531 -0x41bf45b -256 -256 -0 -0 -0 -4 -0x1b6f -0x8d8d6b4e -256 -256 -0 -0 -0 -7 -0x1e1b -0x67903449 -256 -256 -1 -0 -0 -6 -0xb6a0 -0x40b52c92 -256 -256 -0 -0 -0 -8 -0xe601 -0xd6b30438 -256 -256 -0 -0 -0 -8 -0x1695 -0xd1964915 -256 -256 -0 -0 -0 -2 -0xd5e4 -0xd4f20650 -256 -256 -1 -0 -0 -3 -0xebfc -0xb08b0744 -256 -256 -0 -0 -0 -10 -0x2a17 -0xbf11af00 -256 -256 -1 -0 -0 -4 -0xf982 -0xff9ce860 -256 -256 -1 -0 -0 -2 -0xc5ad -0x7ec4a561 -256 -256 -0 -0 -0 -5 -0xe0da -0xbc73c9ee -256 -256 -1 -0 -0 -7 -0x5106 -0xfd56059 -256 -256 -0 -0 -0 -5 -0xe1fd -0xda929944 -256 -256 -0 -0 -0 -1 -0xf12 -0xc64b86aa -256 -256 -0 -0 -0 -8 -0x852d -0xdeac7aa2 -256 -256 -0 -0 -0 -9 -0xad19 -0xe027bfbd -256 -256 -1 -0 -0 -1 -0xd50e -0x185fee5d -256 -256 -1 -0 -0 -7 -0xb833 -0xc9669618 -256 -256 -1 -0 -0 -1 -0x6f32 -0xa31bfe92 -256 -256 -0 -0 -0 -2 -0x96f6 -0x36d28533 -256 -256 -1 -0 -0 -7 -0xecd3 -0x33ab7cd1 -256 -256 -0 -0 -0 -7 -0xfd04 -0x1e2d1fb -256 -256 -0 -0 -0 -5 -0xcd24 -0xa8ff5dc0 -256 -256 -1 -0 -0 -1 -0x1c7 -0x7048de68 -256 -256 -1 -0 -0 -9 -0x97eb -0x3c108242 -256 -256 -1 -0 -0 -8 -0x129a -0x41cfea63 -256 -256 -0 -0 -0 -8 -0xb0d7 -0xdb37281e -256 -256 -1 -0 -0 -8 -0xe764 -0x1412133a -256 -256 -0 -0 -0 -8 -0xb690 -0x870ea812 -256 -256 -1 -0 -0 -8 -0xa9f2 -0xf906861c -256 -256 -1 -0 -0 -4 -0x446d -0xc7515853 -256 -256 -1 -0 -0 -4 -0xb843 -0xc0cd5361 -256 -256 -1 -0 -0 -6 -0x3d27 -0x9898b6ac -256 -256 -0 -0 -0 -7 -0xd11d -0xbfb82b8c -256 -256 -1 -0 -0 -2 -0xed -0x2878f397 -256 -256 -0 -0 -0 -6 -0x6b4f -0x3e4147d8 -256 -256 -0 -0 -0 -4 -0x1e3b -0x2eeb3a1c -256 -256 -1 -0 -0 -10 -0xf62f -0xa84cebac -256 -256 -0 -0 -0 -8 -0x8f7a -0x933db2e5 -256 -256 -0 -0 -0 -4 -0x4f9b -0xb6cdd024 -256 -256 -1 -0 -0 -2 -0x1ed1 -0xec3c157e -256 -256 -1 -0 -0 -3 -0xaee4 -0x4d938f7 -256 -256 -0 -0 -0 -6 -0xf116 -0x424f908 -256 -256 -0 -0 -0 -1 -0x4786 -0xaf482554 -256 -256 -1 -0 -0 -2 -0x1df0 -0xdf011bb3 -256 -256 -0 -0 -0 -9 -0xacd0 -0x824e67f0 -256 -256 -1 -0 -0 -1 -0x86b6 -0x958448c0 -256 -256 -1 -0 -0 -7 -0x2953 -0xceac63db -256 -256 -1 -0 -0 -4 -0xa67 -0xf0f96170 -256 -256 -0 -0 -0 -2 -0x7d04 -0x36e28723 -256 -256 -0 -0 -0 -2 -0xfcdf -0xab7d84b5 -256 -256 -0 -0 -0 -10 -0x3e4a -0xb485f0e -256 -256 -0 -0 -0 -9 -0x2bdc -0xc7340d34 -256 -256 -1 -0 -0 -9 -0xfd32 -0xc85f57c0 -256 -256 -1 -0 -0 -5 -0x719f -0x4471b384 -256 -256 -1 -0 -0 -5 -0xf466 -0x3a12911 -256 -256 -1 -0 -0 -2 -0xb803 -0x62e4eca1 -256 -256 -1 -0 -0 -3 -0x8508 -0x48a26791 -256 -256 -1 -0 -0 -9 -0x1a0c -0x10da7e80 -256 -256 -1 -0 -0 -1 -0x7fff -0x798e7959 -256 -256 -1 -0 -0 -7 -0x61d2 -0x7cb74ef0 -256 -256 -1 -0 -0 -8 -0xd18e -0x6c7c110f -256 -256 -1 -0 -0 -3 -0x989 -0xe1731eda -256 -256 -1 -0 -0 -3 -0x3aa5 -0xec4eeb2d -256 -256 -0 -0 -0 -5 -0xca41 -0x8edfacb -256 -256 -1 -0 -0 -9 -0x3a03 -0xb0f6f20a -256 -256 -1 -0 -0 -6 -0x2a51 -0xd7bb5e1f -256 -256 -0 -0 -0 -1 -0x589a -0x9741d590 -256 -256 -0 -0 -0 -5 -0x48db -0xe803347d -256 -256 -0 -0 -0 -4 -0x7578 -0xf5fe826d -256 -256 -1 -0 -0 -1 -0x99e9 -0xb0e10ec6 -256 -256 -0 -0 -0 -9 -0xe42b -0x5e7d4d91 -256 -256 -0 -0 -0 -8 -0x9be -0x8ff0d06c -256 -256 -0 -0 -0 -5 -0xaad4 -0xf1845ded -256 -256 -1 -0 -0 -7 -0xd07 -0x4fead8b0 -256 -256 -1 -0 -0 -1 -0x6447 -0x9f4e7e91 -256 -256 -1 -0 -0 -5 -0xa73e -0xa71a510 -256 -256 -0 -0 -0 -9 -0x6130 -0x562f5171 -256 -256 -1 -0 -0 -8 -0xfb1b -0xb993c81d -256 -256 -1 -0 -0 -7 -0xf086 -0x4bc4302b -256 -256 -0 -0 -0 -2 -0x7e75 -0x984718b9 -256 -256 -0 -0 -0 -5 -0xe8e9 -0xd78bafc8 -256 -256 -1 -0 -0 -5 -0xe43b -0x1bdb6f3 -256 -256 -0 -0 -0 -1 -0xef85 -0xd1e769a2 -256 -256 -1 -0 -0 -2 -0xb066 -0xd094c1b4 -256 -256 -0 -0 -0 -4 -0x5013 -0x85501214 -256 -256 -0 -0 -0 -5 -0x3377 -0x2b499ae8 -256 -256 -0 -0 -0 -3 -0x5a92 -0xe6d3191f -256 -256 -0 -0 -0 -9 -0x34d4 -0x8bc69eae -256 -256 -1 -0 -0 -10 -0x9b88 -0x9c40a1fe -256 -256 -1 -0 -0 -4 -0x1d1e -0x5da1281f -256 -256 -0 -0 -0 -5 -0xd5b4 -0x643957cc -256 -256 -1 -0 -0 -2 -0x93e9 -0x72e930b8 -256 -256 -0 -0 -0 -3 -0x2e77 -0xc58d8cec -256 -256 -1 -0 -0 -6 -0xd072 -0xb8cfe1ce -256 -256 -0 -0 -0 -10 -0x3154 -0x18f6d84 -256 -256 -0 -0 -0 -1 -0xc9c -0x3fa7a621 -256 -256 -1 -0 -0 -10 -0xcb42 -0x456c9710 -256 -256 -1 -0 -0 -1 -0xc59c -0xec0700d2 -256 -256 -1 -0 -0 -8 -0x32ed -0x5f9143a4 -256 -256 -1 -0 -0 -10 -0x608b -0x1159f177 -256 -256 -0 -0 -0 -8 -0xa010 -0x75a106de -256 -256 -1 -0 -0 -10 -0x306c -0x48fd4516 -256 -256 -0 -0 -0 -7 -0x7548 -0x5c804057 -256 -256 -0 -0 -0 -3 -0x1ec9 -0xe4b33a7a -256 -256 -1 -0 -0 -3 -0xee32 -0x5e471fad -256 -256 -0 -0 -0 -10 -0xe788 -0xf7a7812f -256 -256 -0 -0 -0 -2 -0xc483 -0x1dbd36e7 -256 -256 -0 -0 -0 -1 -0xe45f -0x41ce43d9 -256 -256 -0 -0 -0 -3 -0x2d4f -0x45110a3b -256 -256 -0 -0 -0 -5 -0xa3ba -0xd4d02f0e -256 -256 -0 -0 -0 -8 -0xb6e8 -0x8506e0d6 -256 -256 -1 -0 -0 -2 -0xc306 -0x6697a513 -256 -256 -0 -0 -0 -1 -0xcb24 -0xf735665b -256 -256 -0 -0 -0 -4 -0xd238 -0x9473d113 -256 -256 -0 -0 -0 -2 -0x1551 -0xdae7957 -256 -256 -0 -0 -0 -10 -0x44a6 -0x45005c6d -256 -256 -0 -0 -0 -10 -0xe87f -0xe50a6e3b -256 -256 -0 -0 -0 -9 -0x7196 -0x62c95f5b -256 -256 -0 -0 -0 -7 -0x329a -0x43852d1f -256 -256 -1 -0 -0 -5 -0xa56f -0xd036421d -256 -256 -1 -0 -0 -6 -0x7b9f -0xdc24119c -256 -256 -0 -0 -0 -10 -0xee13 -0xb9ebf95a -256 -256 -1 -0 -0 -7 -0x4b2b -0xb2c4e6e5 -256 -256 -0 -0 -0 -8 -0xff09 -0x5687d2be -256 -256 -0 -0 -0 -9 -0xdfc4 -0x930b4c5a -256 -256 -0 -0 -0 -10 -0xbb8e -0x24ea1ead -256 -256 -1 -0 -0 -9 -0x8cd1 -0x200cf968 -256 -256 -0 -0 -0 -9 -0x71ad -0xdc02ff9d -256 -256 -0 -0 -0 -3 -0x8264 -0x9ba7a02a -256 -256 -1 -0 -0 -6 -0x1aeb -0xb80a9ba8 -256 -256 -1 -0 -0 -1 -0x7eea -0x1977866f -256 -256 -0 -0 -0 -6 -0x5d01 -0xbd3d7d8c -256 -256 -1 -0 -0 -8 -0x393a -0xad5ce8a0 -256 -256 -1 -0 -0 -9 -0x4a46 -0x8842311f -256 -256 -1 -0 -0 -7 -0x871a -0x19a01490 -256 -256 -1 -0 -0 -1 -0xd81f -0x840f47c8 -256 -256 -1 -0 -0 -5 -0xac70 -0x1cf4d1a2 -256 -256 -0 -0 -0 -2 -0x1666 -0x222cb2ed -256 -256 -0 -0 -0 -9 -0x2af2 -0xae5cbfcf -256 -256 -0 -0 -0 -5 -0x15ff -0xe90879f7 -256 -256 -0 -0 -0 -10 -0xeb0e -0xeebd138e -256 -256 -0 -0 -0 -8 -0x4fa6 -0xfce45659 -256 -256 -0 -0 -0 -9 -0xa717 -0x956a62a8 -256 -256 -1 -0 -0 -6 -0xa678 -0xdf094b0b -256 -256 -1 -0 -0 -4 -0x3449 -0x1c05ca -256 -256 -1 -0 -0 -6 -0x7f5c -0x69fdbeb2 -256 -256 -1 -0 -0 -8 -0x9f12 -0xb190c435 -256 -256 -0 -0 -0 -10 -0x29f8 -0xa1761df4 -256 -256 -0 -0 -0 -7 -0x6597 -0xbb8854e8 -256 -256 -0 -0 -0 -6 -0x10b1 -0xf5339b45 -256 -256 -0 -0 -0 -8 -0x32ef -0x34520daa -256 -256 -1 -0 -0 -4 -0x2679 -0xe547fd66 -256 -256 -1 -0 -0 -9 -0x58da -0x2b3b62cb -256 -256 -1 -0 -0 -6 -0x3e40 -0xf8e7b79a -256 -256 -0 -0 -0 -1 -0xa647 -0x494c56f0 -256 -256 -1 -0 -0 -10 -0xa1ed -0x3506beb7 -256 -256 -0 -0 -0 -3 -0xa37f -0xa3afa2c5 -256 -256 -0 -0 -0 -10 -0xcede -0x419014b6 -256 -256 -1 -0 -0 -1 -0x9065 -0x83349df0 -256 -256 -1 -0 -0 -3 -0x891 -0xe0530ab3 -256 -256 -1 -0 -0 -2 -0xf5e7 -0x6d3bf7c4 -256 -256 -1 -0 -0 -4 -0x4186 -0x2b516e78 -256 -256 -0 -0 -0 -8 -0xc6ca -0xf1b993b8 -256 -256 -1 -0 -0 -8 -0x3e6e -0x44a89b37 -256 -256 -1 -0 -0 -6 -0x4019 -0xab7d19ce -256 -256 -0 -0 -0 -7 -0xe7c -0xd394cd3a -256 -256 -1 -0 -0 -5 -0xdacb -0x1d648230 -256 -256 -1 -0 -0 -1 -0x3ad2 -0x757c5d26 -256 -256 -1 -0 -0 -8 -0x77ad -0x9aa3f14d -256 -256 -1 -0 -0 -5 -0x4aca -0xced1d029 -256 -256 -0 -0 -0 -5 -0xc3c2 -0xb9ed889a -256 -256 -0 -0 -0 -3 -0xd38d -0xa6b39518 -256 -256 -0 -0 -0 -3 -0x1967 -0x5810a082 -256 -256 -0 -0 -0 -1 -0x4706 -0xe6828c2a -256 -256 -0 -0 -0 -9 -0x3f2f -0xc604715a -256 -256 -1 -0 -0 -5 -0x800e -0x3427fa45 -256 -256 -1 -0 -0 -8 -0x23e -0xd83cc054 -256 -256 -0 -0 -0 -2 -0x2fcf -0xa8c9c4a4 -256 -256 -0 -0 -0 -3 -0x63a5 -0xff1700c0 -256 -256 -0 -0 -0 -2 -0xf6a6 -0x347c9c75 -256 -256 -0 -0 -0 -5 -0x7b32 -0xcdaeb7b0 -256 -256 -0 -0 -0 -1 -0x52f8 -0x4a9e098f -256 -256 -0 -0 -0 -4 -0x36a8 -0x9fc63c7b -256 -256 -1 -0 -0 -7 -0xde90 -0x904a9e6f -256 -256 -0 -0 -0 -1 -0xfffc -0xb6597469 -256 -256 -0 -0 -0 -6 -0x5c25 -0x12892f3b -256 -256 -0 -0 -0 -8 -0x714e -0x28ed93f7 -256 -256 -1 -0 -0 -1 -0x4d77 -0xd3cbd74c -256 -256 -0 -0 -0 -7 -0xe670 -0xd26598f8 -256 -256 -1 -0 -0 -8 -0x1de3 -0xa73a2261 -256 -256 -1 -0 -0 -7 -0xf3ee -0xe8dfd3fd -256 -256 -0 -0 -0 -2 -0x588f -0x4f13105e -256 -256 -1 -0 -0 -1 -0xb35a -0xb29fa868 -256 -256 -0 -0 -0 -10 -0x2b25 -0xb86562dd -256 -256 -1 -0 -0 -3 -0xebbb -0xa42d49e1 -256 -256 -0 -0 -0 -4 -0xa3e1 -0xc5a5dc6a -256 -256 -1 -0 -0 -6 -0xca0f -0x2c3f910a -256 -256 -1 -0 -0 -7 -0x9bfe -0xd654eb58 -256 -256 -1 -0 -0 -6 -0x355c -0x3316196d -256 -256 -1 -0 -0 -2 -0xce4b -0x39a8b832 -256 -256 -0 -0 -0 -7 -0x8f66 -0xb5ea4851 -256 -256 -0 -0 -0 -9 -0xa66 -0x4e332bde -256 -256 -1 -0 -0 -3 -0xf222 -0xc4bd09f5 -256 -256 -0 -0 -0 -10 -0xcec0 -0x4887f52a -256 -256 -1 -0 -0 -7 -0x358d -0xd5eb4564 -256 -256 -1 -0 -0 -2 -0x48dd -0xc16909ef -256 -256 -0 -0 -0 -1 -0x5205 -0x10c31908 -256 -256 -0 -0 -0 -9 -0xb0d1 -0xf5dde11a -256 -256 -0 -0 -0 -1 -0x800e -0x5f8857d -256 -256 -1 -0 -0 -7 -0x9dd -0x944ff7a1 -256 -256 -0 -0 -0 -10 -0xaa06 -0xc2c050ae -256 -256 -0 -0 -0 -5 -0xc977 -0x4118b821 -256 -256 -0 -0 -0 -3 -0xfc79 -0xc10554f6 -256 -256 -1 -0 -0 -10 -0xf332 -0xe6ad6279 -256 -256 -0 -0 -0 -10 -0x6cf7 -0x328690a6 -256 -256 -0 -0 -0 -6 -0x161a -0x66ae4ecf -256 -256 -1 -0 -0 -2 -0x3b61 -0x8521be06 -256 -256 -0 -0 -0 -5 -0x60cb -0xaaece3e0 -256 -256 -0 -0 -0 -1 -0x8c34 -0xeff5a139 -256 -256 -0 -0 -0 -8 -0x8ab9 -0x9bd360e -256 -256 -1 -0 -0 -2 -0x3e5b -0x32035626 -256 -256 -0 -0 -0 -10 -0xd075 -0x52039340 -256 -256 -1 -0 -0 -1 -0xfbf4 -0x93827035 -256 -256 -0 -0 -0 -7 -0x84db -0x8a84e427 -256 -256 -0 -0 -0 -4 -0x91e1 -0x3cb315cd -256 -256 -0 -0 -0 -5 -0x9b86 -0x24907132 -256 -256 -0 -0 -0 -8 -0x7c45 -0x727d9b68 -256 -256 -0 -0 -0 -10 -0xe8e3 -0x9f31ac83 -256 -256 -1 -0 -0 -5 -0xeb9 -0xab559932 -256 -256 -0 -0 -0 -3 -0x3415 -0x8d4c0170 -256 -256 -0 -0 -0 -7 -0x9a4e -0xced81eed -256 -256 -0 -0 -0 -2 -0x4159 -0x78954db6 -256 -256 -1 -0 -0 -6 -0x6934 -0xa5f90803 -256 -256 -0 -0 -0 -3 -0x12d8 -0x1fea0cca -256 -256 -0 -0 -0 -8 -0xd6c6 -0xec57678d -256 -256 -0 -0 -0 -3 -0xda57 -0x1e4de6f6 -256 -256 -0 -0 -0 -8 -0xb419 -0xc7b1196f -256 -256 -1 -0 -0 -2 -0xc068 -0x808414a9 -256 -256 -0 -0 -0 -6 -0xabe5 -0x8ca3348 -256 -256 -0 -0 -0 -4 -0x1274 -0xd215bd53 -256 -256 -1 -0 -0 -2 -0x6829 -0xc3a87d75 -256 -256 -0 -0 -0 -2 -0xdf2c -0xfd37d43c -256 -256 -1 -0 -0 -5 -0x57b3 -0x9b11f86b -256 -256 -1 -0 -0 -9 -0x1cf2 -0xe072c443 -256 -256 -0 -0 -0 -6 -0x4a2f -0x85e948a1 -256 -256 -1 -0 -0 -1 -0x3d9c -0x4b4032ad -256 -256 -0 -0 -0 -3 -0x8f8f -0x6c1ae20b -256 -256 -1 -0 -0 -7 -0x822a -0xb436c443 -256 -256 -1 -0 -0 -1 -0x7ed9 -0x90cb84ad -256 -256 -1 -0 -0 -5 -0x6584 -0x66cc5f01 -256 -256 -0 -0 -0 -5 -0x5518 -0xa0cdebf2 -256 -256 -1 -0 -0 -4 -0x8b30 -0x3a942975 -256 -256 -0 -0 -0 -5 -0x6ac0 -0x763fb732 -256 -256 -0 -0 -0 -4 -0xd203 -0x18d43da1 -256 -256 -1 -0 -0 -6 -0x3438 -0xf608f240 -256 -256 -1 -0 -0 -5 -0x48d1 -0x9e1f4673 -256 -256 -0 -0 -0 -5 -0x2019 -0xfd9c29f3 -256 -256 -1 -0 -0 -9 -0x3c4a -0xd7df0730 -256 -256 -1 -0 -0 -6 -0x9707 -0xd2bb5532 -256 -256 -0 -0 -0 -3 -0x9259 -0x44eb336a -256 -256 -0 -0 -0 -4 -0x66f5 -0xfcb3bd92 -256 -256 -0 -0 -0 -2 -0x3776 -0xd85a3c71 -256 -256 -1 -0 -0 -4 -0x274 -0x30d4d65c -256 -256 -1 -0 -0 -4 -0x2d20 -0x139b1ecd -256 -256 -1 -0 -0 -1 -0x868b -0x45ed5d3 -256 -256 -1 -0 -0 -1 -0xcf52 -0xd5e5efde -256 -256 -0 -0 -0 -9 -0x849 -0x9f5f1b7b -256 -256 -1 -0 -0 -4 -0xdf9a -0x27337385 -256 -256 -0 -0 -0 -2 -0xec31 -0x3c3334e0 -256 -256 -0 -0 -0 -9 -0x18ca -0x5441e4b2 -256 -256 -0 -0 -0 -8 -0x4c87 -0x9f4cb86d -256 -256 -0 -0 -0 -10 -0x30b -0x37465de5 -256 -256 -1 -0 -0 -2 -0x5f1e -0xeb4cf035 -256 -256 -1 -0 -0 -10 -0x48f6 -0x2cb18949 -256 -256 -0 -0 -0 -9 -0xaafc -0xdb2a97ee -256 -256 -1 -0 -0 -2 -0x7c90 -0x107fc513 -256 -256 -0 -0 -0 -3 -0x6366 -0x76947cbf -256 -256 -1 -0 -0 -1 -0x29e0 -0x5d1979b -256 -256 -0 -0 -0 -8 -0xef58 -0xc2defd4d -256 -256 -1 -0 -0 -6 -0xbb25 -0x813cdfb0 -256 -256 -0 -0 -0 -10 -0x6c57 -0x48f1306a -256 -256 -0 -0 -0 -4 -0x295c -0x1aeaed0d -256 -256 -0 -0 -0 -5 -0xab9 -0x86b6c908 -256 -256 -0 -0 -0 -7 -0x7eef -0xd2cf0137 -256 -256 -0 -0 -0 -10 -0x5d15 -0x1e01a38a -256 -256 -1 -0 -0 -6 -0x7f94 -0x8bacc854 -256 -256 -0 -0 -0 -10 -0xa28e -0x388cf212 -256 -256 -1 -0 -0 -3 -0x5e17 -0x85b39852 -256 -256 -1 -0 -0 -10 -0x76f9 -0x1c0fac21 -256 -256 -1 -0 -0 -3 -0xb180 -0xf8b22cb9 -256 -256 -1 -0 -0 -8 -0xac49 -0xc0096850 -256 -256 -0 -0 -0 -9 -0x1789 -0x684e4ab2 -256 -256 -1 -0 -0 -1 -0x922c -0x6507332f -256 -256 -1 -0 -0 -8 -0x21ef -0x690dd3fe -256 -256 -1 -0 -0 -9 -0xe6ac -0xc738d3b9 -256 -256 -1 -0 -0 -2 -0x8263 -0x439e71d5 -256 -256 -0 -0 -0 -3 -0x8a94 -0xb7035598 -256 -256 -1 -0 -0 -7 -0x71c2 -0x25044538 -256 -256 -0 -0 -0 -4 -0xf6bc -0x9ab2ff2a -256 -256 -0 -0 -0 -4 -0x4221 -0xf21ab13f -256 -256 -0 -0 -0 -3 -0x5db -0x39cceb34 -256 -256 -0 -0 -0 -4 -0x6fb1 -0xae857a47 -256 -256 -1 -0 -0 -1 -0xfd86 -0x249110b1 -256 -256 -0 -0 -0 -5 -0xc6d9 -0x2efc44b2 -256 -256 -1 -0 -0 -7 -0xb54 -0x78ba518e -256 -256 -1 -0 -0 -7 -0x8693 -0xb4787530 -256 -256 -1 -0 -0 -9 -0xbbed -0xd245bd04 -256 -256 -0 -0 -0 -7 -0x79cb -0xc74ec53d -256 -256 -0 -0 -0 -6 -0xf5fc -0xea246da7 -256 -256 -1 -0 -0 -9 -0xbd5d -0x6e6b36f9 -256 -256 -1 -0 -0 -10 -0xfc9d -0x672321d1 -256 -256 -0 -0 -0 -8 -0x7db3 -0x574c22a -256 -256 -0 -0 -0 -7 -0xad10 -0xd562db9c -256 -256 -0 -0 -0 -8 -0x39d6 -0xda1903c8 -256 -256 -1 -0 -0 -6 -0x9c1a -0x6493d46a -256 -256 -0 -0 -0 -2 -0xad93 -0x92da2793 -256 -256 -1 -0 -0 -6 -0xba2d -0xe99ebd39 -256 -256 -0 -0 -0 -1 -0x1667 -0xca880fb9 -256 -256 -0 -0 -0 -6 -0x9e09 -0x270fae95 -256 -256 -0 -0 -0 -3 -0x9380 -0x637f24ea -256 -256 -1 -0 -0 -1 -0xea6e -0xd8b7d3de -256 -256 -1 -0 -0 -2 -0xd11c -0x4c50d824 -256 -256 -0 -0 -0 -6 -0x858e -0x8f7edb -256 -256 -1 -0 -0 -10 -0x9fa1 -0x528e1b82 -256 -256 -0 -0 -0 -9 -0x2f94 -0x74b7f0be -256 -256 -1 -0 -0 -9 -0x99e -0xd98a9ca4 -256 -256 -0 -0 -0 -1 -0x5c38 -0xbed5ebb2 -256 -256 -1 -0 -0 -8 -0xdb46 -0xcd81d54e -256 -256 -1 -0 -0 -8 -0xdad5 -0x719dcfd -256 -256 -0 -0 -0 -3 -0xceba -0xa646eefd -256 -256 -1 -0 -0 -6 -0xa7e2 -0x8194469d -256 -256 -1 -0 -0 -7 -0x54c0 -0xcd85b3e0 -256 -256 -0 -0 -0 -5 -0x97d -0x4be02ba1 -256 -256 -0 -0 -0 -8 -0xf05a -0xbff97651 -256 -256 -0 -0 -0 -1 -0x6522 -0x7715ae05 -256 -256 -1 -0 -0 -2 -0x1fae -0xa57a0f6d -256 -256 -1 -0 -0 -1 -0x4f8a -0xd1f53c8b -256 -256 -1 -0 -0 -3 -0xd443 -0xe8a6dfcd -256 -256 -1 -0 -0 -2 -0x3aca -0xe200cf25 -256 -256 -0 -0 -0 -8 -0x5b71 -0x6d431650 -256 -256 -1 -0 -0 -9 -0x8b81 -0xc472efd0 -256 -256 -1 -0 -0 -10 -0x30d1 -0x60da853f -256 -256 -1 -0 -0 -10 -0x1bab -0x5d8b5715 -256 -256 -1 -0 -0 -10 -0x8b6d -0x8a1cc1d8 -256 -256 -0 -0 -0 -6 -0xb7f8 -0xb33ef9ea -256 -256 -1 -0 -0 -5 -0xc4fa -0xa6c9be8a -256 -256 -0 -0 -0 -4 -0x96e8 -0xbd09db9c -256 -256 -1 -0 -0 -9 -0x51ab -0x388bcc82 -256 -256 -1 -0 -0 -10 -0xb25 -0xd6c3da89 -256 -256 -0 -0 -0 -2 -0x1d8b -0x4fc2cab9 -256 -256 -1 -0 -0 -10 -0x2668 -0x89b98167 -256 -256 -0 -0 -0 -6 -0x3b52 -0x136beac9 -256 -256 -0 -0 -0 -2 -0xa7de -0x26613f33 -256 -256 -0 -0 -0 -8 -0x67ca -0xad25b36e -256 -256 -1 -0 -0 -2 -0xbde5 -0xe669f97 -256 -256 -1 -0 -0 -2 -0x6aeb -0x76889447 -256 -256 -1 -0 -0 -2 -0x7a6 -0x898ba8bd -256 -256 -1 -0 -0 -9 -0xfd28 -0x74034f54 -256 -256 -1 -0 -0 -2 -0x2991 -0xe29f9f17 -256 -256 -0 -0 -0 -8 -0x8e72 -0xcfb7b443 -256 -256 -1 -0 -0 -9 -0xcaae -0x80388cd7 -256 -256 -1 -0 -0 -1 -0xbe90 -0x3069a823 -256 -256 -1 -0 -0 -6 -0xbd00 -0xad588e8d -256 -256 -0 -0 -0 -8 -0x4a96 -0x931f68fb -256 -256 -0 -0 -0 -5 -0x9def -0xd59a70d6 -256 -256 -1 -0 -0 -8 -0x4afe -0x680b10f -256 -256 -1 -0 -0 -8 -0x2c0e -0xed42b29e -256 -256 -1 -0 -0 -3 -0x46f4 -0x7861f5a4 -256 -256 -1 -0 -0 -6 -0xe63e -0xc3504b2 -256 -256 -0 -0 -0 -10 -0xb71b -0xacc21f03 -256 -256 -1 -0 -0 -2 -0xbabb -0x19f73213 -256 -256 -1 -0 -0 -2 -0xa226 -0xa591d471 -256 -256 -0 -0 -0 -7 -0x2f5 -0xb535d58e -256 -256 -0 -0 -0 -10 -0xd533 -0xf32fd3df -256 -256 -0 -0 -0 -7 -0x5b5f -0xe4946af8 -256 -256 -1 -0 -0 -4 -0x79c9 -0x13d6915f -256 -256 -1 -0 -0 -5 -0x4330 -0xa03bef8a -256 -256 -1 -0 -0 -7 -0xf3d7 -0xc89f6cf4 -256 -256 -0 -0 -0 -5 -0x5a2f -0x7464b228 -256 -256 -0 -0 -0 -1 -0x1d16 -0x61669d8 -256 -256 -0 -0 -0 -9 -0x7773 -0xa9a261e -256 -256 -0 -0 -0 -10 -0x221e -0x8acf8133 -256 -256 -0 -0 -0 -9 -0xb83b -0x6253e311 -256 -256 -1 -0 -0 -6 -0xd7b8 -0x5eaf95c5 -256 -256 -1 -0 -0 -4 -0x6e9c -0x4d6c1f7e -256 -256 -1 -0 -0 -3 -0xd0bc -0x2166ac36 -256 -256 -0 -0 -0 -10 -0xd50c -0x9749b4d6 -256 -256 -0 -0 -0 -8 -0xef7c -0x40cb53a -256 -256 -1 -0 -0 -6 -0xcb6b -0xaa3ad78f -256 -256 -0 -0 -0 -8 -0x1272 -0x52ba9776 -256 -256 -0 -0 -0 -3 -0x14ed -0x5f0367c9 -256 -256 -0 -0 -0 -10 -0x1cc9 -0xed0bc957 -256 -256 -1 -0 -0 -3 -0x7f1f -0xd51e5a7b -256 -256 -1 -0 -0 -7 -0xb283 -0x3cbe356c -256 -256 -0 -0 -0 -5 -0x45f6 -0x1ac4c14b -256 -256 -1 -0 -0 -9 -0x18fd -0x9bbb3343 -256 -256 -0 -0 -0 -6 -0x4ee4 -0xe1ced57c -256 -256 -1 -0 -0 -4 -0x5442 -0xe9f4a014 -256 -256 -1 -0 -0 -1 -0x9dfe -0x9985885f -256 -256 -0 -0 -0 -8 -0xb375 -0x82726093 -256 -256 -0 -0 -0 -10 -0x715f -0x918b1793 -256 -256 -0 -0 -0 -5 -0xe186 -0xc360301e -256 -256 -1 -0 -0 -9 -0xb760 -0x20893f3d -256 -256 -1 -0 -0 -9 -0xcd50 -0xc7d24db7 -256 -256 -0 -0 -0 -6 -0x9298 -0x566501e7 -256 -256 -0 -0 -0 -5 -0xf0ca -0xe22cf0ef -256 -256 -0 -0 -0 -4 -0x644c -0xf5913f7c -256 -256 -1 -0 -0 -8 -0xebaf -0x9a1d6627 -256 -256 -0 -0 -0 -6 -0xa4cc -0xd204b518 -256 -256 -0 -0 -0 -4 -0x24e9 -0x60d6a940 -256 -256 -1 -0 -0 -7 -0x73ec -0x4eb4d51f -256 -256 -0 -0 -0 -8 -0x1c95 -0x6b9a324b -256 -256 -1 -0 -0 -7 -0xc1a6 -0x1725324a -256 -256 -0 -0 -0 -4 -0xe317 -0x3a330be1 -256 -256 -1 -0 -0 -9 -0xa11 -0xdf0522eb -256 -256 -0 -0 -0 -1 -0x7b33 -0x814832b8 -256 -256 -1 -0 -0 -1 -0x2b9 -0x38e53d56 -256 -256 -0 -0 -0 -6 -0x7ecc -0xb62af4a0 -256 -256 -1 -0 -0 -2 -0xaa20 -0x39562384 -256 -256 -1 -0 -0 -10 -0x507d -0x85173197 -256 -256 -0 -0 -0 -3 -0x4c8e -0x37cbf133 -256 -256 -0 -0 -0 -9 -0xe405 -0xfa444e85 -256 -256 -0 -0 -0 -8 -0x5d26 -0x71eddda4 -256 -256 -0 -0 -0 -5 -0x82e3 -0xd8aba11e -256 -256 -0 -0 -0 -7 -0x8904 -0x387d3751 -256 -256 -0 -0 -0 -9 -0x5a7a -0x64ed094a -256 -256 -1 -0 -0 -10 -0xbf1f -0x9f9cb902 -256 -256 -1 -0 -0 -2 -0x83ff -0x5fd7e4c6 -256 -256 -1 -0 -0 -3 -0x9116 -0xbd73fbe6 -256 -256 -0 -0 -0 -6 -0xec16 -0x74075fde -256 -256 -1 -0 -0 -1 -0xb6ce -0x8704c072 -256 -256 -1 -0 -0 -8 -0x3f48 -0x73ff7fa2 -256 -256 -0 -0 -0 -7 -0x42c2 -0x2534d4b3 -256 -256 -1 -0 -0 -8 -0x9e38 -0x92bb8885 -256 -256 -1 -0 -0 -4 -0x5460 -0xb469ab65 -256 -256 -0 -0 -0 -8 -0xcdf4 -0xe75489e -256 -256 -1 -0 -0 -5 -0xe37 -0xf699b71f -256 -256 -1 -0 -0 -4 -0x42a5 -0x9129c424 -256 -256 -1 -0 -0 -8 -0x953d -0xde0b2d65 -256 -256 -0 -0 -0 -1 -0x70d5 -0x729cab2b -256 -256 -1 -0 -0 -10 -0x5f45 -0xf176bc4a -256 -256 -1 -0 -0 -10 -0x93da -0x65008627 -256 -256 -0 -0 -0 -6 -0x903c -0x15f91bf1 -256 -256 -1 -0 -0 -8 -0x548c -0x9c48dac8 -256 -256 -1 -0 -0 -1 -0xc6d6 -0x562b6720 -256 -256 -1 -0 -0 -6 -0x6223 -0x892d2aa0 -256 -256 -0 -0 -0 -2 -0x116e -0x504bd187 -256 -256 -1 -0 -0 -3 -0xf8bc -0xb9f623ea -256 -256 -1 -0 -0 -7 -0xe66c -0xace3437c -256 -256 -0 -0 -0 -7 -0x4a10 -0x8e88c2fa -256 -256 -0 -0 -0 -6 -0xb2a9 -0x81f05dab -256 -256 -1 -0 -0 -3 -0xd657 -0x6a0ef2ef -256 -256 -1 -0 -0 -2 -0x1240 -0xc4172919 -256 -256 -1 -0 -0 -4 -0xdc1 -0x42a02ca4 -256 -256 -1 -0 -0 -2 -0x2d15 -0xe8168fab -256 -256 -0 -0 -0 -7 -0x6853 -0x6b14b9a6 -256 -256 -0 -0 -0 -4 -0x817d -0x274b7dfd -256 -256 -0 -0 -0 -1 -0x5237 -0xb6662363 -256 -256 -0 -0 -0 -1 -0xe4b2 -0x8e2924b1 -256 -256 -1 -0 -0 -3 -0xcc7c -0xed22c6ff -256 -256 -0 -0 -0 -2 -0xcf6b -0xe18ad669 -256 -256 -0 -0 -0 -5 -0x1d69 -0xa2583170 -256 -256 -1 -0 -0 -8 -0x3add -0xa6b363cd -256 -256 -1 -0 -0 -6 -0x2e5d -0x16e12863 -256 -256 -0 -0 -0 -4 -0x2473 -0xe002308e -256 -256 -0 -0 -0 -4 -0x6c7f -0xe305e425 -256 -256 -1 -0 -0 -9 -0x8a19 -0x1ff716b2 -256 -256 -0 -0 -0 -10 -0xbc80 -0x8f24df05 -256 -256 -0 -0 -0 -8 -0x7c18 -0xee4970df -256 -256 -0 -0 -0 -3 -0x254c -0x2995daa8 -256 -256 -1 -0 -0 -5 -0x793 -0x45e8069a -256 -256 -1 -0 -0 -1 -0xae78 -0xef122cf -256 -256 -1 -0 -0 -8 -0x53f7 -0xcccc9ce5 -256 -256 -1 -0 -0 -7 -0x7bfb -0x3cadba15 -256 -256 -1 -0 -0 -2 -0xe294 -0xf0d10a9c -256 -256 -0 -0 -0 -3 -0x1648 -0xbe65c039 -256 -256 -1 -0 -0 -10 -0xe3bd -0xb83f0876 -256 -256 -1 -0 -0 -4 -0x3635 -0xa3a2104f -256 -256 -0 -0 -0 -7 -0x5624 -0xe470c8b1 -256 -256 -1 -0 -0 -5 -0xb14b -0x17c29f -256 -256 -0 -0 -0 -1 -0x7168 -0x6254405b -256 -256 -0 -0 -0 -2 -0x484e -0x11d6ddb0 -256 -256 -1 -0 -0 -4 -0xa5b3 -0x9c4e59f3 -256 -256 -0 -0 -0 -1 -0x46a -0xb2b54a59 -256 -256 -1 -0 -0 -8 -0x97a0 -0x45641944 -256 -256 -1 -0 -0 -4 -0x70ac -0xde35c0f1 -256 -256 -1 -0 -0 -2 -0xe8a5 -0xdaf70953 -256 -256 -1 -0 -0 -2 -0x5ed8 -0x7fd22131 -256 -256 -1 -0 -0 -2 -0x815 -0x22bd15d5 -256 -256 -0 -0 -0 -9 -0xe77d -0x640a70b8 -256 -256 -0 -0 -0 -6 -0x36a -0x9bba9b83 -256 -256 -1 -0 -0 -6 -0x619 -0x5c5d825 -256 -256 -1 -0 -0 -6 -0x3fe9 -0xead013e0 -256 -256 -0 -0 -0 -9 -0x3a15 -0x71a5700b -256 -256 -1 -0 -0 -6 -0xc61a -0x6bd73892 -256 -256 -1 -0 -0 -2 -0x26ad -0xf4e6a153 -256 -256 -0 -0 -0 -9 -0x76c3 -0x57856124 -256 -256 -0 -0 -0 -6 -0x55a7 -0xe5160073 -256 -256 -0 -0 -0 -7 -0x293d -0xaf3d3da6 -256 -256 -0 -0 -0 -7 -0xf70f -0x7fb3804c -256 -256 -0 -0 -0 -3 -0xd6e -0xa1d41d1b -256 -256 -0 -0 -0 -8 -0x17cb -0x5c572bb3 -256 -256 -0 -0 -0 -3 -0x405d -0x2c44e42f -256 -256 -0 -0 -0 -7 -0xb651 -0x1255df08 -256 -256 -1 -0 -0 -3 -0x36cd -0x170f3b88 -256 -256 -1 -0 -0 -7 -0x8350 -0xe3ad539f -256 -256 -1 -0 -0 -6 -0x9cf2 -0x317430d9 -256 -256 -0 -0 -0 -1 -0x8ea8 -0xdc3d7c20 -256 -256 -1 -0 -0 -8 -0x91a2 -0x159c1654 -256 -256 -0 -0 -0 -7 -0x4fcc -0xdaa30cd9 -256 -256 -0 -0 -0 -7 -0xfccd -0xade0d320 -256 -256 -0 -0 -0 -2 -0x8cb1 -0xc80192bc -256 -256 -1 -0 -0 -6 -0x2a92 -0x6ac96ae4 -256 -256 -0 -0 -0 -6 -0xf866 -0x1abe775 -256 -256 -1 -0 -0 -8 -0x43ef -0x9a22c59b -256 -256 -0 -0 -0 -3 -0xf086 -0x58283f9e -256 -256 -0 -0 -0 -5 -0x81db -0x38a4cadf -256 -256 -0 -0 -0 -7 -0xed34 -0xea65952b -256 -256 -0 -0 -0 -8 -0xafec -0x2459443d -256 -256 -1 -0 -0 -4 -0x5eba -0xe895e37e -256 -256 -0 -0 -0 -5 -0x3274 -0x6177516e -256 -256 -0 -0 -0 -5 -0xf344 -0xdfd4165c -256 -256 -0 -0 -0 -4 -0x7fd8 -0x9b115309 -256 -256 -0 -0 -0 -5 -0xab62 -0x46fbee6 -256 -256 -0 -0 -0 -6 -0x1667 -0x55976e1a -256 -256 -1 -0 -0 -4 -0xca87 -0xef90f65b -256 -256 -0 -0 -0 -5 -0x682e -0x1d5eb587 -256 -256 -0 -0 -0 -7 -0x1553 -0x1082f9f9 -256 -256 -1 -0 -0 -8 -0x857f -0x4f503b20 -256 -256 -1 -0 -0 -5 -0x8505 -0xafed7f64 -256 -256 -1 -0 -0 -3 -0xad0 -0x8d8091cb -256 -256 -1 -0 -0 -1 -0x30d8 -0x8ac856f1 -256 -256 -0 -0 -0 -1 -0xe593 -0xd0d0ebd4 -256 -256 -1 -0 -0 -4 -0xf1a0 -0x1f5521e5 -256 -256 -0 -0 -0 -7 -0xf6db -0xac21239a -256 -256 -0 -0 -0 -5 -0xe653 -0xf74086fb -256 -256 -1 -0 -0 -7 -0xbd2b -0xbc1d40fa -256 -256 -1 -0 -0 -9 -0x3da9 -0x803b2d4 -256 -256 -0 -0 -0 -7 -0x72ad -0x4445ab03 -256 -256 -0 -0 -0 -7 -0x10aa -0x2e8dcc5f -256 -256 -1 -0 -0 -2 -0x4e07 -0x743a855f -256 -256 -1 -0 -0 -9 -0x8f71 -0xb4b7643e -256 -256 -0 -0 -0 -4 -0xeb86 -0x4a25c72b -256 -256 -0 -0 -0 -3 -0x6da2 -0xcba3fdb5 -256 -256 -1 -0 -0 -2 -0xe09a -0x2b1d44de -256 -256 -0 -0 -0 -8 -0xf5e5 -0x72561a77 -256 -256 -1 -0 -0 -9 -0x3f67 -0x2073e911 -256 -256 -0 -0 -0 -3 -0xa071 -0x1535beb5 -256 -256 -0 -0 -0 -9 -0x3576 -0xb073304c -256 -256 -0 -0 -0 -7 -0x6e51 -0x4554a3b5 -256 -256 -0 -0 -0 -7 -0x17f5 -0x621b44f0 -256 -256 -1 -0 -0 -7 -0x2951 -0x147e3f04 -256 -256 -0 -0 -0 -3 -0xcaf1 -0x79688d7a -256 -256 -0 -0 -0 -9 -0x92b8 -0x435880ff -256 -256 -0 -0 -0 -3 -0x7c83 -0xcf3fe941 -256 -256 -1 -0 -0 -6 -0x4a4e -0x2dfc70a8 -256 -256 -0 -0 -0 -2 -0xadcc -0x3576d5f1 -256 -256 -1 -0 -0 -1 -0xe511 -0x73420f57 -256 -256 -0 -0 -0 -8 -0x1a6f -0x3aa3588 -256 -256 -1 -0 -0 -10 -0xd3df -0xf30fcb20 -256 -256 -0 -0 -0 -5 -0xd4f4 -0x8b1b951b -256 -256 -0 -0 -0 -3 -0xc435 -0xf53449d0 -256 -256 -0 -0 -0 -10 -0x12f9 -0xe632ebad -256 -256 -1 -0 -0 -2 -0x6d5b -0x896e01b6 -256 -256 -1 -0 -0 -1 -0xfa3c -0x996d50b2 -256 -256 -0 -0 -0 -2 -0xf92b -0xd5c0d594 -256 -256 -1 -0 -0 -7 -0x56df -0xda09968b -256 -256 -0 -0 -0 -10 -0x3e1e -0xc76ec9b2 -256 -256 -1 -0 -0 -10 -0x3a9a -0x848c1ca6 -256 -256 -0 -0 -0 -3 -0x2a16 -0x32235772 -256 -256 -0 -0 -0 -4 -0x76c -0x8f6fef85 -256 -256 -1 -0 -0 -10 -0xe931 -0x4e865420 -256 -256 -0 -0 -0 -9 -0xcace -0x71fccddb -256 -256 -1 -0 -0 -9 -0x18f3 -0x1e833d8c -256 -256 -1 -0 -0 -6 -0xa7dd -0xc8f6ff18 -256 -256 -1 -0 -0 -2 -0xe6e1 -0x5bc93c7c -256 -256 -0 -0 -0 -9 -0x51c2 -0x7710019a -256 -256 -0 -0 -0 -5 -0xa0a8 -0x152f05d0 -256 -256 -1 -0 -0 -9 -0x8b9c -0x177610dc -256 -256 -1 -0 -0 -2 -0xcfb3 -0xceb9c448 -256 -256 -0 -0 -0 -3 -0x919e -0x56c61897 -256 -256 -0 -0 -0 -1 -0xecc6 -0xbab96fb8 -256 -256 -1 -0 -0 -2 -0xc665 -0xc3e9759 -256 -256 -1 -0 -0 -2 -0xb4f5 -0x896daedb -256 -256 -1 -0 -0 -7 -0x8b43 -0xf5796092 -256 -256 -0 -0 -0 -3 -0x58e6 -0x148d82cd -256 -256 -1 -0 -0 -3 -0x73a6 -0x2fdfa9cc -256 -256 -1 -0 -0 -8 -0x9444 -0xaf849324 -256 -256 -1 -0 -0 -6 -0x6a9 -0xaecbd5a5 -256 -256 -1 -0 -0 -8 -0xb2b4 -0xae905c50 -256 -256 -1 -0 -0 -10 -0x3183 -0xbd483c85 -256 -256 -1 -0 -0 -2 -0x56bc -0xe69d5880 -256 -256 -0 -0 -0 -3 -0x5e53 -0xfcd0ec51 -256 -256 -1 -0 -0 -3 -0x1809 -0x1e35feff -256 -256 -1 -0 -0 -4 -0x59e2 -0x9f439351 -256 -256 -1 -0 -0 -2 -0xecc0 -0xa2d6d7f9 -256 -256 -0 -0 -0 -3 -0xe1b8 -0xdc50c437 -256 -256 -1 -0 -0 -7 -0x9f5c -0xd24b150b -256 -256 -1 -0 -0 -8 -0x759b -0x4eae49c4 -256 -256 -0 -0 -0 -10 -0x5b6a -0xc86b217b -256 -256 -1 -0 -0 -7 -0x1c48 -0x14438da3 -256 -256 -1 -0 -0 -1 -0xf390 -0x6093d53b -256 -256 -0 -0 -0 -9 -0x1112 -0xd22f2eb2 -256 -256 -1 -0 -0 -2 -0xa6d0 -0x9da1b280 -256 -256 -1 -0 -0 -9 -0xee6e -0x987eb9c0 -256 -256 -1 -0 -0 -9 -0x5a92 -0x1adaeb84 -256 -256 -0 -0 -0 -8 -0x434b -0x9ee6170d -256 -256 -0 -0 -0 -1 -0x62e7 -0x43921f27 -256 -256 -1 -0 -0 -7 -0xaf67 -0xca907db2 -256 -256 -1 -0 -0 -5 -0x835d -0x4ae2d185 -256 -256 -1 -0 -0 -2 -0xe065 -0xd670b54e -256 -256 -1 -0 -0 -3 -0xcab8 -0xd643368a -256 -256 -1 -0 -0 -8 -0x6e26 -0x5892dff9 -256 -256 -0 -0 -0 -4 -0xe89d -0x690724cf -256 -256 -1 -0 -0 -4 -0xfbef -0xeea82d10 -256 -256 -0 -0 -0 -8 -0xc4a2 -0x9f0841e9 -256 -256 -0 -0 -0 -1 -0x6a0f -0xb6cb3bc4 -256 -256 -1 -0 -0 -4 -0x629e -0x87b3afec -256 -256 -0 -0 -0 -6 -0x504c -0xca6cf4ce -256 -256 -0 -0 -0 -9 -0xced7 -0x5ad2f1cc -256 -256 -1 -0 -0 -5 -0x37ce -0xdaf1a02d -256 -256 -0 -0 -0 -1 -0xb7a8 -0xbecd6692 -256 -256 -1 -0 -0 -6 -0x1bfc -0x9272275e -256 -256 -1 -0 -0 -4 -0xe2ec -0x5e949ad4 -256 -256 -0 -0 -0 -3 -0xdb15 -0xd72aa0aa -256 -256 -0 -0 -0 -6 -0xb843 -0x8e0a9df1 -256 -256 -1 -0 -0 -2 -0xf45e -0xb2a58302 -256 -256 -0 -0 -0 -1 -0x9cb4 -0x81d874d6 -256 -256 -0 -0 -0 -1 -0x5cf0 -0x23592d13 -256 -256 -0 -0 -0 -7 -0x27d8 -0x84fe1cd2 -256 -256 -0 -0 -0 -5 -0x489e -0x86c7195a -256 -256 -1 -0 -0 -10 -0x8bbd -0xa863b546 -256 -256 -0 -0 -0 -7 -0x1d49 -0xf2d63ee2 -256 -256 -1 -0 -0 -8 -0x7324 -0x65f00ad5 -256 -256 -0 -0 -0 -8 -0xbadf -0x1a6b50eb -256 -256 -1 -0 -0 -7 -0x4d12 -0x70cfacaa -256 -256 -0 -0 -0 -5 -0xcc18 -0xa7dbdf86 -256 -256 -0 -0 -0 -1 -0xa85c -0x3dff7101 -256 -256 -0 -0 -0 -2 -0x1455 -0xa224181c -256 -256 -0 -0 -0 -7 -0xcee4 -0x25d4ca67 -256 -256 -0 -0 -0 -9 -0xd287 -0xe4f16f6c -256 -256 -1 -0 -0 -9 -0x315e -0x2fd20556 -256 -256 -0 -0 -0 -8 -0x3a6a -0x1b2d7424 -256 -256 -0 -0 -0 -1 -0x5ca1 -0x998e9bbd -256 -256 -1 -0 -0 -9 -0x6c0a -0x780e2d24 -256 -256 -0 -0 -0 -7 -0xd73 -0x7533f971 -256 -256 -1 -0 -0 -10 -0x307a -0x8d976159 -256 -256 -1 -0 -0 -10 -0x3da4 -0x21693a1f -256 -256 -0 -0 -0 -7 -0x748c -0x44da363b -256 -256 -0 -0 -0 -6 -0x62b9 -0xa17bb2fc -256 -256 -0 -0 -0 -3 -0x915b -0x39a42c06 -256 -256 -0 -0 -0 -5 -0x5bbe -0xf15db59a -256 -256 -1 -0 -0 -7 -0x1a01 -0xd6ea5cbc -256 -256 -0 -0 -0 -6 -0xc98b -0x99f0a71b -256 -256 -1 -0 -0 -4 -0x762d -0xfe5c097f -256 -256 -0 -0 -0 -7 -0x49 -0xd5f863cd -256 -256 -1 -0 -0 -6 -0xd679 -0xe121a8ff -256 -256 -1 -0 -0 -9 -0x6c3f -0x2b851149 -256 -256 -0 -0 -0 -5 -0x5d33 -0x4c829d22 -256 -256 -1 -0 -0 -8 -0x9bb -0xcf1d5a34 -256 -256 -1 -0 -0 -4 -0xc2f2 -0x9351ecfe -256 -256 -1 -0 -0 -3 -0x10b3 -0x5439ecd8 -256 -256 -1 -0 -0 -5 -0xab1b -0xfdbbed9e -256 -256 -0 -0 -0 -3 -0xba3 -0xb587ed74 -256 -256 -1 -0 -0 -3 -0x1888 -0xf0a95656 -256 -256 -0 -0 -0 -3 -0xe60c -0x9d3e3ff3 -256 -256 -1 -0 -0 -3 -0x1297 -0x135ff87d -256 -256 -1 -0 -0 -9 -0x62cc -0x87a6ded9 -256 -256 -0 -0 -0 -10 -0x99b7 -0xb94c7b4e -256 -256 -1 -0 -0 -9 -0x1c9f -0xdaa5a530 -256 -256 -1 -0 -0 -7 -0xe69c -0xe769b240 -256 -256 -1 -0 -0 -5 -0xd7e0 -0x1d5146f1 -256 -256 -1 -0 -0 -8 -0x354d -0x83ac67d -256 -256 -1 -0 -0 -5 -0xa863 -0x4154213d -256 -256 -0 -0 -0 -10 -0x8269 -0xfbadda0 -256 -256 -1 -0 -0 -1 -0xd8d2 -0xe6b59965 -256 -256 -1 -0 -0 -7 -0x562f -0xb5e20248 -256 -256 -0 -0 -0 -5 -0xbb41 -0x85221e5c -256 -256 -1 -0 -0 -5 -0xe709 -0x6950eec8 -256 -256 -0 -0 -0 -2 -0xa842 -0x891f1310 -256 -256 -0 -0 -0 -1 -0x92db -0xb7323f8c -256 -256 -0 -0 -0 -10 -0x350a -0xcc49e95d -256 -256 -0 -0 -0 -6 -0xb199 -0x27916d8a -256 -256 -1 -0 -0 -5 -0x6743 -0x5b265107 -256 -256 -0 -0 -0 -3 -0x465c -0x545e5a6e -256 -256 -0 -0 -0 -8 -0xdef5 -0x14df3be1 -256 -256 -1 -0 -0 -9 -0xdc43 -0x7b45ffde -256 -256 -1 -0 -0 -9 -0x6120 -0xbbbafcb7 -256 -256 -0 -0 -0 -3 -0x410a -0xd340c297 -256 -256 -1 -0 -0 -3 -0x4ac4 -0x41589274 -256 -256 -1 -0 -0 -6 -0x7c34 -0xdd949ed1 -256 -256 -1 -0 -0 -3 -0x5c45 -0x3d6b895d -256 -256 -1 -0 -0 -10 -0x6849 -0x37ed07e5 -256 -256 -1 -0 -0 -1 -0x1bbc -0x9f9d4fb5 -256 -256 -1 -0 -0 -3 -0xeef7 -0x46ba1887 -256 -256 -1 -0 -0 -4 -0x391c -0xc065b10f -256 -256 -0 -0 -0 -4 -0xe220 -0x2a2d32bc -256 -256 -1 -0 -0 -8 -0x66f5 -0xe008b9fa -256 -256 -0 -0 -0 -8 -0x2586 -0x2e16be3b -256 -256 -0 -0 -0 -6 -0x164a -0x80a05dd7 -256 -256 -1 -0 -0 -8 -0xbc7e -0xce28c9f6 -256 -256 -1 -0 -0 -1 -0x3b4e -0x458f85de -256 -256 -1 -0 -0 -2 -0x444a -0x85c91f21 -256 -256 -1 -0 -0 -8 -0x5bf5 -0xa5d33d26 -256 -256 -0 -0 -0 -7 -0x7798 -0xdc96ace1 -256 -256 -1 -0 -0 -6 -0xdb2c -0x31510ec3 -256 -256 -0 -0 -0 -5 -0x497f -0x4d781530 -256 -256 -1 -0 -0 -3 -0xaf01 -0xfb1dad04 -256 -256 -0 -0 -0 -6 -0xcff1 -0x7eb8a90a -256 -256 -1 -0 -0 -5 -0xe0cb -0xa3decf63 -256 -256 -1 -0 -0 -1 -0xbdf4 -0xad21a275 -256 -256 -0 -0 -0 -9 -0xd9af -0xe6af70bc -256 -256 -1 -0 -0 -2 -0xd51f -0x3ff5c71a -256 -256 -1 -0 -0 -10 -0xa88b -0x2fdabbb4 -256 -256 -1 -0 -0 -8 -0x35b2 -0x9fd1547b -256 -256 -0 -0 -0 -2 -0xd331 -0xb3473bf1 -256 -256 -1 -0 -0 -1 -0x9785 -0x6ec00259 -256 -256 -0 -0 -0 -10 -0x8dbe -0x8e368adb -256 -256 -0 -0 -0 -7 -0xfaee -0xd2113694 -256 -256 -1 -0 -0 -8 -0x7c01 -0xdef4d09a -256 -256 -1 -0 -0 -9 -0xbb94 -0x3df7b32a -256 -256 -1 -0 -0 -6 -0x61d3 -0x10a7957b -256 -256 -1 -0 -0 -1 -0xecde -0xac555549 -256 -256 -1 -0 -0 -2 -0xe741 -0x92dc860a -256 -256 -1 -0 -0 -4 -0xb298 -0xf8ffbb66 -256 -256 -1 -0 -0 -10 -0xa846 -0xbb7e2ec8 -256 -256 -1 -0 -0 -10 -0x891 -0x833ad2e4 -256 -256 -1 -0 -0 -3 -0x663c -0x3e26d11e -256 -256 -1 -0 -0 -1 -0x5e78 -0xb86cc75c -256 -256 -1 -0 -0 -3 -0x919 -0x5168cc98 -256 -256 -0 -0 -0 -6 -0xf992 -0x88ddfb65 -256 -256 -1 -0 -0 -6 -0x92f -0xf0024c12 -256 -256 -1 -0 -0 -1 -0x987c -0xee371dfa -256 -256 -1 -0 -0 -3 -0xe063 -0xa887095b -256 -256 -1 -0 -0 -2 -0x544 -0x83fb5c86 -256 -256 -0 -0 -0 -9 -0xa963 -0x3f16faa6 -256 -256 -0 -0 -0 -2 -0xf2e7 -0x36240ba1 -256 -256 -1 -0 -0 -5 -0xbc4b -0xb2bff676 -256 -256 -0 -0 -0 -6 -0x83c9 -0x4eea54f0 -256 -256 -0 -0 -0 -3 -0x560f -0x8d0f02fd -256 -256 -0 -0 -0 -5 -0x34fa -0x9c962612 -256 -256 -1 -0 -0 -3 -0x70ee -0xe78e7183 -256 -256 -0 -0 -0 -2 -0xc730 -0x49b627e1 -256 -256 -1 -0 -0 -7 -0x766e -0xa1f95f39 -256 -256 -1 -0 -0 -2 -0xd2ef -0xa35c2b59 -256 -256 -0 -0 -0 -2 -0xd237 -0x5036f34f -256 -256 -1 -0 -0 -3 -0x5b23 -0x182c308f -256 -256 -0 -0 -0 -2 -0xcd25 -0x3224283b -256 -256 -0 -0 -0 -3 -0x3925 -0x88209fe9 -256 -256 -0 -0 -0 -8 -0xca49 -0xa6680d8e -256 -256 -1 -0 -0 -10 -0xa1a8 -0xcaef9588 -256 -256 -1 -0 -0 -10 -0xa697 -0xbd7b0cde -256 -256 -0 -0 -0 -7 -0x689a -0x7de3bbb1 -256 -256 -0 -0 -0 -8 -0x5524 -0x1e00b286 -256 -256 -1 -0 -0 -3 -0xd885 -0x3f819669 -256 -256 -1 -0 -0 -2 -0xf1b6 -0x35c68c36 -256 -256 -1 -0 -0 -2 -0x9d79 -0x2d5d5e2d -256 -256 -0 -0 -0 -3 -0xc7af -0x1f21acca -256 -256 -1 -0 -0 -9 -0xf79f -0x3176ca96 -256 -256 -0 -0 -0 -10 -0x517 -0x271ae3d3 -256 -256 -0 -0 -0 -2 -0xe3bb -0x9b0e100 -256 -256 -1 -0 -0 -4 -0x10b5 -0xb5e51a62 -256 -256 -0 -0 -0 -4 -0x9005 -0xe8bc100a -256 -256 -0 -0 -0 -9 -0x853c -0x118419d9 -256 -256 -1 -0 -0 -3 -0x386f -0x5da0e412 -256 -256 -0 -0 -0 -6 -0xc93e -0x8dba4710 -256 -256 -1 -0 -0 -1 -0x499f -0xae839181 -256 -256 -0 -0 -0 -10 -0x2519 -0x301679e5 -256 -256 -0 -0 -0 -5 -0x6fe4 -0x767839f5 -256 -256 -1 -0 -0 -10 -0x6d -0xf2f62e84 -256 -256 -1 -0 -0 -4 -0xda9 -0x97724aa8 -256 -256 -0 -0 -0 -1 -0x65d3 -0xb41c15f8 -256 -256 -0 -0 -0 -2 -0x3046 -0x38edfba9 -256 -256 -0 -0 -0 -5 -0x9f57 -0x8abfaa25 -256 -256 -1 -0 -0 -7 -0xaab2 -0xb7e6b978 -256 -256 -0 -0 -0 -9 -0xe802 -0x9ae24bf5 -256 -256 -0 -0 -0 -2 -0x840a -0xe08dc6f6 -256 -256 -1 -0 -0 -6 -0x5108 -0x8257ec0d -256 -256 -0 -0 -0 -9 -0xab12 -0x974c4f5b -256 -256 -0 -0 -0 -9 -0xf3 -0x9fe64e7f -256 -256 -0 -0 -0 -5 -0x920f -0xf986b3e6 -256 -256 -0 -0 -0 -10 -0x98a3 -0x21766d13 -256 -256 -1 -0 -0 -5 -0x7875 -0x3847c250 -256 -256 -1 -0 -0 -9 -0xe4ea -0x892439c7 -256 -256 -0 -0 -0 -7 -0x5d54 -0x7a3f4691 -256 -256 -0 -0 -0 -2 -0x33ee -0xfa9aef52 -256 -256 -1 -0 -0 -3 -0xc6a2 -0xa9a80380 -256 -256 -0 -0 -0 -4 -0x2654 -0x23bf921f -256 -256 -1 -0 -0 -7 -0x3c15 -0xd19a5c5d -256 -256 -0 -0 -0 -2 -0xe464 -0xd69382e2 -256 -256 -0 -0 -0 -2 -0x2fbd -0x83ecaefc -256 -256 -0 -0 -0 -5 -0x7b16 -0xc55f9296 -256 -256 -0 -0 -0 -5 -0x925a -0xfdb4316a -256 -256 -0 -0 -0 -2 -0x4500 -0xac6de286 -256 -256 -0 -0 -0 -7 -0x4660 -0x58662a25 -256 -256 -1 -0 -0 -1 -0xa326 -0x61850e23 -256 -256 -1 -0 -0 -4 -0x4c0 -0xc8716fd6 -256 -256 -0 -0 -0 -10 -0x113f -0x87e4021e -256 -256 -0 -0 -0 -5 -0x5a82 -0x56f6083f -256 -256 -0 -0 -0 -9 -0xb1bf -0x79aa1b44 -256 -256 -1 -0 -0 -3 -0xa2c -0x4e82cfa3 -256 -256 -1 -0 -0 -2 -0x3ff -0x74f83bdb -256 -256 -1 -0 -0 -7 -0x22fb -0x398ab20c -256 -256 -1 -0 -0 -9 -0x7e21 -0x74a9fdfc -256 -256 -1 -0 -0 -8 -0x1456 -0x78e48343 -256 -256 -0 -0 -0 -5 -0xe26d -0x773a93bc -256 -256 -0 -0 -0 -9 -0x976d -0x74fb4b11 -256 -256 -0 -0 -0 -2 -0xc11e -0x52a61420 -256 -256 -1 -0 -0 -3 -0x9103 -0xa8a84537 -256 -256 -1 -0 -0 -10 -0x5e86 -0xc512f22c -256 -256 -1 -0 -0 -3 -0x9ea7 -0xc9cbf5db -256 -256 -1 -0 -0 -5 -0x49bf -0xb356ae3 -256 -256 -1 -0 -0 -1 -0xdc93 -0x37f8cc4c -256 -256 -1 -0 -0 -7 -0x7237 -0xac289841 -256 -256 -0 -0 -0 -4 -0xed9d -0xe7fb7508 -256 -256 -1 -0 -0 -7 -0x227 -0xd162a651 -256 -256 -0 -0 -0 -8 -0xe80e -0xdda9012a -256 -256 -0 -0 -0 -9 -0x5a38 -0x7e7b745a -256 -256 -1 -0 -0 -1 -0x75c3 -0x2f48700e -256 -256 -0 -0 -0 -5 -0x12c -0x5378a5af -256 -256 -1 -0 -0 -1 -0xf68c -0x11070a3d -256 -256 -1 -0 -0 -4 -0xdd7f -0x6302d75d -256 -256 -0 -0 -0 -5 -0x813f -0xfb833fc9 -256 -256 -1 -0 -0 -9 -0x12 -0x2889f62f -256 -256 -1 -0 -0 -8 -0x3898 -0x94b9b235 -256 -256 -0 -0 -0 -10 -0x3ea9 -0x44d05c83 -256 -256 -1 -0 -0 -7 -0x2e -0x2da2c06e -256 -256 -1 -0 -0 -5 -0x73a9 -0x14006c29 -256 -256 -0 -0 -0 -6 -0xca6f -0xbc95ede7 -256 -256 -0 -0 -0 -4 -0xf545 -0xaf116f71 -256 -256 -1 -0 -0 -6 -0x1c37 -0xde8c1947 -256 -256 -1 -0 -0 -6 -0x48e8 -0xdeea7c25 -256 -256 -0 -0 -0 -7 -0x744f -0x3175a962 -256 -256 -0 -0 -0 -6 -0xaf2 -0x1b1444c5 -256 -256 -0 -0 -0 -10 -0xc15b -0x61aab867 -256 -256 -0 -0 -0 -3 -0xfde9 -0xc338b630 -256 -256 -1 -0 -0 -7 -0x907d -0x1ce048 -256 -256 -0 -0 -0 -4 -0x72e4 -0x73a02ba1 -256 -256 -1 -0 -0 -7 -0x9cb2 -0xc13611ea -256 -256 -0 -0 -0 -8 -0x62de -0x4344b9ef -256 -256 -1 -0 -0 -10 -0xd89a -0xb0c635d5 -256 -256 -0 -0 -0 -9 -0xc404 -0x75cdfaae -256 -256 -0 -0 -0 -3 -0x27a1 -0xbd4c5e48 -256 -256 -1 -0 -0 -2 -0xb9c8 -0x2d5a8d5b -256 -256 -1 -0 -0 -9 -0xfa0b -0x5373196 -256 -256 -0 -0 -0 -7 -0xc587 -0x78954a70 -256 -256 -1 -0 -0 -1 -0xabb1 -0x5f3674ee -256 -256 -1 -0 -0 -3 -0x41dc -0x18b5f6f2 -256 -256 -0 -0 -0 -8 -0x7369 -0xb25d2402 -256 -256 -1 -0 -0 -10 -0xda93 -0x76ba3a2a -256 -256 -1 -0 -0 -3 -0x538 -0xec9635c8 -256 -256 -1 -0 -0 -4 -0x5611 -0xe0a13fa2 -256 -256 -0 -0 -0 -1 -0x5a3 -0xcd927616 -256 -256 -0 -0 -0 -9 -0xea95 -0x159a36fa -256 -256 -0 -0 -0 -7 -0x3362 -0xb32cee9 -256 -256 -0 -0 -0 -2 -0x2af6 -0xfd94e975 -256 -256 -1 -0 -0 -5 -0x43e6 -0x1936b1dd -256 -256 -0 -0 -0 -7 -0x4344 -0x6c0249c3 -256 -256 -0 -0 -0 -6 -0x3ffd -0x85180a3a -256 -256 -0 -0 -0 -8 -0x145b -0xdd4fcfb6 -256 -256 -0 -0 -0 -10 -0x12c5 -0x8ce351ad -256 -256 -0 -0 -0 -7 -0x4774 -0xe0295439 -256 -256 -1 -0 -0 -4 -0x1120 -0xf14297a9 -256 -256 -1 -0 -0 -1 -0xcf37 -0x460234f2 -256 -256 -0 -0 -0 -7 -0xe2ce -0x2565cb3b -256 -256 -0 -0 -0 -3 -0xd3a0 -0xd4f89c86 -256 -256 -0 -0 -0 -7 -0x5c22 -0xe490115f -256 -256 -0 -0 -0 -5 -0xebbc -0xa6395966 -256 -256 -1 -0 -0 -2 -0x2196 -0xf858fc2c -256 -256 -0 -0 -0 -1 -0xc1c8 -0x4650d409 -256 -256 -1 -0 -0 -3 -0xee64 -0x24027ee1 -256 -256 -0 -0 -0 -3 -0xd470 -0x82ef0de3 -256 -256 -0 -0 -0 -9 -0x6040 -0x7a7b035b -256 -256 -1 -0 -0 -4 -0x29bf -0x6062bc31 -256 -256 -1 -0 -0 -9 -0x9ba1 -0x71bf66cf -256 -256 -0 -0 -0 -9 -0xa54d -0xbd7f5117 -256 -256 -0 -0 -0 -5 -0xb624 -0x4323e469 -256 -256 -1 -0 -0 -8 -0xebe5 -0x6a2a10ff -256 -256 -1 -0 -0 -9 -0xc272 -0xecb35d1d -256 -256 -1 -0 -0 -2 -0x1e4c -0x2cccf20a -256 -256 -1 -0 -0 -3 -0xcd72 -0x9bc348a0 -256 -256 -1 -0 -0 -10 -0x89e0 -0xc8dc70bf -256 -256 -0 -0 -0 -9 -0x913a -0xd8ef1de9 -256 -256 -1 -0 -0 -7 -0x6f74 -0xd6534ac6 -256 -256 -0 -0 -0 -10 -0x1b08 -0xb6035f24 -256 -256 -1 -0 -0 -3 -0x9b79 -0x69f3a8b3 -256 -256 -1 -0 -0 -2 -0x49aa -0xa366d7cc -256 -256 -1 -0 -0 -8 -0x2dc1 -0x7748555f -256 -256 -1 -0 -0 -6 -0x6d64 -0xcd903b72 -256 -256 -0 -0 -0 -3 -0x34d5 -0xf05bb2e -256 -256 -0 -0 -0 -10 -0xe0b -0x69663ec3 -256 -256 -0 -0 -0 -2 -0x1be4 -0xce4d92df -256 -256 -0 -0 -0 -8 -0xf5ae -0x148bb27f -256 -256 -0 -0 -0 -9 -0x6d92 -0x304e85af -256 -256 -1 -0 -0 -1 -0x796a -0x9ff25fee -256 -256 -0 -0 -0 -8 -0xad4 -0x77ee843 -256 -256 -0 -0 -0 -1 -0xb4fb -0xf6a318e7 -256 -256 -1 -0 -0 -2 -0x6076 -0xf0b382c8 -256 -256 -0 -0 -0 -7 -0xa5f0 -0x3a18081f -256 -256 -1 -0 -0 -9 -0x5fdf -0x2f1d90be -256 -256 -0 -0 -0 -9 -0xc6b1 -0x1721756d -256 -256 -1 -0 -0 -8 -0xb350 -0xb789ef56 -256 -256 -0 -0 -0 -5 -0xf31e -0x90506744 -256 -256 -1 -0 -0 -2 -0x1a64 -0xc41496ae -256 -256 -1 -0 -0 -8 -0xfdc7 -0x4003744e -256 -256 -0 -0 -0 -9 -0xa003 -0x339c257f -256 -256 -0 -0 -0 -9 -0x8ea3 -0xc676db91 -256 -256 -0 -0 -0 -4 -0x3afe -0x9d31b6d8 -256 -256 -1 -0 -0 -3 -0x1aa1 -0x22d40300 -256 -256 -0 -0 -0 -6 -0xa527 -0xf8f83877 -256 -256 -0 -0 -0 -2 -0xb58a -0xcaa5b003 -256 -256 -1 -0 -0 -2 -0x8c2b -0x7a190ae5 -256 -256 -1 -0 -0 -8 -0x4a0a -0xe3141da6 -256 -256 -0 -0 -0 -9 -0xa87f -0x686dad82 -256 -256 -1 -0 -0 -1 -0x8984 -0xfc3c3cc5 -256 -256 -1 -0 -0 -9 -0x7a1a -0x880514dc -256 -256 -0 -0 -0 -2 -0x9df3 -0xa9d13433 -256 -256 -0 -0 -0 -9 -0xb84c -0x1fa595cb -256 -256 -1 -0 -0 -10 -0x291a -0xbb1f56fa -256 -256 -0 -0 -0 -1 -0xffe4 -0xdd3db037 -256 -256 -1 -0 -0 -5 -0x5755 -0x7139cc47 -256 -256 -1 -0 -0 -8 -0xffd1 -0xd6089877 -256 -256 -1 -0 -0 -7 -0x77fe -0xe319ae8a -256 -256 -1 -0 -0 -1 -0x30e -0xda7832ff -256 -256 -0 -0 -0 -8 -0xe53b -0x75a62efe -256 -256 -0 -0 -0 -9 -0xe53f -0x2a8d7b10 -256 -256 -0 -0 -0 -3 -0xec67 -0x558bbc1a -256 -256 -1 -0 -0 -3 -0x9271 -0x52e24946 -256 -256 -1 -0 -0 -4 -0xa235 -0x1a7f10a0 -256 -256 -0 -0 -0 -8 -0xb74a -0x8ce4cd95 -256 -256 -1 -0 -0 -3 -0x494b -0x9481977d -256 -256 -1 -0 -0 -10 -0xe62e -0x900a7736 -256 -256 -1 -0 -0 -3 -0xc3ce -0x9c56bc26 -256 -256 -1 -0 -0 -10 -0x7f40 -0xcde3ccf5 -256 -256 -1 -0 -0 -10 -0x7d2d -0x229b3285 -256 -256 -0 -0 -0 -3 -0xcea -0xc29c046 -256 -256 -1 -0 -0 -3 -0xd33d -0xa5f10d31 -256 -256 -1 -0 -0 -2 -0x823c -0x3597da2d -256 -256 -0 -0 -0 -7 -0xc475 -0x75980b18 -256 -256 -1 -0 -0 -8 -0x7596 -0xafaea162 -256 -256 -0 -0 -0 -6 -0x5693 -0xc15431ff -256 -256 -1 -0 -0 -8 -0xd896 -0x617f93cc -256 -256 -0 -0 -0 -2 -0xe5c2 -0x99e7331a -256 -256 -0 -0 -0 -4 -0x4161 -0x1215644 -256 -256 -1 -0 -0 -1 -0x7350 -0xf2cacfde -256 -256 -0 -0 -0 -2 -0x7cab -0x2e43b2fb -256 -256 -1 -0 -0 -10 -0xa51b -0x529170b2 -256 -256 -1 -0 -0 -1 -0xdc95 -0x145f769 -256 -256 -0 -0 -0 -10 -0x2e33 -0x9cef9521 -256 -256 -0 -0 -0 -8 -0x20ff -0x464de2ae -256 -256 -0 -0 -0 -1 -0xfe1b -0x717f3153 -256 -256 -0 -0 -0 -5 -0x8e85 -0xd2b0f4c1 -256 -256 -1 -0 -0 -1 -0xac97 -0x16181df4 -256 -256 -1 -0 -0 -8 -0xae28 -0x293cc66d -256 -256 -1 -0 -0 -6 -0x42cc -0x9bd5c257 -256 -256 -0 -0 -0 -2 -0xd8b1 -0x2094b816 -256 -256 -1 -0 -0 -3 -0x8bdf -0x195b81f5 -256 -256 -1 -0 -0 -6 -0x732 -0xacf84767 -256 -256 -1 -0 -0 -3 -0xac65 -0x56e97df2 -256 -256 -1 -0 -0 -2 -0x4ca8 -0xc0030e1b -256 -256 -1 -0 -0 -3 -0xe49 -0x86b94f35 -256 -256 -1 -0 -0 -7 -0xc0d1 -0x63710e66 -256 -256 -0 -0 -0 -3 -0xcac3 -0xad0cd64b -256 -256 -1 -0 -0 -9 -0x1238 -0x7c054a8c -256 -256 -0 -0 -0 -2 -0x29fc -0x6b505875 -256 -256 -0 -0 -0 -6 -0x9c3e -0x64d382bb -256 -256 -0 -0 -0 -9 -0xb7aa -0x68522f4a -256 -256 -0 -0 -0 -5 -0x9bb2 -0x77dd4f03 -256 -256 -1 -0 -0 -6 -0xa58 -0x4adc5b85 -256 -256 -1 -0 -0 -8 -0x8751 -0x4b618d3f -256 -256 -0 -0 -0 -4 -0x2951 -0x4be816c0 -256 -256 -0 -0 -0 -4 -0x624a -0xd516f63a -256 -256 -1 -0 -0 -7 -0x9d3b -0x907f891f -256 -256 -0 -0 -0 -6 -0x3fc3 -0xfa725cd2 -256 -256 -1 -0 -0 -1 -0x77f2 -0xdd8b0c12 -256 -256 -1 -0 -0 -10 -0x85bf -0x79a8dfc4 -256 -256 -0 -0 -0 -6 -0x8c51 -0x454d4cd4 -256 -256 -1 -0 -0 -9 -0xfebf -0x8db5fc22 -256 -256 -0 -0 -0 -5 -0xbd4c -0x6e2cd19d -256 -256 -1 -0 -0 -1 -0x1f0a -0x2576d46f -256 -256 -0 -0 -0 -5 -0x19eb -0x303c952e -256 -256 -0 -0 -0 -6 -0xadc8 -0xf2d073d8 -256 -256 -1 -0 -0 -5 -0xb2ab -0x461a8e57 -256 -256 -0 -0 -0 -6 -0x5943 -0xe95a7dcb -256 -256 -0 -0 -0 -3 -0x4872 -0xd46e8747 -256 -256 -1 -0 -0 -3 -0xd2df -0xd7c7e4d5 -256 -256 -0 -0 -0 -4 -0x1976 -0x21898cfe -256 -256 -1 -0 -0 -4 -0x9eb4 -0xa8bb17af -256 -256 -1 -0 -0 -9 -0x8852 -0xcbb54442 -256 -256 -1 -0 -0 -3 -0x9242 -0x598dc73f -256 -256 -0 -0 -0 -10 -0xdac7 -0x7406244c -256 -256 -1 -0 -0 -1 -0x32db -0x5e586690 -256 -256 -0 -0 -0 -9 -0xda68 -0xc3ab5e91 -256 -256 -0 -0 -0 -8 -0x4851 -0xd4e16d68 -256 -256 -1 -0 -0 -10 -0x1879 -0xc4539c21 -256 -256 -0 -0 -0 -6 -0x7e33 -0x44324cbe -256 -256 -0 -0 -0 -6 -0xbf65 -0x14ec35d7 -256 -256 -0 -0 -0 -2 -0xebdd -0x3b2e3b33 -256 -256 -1 -0 -0 -2 -0x5661 -0xe83896d9 -256 -256 -1 -0 -0 -9 -0xbaa6 -0xd44e2f40 -256 -256 -1 -0 -0 -5 -0xc471 -0x666999ca -256 -256 -0 -0 -0 -4 -0xe8c -0x5c7ba9ef -256 -256 -1 -0 -0 -10 -0x5824 -0xcdc63c91 -256 -256 -1 -0 -0 -2 -0xa92d -0x80eb96c4 -256 -256 -0 -0 -0 -1 -0xde3 -0xe6aef10d -256 -256 -0 -0 -0 -1 -0xb1d4 -0x5ae49345 -256 -256 -0 -0 -0 -5 -0x53d7 -0x89b3e17a -256 -256 -0 -0 -0 -9 -0x7ba6 -0x6a6771da -256 -256 -0 -0 -0 -6 -0xfdc4 -0x315b460 -256 -256 -0 -0 -0 -8 -0x4221 -0x29c62458 -256 -256 -1 -0 -0 -4 -0x5588 -0x1704c74d -256 -256 -0 -0 -0 -9 -0xd91f -0xc23260db -256 -256 -0 -0 -0 -2 -0xcc54 -0xb943085c -256 -256 -0 -0 -0 -5 -0x7a5d -0x86e7eed0 -256 -256 -0 -0 -0 -5 -0xebbf -0x5411c96c -256 -256 -0 -0 -0 -3 -0xbd0b -0x137ff2cd -256 -256 -1 -0 -0 -7 -0x1f70 -0x33a3e5f0 -256 -256 -1 -0 -0 -3 -0x4e81 -0xe2f9b8cd -256 -256 -1 -0 -0 -4 -0x9dc8 -0x727c11db -256 -256 -1 -0 -0 -3 -0x5015 -0x6c8ab63b -256 -256 -0 -0 -0 -4 -0x7f05 -0x217f7f70 -256 -256 -0 -0 -0 -3 -0x7acb -0xb3eb8ff7 -256 -256 -1 -0 -0 -8 -0x4191 -0x820d6ff9 -256 -256 -0 -0 -0 -6 -0xf4e0 -0xc7fdcfe -256 -256 -1 -0 -0 -10 -0xe5a1 -0xeba3771f -256 -256 -0 -0 -0 -1 -0x8022 -0xea4694c0 -256 -256 -1 -0 -0 -5 -0xb3ec -0x37b63d9e -256 -256 -1 -0 -0 -10 -0x500b -0x15693344 -256 -256 -0 -0 -0 -1 -0x775 -0x9badd6ac -256 -256 -0 -0 -0 -7 -0x4090 -0x75fc1bb -256 -256 -1 -0 -0 -3 -0x4775 -0x2f56e5a4 -256 -256 -0 -0 -0 -6 -0xafa1 -0x63d48334 -256 -256 -0 -0 -0 -10 -0x9bad -0x571e50f1 -256 -256 -0 -0 -0 -1 -0x9dd7 -0x488f48a8 -256 -256 -0 -0 -0 -6 -0x49a8 -0xb68022bf -256 -256 -0 -0 -0 -3 -0xdee4 -0xce90d31a -256 -256 -1 -0 -0 -10 -0x40ee -0x7a8b6ac0 -256 -256 -1 -0 -0 -9 -0xf54d -0xffd30921 -256 -256 -0 -0 -0 -9 -0xdb42 -0xf5df8050 -256 -256 -0 -0 -0 -10 -0x3a97 -0x811e3ded -256 -256 -0 -0 -0 -7 -0x4230 -0x7bb60278 -256 -256 -0 -0 -0 -4 -0x1030 -0x1eb65c1 -256 -256 -1 -0 -0 -5 -0xcfd3 -0x24bf4c1b -256 -256 -0 -0 -0 -9 -0x2401 -0x40b5ee75 -256 -256 -0 -0 -0 -5 -0xdc4c -0x69b68102 -256 -256 -1 -0 -0 -10 -0x8800 -0xe38d1e1c -256 -256 -1 -0 -0 -9 -0x185d -0x5eb8b2a -256 -256 -1 -0 -0 -9 -0x72c1 -0xe3bd2073 -256 -256 -0 -0 -0 -5 -0xa89c -0x1cfcc400 -256 -256 -0 -0 -0 -5 -0xca15 -0x6c7d0769 -256 -256 -1 -0 -0 -3 -0x6903 -0xe12f8a48 -256 -256 -1 -0 -0 -4 -0xb360 -0xfda7ab9c -256 -256 -1 -0 -0 -1 -0x89b5 -0xb5e39d0a -256 -256 -0 -0 -0 -2 -0x738 -0xaeb6ae1a -256 -256 -1 -0 -0 -8 -0x621e -0x9c80bdcd -256 -256 -1 -0 -0 -9 -0xaff6 -0xd5a2c41c -256 -256 -1 -0 -0 -10 -0x35f5 -0xef50be49 -256 -256 -1 -0 -0 -4 -0x6aa0 -0x5f7ec9c4 -256 -256 -1 -0 -0 -5 -0xb63a -0x61f5906e -256 -256 -0 -0 -0 -4 -0x38f4 -0x865d62ee -256 -256 -1 -0 -0 -8 -0xb081 -0x6bcf18b4 -256 -256 -0 -0 -0 -9 -0x38cb -0x3bf51716 -256 -256 -0 -0 -0 -10 -0x8b3 -0x20aa719e -256 -256 -1 -0 -0 -8 -0xf313 -0x37fd50de -256 -256 -0 -0 -0 -7 -0x273b -0x6494960a -256 -256 -1 -0 -0 -2 -0xf1b8 -0x1b5334 -256 -256 -1 -0 -0 -9 -0x981d -0x6441d49d -256 -256 -0 -0 -0 -8 -0xaa3f -0x5a571f80 -256 -256 -1 -0 -0 -4 -0x5a1a -0x3714309d -256 -256 -1 -0 -0 -10 -0xc783 -0x32d42b31 -256 -256 -0 -0 -0 -8 -0xa014 -0x90e5204 -256 -256 -0 -0 -0 -2 -0x9ad5 -0x6a2fd573 -256 -256 -1 -0 -0 -6 -0x9583 -0x5b2c0d18 -256 -256 -1 -0 -0 -9 -0xe4e8 -0x3a066ce8 -256 -256 -1 -0 -0 -8 -0x9634 -0x6a48f537 -256 -256 -0 -0 -0 -10 -0x5e99 -0x9f0021de -256 -256 -1 -0 -0 -3 -0x73be -0x98cfeb41 -256 -256 -1 -0 -0 -9 -0x69a6 -0x9329b607 -256 -256 -0 -0 -0 -2 -0xce96 -0x1501e03d -256 -256 -1 -0 -0 -10 -0xc9e -0x35062e6a -256 -256 -0 -0 -0 -3 -0x15d4 -0xc24c54ac -256 -256 -1 -0 -0 -3 -0x4770 -0x9a912894 -256 -256 -1 -0 -0 -9 -0xa524 -0x760a1dea -256 -256 -0 -0 -0 -5 -0x2d03 -0x3ee46c89 -256 -256 -1 -0 -0 -10 -0x49b6 -0x1047e0a5 -256 -256 -0 -0 -0 -3 -0x11af -0x294a4625 -256 -256 -0 -0 -0 -5 -0xb94d -0xe4274c69 -256 -256 -0 -0 -0 -9 -0x85b -0x9553c569 -256 -256 -1 -0 -0 -8 -0xf667 -0xe18726de -256 -256 -0 -0 -0 -1 -0xcc7a -0xc5078f24 -256 -256 -1 -0 -0 -5 -0x2a32 -0xa67b5931 -256 -256 -0 -0 -0 -8 -0xdb43 -0x89abbc82 -256 -256 -0 -0 -0 -2 -0xf55c -0x5fc97ec5 -256 -256 -0 -0 -0 -7 -0x9e6f -0xcc8951e0 -256 -256 -0 -0 -0 -7 -0x8238 -0x7f816105 -256 -256 -1 -0 -0 -10 -0x43e -0x553dfb9f -256 -256 -1 -0 -0 -10 -0x8889 -0x14dbd11b -256 -256 -0 -0 -0 -4 -0xd4dc -0xc3bb60b9 -256 -256 -1 -0 -0 -6 -0xe366 -0x24110d35 -256 -256 -0 -0 -0 -8 -0x9d57 -0x25250777 -256 -256 -1 -0 -0 -9 -0xa14c -0x1559e61c -256 -256 -0 -0 -0 -6 -0x283 -0xb2f24a1 -256 -256 -1 -0 -0 -5 -0xaaee -0x6da468e9 -256 -256 -0 -0 -0 -3 -0x3652 -0x5b1e2c81 -256 -256 -0 -0 -0 -8 -0xa647 -0xc436fd10 -256 -256 -0 -0 -0 -6 -0xbabe -0x7168ad16 -256 -256 -1 -0 -0 -5 -0x833 -0x21bd2c45 -256 -256 -0 -0 -0 -2 -0xb305 -0x2b41a339 -256 -256 -0 -0 -0 -7 -0xb8e2 -0xcd04f79b -256 -256 -1 -0 -0 -3 -0xd019 -0x83d3445a -256 -256 -1 -0 -0 -10 -0x1232 -0xd891e619 -256 -256 -0 -0 -0 -10 -0x7620 -0x9396f5b2 -256 -256 -0 -0 -0 -4 -0x28e5 -0xcac47c37 -256 -256 -0 -0 -0 -8 -0xf9bf -0xca7b0d21 -256 -256 -1 -0 -0 -10 -0x8f3 -0x91b206dc -256 -256 -0 -0 -0 -1 -0x1b83 -0x90390dfb -256 -256 -1 -0 -0 -1 -0x4268 -0x2a5b02ed -256 -256 -0 -0 -0 -1 -0xc300 -0xed1d0130 -256 -256 -1 -0 -0 -8 -0x4dcd -0x49c3e155 -256 -256 -1 -0 -0 -5 -0x1e69 -0x74fbfe9d -256 -256 -1 -0 -0 -4 -0xb7a0 -0x3ffc9e22 -256 -256 -0 -0 -0 -1 -0x920b -0xa1c1d31 -256 -256 -0 -0 -0 -4 -0x7d66 -0x58857e36 -256 -256 -0 -0 -0 -3 -0xb010 -0xc8fb8ca1 -256 -256 -1 -0 -0 -7 -0x5b12 -0xcf889239 -256 -256 -1 -0 -0 -3 -0x5039 -0xcf9a13dd -256 -256 -1 -0 -0 -9 -0x59f0 -0x4760b5c0 -256 -256 -0 -0 -0 -8 -0x5990 -0x1c8f3e98 -256 -256 -0 -0 -0 -3 -0xfa7d -0xe6ce5844 -256 -256 -1 -0 -0 -8 -0x4f3a -0x93c0da9d -256 -256 -0 -0 -0 -6 -0xd3c2 -0x524c24 -256 -256 -1 -0 -0 -8 -0x76b9 -0x29a3f4d -256 -256 -0 -0 -0 -8 -0xd92 -0xb89300a7 -256 -256 -1 -0 -0 -10 -0x6e32 -0x3db54bcf -256 -256 -1 -0 -0 -2 -0xb3bd -0xeed292f9 -256 -256 -1 -0 -0 -6 -0x1ccd -0x80b258db -256 -256 -1 -0 -0 -2 -0xefaa -0x84f3fb62 -256 -256 -0 -0 -0 -9 -0x670b -0x5c2216a7 -256 -256 -1 -0 -0 -7 -0x20df -0xbd00fefb -256 -256 -0 -0 -0 -10 -0x4cbe -0x3c1fb6a9 -256 -256 -0 -0 -0 -7 -0xaddc -0xe0dac210 -256 -256 -1 -0 -0 -5 -0xa548 -0x10ba8dc5 -256 -256 -1 -0 -0 -8 -0xa47a -0xe31336cb -256 -256 -0 -0 -0 -9 -0x5ede -0x1654e833 -256 -256 -0 -0 -0 -9 -0x18f7 -0x2bfc555c -256 -256 -0 -0 -0 -5 -0x1d7e -0xc26a2d5a -256 -256 -1 -0 -0 -6 -0xc3e1 -0xd6e51002 -256 -256 -0 -0 -0 -6 -0x9e12 -0xf01d773d -256 -256 -0 -0 -0 -9 -0x13f4 -0x725e6fd0 -256 -256 -0 -0 -0 -1 -0x4b00 -0xa00a86b2 -256 -256 -1 -0 -0 -3 -0x1bc5 -0x83747361 -256 -256 -0 -0 -0 -6 -0xb5c9 -0xd0852dd5 -256 -256 -1 -0 -0 -9 -0x9714 -0x3e6e6d1a -256 -256 -1 -0 -0 -3 -0x1d5b -0xe44e992b -256 -256 -1 -0 -0 -6 -0xc7b5 -0xdaeb05de -256 -256 -0 -0 -0 -6 -0x9b1 -0x1bd9e295 -256 -256 -0 -0 -0 -5 -0xd90a -0xdeb275d6 -256 -256 -0 -0 -0 -7 -0x7280 -0xc8858a2e -256 -256 -1 -0 -0 -3 -0x2101 -0x2412d138 -256 -256 -0 -0 -0 -9 -0xa0b7 -0xda717b1a -256 -256 -1 -0 -0 -8 -0x6b14 -0x5f6983e5 -256 -256 -0 -0 -0 -3 -0xde86 -0x3b671454 -256 -256 -1 -0 -0 -6 -0x664 -0x1a6a8de3 -256 -256 -1 -0 -0 -4 -0x5020 -0x4945c55a -256 -256 -0 -0 -0 -4 -0x6a74 -0x8a7bff2f -256 -256 -1 -0 -0 -9 -0xc1bf -0xb0edc694 -256 -256 -0 -0 -0 -7 -0x971b -0x216e7a03 -256 -256 -1 -0 -0 -3 -0x2284 -0x8c905d63 -256 -256 -0 -0 -0 -6 -0x2cf7 -0x844ff379 -256 -256 -1 -0 -0 -8 -0x12df -0x1dfdace9 -256 -256 -0 -0 -0 -1 -0x7838 -0xbe776af7 -256 -256 -0 -0 -0 -6 -0x85c3 -0x59ed922c -256 -256 -0 -0 -0 -1 -0x9d40 -0x17850533 -256 -256 -1 -0 -0 -3 -0x1f99 -0x65550904 -256 -256 -0 -0 -0 -7 -0x421c -0x152e5a39 -256 -256 -1 -0 -0 -6 -0x7ab8 -0xd3c20652 -256 -256 -0 -0 -0 -9 -0x5b32 -0x1e1639eb -256 -256 -0 -0 -0 -10 -0x3c92 -0x2b3e3014 -256 -256 -0 -0 -0 -6 -0x259 -0x903e416c -256 -256 -0 -0 -0 -6 -0x969b -0xa8bd68f5 -256 -256 -1 -0 -0 -6 -0x7bf3 -0xaa3f354c -256 -256 -1 -0 -0 -10 -0xd645 -0x4bb0aabe -256 -256 -1 -0 -0 -5 -0xfc7d -0x3677d497 -256 -256 -1 -0 -0 -6 -0x7a58 -0x17168d34 -256 -256 -0 -0 -0 -3 -0x50c5 -0x79103e63 -256 -256 -0 -0 -0 -9 -0xec3c -0x60212371 -256 -256 -1 -0 -0 -4 -0x6ab6 -0x77e6d054 -256 -256 -1 -0 -0 -2 -0xb898 -0x4f043dbb -256 -256 -0 -0 -0 -8 -0x8fb2 -0xd8945023 -256 -256 -0 -0 -0 -6 -0x9b19 -0xbf5974ad -256 -256 -1 -0 -0 -7 -0x3c9 -0x770f5f54 -256 -256 -1 -0 -0 -7 -0x8703 -0x520b0a57 -256 -256 -0 -0 -0 -4 -0x882e -0xdb827f99 -256 -256 -1 -0 -0 -8 -0xa3fc -0xb724e51a -256 -256 -0 -0 -0 -2 -0x3714 -0x4fce38bc -256 -256 -0 -0 -0 -2 -0xda6d -0x838fc6c6 -256 -256 -1 -0 -0 -6 -0x6a7d -0xf40e000d -256 -256 -0 -0 -0 -9 -0xcdad -0x845229c6 -256 -256 -1 -0 -0 -4 -0xc60a -0x8848111a -256 -256 -0 -0 -0 -7 -0x11bd -0x8a2d37bb -256 -256 -1 -0 -0 -4 -0xa724 -0x481bb1dc -256 -256 -0 -0 -0 -2 -0x3ad2 -0xd239594f -256 -256 -1 -0 -0 -8 -0x7bf5 -0xe9c0dbc1 -256 -256 -1 -0 -0 -8 -0x6cb4 -0xc9511700 -256 -256 -0 -0 -0 -7 -0x4d95 -0x95f3068a -256 -256 -0 -0 -0 -1 -0xab86 -0x33608028 -256 -256 -0 -0 -0 -9 -0xa8be -0xb84ce4e6 -256 -256 -0 -0 -0 -4 -0xc239 -0x29a3476 -256 -256 -1 -0 -0 -6 -0x82bb -0x5c637a45 -256 -256 -1 -0 -0 -7 -0xd48f -0xdfc3b969 -256 -256 -1 -0 -0 -4 -0x8aaf -0xe8ddafb5 -256 -256 -0 -0 -0 -6 -0xc7cf -0xea002b3d -256 -256 -0 -0 -0 -7 -0x9dbb -0x93678675 -256 -256 -1 -0 -0 -7 -0xd39b -0x48b1da38 -256 -256 -1 -0 -0 -9 -0xb40 -0x690864fa -256 -256 -1 -0 -0 -4 -0x62a -0x96ffd206 -256 -256 -1 -0 -0 -5 -0x18d9 -0x4a712e0a -256 -256 -0 -0 -0 -6 -0x8ec2 -0x7cf6f9a4 -256 -256 -1 -0 -0 -2 -0x3ba -0x325df1df -256 -256 -0 -0 -0 -3 -0x753a -0x74c8e31e -256 -256 -0 -0 -0 -1 -0x3deb -0xc8f757b -256 -256 -0 -0 -0 -6 -0x76d7 -0xb6f76bef -256 -256 -1 -0 -0 -10 -0x4834 -0x15619a22 -256 -256 -1 -0 -0 -7 -0xf6d7 -0x90146b68 -256 -256 -0 -0 -0 -1 -0x9577 -0xe765b23a -256 -256 -0 -0 -0 -10 -0x355e -0xca76e6aa -256 -256 -1 -0 -0 -8 -0x1655 -0x19b8a195 -256 -256 -1 -0 -0 -8 -0xb61b -0xa10b3690 -256 -256 -0 -0 -0 -1 -0xd11d -0x1fe4113f -256 -256 -1 -0 -0 -9 -0xff63 -0xd85ebb2 -256 -256 -0 -0 -0 -7 -0x2039 -0x433dc935 -256 -256 -1 -0 -0 -4 -0xe36c -0x929cc726 -256 -256 -0 -0 -0 -1 -0xbb74 -0xe8fc4d3c -256 -256 -0 -0 -0 -5 -0x8cb0 -0x14907468 -256 -256 -0 -0 -0 -8 -0xc37f -0x66875395 -256 -256 -0 -0 -0 -2 -0xb899 -0x35f12f2d -256 -256 -1 -0 -0 -6 -0xcbf4 -0x4913e60d -256 -256 -0 -0 -0 -9 -0x7a3 -0x69e2c638 -256 -256 -1 -0 -0 -2 -0x4963 -0xf1f7c5c9 -256 -256 -1 -0 -0 -9 -0x3f2e -0xa2446792 -256 -256 -0 -0 -0 -2 -0x98e7 -0x663b020f -256 -256 -0 -0 -0 -9 -0xb162 -0xc807c7cc -256 -256 -0 -0 -0 -1 -0x41e6 -0x42a8cc5e -256 -256 -1 -0 -0 -3 -0x41a9 -0x93db4aef -256 -256 -1 -0 -0 -2 -0x1e3 -0x7670a54a -256 -256 -1 -0 -0 -6 -0x8ee4 -0xdf7e9283 -256 -256 -1 -0 -0 -4 -0xd70a -0x80230ffa -256 -256 -1 -0 -0 -4 -0xd028 -0xdd9e1cbc -256 -256 -0 -0 -0 -5 -0x4a4b -0xcbd1dd30 -256 -256 -1 -0 -0 -10 -0xe4fb -0xbd424709 -256 -256 -0 -0 -0 -3 -0x1e7e -0x9b1dd023 -256 -256 -1 -0 -0 -7 -0x22f8 -0xf7481c62 -256 -256 -0 -0 -0 -5 -0x7a15 -0xe795124a -256 -256 -0 -0 -0 -2 -0x9bb7 -0xe99f0c42 -256 -256 -0 -0 -0 -3 -0x5cb3 -0x596d396d -256 -256 -0 -0 -0 -6 -0x9a2 -0xe367f81 -256 -256 -1 -0 -0 -9 -0xd406 -0xe54bbf9c -256 -256 -1 -0 -0 -2 -0xd4d9 -0x128d0f1b -256 -256 -0 -0 -0 -2 -0x41b4 -0xd0ad1bb5 -256 -256 -1 -0 -0 -8 -0xc955 -0x8e6541a6 -256 -256 -0 -0 -0 -2 -0xe4a7 -0x1934ed -256 -256 -0 -0 -0 -4 -0xd98b -0x22ba5e21 -256 -256 -0 -0 -0 -6 -0x78f -0x78e9f730 -256 -256 -0 -0 -0 -5 -0x9072 -0x2dfba426 -256 -256 -0 -0 -0 -8 -0xf3b -0x171f3ffc -256 -256 -1 -0 -0 -8 -0x74f -0xe341dcb0 -256 -256 -0 -0 -0 -9 -0x478 -0x86a52f97 -256 -256 -0 -0 -0 -10 -0x58c8 -0xfd78fba6 -256 -256 -0 -0 -0 -5 -0x9cb1 -0xaacc0906 -256 -256 -0 -0 -0 -9 -0x35b1 -0x174d5eb1 -256 -256 -1 -0 -0 -4 -0x9309 -0x306823b -256 -256 -1 -0 -0 -5 -0xdb85 -0xdebce419 -256 -256 -0 -0 -0 -1 -0xf31c -0xaeef415e -256 -256 -0 -0 -0 -3 -0x50f6 -0x2f3aa588 -256 -256 -1 -0 -0 -2 -0x7f8c -0xd9ea61e7 -256 -256 -0 -0 -0 -2 -0xb73f -0xc0fa9623 -256 -256 -1 -0 -0 -3 -0xed0f -0x78b8f138 -256 -256 -1 -0 -0 -9 -0xb6f6 -0xc384f7cf -256 -256 -1 -0 -0 -9 -0x6b07 -0x8db0d592 -256 -256 -0 -0 -0 -5 -0xd296 -0x53975333 -256 -256 -1 -0 -0 -8 -0x8805 -0xb20e04bf -256 -256 -0 -0 -0 -5 -0x5bf0 -0x2b579de4 -256 -256 -0 -0 -0 -3 -0x8408 -0x73e39d56 -256 -256 -1 -0 -0 -6 -0x31b9 -0xf142adbd -256 -256 -0 -0 -0 -7 -0x9dbc -0x26bcaef1 -256 -256 -0 -0 -0 -5 -0x5012 -0xf10d0f0f -256 -256 -0 -0 -0 -6 -0x1e13 -0xc930b4da -256 -256 -1 -0 -0 -5 -0xa805 -0x180958d4 -256 -256 -0 -0 -0 -9 -0x5dbf -0x1dcf6a32 -256 -256 -0 -0 -0 -8 -0x9e8 -0x63fc9f89 -256 -256 -1 -0 -0 -10 -0xde3f -0x3924ad6a -256 -256 -0 -0 -0 -6 -0x4f79 -0x688009a9 -256 -256 -1 -0 -0 -4 -0xff0f -0x1faa5bd4 -256 -256 -1 -0 -0 -1 -0x9d3a -0x4cccbf39 -256 -256 -0 -0 -0 -7 -0x272f -0x8ed3ad6a -256 -256 -1 -0 -0 -6 -0x1119 -0xc654248c -256 -256 -0 -0 -0 -7 -0x7ff3 -0x9a5efbe -256 -256 -1 -0 -0 -6 -0x8c2f -0x9283b3a2 -256 -256 -1 -0 -0 -7 -0x290b -0x50312b31 -256 -256 -1 -0 -0 -10 -0x6448 -0xc18b3d7b -256 -256 -0 -0 -0 -9 -0xd1da -0x30f0df3a -256 -256 -0 -0 -0 -4 -0x7e6d -0xa1caa2c6 -256 -256 -0 -0 -0 -10 -0x4a34 -0xa80cd60e -256 -256 -0 -0 -0 -1 -0xbb6c -0x3bdad96e -256 -256 -1 -0 -0 -7 -0x1ad2 -0x6b7f1d59 -256 -256 -0 -0 -0 -6 -0xdf6d -0x9f27216 -256 -256 -0 -0 -0 -2 -0x368f -0x4400fbe1 -256 -256 -1 -0 -0 -6 -0xd56b -0xa0c62ebc -256 -256 -0 -0 -0 -4 -0xfe1 -0x95db5d4c -256 -256 -0 -0 -0 -7 -0x7739 -0xf666af56 -256 -256 -0 -0 -0 -7 -0xae61 -0x9dbd830d -256 -256 -1 -0 -0 -9 -0x7e93 -0xc27572ff -256 -256 -1 -0 -0 -8 -0xecb1 -0xe4e6d16d -256 -256 -0 -0 -0 -8 -0x9c81 -0x89c4f8cd -256 -256 -0 -0 -0 -2 -0x68e9 -0x8105d15f -256 -256 -1 -0 -0 -1 -0x819e -0xa76aca0b -256 -256 -0 -0 -0 -10 -0xc8c7 -0xca765b70 -256 -256 -1 -0 -0 -8 -0x63c5 -0x21b6864a -256 -256 -1 -0 -0 -4 -0xe6d -0xc23b29c8 -256 -256 -1 -0 -0 -4 -0x672c -0x6115698f -256 -256 -0 -0 -0 -2 -0x803d -0x7ee89c6b -256 -256 -1 -0 -0 -1 -0xb75c -0x98547048 -256 -256 -1 -0 -0 -9 -0xd844 -0xfbecd623 -256 -256 -0 -0 -0 -9 -0x3a74 -0x5d4b678c -256 -256 -0 -0 -0 -2 -0x10000 -0x583a18b3 -256 -256 -0 -0 -0 -3 -0x40a2 -0xde39bc -256 -256 -0 -0 -0 -8 -0x9d9 -0xd7e98077 -256 -256 -0 -0 -0 -4 -0x6175 -0xbefdd373 -256 -256 -1 -0 -0 -7 -0x6aea -0x2ae17016 -256 -256 -1 -0 -0 -3 -0xf165 -0x6cfb4816 -256 -256 -1 -0 -0 -1 -0xdaac -0xec21b642 -256 -256 -1 -0 -0 -8 -0x4348 -0x3ab0c420 -256 -256 -0 -0 -0 -10 -0x9638 -0xbdba632 -256 -256 -0 -0 -0 -8 -0xcb8e -0xfc7176e0 -256 -256 -1 -0 -0 -5 -0x431b -0x655f6596 -256 -256 -1 -0 -0 -10 -0x5696 -0xe8a8a55 -256 -256 -0 -0 -0 -5 -0x1905 -0xaffdca08 -256 -256 -0 -0 -0 -10 -0xc60e -0x81600c76 -256 -256 -1 -0 -0 -4 -0x347b -0x4b61ba27 -256 -256 -0 -0 -0 -8 -0x8dc -0x423a5bc2 -256 -256 -1 -0 -0 -10 -0xf57c -0xb076800d -256 -256 -0 -0 -0 -1 -0x808c -0x9c05d546 -256 -256 -0 -0 -0 -8 -0xd99b -0xe4fe0f61 -256 -256 -1 -0 -0 -3 -0xb40 -0x4b42658c -256 -256 -1 -0 -0 -5 -0x4272 -0x2d185da7 -256 -256 -0 -0 -0 -6 -0x76f9 -0x2fbc1fd7 -256 -256 -0 -0 -0 -8 -0x5c30 -0x21525740 -256 -256 -1 -0 -0 -2 -0x4c56 -0x7ba79201 -256 -256 -0 -0 -0 -3 -0xc928 -0x9aaec4d9 -256 -256 -0 -0 -0 -5 -0xb41e -0x82143417 -256 -256 -1 -0 -0 -1 -0x8eb8 -0x473dc6af -256 -256 -1 -0 -0 -2 -0xd28a -0x3bd2583b -256 -256 -1 -0 -0 -4 -0xd141 -0x7986959f -256 -256 -1 -0 -0 -9 -0x10b8 -0x4fa0a793 -256 -256 -1 -0 -0 -7 -0xe33e -0x8d2b9623 -256 -256 -1 -0 -0 -4 -0x594e -0x84e9f692 -256 -256 -0 -0 -0 -10 -0x1c17 -0x827ce927 -256 -256 -1 -0 -0 -8 -0x695c -0x2954f7d9 -256 -256 -1 -0 -0 -3 -0x67d0 -0x642a28e4 -256 -256 -0 -0 -0 -1 -0x9024 -0x93ea214 -256 -256 -1 -0 -0 -7 -0xd36e -0x991b360 -256 -256 -0 -0 -0 -2 -0x4344 -0xbe1bbec -256 -256 -0 -0 -0 -9 -0x8a36 -0x2de23ff7 -256 -256 -0 -0 -0 -5 -0x8eca -0xdce8cc19 -256 -256 -0 -0 -0 -8 -0xaeb4 -0x16f5f4d8 -256 -256 -0 -0 -0 -5 -0xa665 -0x913bf618 -256 -256 -1 -0 -0 -7 -0xcfe0 -0xa0a34dbe -256 -256 -0 -0 -0 -6 -0x859a -0xd10040ca -256 -256 -0 -0 -0 -4 -0x6f03 -0x9124b534 -256 -256 -0 -0 -0 -6 -0xb5fa -0xdbcb308a -256 -256 -0 -0 -0 -2 -0x5e9 -0x7611381b -256 -256 -1 -0 -0 -3 -0xc029 -0x864be34b -256 -256 -0 -0 -0 -6 -0xe1ab -0x672cb821 -256 -256 -1 -0 -0 -10 -0xe200 -0x7398c541 -256 -256 -0 -0 -0 -8 -0xf1ee -0xa6063a47 -256 -256 -0 -0 -0 -6 -0x49b5 -0xb1f89378 -256 -256 -1 -0 -0 -10 -0x1d84 -0x661ab490 -256 -256 -1 -0 -0 -8 -0x643c -0x2987273e -256 -256 -0 -0 -0 -10 -0x74b4 -0xf7aea984 -256 -256 -0 -0 -0 -4 -0x9e2d -0xd0c1f159 -256 -256 -1 -0 -0 -5 -0x24a4 -0x1c3b5c0 -256 -256 -1 -0 -0 -9 -0xe5f7 -0xf566fd20 -256 -256 -0 -0 -0 -4 -0xe1ac -0x42c2d3f9 -256 -256 -0 -0 -0 -1 -0x7aff -0x227c9f3b -256 -256 -1 -0 -0 -10 -0x6727 -0xfbd33bae -256 -256 -0 -0 -0 -7 -0xa387 -0xc2c6a19f -256 -256 -1 -0 -0 -5 -0xe7e7 -0x3d127950 -256 -256 -1 -0 -0 -6 -0xd57e -0x34475682 -256 -256 -1 -0 -0 -9 -0x502a -0xbd258e0c -256 -256 -1 -0 -0 -10 -0x7042 -0xcc538e56 -256 -256 -1 -0 -0 -7 -0x5fff -0xcff43790 -256 -256 -1 -0 -0 -2 -0x27dd -0x2f46d99 -256 -256 -0 -0 -0 -10 -0x8cec -0x5dbe19d7 -256 -256 -1 -0 -0 -8 -0x45bb -0xe5210ab9 -256 -256 -0 -0 -0 -10 -0x987d -0x9bebacbb -256 -256 -0 -0 -0 -6 -0x6eca -0xff18696 -256 -256 -1 -0 -0 -9 -0xab97 -0x8b604900 -256 -256 -0 -0 -0 -3 -0xf5f9 -0xfcaa2185 -256 -256 -0 -0 -0 -4 -0x319e -0x2a0b7718 -256 -256 -1 -0 -0 -6 -0x9dc5 -0xd40728b6 -256 -256 -1 -0 -0 -10 -0xfde6 -0x44f38baa -256 -256 -0 -0 -0 -8 -0x726d -0x783b9623 -256 -256 -1 -0 -0 -2 -0x6889 -0xa65be24a -256 -256 -0 -0 -0 -9 -0x641b -0x835570ee -256 -256 -0 -0 -0 -7 -0xf6df -0x8ade23de -256 -256 -0 -0 -0 -7 -0xf0c6 -0xe344458d -256 -256 -1 -0 -0 -7 -0x9d4 -0xd6a3673e -256 -256 -0 -0 -0 -8 -0x8a80 -0x2a7a6008 -256 -256 -1 -0 -0 -5 -0xb50d -0x3e19d73b -256 -256 -1 -0 -0 -5 -0x6b5e -0x3a35b4a7 -256 -256 -0 -0 -0 -9 -0x9449 -0x7b8f6bb6 -256 -256 -0 -0 -0 -8 -0xef97 -0x9ca67c9e -256 -256 -1 -0 -0 -8 -0x1426 -0x9f0687e -256 -256 -1 -0 -0 -1 -0x4d62 -0x7a9045e6 -256 -256 -0 -0 -0 -2 -0xaf5a -0x4670a279 -256 -256 -1 -0 -0 -9 -0x3ff6 -0xf7c6d7b4 -256 -256 -0 -0 -0 -6 -0x2bec -0xb7100c5 -256 -256 -0 -0 -0 -6 -0xca98 -0x9e9cf963 -256 -256 -0 -0 -0 -4 -0x56c4 -0xfd9cb4bf -256 -256 -1 -0 -0 -3 -0x8553 -0xad0e773b -256 -256 -1 -0 -0 -4 -0x7d3 -0xb09135ed -256 -256 -0 -0 -0 -8 -0x9367 -0x8f3c090e -256 -256 -0 -0 -0 -9 -0x448f -0xea4bb801 -256 -256 -1 -0 -0 -3 -0xef23 -0xa931b0f3 -256 -256 -0 -0 -0 -7 -0xe909 -0x1c6e4ef4 -256 -256 -1 -0 -0 -6 -0x3b4f -0xe06764cf -256 -256 -0 -0 -0 -7 -0xd531 -0x385c97a9 -256 -256 -1 -0 -0 -1 -0x2b12 -0x94050aa9 -256 -256 -1 -0 -0 -9 -0xa3a9 -0x60b8cb10 -256 -256 -1 -0 -0 -9 -0xf47b -0xdb67ec70 -256 -256 -0 -0 -0 -6 -0x9a06 -0xfd74b001 -256 -256 -0 -0 -0 -4 -0xc977 -0x1973ac96 -256 -256 -1 -0 -0 -10 -0x15a2 -0xf7b26b12 -256 -256 -1 -0 -0 -7 -0xe902 -0xe39526ad -256 -256 -1 -0 -0 -1 -0xc24d -0xdc3f1884 -256 -256 -1 -0 -0 -10 -0x35fa -0xc8c551f7 -256 -256 -1 -0 -0 -10 -0x8be3 -0x419058c1 -256 -256 -1 -0 -0 -8 -0x3c85 -0x72f9cb50 -256 -256 -1 -0 -0 -4 -0xc374 -0x1ca84a03 -256 -256 -1 -0 -0 -8 -0x5c1e -0x5582da1f -256 -256 -0 -0 -0 -7 -0xabd -0xa6ff3ad3 -256 -256 -0 -0 -0 -1 -0x48b -0x5a7f3681 -256 -256 -1 -0 -0 -2 -0x115b -0x1007f405 -256 -256 -1 -0 -0 -7 -0x239e -0xb7c21458 -256 -256 -1 -0 -0 -2 -0x2a6e -0xc60e9eec -256 -256 -1 -0 -0 -4 -0xa022 -0x4c1e7bdb -256 -256 -0 -0 -0 -6 -0xcfe9 -0x4df661eb -256 -256 -1 -0 -0 -6 -0x84fb -0x66773533 -256 -256 -1 -0 -0 -7 -0x2a9b -0xe3662be1 -256 -256 -0 -0 -0 -7 -0x76fe -0xda939c93 -256 -256 -1 -0 -0 -8 -0xe6bf -0x10145661 -256 -256 -1 -0 -0 -10 -0x1ea6 -0x892a068c -256 -256 -1 -0 -0 -10 -0xac7a -0x4f132d4e -256 -256 -0 -0 -0 -9 -0x2aa6 -0xe0d7f592 -256 -256 -1 -0 -0 -2 -0x2a8b -0x4a700042 -256 -256 -1 -0 -0 -2 -0x6cf -0x60beafe8 -256 -256 -0 -0 -0 -2 -0x90fa -0xb93b8a9f -256 -256 -1 -0 -0 -4 -0x170e -0x16f00457 -256 -256 -0 -0 -0 -7 -0x8ef -0x7af8fa7a -256 -256 -0 -0 -0 -1 -0xa797 -0xb90c24fc -256 -256 -1 -0 -0 -4 -0x8e53 -0xff35e54c -256 -256 -0 -0 -0 -3 -0x8ae9 -0x9acc13e9 -256 -256 -1 -0 -0 -3 -0x6286 -0xfb3dd840 -256 -256 -1 -0 -0 -2 -0x51c5 -0xa5fdcf78 -256 -256 -0 -0 -0 -1 -0xef7f -0xff8d879 -256 -256 -1 -0 -0 -8 -0x8c9a -0x74b90b34 -256 -256 -0 -0 -0 -6 -0xb44e -0x399c0d23 -256 -256 -1 -0 -0 -8 -0xc811 -0xdaadcae8 -256 -256 -1 -0 -0 -10 -0x3bb7 -0x214b3409 -256 -256 -0 -0 -0 -1 -0xea92 -0x9c3664e3 -256 -256 -0 -0 -0 -5 -0x5b55 -0xe009b143 -256 -256 -1 -0 -0 -7 -0x9b22 -0xec278b54 -256 -256 -1 -0 -0 -6 -0x4122 -0x5bfeffe2 -256 -256 -1 -0 -0 -2 -0x65f8 -0x21186ce9 -256 -256 -1 -0 -0 -8 -0x93af -0xd428480a -256 -256 -0 -0 -0 -10 -0xb217 -0x2ea11a30 -256 -256 -0 -0 -0 -5 -0x3ef9 -0x61875f00 -256 -256 -0 -0 -0 -2 -0xb754 -0xc6cd08b2 -256 -256 -1 -0 -0 -2 -0xb85c -0xa3dc743d -256 -256 -0 -0 -0 -6 -0x86a3 -0x8405d513 -256 -256 -1 -0 -0 -7 -0x89ac -0xcc0f5892 -256 -256 -1 -0 -0 -8 -0x9bfd -0xb6cccc8b -256 -256 -1 -0 -0 -5 -0x7c40 -0xf284d3a2 -256 -256 -0 -0 -0 -5 -0x83b7 -0x7851a6a6 -256 -256 -0 -0 -0 -1 -0xe330 -0xbbae7822 -256 -256 -1 -0 -0 -1 -0x93ed -0x5cdd03e5 -256 -256 -0 -0 -0 -2 -0x67a0 -0xe841d4e5 -256 -256 -0 -0 -0 -4 -0x27f7 -0x36a09d71 -256 -256 -1 -0 -0 -5 -0xbaa0 -0xef717014 -256 -256 -0 -0 -0 -5 -0x6011 -0xd28a8a1b -256 -256 -0 -0 -0 -4 -0x1056 -0x15e109d6 -256 -256 -0 -0 -0 -4 -0xb50 -0xa51cd5f0 -256 -256 -0 -0 -0 -5 -0x940a -0xf2978dba -256 -256 -1 -0 -0 -1 -0x35bf -0xe743552c -256 -256 -1 -0 -0 -7 -0xdbb3 -0x19369240 -256 -256 -1 -0 -0 -10 -0xcd7a -0x392105ac -256 -256 -0 -0 -0 -8 -0xb873 -0xc6c2c949 -256 -256 -1 -0 -0 -9 -0x9015 -0x38bbc8b0 -256 -256 -0 -0 -0 -8 -0x9943 -0xff71a801 -256 -256 -0 -0 -0 -2 -0xe228 -0xed42beff -256 -256 -1 -0 -0 -3 -0xbeb5 -0xcd51cb8d -256 -256 -1 -0 -0 -1 -0x68f9 -0xb6a96d84 -256 -256 -1 -0 -0 -9 -0x6f81 -0x4354e747 -256 -256 -1 -0 -0 -4 -0x57d8 -0xfc8a818e -256 -256 -0 -0 -0 -4 -0x6ea7 -0x512d151c -256 -256 -0 -0 -0 -6 -0xd32c -0xedc3bdee -256 -256 -1 -0 -0 -4 -0x4995 -0xb9382a67 -256 -256 -0 -0 -0 -7 -0xff1b -0xdc56b4ea -256 -256 -0 -0 -0 -4 -0x7e80 -0xef95a287 -256 -256 -1 -0 -0 -4 -0x53ed -0x61b5ce0 -256 -256 -1 -0 -0 -1 -0x3d50 -0x3089557b -256 -256 -1 -0 -0 -3 -0x919c -0xdb8f14f6 -256 -256 -1 -0 -0 -10 -0xa3bd -0x5f43c00f -256 -256 -1 -0 -0 -1 -0xe94b -0x7c2a93fe -256 -256 -0 -0 -0 -4 -0x7140 -0xa9bea42a -256 -256 -1 -0 -0 -8 -0x4f8f -0xe5295141 -256 -256 -1 -0 -0 -4 -0x9390 -0xc6ee2a20 -256 -256 -0 -0 -0 -5 -0x6174 -0xd9781a2c -256 -256 -1 -0 -0 -7 -0xfb25 -0xf8171e65 -256 -256 -0 -0 -0 -4 -0x7506 -0xa05931c0 -256 -256 -1 -0 -0 -5 -0xd77f -0x30e24ba1 -256 -256 -1 -0 -0 -3 -0x109e -0x2e5f5d17 -256 -256 -0 -0 -0 -9 -0x2c41 -0xd809dd2a -256 -256 -1 -0 -0 -8 -0xc6c3 -0x53cadbc5 -256 -256 -0 -0 -0 -9 -0x3465 -0x8aea64fb -256 -256 -1 -0 -0 -6 -0x7ca -0xdfa62bc8 -256 -256 -1 -0 -0 -4 -0x6b40 -0x136e89f7 -256 -256 -0 -0 -0 -1 -0x5e3f -0x6c469c0b -256 -256 -0 -0 -0 -7 -0x789c -0x57268e2c -256 -256 -1 -0 -0 -5 -0xb913 -0xb00d1fa9 -256 -256 -0 -0 -0 -7 -0x8224 -0xbeb6c95 -256 -256 -1 -0 -0 -5 -0xf9d7 -0x688d49d2 -256 -256 -1 -0 -0 -2 -0xea15 -0xa6425e1e -256 -256 -1 -0 -0 -2 -0x1312 -0x18e850a6 -256 -256 -0 -0 -0 -10 -0x6891 -0xf8f85b0b -256 -256 -1 -0 -0 -10 -0x581 -0xbee661df -256 -256 -1 -0 -0 -3 -0xf192 -0xf88aa7b1 -256 -256 -1 -0 -0 -2 -0x6549 -0xe0a36762 -256 -256 -0 -0 -0 -8 -0xe54a -0xae3ca36e -256 -256 -0 -0 -0 -8 -0x80d -0xf1eb9365 -256 -256 -1 -0 -0 -1 -0x99fa -0x59eee0d6 -256 -256 -1 -0 -0 -6 -0xd97 -0x92ff9450 -256 -256 -1 -0 -0 -3 -0xe011 -0x816d5d3a -256 -256 -0 -0 -0 -9 -0xec12 -0x15d118fa -256 -256 -0 -0 -0 -9 -0x7553 -0x4b439913 -256 -256 -1 -0 -0 -6 -0x28ea -0x96bfcce3 -256 -256 -0 -0 -0 -3 -0x8da3 -0x68a83bb8 -256 -256 -1 -0 -0 -5 -0x7f1e -0xde3b7da8 -256 -256 -1 -0 -0 -2 -0x7fcd -0x7cee30b8 -256 -256 -1 -0 -0 -3 -0x46cd -0x48638c03 -256 -256 -0 -0 -0 -10 -0xe024 -0x430cb73f -256 -256 -1 -0 -0 -2 -0xff1 -0x76ad5832 -256 -256 -1 -0 -0 -10 -0x9e86 -0x9c4d852 -256 -256 -1 -0 -0 -3 -0xea8 -0x373532df -256 -256 -1 -0 -0 -4 -0x931d -0x1f25a0cf -256 -256 -0 -0 -0 -10 -0x11e7 -0x5b594c16 -256 -256 -0 -0 -0 -10 -0xda1c -0x110b1927 -256 -256 -0 -0 -0 -2 -0xcddb -0x8a84b1bd -256 -256 -1 -0 -0 -8 -0x3f1a -0x7ff8773a -256 -256 -1 -0 -0 -6 -0xc133 -0x366ed1bd -256 -256 -0 -0 -0 -7 -0xf107 -0xb4769f0 -256 -256 -0 -0 -0 -5 -0x7b85 -0x70856bae -256 -256 -1 -0 -0 -7 -0x1f98 -0xf04c6831 -256 -256 -0 -0 -0 -8 -0x7d5f -0xbc02d4e1 -256 -256 -1 -0 -0 -5 -0xe3af -0xe49fe519 -256 -256 -1 -0 -0 -2 -0x3115 -0x91a6ec68 -256 -256 -0 -0 -0 -5 -0xa7d8 -0x917035e -256 -256 -0 -0 -0 -4 -0x3245 -0xdbc1e154 -256 -256 -0 -0 -0 -9 -0x1b9d -0x1ae39501 -256 -256 -0 -0 -0 -4 -0x5674 -0x9949165e -256 -256 -1 -0 -0 -6 -0xf6e9 -0x1a8a007c -256 -256 -0 -0 -0 -1 -0x751c -0x6f5f4f8d -256 -256 -0 -0 -0 -8 -0x3692 -0xf72c9ab1 -256 -256 -0 -0 -0 -9 -0x4bab -0x22acbdda -256 -256 -0 -0 -0 -5 -0x83d9 -0x68df14b -256 -256 -1 -0 -0 -9 -0x22a3 -0xc3e0c698 -256 -256 -0 -0 -0 -7 -0x2752 -0xc28ea834 -256 -256 -0 -0 -0 -8 -0x9ad1 -0xa1afa063 -256 -256 -1 -0 -0 -3 -0xd230 -0x306bb1a1 -256 -256 -0 -0 -0 -3 -0x627f -0x11e2d090 -256 -256 -0 -0 -0 -2 -0x6a5b -0x71fee774 -256 -256 -0 -0 -0 -1 -0x25b4 -0x330b7c5d -256 -256 -1 -0 -0 -4 -0x61e0 -0x7cad7c24 -256 -256 -1 -0 -0 -7 -0x8c5c -0xe4a71884 -256 -256 -1 -0 -0 -9 -0xc79a -0x18aa65e1 -256 -256 -1 -0 -0 -7 -0x3209 -0x54655692 -256 -256 -1 -0 -0 -6 -0xf576 -0x363bc58d -256 -256 -0 -0 -0 -9 -0xdaad -0x967b9668 -256 -256 -0 -0 -0 -9 -0x276b -0x3d454db2 -256 -256 -0 -0 -0 -2 -0x1907 -0x43e2b44d -256 -256 -1 -0 -0 -7 -0x2b35 -0x891676d4 -256 -256 -1 -0 -0 -1 -0x2647 -0x97a5b78 -256 -256 -1 -0 -0 -3 -0xcd91 -0x7fb075db -256 -256 -0 -0 -0 -4 -0x153b -0x6302c732 -256 -256 -0 -0 -0 -2 -0xff8d -0x35e35dae -256 -256 -0 -0 -0 -2 -0x87f7 -0x995847ba -256 -256 -1 -0 -0 -2 -0xffb1 -0xdd2e23f8 -256 -256 -0 -0 -0 -4 -0x41d4 -0x28ff6396 -256 -256 -0 -0 -0 -6 -0xc522 -0x354fec01 -256 -256 -1 -0 -0 -6 -0x8e60 -0xd480d924 -256 -256 -1 -0 -0 -9 -0x3a59 -0x692ac42b -256 -256 -0 -0 -0 -8 -0xb68c -0xcd91a1ce -256 -256 -0 -0 -0 -4 -0x4d27 -0x3b9e45ae -256 -256 -0 -0 -0 -7 -0xe9d3 -0xf3ed6b1e -256 -256 -0 -0 -0 -3 -0xc0d5 -0xa6788081 -256 -256 -1 -0 -0 -5 -0xf0ad -0xc1af3044 -256 -256 -0 -0 -0 -5 -0xa98a -0x4a1f84cf -256 -256 -1 -0 -0 -5 -0x7e27 -0xeb9ba1a7 -256 -256 -0 -0 -0 -9 -0x4f7e -0xde082769 -256 -256 -0 -0 -0 -5 -0x8bd8 -0xa8cd125b -256 -256 -0 -0 -0 -10 -0x645d -0x5e062054 -256 -256 -1 -0 -0 -8 -0x8c76 -0x296e38cc -256 -256 -1 -0 -0 -1 -0x57c -0x6612f5d9 -256 -256 -1 -0 -0 -9 -0x3e33 -0x1206b1f3 -256 -256 -0 -0 -0 -6 -0x8e81 -0xc069cd1c -256 -256 -0 -0 -0 -3 -0xfdad -0x62d13039 -256 -256 -1 -0 -0 -8 -0x2d53 -0xe1282335 -256 -256 -1 -0 -0 -4 -0x2b40 -0x9add8af -256 -256 -0 -0 -0 -6 -0x7431 -0xefaa6341 -256 -256 -0 -0 -0 -7 -0x5858 -0x71a1009f -256 -256 -0 -0 -0 -9 -0xee50 -0x39b2b947 -256 -256 -0 -0 -0 -5 -0x3a52 -0x3e195150 -256 -256 -1 -0 -0 -10 -0xa4e8 -0x64ebb2d -256 -256 -1 -0 -0 -1 -0xbbe0 -0x20a1efe1 -256 -256 -0 -0 -0 -2 -0xce95 -0xf2f998f8 -256 -256 -0 -0 -0 -1 -0x99ca -0x66e0799f -256 -256 -1 -0 -0 -9 -0x4772 -0xbe83f9e6 -256 -256 -1 -0 -0 -8 -0x8459 -0x66f32ae8 -256 -256 -1 -0 -0 -10 -0xf7af -0xd60d3b30 -256 -256 -0 -0 -0 -7 -0x16af -0x95708a13 -256 -256 -0 -0 -0 -4 -0x68b8 -0x8d5c728b -256 -256 -1 -0 -0 -10 -0x8a83 -0xcd783449 -256 -256 -0 -0 -0 -6 -0xad38 -0xbdade46c -256 -256 -0 -0 -0 -4 -0x3eeb -0x522988cb -256 -256 -0 -0 -0 -4 -0x4f7f -0x211b78c8 -256 -256 -1 -0 -0 -1 -0x6f40 -0x121b265b -256 -256 -0 -0 -0 -10 -0x1aba -0xdee6cea3 -256 -256 -1 -0 -0 -3 -0x3fa7 -0x30942aa7 -256 -256 -1 -0 -0 -10 -0x176a -0x5d4b9af0 -256 -256 -0 -0 -0 -6 -0xada0 -0x32196489 -256 -256 -1 -0 -0 -10 -0xa652 -0xb3b52d10 -256 -256 -0 -0 -0 -10 -0x2e53 -0x6f838545 -256 -256 -1 -0 -0 -5 -0xefc6 -0x2b8c6667 -256 -256 -1 -0 -0 -4 -0xc502 -0xf81ecf84 -256 -256 -0 -0 -0 -2 -0x5599 -0x730fbade -256 -256 -0 -0 -0 -2 -0x884 -0x42a73244 -256 -256 -0 -0 -0 -2 -0x2d9 -0x9c322177 -256 -256 -1 -0 -0 -3 -0x579b -0x702cf0ae -256 -256 -1 -0 -0 -9 -0xaf04 -0x9a12cd64 -256 -256 -1 -0 -0 -10 -0xffb -0x4e7ac41 -256 -256 -1 -0 -0 -3 -0x5777 -0xcc66ab7 -256 -256 -1 -0 -0 -3 -0x456b -0x677cc624 -256 -256 -1 -0 -0 -5 -0x79c3 -0x7ae1330c -256 -256 -0 -0 -0 -3 -0x7b7f -0x8472d5c -256 -256 -1 -0 -0 -4 -0x1637 -0x7265d68b -256 -256 -1 -0 -0 -7 -0x785c -0x45a23f60 -256 -256 -0 -0 -0 -7 -0x6952 -0x5b9b907b -256 -256 -1 -0 -0 -1 -0x732c -0xf2468d17 -256 -256 -0 -0 -0 -1 -0xefa9 -0x17c84bf0 -256 -256 -0 -0 -0 -1 -0xdbcc -0x171ffae8 -256 -256 -0 -0 -0 -5 -0x8d9f -0xcb450caf -256 -256 -0 -0 -0 -1 -0x4826 -0xfe2c52bc -256 -256 -1 -0 -0 -4 -0x1acc -0x5e6805a -256 -256 -0 -0 -0 -3 -0x893d -0x8b066750 -256 -256 -0 -0 -0 -1 -0x3d71 -0x5b371f8d -256 -256 -1 -0 -0 -7 -0xdfa9 -0x7f802060 -256 -256 -0 -0 -0 -3 -0x895c -0x71b72825 -256 -256 -1 -0 -0 -4 -0x94e7 -0x7c90cdca -256 -256 -1 -0 -0 -9 -0xafbf -0x2a24d7f2 -256 -256 -0 -0 -0 -1 -0xe2f7 -0x8da793e2 -256 -256 -1 -0 -0 -7 -0x50ff -0x5cb5d09b -256 -256 -1 -0 -0 -4 -0x8a5b -0xfb24c98b -256 -256 -0 -0 -0 -5 -0x55ae -0x433877f2 -256 -256 -1 -0 -0 -8 -0x3034 -0x5a8eb20e -256 -256 -0 -0 -0 -2 -0x51e3 -0xf5920817 -256 -256 -1 -0 -0 -10 -0x5c6e -0x2e1b4d66 -256 -256 -1 -0 -0 -3 -0x6b87 -0x6ea19725 -256 -256 -0 -0 -0 -4 -0xb7f4 -0x22b2294f -256 -256 -0 -0 -0 -10 -0x8a -0x868b5242 -256 -256 -0 -0 -0 -8 -0x9779 -0xeb5ad110 -256 -256 -0 -0 -0 -7 -0x497d -0x673ae0cc -256 -256 -1 -0 -0 -2 -0x1998 -0xbbfca890 -256 -256 -0 -0 -0 -9 -0x2139 -0x70946657 -256 -256 -0 -0 -0 -4 -0x4bb7 -0x8b90a802 -256 -256 -0 -0 -0 -8 -0x7265 -0x9ff66ee9 -256 -256 -1 -0 -0 -4 -0x882c -0xf9de78a8 -256 -256 -0 -0 -0 -1 -0x5056 -0x34ae6cc9 -256 -256 -1 -0 -0 -2 -0x85ff -0x3f4f9f19 -256 -256 -1 -0 -0 -5 -0xf628 -0xc988808a -256 -256 -0 -0 -0 -4 -0xc1d4 -0xb61352b9 -256 -256 -0 -0 -0 -8 -0x2efa -0x7295503 -256 -256 -0 -0 -0 -6 -0x3ba6 -0x89b42924 -256 -256 -1 -0 -0 -2 -0x56ca -0x8d034a72 -256 -256 -1 -0 -0 -2 -0xabe2 -0xe8942ee9 -256 -256 -0 -0 -0 -1 -0x8249 -0x1254a2ac -256 -256 -1 -0 -0 -3 -0xd268 -0x74402506 -256 -256 -1 -0 -0 -7 -0x509f -0xd1f327ea -256 -256 -1 -0 -0 -9 -0xd69c -0x1a7c0efb -256 -256 -0 -0 -0 -8 -0xdff0 -0xfec01f3f -256 -256 -1 -0 -0 -9 -0x2341 -0x54bb59a1 -256 -256 -0 -0 -0 -3 -0x1561 -0xdbaa4d98 -256 -256 -1 -0 -0 -7 -0x2aee -0x46791dd9 -256 -256 -0 -0 -0 -8 -0xeafb -0x638ac040 -256 -256 -1 -0 -0 -1 -0x7f7f -0x73120506 -256 -256 -1 -0 -0 -7 -0x3de0 -0xd226cb0f -256 -256 -1 -0 -0 -3 -0x1e81 -0xfb6b5c3b -256 -256 -1 -0 -0 -1 -0xf374 -0x3606f01b -256 -256 -1 -0 -0 -9 -0x5d7a -0x9b17dcc3 -256 -256 -1 -0 -0 -4 -0x7145 -0x379b6403 -256 -256 -1 -0 -0 -3 -0x6d2f -0x9db66f04 -256 -256 -0 -0 -0 -4 -0xc510 -0xd4a535e6 -256 -256 -0 -0 -0 -10 -0xb291 -0x18ca637c -256 -256 -1 -0 -0 -3 -0x2c4 -0x4cef897c -256 -256 -1 -0 -0 -7 -0x4cc0 -0xda1cc62b -256 -256 -1 -0 -0 -6 -0xa5c -0x864e1fb2 -256 -256 -1 -0 -0 -5 -0x5ea1 -0x7e8612af -256 -256 -0 -0 -0 -4 -0x1719 -0xbd4d0328 -256 -256 -0 -0 -0 -4 -0x7c -0xb7181759 -256 -256 -1 -0 -0 -10 -0xe5fc -0xb215d390 -256 -256 -0 -0 -0 -4 -0xd38 -0xeb70f891 -256 -256 -1 -0 -0 -10 -0x68a5 -0xe137e974 -256 -256 -1 -0 -0 -8 -0x96f7 -0x2450df06 -256 -256 -1 -0 -0 -4 -0x91b8 -0x763db19d -256 -256 -0 -0 -0 -9 -0x9947 -0x24c0230a -256 -256 -0 -0 -0 -8 -0x3e26 -0xa9eb35a6 -256 -256 -0 -0 -0 -2 -0x716c -0xaaf32392 -256 -256 -1 -0 -0 -4 -0xcd96 -0xc369c3d8 -256 -256 -1 -0 -0 -6 -0x3bcd -0x800ddc42 -256 -256 -0 -0 -0 -3 -0x70d4 -0xd95ccaad -256 -256 -1 -0 -0 -1 -0xf5d4 -0x5ac5e8ff -256 -256 -0 -0 -0 -6 -0xea41 -0xa6b5cb4b -256 -256 -1 -0 -0 -1 -0xa8e4 -0xa87bfaa5 -256 -256 -1 -0 -0 -10 -0x884b -0xc71be625 -256 -256 -1 -0 -0 -9 -0x8401 -0x95f1b341 -256 -256 -0 -0 -0 -9 -0x2889 -0x827d92fc -256 -256 -0 -0 -0 -4 -0x2651 -0x18e2ae76 -256 -256 -1 -0 -0 -10 -0x38e -0x8104b3fb -256 -256 -1 -0 -0 -1 -0x20d -0x1a2a7a22 -256 -256 -1 -0 -0 -1 -0x944d -0xae3ba6e1 -256 -256 -0 -0 -0 -6 -0x58a4 -0xdd06973 -256 -256 -0 -0 -0 -7 -0x896e -0x6014a23e -256 -256 -0 -0 -0 -10 -0x8c02 -0x7950c7db -256 -256 -0 -0 -0 -3 -0xf0e5 -0x82fa29d -256 -256 -1 -0 -0 -1 -0x1914 -0x297ed981 -256 -256 -0 -0 -0 -3 -0xa0fd -0x7c0f0a68 -256 -256 -0 -0 -0 -2 -0xf5e0 -0x4ca170b -256 -256 -1 -0 -0 -10 -0x2965 -0x513e49cc -256 -256 -0 -0 -0 -2 -0x80c7 -0xf011233 -256 -256 -1 -0 -0 -5 -0xd520 -0xf161fc54 -256 -256 -1 -0 -0 -10 -0xd5cd -0xee2d995 -256 -256 -0 -0 -0 -3 -0x15e1 -0x23a99f43 -256 -256 -1 -0 -0 -9 -0x91d0 -0xf4503bef -256 -256 -1 -0 -0 -5 -0x1702 -0xe5c474c9 -256 -256 -0 -0 -0 -3 -0x31f1 -0x1bb6bd0a -256 -256 -0 -0 -0 -1 -0x7986 -0x65a12b1f -256 -256 -1 -0 -0 -2 -0xbc63 -0x1ab5b121 -256 -256 -1 -0 -0 -8 -0xe61d -0x1d8c0d89 -256 -256 -0 -0 -0 -2 -0x6d21 -0x5e7b7d25 -256 -256 -0 -0 -0 -7 -0x7362 -0xc131de3d -256 -256 -1 -0 -0 -2 -0x5c27 -0xbd55816c -256 -256 -0 -0 -0 -8 -0xfa37 -0xfaa3fd5f -256 -256 -0 -0 -0 -6 -0x3a4b -0xa2b3fc32 -256 -256 -1 -0 -0 -8 -0x68d1 -0xc5859123 -256 -256 -0 -0 -0 -6 -0x1628 -0xc76f09d6 -256 -256 -0 -0 -0 -10 -0x3afa -0xb2ad3231 -256 -256 -1 -0 -0 -10 -0x566f -0x25eca561 -256 -256 -1 -0 -0 -9 -0x9215 -0x2b79765 -256 -256 -1 -0 -0 -9 -0x4536 -0x359c693d -256 -256 -1 -0 -0 -1 -0x6fad -0x1c594311 -256 -256 -1 -0 -0 -5 -0xd24 -0xde801c59 -256 -256 -1 -0 -0 -8 -0xef93 -0x88b9120f -256 -256 -1 -0 -0 -1 -0xe768 -0xea935ad7 -256 -256 -1 -0 -0 -3 -0x9dee -0xf2800483 -256 -256 -0 -0 -0 -3 -0xe44b -0x3142ed64 -256 -256 -1 -0 -0 -2 -0x23f3 -0xce6f22d1 -256 -256 -0 -0 -0 -9 -0x72c6 -0xeefd47b0 -256 -256 -1 -0 -0 -6 -0x689c -0x646b4602 -256 -256 -1 -0 -0 -9 -0x23d3 -0xad742bdc -256 -256 -0 -0 -0 -1 -0x1cca -0x565a6000 -256 -256 -0 -0 -0 -8 -0x98ff -0x9831e2dc -256 -256 -0 -0 -0 -8 -0xc3d -0x886a212c -256 -256 -1 -0 -0 -10 -0x1e04 -0xcbffd9f -256 -256 -0 -0 -0 -9 -0xdd5e -0x299c28f4 -256 -256 -0 -0 -0 -8 -0xc68b -0x278e6dec -256 -256 -0 -0 -0 -7 -0xe07f -0x5119cf1a -256 -256 -0 -0 -0 -7 -0xf989 -0x4eae9089 -256 -256 -1 -0 -0 -6 -0xfd3 -0x36c74137 -256 -256 -0 -0 -0 -7 -0x9b4f -0x86e95dea -256 -256 -1 -0 -0 -6 -0xde68 -0xdecbefda -256 -256 -0 -0 -0 -2 -0x3bdf -0xe1c138eb -256 -256 -1 -0 -0 -3 -0x9f5a -0xac17246a -256 -256 -1 -0 -0 -4 -0x718c -0x24f7716a -256 -256 -0 -0 -0 -2 -0xf93 -0xb6ef908a -256 -256 -1 -0 -0 -6 -0xa4b2 -0x2a249664 -256 -256 -1 -0 -0 -6 -0x5c8c -0x67c32414 -256 -256 -1 -0 -0 -5 -0x68ff -0xc27a4c44 -256 -256 -1 -0 -0 -7 -0xb965 -0x62f84571 -256 -256 -0 -0 -0 -4 -0x4689 -0xf035aa64 -256 -256 -1 -0 -0 -9 -0x629c -0xccfb4980 -256 -256 -1 -0 -0 -6 -0x1698 -0x3f3ce04c -256 -256 -0 -0 -0 -6 -0x3269 -0xdc2c50bd -256 -256 -1 -0 -0 -8 -0xbc1e -0x6823e4b4 -256 -256 -0 -0 -0 -5 -0x5968 -0x7bd138e8 -256 -256 -0 -0 -0 -9 -0x641a -0xeb70fc4c -256 -256 -1 -0 -0 -8 -0x80be -0x14470aa0 -256 -256 -1 -0 -0 -7 -0x6275 -0x2da216b2 -256 -256 -0 -0 -0 -3 -0xbd04 -0x1a197be6 -256 -256 -1 -0 -0 -5 -0xd866 -0xc7279b06 -256 -256 -0 -0 -0 -9 -0x3024 -0xa1c0d19c -256 -256 -1 -0 -0 -1 -0xc313 -0x8af29eda -256 -256 -1 -0 -0 -3 -0x5324 -0xd947941 -256 -256 -1 -0 -0 -7 -0x7f65 -0x3dfb59e3 -256 -256 -1 -0 -0 -7 -0x9180 -0xadde922b -256 -256 -1 -0 -0 -2 -0xfc83 -0x1d642253 -256 -256 -0 -0 -0 -1 -0xe8d7 -0x1a293cff -256 -256 -0 -0 -0 -4 -0x4a -0x3e459dbc -256 -256 -1 -0 -0 -8 -0xbe4a -0xd038a01a -256 -256 -0 -0 -0 -5 -0x222d -0xcffcc87 -256 -256 -1 -0 -0 -3 -0xde93 -0x90c04b17 -256 -256 -0 -0 -0 -9 -0xb547 -0x1e4a82ca -256 -256 -0 -0 -0 -8 -0xd64b -0xff15adab -256 -256 -1 -0 -0 -4 -0x48f7 -0x7a043b5a -256 -256 -1 -0 -0 -8 -0xff39 -0xaa4efe2e -256 -256 -0 -0 -0 -7 -0x8bcf -0xa543be05 -256 -256 -1 -0 -0 -10 -0x32c1 -0x353191bc -256 -256 -1 -0 -0 -8 -0xdc8d -0xf754c9e1 -256 -256 -0 -0 -0 -8 -0x3ac6 -0xa73b0f06 -256 -256 -1 -0 -0 -9 -0x74f9 -0xf2af225 -256 -256 -0 -0 -0 -10 -0xc0b7 -0x231cfd6e -256 -256 -1 -0 -0 -10 -0x5ff8 -0x53cd4b2c -256 -256 -0 -0 -0 -10 -0xa83d -0x5377c408 -256 -256 -0 -0 -0 -2 -0xf4cc -0xa073aaaf -256 -256 -1 -0 -0 -6 -0x7615 -0xa3e609a -256 -256 -0 -0 -0 -6 -0x12d7 -0x687dd7ab -256 -256 -0 -0 -0 -10 -0x3955 -0xc408966b -256 -256 -1 -0 -0 -10 -0x3598 -0x4b56e523 -256 -256 -0 -0 -0 -8 -0xb86 -0xe1c60b70 -256 -256 -1 -0 -0 -10 -0xd4f7 -0x9396afe -256 -256 -0 -0 -0 -10 -0x576 -0xdda61a6 -256 -256 -1 -0 -0 -3 -0x2a61 -0xa712bba1 -256 -256 -0 -0 -0 -6 -0xbe3b -0xf2ef41cf -256 -256 -1 -0 -0 -9 -0x812c -0x113b10d8 -256 -256 -1 -0 -0 -4 -0xf0a8 -0xaaf90b74 -256 -256 -0 -0 -0 -2 -0x812a -0xe5a37699 -256 -256 -1 -0 -0 -2 -0x9b9e -0xc561c87e -256 -256 -0 -0 -0 -9 -0x117a -0xfffd0bff -256 -256 -1 -0 -0 -5 -0x8316 -0x318ce8fc -256 -256 -1 -0 -0 -9 -0x314d -0x3684fbfb -256 -256 -1 -0 -0 -2 -0xb686 -0xa6eaaf78 -256 -256 -1 -0 -0 -4 -0x54de -0x775ac1fb -256 -256 -1 -0 -0 -3 -0xe1ad -0xd9644e45 -256 -256 -1 -0 -0 -8 -0x5e25 -0x8c279c77 -256 -256 -0 -0 -0 -3 -0x4f3e -0xcc15db6a -256 -256 -1 -0 -0 -8 -0x368b -0x47af072 -256 -256 -0 -0 -0 -1 -0xe90a -0x766c900c -256 -256 -0 -0 -0 -7 -0xf091 -0x3d3a454 -256 -256 -0 -0 -0 -6 -0xa411 -0x5b404a31 -256 -256 -0 -0 -0 -1 -0x2944 -0x45635e3a -256 -256 -0 -0 -0 -4 -0x8108 -0x32b6a8ec -256 -256 -1 -0 -0 -10 -0x14f9 -0xc1c31d27 -256 -256 -0 -0 -0 -2 -0x128d -0xb2afdd81 -256 -256 -0 -0 -0 -3 -0x903c -0xac15d328 -256 -256 -0 -0 -0 -10 -0xe86e -0x6076963d -256 -256 -0 -0 -0 -8 -0xc1b7 -0x39ced270 -256 -256 -0 -0 -0 -6 -0xe694 -0xe40f7aac -256 -256 -1 -0 -0 -1 -0x4545 -0x42d4e215 -256 -256 -0 -0 -0 -7 -0x1f1f -0xf600b455 -256 -256 -1 -0 -0 -1 -0x3a8 -0xa9369b53 -256 -256 -0 -0 -0 -3 -0x6250 -0x86215b66 -256 -256 -1 -0 -0 -6 -0x6ede -0x9b492732 -256 -256 -0 -0 -0 -3 -0x12a4 -0x71945236 -256 -256 -1 -0 -0 -2 -0x69fa -0x1700d954 -256 -256 -0 -0 -0 -4 -0x874f -0xd3876ffd -256 -256 -1 -0 -0 -1 -0x4912 -0xbe766971 -256 -256 -1 -0 -0 -5 -0x8992 -0x8a7621e -256 -256 -0 -0 -0 -3 -0xae9e -0xb33cdc6 -256 -256 -1 -0 -0 -8 -0x6115 -0x1645dab2 -256 -256 -0 -0 -0 -8 -0x74b -0xf2951e57 -256 -256 -1 -0 -0 -3 -0xdc8c -0xe0f69a67 -256 -256 -1 -0 -0 -8 -0x3d79 -0xe7a2f9ab -256 -256 -1 -0 -0 -8 -0xd1f5 -0xf2846a6b -256 -256 -1 -0 -0 -6 -0xa309 -0xc06e5e3d -256 -256 -0 -0 -0 -6 -0x9a70 -0xb330b59 -256 -256 -1 -0 -0 -4 -0xe9 -0x5542eb49 -256 -256 -0 -0 -0 -4 -0x7fb6 -0xc8e02861 -256 -256 -1 -0 -0 -7 -0x6ae6 -0x48295d7c -256 -256 -1 -0 -0 -4 -0x6e9e -0xf86d21ae -256 -256 -0 -0 -0 -7 -0x97ca -0xd70e84db -256 -256 -1 -0 -0 -1 -0x54b6 -0x733646c6 -256 -256 -1 -0 -0 -1 -0xbffa -0x8f4bec16 -256 -256 -0 -0 -0 -5 -0x418 -0x234b02d -256 -256 -1 -0 -0 -10 -0xdf05 -0x8a2e7e7b -256 -256 -0 -0 -0 -9 -0xd9f4 -0x5bd277d7 -256 -256 -1 -0 -0 -5 -0x176e -0x45cf41ca -256 -256 -1 -0 -0 -1 -0x340e -0x1e159932 -256 -256 -1 -0 -0 -3 -0x9154 -0x3261a002 -256 -256 -1 -0 -0 -10 -0xae39 -0xed59d839 -256 -256 -0 -0 -0 -1 -0x7c75 -0xbe33e6e9 -256 -256 -1 -0 -0 -9 -0xe813 -0xb4b9f3ea -256 -256 -1 -0 -0 -5 -0xdf1e -0x9e5b78c2 -256 -256 -0 -0 -0 -10 -0x5625 -0xc7ca094b -256 -256 -1 -0 -0 -7 -0x7676 -0xc8f26406 -256 -256 -1 -0 -0 -3 -0xf6dc -0xa2e2b48a -256 -256 -0 -0 -0 -2 -0x52d1 -0x19929920 -256 -256 -1 -0 -0 -3 -0xcec2 -0x72321e3a -256 -256 -0 -0 -0 -6 -0x6cf0 -0xc0711ffb -256 -256 -1 -0 -0 -8 -0x5973 -0xa32e1366 -256 -256 -1 -0 -0 -3 -0x60e0 -0xddb7385c -256 -256 -1 -0 -0 -3 -0x5647 -0xdc2f3fe1 -256 -256 -1 -0 -0 -1 -0x66a3 -0x3480aa1a -256 -256 -1 -0 -0 -1 -0xcf06 -0x936c4741 -256 -256 -0 -0 -0 -4 -0xc506 -0xf129134a -256 -256 -1 -0 -0 -7 -0x189b -0xd0d8e38b -256 -256 -0 -0 -0 -8 -0x8e5d -0xe709787f -256 -256 -1 -0 -0 -2 -0x79ca -0x867df153 -256 -256 -1 -0 -0 -1 -0x6c9f -0xfb84d275 -256 -256 -0 -0 -0 -6 -0x2205 -0x621d5375 -256 -256 -1 -0 -0 -2 -0x6b4a -0x4cb909c1 -256 -256 -1 -0 -0 -3 -0x99a3 -0x9340baa5 -256 -256 -0 -0 -0 -9 -0xc4f7 -0xe65ee1ab -256 -256 -1 -0 -0 -5 -0xdbe6 -0x6c40c5a -256 -256 -0 -0 -0 -6 -0xeb3 -0xbac08f8a -256 -256 -1 -0 -0 -8 -0xd15a -0xa4a5242a -256 -256 -1 -0 -0 -4 -0x1495 -0x23094846 -256 -256 -1 -0 -0 -1 -0xdb46 -0x402e01ae -256 -256 -0 -0 -0 -3 -0x8e41 -0x544b080a -256 -256 -0 -0 -0 -5 -0xad90 -0xde6a98eb -256 -256 -0 -0 -0 -1 -0x26ce -0x6f34640a -256 -256 -0 -0 -0 -7 -0xa070 -0xfd4c4271 -256 -256 -0 -0 -0 -2 -0x8ac0 -0x5999c4fc -256 -256 -1 -0 -0 -5 -0x519f -0xe891de0b -256 -256 -1 -0 -0 -4 -0xd356 -0xf1d12fee -256 -256 -0 -0 -0 -3 -0xabe2 -0x4eb1416e -256 -256 -0 -0 -0 -7 -0x6609 -0x393bbedb -256 -256 -1 -0 -0 -2 -0xd2e7 -0xc7a40a09 -256 -256 -0 -0 -0 -4 -0xc852 -0xb7ce4978 -256 -256 -0 -0 -0 -10 -0x90e -0xe46158a2 -256 -256 -1 -0 -0 -3 -0x7c61 -0x525bf52d -256 -256 -0 -0 -0 -6 -0x8c28 -0x2779606c -256 -256 -1 -0 -0 -7 -0x8f3 -0x76a88da9 -256 -256 -1 -0 -0 -2 -0x6980 -0x85ceb232 -256 -256 -0 -0 -0 -4 -0x77ba -0x8238665f -256 -256 -0 -0 -0 -8 -0x6033 -0x83a6c052 -256 -256 -1 -0 -0 -10 -0xac6b -0xfded7144 -256 -256 -0 -0 -0 -8 -0x9197 -0xebcad8eb -256 -256 -0 -0 -0 -7 -0x73fb -0xb7636d87 -256 -256 -1 -0 -0 -7 -0xb7d -0x7a305919 -256 -256 -0 -0 -0 -4 -0x5bb4 -0xa71f3725 -256 -256 -0 -0 -0 -8 -0x2b06 -0xdbba7 -256 -256 -0 -0 -0 -3 -0xf0c4 -0xefe6558b -256 -256 -1 -0 -0 -5 -0x545c -0xbf42c99f -256 -256 -0 -0 -0 -4 -0xd513 -0xd2caf986 -256 -256 -1 -0 -0 -8 -0xc4fd -0xbdc62d30 -256 -256 -0 -0 -0 -5 -0x4ae7 -0x7d8b14ec -256 -256 -0 -0 -0 -6 -0x5826 -0xba60205e -256 -256 -0 -0 -0 -6 -0x2af5 -0xd00bc434 -256 -256 -0 -0 -0 -5 -0xc10f -0xb9781394 -256 -256 -1 -0 -0 -2 -0x6a63 -0x78a3e437 -256 -256 -0 -0 -0 -8 -0x82be -0xbb911794 -256 -256 -0 -0 -0 -6 -0xc7b4 -0x9de4ec20 -256 -256 -1 -0 -0 -6 -0x7f2 -0x77053ac1 -256 -256 -0 -0 -0 -10 -0xe490 -0x9613103c -256 -256 -1 -0 -0 -5 -0xa4 -0x6baa1be7 -256 -256 -0 -0 -0 -9 -0x777e -0xe7057420 -256 -256 -0 -0 -0 -4 -0xeccd -0xfdec075b -256 -256 -0 -0 -0 -5 -0xa2c7 -0x9ae9ccb8 -256 -256 -0 -0 -0 -8 -0xe9c9 -0xaae82bad -256 -256 -0 -0 -0 -1 -0xac31 -0x3eddcf3f -256 -256 -0 -0 -0 -5 -0xf1eb -0xfbb21c51 -256 -256 -0 -0 -0 -10 -0x5a88 -0xc14b5dac -256 -256 -1 -0 -0 -7 -0x5805 -0xaa9070a6 -256 -256 -0 -0 -0 -2 -0x96c5 -0x5e6e1722 -256 -256 -0 -0 -0 -3 -0x6dd1 -0x1a26c12 -256 -256 -1 -0 -0 -5 -0xaaf8 -0x6ee6ebf7 -256 -256 -0 -0 -0 -6 -0x9629 -0x66d2d6f1 -256 -256 -1 -0 -0 -8 -0xcca -0x17472b09 -256 -256 -1 -0 -0 -6 -0x615b -0x7e99d19 -256 -256 -0 -0 -0 -7 -0x4482 -0x4f0bb975 -256 -256 -1 -0 -0 -5 -0xed44 -0xe1263945 -256 -256 -0 -0 -0 -5 -0x94df -0xdbc25469 -256 -256 -1 -0 -0 -9 -0x1839 -0x51ddde35 -256 -256 -1 -0 -0 -10 -0x1cad -0x9d274e2a -256 -256 -1 -0 -0 -4 -0xf3b6 -0x9734cc1a -256 -256 -1 -0 -0 -7 -0x3a18 -0xf678eb37 -256 -256 -0 -0 -0 -5 -0x829e -0x11b3a0de -256 -256 -0 -0 -0 -4 -0x26f0 -0x2ad86d63 -256 -256 -0 -0 -0 -1 -0xef52 -0x71c03b27 -256 -256 -1 -0 -0 -3 -0x6337 -0xb8835529 -256 -256 -0 -0 -0 -9 -0xb94d -0xdeb5a189 -256 -256 -0 -0 -0 -5 -0x3d3 -0x884f1277 -256 -256 -0 -0 -0 -1 -0xf0aa -0x81f113a4 -256 -256 -1 -0 -0 -4 -0x8bd6 -0x89ab66cc -256 -256 -0 -0 -0 -3 -0xe61b -0xac94a785 -256 -256 -1 -0 -0 -4 -0xdbe1 -0x55830ed -256 -256 -1 -0 -0 -8 -0x55ae -0x1a5c6dfe -256 -256 -1 -0 -0 -3 -0xe4e4 -0x45bb7abf -256 -256 -1 -0 -0 -10 -0x1f16 -0x8fe67b8f -256 -256 -0 -0 -0 -7 -0x190c -0x8b56b83 -256 -256 -0 -0 -0 -3 -0xda13 -0x6e4ddaa1 -256 -256 -1 -0 -0 -4 -0x43df -0x45e231a8 -256 -256 -1 -0 -0 -7 -0x10ad -0x9269a541 -256 -256 -1 -0 -0 -6 -0xcd15 -0x89d84833 -256 -256 -1 -0 -0 -1 -0x32d4 -0x8fb932e4 -256 -256 -0 -0 -0 -7 -0xc047 -0x6ce14b11 -256 -256 -1 -0 -0 -1 -0x4956 -0x60999907 -256 -256 -0 -0 -0 -5 -0x563e -0xf9a7c114 -256 -256 -1 -0 -0 -4 -0xe66f -0x6ad7cf3 -256 -256 -0 -0 -0 -8 -0xa785 -0x309cc950 -256 -256 -0 -0 -0 -9 -0x74bb -0x726f81fa -256 -256 -1 -0 -0 -10 -0x88d7 -0xebd92b4d -256 -256 -0 -0 -0 -4 -0xca3 -0xe41edc8 -256 -256 -1 -0 -0 -5 -0x69f4 -0x4c8bc354 -256 -256 -1 -0 -0 -9 -0x32db -0x73a740a4 -256 -256 -0 -0 -0 -8 -0x60db -0x17ddab99 -256 -256 -0 -0 -0 -5 -0x1f25 -0xed44d64d -256 -256 -1 -0 -0 -10 -0x50e9 -0x5f2f22b5 -256 -256 -0 -0 -0 -6 -0x44d0 -0xe8cf5f7 -256 -256 -0 -0 -0 -5 -0x1cfb -0xaa76fb0 -256 -256 -0 -0 -0 -10 -0x2a7b -0x3b062d11 -256 -256 -0 -0 -0 -8 -0x40f0 -0xdbe25a28 -256 -256 -0 -0 -0 -8 -0xe51d -0xa7c0ef55 -256 -256 -0 -0 -0 -8 -0x3ebb -0x99e3657a -256 -256 -1 -0 -0 -5 -0x3c41 -0x7d96cd2f -256 -256 -0 -0 -0 -1 -0xb317 -0x72833e35 -256 -256 -1 -0 -0 -2 -0x62cb -0xb5fe7d5c -256 -256 -1 -0 -0 -5 -0x63a9 -0x412d3256 -256 -256 -0 -0 -0 -6 -0xaeaf -0xe6c25322 -256 -256 -1 -0 -0 -5 -0xf242 -0xbf2dfc0f -256 -256 -0 -0 -0 -6 -0xbaf5 -0x8c04ea99 -256 -256 -0 -0 -0 -3 -0x6cdc -0xf1a9c31 -256 -256 -0 -0 -0 -4 -0x7daf -0xd24d2c9d -256 -256 -0 -0 -0 -5 -0xdc56 -0x91e4e786 -256 -256 -0 -0 -0 -1 -0x97b5 -0x87a1b3a9 -256 -256 -1 -0 -0 -3 -0xf359 -0x466f47da -256 -256 -0 -0 -0 -4 -0x88c6 -0x14b1cecf -256 -256 -0 -0 -0 -2 -0x1fe9 -0x41c9b9b -256 -256 -0 -0 -0 -8 -0xf03c -0x1db1226a -256 -256 -1 -0 -0 -4 -0x5933 -0xe91e821c -256 -256 -0 -0 -0 -2 -0x9f77 -0xd31372fa -256 -256 -0 -0 -0 -3 -0x7563 -0xa1d6d140 -256 -256 -1 -0 -0 -2 -0x2873 -0x6494c780 -256 -256 -1 -0 -0 -6 -0x74c4 -0x861bea3b -256 -256 -0 -0 -0 -7 -0x8057 -0xdf72da89 -256 -256 -1 -0 -0 -4 -0x6201 -0x41719a2e -256 -256 -1 -0 -0 -6 -0xf97 -0xbfd5e56b -256 -256 -0 -0 -0 -3 -0x11bd -0x9049982e -256 -256 -0 -0 -0 -8 -0xf1ac -0xa62447c4 -256 -256 -0 -0 -0 -7 -0x3d0f -0xa5daf692 -256 -256 -0 -0 -0 -2 -0xb0e -0xfae5c2d5 -256 -256 -1 -0 -0 -7 -0xc4b3 -0xf5e97844 -256 -256 -1 -0 -0 -2 -0x4f5b -0x660541a7 -256 -256 -0 -0 -0 -9 -0xa6e3 -0x3c3136e6 -256 -256 -1 -0 -0 -3 -0xcd4e -0x47cc6df -256 -256 -0 -0 -0 -9 -0xfd5c -0x9ca3729e -256 -256 -0 -0 -0 -8 -0x4bd8 -0x46b5447d -256 -256 -1 -0 -0 -4 -0x9dec -0x53e55fd7 -256 -256 -0 -0 -0 -6 -0xb39c -0x68da3743 -256 -256 -0 -0 -0 -2 -0xdd6a -0xac36c87b -256 -256 -1 -0 -0 -6 -0xc341 -0x1e460ea5 -256 -256 -0 -0 -0 -8 -0x3fd8 -0x8356a805 -256 -256 -1 -0 -0 -7 -0x3f22 -0x6370df49 -256 -256 -1 -0 -0 -8 -0xf14e -0xdab537ae -256 -256 -0 -0 -0 -2 -0x9452 -0x749ca6db -256 -256 -1 -0 -0 -3 -0xf5f7 -0xa7a967d4 -256 -256 -1 -0 -0 -4 -0x1e5 -0xe1d0927f -256 -256 -1 -0 -0 -4 -0x52be -0xe19d7e4b -256 -256 -0 -0 -0 -3 -0xe82b -0x71461c4a -256 -256 -0 -0 -0 -8 -0x6261 -0xc5ef1646 -256 -256 -0 -0 -0 -3 -0x28a9 -0x6bfe0da2 -256 -256 -1 -0 -0 -7 -0x72ae -0x6a0615fa -256 -256 -0 -0 -0 -7 -0x37b6 -0x6b21ca47 -256 -256 -0 -0 -0 -4 -0x6d12 -0xe23b277f -256 -256 -1 -0 -0 -8 -0xb2e4 -0xbfe67da4 -256 -256 -0 -0 -0 -2 -0x9111 -0x9948d2 -256 -256 -1 -0 -0 -2 -0x8e51 -0xd17842e -256 -256 -1 -0 -0 -9 -0x8c42 -0xa029406a -256 -256 -1 -0 -0 -6 -0x497e -0x9b4bf0c5 -256 -256 -1 -0 -0 -3 -0x80b2 -0x1fcb9ade -256 -256 -0 -0 -0 -1 -0xc8fa -0x6fe9dfd5 -256 -256 -0 -0 -0 -6 -0xb004 -0xa7e7e1ce -256 -256 -1 -0 -0 -7 -0xe506 -0xc5a833b8 -256 -256 -0 -0 -0 -2 -0x2649 -0xa0bfe346 -256 -256 -1 -0 -0 -2 -0x7322 -0xbe03f222 -256 -256 -0 -0 -0 -9 -0x46da -0x13085e86 -256 -256 -1 -0 -0 -8 -0x59f -0x5195f614 -256 -256 -1 -0 -0 -2 -0x9c4f -0x3aaca234 -256 -256 -0 -0 -0 -9 -0x4f75 -0xb52747f8 -256 -256 -0 -0 -0 -1 -0x3c86 -0x93b77fb4 -256 -256 -0 -0 -0 -9 -0x993c -0xd671bb5a -256 -256 -0 -0 -0 -10 -0xd895 -0xa7bfd1e2 -256 -256 -0 -0 -0 -2 -0x98b2 -0x26f6005e -256 -256 -1 -0 -0 -4 -0x96f3 -0xb497cf69 -256 -256 -0 -0 -0 -10 -0x30ec -0x2a7d386d -256 -256 -1 -0 -0 -5 -0xaf4d -0x155da0d3 -256 -256 -0 -0 -0 -7 -0xc1d1 -0x22a05dd -256 -256 -0 -0 -0 -10 -0xe512 -0x24042dbd -256 -256 -0 -0 -0 -2 -0xf6e8 -0x9ff19064 -256 -256 -1 -0 -0 -4 -0x594d -0xbf2550af -256 -256 -0 -0 -0 -2 -0x72ee -0x19a0049f -256 -256 -0 -0 -0 -7 -0x23a4 -0x7438dd16 -256 -256 -0 -0 -0 -7 -0xe228 -0x2af6de7a -256 -256 -1 -0 -0 -8 -0x971e -0xe9d779aa -256 -256 -0 -0 -0 -3 -0x6f4b -0x26822014 -256 -256 -1 -0 -0 -10 -0x8da7 -0x24789ae7 -256 -256 -0 -0 -0 -4 -0xe77d -0x50dc3532 -256 -256 -0 -0 -0 -3 -0xbaec -0x72f8a5d6 -256 -256 -1 -0 -0 -10 -0x293f -0x2357e0b1 -256 -256 -0 -0 -0 -7 -0x3b3d -0xcc579ff6 -256 -256 -1 -0 -0 -10 -0xbc0a -0xb2a3757a -256 -256 -0 -0 -0 -6 -0x369 -0x8b18ce35 -256 -256 -1 -0 -0 -4 -0xbf1f -0x107a02ac -256 -256 -1 -0 -0 -3 -0xf6c0 -0xfda648c2 -256 -256 -1 -0 -0 -7 -0x3522 -0x13ff990a -256 -256 -0 -0 -0 -9 -0x4a00 -0x8541cecf -256 -256 -1 -0 -0 -4 -0x5e80 -0xe45c0421 -256 -256 -1 -0 -0 -1 -0xa14e -0x1c0ec12a -256 -256 -1 -0 -0 -2 -0xfc91 -0xfe852fca -256 -256 -0 -0 -0 -2 -0xd919 -0x7f45aa07 -256 -256 -0 -0 -0 -1 -0x7ccb -0x84fe8232 -256 -256 -0 -0 -0 -10 -0x1b8c -0x7258c7a0 -256 -256 -1 -0 -0 -8 -0xaa12 -0xe01bd0e3 -256 -256 -0 -0 -0 -1 -0x2a01 -0x1a002607 -256 -256 -0 -0 -0 -8 -0x3cb7 -0x347ede19 -256 -256 -0 -0 -0 -4 -0xa701 -0x7db3d252 -256 -256 -0 -0 -0 -2 -0xc725 -0xb558a94c -256 -256 -0 -0 -0 -8 -0xffa0 -0x8b684df3 -256 -256 -1 -0 -0 -7 -0xff49 -0xac938203 -256 -256 -0 -0 -0 -5 -0x2996 -0x477db81a -256 -256 -1 -0 -0 -3 -0x3500 -0xb1f0725a -256 -256 -1 -0 -0 -6 -0x900d -0x99944d22 -256 -256 -1 -0 -0 -1 -0xca66 -0xb01c07ae -256 -256 -0 -0 -0 -10 -0x45f0 -0xa4dd31a1 -256 -256 -0 -0 -0 -3 -0x5c05 -0xdd857815 -256 -256 -0 -0 -0 -6 -0x6a47 -0xbce6ec5d -256 -256 -0 -0 -0 -10 -0x62bb -0x2a63d83a -256 -256 -1 -0 -0 -4 -0x56cf -0x914ec459 -256 -256 -1 -0 -0 -5 -0xfd65 -0xfd966231 -256 -256 -0 -0 -0 -5 -0x7526 -0x6a41bda0 -256 -256 -0 -0 -0 -1 -0x1fac -0x2070a385 -256 -256 -0 -0 -0 -6 -0x6103 -0x5a1b84c2 -256 -256 -0 -0 -0 -3 -0x4089 -0x76a2d0bd -256 -256 -0 -0 -0 -7 -0x6b06 -0x2fcae796 -256 -256 -1 -0 -0 -4 -0x4cfc -0x7e038c8c -256 -256 -1 -0 -0 -7 -0xcf87 -0xa1bdc98 -256 -256 -1 -0 -0 -4 -0xf7c1 -0xe47c285f -256 -256 -1 -0 -0 -10 -0xae7b -0xd2b0488a -256 -256 -1 -0 -0 -6 -0xa56b -0x85e6daea -256 -256 -1 -0 -0 -1 -0x8196 -0x1f7d41fa -256 -256 -1 -0 -0 -7 -0x36b1 -0xccd40eb9 -256 -256 -1 -0 -0 -4 -0x6338 -0x62f9f7df -256 -256 -1 -0 -0 -8 -0xedaa -0xc8f79ca9 -256 -256 -1 -0 -0 -1 -0xc347 -0x79e881f1 -256 -256 -1 -0 -0 -8 -0x8615 -0xf6ad76fb -256 -256 -0 -0 -0 -9 -0x5c53 -0x4a58c69e -256 -256 -0 -0 -0 -10 -0xccb6 -0x7164eb02 -256 -256 -0 -0 -0 -9 -0x4180 -0x5c47ace0 -256 -256 -1 -0 -0 -6 -0x45f9 -0xf11d5465 -256 -256 -1 -0 -0 -3 -0x6ffc -0xeb3a8832 -256 -256 -0 -0 -0 -2 -0xbc51 -0x345ef6b7 -256 -256 -0 -0 -0 -3 -0x8757 -0xf581237 -256 -256 -0 -0 -0 -8 -0xa4aa -0x3fe914eb -256 -256 -1 -0 -0 -1 -0x372e -0xd4d57819 -256 -256 -0 -0 -0 -7 -0x2131 -0x86cb4524 -256 -256 -0 -0 -0 -4 -0xd38c -0x3d2d7c1c -256 -256 -1 -0 -0 -8 -0xc7c3 -0xe82b584a -256 -256 -1 -0 -0 -4 -0x31d8 -0xc490d17e -256 -256 -1 -0 -0 -9 -0xa4f5 -0xb899f74e -256 -256 -0 -0 -0 -2 -0x452c -0xd247cab3 -256 -256 -0 -0 -0 -5 -0x8dca -0x78b90acc -256 -256 -1 -0 -0 -3 -0x7b62 -0xbe077846 -256 -256 -1 -0 -0 -9 -0x6ba0 -0x7948f75e -256 -256 -0 -0 -0 -4 -0xe2 -0xb8a54572 -256 -256 -1 -0 -0 -4 -0xa22c -0xba62027b -256 -256 -1 -0 -0 -8 -0x3f7b -0xb4ac36af -256 -256 -0 -0 -0 -4 -0x65de -0x422c765 -256 -256 -1 -0 -0 -7 -0xa476 -0xdc990b0d -256 -256 -0 -0 -0 -3 -0x9329 -0xc943f073 -256 -256 -1 -0 -0 -5 -0xb59 -0x9307309d -256 -256 -0 -0 -0 -9 -0xfe6d -0x385bb08 -256 -256 -0 -0 -0 -7 -0x7358 -0x4cbacfe9 -256 -256 -0 -0 -0 -7 -0x61c9 -0x5a19e200 -256 -256 -1 -0 -0 -3 -0xe88e -0x378f5e5c -256 -256 -0 -0 -0 -9 -0xa4bf -0xe48b8fe -256 -256 -1 -0 -0 -6 -0xe6bd -0xf0482c4b -256 -256 -1 -0 -0 -3 -0xf009 -0xdec17996 -256 -256 -0 -0 -0 -7 -0x6a9e -0x66b31071 -256 -256 -0 -0 -0 -1 -0xc6f5 -0x96eaafbf -256 -256 -0 -0 -0 -1 -0x48b0 -0x79723289 -256 -256 -0 -0 -0 -9 -0x543c -0xd5ac1608 -256 -256 -0 -0 -0 -3 -0x8c1 -0x13a7357c -256 -256 -1 -0 -0 -7 -0x27c5 -0xa03b5124 -256 -256 -1 -0 -0 -10 -0x5ae0 -0xe316d92f -256 -256 -0 -0 -0 -5 -0x10ee -0xac5c0261 -256 -256 -0 -0 -0 -4 -0xc281 -0x1f47f094 -256 -256 -1 -0 -0 -1 -0xe88 -0x968666a6 -256 -256 -0 -0 -0 -1 -0x73ea -0xf17e5b38 -256 -256 -1 -0 -0 -10 -0x21e2 -0xc97f8317 -256 -256 -0 -0 -0 -5 -0x782b -0x8971184a -256 -256 -1 -0 -0 -5 -0x1ac4 -0x7e1acd2d -256 -256 -0 -0 -0 -7 -0x94f3 -0x60cd73a6 -256 -256 -0 -0 -0 -8 -0x529 -0xeb332fe7 -256 -256 -1 -0 -0 -4 -0x6237 -0x6da1f45e -256 -256 -0 -0 -0 -1 -0xd3f1 -0xb9ad649d -256 -256 -1 -0 -0 -6 -0x3181 -0xfae2dc5d -256 -256 -0 -0 -0 -1 -0x17f6 -0x75453bc -256 -256 -0 -0 -0 -2 -0xc752 -0x6b77432 -256 -256 -0 -0 -0 -6 -0x4688 -0x7c0bb4f9 -256 -256 -1 -0 -0 -1 -0x6d3b -0x22e037e5 -256 -256 -0 -0 -0 -1 -0x1f6b -0xa3032464 -256 -256 -0 -0 -0 -3 -0x89e6 -0xf7fc8f4b -256 -256 -1 -0 -0 -4 -0x176b -0x8ddbbd87 -256 -256 -1 -0 -0 -8 -0x243b -0x602d7828 -256 -256 -1 -0 -0 -3 -0x6e92 -0x82bf40ce -256 -256 -1 -0 -0 -7 -0x1cd2 -0x81a0a608 -256 -256 -0 -0 -0 -4 -0x2289 -0xb7778e6d -256 -256 -0 -0 -0 -3 -0xe0 -0x324c9c4c -256 -256 -1 -0 -0 -2 -0xc1cb -0x46df63dc -256 -256 -0 -0 -0 -9 -0x415b -0x34da3e40 -256 -256 -1 -0 -0 -3 -0x1a6f -0x2a77c6e5 -256 -256 -1 -0 -0 -2 -0x7661 -0xb8d84676 -256 -256 -0 -0 -0 -10 -0x8aad -0x555f45db -256 -256 -1 -0 -0 -8 -0xd4a4 -0xec39ded4 -256 -256 -1 -0 -0 -3 -0x9eb4 -0xe12dc0b -256 -256 -0 -0 -0 -6 -0x3de2 -0x5820159a -256 -256 -0 -0 -0 -1 -0x85d4 -0x705495f4 -256 -256 -0 -0 -0 -9 -0xaa39 -0x50063535 -256 -256 -0 -0 -0 -6 -0x2707 -0x8fefd0b1 -256 -256 -0 -0 -0 -3 -0x38a9 -0xb8fb216c -256 -256 -1 -0 -0 -3 -0x5cd7 -0xc6a6adac -256 -256 -0 -0 -0 -7 -0xcc1a -0x53584cb7 -256 -256 -0 -0 -0 -7 -0x54ff -0x11664d1c -256 -256 -1 -0 -0 -4 -0x5b73 -0x38ee359d -256 -256 -1 -0 -0 -8 -0x547c -0xb25d783b -256 -256 -1 -0 -0 -6 -0x3173 -0x2f5ebf33 -256 -256 -0 -0 -0 -8 -0xe9a2 -0x8fda36c4 -256 -256 -0 -0 -0 -7 -0x4f70 -0xd1448aa2 -256 -256 -1 -0 -0 -6 -0xb27c -0x35720422 -256 -256 -0 -0 -0 -9 -0x6104 -0x557b7421 -256 -256 -1 -0 -0 -7 -0x9770 -0x73e59ae0 -256 -256 -1 -0 -0 -1 -0x91c6 -0x97f1bbd7 -256 -256 -0 -0 -0 -5 -0x772c -0x84746d26 -256 -256 -1 -0 -0 -5 -0x3c12 -0x6408f640 -256 -256 -0 -0 -0 -10 -0xe75d -0x53e28e20 -256 -256 -1 -0 -0 -6 -0xe2ea -0x2dc7109b -256 -256 -1 -0 -0 -10 -0x8b68 -0xad45bb26 -256 -256 -1 -0 -0 -3 -0x8028 -0x299e710c -256 -256 -0 -0 -0 -2 -0x3e7d -0x8690e6e3 -256 -256 -1 -0 -0 -9 -0x8aca -0xfd05fbcb -256 -256 -1 -0 -0 -10 -0x7809 -0xe9bbaf9e -256 -256 -0 -0 -0 -9 -0x47d5 -0xca298fd1 -256 -256 -1 -0 -0 -4 -0x8f4e -0xc00cc4b4 -256 -256 -1 -0 -0 -1 -0xf4ff -0x323f8ae7 -256 -256 -0 -0 -0 -3 -0x5679 -0xaff893a6 -256 -256 -0 -0 -0 -3 -0x1855 -0x57af78fc -256 -256 -0 -0 -0 -10 -0x76d -0x220ed9ce -256 -256 -1 -0 -0 -3 -0x6155 -0xab61bb36 -256 -256 -1 -0 -0 -9 -0x2d79 -0x5e6bd726 -256 -256 -0 -0 -0 -8 -0x9b5b -0x9eb45ad7 -256 -256 -1 -0 -0 -4 -0x9c43 -0xb4b169a -256 -256 -1 -0 -0 -7 -0x3aad -0x28b343a4 -256 -256 -0 -0 -0 -10 -0x88ed -0x31ecf926 -256 -256 -0 -0 -0 -6 -0xd0bc -0x51916013 -256 -256 -1 -0 -0 -2 -0xf20c -0xb4d08e4d -256 -256 -0 -0 -0 -3 -0x18a3 -0x9aff93d1 -256 -256 -1 -0 -0 -7 -0x7d -0xd0b7d27e -256 -256 -0 -0 -0 -5 -0x9183 -0x1b6efb1b -256 -256 -1 -0 -0 -3 -0x8152 -0xa8761442 -256 -256 -1 -0 -0 -1 -0xf32e -0x531802f9 -256 -256 -1 -0 -0 -1 -0x4712 -0x15b8b1ca -256 -256 -0 -0 -0 -2 -0x720d -0x442409d6 -256 -256 -1 -0 -0 -4 -0x6e57 -0x72d5a94a -256 -256 -1 -0 -0 -5 -0xe852 -0xadc9bdf5 -256 -256 -1 -0 -0 -3 -0x8f56 -0xae6f5ebc -256 -256 -0 -0 -0 -8 -0x8956 -0xfb4d2e3d -256 -256 -0 -0 -0 -4 -0x2bc3 -0x5ecdcfef -256 -256 -0 -0 -0 -4 -0x38a1 -0x2ef883f1 -256 -256 -0 -0 -0 -4 -0xb356 -0x42bd2d32 -256 -256 -0 -0 -0 -6 -0xd542 -0xb20871c0 -256 -256 -1 -0 -0 -7 -0x6901 -0xf0de853a -256 -256 -1 -0 -0 -8 -0xcfc9 -0xfedfe6e7 -256 -256 -1 -0 -0 -7 -0xe3c2 -0xac0143c2 -256 -256 -1 -0 -0 -6 -0x61a3 -0x85ba2b24 -256 -256 -0 -0 -0 -1 -0xb4f0 -0xc93a7f90 -256 -256 -1 -0 -0 -2 -0xbcb6 -0x15e3a8a8 -256 -256 -1 -0 -0 -3 -0x18e9 -0x933359d2 -256 -256 -0 -0 -0 -5 -0xbe3d -0xbde28a56 -256 -256 -1 -0 -0 -3 -0xb67f -0xf9c278a3 -256 -256 -0 -0 -0 -10 -0x5dde -0xefd8f5d7 -256 -256 -1 -0 -0 -9 -0x19f1 -0x616ad9a5 -256 -256 -0 -0 -0 -2 -0xa32e -0x8dd200c5 -256 -256 -1 -0 -0 -8 -0x3e3b -0x51e87ece -256 -256 -0 -0 -0 -3 -0xc786 -0x523f00ea -256 -256 -0 -0 -0 -2 -0xec3f -0x1400f107 -256 -256 -1 -0 -0 -2 -0x370a -0x4888e717 -256 -256 -0 -0 -0 -10 -0x4b11 -0xeeedbe6d -256 -256 -0 -0 -0 -3 -0x63cd -0xe5a35840 -256 -256 -0 -0 -0 -1 -0x2f49 -0xd343f17f -256 -256 -0 -0 -0 -1 -0xc0f7 -0xaa4c7639 -256 -256 -1 -0 -0 -3 -0xb582 -0x9f754e2d -256 -256 -1 -0 -0 -7 -0xc7f1 -0x6483e3c6 -256 -256 -1 -0 -0 -6 -0x8eb6 -0x5d400c40 -256 -256 -1 -0 -0 -8 -0x15ef -0x85203906 -256 -256 -0 -0 -0 -7 -0x50c7 -0xd5bc6a85 -256 -256 -0 -0 -0 -5 -0xbd72 -0xc1f59aae -256 -256 -1 -0 -0 -2 -0x30a0 -0x735e7f9 -256 -256 -1 -0 -0 -9 -0x999a -0x9981a54b -256 -256 -1 -0 -0 -8 -0xcbf4 -0x80379d25 -256 -256 -0 -0 -0 -2 -0x5f15 -0xd1710822 -256 -256 -1 -0 -0 -3 -0x5b38 -0x7e0999b6 -256 -256 -1 -0 -0 -6 -0xaf6d -0x7f816b00 -256 -256 -1 -0 -0 -5 -0x9726 -0xfe05c06c -256 -256 -0 -0 -0 -9 -0x11dd -0x89529eb -256 -256 -1 -0 -0 -7 -0x74e9 -0xfb58a3cc -256 -256 -0 -0 -0 -1 -0x2ed4 -0x5d78ba27 -256 -256 -1 -0 -0 -9 -0xbd29 -0xe2f3a139 -256 -256 -0 -0 -0 -10 -0xee81 -0x4f2cf88a -256 -256 -1 -0 -0 -1 -0x1acf -0x15768507 -256 -256 -0 -0 -0 -10 -0xced -0xe37494d6 -256 -256 -0 -0 -0 -8 -0x6516 -0x84604d42 -256 -256 -0 -0 -0 -6 -0x7725 -0x29037e2d -256 -256 -1 -0 -0 -7 -0x2cbe -0x2c503fbd -256 -256 -0 -0 -0 -6 -0xa7fd -0x77ad6799 -256 -256 -1 -0 -0 -4 -0xade7 -0x4b12eeee -256 -256 -1 -0 -0 -9 -0xa721 -0x4ad8104b -256 -256 -1 -0 -0 -10 -0x51ff -0xf3fb95ee -256 -256 -0 -0 -0 -5 -0x30d9 -0xd8872ad2 -256 -256 -0 -0 -0 -4 -0xb7ed -0xe091e3ae -256 -256 -0 -0 -0 -3 -0xed87 -0x78a83db2 -256 -256 -1 -0 -0 -8 -0xf636 -0x4d2cf47a -256 -256 -0 -0 -0 -2 -0xb71d -0x4ceb101e -256 -256 -1 -0 -0 -5 -0x4aca -0x89b4588a -256 -256 -0 -0 -0 -2 -0x6ce6 -0x610bfe82 -256 -256 -1 -0 -0 -9 -0x6e01 -0x1ddf6e05 -256 -256 -1 -0 -0 -5 -0x851 -0x723e0260 -256 -256 -0 -0 -0 -9 -0x7d2 -0xf83705ad -256 -256 -0 -0 -0 -7 -0xde5b -0x728d5baf -256 -256 -0 -0 -0 -10 -0x90c4 -0x7e058b32 -256 -256 -0 -0 -0 -5 -0x1aa9 -0x136693c4 -256 -256 -0 -0 -0 -3 -0xa293 -0xbdef8e2e -256 -256 -0 -0 -0 -5 -0xfd75 -0x6e1d9172 -256 -256 -1 -0 -0 -5 -0x3966 -0x724fc2aa -256 -256 -0 -0 -0 -8 -0x8394 -0x3ba1fd4c -256 -256 -1 -0 -0 -10 -0x40db -0xf6b9ceb8 -256 -256 -0 -0 -0 -1 -0xd61 -0xf646c1f0 -256 -256 -0 -0 -0 -10 -0x2f32 -0x2aad8448 -256 -256 -1 -0 -0 -10 -0xac95 -0xcf773850 -256 -256 -1 -0 -0 -1 -0x6a7d -0x6bcd6b51 -256 -256 -1 -0 -0 -3 -0x737d -0xdb412860 -256 -256 -1 -0 -0 -6 -0x4764 -0xfaa3588 -256 -256 -1 -0 -0 -7 -0x540f -0xc7733f48 -256 -256 -0 -0 -0 -7 -0x4637 -0x7ce6eeb -256 -256 -0 -0 -0 -5 -0xc2f9 -0x75eed098 -256 -256 -1 -0 -0 -5 -0x9aa2 -0xb83a358c -256 -256 -1 -0 -0 -9 -0xa2f9 -0x4d4746 -256 -256 -1 -0 -0 -1 -0x8283 -0x74c7c991 -256 -256 -1 -0 -0 -4 -0x20f1 -0xad09a275 -256 -256 -1 -0 -0 -9 -0x47be -0xc8e99f4c -256 -256 -1 -0 -0 -5 -0xb51b -0xfc86801b -256 -256 -1 -0 -0 -5 -0xe7ef -0x38ce14f1 -256 -256 -0 -0 -0 -10 -0x1399 -0xd3c3693d -256 -256 -1 -0 -0 -4 -0xe02b -0x515a8b80 -256 -256 -1 -0 -0 -3 -0xcf2b -0x35470220 -256 -256 -0 -0 -0 -3 -0x280 -0xdb99443f -256 -256 -0 -0 -0 -6 -0x1261 -0xa6f641bd -256 -256 -1 -0 -0 -10 -0x11e -0x19b34373 -256 -256 -1 -0 -0 -1 -0xb0ae -0x6d3d3a56 -256 -256 -1 -0 -0 -9 -0x7815 -0xaae48af2 -256 -256 -1 -0 -0 -8 -0x17c5 -0x2cb530c8 -256 -256 -1 -0 -0 -5 -0xa195 -0x236dcc93 -256 -256 -0 -0 -0 -8 -0x3307 -0xc74ceb10 -256 -256 -1 -0 -0 -6 -0xbb66 -0x5eb53b30 -256 -256 -1 -0 -0 -4 -0x879c -0xa8bed61e -256 -256 -0 -0 -0 -6 -0xdfdc -0xa48e0b09 -256 -256 -0 -0 -0 -7 -0xfd4e -0xfefe2dbb -256 -256 -0 -0 -0 -1 -0xbf12 -0x69475e85 -256 -256 -1 -0 -0 -8 -0x4f57 -0x38b80b18 -256 -256 -0 -0 -0 -3 -0x522 -0xf7f0ce4d -256 -256 -0 -0 -0 -6 -0x7d2b -0x203c2a03 -256 -256 -0 -0 -0 -1 -0x45f1 -0xe7c06788 -256 -256 -1 -0 -0 -3 -0xf1b6 -0x1009aa7e -256 -256 -0 -0 -0 -10 -0xa50f -0x6940aebb -256 -256 -1 -0 -0 -9 -0x5b4a -0xba2bd61b -256 -256 -0 -0 -0 -3 -0x3bc4 -0x68b92b87 -256 -256 -1 -0 -0 -7 -0x222f -0x9c7b699c -256 -256 -0 -0 -0 -6 -0x45c3 -0x9694ca27 -256 -256 -0 -0 -0 -10 -0xa655 -0xa43f411a -256 -256 -1 -0 -0 -4 -0xc35a -0x57bb5c7c -256 -256 -1 -0 -0 -1 -0x37eb -0x126be3fe -256 -256 -1 -0 -0 -7 -0x34ea -0xcaae7707 -256 -256 -1 -0 -0 -2 -0xf549 -0x9a99f923 -256 -256 -1 -0 -0 -3 -0x4fa -0x3f3974b9 -256 -256 -1 -0 -0 -10 -0xc7aa -0x3a7e1d5e -256 -256 -0 -0 -0 -7 -0xf581 -0x8e19fb5b -256 -256 -0 -0 -0 -6 -0xfbed -0x26a8069a -256 -256 -1 -0 -0 -7 -0xd4b2 -0x29b19804 -256 -256 -0 -0 -0 -1 -0x797 -0xc80555c -256 -256 -0 -0 -0 -3 -0x7609 -0xacb452ed -256 -256 -0 -0 -0 -3 -0x4027 -0x3953ef57 -256 -256 -1 -0 -0 -1 -0x42b6 -0x139713f2 -256 -256 -0 -0 -0 -9 -0xb2d7 -0xed3f8005 -256 -256 -1 -0 -0 -5 -0x1266 -0xaf4b2708 -256 -256 -1 -0 -0 -3 -0xee35 -0x1a8aea35 -256 -256 -1 -0 -0 -6 -0xf10d -0xc5f2f4e9 -256 -256 -0 -0 -0 -9 -0x7df3 -0xab0c1f03 -256 -256 -0 -0 -0 -4 -0xf288 -0x5387ae92 -256 -256 -0 -0 -0 -10 -0xa457 -0x58728f32 -256 -256 -0 -0 -0 -6 -0xf530 -0x236a788b -256 -256 -1 -0 -0 -6 -0x7224 -0x3067b46 -256 -256 -0 -0 -0 -7 -0x3061 -0xb15c0b18 -256 -256 -1 -0 -0 -9 -0x655f -0x4bac8ad1 -256 -256 -1 -0 -0 -8 -0x4d2a -0x3e54a107 -256 -256 -1 -0 -0 -4 -0x2b4d -0xe19807f4 -256 -256 -0 -0 -0 -7 -0x6d62 -0xbbdb8436 -256 -256 -0 -0 -0 -10 -0xae57 -0x3894b541 -256 -256 -1 -0 -0 -2 -0xee4d -0xb64c5824 -256 -256 -0 -0 -0 -4 -0x5271 -0xdfa17847 -256 -256 -0 -0 -0 -4 -0xf3da -0x5d9f9d12 -256 -256 -0 -0 -0 -10 -0x9c67 -0x9d2fe0cd -256 -256 -0 -0 -0 -5 -0x46c3 -0xfdf7aa3b -256 -256 -1 -0 -0 -3 -0x321a -0x364100e9 -256 -256 -1 -0 -0 -9 -0x4ecd -0xacecdfe4 -256 -256 -0 -0 -0 -8 -0xa40d -0x483f6803 -256 -256 -1 -0 -0 -8 -0x591d -0x42ff4376 -256 -256 -0 -0 -0 -8 -0x2a97 -0xbfbb502b -256 -256 -0 -0 -0 -2 -0xfe1b -0x63463eff -256 -256 -0 -0 -0 -5 -0xd7a3 -0x189489c2 -256 -256 -1 -0 -0 -3 -0x6427 -0xfa48a31 -256 -256 -0 -0 -0 -2 -0x71d7 -0xa92d19c7 -256 -256 -0 -0 -0 -5 -0x25cb -0x59584a79 -256 -256 -1 -0 -0 -8 -0xe3dd -0x3284e88f -256 -256 -0 -0 -0 -1 -0x95cd -0x56173e06 -256 -256 -0 -0 -0 -6 -0x564d -0x81029c73 -256 -256 -0 -0 -0 -7 -0xed16 -0x43a2772d -256 -256 -0 -0 -0 -2 -0xfe8e -0x3ffc7f96 -256 -256 -0 -0 -0 -4 -0xc724 -0x3ee503ed -256 -256 -1 -0 -0 -6 -0xa7ec -0xe54245e2 -256 -256 -0 -0 -0 -8 -0x57bc -0x1b21456d -256 -256 -1 -0 -0 -3 -0x4d03 -0x5acdcf40 -256 -256 -0 -0 -0 -4 -0x64b9 -0x2942998b -256 -256 -0 -0 -0 -2 -0xd13a -0x32d10595 -256 -256 -1 -0 -0 -10 -0x9e74 -0x638f11eb -256 -256 -1 -0 -0 -5 -0x6cda -0x25d986d2 -256 -256 -1 -0 -0 -7 -0xfb4f -0x16c2eec4 -256 -256 -0 -0 -0 -6 -0xd792 -0xbea82af6 -256 -256 -0 -0 -0 -3 -0xb55a -0xc678eb33 -256 -256 -0 -0 -0 -6 -0x45d9 -0x5ed5e8f6 -256 -256 -0 -0 -0 -3 -0x1a03 -0x2cced635 -256 -256 -0 -0 -0 -7 -0xa8da -0x40fc82d7 -256 -256 -0 -0 -0 -3 -0x4196 -0xd136cef2 -256 -256 -0 -0 -0 -3 -0x8ca6 -0x33d67c92 -256 -256 -0 -0 -0 -5 -0x176 -0x6cfebbd2 -256 -256 -1 -0 -0 -9 -0x1cbf -0x38a8ee2f -256 -256 -1 -0 -0 -8 -0x664b -0xfb716527 -256 -256 -1 -0 -0 -7 -0xd4e6 -0x51c1eb5a -256 -256 -0 -0 -0 -10 -0x2663 -0xae88fec7 -256 -256 -1 -0 -0 -1 -0x8bd6 -0xbd87576f -256 -256 -0 -0 -0 -6 -0xcf77 -0xe7cd34f1 -256 -256 -1 -0 -0 -10 -0xf506 -0xe4473ed -256 -256 -0 -0 -0 -9 -0x964d -0x2ee8a3ba -256 -256 -1 -0 -0 -3 -0x2bbb -0x1f3e2566 -256 -256 -1 -0 -0 -6 -0xba0d -0xb09da123 -256 -256 -1 -0 -0 -9 -0xcd3f -0xffa1179e -256 -256 -1 -0 -0 -3 -0x87ad -0x8ed86582 -256 -256 -0 -0 -0 -4 -0x4e9e -0x4a427f1b -256 -256 -1 -0 -0 -8 -0x16a -0xc40ca7e7 -256 -256 -1 -0 -0 -6 -0x3573 -0x8311245f -256 -256 -0 -0 -0 -5 -0xc487 -0xf8c1dad9 -256 -256 -0 -0 -0 -6 -0x28e -0x77e2f08b -256 -256 -1 -0 -0 -7 -0x505e -0x652e388f -256 -256 -1 -0 -0 -9 -0x462 -0x85f6afe7 -256 -256 -0 -0 -0 -10 -0x6ed3 -0x647dd9f3 -256 -256 -0 -0 -0 -8 -0xc18f -0xb4d69961 -256 -256 -0 -0 -0 -7 -0xe5b1 -0xddb565d -256 -256 -1 -0 -0 -1 -0xf2a6 -0xbdd3b34e -256 -256 -1 -0 -0 -1 -0x338d -0x5cebf837 -256 -256 -1 -0 -0 -6 -0x41fa -0x4d2ffd91 -256 -256 -0 -0 -0 -8 -0xfbef -0x671ea729 -256 -256 -0 -0 -0 -4 -0xab06 -0x11514690 -256 -256 -1 -0 -0 -8 -0x3fab -0xf9f2e949 -256 -256 -1 -0 -0 -4 -0xc509 -0x33a3c1ac -256 -256 -1 -0 -0 -2 -0xc908 -0xd4eec000 -256 -256 -1 -0 -0 -7 -0x31bc -0x616a8707 -256 -256 -1 -0 -0 -10 -0xb8d3 -0x63b30c68 -256 -256 -1 -0 -0 -7 -0x2d60 -0x682c134e -256 -256 -1 -0 -0 -4 -0x328b -0x972220db -256 -256 -1 -0 -0 -10 -0x361f -0xa1b81844 -256 -256 -0 -0 -0 -7 -0x8ae -0xbc474794 -256 -256 -1 -0 -0 -4 -0x4271 -0x770d9379 -256 -256 -0 -0 -0 -8 -0x202d -0xc51032cf -256 -256 -0 -0 -0 -6 -0xf00b -0xb5d7109c -256 -256 -0 -0 -0 -5 -0x24d6 -0xa7cccc5f -256 -256 -0 -0 -0 -8 -0x257d -0xa1ff70ec -256 -256 -0 -0 -0 -6 -0xbc9b -0x7f6cdb4c -256 -256 -1 -0 -0 -9 -0x9cba -0xe6a577c2 -256 -256 -0 -0 -0 -8 -0x267f -0xf6d508fd -256 -256 -1 -0 -0 -1 -0x456f -0x4b5605c2 -256 -256 -1 -0 -0 -4 -0x390f -0x3a8d4001 -256 -256 -1 -0 -0 -10 -0xa098 -0x17392371 -256 -256 -1 -0 -0 -2 -0x38ab -0x2ec6c776 -256 -256 -0 -0 -0 -4 -0x7c50 -0x302e9ea2 -256 -256 -1 -0 -0 -6 -0x3cc5 -0xeed48a1e -256 -256 -0 -0 -0 -3 -0x4241 -0x1b5811eb -256 -256 -1 -0 -0 -7 -0xf314 -0x5a43420a -256 -256 -1 -0 -0 -2 -0x6834 -0x5a1414dd -256 -256 -0 -0 -0 -9 -0xa694 -0x97f84c14 -256 -256 -0 -0 -0 -5 -0x8d22 -0x94d26b7d -256 -256 -0 -0 -0 -9 -0xcc08 -0xeaa4fd6d -256 -256 -0 -0 -0 -9 -0x497d -0x29d3fdfd -256 -256 -1 -0 -0 -3 -0xe424 -0xfea52152 -256 -256 -1 -0 -0 -1 -0xe7aa -0xb2d60a1c -256 -256 -1 -0 -0 -5 -0x61b6 -0x490dc36a -256 -256 -1 -0 -0 -9 -0x7348 -0x86743fcd -256 -256 -1 -0 -0 -6 -0x2d8e -0x61bfd0e6 -256 -256 -0 -0 -0 -4 -0x2570 -0xec479f78 -256 -256 -0 -0 -0 -5 -0x36e8 -0xbd1a58b2 -256 -256 -1 -0 -0 -7 -0x63da -0x99b3321d -256 -256 -0 -0 -0 -3 -0x2590 -0x240e6693 -256 -256 -1 -0 -0 -3 -0xfd2e -0x3dd6ea6d -256 -256 -1 -0 -0 -6 -0xb5ef -0xf330d02a -256 -256 -0 -0 -0 -8 -0xea90 -0x51f791b9 -256 -256 -0 -0 -0 -9 -0x876c -0xc0f8be30 -256 -256 -0 -0 -0 -5 -0x4444 -0x10c9ca64 -256 -256 -1 -0 -0 -3 -0xf9f7 -0x775c9c13 -256 -256 -1 -0 -0 -3 -0x4d72 -0x8e616d3b -256 -256 -0 -0 -0 -1 -0x902c -0x1499d6b6 -256 -256 -0 -0 -0 -10 -0xa7f1 -0xb2f1965b -256 -256 -0 -0 -0 -9 -0x6ab7 -0xcb5511ab -256 -256 -0 -0 -0 -9 -0x6296 -0x93f48ca9 -256 -256 -0 -0 -0 -1 -0xb21 -0x64dad0e4 -256 -256 -1 -0 -0 -3 -0x511d -0x4a12a9c4 -256 -256 -1 -0 -0 -10 -0x2f1 -0x5f406df1 -256 -256 -0 -0 -0 -9 -0x2e67 -0xaf179a8a -256 -256 -0 -0 -0 -1 -0x7305 -0xeaada2fa -256 -256 -1 -0 -0 -8 -0xb96d -0x9c3e0210 -256 -256 -0 -0 -0 -6 -0xc2f5 -0xbbc44bd7 -256 -256 -1 -0 -0 -2 -0xd05d -0x5d40c02e -256 -256 -1 -0 -0 -8 -0xac7d -0xeb9d3c09 -256 -256 -1 -0 -0 -9 -0x9a98 -0x279f3794 -256 -256 -1 -0 -0 -2 -0x5e4 -0x1d0376d1 -256 -256 -0 -0 -0 -4 -0xe50e -0x303298e1 -256 -256 -1 -0 -0 -6 -0x478e -0xf8568379 -256 -256 -1 -0 -0 -7 -0x2423 -0x7ef715a4 -256 -256 -0 -0 -0 -3 -0x8a96 -0x7e7c1a60 -256 -256 -0 -0 -0 -8 -0xb0d7 -0x652aae1 -256 -256 -1 -0 -0 -5 -0x64cd -0xf6be1726 -256 -256 -0 -0 -0 -5 -0x3201 -0x45a7e5fb -256 -256 -1 -0 -0 -10 -0xbf46 -0x3f793ddd -256 -256 -1 -0 -0 -9 -0xa9dc -0xe1fe670 -256 -256 -1 -0 -0 -9 -0x223b -0x4ef9c1df -256 -256 -1 -0 -0 -8 -0x7c6b -0x9a563a6b -256 -256 -0 -0 -0 -10 -0xcf40 -0x98b7a5d9 -256 -256 -1 -0 -0 -9 -0xf553 -0x40084b5e -256 -256 -0 -0 -0 -7 -0x5233 -0xa570bffd -256 -256 -0 -0 -0 -6 -0xbd6d -0xbb1a70e3 -256 -256 -0 -0 -0 -8 -0xef6e -0x138d03fa -256 -256 -1 -0 -0 -1 -0xbb17 -0xcd5bfceb -256 -256 -1 -0 -0 -5 -0x659b -0xaf27f1f2 -256 -256 -1 -0 -0 -8 -0x7047 -0x559f936f -256 -256 -0 -0 -0 -1 -0x7814 -0xcc99705a -256 -256 -1 -0 -0 -9 -0x6d80 -0x333873f8 -256 -256 -0 -0 -0 -8 -0xe571 -0x6c28d192 -256 -256 -0 -0 -0 -5 -0xd3e7 -0xd449a779 -256 -256 -1 -0 -0 -3 -0xae32 -0x7b468bc4 -256 -256 -1 -0 -0 -3 -0x18a1 -0xe660aee -256 -256 -0 -0 -0 -8 -0xabd7 -0xa7466bde -256 -256 -1 -0 -0 -4 -0x875d -0x7bce7093 -256 -256 -0 -0 -0 -8 -0xbaf -0x6745103e -256 -256 -1 -0 -0 -6 -0x35f4 -0x600fb5a8 -256 -256 -1 -0 -0 -2 -0x288b -0x87ec7faf -256 -256 -0 -0 -0 -6 -0x2f5a -0xb16527d3 -256 -256 -0 -0 -0 -7 -0xcc23 -0xfc036ae5 -256 -256 -1 -0 -0 -3 -0xd1ec -0xf9dde845 -256 -256 -1 -0 -0 -8 -0x893 -0x4c7f9a41 -256 -256 -0 -0 -0 -4 -0x894d -0x5eab07d4 -256 -256 -1 -0 -0 -3 -0x206c -0x1967246f -256 -256 -1 -0 -0 -3 -0xf1d8 -0x62a9edc4 -256 -256 -0 -0 -0 -5 -0xf7d1 -0xd23f089c -256 -256 -0 -0 -0 -10 -0x5f31 -0x631e475c -256 -256 -1 -0 -0 -2 -0xb3bb -0xef85470 -256 -256 -1 -0 -0 -1 -0xb888 -0x7f2d2663 -256 -256 -1 -0 -0 -1 -0x3a7c -0x2c50d85e -256 -256 -0 -0 -0 -1 -0x570a -0xa8fe6223 -256 -256 -0 -0 -0 -7 -0x88fb -0xcad5d893 -256 -256 -0 -0 -0 -6 -0x500a -0x8b59e200 -256 -256 -0 -0 -0 -10 -0x5e70 -0x5d49906c -256 -256 -0 -0 -0 -3 -0x992c -0xec0549df -256 -256 -0 -0 -0 -3 -0xbd12 -0x7905a473 -256 -256 -1 -0 -0 -7 -0xa36d -0x1c5823a0 -256 -256 -0 -0 -0 -8 -0x1bb3 -0x2565415c -256 -256 -1 -0 -0 -10 -0xd269 -0x558a5495 -256 -256 -1 -0 -0 -7 -0x3db6 -0x39f82acf -256 -256 -0 -0 -0 -6 -0x997b -0x22fd49fd -256 -256 -0 -0 -0 -3 -0xb0a0 -0xeb9535f8 -256 -256 -1 -0 -0 -7 -0x7c43 -0x850bc9fe -256 -256 -1 -0 -0 -4 -0xa114 -0x6b85e32a -256 -256 -0 -0 -0 -10 -0x2287 -0xc91c1c13 -256 -256 -0 -0 -0 -2 -0x8caa -0xda87c014 -256 -256 -1 -0 -0 -1 -0x9f8a -0x5770cf69 -256 -256 -0 -0 -0 -5 -0x1058 -0x62d6ced8 -256 -256 -1 -0 -0 -3 -0xeddd -0xd8b7c5d7 -256 -256 -1 -0 -0 -3 -0x1373 -0xf5c47a4 -256 -256 -1 -0 -0 -4 -0x9a8e -0xe4da90f5 -256 -256 -1 -0 -0 -4 -0x4458 -0xa193827f -256 -256 -1 -0 -0 -9 -0x2e76 -0x31e6c67a -256 -256 -0 -0 -0 -4 -0x8143 -0x243d1f0f -256 -256 -0 -0 -0 -6 -0x636 -0x35343c68 -256 -256 -1 -0 -0 -4 -0x51be -0x52055322 -256 -256 -0 -0 -0 -5 -0xa309 -0x71b36260 -256 -256 -1 -0 -0 -2 -0x4958 -0x22982459 -256 -256 -1 -0 -0 -4 -0x6e6 -0xdc22271e -256 -256 -1 -0 -0 -4 -0xc4e4 -0x10a0774d -256 -256 -1 -0 -0 -6 -0xfc19 -0x7580a73f -256 -256 -1 -0 -0 -3 -0x580c -0xcb1d0f08 -256 -256 -0 -0 -0 -10 -0x2153 -0xdd9ae3ad -256 -256 -0 -0 -0 -6 -0x6af7 -0x3a53c600 -256 -256 -1 -0 -0 -6 -0x939c -0x2a5421c4 -256 -256 -1 -0 -0 -8 -0x5b8d -0xd01d5299 -256 -256 -1 -0 -0 -7 -0xac67 -0x4e2462be -256 -256 -0 -0 -0 -9 -0xbda3 -0x1435c5cf -256 -256 -0 -0 -0 -8 -0x4b94 -0xebb3ad2d -256 -256 -0 -0 -0 -3 -0x7cb0 -0xc68f339f -256 -256 -1 -0 -0 -8 -0x2508 -0xf392c25e -256 -256 -0 -0 -0 -2 -0x2ddd -0x5abce73f -256 -256 -0 -0 -0 -8 -0x188e -0xbdbbb5e2 -256 -256 -0 -0 -0 -8 -0xcf1a -0x8e0434f6 -256 -256 -0 -0 -0 -3 -0x562a -0x24571897 -256 -256 -0 -0 -0 -10 -0x4996 -0x25146096 -256 -256 -0 -0 -0 -9 -0xe954 -0xa0d7da04 -256 -256 -0 -0 -0 -2 -0x9d2d -0x8f7f4665 -256 -256 -0 -0 -0 -1 -0x8055 -0x346a455d -256 -256 -1 -0 -0 -5 -0xb0f5 -0x7f5f0a5e -256 -256 -0 -0 -0 -1 -0xef27 -0x4f8be277 -256 -256 -1 -0 -0 -5 -0xf6c -0x2d362cf4 -256 -256 -1 -0 -0 -5 -0x14e7 -0x1411cf68 -256 -256 -1 -0 -0 -3 -0x82df -0xea97fcd1 -256 -256 -1 -0 -0 -6 -0xd8f1 -0x132dcfca -256 -256 -1 -0 -0 -6 -0xc5c9 -0x8a4c381c -256 -256 -0 -0 -0 -3 -0xdfc1 -0xb09902e2 -256 -256 -0 -0 -0 -8 -0x86f1 -0xcf80a7d8 -256 -256 -0 -0 -0 -1 -0xed99 -0xcb607c04 -256 -256 -1 -0 -0 -10 -0xb751 -0x313b8f29 -256 -256 -0 -0 -0 -1 -0x2151 -0x8291aef1 -256 -256 -0 -0 -0 -6 -0x18b1 -0x17712ddf -256 -256 -0 -0 -0 -7 -0x2186 -0xf93f9840 -256 -256 -1 -0 -0 -1 -0xad3 -0x304e6c4e -256 -256 -0 -0 -0 -6 -0x9af1 -0x87d56760 -256 -256 -0 -0 -0 -7 -0x4ea -0x9b560330 -256 -256 -0 -0 -0 -2 -0x9ca4 -0x1366ed37 -256 -256 -0 -0 -0 -8 -0x2516 -0xba13650a -256 -256 -0 -0 -0 -10 -0xef42 -0x6a802671 -256 -256 -1 -0 -0 -6 -0xf129 -0xd87d2cab -256 -256 -1 -0 -0 -7 -0x6ec -0xa6827161 -256 -256 -1 -0 -0 -6 -0xb7ab -0x3965a41c -256 -256 -1 -0 -0 -3 -0x8ca4 -0xdf7929aa -256 -256 -1 -0 -0 -10 -0xd3db -0xe7475890 -256 -256 -0 -0 -0 -3 -0x450e -0x8f4df573 -256 -256 -1 -0 -0 -8 -0x2043 -0x2a0f9afc -256 -256 -0 -0 -0 -7 -0x8298 -0xd40406d2 -256 -256 -1 -0 -0 -6 -0x429e -0x8a5b7a61 -256 -256 -0 -0 -0 -6 -0xa0c4 -0x48b5fc8c -256 -256 -1 -0 -0 -2 -0x78da -0x137afc0a -256 -256 -1 -0 -0 -4 -0x3823 -0xa86581a3 -256 -256 -0 -0 -0 -1 -0x26c -0x605119ac -256 -256 -1 -0 -0 -7 -0xe5ea -0x9d1c2dd4 -256 -256 -1 -0 -0 -2 -0x234 -0x66c0b45c -256 -256 -0 -0 -0 -2 -0x58e4 -0x5a8335be -256 -256 -0 -0 -0 -7 -0x3093 -0x714096e3 -256 -256 -1 -0 -0 -8 -0x9dd9 -0xab41070d -256 -256 -1 -0 -0 -5 -0xe56f -0xf9fcd997 -256 -256 -0 -0 -0 -4 -0xbed8 -0x87edd989 -256 -256 -1 -0 -0 -4 -0x7418 -0xbc0f27d0 -256 -256 -0 -0 -0 -4 -0x7e3c -0x701a8383 -256 -256 -0 -0 -0 -10 -0xc14c -0xd6817b8 -256 -256 -0 -0 -0 -7 -0xc51a -0xf0a9ab3d -256 -256 -0 -0 -0 -7 -0x6afb -0xcdd926ab -256 -256 -1 -0 -0 -3 -0x61ec -0xfe00622b -256 -256 -0 -0 -0 -10 -0x52cf -0x20330dec -256 -256 -0 -0 -0 -2 -0x70d8 -0xbf9d3248 -256 -256 -0 -0 -0 -5 -0x62c -0x20ec7855 -256 -256 -0 -0 -0 -9 -0x3aae -0x4a2e8f61 -256 -256 -1 -0 -0 -9 -0x8d1d -0x91945043 -256 -256 -0 -0 -0 -1 -0xf510 -0xac601f5e -256 -256 -1 -0 -0 -5 -0x8a47 -0x9315aef6 -256 -256 -0 -0 -0 -10 -0xd49d -0x25e2cbe7 -256 -256 -1 -0 -0 -7 -0xda9d -0x6e441bba -256 -256 -1 -0 -0 -7 -0xd6dc -0x153e48db -256 -256 -1 -0 -0 -2 -0xc0c6 -0xa2bc8f90 -256 -256 -0 -0 -0 -4 -0x9fe9 -0x28c5824d -256 -256 -0 -0 -0 -1 -0x57b5 -0x865cfa5c -256 -256 -1 -0 -0 -9 -0x1b10 -0x32a8bbec -256 -256 -1 -0 -0 -4 -0x88b5 -0xc39626c1 -256 -256 -1 -0 -0 -6 -0xd57 -0xbdb12c83 -256 -256 -0 -0 -0 -7 -0x2c5f -0x4022c1ad -256 -256 -1 -0 -0 -7 -0xc486 -0x45b83740 -256 -256 -0 -0 -0 -7 -0x66ec -0xc3feda9c -256 -256 -1 -0 -0 -7 -0xdcc -0x6a7bed2f -256 -256 -0 -0 -0 -7 -0x2c8d -0x38386555 -256 -256 -1 -0 -0 -9 -0x67f3 -0xbbb16863 -256 -256 -1 -0 -0 -10 -0xcc82 -0xc04c8994 -256 -256 -1 -0 -0 -4 -0x4b95 -0x2cfc8f77 -256 -256 -0 -0 -0 -2 -0x3dfb -0xce51715c -256 -256 -1 -0 -0 -5 -0xe39d -0x9526be87 -256 -256 -1 -0 -0 -8 -0x729b -0x1ed23274 -256 -256 -0 -0 -0 -7 -0x4ac7 -0xd5fe6a1c -256 -256 -0 -0 -0 -10 -0x26d2 -0x8c632601 -256 -256 -1 -0 -0 -8 -0x6a33 -0xef972ac2 -256 -256 -1 -0 -0 -5 -0xb6ae -0x79fdbc52 -256 -256 -0 -0 -0 -8 -0xcd8b -0xfb078726 -256 -256 -0 -0 -0 -8 -0x733 -0x31e4fd83 -256 -256 -0 -0 -0 -7 -0x3307 -0x9086f10b -256 -256 -1 -0 -0 -3 -0x6df8 -0xda027633 -256 -256 -1 -0 -0 -7 -0x2cfd -0xb8e78810 -256 -256 -0 -0 -0 -1 -0xdc01 -0x167eada6 -256 -256 -0 -0 -0 -2 -0x2278 -0xbdf70bd8 -256 -256 -0 -0 -0 -4 -0x2d18 -0xdb4162bc -256 -256 -1 -0 -0 -4 -0x1fa0 -0x23307c5b -256 -256 -0 -0 -0 -6 -0x917 -0x84d72eb3 -256 -256 -1 -0 -0 -5 -0x21ed -0x254a543c -256 -256 -1 -0 -0 -9 -0x79b -0x21b4bded -256 -256 -0 -0 -0 -4 -0x8a2 -0xc7cae81f -256 -256 -0 -0 -0 -7 -0x191e -0xcbab08e9 -256 -256 -0 -0 -0 -6 -0x7659 -0xef86b203 -256 -256 -0 -0 -0 -2 -0xddfe -0x6a5f06dc -256 -256 -0 -0 -0 -9 -0x2282 -0xe286d041 -256 -256 -0 -0 -0 -2 -0x7a3 -0xc446ae4f -256 -256 -1 -0 -0 -5 -0x3c15 -0xf444fd0e -256 -256 -0 -0 -0 -4 -0xfb10 -0xd38bf38b -256 -256 -1 -0 -0 -2 -0xd21d -0xb46f1226 -256 -256 -1 -0 -0 -10 -0xbff2 -0x80300965 -256 -256 -1 -0 -0 -10 -0xc7cf -0x7aa12da2 -256 -256 -0 -0 -0 -3 -0x24ac -0xd7ca87d4 -256 -256 -1 -0 -0 -10 -0xfa82 -0x83be38db -256 -256 -1 -0 -0 -7 -0x631c -0xb9cd42a -256 -256 -0 -0 -0 -8 -0x83bd -0x66a705c9 -256 -256 -0 -0 -0 -10 -0x3ea1 -0x401d6a74 -256 -256 -1 -0 -0 -3 -0x4147 -0x6043b814 -256 -256 -0 -0 -0 -10 -0xa68f -0xe122175d -256 -256 -1 -0 -0 -3 -0x19f3 -0xd61c8f98 -256 -256 -1 -0 -0 -6 -0x20ad -0xbe27bb0d -256 -256 -0 -0 -0 -6 -0x463 -0x8e89b6be -256 -256 -0 -0 -0 -8 -0xbb5f -0x9e39bb6 -256 -256 -1 -0 -0 -3 -0xfbf5 -0x2b44ad27 -256 -256 -0 -0 -0 -2 -0x2eec -0x36e7c9b5 -256 -256 -0 -0 -0 -2 -0xdc95 -0x34e44576 -256 -256 -1 -0 -0 -10 -0x5a1c -0x668153a -256 -256 -1 -0 -0 -6 -0x8766 -0x4b006c66 -256 -256 -0 -0 -0 -7 -0xb6fa -0xfed47726 -256 -256 -0 -0 -0 -7 -0xe3f7 -0xf7a078b0 -256 -256 -0 -0 -0 -9 -0xfdad -0x51ec4449 -256 -256 -0 -0 -0 -9 -0x2d23 -0xbca8f2f2 -256 -256 -0 -0 -0 -6 -0x8ca4 -0xc992b434 -256 -256 -0 -0 -0 -4 -0x3ce -0xe63483ee -256 -256 -1 -0 -0 -3 -0xfca5 -0x425698a6 -256 -256 -1 -0 -0 -9 -0x9db1 -0x908e237d -256 -256 -1 -0 -0 -9 -0x812f -0x4b83fdc7 -256 -256 -0 -0 -0 -9 -0x9bbc -0x4481b150 -256 -256 -0 -0 -0 -7 -0xfdda -0xcde5a70 -256 -256 -0 -0 -0 -10 -0xc4fb -0x17760253 -256 -256 -1 -0 -0 -10 -0xccb0 -0xaeabcd36 -256 -256 -1 -0 -0 -9 -0xbc2a -0xef752ba6 -256 -256 -0 -0 -0 -3 -0x2cc5 -0x31cd41e2 -256 -256 -1 -0 -0 -3 -0xe2d7 -0xff73872a -256 -256 -1 -0 -0 -5 -0xf833 -0xcd7ca1fc -256 -256 -0 -0 -0 -4 -0xed3c -0x352a2023 -256 -256 -0 -0 -0 -3 -0x9f46 -0x6d6605ac -256 -256 -1 -0 -0 -10 -0xcb48 -0x7a9683c6 -256 -256 -1 -0 -0 -6 -0xf72 -0x5429ad96 -256 -256 -0 -0 -0 -2 -0x5f9b -0x77c4a02 -256 -256 -1 -0 -0 -6 -0xaffd -0x24f81699 -256 -256 -1 -0 -0 -1 -0x68ee -0xe92aee67 -256 -256 -0 -0 -0 -3 -0x429d -0xe82eb0c8 -256 -256 -1 -0 -0 -7 -0xb95a -0x3db1bd3e -256 -256 -0 -0 -0 -4 -0x395 -0x2b04a8ae -256 -256 -1 -0 -0 -9 -0x92db -0x5ec8d86d -256 -256 -1 -0 -0 -2 -0xf3ee -0xab4f0a2c -256 -256 -0 -0 -0 -9 -0x533d -0x8576cd21 -256 -256 -1 -0 -0 -7 -0xbcb3 -0x3ce9836c -256 -256 -1 -0 -0 -4 -0xbf10 -0xac474477 -256 -256 -1 -0 -0 -1 -0x90bc -0x544e1f57 -256 -256 -0 -0 -0 -7 -0xb749 -0x10f01266 -256 -256 -0 -0 -0 -10 -0x3902 -0xd064a1f2 -256 -256 -0 -0 -0 -1 -0xdde6 -0xa3c95bd3 -256 -256 -0 -0 -0 -4 -0x78e3 -0x4dce7089 -256 -256 -1 -0 -0 -10 -0xba6c -0x642e05f6 -256 -256 -1 -0 -0 -4 -0x554e -0x2c6e7df7 -256 -256 -1 -0 -0 -2 -0xf713 -0xc175460d -256 -256 -1 -0 -0 -9 -0x52d -0x945eb7f0 -256 -256 -1 -0 -0 -2 -0xb5c6 -0xbc8854fa -256 -256 -0 -0 -0 -4 -0xcc89 -0xd7c57d49 -256 -256 -1 -0 -0 -9 -0xde3a -0xdb68f58 -256 -256 -1 -0 -0 -10 -0xc353 -0xfabb2255 -256 -256 -0 -0 -0 -5 -0x5328 -0xb6f51312 -256 -256 -1 -0 -0 -4 -0xd8a1 -0xff44f6ae -256 -256 -1 -0 -0 -10 -0xb6a -0x2a4ca808 -256 -256 -1 -0 -0 -6 -0x555c -0xc2ec932b -256 -256 -0 -0 -0 -4 -0xb6cc -0x78c688ca -256 -256 -1 -0 -0 -3 -0x90bb -0x2a9e1c0b -256 -256 -0 -0 -0 -9 -0xa4a7 -0x674f01fc -256 -256 -0 -0 -0 -10 -0x3fb6 -0x25b19a8a -256 -256 -1 -0 -0 -6 -0x9ba6 -0xcc9f18f2 -256 -256 -1 -0 -0 -8 -0xdbc0 -0x57632875 -256 -256 -0 -0 -0 -10 -0x34fa -0x11114913 -256 -256 -0 -0 -0 -2 -0xfb66 -0xe8160dd1 -256 -256 -0 -0 -0 -3 -0xe52 -0x9db2d0b5 -256 -256 -1 -0 -0 -4 -0x7fbb -0x9d4aa8ae -256 -256 -1 -0 -0 -10 -0x4774 -0x12b7dd30 -256 -256 -1 -0 -0 -9 -0xe70b -0x22151e2 -256 -256 -1 -0 -0 -9 -0x92f7 -0xb2eea192 -256 -256 -1 -0 -0 -3 -0xb2f2 -0x40043afb -256 -256 -0 -0 -0 -9 -0xefaa -0xcbc0894c -256 -256 -1 -0 -0 -2 -0x71ac -0x130bd780 -256 -256 -1 -0 -0 -5 -0x3d98 -0x210c9cba -256 -256 -1 -0 -0 -9 -0xf03b -0x16025ccc -256 -256 -0 -0 -0 -10 -0xef0e -0x7276be8b -256 -256 -1 -0 -0 -4 -0xf1d0 -0x9becf613 -256 -256 -0 -0 -0 -8 -0x121f -0x9f8c0f80 -256 -256 -0 -0 -0 -3 -0x5226 -0x4b946b07 -256 -256 -0 -0 -0 -1 -0xd7b0 -0xcd160075 -256 -256 -0 -0 -0 -2 -0xd39e -0x93162bb -256 -256 -0 -0 -0 -9 -0x4be2 -0x505d5d7 -256 -256 -0 -0 -0 -5 -0x84e4 -0x737e896b -256 -256 -1 -0 -0 -1 -0xeabd -0x9420cc78 -256 -256 -1 -0 -0 -10 -0x8e6d -0xe439c5e1 -256 -256 -1 -0 -0 -2 -0x82ea -0xddb3db84 -256 -256 -0 -0 -0 -5 -0x9172 -0xe33fed25 -256 -256 -0 -0 -0 -3 -0x88e2 -0xd9a87af8 -256 -256 -0 -0 -0 -10 -0x4af0 -0x841be513 -256 -256 -0 -0 -0 -9 -0x3113 -0x8d6cff15 -256 -256 -0 -0 -0 -1 -0x3b58 -0x9d32bd5d -256 -256 -1 -0 -0 -5 -0xc118 -0x42b2546f -256 -256 -0 -0 -0 -10 -0x571d -0xd2d76d9c -256 -256 -0 -0 -0 -4 -0x5124 -0xa538fe81 -256 -256 -0 -0 -0 -5 -0x9810 -0x96cd2e2a -256 -256 -1 -0 -0 -10 -0x9232 -0x1c672204 -256 -256 -1 -0 -0 -1 -0xb08 -0xee8ed2c6 -256 -256 -1 -0 -0 -6 -0xa7ce -0x19af3191 -256 -256 -1 -0 -0 -3 -0x5d7e -0x9621e60b -256 -256 -1 -0 -0 -8 -0x253f -0xace7cd5b -256 -256 -0 -0 -0 -3 -0xedb0 -0x9faba4d1 -256 -256 -1 -0 -0 -10 -0x8f97 -0xcabe559e -256 -256 -1 -0 -0 -3 -0x36ef -0x3f81b62f -256 -256 -0 -0 -0 -2 -0x1acc -0x51d55664 -256 -256 -1 -0 -0 -10 -0x24d1 -0xac0f9db7 -256 -256 -1 -0 -0 -6 -0x6462 -0xa4c6c901 -256 -256 -0 -0 -0 -3 -0xe38a -0x23e3e853 -256 -256 -0 -0 -0 -5 -0x2545 -0xefa597fa -256 -256 -0 -0 -0 -5 -0x5780 -0xc6af6127 -256 -256 -1 -0 -0 -7 -0xb9ac -0x939c97b2 -256 -256 -0 -0 -0 -9 -0xf3f0 -0x1f273f3c -256 -256 -0 -0 -0 -6 -0xdfaf -0xf1ac5f96 -256 -256 -1 -0 -0 -9 -0xee95 -0xaa4d0c7d -256 -256 -0 -0 -0 -8 -0x36b5 -0x143f3bce -256 -256 -0 -0 -0 -2 -0x4da9 -0x7f7a14a9 -256 -256 -1 -0 -0 -1 -0xc026 -0xaf188e42 -256 -256 -1 -0 -0 -1 -0xfe1c -0x86385223 -256 -256 -0 -0 -0 -1 -0x864 -0x1d9836ec -256 -256 -0 -0 -0 -4 -0x476c -0xed15686d -256 -256 -0 -0 -0 -10 -0xfec4 -0xfa95984f -256 -256 -1 -0 -0 -10 -0x5c40 -0x851275d6 -256 -256 -1 -0 -0 -4 -0x9dd8 -0xa47db516 -256 -256 -0 -0 -0 -10 -0x7c97 -0x6494c897 -256 -256 -1 -0 -0 -7 -0x2ab2 -0x781cca27 -256 -256 -0 -0 -0 -5 -0xf602 -0x1122e6e7 -256 -256 -1 -0 -0 -9 -0x2f08 -0x713d7d9b -256 -256 -1 -0 -0 -1 -0xff85 -0x60ba0500 -256 -256 -1 -0 -0 -1 -0x162f -0xbe4cd3e8 -256 -256 -1 -0 -0 -10 -0xe7ec -0xebfe3dc2 -256 -256 -1 -0 -0 -1 -0xfcd1 -0x3fa3efc4 -256 -256 -0 -0 -0 -2 -0x9359 -0xfd8a010f -256 -256 -0 -0 -0 -5 -0x91a4 -0x28103d38 -256 -256 -0 -0 -0 -10 -0xdb9e -0xf83b614d -256 -256 -0 -0 -0 -6 -0xbb74 -0x1d46f7b0 -256 -256 -1 -0 -0 -7 -0xdeba -0x530627e3 -256 -256 -0 -0 -0 -4 -0x4999 -0x4743663b -256 -256 -1 -0 -0 -3 -0xf15a -0x80073d10 -256 -256 -1 -0 -0 -2 -0x4623 -0x4adf4251 -256 -256 -0 -0 -0 -4 -0xeed2 -0xd1e7df57 -256 -256 -1 -0 -0 -5 -0x7703 -0x7199b7e -256 -256 -1 -0 -0 -5 -0x81ec -0x2c511ec1 -256 -256 -0 -0 -0 -9 -0x55be -0x760b0118 -256 -256 -1 -0 -0 -8 -0x410a -0x57ceef47 -256 -256 -1 -0 -0 -9 -0xfa1a -0x75beeff -256 -256 -0 -0 -0 -5 -0x6061 -0xccdc446e -256 -256 -0 -0 -0 -9 -0x932b -0xe69e2ec4 -256 -256 -0 -0 -0 -7 -0x64e4 -0x9bb0b5ea -256 -256 -1 -0 -0 -9 -0x190 -0xa3447550 -256 -256 -0 -0 -0 -7 -0x9123 -0x3bd4937c -256 -256 -1 -0 -0 -4 -0xa57c -0xff440062 -256 -256 -0 -0 -0 -8 -0xf4d6 -0x7509c582 -256 -256 -1 -0 -0 -2 -0xab0c -0xf053ed4d -256 -256 -0 -0 -0 -5 -0x671e -0xc73160cc -256 -256 -0 -0 -0 -9 -0xe1b9 -0x6d5df7f0 -256 -256 -0 -0 -0 -9 -0x16d7 -0x5a5ea5b6 -256 -256 -0 -0 -0 -8 -0xc1ed -0x3419d4c7 -256 -256 -0 -0 -0 -4 -0xeb6b -0xccfec53 -256 -256 -0 -0 -0 -2 -0xf24e -0xf77bc4cd -256 -256 -0 -0 -0 -3 -0xb295 -0x25d62e56 -256 -256 -1 -0 -0 -4 -0xbf68 -0x97f6fbd -256 -256 -1 -0 -0 -4 -0x7009 -0xea26fb39 -256 -256 -1 -0 -0 -2 -0xa18b -0xd707b4f6 -256 -256 -0 -0 -0 -8 -0xc550 -0xeda9aedd -256 -256 -0 -0 -0 -9 -0x111a -0x873becc5 -256 -256 -0 -0 -0 -1 -0x32f4 -0x5a08d006 -256 -256 -0 -0 -0 -9 -0x23a -0x1e33b463 -256 -256 -1 -0 -0 -2 -0xecf1 -0xb13a9d26 -256 -256 -1 -0 -0 -6 -0xffb1 -0xc518563 -256 -256 -0 -0 -0 -4 -0x75e3 -0x6182f78 -256 -256 -0 -0 -0 -9 -0x5b15 -0xeb10a32c -256 -256 -0 -0 -0 -3 -0x2df7 -0xfcc980be -256 -256 -0 -0 -0 -7 -0xa928 -0xaed24a11 -256 -256 -0 -0 -0 -9 -0xe4df -0x99349c51 -256 -256 -1 -0 -0 -6 -0x877b -0x8eef0553 -256 -256 -0 -0 -0 -6 -0x3ec7 -0x135a913d -256 -256 -0 -0 -0 -10 -0xf894 -0x37708598 -256 -256 -0 -0 -0 -10 -0xbcd9 -0x861aadf0 -256 -256 -0 -0 -0 -6 -0x76e8 -0xa6f8d672 -256 -256 -1 -0 -0 -3 -0xe0a5 -0xbcd65a68 -256 -256 -1 -0 -0 -2 -0xf573 -0xf0eea31f -256 -256 -1 -0 -0 -3 -0xa2aa -0x4464b2ce -256 -256 -0 -0 -0 -3 -0x34b -0xcb68b8d7 -256 -256 -0 -0 -0 -9 -0x1e64 -0x11c4bdb9 -256 -256 -1 -0 -0 -9 -0xb4fe -0x5d6cf7bc -256 -256 -0 -0 -0 -4 -0x7f08 -0x51c8c096 -256 -256 -1 -0 -0 -8 -0x4897 -0xb5e7ae01 -256 -256 -0 -0 -0 -6 -0x9d7c -0x68cde4c4 -256 -256 -1 -0 -0 -6 -0xa2b5 -0x4e14bf85 -256 -256 -1 -0 -0 -2 -0x3584 -0xaee1cc14 -256 -256 -1 -0 -0 -2 -0x584d -0xc18ca008 -256 -256 -0 -0 -0 -3 -0xd54d -0xf43f4aef -256 -256 -1 -0 -0 -9 -0xcac8 -0xfd1edc6b -256 -256 -0 -0 -0 -9 -0x490e -0x40c2728 -256 -256 -1 -0 -0 -7 -0x196 -0x3a76131b -256 -256 -0 -0 -0 -4 -0x457f -0x69c57a8e -256 -256 -1 -0 -0 -9 -0xc020 -0x82cbeb17 -256 -256 -1 -0 -0 -7 -0x9a96 -0x48983cb4 -256 -256 -1 -0 -0 -8 -0x32d -0x44bb1f4e -256 -256 -1 -0 -0 -3 -0x7af5 -0x24482d8f -256 -256 -1 -0 -0 -3 -0x475e -0xbfbbf993 -256 -256 -0 -0 -0 -4 -0x5689 -0x884717f8 -256 -256 -1 -0 -0 -8 -0xebcf -0x57446128 -256 -256 -0 -0 -0 -7 -0xb078 -0xfe4765dc -256 -256 -1 -0 -0 -4 -0xb52f -0x156a2603 -256 -256 -0 -0 -0 -8 -0x2bf -0x3de1ac17 -256 -256 -1 -0 -0 -5 -0x7559 -0x19f843b4 -256 -256 -1 -0 -0 -1 -0x8837 -0x35b6e63 -256 -256 -0 -0 -0 -7 -0xf532 -0xc75d4684 -256 -256 -1 -0 -0 -9 -0xdd78 -0xd61d90e3 -256 -256 -0 -0 -0 -3 -0x99f5 -0x83dbdc0 -256 -256 -1 -0 -0 -3 -0x7415 -0x499102b4 -256 -256 -1 -0 -0 -4 -0x74e1 -0x162dd8c1 -256 -256 -0 -0 -0 -7 -0x6774 -0x679c2b24 -256 -256 -0 -0 -0 -8 -0x147e -0x44e3ddcb -256 -256 -1 -0 -0 -9 -0xf099 -0xad5237d9 -256 -256 -1 -0 -0 -5 -0xfb6f -0x475e1b3a -256 -256 -1 -0 -0 -5 -0xe1f4 -0x438b0040 -256 -256 -1 -0 -0 -8 -0xc661 -0xe4405326 -256 -256 -0 -0 -0 -7 -0x3d30 -0x1fde0e65 -256 -256 -1 -0 -0 -3 -0x28f -0xfb9628dd -256 -256 -0 -0 -0 -7 -0x1288 -0x3a087a7a -256 -256 -0 -0 -0 -9 -0xe95d -0x390668f9 -256 -256 -0 -0 -0 -9 -0xa8c2 -0xe1c35b7e -256 -256 -1 -0 -0 -5 -0x5bab -0xdb66373e -256 -256 -1 -0 -0 -3 -0xc39f -0x678615b6 -256 -256 -0 -0 -0 -4 -0xa43c -0xae5e6140 -256 -256 -0 -0 -0 -10 -0x7f9a -0x561754f5 -256 -256 -0 -0 -0 -9 -0xda56 -0x186050a4 -256 -256 -0 -0 -0 -1 -0x1407 -0xc9613889 -256 -256 -0 -0 -0 -2 -0xd154 -0xf5315d92 -256 -256 -1 -0 -0 -5 -0x323 -0x52aa91e3 -256 -256 -1 -0 -0 -2 -0x82ee -0xa36ca2ac -256 -256 -1 -0 -0 -9 -0xb21a -0xc2412052 -256 -256 -0 -0 -0 -10 -0x2a59 -0x596c22d1 -256 -256 -1 -0 -0 -6 -0x601f -0xb51b12fc -256 -256 -1 -0 -0 -9 -0xa173 -0x65dbe403 -256 -256 -1 -0 -0 -1 -0xda81 -0xb5a6d30 -256 -256 -1 -0 -0 -2 -0x6fe -0xf9bb499f -256 -256 -1 -0 -0 -1 -0x4b11 -0x254c394a -256 -256 -1 -0 -0 -8 -0xebed -0x1801be64 -256 -256 -0 -0 -0 -5 -0x375 -0xbee9e300 -256 -256 -1 -0 -0 -6 -0x9e1b -0x5a375f97 -256 -256 -0 -0 -0 -9 -0x6c42 -0xdf5205ab -256 -256 -1 -0 -0 -3 -0x7e5a -0x9090f75e -256 -256 -0 -0 -0 -9 -0xd554 -0x65dd499 -256 -256 -1 -0 -0 -8 -0xba0b -0xdc86024f -256 -256 -0 -0 -0 -10 -0xa70c -0x9acc07dc -256 -256 -0 -0 -0 -2 -0xd0f8 -0xae248e77 -256 -256 -0 -0 -0 -6 -0x7ad -0x97958ff8 -256 -256 -1 -0 -0 -8 -0xdffb -0x75e5f38 -256 -256 -0 -0 -0 -2 -0xe1ab -0x5c90f1d0 -256 -256 -1 -0 -0 -10 -0x6bb2 -0x3e688e83 -256 -256 -1 -0 -0 -2 -0xbb82 -0x332a61c6 -256 -256 -1 -0 -0 -8 -0xbc0c -0x5766ae29 -256 -256 -1 -0 -0 -4 -0xdddc -0x1ffd4c9d -256 -256 -0 -0 -0 -9 -0x680e -0x6141b17f -256 -256 -1 -0 -0 -7 -0x2b1 -0x696e8044 -256 -256 -0 -0 -0 -10 -0x1873 -0x284361db -256 -256 -1 -0 -0 -3 -0xa8cf -0x46aa4cbf -256 -256 -1 -0 -0 -4 -0x4a7 -0xf0e4ff35 -256 -256 -1 -0 -0 -4 -0x1c96 -0xf7941a1d -256 -256 -0 -0 -0 -2 -0x5658 -0x838b71e6 -256 -256 -0 -0 -0 -5 -0x7944 -0x1d9f2bee -256 -256 -1 -0 -0 -3 -0x1db8 -0x4a741642 -256 -256 -1 -0 -0 -6 -0x9dde -0x2c9e0d45 -256 -256 -0 -0 -0 -5 -0x59fd -0x13911a3b -256 -256 -1 -0 -0 -7 -0xb7da -0x94041ce2 -256 -256 -0 -0 -0 -8 -0x4fc1 -0xd4eed52a -256 -256 -0 -0 -0 -8 -0xf98d -0xcf03a4cb -256 -256 -0 -0 -0 -1 -0xe32e -0x97c4b1a3 -256 -256 -1 -0 -0 -9 -0xffa8 -0xc71971bc -256 -256 -0 -0 -0 -2 -0xdf97 -0xcd885dfe -256 -256 -0 -0 -0 -4 -0x1379 -0xdb57521e -256 -256 -0 -0 -0 -4 -0x8f60 -0x9b7ecdb2 -256 -256 -1 -0 -0 -5 -0xf20d -0xf665a420 -256 -256 -0 -0 -0 -7 -0x65b7 -0x1b4a8839 -256 -256 -0 -0 -0 -2 -0x6e12 -0x67f55800 -256 -256 -0 -0 -0 -3 -0xc55f -0x58555399 -256 -256 -0 -0 -0 -6 -0xd9dd -0xc609fe28 -256 -256 -0 -0 -0 -7 -0xa740 -0x40e986cd -256 -256 -0 -0 -0 -3 -0x9c6c -0xebbec498 -256 -256 -0 -0 -0 -8 -0x2a0e -0xf4f4f371 -256 -256 -0 -0 -0 -4 -0x56db -0x6568e033 -256 -256 -1 -0 -0 -3 -0x230 -0xe911f580 -256 -256 -0 -0 -0 -6 -0xb5d9 -0xb6c8ddf1 -256 -256 -0 -0 -0 -5 -0xa6dc -0x72b36403 -256 -256 -1 -0 -0 -9 -0x2783 -0x46780ef -256 -256 -0 -0 -0 -3 -0x1f26 -0x6db760c8 -256 -256 -0 -0 -0 -6 -0xd378 -0xc18fed9b -256 -256 -0 -0 -0 -2 -0xbb64 -0xaca9005f -256 -256 -0 -0 -0 -9 -0x9152 -0xb098cbec -256 -256 -0 -0 -0 -6 -0xc5aa -0x8e4e4722 -256 -256 -1 -0 -0 -6 -0x867b -0x6e0810ae -256 -256 -1 -0 -0 -9 -0x5241 -0xfa69c276 -256 -256 -0 -0 -0 -1 -0x8388 -0xc5ff9128 -256 -256 -1 -0 -0 -4 -0xdc46 -0x840986a3 -256 -256 -1 -0 -0 -3 -0xfc1e -0xb12c15e -256 -256 -0 -0 -0 -5 -0x6ed8 -0xff0fd321 -256 -256 -0 -0 -0 -7 -0x896d -0x41959f7c -256 -256 -0 -0 -0 -7 -0x160a -0x7b24a745 -256 -256 -1 -0 -0 -9 -0x8057 -0xe204962f -256 -256 -0 -0 -0 -3 -0x8ed8 -0x84b8820c -256 -256 -1 -0 -0 -8 -0x71b4 -0x4c75eac7 -256 -256 -1 -0 -0 -8 -0x9b8d -0x27af677b -256 -256 -0 -0 -0 -1 -0xf5ac -0x346c6587 -256 -256 -1 -0 -0 -4 -0x5cee -0x64cd26dc -256 -256 -0 -0 -0 -6 -0x68f1 -0xc36e325d -256 -256 -1 -0 -0 -4 -0xd630 -0x174c6bda -256 -256 -0 -0 -0 -8 -0xa474 -0x6cc5c053 -256 -256 -0 -0 -0 -10 -0xf4ca -0x22a2c61d -256 -256 -0 -0 -0 -2 -0x9c91 -0x8aa3eeee -256 -256 -0 -0 -0 -4 -0xa324 -0xe2074a1a -256 -256 -0 -0 -0 -1 -0x61ba -0x8e935876 -256 -256 -0 -0 -0 -10 -0xd4b7 -0x810fb823 -256 -256 -1 -0 -0 -3 -0x940d -0xa94aa864 -256 -256 -0 -0 -0 -5 -0xb07c -0x750db78d -256 -256 -0 -0 -0 -10 -0x9e63 -0xf8225b74 -256 -256 -0 -0 -0 -9 -0xd884 -0xca899702 -256 -256 -0 -0 -0 -6 -0x55a5 -0x80b6052 -256 -256 -0 -0 -0 -10 -0xfcd9 -0xa6450563 -256 -256 -1 -0 -0 -1 -0x18f9 -0x2944bfba -256 -256 -1 -0 -0 -1 -0xea2b -0x8b8efad8 -256 -256 -1 -0 -0 -8 -0xe8b4 -0xa12747f4 -256 -256 -1 -0 -0 -7 -0xebb0 -0x7843316b -256 -256 -1 -0 -0 -9 -0xacc8 -0x46fab0a6 -256 -256 -1 -0 -0 -5 -0xcf28 -0xf35702a3 -256 -256 -0 -0 -0 -2 -0xdc03 -0x5a4dff57 -256 -256 -0 -0 -0 -7 -0x8fd9 -0x18ed3dcb -256 -256 -0 -0 -0 -8 -0x386d -0x3509f180 -256 -256 -1 -0 -0 -1 -0x4e5f -0x5cff3672 -256 -256 -1 -0 -0 -4 -0xdf98 -0x4d481b71 -256 -256 -0 -0 -0 -2 -0xffb1 -0x1bc847fc -256 -256 -1 -0 -0 -7 -0x4128 -0x5c20e125 -256 -256 -1 -0 -0 -5 -0x7e4d -0xe7cfac8f -256 -256 -0 -0 -0 -4 -0xe210 -0x1672e832 -256 -256 -1 -0 -0 -8 -0xde6d -0x738e8e3a -256 -256 -1 -0 -0 -8 -0x1608 -0x469733c2 -256 -256 -1 -0 -0 -8 -0x1af -0xb5ae62f8 -256 -256 -1 -0 -0 -5 -0x7885 -0x67fefd -256 -256 -0 -0 -0 -8 -0x462 -0xbacc6a1e -256 -256 -0 -0 -0 -1 -0xc545 -0x4f431915 -256 -256 -0 -0 -0 -8 -0xd869 -0x406af4a8 -256 -256 -0 -0 -0 -10 -0xcf7c -0x4cffa733 -256 -256 -0 -0 -0 -9 -0x4b4e -0x2e1ee416 -256 -256 -0 -0 -0 -3 -0x8d1a -0xfaa16e65 -256 -256 -1 -0 -0 -3 -0x122a -0x1f699c1e -256 -256 -1 -0 -0 -1 -0x196c -0x835fa550 -256 -256 -0 -0 -0 -2 -0x4db4 -0xdfe8b0ca -256 -256 -1 -0 -0 -9 -0x90e2 -0x29a4b5b4 -256 -256 -0 -0 -0 -10 -0xaaba -0x314dc550 -256 -256 -1 -0 -0 -1 -0x3dae -0x9b096cd3 -256 -256 -0 -0 -0 -10 -0x9e7a -0x70379d04 -256 -256 -0 -0 -0 -5 -0x7865 -0xdd01a723 -256 -256 -1 -0 -0 -4 -0x4cec -0x905b7e06 -256 -256 -0 -0 -0 -3 -0x3042 -0xfe21408b -256 -256 -0 -0 -0 -1 -0x680a -0xe9fba8da -256 -256 -0 -0 -0 -5 -0x3d63 -0x7ebd7a29 -256 -256 -0 -0 -0 -1 -0x5ec9 -0xc184df77 -256 -256 -1 -0 -0 -1 -0xe370 -0x10264445 -256 -256 -1 -0 -0 -1 -0xf3cc -0xdc3e2cd8 -256 -256 -0 -0 -0 -8 -0xf5c9 -0xa37279ee -256 -256 -1 -0 -0 -10 -0x5ecc -0x48187316 -256 -256 -0 -0 -0 -7 -0x2972 -0x8216cc87 -256 -256 -0 -0 -0 -4 -0xedd5 -0x230db40f -256 -256 -0 -0 -0 -7 -0xca7c -0xb86441f9 -256 -256 -0 -0 -0 -6 -0x347f -0x702dff58 -256 -256 -1 -0 -0 -1 -0x1b67 -0x9072bf0d -256 -256 -1 -0 -0 -3 -0x8897 -0xb433398e -256 -256 -0 -0 -0 -5 -0xd0ac -0x2255530 -256 -256 -1 -0 -0 -9 -0x3674 -0x5378e22c -256 -256 -1 -0 -0 -1 -0xe767 -0x5c80e245 -256 -256 -1 -0 -0 -8 -0x47e2 -0x13151b30 -256 -256 -1 -0 -0 -3 -0xc5f6 -0x4051476c -256 -256 -0 -0 -0 -5 -0x8eb5 -0x8bb4f671 -256 -256 -0 -0 -0 -8 -0xc791 -0xc7047166 -256 -256 -1 -0 -0 -2 -0x66ce -0x8568139b -256 -256 -0 -0 -0 -7 -0x371f -0xf9e1a583 -256 -256 -0 -0 -0 -7 -0x1541 -0x54a9371d -256 -256 -1 -0 -0 -7 -0xd209 -0x1e0deae6 -256 -256 -0 -0 -0 -9 -0xf169 -0xab8caf5a -256 -256 -1 -0 -0 -1 -0x7de6 -0xa24b4d0a -256 -256 -1 -0 -0 -9 -0x98eb -0x5b495c69 -256 -256 -1 -0 -0 -7 -0x3533 -0x927e688e -256 -256 -0 -0 -0 -1 -0x6e1f -0xa7576e71 -256 -256 -0 -0 -0 -2 -0xd5ae -0xbe99e955 -256 -256 -0 -0 -0 -10 -0xfae5 -0x77a85088 -256 -256 -0 -0 -0 -10 -0xbbe5 -0x8f9ced3f -256 -256 -0 -0 -0 -1 -0x5b7c -0xa5e71218 -256 -256 -0 -0 -0 -1 -0x61c0 -0x99d51d -256 -256 -1 -0 -0 -10 -0x3a03 -0xd44440bb -256 -256 -0 -0 -0 -5 -0x87c0 -0xbe97aa68 -256 -256 -1 -0 -0 -2 -0x69b8 -0x454b614d -256 -256 -0 -0 -0 -6 -0x3b1e -0x2cddd07f -256 -256 -0 -0 -0 -5 -0xeee2 -0xdc6e6fe3 -256 -256 -1 -0 -0 -9 -0xacc9 -0x8bd9a0dc -256 -256 -0 -0 -0 -6 -0xe41e -0x5a592a06 -256 -256 -1 -0 -0 -5 -0xa5aa -0xe3c5213 -256 -256 -0 -0 -0 -4 -0x2cd2 -0x22544295 -256 -256 -1 -0 -0 -7 -0xfae7 -0x3d4d3efa -256 -256 -1 -0 -0 -3 -0x97b1 -0x27aac7b4 -256 -256 -1 -0 -0 -6 -0xb94f -0x7bf1acd -256 -256 -0 -0 -0 -3 -0x6399 -0x1810ccf4 -256 -256 -1 -0 -0 -8 -0xe1cf -0xdb6e52a2 -256 -256 -0 -0 -0 -7 -0x11f6 -0x63dc64ac -256 -256 -1 -0 -0 -2 -0x1181 -0x42ef2c89 -256 -256 -0 -0 -0 -7 -0x5231 -0xdae0141a -256 -256 -0 -0 -0 -2 -0xb653 -0xf6d2fec7 -256 -256 -0 -0 -0 -7 -0xdf19 -0xbbc61754 -256 -256 -1 -0 -0 -4 -0x269 -0x6e5189ae -256 -256 -0 -0 -0 -8 -0x44e -0xbe77ec0e -256 -256 -1 -0 -0 -7 -0xf58b -0x23ec84d8 -256 -256 -0 -0 -0 -10 -0x1de2 -0x86f6a56a -256 -256 -1 -0 -0 -2 -0xc0da -0xe1b1534c -256 -256 -0 -0 -0 -4 -0x6d55 -0x36fa7c14 -256 -256 -0 -0 -0 -6 -0xb644 -0xcb2f234 -256 -256 -1 -0 -0 -5 -0x3706 -0x8fe87610 -256 -256 -1 -0 -0 -8 -0x4fd1 -0xbb8c9a9a -256 -256 -1 -0 -0 -6 -0x1abf -0x80a8eebd -256 -256 -0 -0 -0 -7 -0x2de5 -0x2c66726d -256 -256 -0 -0 -0 -10 -0x341b -0xa68c2b5c -256 -256 -1 -0 -0 -2 -0xddd -0x991faa57 -256 -256 -1 -0 -0 -7 -0xff60 -0xdf243b4c -256 -256 -0 -0 -0 -5 -0x41b3 -0x5bdbf182 -256 -256 -1 -0 -0 -1 -0x3b81 -0x7537aaa9 -256 -256 -0 -0 -0 -1 -0x4640 -0x8a3f20f8 -256 -256 -1 -0 -0 -2 -0x50f3 -0x762700de -256 -256 -1 -0 -0 -5 -0x8ff -0xb9963ac7 -256 -256 -1 -0 -0 -5 -0xe34e -0x4d60c4e3 -256 -256 -1 -0 -0 -8 -0x62d -0xfc937900 -256 -256 -0 -0 -0 -1 -0xf3d8 -0xc3773277 -256 -256 -0 -0 -0 -5 -0x1b6d -0x5599e5ef -256 -256 -0 -0 -0 -1 -0xc453 -0x43372921 -256 -256 -1 -0 -0 -9 -0x8915 -0x91ba52a3 -256 -256 -0 -0 -0 -6 -0x1f6a -0x1d713b2e -256 -256 -0 -0 -0 -3 -0x908d -0x65f0b6f -256 -256 -0 -0 -0 -9 -0xa1b8 -0x24fc9175 -256 -256 -0 -0 -0 -1 -0xbb09 -0x2a2b364a -256 -256 -1 -0 -0 -1 -0x80b6 -0xab59b584 -256 -256 -1 -0 -0 -6 -0x704b -0xddcb86d2 -256 -256 -0 -0 -0 -9 -0x2d7a -0xb412c392 -256 -256 -0 -0 -0 -10 -0x1f06 -0xf30d7383 -256 -256 -1 -0 -0 -3 -0x63bd -0x11f7fdf6 -256 -256 -1 -0 -0 -4 -0x6457 -0xacad1f35 -256 -256 -1 -0 -0 -7 -0x7907 -0x6fba3ea9 -256 -256 -0 -0 -0 -7 -0x7900 -0x575109ea -256 -256 -0 -0 -0 -10 -0x8e4e -0xb63ce3dd -256 -256 -1 -0 -0 -3 -0xf5bf -0x96807d82 -256 -256 -0 -0 -0 -4 -0x8bd0 -0x10c3186f -256 -256 -0 -0 -0 -8 -0xadf1 -0x9166cd0f -256 -256 -1 -0 -0 -10 -0xcc76 -0x1e878e5b -256 -256 -1 -0 -0 -3 -0x2f80 -0xc6b447a5 -256 -256 -0 -0 -0 -6 -0x7bb4 -0x8c79033d -256 -256 -0 -0 -0 -8 -0xff32 -0x63372d3b -256 -256 -0 -0 -0 -1 -0x3ae7 -0xaee7c2c8 -256 -256 -0 -0 -0 -7 -0xfdfe -0x12c871c -256 -256 -0 -0 -0 -5 -0xbbe9 -0x9e7c5d7d -256 -256 -1 -0 -0 -8 -0xdbcb -0x612ced3a -256 -256 -0 -0 -0 -10 -0x336f -0xedb59645 -256 -256 -1 -0 -0 -8 -0x8b96 -0x90b1c165 -256 -256 -0 -0 -0 -6 -0xe5c1 -0xa6ea31bb -256 -256 -1 -0 -0 -9 -0x4f85 -0x7ff72337 -256 -256 -1 -0 -0 -7 -0x3b44 -0x9ac9db4f -256 -256 -0 -0 -0 -1 -0xe95d -0x8ad8f185 -256 -256 -0 -0 -0 -9 -0x5340 -0xa7bca7d0 -256 -256 -1 -0 -0 -5 -0xd528 -0x81a6cea4 -256 -256 -1 -0 -0 -7 -0xb7f3 -0xfa4aab97 -256 -256 -0 -0 -0 -9 -0x9fa4 -0xea0d1a8f -256 -256 -1 -0 -0 -3 -0x89b3 -0xc95097c0 -256 -256 -1 -0 -0 -5 -0x1676 -0x11ebca98 -256 -256 -1 -0 -0 -9 -0xebaf -0xc0409f2a -256 -256 -0 -0 -0 -7 -0x23bf -0x8cc1921c -256 -256 -0 -0 -0 -6 -0xee03 -0x49a14666 -256 -256 -0 -0 -0 -7 -0xb10f -0x4a3c7e70 -256 -256 -0 -0 -0 -9 -0xbea9 -0xdc3c7e28 -256 -256 -0 -0 -0 -7 -0xd6e6 -0x8a53bcbd -256 -256 -0 -0 -0 -6 -0x89b9 -0x50d9e7e1 -256 -256 -1 -0 -0 -8 -0x5687 -0x37481064 -256 -256 -0 -0 -0 -4 -0x8d3c -0x15a48af0 -256 -256 -0 -0 -0 -1 -0xcc41 -0xd7babc2c -256 -256 -0 -0 -0 -6 -0xc026 -0x411ef29f -256 -256 -0 -0 -0 -10 -0xe78c -0xf377854d -256 -256 -1 -0 -0 -10 -0x8987 -0x81c208c0 -256 -256 -0 -0 -0 -9 -0x4572 -0xc952f0af -256 -256 -1 -0 -0 -9 -0x534c -0xf49f1265 -256 -256 -1 -0 -0 -8 -0xfa84 -0x9873ad2 -256 -256 -0 -0 -0 -8 -0x230b -0xeef55151 -256 -256 -1 -0 -0 -1 -0x10ab -0xd56ec269 -256 -256 -0 -0 -0 -10 -0x5f7a -0x5097bf65 -256 -256 -0 -0 -0 -5 -0xd676 -0xc2e2b92f -256 -256 -0 -0 -0 -10 -0x670a -0x5a8dc8a5 -256 -256 -1 -0 -0 -4 -0x3d89 -0xb07f80b3 -256 -256 -1 -0 -0 -8 -0x9404 -0x62142f13 -256 -256 -1 -0 -0 -1 -0xb2a9 -0x9fec435d -256 -256 -1 -0 -0 -9 -0x3a17 -0x60aea7cf -256 -256 -1 -0 -0 -1 -0x11ac -0xa49ab291 -256 -256 -0 -0 -0 -9 -0x67a9 -0x1ca65e55 -256 -256 -1 -0 -0 -6 -0x2a28 -0xd27fa034 -256 -256 -1 -0 -0 -6 -0xea7c -0xe9d36aee -256 -256 -1 -0 -0 -6 -0x24cf -0x6cf95fdd -256 -256 -1 -0 -0 -9 -0xd8d7 -0x7dfb2457 -256 -256 -0 -0 -0 -5 -0xa223 -0x20fc17d3 -256 -256 -0 -0 -0 -10 -0x3794 -0x58c7b24 -256 -256 -1 -0 -0 -8 -0xeb49 -0xdb6c4a23 -256 -256 -1 -0 -0 -1 -0x5d8e -0xf4938f64 -256 -256 -1 -0 -0 -10 -0xec9e -0xf3a6046e -256 -256 -1 -0 -0 -9 -0xffc7 -0x19bf695 -256 -256 -0 -0 -0 -5 -0xc976 -0x3f277aa3 -256 -256 -1 -0 -0 -5 -0xe004 -0x55be9e47 -256 -256 -0 -0 -0 -10 -0xb4d7 -0xcd1239c2 -256 -256 -1 -0 -0 -6 -0x60d7 -0x25349866 -256 -256 -1 -0 -0 -9 -0x326c -0x8976a749 -256 -256 -0 -0 -0 -5 -0xfb20 -0x49fcdde -256 -256 -0 -0 -0 -7 -0x298d -0x391e1944 -256 -256 -0 -0 -0 -10 -0x693e -0x1e70a6ca -256 -256 -1 -0 -0 -1 -0x1fee -0x33672d82 -256 -256 -0 -0 -0 -9 -0x117 -0x1bdaa0c3 -256 -256 -1 -0 -0 -9 -0x3380 -0xdc8e1e46 -256 -256 -1 -0 -0 -3 -0x658b -0x97737c76 -256 -256 -0 -0 -0 -2 -0x5b3b -0xf3a69fc5 -256 -256 -1 -0 -0 -4 -0x9d4c -0xcb7aec90 -256 -256 -0 -0 -0 -2 -0x8b12 -0xd5fa58df -256 -256 -1 -0 -0 -9 -0x4b4b -0x21647cf5 -256 -256 -0 -0 -0 -6 -0x88e9 -0x40d9fff -256 -256 -1 -0 -0 -3 -0x74ac -0x8316f002 -256 -256 -1 -0 -0 -10 -0x2690 -0x731eef7f -256 -256 -1 -0 -0 -6 -0x8c5e -0xb879420d -256 -256 -0 -0 -0 -7 -0xeaa5 -0x32120f95 -256 -256 -0 -0 -0 -2 -0x253b -0x3ec8ef54 -256 -256 -1 -0 -0 -7 -0xbf5f -0x7ac97bb8 -256 -256 -0 -0 -0 -8 -0x9138 -0x9f9818b9 -256 -256 -0 -0 -0 -2 -0xa1ae -0x2faf9e59 -256 -256 -0 -0 -0 -5 -0x4d14 -0x2c8758c3 -256 -256 -1 -0 -0 -7 -0xc7f7 -0x4736cd79 -256 -256 -1 -0 -0 -4 -0x5bc9 -0xefa340d7 -256 -256 -1 -0 -0 -8 -0x25b2 -0xea2e37ca -256 -256 -1 -0 -0 -8 -0x8b64 -0x9385030f -256 -256 -1 -0 -0 -5 -0x54ca -0xdcc270e0 -256 -256 -1 -0 -0 -4 -0x7b95 -0x33b491d6 -256 -256 -0 -0 -0 -4 -0x3c66 -0x9b16181c -256 -256 -0 -0 -0 -4 -0xd6e6 -0x62286a1 -256 -256 -1 -0 -0 -5 -0x2c2b -0x90539e74 -256 -256 -1 -0 -0 -10 -0x990c -0xbd14aa -256 -256 -0 -0 -0 -3 -0x1087 -0x4442df1b -256 -256 -1 -0 -0 -5 -0x64bd -0xc850758 -256 -256 -1 -0 -0 -2 -0xbcaa -0x23c3908f -256 -256 -1 -0 -0 -7 -0xd55d -0xcef734da -256 -256 -1 -0 -0 -4 -0xaa69 -0x70e5619e -256 -256 -0 -0 -0 -8 -0xfe96 -0x81cdcd9f -256 -256 -0 -0 -0 -9 -0x6cb5 -0x310c046d -256 -256 -1 -0 -0 -6 -0x7682 -0xd4e9bbe7 -256 -256 -0 -0 -0 -3 -0x8e38 -0x264e89f3 -256 -256 -0 -0 -0 -3 -0x399e -0xe0095d4c -256 -256 -1 -0 -0 -4 -0x9713 -0x5f95a9ce -256 -256 -0 -0 -0 -1 -0xfeee -0x416454dc -256 -256 -0 -0 -0 -10 -0x803e -0x85d350e -256 -256 -0 -0 -0 -1 -0x510b -0x429608de -256 -256 -1 -0 -0 -9 -0xcae0 -0x39223e1d -256 -256 -1 -0 -0 -8 -0x6c4c -0x6a4aad37 -256 -256 -0 -0 -0 -9 -0x5996 -0x985b5035 -256 -256 -1 -0 -0 -2 -0xd80f -0xd12760e8 -256 -256 -1 -0 -0 -9 -0x4ce2 -0x98be97d6 -256 -256 -0 -0 -0 -6 -0x8458 -0x289423bd -256 -256 -0 -0 -0 -9 -0x1bb0 -0xb7ca61d6 -256 -256 -0 -0 -0 -10 -0x67d -0x8b7f192c -256 -256 -0 -0 -0 -1 -0x2cd9 -0x83c14b75 -256 -256 -1 -0 -0 -8 -0x2c3d -0xd49409a0 -256 -256 -1 -0 -0 -5 -0x4fd -0x36cfefd9 -256 -256 -0 -0 -0 -4 -0x6c92 -0x68e126a8 -256 -256 -1 -0 -0 -1 -0x74ae -0xe30b8331 -256 -256 -1 -0 -0 -2 -0x9e51 -0xa4e33543 -256 -256 -1 -0 -0 -3 -0x7658 -0x8cb3b385 -256 -256 -1 -0 -0 -4 -0x337f -0x302bbe27 -256 -256 -0 -0 -0 -9 -0xc3ea -0xf5ea379a -256 -256 -0 -0 -0 -4 -0x7440 -0x80026df5 -256 -256 -1 -0 -0 -7 -0xaadc -0xa724e1d5 -256 -256 -1 -0 -0 -5 -0x1772 -0x9867d794 -256 -256 -0 -0 -0 -10 -0xb6bc -0x52f619d2 -256 -256 -0 -0 -0 -5 -0x64a2 -0xd5df4baf -256 -256 -1 -0 -0 -8 -0x2c78 -0x584bdd5e -256 -256 -1 -0 -0 -10 -0xef8c -0x83fe1838 -256 -256 -1 -0 -0 -2 -0x9dd1 -0x84504723 -256 -256 -0 -0 -0 -6 -0xd5ed -0x3a70503b -256 -256 -1 -0 -0 -2 -0xcb51 -0x254d9a -256 -256 -0 -0 -0 -4 -0x9860 -0x361a454e -256 -256 -0 -0 -0 -5 -0x335c -0xbecb6c68 -256 -256 -1 -0 -0 -7 -0x2647 -0x8fda4abc -256 -256 -0 -0 -0 -2 -0xdeb0 -0x542a0404 -256 -256 -0 -0 -0 -1 -0x6fe -0xa7677b2b -256 -256 -0 -0 -0 -6 -0xca28 -0x6a6b45f0 -256 -256 -0 -0 -0 -4 -0x1cc5 -0xb4a41455 -256 -256 -1 -0 -0 -9 -0xf37e -0x2deabe80 -256 -256 -1 -0 -0 -4 -0xd8af -0x54c12836 -256 -256 -1 -0 -0 -7 -0x2b0f -0x6cc97b1f -256 -256 -0 -0 -0 -1 -0x7941 -0xbbef2b5e -256 -256 -0 -0 -0 -3 -0x431b -0xe2b17b28 -256 -256 -0 -0 -0 -7 -0x5505 -0x59a142ce -256 -256 -1 -0 -0 -4 -0xee70 -0x1f0987e5 -256 -256 -0 -0 -0 -9 -0x6be1 -0x39ba7f58 -256 -256 -0 -0 -0 -8 -0x6b58 -0x45115c1d -256 -256 -0 -0 -0 -6 -0x8701 -0x2220130b -256 -256 -0 -0 -0 -10 -0x3317 -0x7f65df07 -256 -256 -0 -0 -0 -9 -0x4773 -0x4ba3937c -256 -256 -1 -0 -0 -4 -0x9606 -0xebb918a3 -256 -256 -0 -0 -0 -8 -0x5413 -0x71b2cfa2 -256 -256 -1 -0 -0 -3 -0x32eb -0x7eb8f34d -256 -256 -1 -0 -0 -7 -0xa230 -0xf3848a4a -256 -256 -1 -0 -0 -7 -0x63b7 -0xe6a8fe70 -256 -256 -1 -0 -0 -2 -0xafc4 -0xf0d4f6b -256 -256 -1 -0 -0 -1 -0x6a06 -0x817b6540 -256 -256 -0 -0 -0 -9 -0x7be2 -0x437227f6 -256 -256 -0 -0 -0 -7 -0x73b4 -0xe9318824 -256 -256 -0 -0 -0 -1 -0xf0a -0xfdf299a3 -256 -256 -0 -0 -0 -2 -0x47b7 -0x293d7e97 -256 -256 -0 -0 -0 -9 -0xbfb9 -0x690ab7dd -256 -256 -1 -0 -0 -6 -0x81d8 -0x81475988 -256 -256 -0 -0 -0 -3 -0x31ad -0x13332182 -256 -256 -1 -0 -0 -7 -0x567f -0x74d794d6 -256 -256 -0 -0 -0 -8 -0x6a09 -0xa63a50b5 -256 -256 -1 -0 -0 -2 -0x295c -0x8214f48a -256 -256 -0 -0 -0 -8 -0x2ad9 -0xed1dfc38 -256 -256 -1 -0 -0 -8 -0xff28 -0x896e3b64 -256 -256 -1 -0 -0 -5 -0x4951 -0x2088208 -256 -256 -1 -0 -0 -7 -0xd05d -0xa3b5f540 -256 -256 -0 -0 -0 -3 -0x9fee -0xffa54088 -256 -256 -1 -0 -0 -3 -0xdfad -0x186f5edc -256 -256 -0 -0 -0 -5 -0xd7c7 -0xe8fccde -256 -256 -0 -0 -0 -2 -0x6c22 -0xf0d9dff1 -256 -256 -0 -0 -0 -5 -0x36e -0xb84d2b0a -256 -256 -0 -0 -0 -6 -0xa536 -0x7d389d78 -256 -256 -0 -0 -0 -6 -0xf016 -0x4b573f3b -256 -256 -1 -0 -0 -6 -0x6689 -0xb9d6541e -256 -256 -1 -0 -0 -4 -0x5643 -0x3fc71e94 -256 -256 -1 -0 -0 -2 -0x5456 -0x606a5c12 -256 -256 -0 -0 -0 -10 -0x6bc8 -0xfbf14efa -256 -256 -0 -0 -0 -10 -0x224f -0x2569310d -256 -256 -0 -0 -0 -9 -0xed19 -0x39807a28 -256 -256 -0 -0 -0 -1 -0xb551 -0x603e2d04 -256 -256 -1 -0 -0 -1 -0x786a -0xa5784b34 -256 -256 -1 -0 -0 -2 -0xd6fa -0x6c2fb10b -256 -256 -0 -0 -0 -2 -0xcc27 -0x4858d854 -256 -256 -1 -0 -0 -9 -0x26f0 -0xff3dc990 -256 -256 -1 -0 -0 -3 -0xb1e0 -0xd8d0c983 -256 -256 -0 -0 -0 -7 -0xda3b -0x4f811fd2 -256 -256 -0 -0 -0 -6 -0x9ad6 -0xd629ffe3 -256 -256 -1 -0 -0 -2 -0x730b -0xae39f6e3 -256 -256 -1 -0 -0 -2 -0x4cd8 -0xd833c87d -256 -256 -0 -0 -0 -9 -0x4f84 -0x9212a47 -256 -256 -1 -0 -0 -5 -0xb0e6 -0xfe38997e -256 -256 -0 -0 -0 -2 -0x8a90 -0x5548c68c -256 -256 -1 -0 -0 -5 -0x857e -0x6b52a774 -256 -256 -1 -0 -0 -5 -0x4942 -0xef70c2b7 -256 -256 -1 -0 -0 -7 -0xa09c -0xc678e10d -256 -256 -0 -0 -0 -5 -0xa427 -0xbabe9daf -256 -256 -1 -0 -0 -1 -0x11b1 -0x32df8c05 -256 -256 -0 -0 -0 -6 -0xb30e -0x9fe82d37 -256 -256 -0 -0 -0 -5 -0xd681 -0xf815ecf3 -256 -256 -1 -0 -0 -4 -0x6113 -0x7c0f103f -256 -256 -1 -0 -0 -6 -0x2ca4 -0x8e393038 -256 -256 -0 -0 -0 -9 -0xa23f -0xc8f5cc25 -256 -256 -0 -0 -0 -6 -0x9018 -0x164c2ce5 -256 -256 -0 -0 -0 -5 -0xa52e -0x83140e79 -256 -256 -1 -0 -0 -6 -0x2148 -0xa6397008 -256 -256 -0 -0 -0 -4 -0xd153 -0x921ab0ce -256 -256 -0 -0 -0 -1 -0xd267 -0xb9e16106 -256 -256 -0 -0 -0 -5 -0x2fb8 -0xdf5ccdde -256 -256 -0 -0 -0 -9 -0xea17 -0x841556da -256 -256 -0 -0 -0 -5 -0xb858 -0x62290781 -256 -256 -0 -0 -0 -1 -0x17c3 -0xef4b1d09 -256 -256 -1 -0 -0 -2 -0xdaa1 -0x2331f4de -256 -256 -1 -0 -0 -3 -0x135b -0xdb5e8cb -256 -256 -0 -0 -0 -9 -0xe6a0 -0xa5f0ac16 -256 -256 -1 -0 -0 -1 -0x9a75 -0xcd879a92 -256 -256 -0 -0 -0 -4 -0xc361 -0xfc3fc667 -256 -256 -0 -0 -0 -2 -0x6fbd -0xb1215ab0 -256 -256 -0 -0 -0 -9 -0xc80c -0xbff04111 -256 -256 -1 -0 -0 -4 -0xc446 -0x68fef7fc -256 -256 -0 -0 -0 -9 -0x485 -0x311555f9 -256 -256 -0 -0 -0 -2 -0x640c -0x32ea93d1 -256 -256 -0 -0 -0 -3 -0xc09a -0x2166cd4a -256 -256 -0 -0 -0 -6 -0xd262 -0x70bff6ff -256 -256 -0 -0 -0 -4 -0xba5b -0x79e985d4 -256 -256 -1 -0 -0 -1 -0xb92a -0xa933ae2a -256 -256 -0 -0 -0 -4 -0x4ef5 -0xcdea80f -256 -256 -0 -0 -0 -8 -0xaad6 -0xfddc8574 -256 -256 -0 -0 -0 -2 -0xea04 -0x674e0be6 -256 -256 -0 -0 -0 -2 -0x983c -0xb0a5f62b -256 -256 -0 -0 -0 -3 -0x872b -0x10297120 -256 -256 -1 -0 -0 -5 -0xbe02 -0x530cb249 -256 -256 -1 -0 -0 -5 -0xee10 -0xea85106b -256 -256 -1 -0 -0 -1 -0x2158 -0xffbb5e4e -256 -256 -1 -0 -0 -9 -0xa53f -0x139461b9 -256 -256 -0 -0 -0 -8 -0x1ec8 -0xe38993b -256 -256 -0 -0 -0 -3 -0x281e -0xfe628360 -256 -256 -0 -0 -0 -10 -0x47b2 -0x6b6e35fb -256 -256 -1 -0 -0 -1 -0x4d67 -0x59073163 -256 -256 -0 -0 -0 -8 -0x7e3e -0xc710315a -256 -256 -0 -0 -0 -7 -0x3143 -0xf732f1ef -256 -256 -0 -0 -0 -4 -0x7fe7 -0xe6cb8bf3 -256 -256 -1 -0 -0 -6 -0x7095 -0x3820bf57 -256 -256 -0 -0 -0 -4 -0xb06b -0xc4d012ac -256 -256 -0 -0 -0 -9 -0xa54a -0xc3160ced -256 -256 -0 -0 -0 -7 -0x6534 -0xefdd2ceb -256 -256 -0 -0 -0 -5 -0xd4a3 -0x60050455 -256 -256 -1 -0 -0 -6 -0x349d -0xe0f3c478 -256 -256 -0 -0 -0 -9 -0x95f4 -0xb9c49c77 -256 -256 -1 -0 -0 -8 -0x334f -0x379791ec -256 -256 -1 -0 -0 -3 -0x581b -0x8b5fb8a9 -256 -256 -0 -0 -0 -5 -0xa3cd -0x8752c8d7 -256 -256 -1 -0 -0 -10 -0x4b77 -0xb6cd8b8f -256 -256 -1 -0 -0 -4 -0x50fc -0xa6936536 -256 -256 -0 -0 -0 -6 -0xdbc0 -0xa77aee5b -256 -256 -0 -0 -0 -5 -0x3621 -0x26d4be7c -256 -256 -1 -0 -0 -4 -0xaab2 -0xe5896a0d -256 -256 -1 -0 -0 -5 -0xa9f -0x89a59c48 -256 -256 -0 -0 -0 -9 -0x925a -0x150d35b3 -256 -256 -0 -0 -0 -10 -0x6182 -0x7b511221 -256 -256 -0 -0 -0 -4 -0x2750 -0xc6c8cca4 -256 -256 -1 -0 -0 -10 -0xc2ba -0xdd6b7891 -256 -256 -0 -0 -0 -7 -0xf368 -0xfc6939c9 -256 -256 -0 -0 -0 -3 -0x2f58 -0x5a45f4a0 -256 -256 -0 -0 -0 -8 -0xaeab -0xa34d8a3b -256 -256 -0 -0 -0 -7 -0x91e8 -0xf75c413c -256 -256 -1 -0 -0 -7 -0x81c6 -0xba227517 -256 -256 -1 -0 -0 -7 -0x8686 -0x3f108a09 -256 -256 -0 -0 -0 -10 -0xbd5a -0x5dbf55d6 -256 -256 -0 -0 -0 -1 -0x1715 -0xe8dcf1eb -256 -256 -1 -0 -0 -7 -0x5754 -0x2b29f900 -256 -256 -1 -0 -0 -1 -0xc2eb -0x927eb4f5 -256 -256 -0 -0 -0 -10 -0x1da3 -0xc6e2fe1f -256 -256 -0 -0 -0 -7 -0xb652 -0x753fcc6a -256 -256 -1 -0 -0 -3 -0x82fe -0xbd5c5071 -256 -256 -0 -0 -0 -5 -0x472e -0x8d237a6d -256 -256 -0 -0 -0 -9 -0xc633 -0x4ddca76a -256 -256 -1 -0 -0 -6 -0x88f -0x4d75c0b0 -256 -256 -0 -0 -0 -10 -0x18c6 -0xae01c009 -256 -256 -1 -0 -0 -9 -0x258f -0xc4c0c35c -256 -256 -0 -0 -0 -8 -0xee3e -0xdf6d0620 -256 -256 -1 -0 -0 -4 -0x327 -0xb932e229 -256 -256 -1 -0 -0 -4 -0x410f -0xf1d15089 -256 -256 -0 -0 -0 -1 -0xbf9c -0xd3fb3575 -256 -256 -0 -0 -0 -9 -0x43dc -0x28259183 -256 -256 -0 -0 -0 -7 -0xfccd -0xfc52c38 -256 -256 -0 -0 -0 -10 -0x2d14 -0xe660ffa9 -256 -256 -1 -0 -0 -3 -0x4ad9 -0xe6bacca9 -256 -256 -0 -0 -0 -2 -0xb817 -0x8d9a31f7 -256 -256 -0 -0 -0 -4 -0x975 -0x9fedc51d -256 -256 -0 -0 -0 -4 -0xb16a -0x1681926 -256 -256 -1 -0 -0 -6 -0x6444 -0x2ccd16f1 -256 -256 -1 -0 -0 -2 -0xee66 -0xe7218de1 -256 -256 -1 -0 -0 -7 -0x36f6 -0xdea0575e -256 -256 -1 -0 -0 -4 -0x2897 -0x4f3b8a50 -256 -256 -0 -0 -0 -3 -0x1537 -0xe6169a87 -256 -256 -1 -0 -0 -4 -0xd511 -0xae079a97 -256 -256 -0 -0 -0 -7 -0x23f4 -0x1ec51f9f -256 -256 -0 -0 -0 -10 -0x2093 -0x72bcde80 -256 -256 -0 -0 -0 -6 -0xbe67 -0xf93e6e30 -256 -256 -1 -0 -0 -4 -0x56eb -0x4f114b82 -256 -256 -0 -0 -0 -8 -0xd959 -0xecb608a5 -256 -256 -1 -0 -0 -7 -0xe1de -0x6c28c4a0 -256 -256 -1 -0 -0 -10 -0x9e86 -0xa3c7784b -256 -256 -0 -0 -0 -4 -0x5c14 -0xc083121b -256 -256 -1 -0 -0 -6 -0x7b8e -0x44dc595d -256 -256 -0 -0 -0 -7 -0x4f62 -0x608adc73 -256 -256 -1 -0 -0 -1 -0x99a4 -0x51cb575f -256 -256 -0 -0 -0 -4 -0xd9c6 -0xf96ee660 -256 -256 -0 -0 -0 -4 -0x4738 -0x50ce247b -256 -256 -1 -0 -0 -6 -0xc141 -0xa78a052b -256 -256 -0 -0 -0 -9 -0x3935 -0x86b4f5d7 -256 -256 -0 -0 -0 -10 -0x48f0 -0x304272c6 -256 -256 -1 -0 -0 -7 -0x3cb1 -0xe8c35878 -256 -256 -0 -0 -0 -4 -0xdef2 -0x2eab04a4 -256 -256 -0 -0 -0 -10 -0xee15 -0x13f747e3 -256 -256 -1 -0 -0 -5 -0x4b09 -0x2b779f23 -256 -256 -1 -0 -0 -1 -0xa4a9 -0xeee9763b -256 -256 -0 -0 -0 -1 -0xec51 -0xb2ba377a -256 -256 -1 -0 -0 -7 -0xbcd9 -0xfdca53f4 -256 -256 -0 -0 -0 -8 -0x3645 -0xb918d00c -256 -256 -0 -0 -0 -2 -0x3d07 -0xea9b0244 -256 -256 -1 -0 -0 -1 -0x98a3 -0x796ec571 -256 -256 -1 -0 -0 -7 -0xb9af -0x34afe97 -256 -256 -1 -0 -0 -3 -0x14bb -0x854e3f76 -256 -256 -0 -0 -0 -10 -0xcf6 -0xfe9d9ac9 -256 -256 -1 -0 -0 -4 -0x1817 -0x1d727991 -256 -256 -0 -0 -0 -10 -0x5557 -0xa1d11c74 -256 -256 -0 -0 -0 -9 -0x4217 -0x82c7e403 -256 -256 -0 -0 -0 -4 -0x1896 -0x59e0342c -256 -256 -1 -0 -0 -3 -0x97dc -0x48d5a596 -256 -256 -0 -0 -0 -6 -0xe8d3 -0x1a79b777 -256 -256 -1 -0 -0 -3 -0xc4b9 -0xb504f021 -256 -256 -1 -0 -0 -8 -0x2e45 -0x57eaf61e -256 -256 -1 -0 -0 -5 -0xae39 -0x400cdfe4 -256 -256 -1 -0 -0 -2 -0xf8a8 -0x6f84b39f -256 -256 -1 -0 -0 -3 -0x7bce -0xa3d18908 -256 -256 -1 -0 -0 -5 -0xd86c -0x6986e6f3 -256 -256 -0 -0 -0 -5 -0xa95f -0x40bf5a77 -256 -256 -0 -0 -0 -9 -0xb32a -0x2f2d60ec -256 -256 -0 -0 -0 -7 -0x51ad -0x8e6e307b -256 -256 -1 -0 -0 -3 -0x79d0 -0xb5b472ea -256 -256 -0 -0 -0 -2 -0x4ab6 -0x725698ec -256 -256 -1 -0 -0 -5 -0xabc3 -0x2fa8427f -256 -256 -1 -0 -0 -6 -0xc51e -0xe3e21bda -256 -256 -0 -0 -0 -1 -0xfd6d -0x88127392 -256 -256 -0 -0 -0 -6 -0xd290 -0x113b60a4 -256 -256 -1 -0 -0 -3 -0x1194 -0xc0f9af00 -256 -256 -0 -0 -0 -7 -0xfdb3 -0xd9ec7f98 -256 -256 -0 -0 -0 -4 -0x4012 -0xb7b13056 -256 -256 -1 -0 -0 -5 -0x4eeb -0x9d009c0b -256 -256 -1 -0 -0 -3 -0x3ac0 -0x5078c401 -256 -256 -0 -0 -0 -10 -0xd687 -0x625da5be -256 -256 -0 -0 -0 -1 -0x1d6b -0x49585df9 -256 -256 -0 -0 -0 -5 -0xa1bf -0x9cde3fc2 -256 -256 -1 -0 -0 -7 -0x1c67 -0x300e5816 -256 -256 -0 -0 -0 -5 -0xaa61 -0x988d42db -256 -256 -1 -0 -0 -8 -0xb9d -0x5581e095 -256 -256 -1 -0 -0 -8 -0x24a4 -0x67d67ee0 -256 -256 -0 -0 -0 -10 -0xd49 -0xa6e7b015 -256 -256 -0 -0 -0 -7 -0x9ec3 -0xbf15b835 -256 -256 -1 -0 -0 -2 -0xd5e8 -0xe255b52d -256 -256 -1 -0 -0 -3 -0xe955 -0x8646fd55 -256 -256 -0 -0 -0 -7 -0xc9d1 -0xf6d72594 -256 -256 -0 -0 -0 -1 -0x540e -0x98979636 -256 -256 -0 -0 -0 -2 -0x43c1 -0xf474d27b -256 -256 -0 -0 -0 -5 -0x2226 -0xe069e08 -256 -256 -0 -0 -0 -5 -0x4812 -0x21cf4748 -256 -256 -1 -0 -0 -7 -0x5107 -0x6098ea9b -256 -256 -0 -0 -0 -1 -0x869e -0x5d7c139e -256 -256 -0 -0 -0 -8 -0x1669 -0x6aaef88d -256 -256 -1 -0 -0 -1 -0x5239 -0xf9773e5f -256 -256 -1 -0 -0 -10 -0xa06e -0x51937693 -256 -256 -1 -0 -0 -6 -0xc3bc -0x1b50ca8e -256 -256 -1 -0 -0 -10 -0x9107 -0x6ee07ed4 -256 -256 -1 -0 -0 -3 -0xc039 -0xc31c3ec0 -256 -256 -1 -0 -0 -1 -0xc781 -0x1dc7674e -256 -256 -0 -0 -0 -9 -0xd549 -0x75582e97 -256 -256 -0 -0 -0 -1 -0xe1e2 -0x5151bc55 -256 -256 -0 -0 -0 -9 -0x4014 -0xc052986e -256 -256 -0 -0 -0 -9 -0x5e2b -0xb251b5bf -256 -256 -1 -0 -0 -6 -0xe009 -0xb21b97f5 -256 -256 -1 -0 -0 -1 -0x7e91 -0x94ff9e8c -256 -256 -1 -0 -0 -5 -0xc5e6 -0x2f601d03 -256 -256 -1 -0 -0 -3 -0x60f5 -0x810f92a6 -256 -256 -1 -0 -0 -3 -0x7ead -0x40d83596 -256 -256 -0 -0 -0 -1 -0xfb94 -0xca622534 -256 -256 -0 -0 -0 -9 -0xcc1 -0xa9e179cd -256 -256 -0 -0 -0 -10 -0x151c -0x2cc78a6 -256 -256 -0 -0 -0 -3 -0xc03 -0x24004306 -256 -256 -1 -0 -0 -3 -0x5f7e -0x585c4290 -256 -256 -0 -0 -0 -8 -0xa012 -0xdb056a21 -256 -256 -0 -0 -0 -9 -0xf34 -0xaf506cb7 -256 -256 -0 -0 -0 -9 -0x81c8 -0x9ba1269f -256 -256 -0 -0 -0 -6 -0x7c32 -0x5e2ec0b -256 -256 -0 -0 -0 -4 -0x84ba -0xab049ea1 -256 -256 -1 -0 -0 -2 -0x7f3d -0xbe975241 -256 -256 -0 -0 -0 -8 -0x8ab6 -0xe6ebd3fa -256 -256 -0 -0 -0 -6 -0x70f6 -0xc26ccf50 -256 -256 -1 -0 -0 -7 -0xab7d -0xfe471c3b -256 -256 -1 -0 -0 -5 -0x974 -0x4fb91bac -256 -256 -1 -0 -0 -1 -0x2b28 -0x96bb4e22 -256 -256 -0 -0 -0 -8 -0xd32 -0xaf80f751 -256 -256 -1 -0 -0 -2 -0xdb19 -0x755782cb -256 -256 -1 -0 -0 -1 -0x7333 -0x5bd888cb -256 -256 -1 -0 -0 -9 -0xe7f -0x4d313325 -256 -256 -1 -0 -0 -3 -0xbb45 -0xcf6d143f -256 -256 -0 -0 -0 -4 -0xebf1 -0x55ab8e49 -256 -256 -0 -0 -0 -2 -0xc3f3 -0x3ec0e244 -256 -256 -0 -0 -0 -10 -0x960 -0x3f9e45d3 -256 -256 -0 -0 -0 -10 -0x7c6 -0x11c35646 -256 -256 -1 -0 -0 -4 -0xb8e2 -0xb7952b55 -256 -256 -1 -0 -0 -10 -0x6cc3 -0xf1d8d74e -256 -256 -1 -0 -0 -1 -0xcc43 -0x2adb0e9a -256 -256 -0 -0 -0 -3 -0x2522 -0xd616e26d -256 -256 -0 -0 -0 -4 -0xe00b -0x15c3f1db -256 -256 -0 -0 -0 -7 -0xcc18 -0x4b45cc1e -256 -256 -1 -0 -0 -7 -0xe7a9 -0x7451577d -256 -256 -1 -0 -0 -7 -0xe02c -0xbd7ef5a9 -256 -256 -1 -0 -0 -8 -0x9433 -0x7a641089 -256 -256 -0 -0 -0 -1 -0xb87e -0x58058214 -256 -256 -1 -0 -0 -10 -0x8d47 -0x6e771d0f -256 -256 -1 -0 -0 -10 -0xe27b -0x175242d4 -256 -256 -1 -0 -0 -9 -0x5641 -0x377c52b5 -256 -256 -1 -0 -0 -10 -0xf7fe -0xbd5e48ee -256 -256 -1 -0 -0 -7 -0x377 -0x5a470a42 -256 -256 -1 -0 -0 -7 -0xa11e -0x5695185c -256 -256 -1 -0 -0 -4 -0xa0c0 -0x468c4585 -256 -256 -0 -0 -0 -8 -0xd7ad -0x38809ebe -256 -256 -0 -0 -0 -4 -0xb50 -0xebeffeac -256 -256 -0 -0 -0 -5 -0xaca8 -0x70337f7d -256 -256 -1 -0 -0 -10 -0xcea2 -0x375d7dd2 -256 -256 -1 -0 -0 -1 -0x96ed -0xbc748fff -256 -256 -0 -0 -0 -4 -0xe681 -0xe0e09a74 -256 -256 -1 -0 -0 -10 -0x721a -0x814e0ca4 -256 -256 -0 -0 -0 -4 -0x62cd -0xf60a9f43 -256 -256 -0 -0 -0 -6 -0x58bd -0xc431c0c5 -256 -256 -0 -0 -0 -6 -0x337d -0xe36b829 -256 -256 -1 -0 -0 -4 -0x5d3f -0xa0ce4df6 -256 -256 -1 -0 -0 -5 -0x2fdb -0x9d11549e -256 -256 -1 -0 -0 -5 -0x2325 -0x5256d130 -256 -256 -1 -0 -0 -9 -0xeb3e -0xe03a07c8 -256 -256 -0 -0 -0 -9 -0x9cb4 -0x5301f4c9 -256 -256 -1 -0 -0 -3 -0xc658 -0xc32e50b9 -256 -256 -1 -0 -0 -10 -0x295d -0x40bf88ec -256 -256 -0 -0 -0 -5 -0x6df2 -0x1fec29cf -256 -256 -1 -0 -0 -7 -0x5cf0 -0x29726976 -256 -256 -1 -0 -0 -6 -0x56ee -0xc9d07bdc -256 -256 -1 -0 -0 -6 -0x540 -0x581555f0 -256 -256 -0 -0 -0 -1 -0x94d -0x440668ea -256 -256 -0 -0 -0 -1 -0xbd33 -0x5899e319 -256 -256 -0 -0 -0 -9 -0x6b8b -0xf8d37e -256 -256 -0 -0 -0 -7 -0x7d5 -0xdf491036 -256 -256 -0 -0 -0 -6 -0xeb85 -0x3cb24323 -256 -256 -1 -0 -0 -10 -0xe51b -0x779ab50c -256 -256 -0 -0 -0 -7 -0x22d6 -0x3c24d364 -256 -256 -0 -0 -0 -7 -0x8cbd -0xbac88484 -256 -256 -1 -0 -0 -2 -0x8959 -0xe9208c1f -256 -256 -0 -0 -0 -1 -0x2d26 -0x48cb62f7 -256 -256 -1 -0 -0 -3 -0x43e7 -0x4a246097 -256 -256 -1 -0 -0 -10 -0x416 -0xaf3d86bf -256 -256 -1 -0 -0 -10 -0x7e8e -0xef7fe298 -256 -256 -1 -0 -0 -7 -0x89fe -0x8c2ae63d -256 -256 -1 -0 -0 -5 -0xc2ee -0x49a68807 -256 -256 -0 -0 -0 -5 -0x46d9 -0x77657576 -256 -256 -0 -0 -0 -1 -0xb65d -0xe51cc26 -256 -256 -1 -0 -0 -8 -0x5ff1 -0xd3d79a56 -256 -256 -0 -0 -0 -9 -0x49f3 -0xd05313b9 -256 -256 -1 -0 -0 -6 -0x54e2 -0x8118fca5 -256 -256 -1 -0 -0 -2 -0x8b4f -0xbd11a2aa -256 -256 -1 -0 -0 -3 -0xd7ae -0x72305faf -256 -256 -0 -0 -0 -4 -0x52a3 -0xa932db24 -256 -256 -0 -0 -0 -5 -0x9272 -0x890c3996 -256 -256 -1 -0 -0 -5 -0x1ba1 -0x393ba5c1 -256 -256 -1 -0 -0 -4 -0xdf3d -0x4a33ed3 -256 -256 -1 -0 -0 -5 -0xe769 -0xfd7c20ea -256 -256 -1 -0 -0 -2 -0xcac1 -0xd38a6c1a -256 -256 -1 -0 -0 -2 -0xeacb -0xaccefd42 -256 -256 -1 -0 -0 -5 -0xed72 -0x8984e4a4 -256 -256 -0 -0 -0 -1 -0xe9cc -0x5d91479 -256 -256 -1 -0 -0 -5 -0xcb23 -0x35e8dc0c -256 -256 -1 -0 -0 -9 -0x123f -0xc49edcdb -256 -256 -1 -0 -0 -1 -0x556d -0xd8e8911a -256 -256 -0 -0 -0 -8 -0x52a0 -0x38d6ffbe -256 -256 -1 -0 -0 -10 -0xe089 -0x4613b958 -256 -256 -1 -0 -0 -6 -0x4a2a -0x87198307 -256 -256 -0 -0 -0 -8 -0x24af -0x8d0b9925 -256 -256 -1 -0 -0 -2 -0xdc98 -0x9af2505 -256 -256 -1 -0 -0 -1 -0xc209 -0x44712c9a -256 -256 -1 -0 -0 -5 -0xc061 -0x54d570bc -256 -256 -1 -0 -0 -3 -0x4581 -0x17992e77 -256 -256 -0 -0 -0 -6 -0xd51a -0xe17ada68 -256 -256 -1 -0 -0 -10 -0x64d0 -0x1a251381 -256 -256 -0 -0 -0 -3 -0x2824 -0x96e0767f -256 -256 -1 -0 -0 -4 -0xd60b -0x845f3833 -256 -256 -0 -0 -0 -10 -0x7946 -0x5cb65460 -256 -256 -0 -0 -0 -10 -0x239 -0x53c18cca -256 -256 -0 -0 -0 -5 -0xc2ab -0xe0789681 -256 -256 -1 -0 -0 -7 -0xc446 -0x2a98c679 -256 -256 -0 -0 -0 -8 -0x888f -0x3c7e18fd -256 -256 -1 -0 -0 -5 -0x2b49 -0x5997eb15 -256 -256 -0 -0 -0 -1 -0x33e7 -0x604c32de -256 -256 -1 -0 -0 -8 -0x3fea -0x66cf0653 -256 -256 -1 -0 -0 -1 -0x96f8 -0x84102d3e -256 -256 -0 -0 -0 -9 -0x2bae -0x167ac06 -256 -256 -0 -0 -0 -8 -0x477f -0xc43a7fe2 -256 -256 -0 -0 -0 -10 -0x5005 -0x31eebf54 -256 -256 -0 -0 -0 -4 -0xe764 -0xa00920b7 -256 -256 -0 -0 -0 -2 -0x8f1b -0xfce16bd6 -256 -256 -0 -0 -0 -3 -0xfcec -0x4d464e57 -256 -256 -0 -0 -0 -5 -0x728d -0x77e7e799 -256 -256 -1 -0 -0 -10 -0xd404 -0x219526e2 -256 -256 -0 -0 -0 -6 -0xdf60 -0xc18bebb1 -256 -256 -1 -0 -0 -2 -0x5f91 -0x241ba63a -256 -256 -0 -0 -0 -4 -0x365f -0x3f566a35 -256 -256 -1 -0 -0 -2 -0xc83e -0x1aeb0922 -256 -256 -0 -0 -0 -9 -0xbe97 -0xadd267cf -256 -256 -0 -0 -0 -3 -0x4bdc -0x98648f57 -256 -256 -0 -0 -0 -8 -0x8c89 -0xcff5cb27 -256 -256 -1 -0 -0 -5 -0xfc1 -0x771c4004 -256 -256 -1 -0 -0 -10 -0x603c -0x9c3e61ef -256 -256 -1 -0 -0 -1 -0x450e -0x3d332305 -256 -256 -1 -0 -0 -7 -0xf28d -0x672f4b4e -256 -256 -0 -0 -0 -8 -0x1254 -0xf53739fc -256 -256 -1 -0 -0 -3 -0x57b -0x68e29c90 -256 -256 -1 -0 -0 -2 -0xd604 -0xbc631f74 -256 -256 -1 -0 -0 -2 -0xfc96 -0xc79af9b3 -256 -256 -1 -0 -0 -1 -0x84d1 -0x4d746c29 -256 -256 -1 -0 -0 -9 -0x18d9 -0x6f4c9f74 -256 -256 -1 -0 -0 -10 -0x6494 -0xc1ceb25 -256 -256 -0 -0 -0 -7 -0x95b6 -0xd2188866 -256 -256 -0 -0 -0 -6 -0x719c -0xe9615da4 -256 -256 -1 -0 -0 -4 -0xe576 -0x430906 -256 -256 -0 -0 -0 -10 -0x6132 -0xfd6d011b -256 -256 -1 -0 -0 -8 -0x63c -0xe68f1666 -256 -256 -0 -0 -0 -3 -0x6a7c -0x621dafed -256 -256 -1 -0 -0 -9 -0x7d90 -0x6eec6ad1 -256 -256 -0 -0 -0 -6 -0x58f6 -0x2e9d6ea6 -256 -256 -1 -0 -0 -9 -0xf986 -0xfa83a72f -256 -256 -0 -0 -0 -10 -0xa935 -0x1344ce71 -256 -256 -1 -0 -0 -10 -0x93c8 -0xaf70875d -256 -256 -1 -0 -0 -5 -0x980f -0xae450e7c -256 -256 -1 -0 -0 -1 -0x50f1 -0x9ce64826 -256 -256 -0 -0 -0 -5 -0x82df -0xebe41f0b -256 -256 -0 -0 -0 -7 -0x8848 -0x611ff5a6 -256 -256 -0 -0 -0 -1 -0x5622 -0xee1173d -256 -256 -1 -0 -0 -5 -0xe33c -0x70b90e60 -256 -256 -1 -0 -0 -3 -0x8ee3 -0x2aacb0d7 -256 -256 -1 -0 -0 -9 -0xf2f7 -0x3ffc0d1e -256 -256 -0 -0 -0 -8 -0xe3f6 -0xd22d6fe0 -256 -256 -0 -0 -0 -1 -0x2b -0xb69e6244 -256 -256 -1 -0 -0 -4 -0x92db -0xe795ad32 -256 -256 -0 -0 -0 -10 -0xfe27 -0x99924668 -256 -256 -1 -0 -0 -5 -0x3609 -0x2118b452 -256 -256 -1 -0 -0 -10 -0xfb81 -0x538373dc -256 -256 -1 -0 -0 -1 -0x4a1b -0x45ffa3e4 -256 -256 -1 -0 -0 -4 -0x12e6 -0xf699e576 -256 -256 -0 -0 -0 -1 -0x119c -0x93864929 -256 -256 -1 -0 -0 -6 -0xb027 -0xb3b732ae -256 -256 -0 -0 -0 -2 -0xddf4 -0xc2d30036 -256 -256 -1 -0 -0 -9 -0xd4d0 -0x47114de4 -256 -256 -0 -0 -0 -2 -0x110f -0xb996ae6d -256 -256 -1 -0 -0 -6 -0xaef6 -0x151c4c45 -256 -256 -1 -0 -0 -6 -0x9695 -0x9e42b407 -256 -256 -0 -0 -0 -2 -0x2ab7 -0x1fc987b3 -256 -256 -1 -0 -0 -7 -0x7543 -0xa262c2e -256 -256 -1 -0 -0 -8 -0x6200 -0x81bef871 -256 -256 -0 -0 -0 -3 -0x51fd -0xe0e6f1f1 -256 -256 -1 -0 -0 -3 -0x7ee5 -0x638b681d -256 -256 -1 -0 -0 -9 -0x64c -0x879a3707 -256 -256 -1 -0 -0 -5 -0xe266 -0xb6afee5a -256 -256 -1 -0 -0 -10 -0x3b1b -0x510266a5 -256 -256 -0 -0 -0 -10 -0x67c4 -0x7269bd9d -256 -256 -0 -0 -0 -8 -0x8806 -0xbaf46788 -256 -256 -0 -0 -0 -5 -0x50be -0xce1e5823 -256 -256 -0 -0 -0 -8 -0x2ad1 -0x70d504ed -256 -256 -0 -0 -0 -3 -0x129d -0xf07e6151 -256 -256 -1 -0 -0 -1 -0xb5f8 -0xdc723bf -256 -256 -1 -0 -0 -4 -0x44f9 -0xf0650bdd -256 -256 -1 -0 -0 -3 -0x8662 -0xa8cbb7e7 -256 -256 -1 -0 -0 -8 -0xcd5 -0x9f12f20c -256 -256 -1 -0 -0 -6 -0x5143 -0x60db6a9 -256 -256 -1 -0 -0 -7 -0xe389 -0x44aad086 -256 -256 -1 -0 -0 -10 -0xa847 -0x9cd0e714 -256 -256 -1 -0 -0 -3 -0xdb53 -0x3f521e65 -256 -256 -1 -0 -0 -1 -0xe56c -0x8be9e5be -256 -256 -0 -0 -0 -3 -0x9e0a -0xc1c3ce3 -256 -256 -1 -0 -0 -10 -0xa1a4 -0xfeefd55c -256 -256 -1 -0 -0 -6 -0xabc -0xe93042be -256 -256 -1 -0 -0 -6 -0x1bbc -0x9f64b07 -256 -256 -1 -0 -0 -8 -0x8b8f -0x77dcc985 -256 -256 -1 -0 -0 -8 -0xdf95 -0x2585cd7d -256 -256 -1 -0 -0 -6 -0xa61c -0x1543ea4e -256 -256 -1 -0 -0 -7 -0x5043 -0x9c9a194e -256 -256 -0 -0 -0 -1 -0x679d -0xbfc1569e -256 -256 -0 -0 -0 -9 -0xc576 -0xdfdcfb5b -256 -256 -1 -0 -0 -7 -0xd52d -0x40af890c -256 -256 -1 -0 -0 -9 -0xee06 -0xb860e4d1 -256 -256 -0 -0 -0 -5 -0x9eec -0x589e5ad0 -256 -256 -1 -0 -0 -10 -0xc62 -0x6cf438ea -256 -256 -1 -0 -0 -10 -0xa614 -0xf92e3899 -256 -256 -1 -0 -0 -7 -0x30a4 -0xcdbac014 -256 -256 -1 -0 -0 -3 -0x803b -0xe146a744 -256 -256 -1 -0 -0 -5 -0xa158 -0x8182afe -256 -256 -0 -0 -0 -9 -0xe2fc -0xecf0007d -256 -256 -1 -0 -0 -6 -0x42c7 -0xd4514e21 -256 -256 -1 -0 -0 -10 -0xd133 -0x790f4f0a -256 -256 -0 -0 -0 -1 -0x75e6 -0x29ae9cc5 -256 -256 -0 -0 -0 -1 -0xcc82 -0xd5272e06 -256 -256 -0 -0 -0 -7 -0xbbc3 -0x94bd414c -256 -256 -0 -0 -0 -6 -0x7fed -0xb1ae3343 -256 -256 -0 -0 -0 -10 -0xf0f2 -0x3a113be7 -256 -256 -0 -0 -0 -6 -0xd480 -0x534b70fe -256 -256 -0 -0 -0 -10 -0x7c6c -0xdaeb315f -256 -256 -1 -0 -0 -5 -0x37fc -0xa8dc8b1 -256 -256 -1 -0 -0 -10 -0xb21a -0x34d9a923 -256 -256 -0 -0 -0 -9 -0xb424 -0x81ec4190 -256 -256 -1 -0 -0 -4 -0xedc2 -0x21d13509 -256 -256 -0 -0 -0 -6 -0x228a -0x23bca2df -256 -256 -0 -0 -0 -2 -0xb5b -0xce30d20 -256 -256 -0 -0 -0 -4 -0xd96a -0xb2142d8f -256 -256 -1 -0 -0 -6 -0x3a20 -0x62729337 -256 -256 -0 -0 -0 -4 -0xce61 -0x6bdf2b8 -256 -256 -0 -0 -0 -2 -0xe082 -0xb841a4c -256 -256 -1 -0 -0 -3 -0x82aa -0x14049e0d -256 -256 -1 -0 -0 -5 -0x8f3a -0x32908480 -256 -256 -0 -0 -0 -6 -0x4152 -0xd33c9c09 -256 -256 -1 -0 -0 -2 -0x99d -0xd84e0dd8 -256 -256 -0 -0 -0 -8 -0x8de7 -0xe2b7d944 -256 -256 -0 -0 -0 -5 -0xba92 -0xfc89f5dc -256 -256 -1 -0 -0 -3 -0x1f69 -0xcf376baa -256 -256 -0 -0 -0 -1 -0xb56e -0xd4662f99 -256 -256 -1 -0 -0 -9 -0x728e -0x55bf6f4f -256 -256 -1 -0 -0 -6 -0x226b -0x4e8bb837 -256 -256 -1 -0 -0 -7 -0x6044 -0x65efbe90 -256 -256 -1 -0 -0 -4 -0xba65 -0xafa8003f -256 -256 -1 -0 -0 -2 -0x4cc9 -0xed539c54 -256 -256 -1 -0 -0 -10 -0x2450 -0x496a9b3e -256 -256 -1 -0 -0 -7 -0xb43f -0x31445ca0 -256 -256 -1 -0 -0 -6 -0x1182 -0xa4905252 -256 -256 -1 -0 -0 -2 -0xf4e7 -0x2877d3c6 -256 -256 -1 -0 -0 -5 -0x9f99 -0x62726927 -256 -256 -1 -0 -0 -6 -0x7150 -0xb95e7ca9 -256 -256 -0 -0 -0 -4 -0x218 -0x97221a07 -256 -256 -0 -0 -0 -8 -0x74be -0x79ddbf95 -256 -256 -1 -0 -0 -6 -0x39fd -0x6969d2ee -256 -256 -1 -0 -0 -7 -0x98c5 -0x5ea93265 -256 -256 -1 -0 -0 -10 -0x81f6 -0x96668cbc -256 -256 -0 -0 -0 -4 -0x2d85 -0x49557b53 -256 -256 -1 -0 -0 -4 -0x9c33 -0x1b765675 -256 -256 -1 -0 -0 -8 -0xfa49 -0x7f1fe52e -256 -256 -1 -0 -0 -1 -0x5115 -0x455813c4 -256 -256 -1 -0 -0 -1 -0x1556 -0x77c576a1 -256 -256 -0 -0 -0 -8 -0xa12d -0x33cb1ac6 -256 -256 -1 -0 -0 -5 -0x1d22 -0x23a08f72 -256 -256 -0 -0 -0 -4 -0x6cf3 -0xd597dca2 -256 -256 -1 -0 -0 -5 -0x645d -0x86e6b7c3 -256 -256 -1 -0 -0 -5 -0xe564 -0x9d30a260 -256 -256 -1 -0 -0 -8 -0x31ef -0x58f1748 -256 -256 -1 -0 -0 -5 -0x8775 -0x5d4dd675 -256 -256 -1 -0 -0 -8 -0x692 -0x320ae7dd -256 -256 -1 -0 -0 -6 -0xac87 -0xbf3fa005 -256 -256 -0 -0 -0 -2 -0xfbb2 -0x6227991c -256 -256 -0 -0 -0 -3 -0x6d16 -0x29c36a50 -256 -256 -0 -0 -0 -2 -0x1d67 -0xf74fd538 -256 -256 -0 -0 -0 -1 -0x346f -0x6f14ec9e -256 -256 -1 -0 -0 -8 -0x16f0 -0x10d30e72 -256 -256 -1 -0 -0 -4 -0x30a3 -0xa77ac8aa -256 -256 -1 -0 -0 -2 -0x3207 -0x7df08514 -256 -256 -0 -0 -0 -2 -0xc534 -0x7b3b41b9 -256 -256 -1 -0 -0 -1 -0xa70f -0xb6e94344 -256 -256 -1 -0 -0 -7 -0x1103 -0xcc815e6 -256 -256 -1 -0 -0 -3 -0x9a1b -0xbca57516 -256 -256 -0 -0 -0 -3 -0x343a -0x36830873 -256 -256 -1 -0 -0 -7 -0xd73e -0xe1eb43 -256 -256 -1 -0 -0 -4 -0x14d2 -0x324a9c1 -256 -256 -0 -0 -0 -2 -0xa69c -0xb9f441d5 -256 -256 -1 -0 -0 -9 -0x2039 -0x3741b30c -256 -256 -0 -0 -0 -5 -0xc08d -0x334f69a2 -256 -256 -0 -0 -0 -3 -0xa605 -0xd7d0fb6d -256 -256 -0 -0 -0 -6 -0x68c9 -0x600181d -256 -256 -0 -0 -0 -6 -0x2820 -0x6e833d67 -256 -256 -0 -0 -0 -1 -0xefea -0x64bb88b2 -256 -256 -0 -0 -0 -10 -0x152 -0xabaa8859 -256 -256 -1 -0 -0 -10 -0x415d -0x929359e8 -256 -256 -1 -0 -0 -10 -0x4b29 -0x68636301 -256 -256 -1 -0 -0 -10 -0x82eb -0x56ff5836 -256 -256 -0 -0 -0 -7 -0xe511 -0xf93acbb4 -256 -256 -0 -0 -0 -1 -0xd0aa -0x7c21786e -256 -256 -0 -0 -0 -8 -0x106a -0x38f200a0 -256 -256 -0 -0 -0 -8 -0xbee1 -0xe445324f -256 -256 -0 -0 -0 -10 -0xd70f -0x455f9e84 -256 -256 -0 -0 -0 -7 -0xec44 -0x938277de -256 -256 -0 -0 -0 -7 -0xb268 -0x5b56bca7 -256 -256 -0 -0 -0 -9 -0xbdda -0xf564ad12 -256 -256 -0 -0 -0 -10 -0xe2f3 -0xeb51390 -256 -256 -1 -0 -0 -10 -0xc0df -0xb41a839c -256 -256 -1 -0 -0 -9 -0x1411 -0xb4932ca6 -256 -256 -0 -0 -0 -3 -0x9f0f -0x7e62a71a -256 -256 -1 -0 -0 -6 -0xee8c -0x756d9dfa -256 -256 -1 -0 -0 -10 -0xe75f -0xc61a3c89 -256 -256 -0 -0 -0 -5 -0x96c3 -0x37236aec -256 -256 -1 -0 -0 -2 -0xc50a -0x81103b0d -256 -256 -1 -0 -0 -9 -0x948e -0x1756ef60 -256 -256 -0 -0 -0 -5 -0xd61 -0xeaff83e2 -256 -256 -1 -0 -0 -7 -0x673f -0x9ea7d405 -256 -256 -1 -0 -0 -3 -0x7941 -0x84291115 -256 -256 -0 -0 -0 -4 -0xf6a4 -0x43e945e8 -256 -256 -0 -0 -0 -10 -0xb8ec -0x1a70bc56 -256 -256 -0 -0 -0 -10 -0xe7c -0xde6a8d89 -256 -256 -1 -0 -0 -7 -0x3e42 -0xb17d8929 -256 -256 -1 -0 -0 -8 -0x7ef1 -0x36545cba -256 -256 -0 -0 -0 -3 -0x1365 -0x767b9488 -256 -256 -0 -0 -0 -7 -0x12b6 -0xe52c4e91 -256 -256 -1 -0 -0 -4 -0x1718 -0xcdaed8e3 -256 -256 -0 -0 -0 -8 -0x29c3 -0x57380f9a -256 -256 -0 -0 -0 -6 -0xa8d3 -0x2538487 -256 -256 -1 -0 -0 -9 -0xf254 -0xedfcdd0c -256 -256 -0 -0 -0 -6 -0x8bcb -0x789c1786 -256 -256 -0 -0 -0 -1 -0x230a -0x9bb1847b -256 -256 -1 -0 -0 -3 -0xcc4e -0xd75f0b05 -256 -256 -0 -0 -0 -2 -0x465d -0x97505a85 -256 -256 -1 -0 -0 -10 -0xe8bb -0xa789cd4e -256 -256 -1 -0 -0 -7 -0xe1a5 -0x9e484290 -256 -256 -0 -0 -0 -3 -0x5aa0 -0x158d3f2a -256 -256 -1 -0 -0 -3 -0xdf20 -0x356b3b27 -256 -256 -1 -0 -0 -4 -0x7c45 -0xf1f170a9 -256 -256 -0 -0 -0 -7 -0xd7b3 -0x2702f01e -256 -256 -1 -0 -0 -3 -0x16ca -0xbd947576 -256 -256 -1 -0 -0 -8 -0x4df9 -0xbabf9eb4 -256 -256 -1 -0 -0 -3 -0x3404 -0x630dc527 -256 -256 -0 -0 -0 -2 -0xcc05 -0xe1e18c28 -256 -256 -1 -0 -0 -10 -0x582d -0x3ce69b80 -256 -256 -1 -0 -0 -4 -0xf88 -0x457f2771 -256 -256 -1 -0 -0 -1 -0x96d8 -0xa8ed0a10 -256 -256 -1 -0 -0 -3 -0x1ff7 -0xe70daf33 -256 -256 -1 -0 -0 -6 -0xed47 -0xdfb8cc23 -256 -256 -0 -0 -0 -4 -0x1244 -0x8a14e0f9 -256 -256 -0 -0 -0 -2 -0xd379 -0x28009c87 -256 -256 -0 -0 -0 -7 -0xce24 -0xee72a16d -256 -256 -0 -0 -0 -2 -0xfe25 -0xb8c32230 -256 -256 -0 -0 -0 -8 -0xce56 -0x2f1d8f7 -256 -256 -1 -0 -0 -1 -0x45a3 -0xfcbafd4d -256 -256 -0 -0 -0 -8 -0x57cd -0xb2ad833d -256 -256 -1 -0 -0 -1 -0x2e0d -0xb5869b42 -256 -256 -1 -0 -0 -6 -0x458b -0x20760ccc -256 -256 -0 -0 -0 -3 -0x5381 -0xeeb2785a -256 -256 -0 -0 -0 -5 -0xa2d4 -0xe5bf84a3 -256 -256 -0 -0 -0 -7 -0x850d -0x49b38d89 -256 -256 -0 -0 -0 -1 -0xcb58 -0x65076457 -256 -256 -1 -0 -0 -9 -0xd74 -0xeea2a54b -256 -256 -0 -0 -0 -5 -0x736e -0x62e36ada -256 -256 -1 -0 -0 -5 -0xeb16 -0xa2f3eff9 -256 -256 -0 -0 -0 -8 -0xc8e5 -0x6bffba78 -256 -256 -0 -0 -0 -7 -0xcefa -0x120c9146 -256 -256 -1 -0 -0 -8 -0x3de4 -0xa030c1d8 -256 -256 -1 -0 -0 -4 -0xb9de -0x26ac6e79 -256 -256 -0 -0 -0 -2 -0xc30d -0x3c01e240 -256 -256 -0 -0 -0 -7 -0x1138 -0xc14832ae -256 -256 -1 -0 -0 -10 -0x6aa7 -0x5b52223 -256 -256 -1 -0 -0 -7 -0xec7c -0x90f960da -256 -256 -1 -0 -0 -2 -0x9ae2 -0x895d7ad3 -256 -256 -0 -0 -0 -10 -0x146a -0x36926ac6 -256 -256 -1 -0 -0 -5 -0xa068 -0xabfa2326 -256 -256 -1 -0 -0 -1 -0x16b7 -0x23e133c9 -256 -256 -1 -0 -0 -7 -0x64f -0xd9590dad -256 -256 -0 -0 -0 -8 -0xb703 -0xc875a7c7 -256 -256 -1 -0 -0 -7 -0x4448 -0x6e3134db -256 -256 -1 -0 -0 -1 -0xcac -0x400c4c71 -256 -256 -1 -0 -0 -1 -0xed71 -0x2e1a7856 -256 -256 -1 -0 -0 -1 -0xbb95 -0xb7d212cd -256 -256 -0 -0 -0 -5 -0x1ff1 -0x6aee999f -256 -256 -1 -0 -0 -7 -0x85e3 -0xa896e256 -256 -256 -0 -0 -0 -2 -0x91c0 -0xa3c400b0 -256 -256 -1 -0 -0 -5 -0xac04 -0x2c3871b3 -256 -256 -1 -0 -0 -4 -0x8209 -0x72ecfdac -256 -256 -1 -0 -0 -4 -0x35bd -0x40c4e07b -256 -256 -0 -0 -0 -5 -0xe2a -0x2cd56307 -256 -256 -0 -0 -0 -2 -0xa26b -0x3d4a5aa6 -256 -256 -0 -0 -0 -6 -0xa014 -0xe71c89ec -256 -256 -1 -0 -0 -8 -0xcf4c -0xfb49d00b -256 -256 -0 -0 -0 -1 -0xe0da -0xd8c5ee01 -256 -256 -0 -0 -0 -6 -0x9dcd -0x68bf47 -256 -256 -1 -0 -0 -2 -0x5eb0 -0xeec5415b -256 -256 -1 -0 -0 -2 -0x1df6 -0x8da0b8f8 -256 -256 -0 -0 -0 -5 -0x6375 -0x4c12d360 -256 -256 -1 -0 -0 -8 -0xd8e3 -0x307ab978 -256 -256 -1 -0 -0 -1 -0x427e -0x1e663cba -256 -256 -0 -0 -0 -9 -0xc30a -0xe3be99c -256 -256 -1 -0 -0 -8 -0xe155 -0xe6b922b0 -256 -256 -0 -0 -0 -4 -0xb4d4 -0x26056a71 -256 -256 -0 -0 -0 -2 -0xb076 -0xab0c773d -256 -256 -0 -0 -0 -3 -0x1e1 -0x33335f32 -256 -256 -1 -0 -0 -8 -0x10b6 -0x452c39a4 -256 -256 -0 -0 -0 -10 -0x3e09 -0x9b165d68 -256 -256 -1 -0 -0 -6 -0xe868 -0x39afb1f6 -256 -256 -1 -0 -0 -7 -0x6c94 -0x9e25351d -256 -256 -1 -0 -0 -5 -0x57ce -0x8495e185 -256 -256 -0 -0 -0 -8 -0x6048 -0x8ee4f784 -256 -256 -1 -0 -0 -6 -0x8437 -0xe4daa824 -256 -256 -0 -0 -0 -7 -0x1677 -0x5061dbe9 -256 -256 -1 -0 -0 -9 -0x339e -0x395206f3 -256 -256 -0 -0 -0 -4 -0x2c08 -0xa7e646f2 -256 -256 -0 -0 -0 -7 -0x732d -0xe7f65bd8 -256 -256 -0 -0 -0 -9 -0x5de -0x1f37d8ed -256 -256 -0 -0 -0 -3 -0xd192 -0x886aa -256 -256 -1 -0 -0 -3 -0xc734 -0xa282943a -256 -256 -0 -0 -0 -6 -0x763f -0x9f7762ac -256 -256 -0 -0 -0 -9 -0x400a -0x3b610645 -256 -256 -0 -0 -0 -4 -0x13df -0x553a4062 -256 -256 -1 -0 -0 -7 -0x1e74 -0x2be318e1 -256 -256 -0 -0 -0 -5 -0x6ba6 -0x154d0336 -256 -256 -0 -0 -0 -10 -0xbf5d -0x89add4fb -256 -256 -0 -0 -0 -10 -0x2acb -0x98815e49 -256 -256 -0 -0 -0 -9 -0x74d5 -0x229f1593 -256 -256 -1 -0 -0 -10 -0x2426 -0xe3198b9f -256 -256 -0 -0 -0 -10 -0xb58a -0x8949033c -256 -256 -1 -0 -0 -8 -0x3064 -0xaa84d3de -256 -256 -0 -0 -0 -7 -0x68b2 -0x65ab922b -256 -256 -0 -0 -0 -7 -0x7551 -0x6d7c4774 -256 -256 -0 -0 -0 -8 -0x78d5 -0xfc4179f6 -256 -256 -0 -0 -0 -7 -0x6579 -0xd56ab97 -256 -256 -1 -0 -0 -2 -0x8ffd -0xc5c9f1f5 -256 -256 -1 -0 -0 -1 -0xd08a -0x708e2e09 -256 -256 -0 -0 -0 -4 -0xd9b4 -0x36adbac3 -256 -256 -0 -0 -0 -3 -0x4f41 -0xd9e2ee98 -256 -256 -0 -0 -0 -3 -0xf74a -0x258e7636 -256 -256 -0 -0 -0 -2 -0xcac1 -0xdb0885d2 -256 -256 -0 -0 -0 -9 -0x9be4 -0xb6526868 -256 -256 -1 -0 -0 -9 -0x27b2 -0x820e2e87 -256 -256 -1 -0 -0 -2 -0xae9c -0xd82bd804 -256 -256 -0 -0 -0 -3 -0x4afd -0x563d884a -256 -256 -0 -0 -0 -7 -0x8c20 -0xcd48df13 -256 -256 -1 -0 -0 -9 -0x8b03 -0x9f6c19fb -256 -256 -0 -0 -0 -8 -0xe26a -0x6ff7ca89 -256 -256 -1 -0 -0 -3 -0x3b0e -0xda5481e1 -256 -256 -1 -0 -0 -3 -0x5f28 -0x63cd168 -256 -256 -1 -0 -0 -6 -0x7d21 -0x5f1ba414 -256 -256 -0 -0 -0 -3 -0x21df -0x9c1cd39d -256 -256 -0 -0 -0 -1 -0x7665 -0x8f466bc1 -256 -256 -1 -0 -0 -10 -0xcdd5 -0xf65bc42e -256 -256 -1 -0 -0 -5 -0x2cd3 -0xde875f84 -256 -256 -1 -0 -0 -4 -0xd995 -0x4cea9d14 -256 -256 -1 -0 -0 -5 -0x4342 -0x8fa86a0f -256 -256 -0 -0 -0 -7 -0x421b -0xf24c6d9f -256 -256 -0 -0 -0 -5 -0x4fce -0xf957a50f -256 -256 -1 -0 -0 -8 -0xfe7a -0xdcd21df8 -256 -256 -1 -0 -0 -5 -0xd95a -0xc230f161 -256 -256 -1 -0 -0 -10 -0x4fc5 -0x65a3156b -256 -256 -0 -0 -0 -7 -0x9e1c -0x71da59ec -256 -256 -0 -0 -0 -4 -0xc0f7 -0xb070035b -256 -256 -0 -0 -0 -3 -0x3e80 -0x7c9bbcb0 -256 -256 -1 -0 -0 -3 -0x32 -0x21227737 -256 -256 -0 -0 -0 -4 -0x889b -0x148242c4 -256 -256 -1 -0 -0 -2 -0xee74 -0x6c7f12b1 -256 -256 -1 -0 -0 -7 -0x44ad -0xdc241578 -256 -256 -0 -0 -0 -7 -0x693b -0xc6a5d94c -256 -256 -1 -0 -0 -4 -0x2a94 -0x5d39dffd -256 -256 -0 -0 -0 -7 -0x26be -0x28b834bc -256 -256 -0 -0 -0 -8 -0xb9a6 -0x259c830b -256 -256 -0 -0 -0 -10 -0xed11 -0x5aeb7a0a -256 -256 -1 -0 -0 -1 -0x5b27 -0x1f2b4b5f -256 -256 -0 -0 -0 -1 -0xe8d3 -0x43e044f8 -256 -256 -1 -0 -0 -1 -0x4099 -0x90bcc455 -256 -256 -0 -0 -0 -4 -0xe187 -0xd1dbcab -256 -256 -0 -0 -0 -2 -0x7eb9 -0x29e5de7d -256 -256 -0 -0 -0 -1 -0xebe9 -0xd46760e4 -256 -256 -0 -0 -0 -1 -0xc96b -0xd3d75a49 -256 -256 -1 -0 -0 -5 -0x931c -0xbb3f1265 -256 -256 -0 -0 -0 -8 -0xcd70 -0x74ee374c -256 -256 -0 -0 -0 -2 -0xa57e -0x819cb1b1 -256 -256 -1 -0 -0 -9 -0x89c2 -0x72340ef2 -256 -256 -1 -0 -0 -10 -0x2913 -0xb715074f -256 -256 -0 -0 -0 -9 -0x6421 -0xf4c4e16f -256 -256 -0 -0 -0 -6 -0x3bd8 -0x1ba122da -256 -256 -0 -0 -0 -6 -0x4ace -0xfbd0bd1a -256 -256 -1 -0 -0 -7 -0xd296 -0x1c44d51f -256 -256 -0 -0 -0 -10 -0xc6f7 -0xd0d7ffe0 -256 -256 -1 -0 -0 -9 -0x4937 -0xaa66ca46 -256 -256 -0 -0 -0 -9 -0x1e30 -0xf03b725 -256 -256 -1 -0 -0 -1 -0x2cc8 -0xcc0d7293 -256 -256 -1 -0 -0 -6 -0x3fd0 -0x402ac462 -256 -256 -1 -0 -0 -7 -0x5a3b -0xc49d9051 -256 -256 -1 -0 -0 -6 -0x3b93 -0x315b081d -256 -256 -0 -0 -0 -10 -0x188a -0xbbb8dd1d -256 -256 -0 -0 -0 -10 -0x36c5 -0x2a098959 -256 -256 -1 -0 -0 -3 -0xd3bb -0xa9675c6f -256 -256 -1 -0 -0 -6 -0x799b -0x1c414f -256 -256 -1 -0 -0 -9 -0xb4f3 -0xc6007af5 -256 -256 -1 -0 -0 -9 -0x3e34 -0xa0e64c86 -256 -256 -1 -0 -0 -4 -0x6323 -0xd040c668 -256 -256 -1 -0 -0 -2 -0x7817 -0x329b8427 -256 -256 -0 -0 -0 -2 -0xecfb -0x6bce4e0c -256 -256 -1 -0 -0 -7 -0x2b39 -0xede6651c -256 -256 -1 -0 -0 -4 -0x6536 -0x48ae032f -256 -256 -0 -0 -0 -8 -0xc02d -0xa09a8910 -256 -256 -1 -0 -0 -4 -0x859d -0x8c20c034 -256 -256 -1 -0 -0 -10 -0x33f7 -0x5befddda -256 -256 -1 -0 -0 -5 -0xc49a -0xaae683a4 -256 -256 -0 -0 -0 -8 -0xf7b5 -0xcf2f63d1 -256 -256 -1 -0 -0 -5 -0x757a -0xdf36b5fb -256 -256 -0 -0 -0 -5 -0xde4f -0xe6d3e8ac -256 -256 -0 -0 -0 -1 -0xf6db -0x1889c5e7 -256 -256 -0 -0 -0 -9 -0x7203 -0x1b7a224b -256 -256 -0 -0 -0 -10 -0x7f3 -0x2dcacb79 -256 -256 -0 -0 -0 -3 -0xdfe6 -0x62f5e44b -256 -256 -1 -0 -0 -10 -0xccd2 -0x1292b313 -256 -256 -0 -0 -0 -2 -0xef6a -0x6c89f3ad -256 -256 -0 -0 -0 -10 -0x7f82 -0x5905961c -256 -256 -1 -0 -0 -3 -0x2899 -0x12c44015 -256 -256 -0 -0 -0 -10 -0xf006 -0x2401a451 -256 -256 -1 -0 -0 -10 -0xc022 -0x9dcd376f -256 -256 -0 -0 -0 -9 -0xbbd5 -0x1aa487ea -256 -256 -1 -0 -0 -1 -0x38fa -0xb153d6d -256 -256 -0 -0 -0 -8 -0xc317 -0xb84be56f -256 -256 -0 -0 -0 -5 -0x58bf -0x34577d06 -256 -256 -0 -0 -0 -4 -0x383c -0xfcaa5230 -256 -256 -1 -0 -0 -7 -0xb9e3 -0x41c1b886 -256 -256 -1 -0 -0 -2 -0xaec1 -0x195bc51f -256 -256 -1 -0 -0 -2 -0x7645 -0x4a6c39c1 -256 -256 -1 -0 -0 -6 -0xe7a2 -0x47af6372 -256 -256 -0 -0 -0 -3 -0x68dd -0x539d0711 -256 -256 -0 -0 -0 -10 -0xa6a3 -0x5cfe1ff1 -256 -256 -0 -0 -0 -6 -0x2a1 -0x291326f3 -256 -256 -1 -0 -0 -7 -0x7b40 -0xd4fd10f7 -256 -256 -0 -0 -0 -5 -0xca86 -0x5e82131e -256 -256 -0 -0 -0 -3 -0xb0a4 -0x821b0b31 -256 -256 -1 -0 -0 -5 -0x2ae -0x9ab0299a -256 -256 -0 -0 -0 -8 -0x50a6 -0x398550cd -256 -256 -0 -0 -0 -9 -0x399 -0x68543731 -256 -256 -1 -0 -0 -1 -0x1a4b -0x9fed596b -256 -256 -1 -0 -0 -10 -0x33d0 -0xe6b5c9d8 -256 -256 -1 -0 -0 -5 -0x32e -0x52adffa7 -256 -256 -0 -0 -0 -5 -0x974a -0x474a5d55 -256 -256 -0 -0 -0 -10 -0x4ac9 -0xc4f070a -256 -256 -1 -0 -0 -9 -0x408e -0x3138cb27 -256 -256 -0 -0 -0 -7 -0x486a -0x95149b6d -256 -256 -0 -0 -0 -1 -0xf6ff -0x1778982d -256 -256 -0 -0 -0 -9 -0xf49c -0x4b1b7f76 -256 -256 -0 -0 -0 -7 -0x4477 -0x2782165e -256 -256 -1 -0 -0 -4 -0x1657 -0x5ccebcae -256 -256 -0 -0 -0 -9 -0xc53d -0xb01a57ca -256 -256 -1 -0 -0 -9 -0xf3cc -0xb5ba0ed2 -256 -256 -1 -0 -0 -2 -0x429f -0xd87773bd -256 -256 -1 -0 -0 -2 -0x2b4b -0x9e284b52 -256 -256 -1 -0 -0 -1 -0x23fc -0x417e6275 -256 -256 -1 -0 -0 -1 -0x5e58 -0x748bf86a -256 -256 -1 -0 -0 -9 -0x632f -0xaea74310 -256 -256 -1 -0 -0 -6 -0x8e4 -0x64c3cdbf -256 -256 -1 -0 -0 -5 -0xa328 -0xf06d76a6 -256 -256 -1 -0 -0 -2 -0xc1ba -0x6998d126 -256 -256 -0 -0 -0 -10 -0x30ae -0xcd7ccc35 -256 -256 -0 -0 -0 -7 -0x121c -0x12798ff5 -256 -256 -1 -0 -0 -3 -0xc040 -0x3075f7fd -256 -256 -1 -0 -0 -5 -0x69ac -0x14bed4b7 -256 -256 -0 -0 -0 -10 -0xcb9b -0xc53258ba -256 -256 -0 -0 -0 -1 -0xc9b0 -0x1d88a03e -256 -256 -1 -0 -0 -2 -0xeb03 -0x26498876 -256 -256 -0 -0 -0 -8 -0xc134 -0x12c5ed8d -256 -256 -0 -0 -0 -8 -0x38ab -0xe1a25099 -256 -256 -0 -0 -0 -8 -0xde1c -0xf21234db -256 -256 -0 -0 -0 -10 -0xc26f -0xc6e9a584 -256 -256 -1 -0 -0 -7 -0x2a9e -0x60ae7250 -256 -256 -1 -0 -0 -8 -0xa440 -0xddf70352 -256 -256 -0 -0 -0 -8 -0x236b -0xad7456f0 -256 -256 -1 -0 -0 -6 -0x573 -0x7e9e593f -256 -256 -1 -0 -0 -8 -0xdc98 -0x5ccc16dd -256 -256 -1 -0 -0 -10 -0x2db7 -0xd6552c2e -256 -256 -0 -0 -0 -5 -0x98f9 -0x67343f2b -256 -256 -1 -0 -0 -2 -0x9098 -0x11a13a60 -256 -256 -0 -0 -0 -1 -0xe247 -0xb66f3c95 -256 -256 -1 -0 -0 -1 -0x4257 -0x8df2796d -256 -256 -0 -0 -0 -6 -0x5be7 -0x68b65f72 -256 -256 -0 -0 -0 -7 -0x957b -0x183a5c80 -256 -256 -0 -0 -0 -7 -0xa3fe -0xa8c4a126 -256 -256 -1 -0 -0 -2 -0x32bc -0xc61ca3d2 -256 -256 -0 -0 -0 -8 -0x28ed -0x97348e7e -256 -256 -0 -0 -0 -1 -0xf405 -0xa583fce0 -256 -256 -1 -0 -0 -9 -0x2c17 -0x815a726a -256 -256 -1 -0 -0 -9 -0xb63c -0xf110b381 -256 -256 -1 -0 -0 -4 -0xd8cf -0x38fee97b -256 -256 -1 -0 -0 -9 -0xb2f8 -0xd83bccc6 -256 -256 -0 -0 -0 -2 -0xc749 -0x759b5f63 -256 -256 -1 -0 -0 -9 -0x88e6 -0x6de9660e -256 -256 -0 -0 -0 -5 -0x3758 -0x5a898544 -256 -256 -0 -0 -0 -8 -0xb778 -0x6c3ea234 -256 -256 -0 -0 -0 -8 -0x4d50 -0xae5c867d -256 -256 -1 -0 -0 -3 -0xf8c3 -0x7464fc61 -256 -256 -0 -0 -0 -6 -0x5fdb -0xfda9df90 -256 -256 -1 -0 -0 -8 -0x97f3 -0x19dcb203 -256 -256 -0 -0 -0 -9 -0xf787 -0x287928a2 -256 -256 -1 -0 -0 -7 -0x253c -0x266bd8ea -256 -256 -1 -0 -0 -1 -0x9bf9 -0x5eb9b063 -256 -256 -0 -0 -0 -6 -0xac1c -0x93540a32 -256 -256 -1 -0 -0 -8 -0x8037 -0x1d031db2 -256 -256 -1 -0 -0 -6 -0x9238 -0x9e27523e -256 -256 -0 -0 -0 -5 -0xe823 -0x45c6705e -256 -256 -0 -0 -0 -2 -0x9cf3 -0x6e1a3b42 -256 -256 -0 -0 -0 -4 -0xdca5 -0xe907e340 -256 -256 -0 -0 -0 -10 -0xd542 -0xabe11d61 -256 -256 -1 -0 -0 -2 -0x4549 -0x172cd4fe -256 -256 -0 -0 -0 -9 -0x2d30 -0xf0327cdf -256 -256 -0 -0 -0 -1 -0xb373 -0xa25b7041 -256 -256 -1 -0 -0 -2 -0x7863 -0x7b256b52 -256 -256 -0 -0 -0 -6 -0x2e2a -0x5464ba20 -256 -256 -1 -0 -0 -9 -0x9551 -0x6d329df9 -256 -256 -0 -0 -0 -4 -0x22c5 -0x3d0eb681 -256 -256 -1 -0 -0 -7 -0xf221 -0xee2dece2 -256 -256 -0 -0 -0 -3 -0xb4ba -0xea4c5101 -256 -256 -0 -0 -0 -8 -0xd283 -0x4aa79b5f -256 -256 -1 -0 -0 -5 -0x2661 -0x5c9239bb -256 -256 -1 -0 -0 -4 -0xd3b5 -0x6478f32e -256 -256 -1 -0 -0 -7 -0x443e -0x775665e -256 -256 -0 -0 -0 -10 -0x734f -0x94d8036e -256 -256 -1 -0 -0 -5 -0xe494 -0x20049a70 -256 -256 -1 -0 -0 -4 -0x45e7 -0x3c2ceb8c -256 -256 -1 -0 -0 -6 -0xb07d -0xa7fc11e7 -256 -256 -1 -0 -0 -7 -0x4d6 -0x1471625 -256 -256 -1 -0 -0 -2 -0xb71a -0x3ec8662c -256 -256 -1 -0 -0 -1 -0x5f1a -0x609cc47 -256 -256 -0 -0 -0 -2 -0x4698 -0x349823ab -256 -256 -1 -0 -0 -9 -0x984d -0xbd217519 -256 -256 -1 -0 -0 -3 -0xa71b -0x6a4836d1 -256 -256 -0 -0 -0 -2 -0xb5f -0xcb8755b5 -256 -256 -0 -0 -0 -8 -0xc261 -0xb8d1fcc4 -256 -256 -0 -0 -0 -9 -0x6b04 -0x23c30d9d -256 -256 -0 -0 -0 -2 -0x97e2 -0x1047f33f -256 -256 -0 -0 -0 -1 -0x89c0 -0x64a2a86b -256 -256 -1 -0 -0 -5 -0xd070 -0xec716c0f -256 -256 -0 -0 -0 -9 -0x9526 -0x8c35504a -256 -256 -0 -0 -0 -3 -0xa0d9 -0x524a11b2 -256 -256 -0 -0 -0 -10 -0x5ba7 -0x57b50685 -256 -256 -1 -0 -0 -2 -0x4493 -0x22ca61b -256 -256 -1 -0 -0 -8 -0x509a -0xae9e0124 -256 -256 -1 -0 -0 -7 -0xeafc -0x47737142 -256 -256 -0 -0 -0 -2 -0x1c36 -0x460c3248 -256 -256 -0 -0 -0 -7 -0x836c -0xd565b8a4 -256 -256 -0 -0 -0 -8 -0x2474 -0x449008a7 -256 -256 -0 -0 -0 -4 -0x9abc -0x5e895717 -256 -256 -0 -0 -0 -2 -0x3ab3 -0xac4bc2d2 -256 -256 -1 -0 -0 -2 -0x956f -0xf5067c8b -256 -256 -0 -0 -0 -2 -0x45cd -0x82e19a80 -256 -256 -0 -0 -0 -5 -0x6726 -0x7a0e1693 -256 -256 -0 -0 -0 -5 -0x1e02 -0xad4ebe7d -256 -256 -0 -0 -0 -6 -0x1805 -0x5c4e0789 -256 -256 -1 -0 -0 -6 -0xf476 -0xda43616d -256 -256 -0 -0 -0 -3 -0xc2d4 -0x1be3bb44 -256 -256 -1 -0 -0 -8 -0x40d2 -0x2bcf762c -256 -256 -0 -0 -0 -1 -0x89bc -0xe6f9925b -256 -256 -1 -0 -0 -1 -0x6812 -0x98ffc7aa -256 -256 -0 -0 -0 -5 -0x423f -0xc3b47335 -256 -256 -0 -0 -0 -6 -0xb6ad -0x8c441c16 -256 -256 -0 -0 -0 -10 -0x34fc -0x626aa4b0 -256 -256 -0 -0 -0 -10 -0xa28a -0xcb76339a -256 -256 -0 -0 -0 -9 -0xfb80 -0x69bf4337 -256 -256 -1 -0 -0 -5 -0xdc10 -0x3eed3ad5 -256 -256 -1 -0 -0 -4 -0x25c4 -0x5f8c4a00 -256 -256 -0 -0 -0 -3 -0xfa14 -0x6c668c41 -256 -256 -1 -0 -0 -7 -0xa02c -0xba75645f -256 -256 -0 -0 -0 -2 -0x88ff -0x80ba9440 -256 -256 -0 -0 -0 -1 -0xa535 -0x8c427035 -256 -256 -0 -0 -0 -1 -0x6833 -0x76ad30bf -256 -256 -0 -0 -0 -10 -0xdcf7 -0x1c6c425c -256 -256 -1 -0 -0 -8 -0x6020 -0xbec7a513 -256 -256 -1 -0 -0 -3 -0x6e5a -0x866c58dd -256 -256 -1 -0 -0 -9 -0x9cea -0x9391e129 -256 -256 -0 -0 -0 -6 -0xb3a8 -0x53437ab -256 -256 -0 -0 -0 -3 -0xb2de -0x728494ce -256 -256 -1 -0 -0 -3 -0x9688 -0x4494110c -256 -256 -0 -0 -0 -2 -0x8c11 -0x1c576849 -256 -256 -1 -0 -0 -8 -0x3ac5 -0xce50f2ae -256 -256 -0 -0 -0 -2 -0x19e9 -0x621a37db -256 -256 -1 -0 -0 -10 -0x4407 -0x5944eb10 -256 -256 -1 -0 -0 -10 -0x5e23 -0xe25cb461 -256 -256 -1 -0 -0 -8 -0x7a4d -0xc424185a -256 -256 -1 -0 -0 -2 -0xb929 -0xc93e0408 -256 -256 -0 -0 -0 -3 -0x314e -0xb0daafea -256 -256 -0 -0 -0 -4 -0x61e6 -0xb902fa6 -256 -256 -0 -0 -0 -8 -0xa97d -0xbbbca2d3 -256 -256 -0 -0 -0 -10 -0x2288 -0xc73c03 -256 -256 -0 -0 -0 -6 -0x3cab -0xb3f02a9a -256 -256 -0 -0 -0 -8 -0xb5a5 -0xa60299df -256 -256 -0 -0 -0 -3 -0x6fa9 -0x8ac5b0c1 -256 -256 -1 -0 -0 -4 -0x9318 -0x6574f8cb -256 -256 -0 -0 -0 -1 -0x12f1 -0x18b7fde3 -256 -256 -1 -0 -0 -5 -0xea96 -0x64d69957 -256 -256 -0 -0 -0 -5 -0xfb6 -0x3c3581d0 -256 -256 -0 -0 -0 -9 -0x60c1 -0xcbbc8ede -256 -256 -1 -0 -0 -10 -0x7fd9 -0xe7cb2cfc -256 -256 -0 -0 -0 -2 -0x690f -0xd79c0ed -256 -256 -0 -0 -0 -3 -0x447c -0xef653994 -256 -256 -1 -0 -0 -6 -0x4c07 -0xf32c11e4 -256 -256 -1 -0 -0 -6 -0xd075 -0xe5b720a0 -256 -256 -1 -0 -0 -1 -0xb937 -0xf3f10688 -256 -256 -1 -0 -0 -7 -0x2ebe -0x4ec1620e -256 -256 -1 -0 -0 -4 -0x4fbd -0xb737b24b -256 -256 -1 -0 -0 -5 -0x29cd -0xc1c3be96 -256 -256 -1 -0 -0 -1 -0xe6b0 -0xfcf473b0 -256 -256 -1 -0 -0 -3 -0x858 -0xa31e8033 -256 -256 -1 -0 -0 -10 -0x3cfd -0x1ca53f5e -256 -256 -1 -0 -0 -9 -0xfba8 -0xaf79adf5 -256 -256 -1 -0 -0 -3 -0x3da5 -0xb4eb8732 -256 -256 -0 -0 -0 -2 -0x9346 -0xd42959fc -256 -256 -0 -0 -0 -7 -0xf2a0 -0xc3e811de -256 -256 -0 -0 -0 -3 -0x2a18 -0x8382a480 -256 -256 -0 -0 -0 -7 -0x2a2f -0x1407614d -256 -256 -0 -0 -0 -8 -0x6192 -0xc898b8ae -256 -256 -1 -0 -0 -7 -0x739 -0xaa09139e -256 -256 -0 -0 -0 -9 -0xf8c4 -0x43f2ac50 -256 -256 -1 -0 -0 -8 -0xf245 -0x54c92fb4 -256 -256 -1 -0 -0 -6 -0xf466 -0x9c468711 -256 -256 -0 -0 -0 -2 -0x5aba -0x53948e00 -256 -256 -0 -0 -0 -8 -0xdd07 -0xa41c9ffe -256 -256 -1 -0 -0 -8 -0xf297 -0x83cd78fc -256 -256 -0 -0 -0 -1 -0xe37c -0xe4fe8516 -256 -256 -0 -0 -0 -6 -0x901 -0xf93c4f3d -256 -256 -0 -0 -0 -5 -0x9106 -0x68d19998 -256 -256 -0 -0 -0 -6 -0x89bd -0xc61578ef -256 -256 -1 -0 -0 -4 -0xb20f -0xad48ca11 -256 -256 -1 -0 -0 -9 -0xbd63 -0x698473e1 -256 -256 -0 -0 -0 -6 -0xc9e2 -0xd14be7b6 -256 -256 -1 -0 -0 -2 -0x3f60 -0x292aaf68 -256 -256 -0 -0 -0 -3 -0xfaa7 -0xead82f6b -256 -256 -0 -0 -0 -6 -0xcd1d -0x302396db -256 -256 -0 -0 -0 -6 -0xb83d -0xec025722 -256 -256 -1 -0 -0 -3 -0x3a19 -0x7daff0bf -256 -256 -0 -0 -0 -9 -0x34bf -0xe6269806 -256 -256 -1 -0 -0 -6 -0xd5d4 -0x616cc2f8 -256 -256 -1 -0 -0 -1 -0xc191 -0x93dfe913 -256 -256 -1 -0 -0 -4 -0xe25f -0xab433bf7 -256 -256 -0 -0 -0 -7 -0xb3f9 -0x9cfaeb3a -256 -256 -0 -0 -0 -9 -0x721c -0xef0cbdf3 -256 -256 -0 -0 -0 -9 -0xc70f -0xb8735a2c -256 -256 -0 -0 -0 -4 -0x3295 -0x3e00a453 -256 -256 -1 -0 -0 -5 -0x31a3 -0x3c5ec910 -256 -256 -1 -0 -0 -9 -0x6a38 -0x873a16c6 -256 -256 -1 -0 -0 -7 -0xb042 -0xd55ff871 -256 -256 -0 -0 -0 -1 -0xca23 -0xe23f6e5e -256 -256 -0 -0 -0 -5 -0x7251 -0x70371c7d -256 -256 -0 -0 -0 -3 -0xe6e5 -0x66a91e3e -256 -256 -1 -0 -0 -3 -0x276c -0xe9e680db -256 -256 -0 -0 -0 -9 -0xcbad -0xb9b66218 -256 -256 -0 -0 -0 -1 -0xc9dc -0xc0dd796d -256 -256 -0 -0 -0 -9 -0xd7a4 -0xab6e6d50 -256 -256 -1 -0 -0 -10 -0x8967 -0x9a7f15b4 -256 -256 -1 -0 -0 -2 -0x5a20 -0xbc38a722 -256 -256 -1 -0 -0 -2 -0x58ef -0xf18208a4 -256 -256 -1 -0 -0 -9 -0xc7f9 -0x537e86f0 -256 -256 -1 -0 -0 -7 -0xcdb7 -0x6e40fddc -256 -256 -1 -0 -0 -3 -0x27ce -0x12e130b5 -256 -256 -1 -0 -0 -8 -0xab45 -0xd4321046 -256 -256 -0 -0 -0 -1 -0x7039 -0xa6aedca9 -256 -256 -0 -0 -0 -10 -0xa13f -0x32c7ad8a -256 -256 -1 -0 -0 -9 -0x3db3 -0xa5a03dc0 -256 -256 -0 -0 -0 -5 -0xba29 -0xf2a7d00 -256 -256 -1 -0 -0 -2 -0xebc0 -0xe253cf25 -256 -256 -1 -0 -0 -4 -0xf317 -0x4f5765e0 -256 -256 -0 -0 -0 -9 -0x3620 -0xb4bf8e5e -256 -256 -1 -0 -0 -10 -0x771b -0x51215b9b -256 -256 -1 -0 -0 -1 -0x6ccd -0x16d15795 -256 -256 -1 -0 -0 -5 -0xa057 -0x12fe42bf -256 -256 -1 -0 -0 -6 -0xe226 -0x864bf266 -256 -256 -0 -0 -0 -3 -0xa603 -0xd597e86b -256 -256 -1 -0 -0 -1 -0xc9d8 -0xee04a0ce -256 -256 -1 -0 -0 -8 -0xf3bf -0x17741523 -256 -256 -0 -0 -0 -4 -0x6813 -0x5deda214 -256 -256 -1 -0 -0 -3 -0xf223 -0xe9387f78 -256 -256 -0 -0 -0 -6 -0x9bbd -0x256d60c8 -256 -256 -1 -0 -0 -2 -0xe350 -0x5991be08 -256 -256 -1 -0 -0 -1 -0x93d4 -0x9a85d9e7 -256 -256 -0 -0 -0 -2 -0xd1d9 -0xbe930967 -256 -256 -0 -0 -0 -3 -0xb1ee -0x50f1d4da -256 -256 -0 -0 -0 -2 -0x7e1d -0x47ef21c5 -256 -256 -0 -0 -0 -2 -0x9f44 -0xd5701ca1 -256 -256 -1 -0 -0 -9 -0x7500 -0x7fd89eb2 -256 -256 -1 -0 -0 -9 -0x39d -0xc1888744 -256 -256 -0 -0 -0 -4 -0x9778 -0xc63773c7 -256 -256 -1 -0 -0 -7 -0x40ef -0xfb876585 -256 -256 -1 -0 -0 -9 -0x136f -0x9ffcf18e -256 -256 -1 -0 -0 -6 -0xa59b -0x39cedf6d -256 -256 -1 -0 -0 -3 -0xb644 -0xff935c7e -256 -256 -0 -0 -0 -9 -0x6c82 -0x2f82e8f4 -256 -256 -0 -0 -0 -9 -0xf0b1 -0x4d2971bc -256 -256 -0 -0 -0 -10 -0x33c3 -0xbad70302 -256 -256 -0 -0 -0 -8 -0x4199 -0x69b93b83 -256 -256 -1 -0 -0 -8 -0x7674 -0x33e2108b -256 -256 -0 -0 -0 -6 -0x4b34 -0xdbf77204 -256 -256 -0 -0 -0 -5 -0x1402 -0xd700410c -256 -256 -1 -0 -0 -7 -0x40dd -0x90cf1252 -256 -256 -0 -0 -0 -6 -0x4207 -0xd0e2d184 -256 -256 -0 -0 -0 -1 -0xbe23 -0xea345d2d -256 -256 -1 -0 -0 -6 -0xcc9d -0x1cab167 -256 -256 -0 -0 -0 -7 -0xd112 -0x881a42e9 -256 -256 -0 -0 -0 -6 -0x8843 -0x52d4854 -256 -256 -0 -0 -0 -5 -0xf930 -0x19a99773 -256 -256 -0 -0 -0 -8 -0x17b4 -0x98bf12ff -256 -256 -0 -0 -0 -2 -0xd077 -0xea3b7b5c -256 -256 -1 -0 -0 -5 -0x3f3 -0x3ef36830 -256 -256 -0 -0 -0 -4 -0xefc3 -0x7e79d188 -256 -256 -1 -0 -0 -10 -0x7502 -0xaef560e8 -256 -256 -1 -0 -0 -10 -0x4661 -0xe5db1a3f -256 -256 -0 -0 -0 -6 -0x9525 -0x1683b5de -256 -256 -0 -0 -0 -3 -0x4154 -0xb7881b52 -256 -256 -1 -0 -0 -5 -0x32ed -0x5eafe604 -256 -256 -0 -0 -0 -3 -0xe6a9 -0x26f0b698 -256 -256 -0 -0 -0 -8 -0x7865 -0xda1033ca -256 -256 -0 -0 -0 -6 -0xcd20 -0xf58826ec -256 -256 -1 -0 -0 -3 -0xb4e2 -0x2fcb536a -256 -256 -1 -0 -0 -10 -0x125f -0x139fb572 -256 -256 -0 -0 -0 -5 -0x39a5 -0x5e63cd5d -256 -256 -1 -0 -0 -1 -0xf5e1 -0x35b4f86f -256 -256 -0 -0 -0 -5 -0x94b3 -0x49c0522d -256 -256 -0 -0 -0 -1 -0x64be -0x4979b2bc -256 -256 -0 -0 -0 -5 -0xc84e -0xfd3cff68 -256 -256 -1 -0 -0 -7 -0xbab2 -0x8174d5fd -256 -256 -1 -0 -0 -3 -0xe97 -0xa55ed95c -256 -256 -0 -0 -0 -4 -0xd346 -0x5fa253fd -256 -256 -0 -0 -0 -9 -0x8284 -0x97d53f6d -256 -256 -0 -0 -0 -7 -0x181f -0x2ac161e9 -256 -256 -1 -0 -0 -7 -0xd08 -0x43ebd286 -256 -256 -0 -0 -0 -7 -0xc979 -0x7ddee374 -256 -256 -0 -0 -0 -3 -0x7b00 -0x5b237e1 -256 -256 -0 -0 -0 -3 -0xde65 -0x442cc58f -256 -256 -0 -0 -0 -10 -0x9f34 -0x5565fdc0 -256 -256 -1 -0 -0 -5 -0xd7d3 -0xfedd5270 -256 -256 -1 -0 -0 -1 -0x86cc -0x4873e333 -256 -256 -1 -0 -0 -4 -0x178d -0xd8d063ef -256 -256 -1 -0 -0 -5 -0x826e -0xfb90051b -256 -256 -1 -0 -0 -10 -0x94e0 -0x11764103 -256 -256 -1 -0 -0 -2 -0xec88 -0xd83d074d -256 -256 -1 -0 -0 -10 -0x7511 -0xf2e91e49 -256 -256 -1 -0 -0 -7 -0x65d5 -0x7ffc6d19 -256 -256 -1 -0 -0 -8 -0x9f15 -0x31c8f954 -256 -256 -1 -0 -0 -7 -0x767b -0xaf47aaa9 -256 -256 -0 -0 -0 -6 -0x5825 -0xda73bdcf -256 -256 -0 -0 -0 -2 -0x791d -0x4673cb85 -256 -256 -0 -0 -0 -3 -0xd657 -0x452d7735 -256 -256 -1 -0 -0 -8 -0x1c05 -0x31da914c -256 -256 -0 -0 -0 -2 -0xa059 -0x88d2846 -256 -256 -0 -0 -0 -2 -0x72b -0x1bad5a68 -256 -256 -0 -0 -0 -7 -0xe1d5 -0x8073c51f -256 -256 -1 -0 -0 -10 -0x3387 -0xfaf8f09c -256 -256 -1 -0 -0 -6 -0xd10e -0xe20fd8fe -256 -256 -0 -0 -0 -7 -0xd369 -0x8066e893 -256 -256 -0 -0 -0 -3 -0xd343 -0x45d7d7b1 -256 -256 -0 -0 -0 -1 -0xe243 -0x66d72051 -256 -256 -1 -0 -0 -10 -0x7420 -0x710293b -256 -256 -1 -0 -0 -6 -0x4c11 -0xaa8861ac -256 -256 -1 -0 -0 -5 -0xef1b -0x1e76b06b -256 -256 -1 -0 -0 -5 -0x5642 -0xea646ff5 -256 -256 -1 -0 -0 -5 -0xc97b -0x25e4ce58 -256 -256 -1 -0 -0 -2 -0xfb68 -0x62291709 -256 -256 -1 -0 -0 -1 -0x43d1 -0x702876a2 -256 -256 -1 -0 -0 -7 -0xd038 -0x210a1384 -256 -256 -1 -0 -0 -1 -0xe8bc -0xd37bdf2a -256 -256 -1 -0 -0 -7 -0x9591 -0xdc3e7e1a -256 -256 -1 -0 -0 -6 -0x593b -0xce180c93 -256 -256 -0 -0 -0 -4 -0xd3d1 -0x57f93f8f -256 -256 -1 -0 -0 -6 -0x3a96 -0x8252d839 -256 -256 -1 -0 -0 -5 -0xc032 -0xfcac88b -256 -256 -0 -0 -0 -5 -0x9e1b -0xaa2f82ec -256 -256 -1 -0 -0 -9 -0x9325 -0xabb4708b -256 -256 -1 -0 -0 -6 -0x1c6d -0xdde5d37 -256 -256 -1 -0 -0 -7 -0x7556 -0x2435f073 -256 -256 -1 -0 -0 -5 -0x3cd3 -0xa3a9f19d -256 -256 -0 -0 -0 -2 -0x507b -0xc38cb774 -256 -256 -1 -0 -0 -7 -0xadcd -0xea26b5b9 -256 -256 -0 -0 -0 -5 -0x234c -0xb4942e44 -256 -256 -0 -0 -0 -8 -0xac88 -0xc6efb047 -256 -256 -0 -0 -0 -4 -0x287e -0xab775301 -256 -256 -1 -0 -0 -10 -0xb02c -0xf7f7851f -256 -256 -1 -0 -0 -2 -0xc786 -0x952e5ebd -256 -256 -1 -0 -0 -8 -0xe5c0 -0xbd416b -256 -256 -0 -0 -0 -9 -0xd512 -0x525e45df -256 -256 -1 -0 -0 -5 -0xfe83 -0xc941dcee -256 -256 -1 -0 -0 -6 -0xfa04 -0xcb05632b -256 -256 -1 -0 -0 -6 -0x7bf2 -0x1298974b -256 -256 -1 -0 -0 -8 -0xcee5 -0x69e0ec70 -256 -256 -1 -0 -0 -8 -0xf9e5 -0x1fd16298 -256 -256 -1 -0 -0 -7 -0x3be6 -0x68dbd9cf -256 -256 -0 -0 -0 -8 -0x6dea -0x25d29baa -256 -256 -0 -0 -0 -9 -0x1db -0xd41f83a8 -256 -256 -1 -0 -0 -4 -0xabc2 -0xf7a92ef9 -256 -256 -0 -0 -0 -5 -0x26ed -0x4251f691 -256 -256 -1 -0 -0 -4 -0x44af -0x56e08032 -256 -256 -0 -0 -0 -7 -0xe386 -0xac594d22 -256 -256 -1 -0 -0 -4 -0xf01 -0xe930af25 -256 -256 -1 -0 -0 -6 -0x132c -0x9517af73 -256 -256 -0 -0 -0 -1 -0x6e8a -0x55d8c223 -256 -256 -1 -0 -0 -9 -0xf9f6 -0xcd499ba0 -256 -256 -1 -0 -0 -10 -0xd706 -0x9bdfb9af -256 -256 -1 -0 -0 -7 -0x461a -0xb7dabe71 -256 -256 -1 -0 -0 -7 -0x5dc5 -0xccd00d19 -256 -256 -0 -0 -0 -7 -0x11f5 -0xe8f44a7c -256 -256 -1 -0 -0 -6 -0x1356 -0x69df73b2 -256 -256 -1 -0 -0 -2 -0xb8f8 -0xc5447de2 -256 -256 -1 -0 -0 -2 -0xa0fe -0x70375769 -256 -256 -0 -0 -0 -10 -0x9997 -0x966014c3 -256 -256 -0 -0 -0 -10 -0xdd48 -0x39f166e2 -256 -256 -1 -0 -0 -1 -0xdd17 -0x6f8c744a -256 -256 -1 -0 -0 -9 -0x9a7a -0xc5cf49c9 -256 -256 -0 -0 -0 -9 -0xcee -0xc0078a56 -256 -256 -0 -0 -0 -1 -0x4d77 -0x4fa40306 -256 -256 -1 -0 -0 -2 -0xbbac -0x984ffa24 -256 -256 -0 -0 -0 -3 -0xb77 -0x1effb236 -256 -256 -0 -0 -0 -1 -0x328b -0x266521d3 -256 -256 -0 -0 -0 -10 -0xd191 -0xfae1fa26 -256 -256 -1 -0 -0 -5 -0x52a8 -0x131fcfc6 -256 -256 -0 -0 -0 -3 -0x2f06 -0xd00f92b5 -256 -256 -0 -0 -0 -8 -0x7b9b -0xe97b35ba -256 -256 -1 -0 -0 -6 -0x606a -0xcc8ad682 -256 -256 -1 -0 -0 -8 -0xdb5 -0x3aecdd6c -256 -256 -1 -0 -0 -3 -0xa02 -0x9550e2a1 -256 -256 -0 -0 -0 -9 -0x1d01 -0xb4e100d8 -256 -256 -0 -0 -0 -9 -0x4237 -0xbf86ec76 -256 -256 -1 -0 -0 -9 -0xe145 -0xcde3dc42 -256 -256 -1 -0 -0 -5 -0x9a9b -0x394df16b -256 -256 -0 -0 -0 -4 -0x2cd1 -0x8ceb17ab -256 -256 -0 -0 -0 -3 -0x92dc -0x64e1e30f -256 -256 -1 -0 -0 -2 -0x81e2 -0xa4bcca57 -256 -256 -1 -0 -0 -2 -0x5355 -0xbf2ea321 -256 -256 -1 -0 -0 -3 -0x1635 -0xaeb3502d -256 -256 -0 -0 -0 -1 -0xfe57 -0x38163461 -256 -256 -0 -0 -0 -3 -0xba23 -0x707d80f9 -256 -256 -0 -0 -0 -7 -0xea9 -0x36999c9a -256 -256 -1 -0 -0 -6 -0xc023 -0x13ddba3a -256 -256 -0 -0 -0 -7 -0xa421 -0x943ec23f -256 -256 -1 -0 -0 -3 -0x198d -0xc01e52e1 -256 -256 -1 -0 -0 -7 -0xe05d -0x75bc8ba5 -256 -256 -0 -0 -0 -6 -0xe55f -0xcd6236c6 -256 -256 -0 -0 -0 -10 -0xd37c -0xa311f74b -256 -256 -1 -0 -0 -1 -0x65c6 -0xf2990444 -256 -256 -0 -0 -0 -5 -0x43d0 -0xaa05f7f4 -256 -256 -0 -0 -0 -8 -0x439c -0xd482d6e3 -256 -256 -1 -0 -0 -1 -0x6e89 -0x1d8213b4 -256 -256 -1 -0 -0 -2 -0xff60 -0x4dc5a124 -256 -256 -0 -0 -0 -3 -0xde7f -0xb46b7f1b -256 -256 -1 -0 -0 -9 -0xade -0xc7cb4edb -256 -256 -1 -0 -0 -4 -0x1e28 -0x4b4a0b3d -256 -256 -0 -0 -0 -1 -0xbd28 -0xd6b1a402 -256 -256 -0 -0 -0 -2 -0x5995 -0xbfe8cb70 -256 -256 -0 -0 -0 -5 -0xa9d1 -0xc5c20802 -256 -256 -0 -0 -0 -2 -0xdae2 -0x6255df81 -256 -256 -1 -0 -0 -9 -0xf12a -0x40c3d828 -256 -256 -1 -0 -0 -7 -0x2c59 -0x4fed5ab7 -256 -256 -0 -0 -0 -2 -0x3115 -0x1abe39b7 -256 -256 -0 -0 -0 -10 -0xd13d -0xa5c6d3ed -256 -256 -1 -0 -0 -9 -0x8ac5 -0x6ed16aa0 -256 -256 -0 -0 -0 -6 -0x9ff7 -0x501fcaec -256 -256 -0 -0 -0 -9 -0x824 -0x7309c0d1 -256 -256 -0 -0 -0 -10 -0x4714 -0xd7eabcc3 -256 -256 -1 -0 -0 -4 -0x2aab -0x140cee08 -256 -256 -1 -0 -0 -3 -0x3811 -0x8d4912c8 -256 -256 -0 -0 -0 -6 -0x58c9 -0x9a27c407 -256 -256 -0 -0 -0 -3 -0xf753 -0xab148d9c -256 -256 -0 -0 -0 -5 -0x666 -0x86cc4a1 -256 -256 -0 -0 -0 -10 -0x3caa -0x9016907d -256 -256 -1 -0 -0 -9 -0xf34a -0x4011334c -256 -256 -0 -0 -0 -10 -0x419f -0xf4405a55 -256 -256 -1 -0 -0 -6 -0x2d77 -0xe6c98847 -256 -256 -1 -0 -0 -8 -0x6253 -0x723f0495 -256 -256 -0 -0 -0 -2 -0x2289 -0x121ba0c1 -256 -256 -0 -0 -0 -7 -0x8dc8 -0x6578b3f8 -256 -256 -1 -0 -0 -8 -0xd52b -0x9d62373e -256 -256 -0 -0 -0 -7 -0x7e73 -0x75ed439f -256 -256 -1 -0 -0 -8 -0x8f5f -0x9475bee0 -256 -256 -0 -0 -0 -10 -0xdf59 -0x700ad082 -256 -256 -1 -0 -0 -1 -0x9100 -0x7ccebd9e -256 -256 -1 -0 -0 -4 -0xdd11 -0x131f67f1 -256 -256 -0 -0 -0 -3 -0x721b -0xef5daf73 -256 -256 -0 -0 -0 -9 -0x6c4b -0xb7ba70ff -256 -256 -1 -0 -0 -10 -0x4dd8 -0x12d21504 -256 -256 -1 -0 -0 -8 -0xc521 -0x25411992 -256 -256 -1 -0 -0 -3 -0x3013 -0x6db8c026 -256 -256 -1 -0 -0 -2 -0xae7e -0xc1e7f38f -256 -256 -1 -0 -0 -8 -0xd94d -0xdb7b2cba -256 -256 -1 -0 -0 -10 -0xc102 -0xf7357989 -256 -256 -1 -0 -0 -4 -0xb1a6 -0x124220e3 -256 -256 -0 -0 -0 -10 -0x5e4f -0x8ded5e90 -256 -256 -1 -0 -0 -9 -0x9986 -0x2514926d -256 -256 -1 -0 -0 -7 -0x361b -0x2118c5fa -256 -256 -1 -0 -0 -8 -0xd22 -0x31b27467 -256 -256 -0 -0 -0 -8 -0xa339 -0xa01b5da0 -256 -256 -1 -0 -0 -10 -0xf349 -0xd2be4ef1 -256 -256 -1 -0 -0 -3 -0x96c2 -0x10520b57 -256 -256 -1 -0 -0 -6 -0xe8d3 -0xf24a58bc -256 -256 -1 -0 -0 -10 -0x553d -0x3a97ba0b -256 -256 -1 -0 -0 -6 -0xd74e -0xab437939 -256 -256 -1 -0 -0 -10 -0xb5b2 -0xc46add81 -256 -256 -0 -0 -0 -2 -0x5099 -0x6ec11c06 -256 -256 -0 -0 -0 -2 -0xc3b3 -0xce00e11f -256 -256 -1 -0 -0 -9 -0xe7fb -0xca9eedc -256 -256 -1 -0 -0 -5 -0xfb58 -0xde1d80a8 -256 -256 -1 -0 -0 -6 -0xdc15 -0xf1008967 -256 -256 -0 -0 -0 -9 -0x79f2 -0xcecb96e8 -256 -256 -1 -0 -0 -2 -0x8632 -0xe3eb08bc -256 -256 -0 -0 -0 -6 -0xc72c -0x200c36fc -256 -256 -0 -0 -0 -3 -0x8f9 -0xf1769b69 -256 -256 -0 -0 -0 -6 -0xa9de -0x117949c8 -256 -256 -1 -0 -0 -4 -0x9122 -0x151bc25c -256 -256 -1 -0 -0 -3 -0x49a6 -0x69865e1e -256 -256 -0 -0 -0 -9 -0xb5bb -0x3b63a9c2 -256 -256 -1 -0 -0 -7 -0xfeca -0x8286d89b -256 -256 -1 -0 -0 -7 -0x4e5 -0x51074ff5 -256 -256 -0 -0 -0 -8 -0x872a -0x8d8f1e7b -256 -256 -0 -0 -0 -8 -0x722e -0x2f2edcc3 -256 -256 -0 -0 -0 -9 -0x8611 -0x5ca967d3 -256 -256 -1 -0 -0 -8 -0x7689 -0x3865bcd9 -256 -256 -0 -0 -0 -9 -0xc4d -0x59a2a9b0 -256 -256 -0 -0 -0 -10 -0x8db4 -0xdb15ad8 -256 -256 -0 -0 -0 -2 -0x765c -0x26ff4065 -256 -256 -1 -0 -0 -3 -0xbd5a -0x9f9d3be4 -256 -256 -1 -0 -0 -10 -0x5edc -0x5f8c6975 -256 -256 -0 -0 -0 -4 -0xfd31 -0x443ddc51 -256 -256 -1 -0 -0 -5 -0x54cb -0xa8ee6347 -256 -256 -1 -0 -0 -3 -0xa59a -0x9ceaabfd -256 -256 -1 -0 -0 -4 -0x8e3f -0x9b2667ed -256 -256 -1 -0 -0 -3 -0x4f11 -0x72d7b264 -256 -256 -1 -0 -0 -9 -0xa1e5 -0x1c40222c -256 -256 -1 -0 -0 -2 -0xce1c -0x40ba573c -256 -256 -1 -0 -0 -4 -0x9448 -0x83b0a943 -256 -256 -1 -0 -0 -8 -0x29d0 -0x10dfa4da -256 -256 -0 -0 -0 -5 -0x52d5 -0x40849714 -256 -256 -1 -0 -0 -3 -0xb6f2 -0xdd683208 -256 -256 -1 -0 -0 -10 -0xb229 -0x295fe585 -256 -256 -1 -0 -0 -8 -0xa3c7 -0x3d0658a4 -256 -256 -1 -0 -0 -2 -0x72b6 -0xc700a7ec -256 -256 -0 -0 -0 -7 -0x32bd -0x9eb6d4aa -256 -256 -1 -0 -0 -6 -0xda47 -0x81f01e8e -256 -256 -0 -0 -0 -4 -0x9af5 -0xc546784 -256 -256 -0 -0 -0 -10 -0x845b -0xfc83ab9b -256 -256 -1 -0 -0 -10 -0xe2c2 -0x5d8bfefe -256 -256 -1 -0 -0 -5 -0x62c9 -0xa9fc6f42 -256 -256 -0 -0 -0 -1 -0x68d8 -0x241d3934 -256 -256 -0 -0 -0 -10 -0xe141 -0x2205241c -256 -256 -1 -0 -0 -8 -0x3e64 -0x3de9405d -256 -256 -1 -0 -0 -6 -0xd479 -0x3a83fa1a -256 -256 -0 -0 -0 -3 -0xeb98 -0xa286b9b -256 -256 -1 -0 -0 -10 -0x8282 -0xed5d9751 -256 -256 -1 -0 -0 -5 -0xe9bf -0x22ad1bb6 -256 -256 -0 -0 -0 -2 -0x46a2 -0x8db7f98a -256 -256 -0 -0 -0 -10 -0xd475 -0x67fcea04 -256 -256 -1 -0 -0 -10 -0x9449 -0x341acd67 -256 -256 -0 -0 -0 -3 -0x632d -0x5bf6d8b4 -256 -256 -1 -0 -0 -6 -0x34c7 -0xd8d98199 -256 -256 -1 -0 -0 -3 -0x35a3 -0x34f76d2b -256 -256 -1 -0 -0 -4 -0x6350 -0x827b665e -256 -256 -1 -0 -0 -8 -0x5f73 -0x76e55c87 -256 -256 -1 -0 -0 -4 -0x30c7 -0xa3e5b1b5 -256 -256 -1 -0 -0 -6 -0x2149 -0x8028dd70 -256 -256 -0 -0 -0 -1 -0x6b3f -0x6f79298f -256 -256 -0 -0 -0 -6 -0x10b9 -0x5360357c -256 -256 -0 -0 -0 -2 -0xa22e -0x368b1c48 -256 -256 -1 -0 -0 -10 -0x77cb -0x9dfb6a7f -256 -256 -1 -0 -0 -2 -0x8c52 -0x9664d548 -256 -256 -1 -0 -0 -7 -0xa147 -0xfe9059ab -256 -256 -1 -0 -0 -9 -0x7181 -0x4cf93408 -256 -256 -1 -0 -0 -2 -0xb86 -0x4b8f06cd -256 -256 -0 -0 -0 -4 -0x9ed8 -0x67f86993 -256 -256 -1 -0 -0 -6 -0x8096 -0xa2219d63 -256 -256 -0 -0 -0 -4 -0x27e4 -0x6a638cb9 -256 -256 -0 -0 -0 -4 -0x394b -0x4d7201c3 -256 -256 -0 -0 -0 -5 -0xf8e9 -0x6cc549a9 -256 -256 -1 -0 -0 -7 -0x7c16 -0x5557d8a0 -256 -256 -0 -0 -0 -4 -0x932b -0x9ee03236 -256 -256 -1 -0 -0 -1 -0x76e0 -0xc758051c -256 -256 -0 -0 -0 -2 -0x7fa1 -0x8f707d21 -256 -256 -1 -0 -0 -4 -0x177c -0x5329d286 -256 -256 -1 -0 -0 -4 -0xd898 -0xd48e150d -256 -256 -1 -0 -0 -10 -0xb51a -0xd729ef1d -256 -256 -1 -0 -0 -7 -0xf6d7 -0x89f066d5 -256 -256 -1 -0 -0 -4 -0x8409 -0x4ab01ba9 -256 -256 -1 -0 -0 -6 -0x4375 -0x6b873cc4 -256 -256 -0 -0 -0 -7 -0x3e16 -0x6023274c -256 -256 -0 -0 -0 -3 -0x5486 -0xe2e0ba56 -256 -256 -1 -0 -0 -7 -0x781e -0x483cf3cd -256 -256 -1 -0 -0 -9 -0xebe -0xfe3bca2 -256 -256 -0 -0 -0 -2 -0xef8f -0x701bb9c3 -256 -256 -1 -0 -0 -7 -0x942a -0xfc3034e0 -256 -256 -1 -0 -0 -3 -0xa97e -0xd56d3ed0 -256 -256 -1 -0 -0 -7 -0x1020 -0x746dbcd6 -256 -256 -1 -0 -0 -7 -0x1a9 -0x6cbd8034 -256 -256 -0 -0 -0 -8 -0x1d09 -0x6dbfc6a2 -256 -256 -0 -0 -0 -9 -0xa020 -0x24298c59 -256 -256 -0 -0 -0 -6 -0xb639 -0xc411fd98 -256 -256 -0 -0 -0 -5 -0x9c08 -0xdda45549 -256 -256 -1 -0 -0 -6 -0x84a9 -0xcc6c4ed3 -256 -256 -1 -0 -0 -4 -0xe058 -0x7bcf46c8 -256 -256 -1 -0 -0 -1 -0xd1ae -0x1f1a770 -256 -256 -1 -0 -0 -6 -0xf4db -0x29cca0a0 -256 -256 -0 -0 -0 -2 -0x673e -0xfbc2eb4b -256 -256 -1 -0 -0 -4 -0xf034 -0xe691df8c -256 -256 -1 -0 -0 -6 -0x6bb7 -0x5a34052c -256 -256 -0 -0 -0 -7 -0x7829 -0x23bd40d2 -256 -256 -1 -0 -0 -5 -0x9c00 -0x24cddf79 -256 -256 -1 -0 -0 -10 -0x20d6 -0x508088b9 -256 -256 -1 -0 -0 -7 -0x8d04 -0xb2776b77 -256 -256 -1 -0 -0 -1 -0x8a7a -0x3da3574a -256 -256 -0 -0 -0 -8 -0x4558 -0x3480a381 -256 -256 -0 -0 -0 -9 -0x486a -0x7dc6f19c -256 -256 -0 -0 -0 -5 -0xaa74 -0x93a855a6 -256 -256 -1 -0 -0 -4 -0x88 -0xf02c72f3 -256 -256 -0 -0 -0 -4 -0xb0c2 -0xe8c14b19 -256 -256 -0 -0 -0 -3 -0x9a3c -0xbe7fe808 -256 -256 -0 -0 -0 -10 -0x7a78 -0xaca853ad -256 -256 -1 -0 -0 -7 -0x77c7 -0x6a96d9ab -256 -256 -1 -0 -0 -8 -0xccfa -0xa353240e -256 -256 -0 -0 -0 -4 -0x8191 -0x384f9bdf -256 -256 -0 -0 -0 -1 -0xdf40 -0xd251773e -256 -256 -1 -0 -0 -7 -0xbf61 -0x94fb04e -256 -256 -0 -0 -0 -3 -0xd2e7 -0xebf67dce -256 -256 -0 -0 -0 -3 -0xff26 -0xa17e3d9c -256 -256 -1 -0 -0 -3 -0x8ec9 -0xfc3f4ee5 -256 -256 -0 -0 -0 -2 -0x8724 -0xa0277088 -256 -256 -1 -0 -0 -4 -0x5f03 -0x3675dec4 -256 -256 -1 -0 -0 -9 -0x95c -0x40901280 -256 -256 -0 -0 -0 -9 -0xd6a2 -0xf2701db6 -256 -256 -0 -0 -0 -9 -0xf4f2 -0x45a20b6 -256 -256 -1 -0 -0 -4 -0x9d28 -0xc1d0e9a7 -256 -256 -1 -0 -0 -2 -0xcd7a -0xbe0c5b4a -256 -256 -0 -0 -0 -9 -0x64e4 -0x5daba40b -256 -256 -1 -0 -0 -8 -0x7395 -0x74e4b2b9 -256 -256 -0 -0 -0 -10 -0xa68c -0x6a649744 -256 -256 -1 -0 -0 -2 -0x65a9 -0xb0c5ac91 -256 -256 -1 -0 -0 -10 -0xeb61 -0x66ffa624 -256 -256 -1 -0 -0 -6 -0xb73d -0x7e2b7731 -256 -256 -1 -0 -0 -2 -0xe97d -0x7a8e49af -256 -256 -0 -0 -0 -4 -0x4310 -0xfabf13c2 -256 -256 -0 -0 -0 -10 -0x81c7 -0x610151c2 -256 -256 -1 -0 -0 -2 -0x8968 -0x33dca7 -256 -256 -0 -0 -0 -5 -0x36a6 -0x701c5bfc -256 -256 -0 -0 -0 -5 -0x315f -0xf1c43c7f -256 -256 -1 -0 -0 -9 -0x3941 -0x4a97b0e3 -256 -256 -0 -0 -0 -6 -0x9f82 -0x9548c727 -256 -256 -0 -0 -0 -1 -0x605a -0x65e2a2dd -256 -256 -1 -0 -0 -7 -0x3cc5 -0x3823b904 -256 -256 -0 -0 -0 -1 -0x86b -0xddc74cbd -256 -256 -1 -0 -0 -6 -0x9b63 -0x29e1305b -256 -256 -1 -0 -0 -2 -0x2caf -0x7ed5ae48 -256 -256 -1 -0 -0 -9 -0xd497 -0x8c471e93 -256 -256 -1 -0 -0 -8 -0x99b7 -0xf5f71328 -256 -256 -1 -0 -0 -7 -0xdc66 -0xc4730e26 -256 -256 -0 -0 -0 -9 -0x1b91 -0xcef6a2b7 -256 -256 -1 -0 -0 -5 -0xab50 -0x1ec5b285 -256 -256 -1 -0 -0 -4 -0xcaa8 -0xe2696ad -256 -256 -0 -0 -0 -10 -0xa858 -0x45863e42 -256 -256 -1 -0 -0 -10 -0xe812 -0x10ea4769 -256 -256 -1 -0 -0 -2 -0x82eb -0xc72eaee -256 -256 -1 -0 -0 -10 -0x15b8 -0xe1a15769 -256 -256 -1 -0 -0 -10 -0xb047 -0x4b3555b3 -256 -256 -0 -0 -0 -5 -0xce6f -0x17c5b9cd -256 -256 -1 -0 -0 -7 -0xd0ce -0x69d22366 -256 -256 -1 -0 -0 -6 -0xc0a2 -0x73c89ee4 -256 -256 -1 -0 -0 -4 -0x8721 -0xafa5f74 -256 -256 -1 -0 -0 -2 -0xa679 -0x70d1b7d2 -256 -256 -1 -0 -0 -4 -0xa852 -0xf4a8d580 -256 -256 -1 -0 -0 -2 -0x4d8c -0x5017879 -256 -256 -0 -0 -0 -8 -0xb3cb -0xb5a6433e -256 -256 -0 -0 -0 -3 -0x45e3 -0x6789b59a -256 -256 -1 -0 -0 -10 -0xdd21 -0x7492938c -256 -256 -0 -0 -0 -6 -0xf450 -0xc5901cbe -256 -256 -0 -0 -0 -7 -0xf79 -0x63adebf4 -256 -256 -0 -0 -0 -5 -0x48b1 -0x1d9067ed -256 -256 -1 -0 -0 -8 -0xdb9 -0x4a57589d -256 -256 -1 -0 -0 -8 -0x70ca -0x7f4b85f -256 -256 -1 -0 -0 -4 -0x50e1 -0xae286152 -256 -256 -1 -0 -0 -8 -0x4a63 -0x253d9ce3 -256 -256 -0 -0 -0 -8 -0xc156 -0xcbbe929b -256 -256 -1 -0 -0 -4 -0xc92b -0xe2a8d34a -256 -256 -0 -0 -0 -7 -0xd09b -0x57cd9095 -256 -256 -0 -0 -0 -10 -0x8cee -0xe79286ca -256 -256 -1 -0 -0 -9 -0x8d25 -0x2e3a8a07 -256 -256 -1 -0 -0 -7 -0xa2a4 -0xb5654c8 -256 -256 -1 -0 -0 -5 -0x2fa -0xa5b988be -256 -256 -1 -0 -0 -1 -0x23aa -0x83b4a602 -256 -256 -1 -0 -0 -1 -0xbd06 -0x12f0f733 -256 -256 -1 -0 -0 -6 -0x6760 -0xa9cdfabc -256 -256 -0 -0 -0 -5 -0xf424 -0xf83c389d -256 -256 -0 -0 -0 -3 -0xd3a8 -0x527fccc5 -256 -256 -0 -0 -0 -8 -0xbac7 -0xf20a7234 -256 -256 -0 -0 -0 -1 -0x12eb -0x15e99cb7 -256 -256 -0 -0 -0 -5 -0x8331 -0xd6b8dbba -256 -256 -0 -0 -0 -7 -0x8c44 -0xd54c008d -256 -256 -1 -0 -0 -6 -0xb298 -0xf657d6a2 -256 -256 -1 -0 -0 -5 -0x54ad -0x23293850 -256 -256 -1 -0 -0 -2 -0x3d50 -0xfec24587 -256 -256 -1 -0 -0 -6 -0xd46a -0x3e679c5d -256 -256 -1 -0 -0 -2 -0x72e5 -0xd019f3da -256 -256 -1 -0 -0 -3 -0x484 -0xfb7bf65b -256 -256 -0 -0 -0 -6 -0xec12 -0x5a30c7ae -256 -256 -0 -0 -0 -8 -0x2d4e -0x68168e2 -256 -256 -0 -0 -0 -4 -0x336 -0xd7b009b7 -256 -256 -0 -0 -0 -2 -0xbe5d -0xcf505792 -256 -256 -0 -0 -0 -10 -0x9467 -0x6d488422 -256 -256 -0 -0 -0 -4 -0x3f78 -0x54c38124 -256 -256 -0 -0 -0 -8 -0xbcf7 -0xee79194c -256 -256 -1 -0 -0 -6 -0x3775 -0xead34a4b -256 -256 -1 -0 -0 -5 -0xd5c4 -0x2324b03e -256 -256 -0 -0 -0 -2 -0xc3ea -0x3cd670d4 -256 -256 -1 -0 -0 -3 -0x8195 -0xebb4109b -256 -256 -1 -0 -0 -1 -0x2347 -0x8ddd04de -256 -256 -1 -0 -0 -9 -0x613f -0x1265c963 -256 -256 -1 -0 -0 -9 -0x8850 -0xd7fa0709 -256 -256 -0 -0 -0 -4 -0x5a9e -0x9cc37536 -256 -256 -1 -0 -0 -5 -0x8b86 -0x46754a7 -256 -256 -0 -0 -0 -8 -0x8f63 -0x14e06497 -256 -256 -1 -0 -0 -10 -0x83ee -0xfe6996dd -256 -256 -0 -0 -0 -2 -0x5919 -0x51b76fde -256 -256 -0 -0 -0 -5 -0xbae1 -0x693633e8 -256 -256 -1 -0 -0 -10 -0x3885 -0xd0ce7650 -256 -256 -0 -0 -0 -2 -0xe578 -0x47e68d6c -256 -256 -0 -0 -0 -10 -0x1eb4 -0xe1344465 -256 -256 -0 -0 -0 -10 -0xca12 -0xe0c9b7ae -256 -256 -0 -0 -0 -8 -0x96a0 -0x60d115ba -256 -256 -0 -0 -0 -2 -0x258b -0xddd891cd -256 -256 -1 -0 -0 -6 -0x2249 -0x558c1a4e -256 -256 -0 -0 -0 -5 -0x3af2 -0x92d2cd68 -256 -256 -0 -0 -0 -1 -0x3b0c -0x9f654611 -256 -256 -0 -0 -0 -5 -0xae8e -0x1b86c1b1 -256 -256 -1 -0 -0 -6 -0x19a7 -0xdebddfa5 -256 -256 -0 -0 -0 -5 -0xe2e5 -0x7ef356e3 -256 -256 -1 -0 -0 -8 -0xb941 -0x3493a5fc -256 -256 -1 -0 -0 -5 -0xfd6d -0xa3e7fd2 -256 -256 -1 -0 -0 -3 -0x4193 -0xe8bf14c7 -256 -256 -1 -0 -0 -7 -0x363d -0xe7114388 -256 -256 -1 -0 -0 -4 -0x7b4d -0x85ccd4b1 -256 -256 -0 -0 -0 -3 -0xc360 -0x7e2f64c8 -256 -256 -1 -0 -0 -3 -0xdfec -0xde9a791f -256 -256 -1 -0 -0 -1 -0xe86c -0x72f5bc91 -256 -256 -1 -0 -0 -6 -0xa56f -0xc0d2a780 -256 -256 -1 -0 -0 -5 -0xaacf -0x3120be16 -256 -256 -0 -0 -0 -9 -0x67db -0xdaf9ed0f -256 -256 -0 -0 -0 -2 -0xe0f8 -0xbb8cd9f8 -256 -256 -0 -0 -0 -1 -0xc80f -0xd0578ef1 -256 -256 -0 -0 -0 -6 -0xead4 -0x503c6741 -256 -256 -1 -0 -0 -10 -0xf40d -0x29eda575 -256 -256 -1 -0 -0 -3 -0xaa58 -0x8fca8f42 -256 -256 -1 -0 -0 -1 -0x5c28 -0x2fa0612c -256 -256 -1 -0 -0 -4 -0xbbfc -0xd1c3155a -256 -256 -1 -0 -0 -8 -0x8733 -0xb8dfda1e -256 -256 -0 -0 -0 -10 -0x73db -0x8c201f73 -256 -256 -0 -0 -0 -5 -0x2df0 -0x89a226aa -256 -256 -0 -0 -0 -4 -0xc2b3 -0xed1f983f -256 -256 -1 -0 -0 -8 -0xc54d -0x31ba2aff -256 -256 -1 -0 -0 -1 -0x6485 -0xf529d9 -256 -256 -0 -0 -0 -10 -0x7b7c -0x517b56e5 -256 -256 -1 -0 -0 -8 -0xa951 -0x2eb13781 -256 -256 -0 -0 -0 -2 -0x8cb -0xec089121 -256 -256 -1 -0 -0 -2 -0x426b -0x65cdf60 -256 -256 -1 -0 -0 -8 -0x264e -0xb5f370e9 -256 -256 -0 -0 -0 -4 -0x2ecc -0x2dccedd -256 -256 -0 -0 -0 -7 -0x4bcc -0x34c853d7 -256 -256 -0 -0 -0 -9 -0xf3dc -0x5975d8e8 -256 -256 -0 -0 -0 -7 -0xe6ea -0xf317fbc9 -256 -256 -0 -0 -0 -5 -0xd6ba -0x78b1b17e -256 -256 -1 -0 -0 -9 -0x18bd -0x4eb4834e -256 -256 -0 -0 -0 -2 -0xe22f -0xf0b4a8d9 -256 -256 -1 -0 -0 -10 -0xb800 -0xa8ff290c -256 -256 -1 -0 -0 -9 -0x1e7d -0x4bd780c1 -256 -256 -0 -0 -0 -2 -0x39c7 -0x70199fe3 -256 -256 -0 -0 -0 -10 -0xbb6c -0xa1f954ee -256 -256 -1 -0 -0 -3 -0x542f -0x7bc9eb95 -256 -256 -0 -0 -0 -4 -0x705a -0x9bfe1600 -256 -256 -1 -0 -0 -4 -0xfdb5 -0xbf007256 -256 -256 -0 -0 -0 -10 -0x58a8 -0x216d2ef8 -256 -256 -1 -0 -0 -1 -0x986a -0x60afa863 -256 -256 -0 -0 -0 -8 -0x2a2c -0x9de2a250 -256 -256 -0 -0 -0 -9 -0x4ad7 -0xcf91c56b -256 -256 -1 -0 -0 -5 -0x5b5f -0xc23303c0 -256 -256 -1 -0 -0 -5 -0x3f2 -0xb435fe42 -256 -256 -1 -0 -0 -1 -0xae42 -0x1090f70a -256 -256 -0 -0 -0 -3 -0x88e6 -0xc1693fa6 -256 -256 -1 -0 -0 -10 -0x3689 -0xf24478f -256 -256 -0 -0 -0 -4 -0xf08d -0x7fde7cc8 -256 -256 -0 -0 -0 -2 -0x4226 -0x74537689 -256 -256 -0 -0 -0 -1 -0xae17 -0x3e99fa96 -256 -256 -0 -0 -0 -6 -0xbac1 -0x14c0d75e -256 -256 -0 -0 -0 -4 -0x6af4 -0x44b537e3 -256 -256 -0 -0 -0 -7 -0xa859 -0xd9b1cb7a -256 -256 -0 -0 -0 -4 -0x63bd -0x8926af14 -256 -256 -0 -0 -0 -3 -0x280b -0x5e2f1132 -256 -256 -1 -0 -0 -4 -0x6e60 -0x4b3d2dfe -256 -256 -0 -0 -0 -5 -0x2c1a -0xb6f78758 -256 -256 -0 -0 -0 -1 -0xc99c -0x6357d844 -256 -256 -1 -0 -0 -8 -0xe777 -0x77672774 -256 -256 -1 -0 -0 -4 -0xd857 -0x782ae1c8 -256 -256 -1 -0 -0 -9 -0x2a82 -0x1481292f -256 -256 -0 -0 -0 -6 -0xefa1 -0xcb88422d -256 -256 -1 -0 -0 -2 -0xab89 -0xc7e96e31 -256 -256 -1 -0 -0 -5 -0x92be -0xbfa84b5f -256 -256 -0 -0 -0 -4 -0x537b -0xe5c58319 -256 -256 -0 -0 -0 -2 -0x967 -0x3a193aad -256 -256 -0 -0 -0 -10 -0x4415 -0x3f2be699 -256 -256 -1 -0 -0 -6 -0x47e7 -0x8560ab1e -256 -256 -0 -0 -0 -1 -0xa222 -0x58e766b4 -256 -256 -0 -0 -0 -4 -0x8e15 -0x49b6e808 -256 -256 -0 -0 -0 -6 -0x2fac -0x21392dc0 -256 -256 -0 -0 -0 -2 -0xf6d1 -0xc9de91cc -256 -256 -1 -0 -0 -9 -0xef68 -0x6eba503b -256 -256 -0 -0 -0 -6 -0xe946 -0x3a761878 -256 -256 -1 -0 -0 -10 -0xb541 -0x50da41f8 -256 -256 -0 -0 -0 -5 -0xb185 -0x349f1229 -256 -256 -0 -0 -0 -10 -0x498a -0x49584b88 -256 -256 -1 -0 -0 -4 -0x4318 -0xf33ee8dc -256 -256 -0 -0 -0 -2 -0x83cd -0xbc2d90f3 -256 -256 -1 -0 -0 -5 -0xd246 -0xb984d0dd -256 -256 -1 -0 -0 -5 -0xb22d -0xd0f3299 -256 -256 -0 -0 -0 -1 -0xf292 -0xb479a2f8 -256 -256 -0 -0 -0 -7 -0xc59c -0x7bc36c58 -256 -256 -0 -0 -0 -2 -0x7983 -0x99bae66 -256 -256 -0 -0 -0 -6 -0x5ff3 -0x8606d3d6 -256 -256 -0 -0 -0 -8 -0x86ac -0xa5ccf908 -256 -256 -0 -0 -0 -8 -0x8dcd -0xc8650c1a -256 -256 -1 -0 -0 -1 -0x9559 -0x19f2b8d0 -256 -256 -0 -0 -0 -9 -0xde15 -0x9847db08 -256 -256 -0 -0 -0 -5 -0x114b -0xfa145d8f -256 -256 -0 -0 -0 -7 -0x1c13 -0xf6a89098 -256 -256 -0 -0 -0 -7 -0x2a29 -0xe55cafa4 -256 -256 -1 -0 -0 -3 -0x7b1b -0x125eaed8 -256 -256 -0 -0 -0 -5 -0xcae1 -0xdc0c74 -256 -256 -0 -0 -0 -4 -0x5995 -0x61585290 -256 -256 -1 -0 -0 -7 -0xce25 -0xf3ec8134 -256 -256 -1 -0 -0 -3 -0x1dc5 -0x3c00ba71 -256 -256 -1 -0 -0 -5 -0xb477 -0x74ee75a5 -256 -256 -1 -0 -0 -6 -0x709f -0xfbee1fbc -256 -256 -0 -0 -0 -7 -0x98c5 -0xfd82145d -256 -256 -0 -0 -0 -5 -0xbead -0x393dc5e0 -256 -256 -1 -0 -0 -9 -0xa826 -0xc000ea26 -256 -256 -0 -0 -0 -5 -0xfea -0xf797ecf -256 -256 -1 -0 -0 -6 -0x2ada -0xf0bc5bf4 -256 -256 -1 -0 -0 -5 -0x10d1 -0xeb8ccfa8 -256 -256 -0 -0 -0 -7 -0x6dc9 -0x91618f0e -256 -256 -1 -0 -0 -8 -0x8066 -0x42287e3f -256 -256 -1 -0 -0 -5 -0xfa17 -0xd4862500 -256 -256 -0 -0 -0 -8 -0x8008 -0x5be0c00f -256 -256 -0 -0 -0 -1 -0x66ef -0xce820faf -256 -256 -0 -0 -0 -6 -0x24f1 -0x59ec0dea -256 -256 -1 -0 -0 -1 -0x542d -0x1627c5e3 -256 -256 -1 -0 -0 -2 -0xc676 -0x6bb41751 -256 -256 -0 -0 -0 -10 -0x5c63 -0x35d69cf7 -256 -256 -1 -0 -0 -1 -0xb8ae -0x34e0c91d -256 -256 -1 -0 -0 -8 -0x6e64 -0x146513f -256 -256 -0 -0 -0 -8 -0x78aa -0x5eebd525 -256 -256 -1 -0 -0 -10 -0x359f -0x54e765a3 -256 -256 -0 -0 -0 -4 -0x8cce -0x4b561f7b -256 -256 -0 -0 -0 -8 -0x6da9 -0x5a405455 -256 -256 -1 -0 -0 -1 -0x7fd3 -0xefc3fa16 -256 -256 -1 -0 -0 -10 -0x10e9 -0x9d2a225a -256 -256 -0 -0 -0 -4 -0x2ac4 -0x197db811 -256 -256 -1 -0 -0 -5 -0xa376 -0xe0799d57 -256 -256 -0 -0 -0 -3 -0x9c0c -0xee7ea3b3 -256 -256 -0 -0 -0 -4 -0x1b7d -0xe57cc3b -256 -256 -0 -0 -0 -3 -0x3b97 -0x7654673e -256 -256 -0 -0 -0 -5 -0xb2e4 -0xd86c775f -256 -256 -0 -0 -0 -10 -0x2555 -0x2e807457 -256 -256 -0 -0 -0 -7 -0x646b -0x590b9663 -256 -256 -1 -0 -0 -1 -0xbdc2 -0x2f1b02f3 -256 -256 -1 -0 -0 -8 -0x1e3e -0x1e407353 -256 -256 -1 -0 -0 -9 -0xb430 -0xde7ff65d -256 -256 -0 -0 -0 -1 -0xaa83 -0x3ed76257 -256 -256 -1 -0 -0 -8 -0xc5e0 -0x7ebb977e -256 -256 -0 -0 -0 -5 -0x8c33 -0x3a2abca5 -256 -256 -0 -0 -0 -10 -0x4cbd -0xc4f0baf6 -256 -256 -0 -0 -0 -9 -0x2b6 -0x28b58b92 -256 -256 -0 -0 -0 -4 -0x5870 -0x565dcb5e -256 -256 -1 -0 -0 -6 -0xf10d -0xfa0169c5 -256 -256 -1 -0 -0 -8 -0x82af -0xf7e6b4c2 -256 -256 -0 -0 -0 -9 -0x6377 -0x80c28d25 -256 -256 -0 -0 -0 -7 -0x290 -0x333603c -256 -256 -0 -0 -0 -10 -0x33be -0x23b80ea7 -256 -256 -1 -0 -0 -6 -0x3a3b -0xd2f650e7 -256 -256 -1 -0 -0 -1 -0x2a88 -0x1bc9a2f3 -256 -256 -1 -0 -0 -6 -0x57d6 -0x452ce208 -256 -256 -0 -0 -0 -10 -0x8884 -0x64051ac5 -256 -256 -0 -0 -0 -2 -0x1adf -0x1ae4de28 -256 -256 -0 -0 -0 -4 -0x896c -0x5cae176a -256 -256 -1 -0 -0 -3 -0x8e1b -0x42fc7775 -256 -256 -0 -0 -0 -2 -0x349a -0xf28f66c7 -256 -256 -1 -0 -0 -7 -0x2043 -0x2d794710 -256 -256 -0 -0 -0 -2 -0xe7ac -0x3775c613 -256 -256 -0 -0 -0 -7 -0xddcf -0xcc4bcebe -256 -256 -0 -0 -0 -9 -0x555c -0x9b347627 -256 -256 -1 -0 -0 -10 -0xef24 -0x5ff538bc -256 -256 -0 -0 -0 -10 -0xaed1 -0x9835c3c2 -256 -256 -0 -0 -0 -2 -0x4911 -0x835685b7 -256 -256 -1 -0 -0 -7 -0x6eec -0xa1b4c92 -256 -256 -1 -0 -0 -6 -0x1bd5 -0x8b84f0ef -256 -256 -1 -0 -0 -3 -0x462e -0x7dd182c6 -256 -256 -0 -0 -0 -7 -0x3027 -0xf8f6662c -256 -256 -1 -0 -0 -3 -0x34a1 -0xc9c07e94 -256 -256 -1 -0 -0 -6 -0x1771 -0x886b17a0 -256 -256 -1 -0 -0 -4 -0xde17 -0xd7fb7800 -256 -256 -1 -0 -0 -4 -0x253b -0x41f33f3a -256 -256 -0 -0 -0 -10 -0x265a -0x4e9ebf9 -256 -256 -0 -0 -0 -3 -0xf56f -0x7088dc17 -256 -256 -0 -0 -0 -1 -0x60fc -0x454fd7fb -256 -256 -1 -0 -0 -7 -0xeca4 -0x4abace08 -256 -256 -0 -0 -0 -7 -0xf310 -0xf52b2d16 -256 -256 -0 -0 -0 -5 -0xe16 -0xfd7adb09 -256 -256 -0 -0 -0 -10 -0x516c -0x910c1240 -256 -256 -1 -0 -0 -10 -0x1f36 -0xc3dbf906 -256 -256 -0 -0 -0 -2 -0x9a3a -0x60de6292 -256 -256 -0 -0 -0 -8 -0x29ea -0x6029fb33 -256 -256 -1 -0 -0 -6 -0x2429 -0xa141b2f2 -256 -256 -0 -0 -0 -10 -0x5f1 -0x314977ba -256 -256 -1 -0 -0 -5 -0xc3e6 -0xf13e9322 -256 -256 -0 -0 -0 -10 -0xbc3f -0xe16570c9 -256 -256 -0 -0 -0 -2 -0x3989 -0xf1f2226d -256 -256 -0 -0 -0 -4 -0x420b -0x3160839e -256 -256 -0 -0 -0 -1 -0x516a -0xd4297203 -256 -256 -1 -0 -0 -8 -0xbf48 -0x2e9c144f -256 -256 -0 -0 -0 -8 -0x2fa0 -0x3208cdbe -256 -256 -0 -0 -0 -3 -0x1df -0x6728f82b -256 -256 -0 -0 -0 -7 -0x2abd -0xf99ee75 -256 -256 -0 -0 -0 -8 -0x2711 -0x884f312c -256 -256 -0 -0 -0 -4 -0xe5de -0x39a87449 -256 -256 -1 -0 -0 -7 -0xb3e5 -0xa8ce1608 -256 -256 -0 -0 -0 -6 -0x8fe8 -0x109be728 -256 -256 -1 -0 -0 -5 -0x3b5 -0x834214db -256 -256 -0 -0 -0 -10 -0xa003 -0xa1b65b98 -256 -256 -0 -0 -0 -7 -0xb048 -0xfb8aa988 -256 -256 -0 -0 -0 -3 -0x9e33 -0xcce30c2c -256 -256 -1 -0 -0 -7 -0x8087 -0x972a49ba -256 -256 -1 -0 -0 -1 -0xf4c3 -0x5cb5918c -256 -256 -1 -0 -0 -9 -0x85a6 -0x16921fe8 -256 -256 -0 -0 -0 -4 -0x75da -0xfe69441e -256 -256 -0 -0 -0 -1 -0x1b44 -0xf32512f5 -256 -256 -1 -0 -0 -4 -0x744b -0xdafe1135 -256 -256 -0 -0 -0 -8 -0xc2d -0x3d309473 -256 -256 -1 -0 -0 -6 -0xc535 -0x7bf76ee6 -256 -256 -0 -0 -0 -6 -0x5e2d -0xd93d344 -256 -256 -1 -0 -0 -9 -0x269c -0x95820fb8 -256 -256 -1 -0 -0 -7 -0xc051 -0x38d76ecc -256 -256 -0 -0 -0 -6 -0x92a1 -0x4eb8bb90 -256 -256 -0 -0 -0 -5 -0x4728 -0xa4c4f140 -256 -256 -1 -0 -0 -9 -0x8bef -0xb54231fe -256 -256 -1 -0 -0 -1 -0xdad0 -0x84114df1 -256 -256 -1 -0 -0 -7 -0x9a9c -0x410c86eb -256 -256 -0 -0 -0 -4 -0x426 -0xc9183e85 -256 -256 -0 -0 -0 -9 -0x6a64 -0x183ed397 -256 -256 -1 -0 -0 -6 -0x3a47 -0x30a31fb0 -256 -256 -1 -0 -0 -8 -0x3da1 -0xeb8c4dee -256 -256 -0 -0 -0 -8 -0x249c -0x25713c3b -256 -256 -1 -0 -0 -10 -0xab5a -0x735016bf -256 -256 -1 -0 -0 -4 -0xd009 -0xc9738043 -256 -256 -0 -0 -0 -2 -0xb2f0 -0x9c78aa68 -256 -256 -1 -0 -0 -6 -0xcd5a -0x5b8176f1 -256 -256 -0 -0 -0 -4 -0xbf14 -0x66c5254a -256 -256 -1 -0 -0 -10 -0x9cf8 -0xe1c6da2a -256 -256 -0 -0 -0 -2 -0xbeb4 -0x1a733384 -256 -256 -1 -0 -0 -9 -0x9668 -0xa9fc6472 -256 -256 -0 -0 -0 -5 -0x88d9 -0x1650f85e -256 -256 -1 -0 -0 -4 -0x9efa -0x192fac16 -256 -256 -1 -0 -0 -1 -0x68df -0xd44fc258 -256 -256 -0 -0 -0 -5 -0x946b -0xbd92b4e6 -256 -256 -0 -0 -0 -9 -0x4dad -0x26e07a4a -256 -256 -0 -0 -0 -2 -0x599a -0x4b6a20ae -256 -256 -1 -0 -0 -3 -0xdebd -0x133a97db -256 -256 -0 -0 -0 -3 -0x4ece -0xfe4ca139 -256 -256 -0 -0 -0 -1 -0x81f0 -0x590dd17c -256 -256 -1 -0 -0 -9 -0x8aba -0xbe3d45aa -256 -256 -0 -0 -0 -3 -0x68d4 -0xcfba9ba9 -256 -256 -0 -0 -0 -9 -0xa8e5 -0xbc1c8249 -256 -256 -0 -0 -0 -5 -0x2b2a -0x7b319b64 -256 -256 -0 -0 -0 -3 -0x54f0 -0x55283437 -256 -256 -0 -0 -0 -9 -0xb587 -0x144f621 -256 -256 -1 -0 -0 -4 -0xabb9 -0xba128dd8 -256 -256 -1 -0 -0 -2 -0x8f5b -0x19e142b9 -256 -256 -1 -0 -0 -8 -0xb7c -0x18a816a7 -256 -256 -1 -0 -0 -6 -0x47cb -0x1fc8a645 -256 -256 -1 -0 -0 -9 -0x353e -0x98e0eee9 -256 -256 -0 -0 -0 -7 -0x4f1 -0xb8e246ad -256 -256 -0 -0 -0 -2 -0x948d -0x4e5cac4c -256 -256 -0 -0 -0 -2 -0x577e -0x970a0403 -256 -256 -1 -0 -0 -6 -0x86be -0x53518d30 -256 -256 -1 -0 -0 -6 -0x8bba -0x44440338 -256 -256 -0 -0 -0 -7 -0x7a4 -0x825ad76c -256 -256 -0 -0 -0 -9 -0x1019 -0xfd15ef2 -256 -256 -0 -0 -0 -2 -0xa023 -0xc50bde58 -256 -256 -1 -0 -0 -2 -0x8501 -0xf616fe32 -256 -256 -1 -0 -0 -8 -0xe6cc -0x3bc7c574 -256 -256 -0 -0 -0 -9 -0x4fd3 -0x24c495c7 -256 -256 -0 -0 -0 -3 -0x1eca -0x7439d6b1 -256 -256 -1 -0 -0 -6 -0xab9f -0xfb0b5e77 -256 -256 -1 -0 -0 -7 -0x910 -0x57d86c32 -256 -256 -1 -0 -0 -5 -0x758e -0xb0d41ab0 -256 -256 -0 -0 -0 -6 -0x8e7 -0xdc60a421 -256 -256 -0 -0 -0 -3 -0xf916 -0xbaf5c059 -256 -256 -1 -0 -0 -2 -0x948b -0x47dbdaa2 -256 -256 -1 -0 -0 -7 -0x8669 -0xb8591903 -256 -256 -0 -0 -0 -3 -0xa2f9 -0xb395681c -256 -256 -1 -0 -0 -3 -0xe724 -0xbda224a0 -256 -256 -0 -0 -0 -6 -0xf0d2 -0xa9452d74 -256 -256 -0 -0 -0 -7 -0x205f -0x74ac3d30 -256 -256 -0 -0 -0 -2 -0xcb83 -0xc320dd02 -256 -256 -1 -0 -0 -5 -0x73a6 -0x34e3ca0c -256 -256 -1 -0 -0 -9 -0x7b30 -0x2a55f107 -256 -256 -1 -0 -0 -4 -0xfa48 -0x785affed -256 -256 -1 -0 -0 -4 -0xbcb7 -0x895bfae3 -256 -256 -0 -0 -0 -1 -0x659c -0xd4f61294 -256 -256 -1 -0 -0 -10 -0xb1a9 -0xfcd93a0d -256 -256 -0 -0 -0 -4 -0x162f -0x7dd1b8f3 -256 -256 -1 -0 -0 -6 -0x2dc4 -0x63aaeba4 -256 -256 -0 -0 -0 -3 -0x1aee -0x7b8506eb -256 -256 -0 -0 -0 -2 -0x2d59 -0x60061820 -256 -256 -0 -0 -0 -2 -0xf2da -0xc6d7f5d1 -256 -256 -1 -0 -0 -5 -0x36bc -0x654bd845 -256 -256 -0 -0 -0 -7 -0xda9c -0xb8ab3ef1 -256 -256 -0 -0 -0 -7 -0xf707 -0x57e56ef3 -256 -256 -0 -0 -0 -9 -0xa06e -0x429ff1ab -256 -256 -1 -0 -0 -1 -0x1f39 -0x8525e7f0 -256 -256 -1 -0 -0 -6 -0x48a6 -0xc85c1a32 -256 -256 -1 -0 -0 -9 -0xcf05 -0xf544fc74 -256 -256 -1 -0 -0 -9 -0xb3e0 -0xbf255638 -256 -256 -1 -0 -0 -8 -0xa4bc -0x98b914e0 -256 -256 -0 -0 -0 -9 -0x9bb5 -0x7f1b0b8 -256 -256 -0 -0 -0 -6 -0x6190 -0x1aa4369a -256 -256 -1 -0 -0 -3 -0xe8b9 -0x293860f7 -256 -256 -1 -0 -0 -10 -0x94d4 -0xb13eaab5 -256 -256 -0 -0 -0 -9 -0x3ef7 -0xb2aef420 -256 -256 -0 -0 -0 -8 -0xccc1 -0xc26f83b4 -256 -256 -0 -0 -0 -3 -0xa9d7 -0x41fcd21c -256 -256 -1 -0 -0 -10 -0x34b1 -0x4865a642 -256 -256 -1 -0 -0 -1 -0x483c -0xeefc4457 -256 -256 -1 -0 -0 -9 -0xd3d4 -0xd6cf0631 -256 -256 -1 -0 -0 -5 -0xe419 -0x43acb892 -256 -256 -1 -0 -0 -10 -0x4fa0 -0x6e90711b -256 -256 -0 -0 -0 -2 -0xdb2f -0xd867efce -256 -256 -0 -0 -0 -4 -0xc978 -0xfed26756 -256 -256 -0 -0 -0 -2 -0x2492 -0x3e28a839 -256 -256 -1 -0 -0 -4 -0x67f4 -0xf1ac4b62 -256 -256 -1 -0 -0 -9 -0xbb50 -0x46498513 -256 -256 -1 -0 -0 -5 -0x68a5 -0x6111a0ed -256 -256 -0 -0 -0 -3 -0xa2d0 -0xeb32df29 -256 -256 -1 -0 -0 -1 -0x86d6 -0xfabbb622 -256 -256 -1 -0 -0 -9 -0x262b -0xdd2abd56 -256 -256 -1 -0 -0 -9 -0x52a6 -0x8704107a -256 -256 -0 -0 -0 -2 -0x5aa0 -0xcc50d61e -256 -256 -0 -0 -0 -10 -0x711 -0x3cfc14b2 -256 -256 -1 -0 -0 -3 -0xc988 -0x8ff5a97a -256 -256 -0 -0 -0 -7 -0xe3ee -0x27424007 -256 -256 -1 -0 -0 -10 -0x155 -0x5297332a -256 -256 -0 -0 -0 -3 -0xc127 -0x2643be58 -256 -256 -0 -0 -0 -1 -0xf7ba -0x6259ab8d -256 -256 -0 -0 -0 -6 -0xae8f -0x56675911 -256 -256 -0 -0 -0 -8 -0xaf6e -0x38e374cb -256 -256 -1 -0 -0 -3 -0x6422 -0x24bdb01 -256 -256 -1 -0 -0 -9 -0xa750 -0xf872835f -256 -256 -0 -0 -0 -4 -0xcefa -0x42a32c4a -256 -256 -0 -0 -0 -6 -0x7051 -0x57a86d14 -256 -256 -1 -0 -0 -1 -0x303f -0xf9a3ffcf -256 -256 -0 -0 -0 -8 -0x5524 -0xe2aad73 -256 -256 -0 -0 -0 -5 -0xc884 -0xbe980153 -256 -256 -0 -0 -0 -3 -0x5ec3 -0x120ad546 -256 -256 -0 -0 -0 -1 -0xd85c -0xaf0a3a82 -256 -256 -1 -0 -0 -2 -0x96ca -0x61ebe855 -256 -256 -0 -0 -0 -1 -0x6496 -0x7f7f22ad -256 -256 -0 -0 -0 -3 -0xc0db -0x7b870922 -256 -256 -0 -0 -0 -6 -0xab7d -0x8af6c6e4 -256 -256 -1 -0 -0 -2 -0xaee2 -0x2102ac76 -256 -256 -1 -0 -0 -8 -0xf01d -0x30db5c3c -256 -256 -0 -0 -0 -9 -0xab79 -0x1b6da4e5 -256 -256 -1 -0 -0 -6 -0x8afc -0xa7620560 -256 -256 -1 -0 -0 -6 -0x127 -0x8fd4155f -256 -256 -1 -0 -0 -3 -0x248b -0x3aa8851a -256 -256 -1 -0 -0 -2 -0x2470 -0x86647245 -256 -256 -0 -0 -0 -4 -0x177c -0x685dceb6 -256 -256 -1 -0 -0 -3 -0x2831 -0x79d29d26 -256 -256 -1 -0 -0 -4 -0x34f7 -0xbd72bef4 -256 -256 -1 -0 -0 -1 -0x1e4e -0x390453d4 -256 -256 -1 -0 -0 -5 -0x25ca -0x681d97a3 -256 -256 -1 -0 -0 -4 -0xda32 -0xd6c5b805 -256 -256 -0 -0 -0 -9 -0x5e60 -0xc017436e -256 -256 -1 -0 -0 -2 -0x96ba -0xc79a5c63 -256 -256 -1 -0 -0 -5 -0xc213 -0x7270ea82 -256 -256 -0 -0 -0 -1 -0xa434 -0x5a4041c1 -256 -256 -1 -0 -0 -8 -0x9c94 -0xb0667c7a -256 -256 -0 -0 -0 -5 -0x8cb2 -0xae896b1f -256 -256 -0 -0 -0 -1 -0x2f87 -0xe080754d -256 -256 -0 -0 -0 -10 -0x60da -0xe455e1ba -256 -256 -1 -0 -0 -6 -0x8dc9 -0x3f715648 -256 -256 -0 -0 -0 -9 -0x31a3 -0xc1fe7fd2 -256 -256 -1 -0 -0 -4 -0xafab -0x564ba6ee -256 -256 -0 -0 -0 -5 -0xd434 -0x7756afe0 -256 -256 -0 -0 -0 -1 -0xcbdf -0x844270b8 -256 -256 -0 -0 -0 -9 -0xc4d8 -0xcd1e3184 -256 -256 -1 -0 -0 -2 -0x2aeb -0x996b78af -256 -256 -1 -0 -0 -10 -0xc7fc -0x85aea97c -256 -256 -0 -0 -0 -3 -0xe6e4 -0x1e2b5bcf -256 -256 -0 -0 -0 -1 -0x162b -0x7ce8cdbf -256 -256 -1 -0 -0 -6 -0x1e37 -0xebcc5d5b -256 -256 -0 -0 -0 -9 -0x2346 -0xdf80bf2a -256 -256 -1 -0 -0 -4 -0x4261 -0xc0820a7c -256 -256 -1 -0 -0 -8 -0xa7ea -0xec63141e -256 -256 -0 -0 -0 -9 -0x14d3 -0x50ed7c67 -256 -256 -0 -0 -0 -9 -0x1cc7 -0x3cae523c -256 -256 -1 -0 -0 -8 -0xd20c -0x768db9e7 -256 -256 -1 -0 -0 -10 -0x194a -0xdad760bf -256 -256 -1 -0 -0 -2 -0xdf6f -0x6932ab67 -256 -256 -0 -0 -0 -5 -0x39de -0xe7695609 -256 -256 -0 -0 -0 -7 -0xa650 -0x14a2afe3 -256 -256 -0 -0 -0 -10 -0x2686 -0x911057d9 -256 -256 -1 -0 -0 -6 -0x6fca -0xb6bc98c -256 -256 -1 -0 -0 -8 -0xcd4a -0xa2ccb774 -256 -256 -0 -0 -0 -4 -0xe6c6 -0x14403f46 -256 -256 -1 -0 -0 -7 -0x774b -0xe58a48db -256 -256 -1 -0 -0 -6 -0x30d2 -0xa8375ec8 -256 -256 -1 -0 -0 -7 -0x6f14 -0xd03bb18e -256 -256 -0 -0 -0 -7 -0x22b6 -0xd9b77b6e -256 -256 -0 -0 -0 -8 -0x7c7 -0x6a5f89c1 -256 -256 -0 -0 -0 -5 -0x9a7e -0x48235b16 -256 -256 -1 -0 -0 -6 -0x30de -0xeff60e98 -256 -256 -1 -0 -0 -1 -0x6cce -0x3e39b32d -256 -256 -0 -0 -0 -2 -0xb860 -0xdd311b0b -256 -256 -1 -0 -0 -5 -0x88f4 -0xcac98914 -256 -256 -0 -0 -0 -8 -0x76c2 -0x67fc9cd3 -256 -256 -1 -0 -0 -1 -0x90c1 -0x6986ba66 -256 -256 -1 -0 -0 -6 -0x4007 -0x5eb6bdda -256 -256 -1 -0 -0 -10 -0x938d -0x286ed084 -256 -256 -0 -0 -0 -9 -0xa944 -0x8099df13 -256 -256 -1 -0 -0 -7 -0x2c7b -0xaddd7ee -256 -256 -1 -0 -0 -10 -0x4a5 -0x3e77035b -256 -256 -1 -0 -0 -7 -0xb12d -0xcf8b54de -256 -256 -1 -0 -0 -6 -0xd496 -0xf821e4fe -256 -256 -1 -0 -0 -3 -0x48c1 -0x99430a4d -256 -256 -0 -0 -0 -9 -0xadd4 -0x8d7a4c6f -256 -256 -0 -0 -0 -6 -0x8215 -0xcaddf6aa -256 -256 -1 -0 -0 -7 -0xed6b -0xc8f5887f -256 -256 -1 -0 -0 -6 -0x8787 -0x2e22b27b -256 -256 -1 -0 -0 -10 -0xbd12 -0x60224326 -256 -256 -1 -0 -0 -5 -0x4ec1 -0xdfe277e4 -256 -256 -0 -0 -0 -9 -0xbbe -0x2ea8e9bf -256 -256 -1 -0 -0 -3 -0x8cf4 -0x2029e8f2 -256 -256 -1 -0 -0 -9 -0x16cd -0x7b40b13f -256 -256 -0 -0 -0 -5 -0x2e8b -0x6e608ce2 -256 -256 -1 -0 -0 -1 -0x5764 -0x13036b71 -256 -256 -0 -0 -0 -10 -0x9271 -0x2249af43 -256 -256 -1 -0 -0 -9 -0x4fb9 -0x91b005cb -256 -256 -1 -0 -0 -5 -0xd618 -0xa6a5c609 -256 -256 -1 -0 -0 -5 -0x7e7f -0xbaf255c9 -256 -256 -0 -0 -0 -7 -0x1e3 -0x8df382bb -256 -256 -1 -0 -0 -8 -0x3a6 -0x5a39c3c -256 -256 -1 -0 -0 -7 -0xb913 -0x5932ca2f -256 -256 -0 -0 -0 -4 -0xf921 -0x48d83974 -256 -256 -0 -0 -0 -4 -0x5a50 -0xe61fc36d -256 -256 -0 -0 -0 -7 -0xe428 -0xafbe8798 -256 -256 -0 -0 -0 -1 -0x2dcf -0x478b89b1 -256 -256 -0 -0 -0 -10 -0x9c25 -0x780b6e97 -256 -256 -0 -0 -0 -10 -0xc533 -0x7fdb4401 -256 -256 -1 -0 -0 -2 -0x59d5 -0xf83a53a0 -256 -256 -0 -0 -0 -3 -0x7446 -0x1bff70d6 -256 -256 -0 -0 -0 -3 -0xbf62 -0xa73a51e3 -256 -256 -1 -0 -0 -9 -0x4a1e -0x156b5b5c -256 -256 -0 -0 -0 -9 -0x6b92 -0x84122234 -256 -256 -0 -0 -0 -2 -0x2a80 -0xdc55a1e7 -256 -256 -1 -0 -0 -4 -0x4bde -0x9071d83 -256 -256 -1 -0 -0 -3 -0x2048 -0x579fd44a -256 -256 -1 -0 -0 -3 -0xa32e -0xf453ef0a -256 -256 -1 -0 -0 -9 -0xd78d -0xd0fac351 -256 -256 -1 -0 -0 -6 -0xe1e7 -0x91b5c14b -256 -256 -1 -0 -0 -2 -0xff4c -0x7fce9c8b -256 -256 -0 -0 -0 -3 -0xb087 -0x6b12178 -256 -256 -0 -0 -0 -3 -0x3dc4 -0xca5c7be2 -256 -256 -0 -0 -0 -7 -0xb2c4 -0xc2390983 -256 -256 -0 -0 -0 -3 -0xa2fa -0xda667846 -256 -256 -0 -0 -0 -6 -0xd23f -0xce908b57 -256 -256 -1 -0 -0 -9 -0x7a20 -0x566b8e01 -256 -256 -1 -0 -0 -8 -0xd9d7 -0x134e8992 -256 -256 -0 -0 -0 -4 -0x5432 -0x2f08e23b -256 -256 -0 -0 -0 -9 -0x5e8a -0xf0803c6a -256 -256 -0 -0 -0 -6 -0xe7bf -0x29772cf9 -256 -256 -0 -0 -0 -9 -0x2834 -0xbc9b0294 -256 -256 -0 -0 -0 -5 -0x27ff -0x23a55e83 -256 -256 -0 -0 -0 -7 -0x7473 -0xf47b6274 -256 -256 -1 -0 -0 -7 -0xb22 -0xe148b380 -256 -256 -0 -0 -0 -8 -0xe306 -0xcbcf531 -256 -256 -0 -0 -0 -5 -0xdedc -0x2402bc4 -256 -256 -1 -0 -0 -9 -0x6732 -0x9603f5d2 -256 -256 -0 -0 -0 -3 -0x8de2 -0xc818d868 -256 -256 -1 -0 -0 -9 -0x3fe -0xf03d0d71 -256 -256 -0 -0 -0 -8 -0xb0a6 -0x2c7308e3 -256 -256 -1 -0 -0 -5 -0xf2a3 -0x8310869a -256 -256 -1 -0 -0 -3 -0xac34 -0xc5621c3f -256 -256 -1 -0 -0 -10 -0x9991 -0xf8e07d76 -256 -256 -1 -0 -0 -5 -0x8156 -0xbd839c3c -256 -256 -1 -0 -0 -1 -0xde8b -0x32be2ed1 -256 -256 -1 -0 -0 -1 -0xfa0d -0x42d37440 -256 -256 -0 -0 -0 -4 -0xea11 -0x42258227 -256 -256 -1 -0 -0 -1 -0x5a2c -0xbcfe686e -256 -256 -0 -0 -0 -4 -0x9be7 -0x4c16f87d -256 -256 -0 -0 -0 -9 -0xa544 -0x726ccfae -256 -256 -1 -0 -0 -10 -0xf9a7 -0xe5a35747 -256 -256 -1 -0 -0 -5 -0x4ab9 -0x97c01014 -256 -256 -0 -0 -0 -5 -0xa42e -0xb1c7ccd -256 -256 -0 -0 -0 -4 -0xdede -0xad10f4af -256 -256 -0 -0 -0 -8 -0xbde7 -0xc26d22f6 -256 -256 -0 -0 -0 -3 -0x775d -0x83ffd628 -256 -256 -1 -0 -0 -9 -0xa468 -0x9fa54838 -256 -256 -1 -0 -0 -6 -0x234b -0x6315bd4f -256 -256 -1 -0 -0 -7 -0x87f0 -0x5caaff5e -256 -256 -1 -0 -0 -4 -0xc7e7 -0x70a75a29 -256 -256 -0 -0 -0 -1 -0x1b73 -0xc8867ca -256 -256 -0 -0 -0 -1 -0xcaa2 -0x22a1e629 -256 -256 -1 -0 -0 -10 -0xc612 -0xc8b6eef3 -256 -256 -0 -0 -0 -5 -0x73da -0xdc2bc406 -256 -256 -0 -0 -0 -8 -0x6f4 -0x82ac18 -256 -256 -0 -0 -0 -4 -0xac43 -0x9b6e6e00 -256 -256 -1 -0 -0 -5 -0x3e9b -0x99022bff -256 -256 -0 -0 -0 -5 -0xcd80 -0xfded7b3b -256 -256 -0 -0 -0 -8 -0x735c -0x34e9a4a5 -256 -256 -1 -0 -0 -3 -0x3535 -0x3f9a2223 -256 -256 -0 -0 -0 -8 -0x48fe -0x9770a04a -256 -256 -0 -0 -0 -3 -0x1bc5 -0xbd409b6c -256 -256 -0 -0 -0 -10 -0x770e -0xe67ec96e -256 -256 -1 -0 -0 -8 -0x314b -0xaebeb16c -256 -256 -1 -0 -0 -8 -0x4ac8 -0x289ba779 -256 -256 -1 -0 -0 -3 -0xc9cb -0xea17693a -256 -256 -1 -0 -0 -1 -0x68f0 -0xbcf50a2e -256 -256 -1 -0 -0 -9 -0x9eed -0x31070eeb -256 -256 -1 -0 -0 -6 -0x2105 -0xdec81c62 -256 -256 -1 -0 -0 -7 -0xf94 -0x28aacfb6 -256 -256 -0 -0 -0 -1 -0x1be -0xe0efe010 -256 -256 -0 -0 -0 -8 -0x2fb1 -0x98f66406 -256 -256 -1 -0 -0 -8 -0x1fcf -0xc28a0b67 -256 -256 -1 -0 -0 -9 -0x69f3 -0x3c555fbd -256 -256 -1 -0 -0 -1 -0xf154 -0xa6687aef -256 -256 -0 -0 -0 -9 -0x1bd -0xeea610c2 -256 -256 -0 -0 -0 -9 -0x2854 -0x3ea78cb3 -256 -256 -1 -0 -0 -7 -0xdbf6 -0xdc702996 -256 -256 -1 -0 -0 -3 -0xdb03 -0xc4ae1d98 -256 -256 -0 -0 -0 -1 -0xca69 -0x78613af0 -256 -256 -1 -0 -0 -8 -0xd488 -0xf88ec743 -256 -256 -1 -0 -0 -4 -0x6fcb -0x8ac1290d -256 -256 -0 -0 -0 -7 -0x41b6 -0x1fa60136 -256 -256 -1 -0 -0 -7 -0x7e13 -0x43effda7 -256 -256 -1 -0 -0 -5 -0xb3f9 -0x6fe7597d -256 -256 -0 -0 -0 -6 -0x2e74 -0xb2d6a0f3 -256 -256 -1 -0 -0 -6 -0xf759 -0x4bd1e913 -256 -256 -1 -0 -0 -9 -0x7ec7 -0xc5a8bc25 -256 -256 -1 -0 -0 -4 -0x56c5 -0x7efecb9f -256 -256 -1 -0 -0 -3 -0x61ff -0x1c854412 -256 -256 -1 -0 -0 -2 -0xb6cc -0x793ee780 -256 -256 -0 -0 -0 -3 -0x7178 -0xddb12a10 -256 -256 -1 -0 -0 -8 -0xd279 -0x1d808fdb -256 -256 -1 -0 -0 -7 -0xf604 -0x5883eeaa -256 -256 -1 -0 -0 -8 -0xdfcd -0x56551242 -256 -256 -0 -0 -0 -2 -0x7992 -0x88f643c3 -256 -256 -1 -0 -0 -8 -0xa3f1 -0x9fd27b97 -256 -256 -1 -0 -0 -10 -0x58b3 -0x64d445dd -256 -256 -0 -0 -0 -1 -0xad54 -0xc2fc9161 -256 -256 -1 -0 -0 -5 -0x385 -0x85e0c4dc -256 -256 -0 -0 -0 -9 -0xd55e -0xdc314c70 -256 -256 -0 -0 -0 -7 -0x80b3 -0x7d9f12d9 -256 -256 -0 -0 -0 -10 -0x67c4 -0xf4c73269 -256 -256 -0 -0 -0 -10 -0x173a -0x6223b0fa -256 -256 -0 -0 -0 -8 -0xa47e -0xdda24e9d -256 -256 -0 -0 -0 -1 -0x5eb9 -0x4c26dc2 -256 -256 -1 -0 -0 -9 -0xa141 -0x1f52dc53 -256 -256 -1 -0 -0 -4 -0x193 -0xc0eb1705 -256 -256 -0 -0 -0 -3 -0x9129 -0x89878f6f -256 -256 -1 -0 -0 -3 -0xd563 -0x452c1965 -256 -256 -0 -0 -0 -3 -0x6aad -0x23dc7c81 -256 -256 -1 -0 -0 -5 -0x3c21 -0x4eb0aeb4 -256 -256 -1 -0 -0 -3 -0xfcd0 -0x83e44303 -256 -256 -1 -0 -0 -3 -0x2d19 -0xb7d9447 -256 -256 -1 -0 -0 -1 -0xc0e6 -0xd4cf635e -256 -256 -1 -0 -0 -5 -0x4308 -0x99a0ad59 -256 -256 -0 -0 -0 -2 -0xd779 -0x677746d8 -256 -256 -0 -0 -0 -4 -0x5146 -0xaa868109 -256 -256 -0 -0 -0 -3 -0xcee7 -0xa98addc -256 -256 -0 -0 -0 -7 -0x13df -0xe38d2a5d -256 -256 -1 -0 -0 -8 -0x90ae -0x2f28efb3 -256 -256 -1 -0 -0 -4 -0x9d2 -0xa74f79c3 -256 -256 -1 -0 -0 -2 -0xc1fc -0x1b18eb30 -256 -256 -1 -0 -0 -8 -0xb90a -0x3223f4c2 -256 -256 -1 -0 -0 -1 -0x602a -0x3a807d58 -256 -256 -0 -0 -0 -4 -0xf095 -0xbec9e4a3 -256 -256 -0 -0 -0 -4 -0x72a3 -0xec27afad -256 -256 -0 -0 -0 -8 -0x3846 -0x55584e0c -256 -256 -1 -0 -0 -4 -0x2c4a -0xbd89e598 -256 -256 -1 -0 -0 -5 -0xdee0 -0xa23d5ea1 -256 -256 -0 -0 -0 -4 -0xab60 -0xd65df524 -256 -256 -0 -0 -0 -6 -0x62a8 -0x8d50c708 -256 -256 -0 -0 -0 -10 -0x7131 -0xc2306c53 -256 -256 -0 -0 -0 -4 -0x70e -0x732c6561 -256 -256 -1 -0 -0 -6 -0x5471 -0x8339b1ae -256 -256 -0 -0 -0 -9 -0xbd52 -0x99c90c53 -256 -256 -0 -0 -0 -2 -0x8b80 -0x6118c050 -256 -256 -0 -0 -0 -3 -0xb0ff -0xfde82557 -256 -256 -1 -0 -0 -5 -0x239 -0xa14ee58c -256 -256 -1 -0 -0 -9 -0xb729 -0x3a3b6381 -256 -256 -1 -0 -0 -9 -0x4100 -0xdb25827e -256 -256 -0 -0 -0 -10 -0x807b -0xd47b7a52 -256 -256 -0 -0 -0 -6 -0x9c02 -0xa436693f -256 -256 -1 -0 -0 -9 -0x9329 -0xd727784f -256 -256 -1 -0 -0 -8 -0x9c2c -0xe92f166f -256 -256 -1 -0 -0 -4 -0xf5da -0xe14f4c9e -256 -256 -0 -0 -0 -7 -0xdc17 -0x7bdb9efb -256 -256 -0 -0 -0 -2 -0x4a59 -0xbf42e7f3 -256 -256 -1 -0 -0 -10 -0x826b -0x30fa995c -256 -256 -1 -0 -0 -5 -0x4af2 -0x5c517f69 -256 -256 -0 -0 -0 -6 -0x3976 -0x330fbd9f -256 -256 -1 -0 -0 -8 -0xdaf7 -0x7bd42497 -256 -256 -0 -0 -0 -10 -0xe466 -0x3cfcb268 -256 -256 -1 -0 -0 -6 -0x14ce -0x85cd3102 -256 -256 -0 -0 -0 -4 -0xe1c4 -0x846b63fb -256 -256 -1 -0 -0 -10 -0x7eff -0xd1cf0234 -256 -256 -1 -0 -0 -7 -0xd384 -0xc0cb5732 -256 -256 -0 -0 -0 -7 -0x4673 -0x2f623276 -256 -256 -1 -0 -0 -3 -0x79f6 -0xa10cb60e -256 -256 -1 -0 -0 -7 -0x4c53 -0xddcb2f2 -256 -256 -1 -0 -0 -4 -0x895b -0xe44a9b94 -256 -256 -0 -0 -0 -2 -0x1f91 -0xd521eace -256 -256 -0 -0 -0 -5 -0xcbd5 -0x4c7b6ce7 -256 -256 -1 -0 -0 -9 -0xb005 -0xf0a3f07c -256 -256 -0 -0 -0 -5 -0x316 -0xe2164a6d -256 -256 -1 -0 -0 -7 -0xfc54 -0xab9538f6 -256 -256 -0 -0 -0 -6 -0xfa93 -0x82c1c093 -256 -256 -1 -0 -0 -8 -0x3e33 -0xbd1d8977 -256 -256 -0 -0 -0 -10 -0x68b7 -0x1535636c -256 -256 -0 -0 -0 -7 -0xad8f -0x573ec9c3 -256 -256 -0 -0 -0 -4 -0x820a -0x5ea0429f -256 -256 -0 -0 -0 -9 -0x8403 -0xa087eb6b -256 -256 -0 -0 -0 -10 -0x8435 -0x44bc8419 -256 -256 -0 -0 -0 -5 -0xdc7f -0x464ae77f -256 -256 -1 -0 -0 -6 -0xa227 -0x47ec85bd -256 -256 -0 -0 -0 -2 -0x8c15 -0x5beaefb4 -256 -256 -1 -0 -0 -4 -0x4521 -0xb25762c8 -256 -256 -0 -0 -0 -1 -0xe8b8 -0xe635bdc2 -256 -256 -0 -0 -0 -6 -0x4527 -0xc736bbc -256 -256 -1 -0 -0 -10 -0xe8dc -0xe892bb2e -256 -256 -1 -0 -0 -1 -0xc21 -0x8e8970fc -256 -256 -1 -0 -0 -5 -0x3c20 -0x90ed58ae -256 -256 -0 -0 -0 -10 -0xf685 -0x2ecda983 -256 -256 -1 -0 -0 -6 -0x18fe -0xe0d7fa41 -256 -256 -0 -0 -0 -9 -0x9995 -0x275689eb -256 -256 -1 -0 -0 -3 -0xabe8 -0xf5d80ef9 -256 -256 -1 -0 -0 -8 -0xae2f -0xd42ea84d -256 -256 -1 -0 -0 -3 -0x8e -0xe80dc475 -256 -256 -1 -0 -0 -2 -0x883d -0x80566526 -256 -256 -1 -0 -0 -9 -0xfd2 -0x73b3fc3b -256 -256 -0 -0 -0 -1 -0x4564 -0xd4dc277c -256 -256 -1 -0 -0 -5 -0xd2e6 -0xb5efb3e5 -256 -256 -1 -0 -0 -7 -0x2c15 -0x93716778 -256 -256 -0 -0 -0 -5 -0x95a6 -0xa9df547b -256 -256 -1 -0 -0 -9 -0xbe44 -0xa787af1 -256 -256 -1 -0 -0 -7 -0x7751 -0xa133ff8d -256 -256 -0 -0 -0 -3 -0xec7d -0x41a9317b -256 -256 -1 -0 -0 -8 -0xf08f -0x288110df -256 -256 -0 -0 -0 -8 -0x8362 -0x6e60f8da -256 -256 -0 -0 -0 -7 -0xb833 -0x5a1eb0a3 -256 -256 -0 -0 -0 -10 -0xa65a -0x96e7783b -256 -256 -0 -0 -0 -6 -0xd940 -0x5aa61e40 -256 -256 -1 -0 -0 -10 -0x102f -0x72a01fa1 -256 -256 -0 -0 -0 -9 -0xa675 -0x90f04b4b -256 -256 -0 -0 -0 -2 -0xcc28 -0x883d891b -256 -256 -1 -0 -0 -6 -0x76fd -0xdeba23c4 -256 -256 -1 -0 -0 -8 -0x5490 -0xd1baedef -256 -256 -0 -0 -0 -10 -0xd783 -0x3f2ef0a4 -256 -256 -0 -0 -0 -4 -0xad82 -0xb4a39f8b -256 -256 -1 -0 -0 -1 -0x2a17 -0x6c8adaa0 -256 -256 -1 -0 -0 -4 -0x4b99 -0x82112506 -256 -256 -0 -0 -0 -9 -0x86be -0xf0e0b6d4 -256 -256 -0 -0 -0 -9 -0x346e -0x2e8a6a5a -256 -256 -0 -0 -0 -2 -0x7199 -0x1df13a45 -256 -256 -1 -0 -0 -9 -0x3e94 -0xd433d87b -256 -256 -1 -0 -0 -3 -0x3fea -0x1e1d05f0 -256 -256 -0 -0 -0 -6 -0x5fc -0x6f7404 -256 -256 -0 -0 -0 -10 -0xaee9 -0xa55194ad -256 -256 -1 -0 -0 -6 -0xfafe -0x81af604 -256 -256 -0 -0 -0 -6 -0x8b96 -0xe0ab5a95 -256 -256 -0 -0 -0 -4 -0xa67e -0x54b5afcc -256 -256 -0 -0 -0 -4 -0x453d -0xc837034e -256 -256 -1 -0 -0 -2 -0x1d9 -0x1e50eb52 -256 -256 -0 -0 -0 -4 -0xb415 -0x2f2a0df7 -256 -256 -1 -0 -0 -9 -0x6cd7 -0xf67d46cf -256 -256 -0 -0 -0 -2 -0xf0d -0x76467c62 -256 -256 -1 -0 -0 -7 -0xcbbd -0xf0e1eee4 -256 -256 -0 -0 -0 -7 -0x9a28 -0x2a254ab7 -256 -256 -0 -0 -0 -2 -0xb47e -0xcca5b304 -256 -256 -1 -0 -0 -8 -0xab63 -0x1e1cb700 -256 -256 -0 -0 -0 -10 -0x2381 -0x2155a8c5 -256 -256 -0 -0 -0 -2 -0xe793 -0xb64a4428 -256 -256 -1 -0 -0 -5 -0x4714 -0x1457f4e3 -256 -256 -0 -0 -0 -6 -0xbb97 -0x810ab66f -256 -256 -0 -0 -0 -2 -0xa3f5 -0xaa47c3a9 -256 -256 -1 -0 -0 -1 -0x834c -0xd6d333e2 -256 -256 -1 -0 -0 -1 -0xa7d2 -0x26d2575e -256 -256 -1 -0 -0 -6 -0xb0c -0x33962729 -256 -256 -1 -0 -0 -1 -0x2d63 -0xf99702df -256 -256 -1 -0 -0 -3 -0xd347 -0x9d05e291 -256 -256 -0 -0 -0 -3 -0x47fa -0x6f4e4de2 -256 -256 -1 -0 -0 -9 -0x61c -0x49bf02a1 -256 -256 -0 -0 -0 -3 -0x319 -0x9c44e977 -256 -256 -0 -0 -0 -8 -0xc5cc -0x65cc9af0 -256 -256 -1 -0 -0 -9 -0x78fd -0xc6285bce -256 -256 -1 -0 -0 -7 -0xda09 -0xb4fcd36 -256 -256 -0 -0 -0 -2 -0x22ad -0xd11c7659 -256 -256 -0 -0 -0 -4 -0x6fbe -0xb4e85b43 -256 -256 -0 -0 -0 -1 -0x4e72 -0xf62d37b1 -256 -256 -0 -0 -0 -8 -0x5ef6 -0x759086fa -256 -256 -0 -0 -0 -2 -0xe189 -0xc26a0659 -256 -256 -1 -0 -0 -4 -0x9c11 -0x3d9ddb43 -256 -256 -0 -0 -0 -4 -0xa63 -0x9eb2807b -256 -256 -1 -0 -0 -10 -0x4b15 -0x10d197bd -256 -256 -0 -0 -0 -5 -0x1351 -0x2446b3ab -256 -256 -0 -0 -0 -7 -0xad24 -0xac42b23c -256 -256 -0 -0 -0 -7 -0x16c9 -0x81096f12 -256 -256 -0 -0 -0 -7 -0xa80e -0x4b62f56c -256 -256 -1 -0 -0 -1 -0x2242 -0x1fd47f0a -256 -256 -1 -0 -0 -2 -0xd4b2 -0x1c9ddabd -256 -256 -0 -0 -0 -3 -0x9088 -0xe19c353 -256 -256 -0 -0 -0 -6 -0x8674 -0x6cc39379 -256 -256 -0 -0 -0 -7 -0x4c23 -0x36908d79 -256 -256 -0 -0 -0 -10 -0x52bd -0xf12c3ef7 -256 -256 -0 -0 -0 -10 -0x9625 -0x585224f1 -256 -256 -1 -0 -0 -9 -0x1b6 -0x7dfe75a1 -256 -256 -0 -0 -0 -3 -0xa0 -0x66f36015 -256 -256 -0 -0 -0 -5 -0x8213 -0x8784f9d7 -256 -256 -0 -0 -0 -2 -0x6cc1 -0xda06c102 -256 -256 -1 -0 -0 -4 -0x2518 -0xc07fb49f -256 -256 -0 -0 -0 -7 -0x98f4 -0x33826c49 -256 -256 -1 -0 -0 -7 -0x9c16 -0xccaff57e -256 -256 -1 -0 -0 -1 -0x2e9f -0x71d9488a -256 -256 -1 -0 -0 -2 -0x4e43 -0xdc98b03b -256 -256 -1 -0 -0 -4 -0x1b18 -0xa138bee9 -256 -256 -1 -0 -0 -7 -0x6a9 -0xfd08517b -256 -256 -1 -0 -0 -10 -0x8435 -0x18d1f968 -256 -256 -0 -0 -0 -5 -0x324a -0xa8dd27c3 -256 -256 -0 -0 -0 -1 -0x3d19 -0x477677f0 -256 -256 -0 -0 -0 -1 -0x41a -0x17b2d061 -256 -256 -0 -0 -0 -2 -0xecbf -0x392da808 -256 -256 -0 -0 -0 -7 -0x2964 -0x5a00a6e2 -256 -256 -0 -0 -0 -8 -0x95ba -0x69e95e64 -256 -256 -1 -0 -0 -4 -0xea50 -0xfc6b942a -256 -256 -0 -0 -0 -9 -0xc13b -0x77bd0fe8 -256 -256 -1 -0 -0 -2 -0x43ce -0xba87ed97 -256 -256 -1 -0 -0 -8 -0x660f -0x79478fc5 -256 -256 -0 -0 -0 -4 -0x6f71 -0xf459ff9c -256 -256 -0 -0 -0 -9 -0xa49 -0x8bb51652 -256 -256 -0 -0 -0 -4 -0x5601 -0xcb8c0225 -256 -256 -1 -0 -0 -4 -0xa6f0 -0xf5bb3581 -256 -256 -1 -0 -0 -9 -0x99cc -0x54379aff -256 -256 -0 -0 -0 -8 -0x2fad -0x52749e5a -256 -256 -1 -0 -0 -1 -0x1fff -0x2e0c08b5 -256 -256 -1 -0 -0 -3 -0xd57f -0xc6a1e435 -256 -256 -1 -0 -0 -1 -0x23b8 -0x605db154 -256 -256 -1 -0 -0 -10 -0x5517 -0x800e351b -256 -256 -0 -0 -0 -9 -0xd0f3 -0x834603c3 -256 -256 -0 -0 -0 -4 -0x472e -0xa545cfc5 -256 -256 -1 -0 -0 -2 -0xfe4d -0x53918dcc -256 -256 -0 -0 -0 -3 -0x8501 -0xe2304800 -256 -256 -0 -0 -0 -3 -0xcdfc -0xe4850180 -256 -256 -0 -0 -0 -1 -0x305 -0x33f86ed5 -256 -256 -1 -0 -0 -4 -0xed4 -0x2b88442e -256 -256 -0 -0 -0 -2 -0xef7b -0x97fe66f8 -256 -256 -1 -0 -0 -8 -0x6a16 -0x5f122844 -256 -256 -1 -0 -0 -9 -0x76a3 -0xf0031f7 -256 -256 -1 -0 -0 -10 -0x8177 -0x549b4897 -256 -256 -1 -0 -0 -10 -0x6dd0 -0x9fab06f7 -256 -256 -0 -0 -0 -2 -0xba1c -0xb6914811 -256 -256 -0 -0 -0 -4 -0x5836 -0xfee33d13 -256 -256 -1 -0 -0 -3 -0x2ffc -0x6f5d38c2 -256 -256 -0 -0 -0 -5 -0x3ffd -0x2d7b1b0a -256 -256 -0 -0 -0 -8 -0x5369 -0xcc915ffe -256 -256 -0 -0 -0 -3 -0xfcce -0x5e88c996 -256 -256 -0 -0 -0 -3 -0x1ce9 -0xb71853ca -256 -256 -1 -0 -0 -3 -0x9da4 -0xabc9c503 -256 -256 -1 -0 -0 -7 -0x6968 -0x1102685 -256 -256 -1 -0 -0 -2 -0x4f76 -0x687b26cb -256 -256 -1 -0 -0 -4 -0x4641 -0x706d50c0 -256 -256 -0 -0 -0 -4 -0x4402 -0xcc2eff5 -256 -256 -0 -0 -0 -8 -0x1e24 -0x8331c029 -256 -256 -1 -0 -0 -3 -0xd38e -0x6dae39c0 -256 -256 -1 -0 -0 -1 -0xa1d0 -0x40fd3593 -256 -256 -1 -0 -0 -8 -0xba53 -0xc8b13792 -256 -256 -1 -0 -0 -1 -0x7525 -0xf70d2ddf -256 -256 -0 -0 -0 -1 -0xdd4a -0xfb9ae609 -256 -256 -1 -0 -0 -9 -0x78be -0x6747e216 -256 -256 -0 -0 -0 -3 -0xf079 -0x8c05d10e -256 -256 -1 -0 -0 -9 -0x8445 -0x1fa1889a -256 -256 -1 -0 -0 -6 -0xd4fd -0xd89b509c -256 -256 -1 -0 -0 -8 -0xdaba -0x54ef8e11 -256 -256 -1 -0 -0 -1 -0xbf9e -0x28402c02 -256 -256 -0 -0 -0 -9 -0xcc9e -0x44368ad6 -256 -256 -0 -0 -0 -4 -0xd045 -0xbe49e811 -256 -256 -0 -0 -0 -10 -0x608b -0x41457083 -256 -256 -0 -0 -0 -5 -0xf346 -0xcdc1f09d -256 -256 -1 -0 -0 -10 -0x9f77 -0x98af7ab5 -256 -256 -0 -0 -0 -5 -0x6e73 -0x4ddf2f74 -256 -256 -1 -0 -0 -3 -0xd6f9 -0xea92409e -256 -256 -0 -0 -0 -10 -0x42ad -0x1b71d4cc -256 -256 -0 -0 -0 -9 -0x6dab -0xedd5dd38 -256 -256 -1 -0 -0 -3 -0xcb63 -0xc1405a05 -256 -256 -1 -0 -0 -5 -0xe238 -0x82c514b1 -256 -256 -1 -0 -0 -9 -0x86e8 -0xfdff04d3 -256 -256 -0 -0 -0 -1 -0xd53b -0x6a3c882f -256 -256 -1 -0 -0 -10 -0xdb55 -0xd616367f -256 -256 -0 -0 -0 -8 -0x6e25 -0x34e2eb7d -256 -256 -1 -0 -0 -7 -0x2c37 -0x365e101 -256 -256 -0 -0 -0 -1 -0xf461 -0xcbfc195a -256 -256 -0 -0 -0 -8 -0x6215 -0x19b623de -256 -256 -1 -0 -0 -5 -0x61b8 -0x8e551acc -256 -256 -1 -0 -0 -5 -0xe137 -0x1b4c3f34 -256 -256 -0 -0 -0 -5 -0x2bc4 -0x1d8265b6 -256 -256 -0 -0 -0 -3 -0x566 -0xcd1bb149 -256 -256 -0 -0 -0 -2 -0xfc21 -0x5d9b333f -256 -256 -0 -0 -0 -6 -0x7736 -0xb9b77f54 -256 -256 -0 -0 -0 -4 -0xadc3 -0xa7c3e2b0 -256 -256 -0 -0 -0 -5 -0xd9e2 -0x61a10b84 -256 -256 -0 -0 -0 -2 -0x7751 -0x7e0cd4cc -256 -256 -1 -0 -0 -9 -0xacd0 -0x946d414a -256 -256 -0 -0 -0 -1 -0xeae4 -0x3c76f13b -256 -256 -1 -0 -0 -5 -0xbd2d -0xbdb6ed9 -256 -256 -1 -0 -0 -2 -0x4b66 -0x34cb36a9 -256 -256 -0 -0 -0 -10 -0xeb2 -0xd0216b72 -256 -256 -0 -0 -0 -4 -0x485a -0xb5cbe717 -256 -256 -1 -0 -0 -3 -0xc49f -0x10f171 -256 -256 -1 -0 -0 -10 -0x9625 -0xb05eb290 -256 -256 -1 -0 -0 -6 -0xa8a -0x5b558088 -256 -256 -1 -0 -0 -10 -0xccdc -0xef2a0bd7 -256 -256 -1 -0 -0 -1 -0x782f -0x5087aa5c -256 -256 -1 -0 -0 -2 -0xaaf6 -0xb2c0e0f -256 -256 -0 -0 -0 -9 -0xf56f -0xeed2920d -256 -256 -0 -0 -0 -7 -0x3709 -0xa44afa05 -256 -256 -0 -0 -0 -2 -0x949c -0x3f5bd724 -256 -256 -1 -0 -0 -5 -0xf6b0 -0xe8d7b7ee -256 -256 -0 -0 -0 -10 -0xe39a -0xd3e8fd81 -256 -256 -0 -0 -0 -2 -0x9152 -0x6d9a34bc -256 -256 -1 -0 -0 -3 -0x15f3 -0xa893de15 -256 -256 -1 -0 -0 -7 -0x73e7 -0x25a1fc45 -256 -256 -0 -0 -0 -4 -0x2c5e -0xcc7cef4a -256 -256 -1 -0 -0 -9 -0x8be3 -0xb03a9187 -256 -256 -1 -0 -0 -3 -0x54d8 -0xd750a51 -256 -256 -0 -0 -0 -7 -0x845a -0x6aa5da0c -256 -256 -1 -0 -0 -10 -0x3680 -0xcfb85303 -256 -256 -0 -0 -0 -3 -0x4894 -0xe114c66c -256 -256 -0 -0 -0 -5 -0x5bc5 -0x6e47e880 -256 -256 -1 -0 -0 -10 -0x2e9b -0x18a2835a -256 -256 -0 -0 -0 -5 -0x214 -0x52ebd252 -256 -256 -1 -0 -0 -6 -0x6ec8 -0xeab22546 -256 -256 -1 -0 -0 -4 -0xf11e -0xbeeba180 -256 -256 -1 -0 -0 -7 -0x9b66 -0x34d6d560 -256 -256 -1 -0 -0 -1 -0x4d24 -0x541b1191 -256 -256 -1 -0 -0 -7 -0xaae5 -0xeef0d2e -256 -256 -0 -0 -0 -6 -0x37eb -0x7edf311a -256 -256 -1 -0 -0 -4 -0xb31b -0xe817723b -256 -256 -0 -0 -0 -1 -0x5192 -0xf050351 -256 -256 -0 -0 -0 -1 -0xaf3f -0x4ac0e02c -256 -256 -1 -0 -0 -1 -0x5830 -0x2ccc1827 -256 -256 -1 -0 -0 -4 -0x5097 -0xf291dab6 -256 -256 -0 -0 -0 -3 -0xb3fe -0xe350dce7 -256 -256 -1 -0 -0 -1 -0x3ea7 -0x2c1d9477 -256 -256 -1 -0 -0 -9 -0x5774 -0xc7bda27f -256 -256 -1 -0 -0 -4 -0x1d93 -0xadc60f12 -256 -256 -1 -0 -0 -8 -0x19c -0x238638ec -256 -256 -0 -0 -0 -1 -0xe640 -0x2f19a597 -256 -256 -0 -0 -0 -2 -0x676d -0x9e2c4ce7 -256 -256 -0 -0 -0 -6 -0x810b -0xa75714dd -256 -256 -1 -0 -0 -9 -0x66a5 -0xfee73132 -256 -256 -1 -0 -0 -8 -0x58b4 -0x8c7cc00a -256 -256 -0 -0 -0 -4 -0x4326 -0xc1f39c9c -256 -256 -0 -0 -0 -10 -0x3c6e -0xaa8c970f -256 -256 -0 -0 -0 -6 -0x2d67 -0x784fe6a9 -256 -256 -0 -0 -0 -2 -0x5cb0 -0x19e96b26 -256 -256 -1 -0 -0 -1 -0x1237 -0x1ffebbb4 -256 -256 -0 -0 -0 -10 -0x3020 -0xd07f8426 -256 -256 -1 -0 -0 -5 -0x4f5d -0x5de77876 -256 -256 -1 -0 -0 -9 -0xfd23 -0xf42f2bfc -256 -256 -1 -0 -0 -7 -0x6e3 -0x134e2ffd -256 -256 -1 -0 -0 -4 -0xc537 -0x3e695199 -256 -256 -0 -0 -0 -9 -0x75f4 -0xba3c877b -256 -256 -1 -0 -0 -1 -0x43dd -0xb56482b2 -256 -256 -1 -0 -0 -10 -0x806e -0xce27ba15 -256 -256 -0 -0 -0 -4 -0xd8f1 -0xfb7aed37 -256 -256 -0 -0 -0 -4 -0x44cf -0x98d46ad1 -256 -256 -0 -0 -0 -3 -0xe236 -0x31acf2d5 -256 -256 -1 -0 -0 -6 -0x5c42 -0xbbfcf386 -256 -256 -1 -0 -0 -5 -0x5072 -0x1d10aab1 -256 -256 -1 -0 -0 -10 -0x2a6a -0xd2846785 -256 -256 -0 -0 -0 -7 -0xcf -0x25c35238 -256 -256 -1 -0 -0 -10 -0x7411 -0x9796b5f5 -256 -256 -1 -0 -0 -6 -0x696b -0x73339bfd -256 -256 -0 -0 -0 -8 -0x92a8 -0xf09b6a43 -256 -256 -1 -0 -0 -10 -0x1c7c -0xa982202f -256 -256 -0 -0 -0 -3 -0xaefc -0x94695a7 -256 -256 -1 -0 -0 -7 -0x5d9e -0x5610adcb -256 -256 -1 -0 -0 -6 -0xdf91 -0x3f048906 -256 -256 -0 -0 -0 -5 -0xb0bf -0xe2efe2c2 -256 -256 -1 -0 -0 -6 -0x1bb7 -0x5445a3a5 -256 -256 -1 -0 -0 -5 -0xddf -0x4cbba717 -256 -256 -1 -0 -0 -3 -0x9646 -0x1fc2880d -256 -256 -0 -0 -0 -10 -0x12b0 -0x5d1e6257 -256 -256 -1 -0 -0 -3 -0x9c -0x45cdaf5 -256 -256 -1 -0 -0 -6 -0x435e -0xbeb1f5ab -256 -256 -0 -0 -0 -9 -0x490d -0x4d6c763f -256 -256 -0 -0 -0 -8 -0xf02 -0xb76ca8d6 -256 -256 -0 -0 -0 -2 -0xa9f6 -0x9e308545 -256 -256 -0 -0 -0 -4 -0xa38e -0xd3763b9a -256 -256 -0 -0 -0 -5 -0x3d09 -0x44f91186 -256 -256 -1 -0 -0 -9 -0x3ad8 -0xdb2442d7 -256 -256 -0 -0 -0 -1 -0x8462 -0x6f42b5c5 -256 -256 -0 -0 -0 -8 -0x129b -0xfecaba6e -256 -256 -0 -0 -0 -3 -0x7a06 -0x920dd3c5 -256 -256 -1 -0 -0 -10 -0x618a -0x996c1f0d -256 -256 -0 -0 -0 -2 -0xd513 -0x720a2252 -256 -256 -0 -0 -0 -10 -0x331d -0x22ca7de3 -256 -256 -0 -0 -0 -7 -0x7c51 -0x13992578 -256 -256 -1 -0 -0 -8 -0x93b5 -0xa9945bd9 -256 -256 -0 -0 -0 -3 -0x146b -0xea3d3978 -256 -256 -1 -0 -0 -3 -0x598 -0x8448c999 -256 -256 -0 -0 -0 -5 -0xccb6 -0x2673d1a7 -256 -256 -0 -0 -0 -4 -0x916 -0x8cc83ef9 -256 -256 -1 -0 -0 -7 -0x3781 -0xdd789b61 -256 -256 -1 -0 -0 -2 -0x71ac -0x30687e92 -256 -256 -1 -0 -0 -3 -0x8071 -0x56482147 -256 -256 -0 -0 -0 -5 -0x2c8 -0x2e143b7e -256 -256 -1 -0 -0 -4 -0xe590 -0x90c7e16d -256 -256 -0 -0 -0 -2 -0x16a7 -0xd8c5d59b -256 -256 -1 -0 -0 -5 -0xa248 -0x9628ae13 -256 -256 -1 -0 -0 -2 -0x7e9e -0x451dc181 -256 -256 -0 -0 -0 -5 -0x6924 -0xb5a91d87 -256 -256 -1 -0 -0 -5 -0x6b00 -0x594297e3 -256 -256 -1 -0 -0 -6 -0x8441 -0x613e6226 -256 -256 -0 -0 -0 -1 -0xcd88 -0xc99aa3c2 -256 -256 -0 -0 -0 -8 -0xe40e -0xe24eab03 -256 -256 -1 -0 -0 -8 -0x8fec -0x7c34f8d2 -256 -256 -0 -0 -0 -8 -0x28f7 -0x7a3039a1 -256 -256 -0 -0 -0 -5 -0xaad6 -0x461c4b3e -256 -256 -0 -0 -0 -3 -0x5838 -0xd2c65dfc -256 -256 -1 -0 -0 -3 -0x8f8b -0x3fb3e270 -256 -256 -0 -0 -0 -2 -0x2158 -0x752079a8 -256 -256 -0 -0 -0 -4 -0x93b8 -0x390aaf86 -256 -256 -0 -0 -0 -9 -0xbad5 -0x24cc22e0 -256 -256 -0 -0 -0 -3 -0x1cd8 -0x55c9636b -256 -256 -1 -0 -0 -5 -0x47bb -0xdaba2ac2 -256 -256 -1 -0 -0 -3 -0x66c5 -0x6326fee8 -256 -256 -0 -0 -0 -9 -0x81bb -0x1f278da4 -256 -256 -0 -0 -0 -3 -0xd2ac -0x41531bd8 -256 -256 -1 -0 -0 -6 -0xb76b -0xdb1cbedc -256 -256 -1 -0 -0 -4 -0x405a -0x6998ed19 -256 -256 -0 -0 -0 -8 -0xc66e -0xc36f8d3d -256 -256 -1 -0 -0 -7 -0x33b7 -0x72c8f6ab -256 -256 -0 -0 -0 -1 -0xbe02 -0x36891469 -256 -256 -1 -0 -0 -10 -0x9ea0 -0x210b88eb -256 -256 -0 -0 -0 -2 -0xf7f4 -0x2a5003b3 -256 -256 -0 -0 -0 -2 -0xc5de -0xe9b84f04 -256 -256 -1 -0 -0 -3 -0xd08 -0xd5c0e48b -256 -256 -1 -0 -0 -4 -0xdab0 -0xc1bcb544 -256 -256 -0 -0 -0 -9 -0x37d2 -0x12a293d1 -256 -256 -1 -0 -0 -4 -0x2942 -0xdf390cc9 -256 -256 -1 -0 -0 -10 -0x3bb4 -0x7c0971d2 -256 -256 -1 -0 -0 -3 -0x711f -0xcfd15fba -256 -256 -1 -0 -0 -3 -0x2f9b -0x95427fc0 -256 -256 -1 -0 -0 -2 -0x3f1f -0xc6cbeb32 -256 -256 -0 -0 -0 -3 -0xb860 -0xc5d79813 -256 -256 -1 -0 -0 -1 -0x761b -0x4a40b00d -256 -256 -0 -0 -0 -6 -0x835 -0x14034f2 -256 -256 -0 -0 -0 -10 -0xbd74 -0x8adfeeec -256 -256 -1 -0 -0 -4 -0x7eeb -0xb200513 -256 -256 -1 -0 -0 -8 -0x6a33 -0x3b6db972 -256 -256 -1 -0 -0 -7 -0x8ad0 -0x27be2a1f -256 -256 -1 -0 -0 -7 -0x735c -0xc7d8794b -256 -256 -0 -0 -0 -7 -0xc13d -0xa054731f -256 -256 -0 -0 -0 -9 -0x8faf -0xf89e9259 -256 -256 -1 -0 -0 -4 -0xe435 -0x8cd765d2 -256 -256 -0 -0 -0 -8 -0xe0b0 -0xd42e3870 -256 -256 -0 -0 -0 -7 -0x89e0 -0x30ef4944 -256 -256 -1 -0 -0 -1 -0xc4af -0x4cff3d4 -256 -256 -1 -0 -0 -7 -0x46cc -0xfe65d7ed -256 -256 -1 -0 -0 -6 -0x52c -0x5e3d0ad2 -256 -256 -0 -0 -0 -2 -0x12e9 -0x9489d464 -256 -256 -0 -0 -0 -6 -0xd964 -0x6d11c01d -256 -256 -0 -0 -0 -5 -0xd718 -0x7a46f75a -256 -256 -1 -0 -0 -10 -0xa5c7 -0xcd194661 -256 -256 -1 -0 -0 -6 -0x900b -0x167424f9 -256 -256 -1 -0 -0 -10 -0xa979 -0x1834eeb7 -256 -256 -1 -0 -0 -3 -0xa826 -0x3194cad0 -256 -256 -0 -0 -0 -5 -0x80fb -0xef05ee67 -256 -256 -0 -0 -0 -2 -0x4d3c -0x4c694cdc -256 -256 -1 -0 -0 -6 -0xde9e -0x9c59d168 -256 -256 -1 -0 -0 -2 -0x250a -0xfb8ea7dd -256 -256 -0 -0 -0 -8 -0x650c -0x92a80ce9 -256 -256 -1 -0 -0 -2 -0x159a -0x8464900b -256 -256 -0 -0 -0 -9 -0xae0d -0x15a137e6 -256 -256 -1 -0 -0 -8 -0xafb3 -0x69cbe157 -256 -256 -1 -0 -0 -4 -0x1a13 -0x14b9b617 -256 -256 -0 -0 -0 -7 -0x7c3f -0x2b2acda -256 -256 -1 -0 -0 -9 -0x3421 -0x1627b11b -256 -256 -0 -0 -0 -7 -0x9686 -0xbe6db928 -256 -256 -1 -0 -0 -7 -0x575e -0x407009b7 -256 -256 -1 -0 -0 -7 -0xdcb7 -0x1b907a14 -256 -256 -0 -0 -0 -8 -0x7e1d -0xda6cfd32 -256 -256 -0 -0 -0 -3 -0x14db -0x8da5bb5a -256 -256 -0 -0 -0 -9 -0x3017 -0x1feaf56b -256 -256 -0 -0 -0 -3 -0x3b9c -0xf80492df -256 -256 -0 -0 -0 -7 -0xfb8e -0x1e388d58 -256 -256 -1 -0 -0 -7 -0x648e -0x467bfd15 -256 -256 -1 -0 -0 -6 -0x9344 -0xedc13177 -256 -256 -1 -0 -0 -6 -0x19eb -0xc94b2c70 -256 -256 -0 -0 -0 -7 -0xf522 -0x957400ce -256 -256 -1 -0 -0 -1 -0x7758 -0xb77c786b -256 -256 -0 -0 -0 -2 -0x2b66 -0xe8a5a882 -256 -256 -1 -0 -0 -10 -0x3620 -0xae716b3e -256 -256 -0 -0 -0 -4 -0x75aa -0x4124046a -256 -256 -1 -0 -0 -8 -0x216 -0x3732b451 -256 -256 -1 -0 -0 -8 -0x6d3d -0x3a981ee1 -256 -256 -1 -0 -0 -4 -0x535a -0x9817d2f2 -256 -256 -1 -0 -0 -6 -0x436b -0x6626b3c0 -256 -256 -0 -0 -0 -6 -0xe990 -0xd6da7259 -256 -256 -1 -0 -0 -7 -0x27 -0x1468bd8d -256 -256 -1 -0 -0 -4 -0x53e0 -0xbbc07fb1 -256 -256 -1 -0 -0 -8 -0x1485 -0x413b8c9f -256 -256 -0 -0 -0 -10 -0xb7a9 -0x71fb5f09 -256 -256 -0 -0 -0 -4 -0xfd51 -0xaa62c4cc -256 -256 -1 -0 -0 -10 -0xffc1 -0xf95195fd -256 -256 -0 -0 -0 -1 -0xf9a -0x1c88e0a6 -256 -256 -1 -0 -0 -8 -0xe4a2 -0xb8213767 -256 -256 -0 -0 -0 -1 -0x761e -0x8dcfa294 -256 -256 -0 -0 -0 -9 -0xdae8 -0xd7f27bf2 -256 -256 -1 -0 -0 -8 -0x964e -0xc84058e2 -256 -256 -0 -0 -0 -9 -0x6541 -0x53926cb3 -256 -256 -1 -0 -0 -8 -0xbe7c -0xa324a461 -256 -256 -1 -0 -0 -5 -0x14ff -0x396fdc4 -256 -256 -0 -0 -0 -10 -0x9e27 -0xa729d8b8 -256 -256 -0 -0 -0 -8 -0xf36d -0xdc1f8b3f -256 -256 -1 -0 -0 -3 -0xfe26 -0x5a65bf2b -256 -256 -0 -0 -0 -5 -0xdc0a -0x29794a42 -256 -256 -1 -0 -0 -7 -0x13b9 -0xfd73b584 -256 -256 -1 -0 -0 -10 -0xeda1 -0x6aab2635 -256 -256 -1 -0 -0 -1 -0x5cee -0x9b6f67b7 -256 -256 -0 -0 -0 -2 -0xa09c -0x470f2c93 -256 -256 -0 -0 -0 -5 -0xe4d2 -0x9d992378 -256 -256 -0 -0 -0 -4 -0xb46b -0x5f2d1f1d -256 -256 -0 -0 -0 -10 -0xa698 -0x771acf78 -256 -256 -0 -0 -0 -10 -0x3e62 -0x10130a9b -256 -256 -0 -0 -0 -3 -0x8e16 -0x7b60d51b -256 -256 -1 -0 -0 -2 -0xa212 -0xeaf15bd6 -256 -256 -1 -0 -0 -6 -0xf3e7 -0x605c6c2a -256 -256 -0 -0 -0 -10 -0x13d1 -0x8efa2f22 -256 -256 -1 -0 -0 -5 -0x1f2f -0xd49642ef -256 -256 -1 -0 -0 -1 -0x5b44 -0x1471bac7 -256 -256 -0 -0 -0 -1 -0xecdb -0x2f933e44 -256 -256 -0 -0 -0 -9 -0x8d5f -0x3978a623 -256 -256 -1 -0 -0 -3 -0x91ac -0x54136bcc -256 -256 -1 -0 -0 -5 -0x8f55 -0x42d12779 -256 -256 -0 -0 -0 -8 -0xb3b6 -0x30c41ff -256 -256 -1 -0 -0 -10 -0x5bdd -0xf2d441f1 -256 -256 -1 -0 -0 -2 -0x9b85 -0x66917096 -256 -256 -0 -0 -0 -3 -0x6bff -0xcff602e7 -256 -256 -1 -0 -0 -3 -0x43e1 -0x407223c0 -256 -256 -1 -0 -0 -3 -0x65e6 -0x2cf14d64 -256 -256 -0 -0 -0 -9 -0xa315 -0x2c62b978 -256 -256 -1 -0 -0 -10 -0x1160 -0xb540c2a5 -256 -256 -1 -0 -0 -8 -0xe7cd -0x34f560de -256 -256 -1 -0 -0 -3 -0x4132 -0x97833c3f -256 -256 -1 -0 -0 -3 -0xbe5e -0x7e81c296 -256 -256 -0 -0 -0 -2 -0xf1c5 -0x271fd22d -256 -256 -0 -0 -0 -4 -0x79c4 -0x8134fa4b -256 -256 -0 -0 -0 -6 -0xa68c -0x7251d54 -256 -256 -0 -0 -0 -4 -0x629a -0x10bee4a0 -256 -256 -1 -0 -0 -8 -0xfae2 -0x50aea7ca -256 -256 -1 -0 -0 -2 -0x6d2c -0xc6662b28 -256 -256 -0 -0 -0 -8 -0xf6e2 -0xbfe9e5a2 -256 -256 -1 -0 -0 -5 -0xb48d -0x8d148526 -256 -256 -1 -0 -0 -4 -0x10c -0x82b9a679 -256 -256 -1 -0 -0 -1 -0x56d0 -0xfb0c6c75 -256 -256 -1 -0 -0 -3 -0xc8d4 -0xadcfe946 -256 -256 -1 -0 -0 -10 -0x8bfb -0x870e7d03 -256 -256 -0 -0 -0 -5 -0x1cf9 -0xd9d9df9b -256 -256 -1 -0 -0 -5 -0x6487 -0xdeb3be1b -256 -256 -1 -0 -0 -1 -0xb29d -0x6d4e7e9c -256 -256 -1 -0 -0 -4 -0x6a76 -0x36dc563d -256 -256 -1 -0 -0 -1 -0xec35 -0x8f812ea2 -256 -256 -0 -0 -0 -7 -0x9b31 -0xf37daef -256 -256 -1 -0 -0 -1 -0x50f0 -0xfab8e5cf -256 -256 -1 -0 -0 -2 -0xbeb8 -0x17dca3f6 -256 -256 -0 -0 -0 -9 -0xaf5d -0x145298bf -256 -256 -0 -0 -0 -1 -0xe7df -0xad3700bb -256 -256 -0 -0 -0 -10 -0x3877 -0x465e9ac3 -256 -256 -1 -0 -0 -6 -0xfe4a -0x3326452d -256 -256 -1 -0 -0 -7 -0xdb7 -0x4a149d9 -256 -256 -1 -0 -0 -8 -0xda69 -0x19b91e00 -256 -256 -1 -0 -0 -5 -0xfb29 -0x570e25da -256 -256 -0 -0 -0 -9 -0x8baa -0x248ebfcb -256 -256 -1 -0 -0 -7 -0x932 -0x832dc8e4 -256 -256 -0 -0 -0 -2 -0x1cb8 -0xbc9e974d -256 -256 -0 -0 -0 -10 -0x8338 -0x22ab8a34 -256 -256 -1 -0 -0 -3 -0x2aea -0xc718897f -256 -256 -1 -0 -0 -1 -0xdbac -0x2a08d0c7 -256 -256 -0 -0 -0 -9 -0x26c2 -0x3084507a -256 -256 -1 -0 -0 -10 -0x31fb -0xcfa576aa -256 -256 -0 -0 -0 -9 -0x229 -0x85e7641d -256 -256 -1 -0 -0 -9 -0xa8ee -0x1f59092d -256 -256 -0 -0 -0 -9 -0x9b4b -0x2c820a51 -256 -256 -0 -0 -0 -2 -0x8a6c -0xa0436a42 -256 -256 -0 -0 -0 -3 -0x2df1 -0x9ddf80ec -256 -256 -1 -0 -0 -10 -0xa99b -0x2a2d0a6a -256 -256 -1 -0 -0 -4 -0x3685 -0xe504d51e -256 -256 -0 -0 -0 -6 -0x916d -0x43ca980e -256 -256 -1 -0 -0 -5 -0xb271 -0x9d148590 -256 -256 -0 -0 -0 -8 -0xfe11 -0xf4b7ba21 -256 -256 -1 -0 -0 -3 -0xf6a -0x90409c34 -256 -256 -0 -0 -0 -5 -0x8e4c -0xecc2e7da -256 -256 -1 -0 -0 -4 -0x248f -0x8e0151f6 -256 -256 -0 -0 -0 -8 -0xf244 -0x448d24b8 -256 -256 -1 -0 -0 -1 -0xb3d -0xf1dfb161 -256 -256 -1 -0 -0 -1 -0x6499 -0x4412ab6 -256 -256 -0 -0 -0 -2 -0x65d6 -0x5e12f202 -256 -256 -1 -0 -0 -9 -0x3b42 -0xe4e52697 -256 -256 -0 -0 -0 -8 -0xaa27 -0x2eb7bf24 -256 -256 -1 -0 -0 -9 -0x82da -0x11b3072b -256 -256 -0 -0 -0 -7 -0x284c -0x4a103e4b -256 -256 -1 -0 -0 -3 -0xd495 -0x5a386a82 -256 -256 -1 -0 -0 -9 -0x24f6 -0xb2e2ad64 -256 -256 -1 -0 -0 -9 -0xfcac -0xaace88ea -256 -256 -0 -0 -0 -1 -0xe365 -0xe0ce0946 -256 -256 -0 -0 -0 -3 -0xb035 -0x6e8ea97a -256 -256 -0 -0 -0 -7 -0x258d -0x39d8a51a -256 -256 -0 -0 -0 -3 -0x7c8f -0xf9fb0154 -256 -256 -0 -0 -0 -4 -0xd051 -0x5e7979cc -256 -256 -0 -0 -0 -7 -0xc63a -0x67b034dd -256 -256 -1 -0 -0 -8 -0xc811 -0x86f9788 -256 -256 -1 -0 -0 -7 -0xc9c1 -0xca468ae5 -256 -256 -0 -0 -0 -8 -0x9256 -0x7336f100 -256 -256 -0 -0 -0 -8 -0xe68f -0x328d4c51 -256 -256 -1 -0 -0 -9 -0x1505 -0x91afb9fb -256 -256 -0 -0 -0 -9 -0x56a5 -0xaa32fffc -256 -256 -0 -0 -0 -7 -0x5c39 -0x4168fdca -256 -256 -0 -0 -0 -3 -0x6693 -0xfdf0f107 -256 -256 -0 -0 -0 -9 -0xd231 -0x30edc2ab -256 -256 -1 -0 -0 -2 -0xe2fb -0x67db098a -256 -256 -0 -0 -0 -8 -0x5203 -0x75e9815e -256 -256 -1 -0 -0 -9 -0x4588 -0xbe7804e8 -256 -256 -1 -0 -0 -7 -0xa5a9 -0x75b4047 -256 -256 -0 -0 -0 -7 -0x6a12 -0x674c883 -256 -256 -1 -0 -0 -4 -0x6b6a -0xb302657f -256 -256 -1 -0 -0 -1 -0x1397 -0x5921781f -256 -256 -1 -0 -0 -4 -0x7395 -0x7098c960 -256 -256 -0 -0 -0 -1 -0x2374 -0xfcc0f594 -256 -256 -1 -0 -0 -7 -0x349a -0x6520e67b -256 -256 -0 -0 -0 -7 -0xea38 -0x3d4c6a4d -256 -256 -1 -0 -0 -7 -0x412c -0x6606d0f0 -256 -256 -1 -0 -0 -7 -0x84cb -0xf116fb93 -256 -256 -1 -0 -0 -5 -0xf72a -0x776e37ff -256 -256 -1 -0 -0 -7 -0xd945 -0xfa891556 -256 -256 -0 -0 -0 -7 -0xe38b -0x97119659 -256 -256 -0 -0 -0 -2 -0x3e4e -0xa3c3294f -256 -256 -1 -0 -0 -7 -0x2d41 -0x12350cd6 -256 -256 -0 -0 -0 -10 -0x1690 -0x9b3ccf4 -256 -256 -0 -0 -0 -3 -0x5eee -0xf7b815b -256 -256 -1 -0 -0 -7 -0x886e -0x9fc23892 -256 -256 -0 -0 -0 -1 -0x1fa0 -0x4d542aa3 -256 -256 -1 -0 -0 -6 -0xd9b2 -0x63d10d6e -256 -256 -1 -0 -0 -5 -0x4775 -0xf063c7c3 -256 -256 -1 -0 -0 -10 -0x5471 -0x1ff5ebf7 -256 -256 -1 -0 -0 -6 -0x2ec4 -0xc2b87277 -256 -256 -0 -0 -0 -4 -0x2b6c -0xfc9b6e47 -256 -256 -1 -0 -0 -2 -0xb52 -0xdd93bd99 -256 -256 -1 -0 -0 -2 -0x6324 -0x9e3944b3 -256 -256 -0 -0 -0 -2 -0x5080 -0x7eb49f7d -256 -256 -0 -0 -0 -3 -0x4648 -0x74f91d8b -256 -256 -0 -0 -0 -5 -0x96c1 -0x899ffa14 -256 -256 -1 -0 -0 -9 -0xe5fd -0x5033949e -256 -256 -0 -0 -0 -3 -0x63ad -0xf55fdb23 -256 -256 -0 -0 -0 -9 -0x4162 -0x4394357a -256 -256 -1 -0 -0 -1 -0x3fee -0x7d33a88c -256 -256 -0 -0 -0 -7 -0x6a3e -0xf2a0476a -256 -256 -1 -0 -0 -10 -0x6733 -0x43da9b30 -256 -256 -0 -0 -0 -10 -0xc523 -0x796d87a7 -256 -256 -0 -0 -0 -4 -0xce49 -0x49b50248 -256 -256 -1 -0 -0 -4 -0xd218 -0x72c8bf48 -256 -256 -0 -0 -0 -3 -0x6272 -0xb12ec1b3 -256 -256 -0 -0 -0 -5 -0xba0c -0x54dcff5c -256 -256 -0 -0 -0 -2 -0xbc6a -0x91c66352 -256 -256 -1 -0 -0 -6 -0xaf63 -0x111edb84 -256 -256 -1 -0 -0 -5 -0x9794 -0xbc234e7a -256 -256 -0 -0 -0 -5 -0x8c4a -0x2667f085 -256 -256 -0 -0 -0 -2 -0xcd6a -0xe2435bcd -256 -256 -1 -0 -0 -4 -0x313e -0x89278a52 -256 -256 -1 -0 -0 -5 -0x780f -0x58d980fd -256 -256 -0 -0 -0 -2 -0x4a64 -0xdcc8ca5 -256 -256 -1 -0 -0 -10 -0x4c0e -0xfb7e1787 -256 -256 -1 -0 -0 -4 -0x42f2 -0xafdd7edc -256 -256 -1 -0 -0 -6 -0xc8f0 -0x8380128e -256 -256 -0 -0 -0 -3 -0x5748 -0x32f8c97c -256 -256 -1 -0 -0 -3 -0xd266 -0xf23df9e6 -256 -256 -0 -0 -0 -4 -0xbfae -0x4f98fb01 -256 -256 -1 -0 -0 -7 -0x850f -0x9852ab40 -256 -256 -0 -0 -0 -4 -0xcec8 -0x74e60e45 -256 -256 -0 -0 -0 -5 -0x4a60 -0x13f89003 -256 -256 -1 -0 -0 -2 -0x613e -0x7b2dacb6 -256 -256 -0 -0 -0 -10 -0x66e3 -0xe402a810 -256 -256 -0 -0 -0 -7 -0xd6f4 -0xdc862a27 -256 -256 -1 -0 -0 -10 -0x86d9 -0xf8d18435 -256 -256 -1 -0 -0 -5 -0x6c68 -0x44430e07 -256 -256 -1 -0 -0 -9 -0xd9b6 -0x65e496a0 -256 -256 -1 -0 -0 -5 -0x7c4a -0xd896be19 -256 -256 -0 -0 -0 -5 -0xa045 -0xdd45dc7d -256 -256 -0 -0 -0 -3 -0xca7e -0x28f4eef8 -256 -256 -1 -0 -0 -4 -0xd009 -0xb1e385ba -256 -256 -0 -0 -0 -4 -0x9c9f -0xbb59a811 -256 -256 -0 -0 -0 -9 -0xeac1 -0x560d4e29 -256 -256 -0 -0 -0 -4 -0x8470 -0xbcb89fec -256 -256 -1 -0 -0 -3 -0x2518 -0xd927d8b4 -256 -256 -1 -0 -0 -6 -0xcb16 -0x9cb51feb -256 -256 -1 -0 -0 -5 -0xe52d -0x23d606ed -256 -256 -0 -0 -0 -2 -0x4f02 -0xb626fa6f -256 -256 -1 -0 -0 -10 -0xdcc3 -0xbd6457b4 -256 -256 -1 -0 -0 -5 -0xd80d -0x6ddee9f -256 -256 -0 -0 -0 -7 -0xe6a0 -0x81510c1e -256 -256 -1 -0 -0 -6 -0xab20 -0xc1f0ac3b -256 -256 -1 -0 -0 -7 -0xaf7d -0xba3b31c3 -256 -256 -1 -0 -0 -2 -0xe8dd -0x1e96230f -256 -256 -0 -0 -0 -4 -0xc986 -0x7ce9fddf -256 -256 -0 -0 -0 -6 -0x3c03 -0xaea1f030 -256 -256 -1 -0 -0 -1 -0xa9ab -0xb58e36c7 -256 -256 -1 -0 -0 -8 -0xc1ef -0x65bdc53d -256 -256 -1 -0 -0 -9 -0x4d3f -0x879ab04c -256 -256 -1 -0 -0 -9 -0xf3a3 -0xda33cbba -256 -256 -0 -0 -0 -10 -0xd00e -0xa50c2c39 -256 -256 -0 -0 -0 -2 -0x4d9f -0x66f4ddf4 -256 -256 -1 -0 -0 -4 -0xd60a -0xc24eae8b -256 -256 -0 -0 -0 -6 -0x2ba3 -0xaaff0679 -256 -256 -0 -0 -0 -8 -0x3ade -0x4f99ea90 -256 -256 -1 -0 -0 -9 -0x5714 -0x74f692aa -256 -256 -0 -0 -0 -3 -0x9ec1 -0xcd2b9c40 -256 -256 -0 -0 -0 -2 -0x9deb -0x28c0f754 -256 -256 -1 -0 -0 -6 -0xdcd9 -0x82d6ef77 -256 -256 -0 -0 -0 -3 -0x969d -0x9407bbb5 -256 -256 -1 -0 -0 -6 -0xf29f -0x6ef6098 -256 -256 -0 -0 -0 -10 -0x59a5 -0x1c17b5d6 -256 -256 -1 -0 -0 -10 -0x4d73 -0x33cc7d45 -256 -256 -0 -0 -0 -4 -0x5d59 -0x8d1c1e41 -256 -256 -1 -0 -0 -8 -0xef93 -0xe8b8da44 -256 -256 -1 -0 -0 -4 -0xa8f -0xc548193e -256 -256 -1 -0 -0 -7 -0xe29 -0x56a751fe -256 -256 -0 -0 -0 -2 -0xe4f1 -0x27a192f2 -256 -256 -0 -0 -0 -4 -0x72c8 -0x4a95ee4 -256 -256 -0 -0 -0 -2 -0x9f23 -0xedf8e2f5 -256 -256 -1 -0 -0 -8 -0x2aee -0x7c192d75 -256 -256 -1 -0 -0 -9 -0x697d -0x7ad76bb1 -256 -256 -0 -0 -0 -6 -0x8f8a -0x145e0268 -256 -256 -0 -0 -0 -9 -0xfc78 -0x62619396 -256 -256 -1 -0 -0 -1 -0xa37 -0x7ba5fbeb -256 -256 -1 -0 -0 -9 -0x9951 -0x16d2df71 -256 -256 -0 -0 -0 -5 -0x3312 -0xed6ac24d -256 -256 -1 -0 -0 -10 -0x1eac -0xfa028978 -256 -256 -1 -0 -0 -6 -0xcfaa -0x4fd123fb -256 -256 -0 -0 -0 -7 -0xb095 -0xbc451c4b -256 -256 -1 -0 -0 -6 -0x68d0 -0x4e95d6b2 -256 -256 -0 -0 -0 -3 -0x4c5d -0x178653db -256 -256 -1 -0 -0 -6 -0x7906 -0x560fb0b7 -256 -256 -1 -0 -0 -8 -0xd42f -0xfec146cb -256 -256 -1 -0 -0 -10 -0x2b13 -0x2a4f877f -256 -256 -0 -0 -0 -6 -0xd002 -0x22f5f43c -256 -256 -1 -0 -0 -1 -0x75e5 -0xe10d9d71 -256 -256 -1 -0 -0 -6 -0x9986 -0xb9b1986e -256 -256 -1 -0 -0 -6 -0xbc91 -0x69f35523 -256 -256 -0 -0 -0 -5 -0x1c49 -0xb4705c6d -256 -256 -1 -0 -0 -3 -0x889d -0xde9263eb -256 -256 -1 -0 -0 -1 -0x85fb -0xe5064fdb -256 -256 -0 -0 -0 -5 -0xfa57 -0xdf498b85 -256 -256 -1 -0 -0 -5 -0xc086 -0x7ed1866d -256 -256 -1 -0 -0 -6 -0xf505 -0x3046a942 -256 -256 -0 -0 -0 -8 -0xa623 -0x9148168e -256 -256 -1 -0 -0 -7 -0x7011 -0x8ceba057 -256 -256 -0 -0 -0 -8 -0x6e04 -0xd030d57c -256 -256 -0 -0 -0 -6 -0x1211 -0xd2dfb6c6 -256 -256 -0 -0 -0 -4 -0x134a -0xa1b1bc5c -256 -256 -1 -0 -0 -4 -0x1f15 -0xfb8b4e99 -256 -256 -1 -0 -0 -6 -0x2c90 -0x35b81ee8 -256 -256 -0 -0 -0 -3 -0x63de -0x9da547a8 -256 -256 -1 -0 -0 -9 -0x5e6f -0xf0249626 -256 -256 -1 -0 -0 -8 -0x4848 -0x5e2fdb91 -256 -256 -0 -0 -0 -10 -0x97c4 -0x7547edf8 -256 -256 -0 -0 -0 -4 -0xd9c1 -0x8750c9c6 -256 -256 -0 -0 -0 -10 -0x290b -0x8e64b07b -256 -256 -1 -0 -0 -2 -0x9e36 -0xe55be538 -256 -256 -0 -0 -0 -4 -0x84b6 -0x3be98d70 -256 -256 -1 -0 -0 -1 -0x826b -0x1680d7c0 -256 -256 -0 -0 -0 -3 -0x1e0b -0xdf66262d -256 -256 -0 -0 -0 -4 -0x9c -0x6cc6e3b7 -256 -256 -1 -0 -0 -8 -0x137 -0x2645b432 -256 -256 -1 -0 -0 -4 -0x9f -0x7bab7848 -256 -256 -1 -0 -0 -7 -0x75c4 -0x377680e6 -256 -256 -1 -0 -0 -9 -0x3f4a -0x36086b3e -256 -256 -1 -0 -0 -8 -0xa75 -0x560cec01 -256 -256 -0 -0 -0 -6 -0xe951 -0xd6788897 -256 -256 -1 -0 -0 -6 -0x6de0 -0xd310f964 -256 -256 -1 -0 -0 -5 -0xb2c6 -0x6b79f7e2 -256 -256 -1 -0 -0 -7 -0x5a9a -0x58798504 -256 -256 -1 -0 -0 -4 -0x8591 -0xdbc81508 -256 -256 -1 -0 -0 -3 -0x144c -0x35bd5741 -256 -256 -1 -0 -0 -3 -0x73c5 -0x4f52221e -256 -256 -0 -0 -0 -10 -0xb92 -0xc3401269 -256 -256 -0 -0 -0 -7 -0xda71 -0x265c3fbe -256 -256 -0 -0 -0 -1 -0xd85 -0x88b3ac61 -256 -256 -0 -0 -0 -10 -0xd29 -0xc6f97c45 -256 -256 -0 -0 -0 -10 -0xe608 -0x4a0f8528 -256 -256 -1 -0 -0 -1 -0x2842 -0xcbc84cab -256 -256 -0 -0 -0 -6 -0xa9e3 -0x49536d3d -256 -256 -0 -0 -0 -1 -0x2751 -0x7f03badd -256 -256 -1 -0 -0 -7 -0x1161 -0x63c5169 -256 -256 -0 -0 -0 -10 -0x42a4 -0x5aef9f8 -256 -256 -0 -0 -0 -2 -0xf08a -0xf98bb205 -256 -256 -1 -0 -0 -3 -0x6dc6 -0x168bb5a8 -256 -256 -1 -0 -0 -3 -0xd86a -0xda57818f -256 -256 -0 -0 -0 -2 -0xd0f2 -0xf01d9d3d -256 -256 -1 -0 -0 -5 -0x50ea -0x8d233b4a -256 -256 -0 -0 -0 -3 -0x6c2f -0xb608e3 -256 -256 -0 -0 -0 -8 -0xe493 -0x2a7ac6c5 -256 -256 -0 -0 -0 -9 -0x1ca9 -0xbef888b1 -256 -256 -1 -0 -0 -5 -0x7d25 -0xf26157a -256 -256 -0 -0 -0 -8 -0xaf19 -0x9e199e1a -256 -256 -1 -0 -0 -10 -0xc3bf -0x5d1c788f -256 -256 -1 -0 -0 -7 -0x7aa -0x6c78a9ed -256 -256 -1 -0 -0 -6 -0xdb13 -0x10505c81 -256 -256 -0 -0 -0 -6 -0x3555 -0xb497262e -256 -256 -0 -0 -0 -10 -0x2a0a -0x70264441 -256 -256 -1 -0 -0 -3 -0xafec -0x52b26dac -256 -256 -0 -0 -0 -2 -0x28a7 -0xa8073450 -256 -256 -0 -0 -0 -4 -0xba43 -0xe39fc655 -256 -256 -0 -0 -0 -7 -0x4004 -0xa75af045 -256 -256 -1 -0 -0 -9 -0xd83 -0x3591d93f -256 -256 -1 -0 -0 -2 -0x612 -0x3479d5b6 -256 -256 -0 -0 -0 -10 -0x3e70 -0xe67ad6f -256 -256 -1 -0 -0 -2 -0x327c -0xea0edb51 -256 -256 -1 -0 -0 -6 -0xf1cf -0x53779f0f -256 -256 -1 -0 -0 -8 -0x1c63 -0x90a0ea44 -256 -256 -1 -0 -0 -1 -0x49d8 -0x390fa11e -256 -256 -1 -0 -0 -7 -0x879d -0x9d90fcd5 -256 -256 -1 -0 -0 -10 -0x47aa -0xde5c8101 -256 -256 -1 -0 -0 -1 -0x33d -0x7ac44a9 -256 -256 -1 -0 -0 -2 -0xaf78 -0x1dc7bba6 -256 -256 -1 -0 -0 -1 -0x3431 -0x8c4dee57 -256 -256 -0 -0 -0 -7 -0xb433 -0x26b51f01 -256 -256 -1 -0 -0 -7 -0x69bb -0x3573f3b3 -256 -256 -0 -0 -0 -3 -0x8ae0 -0xdb0db270 -256 -256 -1 -0 -0 -6 -0x2bc6 -0x5752b27a -256 -256 -0 -0 -0 -10 -0xa40e -0xf82b6bec -256 -256 -0 -0 -0 -7 -0x287c -0xd38cb8a7 -256 -256 -1 -0 -0 -10 -0x390d -0x86c0606c -256 -256 -0 -0 -0 -1 -0x3891 -0x52710590 -256 -256 -1 -0 -0 -5 -0xb50d -0x10b1c745 -256 -256 -1 -0 -0 -3 -0x93a1 -0x470f0118 -256 -256 -0 -0 -0 -1 -0xb53b -0xc92be0ac -256 -256 -1 -0 -0 -1 -0x4221 -0x20c2afde -256 -256 -1 -0 -0 -7 -0x7789 -0x729492a0 -256 -256 -0 -0 -0 -5 -0x4046 -0x73cd210d -256 -256 -1 -0 -0 -7 -0x188b -0xaccf9ab0 -256 -256 -1 -0 -0 -2 -0x6746 -0x86fa5dfd -256 -256 -0 -0 -0 -1 -0xa4f1 -0x5bb0cd6e -256 -256 -1 -0 -0 -3 -0xa888 -0xf85841e7 -256 -256 -0 -0 -0 -3 -0xfcfe -0xa5817dbf -256 -256 -0 -0 -0 -10 -0x49de -0xb51265dc -256 -256 -1 -0 -0 -2 -0x7bca -0x3d10d520 -256 -256 -1 -0 -0 -3 -0xb623 -0x1c66b18 -256 -256 -1 -0 -0 -8 -0xff5 -0xdc04bbd9 -256 -256 -0 -0 -0 -7 -0xdece -0xf9939e00 -256 -256 -0 -0 -0 -3 -0x454a -0xd847a92d -256 -256 -1 -0 -0 -7 -0xa597 -0xc160ca86 -256 -256 -0 -0 -0 -4 -0xa434 -0xbef2e075 -256 -256 -0 -0 -0 -4 -0xe379 -0x3ea4e895 -256 -256 -1 -0 -0 -7 -0xa2f2 -0x908ec228 -256 -256 -1 -0 -0 -2 -0x6dff -0x7a4cd5b1 -256 -256 -1 -0 -0 -1 -0x9b0 -0x4238d1a5 -256 -256 -0 -0 -0 -6 -0x1a4e -0x8c952a36 -256 -256 -0 -0 -0 -9 -0x9fd6 -0xb0405362 -256 -256 -0 -0 -0 -6 -0x3214 -0x9b164d9c -256 -256 -0 -0 -0 -8 -0x1869 -0xaa401d67 -256 -256 -1 -0 -0 -4 -0x2ec4 -0x5b2e6d3 -256 -256 -0 -0 -0 -2 -0x793d -0xc21e7613 -256 -256 -0 -0 -0 -1 -0xa526 -0x52724940 -256 -256 -0 -0 -0 -5 -0x6c1e -0xde2fc6a -256 -256 -0 -0 -0 -10 -0xfe2f -0xbcda60f4 -256 -256 -0 -0 -0 -2 -0x4eb7 -0xdfd1a65e -256 -256 -1 -0 -0 -8 -0xe9af -0xf9b80489 -256 -256 -0 -0 -0 -3 -0x7c01 -0xf9975502 -256 -256 -1 -0 -0 -6 -0x604b -0xa82d909d -256 -256 -1 -0 -0 -8 -0x8dd7 -0x2d950cf1 -256 -256 -1 -0 -0 -1 -0x9509 -0x332fcbcf -256 -256 -1 -0 -0 -1 -0x787e -0x622bd638 -256 -256 -0 -0 -0 -4 -0x9482 -0x5515b12e -256 -256 -1 -0 -0 -6 -0x6470 -0x8a651324 -256 -256 -1 -0 -0 -1 -0xc340 -0x670c4a2 -256 -256 -1 -0 -0 -4 -0x7ec3 -0xf07239ee -256 -256 -0 -0 -0 -5 -0xffa8 -0x4d1ee556 -256 -256 -1 -0 -0 -8 -0x37df -0xddbe11e5 -256 -256 -1 -0 -0 -9 -0xd673 -0x6cf06f94 -256 -256 -1 -0 -0 -3 -0xa7fd -0xa657b05a -256 -256 -1 -0 -0 -8 -0x793d -0x6e471f60 -256 -256 -0 -0 -0 -2 -0x35a8 -0x6ebaa54e -256 -256 -1 -0 -0 -10 -0x3950 -0x53e96b6a -256 -256 -0 -0 -0 -2 -0xc7e8 -0x874ef3eb -256 -256 -0 -0 -0 -1 -0x17d2 -0xe908da51 -256 -256 -0 -0 -0 -1 -0xc6e0 -0x75975ad6 -256 -256 -1 -0 -0 -8 -0x25f9 -0xc690c684 -256 -256 -0 -0 -0 -6 -0x300c -0x2ac05ebb -256 -256 -1 -0 -0 -8 -0xefd6 -0xea8bc57a -256 -256 -1 -0 -0 -7 -0xe122 -0x9edfa346 -256 -256 -0 -0 -0 -7 -0x37f7 -0x1361ac92 -256 -256 -0 -0 -0 -6 -0xca33 -0xf7d27cdd -256 -256 -1 -0 -0 -5 -0xb142 -0x5e7a1d7f -256 -256 -0 -0 -0 -3 -0x593c -0xaafc942c -256 -256 -0 -0 -0 -10 -0x6a57 -0x9ef1d8f4 -256 -256 -1 -0 -0 -8 -0x8e99 -0x80db4448 -256 -256 -0 -0 -0 -9 -0xd834 -0x7c7670ef -256 -256 -1 -0 -0 -1 -0x8af3 -0xf704c570 -256 -256 -0 -0 -0 -6 -0xc93 -0xf1d1a0c7 -256 -256 -1 -0 -0 -8 -0xd0c8 -0x5ab5b461 -256 -256 -1 -0 -0 -4 -0xc479 -0x126a3062 -256 -256 -1 -0 -0 -1 -0x2431 -0x1212e9be -256 -256 -0 -0 -0 -9 -0xda86 -0x922a4c35 -256 -256 -1 -0 -0 -4 -0x57e5 -0x6e65af66 -256 -256 -1 -0 -0 -7 -0x39c7 -0x2cafd546 -256 -256 -0 -0 -0 -5 -0x65bc -0xb136e817 -256 -256 -0 -0 -0 -4 -0xed28 -0xa6b95678 -256 -256 -1 -0 -0 -7 -0x5938 -0x73f59159 -256 -256 -1 -0 -0 -8 -0xb05c -0xf27156c1 -256 -256 -1 -0 -0 -7 -0x7236 -0x3aeee378 -256 -256 -1 -0 -0 -4 -0x56c1 -0x77ce1f1f -256 -256 -1 -0 -0 -10 -0x583 -0x17ba1fde -256 -256 -0 -0 -0 -1 -0xa836 -0xca015fba -256 -256 -0 -0 -0 -5 -0x8a21 -0x9c1eeacd -256 -256 -1 -0 -0 -1 -0xa6a9 -0x958e27d4 -256 -256 -1 -0 -0 -2 -0x62e7 -0xd802591 -256 -256 -1 -0 -0 -7 -0x9fee -0xeb4f63f1 -256 -256 -0 -0 -0 -1 -0x6a6e -0x3ac82fe -256 -256 -0 -0 -0 -4 -0xdd65 -0x21dfb22f -256 -256 -1 -0 -0 -10 -0x7bdd -0x1ce74cdd -256 -256 -1 -0 -0 -2 -0x638f -0xbdf2819 -256 -256 -0 -0 -0 -3 -0xff80 -0x6384dae6 -256 -256 -0 -0 -0 -2 -0xb42c -0x65606d8b -256 -256 -1 -0 -0 -7 -0x8881 -0x29db8f35 -256 -256 -1 -0 -0 -10 -0x11ba -0x4f6b18a6 -256 -256 -0 -0 -0 -4 -0xdcb4 -0x9207b2d5 -256 -256 -0 -0 -0 -4 -0x756c -0x3574c71c -256 -256 -1 -0 -0 -7 -0x90db -0x843c8e53 -256 -256 -1 -0 -0 -2 -0x537c -0x572688f1 -256 -256 -1 -0 -0 -3 -0x9425 -0xc4326337 -256 -256 -0 -0 -0 -4 -0x7256 -0xdb739317 -256 -256 -0 -0 -0 -8 -0x591 -0x7daba6c -256 -256 -1 -0 -0 -9 -0xbdce -0x7155cbf6 -256 -256 -0 -0 -0 -9 -0x3c19 -0x394ddad5 -256 -256 -0 -0 -0 -9 -0xb9a6 -0xc99616cc -256 -256 -0 -0 -0 -1 -0xc7ac -0x7dd0ba42 -256 -256 -0 -0 -0 -4 -0x9c41 -0x8e3dc10a -256 -256 -1 -0 -0 -9 -0xd8e9 -0x21bd9090 -256 -256 -1 -0 -0 -10 -0xd264 -0x1e8f008a -256 -256 -1 -0 -0 -6 -0x7c66 -0x730ffa77 -256 -256 -0 -0 -0 -10 -0x327 -0xab4c064a -256 -256 -1 -0 -0 -4 -0x465d -0xbfb11ed7 -256 -256 -0 -0 -0 -2 -0xc34f -0x6368b4ce -256 -256 -1 -0 -0 -7 -0xb633 -0x75b4a3a7 -256 -256 -0 -0 -0 -1 -0x2908 -0xa49d82aa -256 -256 -1 -0 -0 -8 -0x5247 -0xe167ee57 -256 -256 -0 -0 -0 -8 -0xd808 -0x4878f2b8 -256 -256 -1 -0 -0 -4 -0x8efb -0x9034506b -256 -256 -0 -0 -0 -10 -0x52d6 -0x47d1fb9a -256 -256 -0 -0 -0 -4 -0x7c1b -0x472e6af1 -256 -256 -1 -0 -0 -1 -0x1711 -0x258a6e37 -256 -256 -0 -0 -0 -1 -0x815 -0x744bf44f -256 -256 -1 -0 -0 -9 -0x8983 -0x1bef79cf -256 -256 -0 -0 -0 -8 -0xba7c -0x47331e4d -256 -256 -0 -0 -0 -1 -0x424f -0x4ade759a -256 -256 -0 -0 -0 -5 -0xa218 -0x57e9f202 -256 -256 -1 -0 -0 -3 -0x5a62 -0x4be0813e -256 -256 -1 -0 -0 -4 -0x8b6d -0xb7b6e5a2 -256 -256 -1 -0 -0 -10 -0xc0f3 -0xcfcb7866 -256 -256 -0 -0 -0 -4 -0x95de -0x7a726e8 -256 -256 -1 -0 -0 -6 -0x1cd4 -0x71a7d971 -256 -256 -1 -0 -0 -10 -0x242b -0xf7a6d63b -256 -256 -0 -0 -0 -4 -0x8265 -0x937b6f4b -256 -256 -1 -0 -0 -1 -0x4004 -0x12884f40 -256 -256 -1 -0 -0 -2 -0xfbd3 -0x794fa411 -256 -256 -1 -0 -0 -8 -0xc855 -0x514ffad8 -256 -256 -1 -0 -0 -6 -0x64f3 -0xde9358ef -256 -256 -1 -0 -0 -7 -0xfab -0x4ac4cc6a -256 -256 -1 -0 -0 -10 -0xa4eb -0xc04ab643 -256 -256 -0 -0 -0 -3 -0x6308 -0x70056c0f -256 -256 -0 -0 -0 -10 -0x3743 -0xe8a50b84 -256 -256 -0 -0 -0 -5 -0x5042 -0xae4e7f56 -256 -256 -1 -0 -0 -6 -0xfd82 -0x9ce4d73c -256 -256 -0 -0 -0 -4 -0x1d22 -0x60ba52f1 -256 -256 -1 -0 -0 -9 -0x31d3 -0x583b2fe6 -256 -256 -1 -0 -0 -8 -0x8e01 -0xcf22372 -256 -256 -0 -0 -0 -7 -0xdc3d -0xd4e5618b -256 -256 -0 -0 -0 -5 -0x3b7a -0xfaf90cd5 -256 -256 -0 -0 -0 -2 -0xb7f5 -0x61697327 -256 -256 -0 -0 -0 -5 -0xcfc -0x688273f5 -256 -256 -1 -0 -0 -4 -0x9112 -0xd3ac9b35 -256 -256 -1 -0 -0 -1 -0xa4a -0x1a86a824 -256 -256 -1 -0 -0 -8 -0xc4be -0xb1f0d6c5 -256 -256 -0 -0 -0 -8 -0x70f6 -0xd6f2ea93 -256 -256 -0 -0 -0 -10 -0x5d17 -0x2dcff94 -256 -256 -1 -0 -0 -6 -0xb171 -0xefa25564 -256 -256 -1 -0 -0 -1 -0xe022 -0x76c6b93b -256 -256 -1 -0 -0 -3 -0x51d9 -0x1c235dc4 -256 -256 -0 -0 -0 -3 -0x294d -0x5fb32232 -256 -256 -1 -0 -0 -8 -0xfe8e -0xee979401 -256 -256 -0 -0 -0 -10 -0x9b6c -0x11987fa6 -256 -256 -0 -0 -0 -9 -0x34b8 -0xbf817752 -256 -256 -1 -0 -0 -3 -0x533d -0xfded2fa7 -256 -256 -1 -0 -0 -1 -0x8813 -0x187411da -256 -256 -1 -0 -0 -8 -0x1e4b -0x7dd2489c -256 -256 -0 -0 -0 -9 -0xf632 -0x8af7c6bf -256 -256 -1 -0 -0 -9 -0x5f4c -0x7ccf1c30 -256 -256 -0 -0 -0 -2 -0xef18 -0xc2a0a37 -256 -256 -0 -0 -0 -2 -0x792d -0x78a00255 -256 -256 -1 -0 -0 -6 -0xdf87 -0xdf619098 -256 -256 -1 -0 -0 -5 -0x5318 -0x44fcbe74 -256 -256 -1 -0 -0 -3 -0xbe6f -0xf1fa0f5b -256 -256 -1 -0 -0 -8 -0x5c85 -0xdeaaac2c -256 -256 -1 -0 -0 -4 -0xf181 -0x56b6be7a -256 -256 -0 -0 -0 -8 -0xe065 -0x742921da -256 -256 -1 -0 -0 -2 -0xb1eb -0x5e4d4594 -256 -256 -0 -0 -0 -7 -0x11e5 -0x38e04ceb -256 -256 -1 -0 -0 -9 -0x3a15 -0x466b6257 -256 -256 -0 -0 -0 -7 -0x5ec2 -0xa68c5a70 -256 -256 -1 -0 -0 -2 -0x843b -0x1b509fbd -256 -256 -0 -0 -0 -9 -0x569e -0x2ce59209 -256 -256 -1 -0 -0 -9 -0xc664 -0xc64daf9c -256 -256 -1 -0 -0 -10 -0x4845 -0x5d046770 -256 -256 -0 -0 -0 -8 -0xe36d -0x6859d526 -256 -256 -1 -0 -0 -9 -0x8ab5 -0x1e664993 -256 -256 -1 -0 -0 -8 -0x75ff -0x11c17c25 -256 -256 -0 -0 -0 -10 -0x56dc -0x2b696ab4 -256 -256 -0 -0 -0 -10 -0xef43 -0x3e2db090 -256 -256 -1 -0 -0 -1 -0x9cbb -0x47989ffc -256 -256 -1 -0 -0 -2 -0xbb91 -0x3ee0afd8 -256 -256 -1 -0 -0 -3 -0x52f6 -0x8cdd8393 -256 -256 -1 -0 -0 -2 -0xad1e -0x4127ce30 -256 -256 -1 -0 -0 -5 -0xf8cc -0x1b5d52d2 -256 -256 -1 -0 -0 -1 -0xff9d -0xb86155e6 -256 -256 -1 -0 -0 -1 -0x4838 -0xf0eed38a -256 -256 -1 -0 -0 -10 -0x4b71 -0x11e67330 -256 -256 -1 -0 -0 -2 -0x2b9e -0xc3ab0b34 -256 -256 -1 -0 -0 -1 -0x7ddd -0x694221f4 -256 -256 -1 -0 -0 -7 -0x632 -0x8485930a -256 -256 -1 -0 -0 -1 -0x2957 -0x2980c776 -256 -256 -0 -0 -0 -2 -0x2124 -0xe3218324 -256 -256 -0 -0 -0 -8 -0xc65 -0xdcdaf00a -256 -256 -0 -0 -0 -1 -0x2674 -0xe25e693 -256 -256 -1 -0 -0 -4 -0x8114 -0x8ddd1062 -256 -256 -0 -0 -0 -4 -0xca73 -0x85f74e6b -256 -256 -0 -0 -0 -4 -0xce83 -0xcc4e0229 -256 -256 -1 -0 -0 -6 -0xd2fe -0xa1ed5302 -256 -256 -0 -0 -0 -5 -0x6bf2 -0xd79e5f3c -256 -256 -1 -0 -0 -3 -0xfdc -0xdeed6488 -256 -256 -0 -0 -0 -8 -0x735c -0xda82348e -256 -256 -0 -0 -0 -10 -0x4cb -0xb9157ef0 -256 -256 -1 -0 -0 -3 -0x4e7e -0x9e3c918f -256 -256 -1 -0 -0 -1 -0x8194 -0x742b32b9 -256 -256 -0 -0 -0 -1 -0xcdbe -0x591b862d -256 -256 -1 -0 -0 -2 -0xc091 -0xed0980e1 -256 -256 -1 -0 -0 -8 -0xa5d2 -0x2d268233 -256 -256 -1 -0 -0 -2 -0xe56b -0xf1ff0b85 -256 -256 -1 -0 -0 -2 -0x5953 -0xca28986f -256 -256 -1 -0 -0 -8 -0x8710 -0xa83e6d6f -256 -256 -1 -0 -0 -10 -0xd6ce -0xae568749 -256 -256 -1 -0 -0 -1 -0x22ec -0x396d6018 -256 -256 -0 -0 -0 -4 -0xd66b -0xb75eadd4 -256 -256 -0 -0 -0 -3 -0x8a68 -0x795e14f8 -256 -256 -1 -0 -0 -8 -0xe85 -0x562ebb11 -256 -256 -1 -0 -0 -10 -0x52f7 -0xd0625d9d -256 -256 -1 -0 -0 -7 -0x71eb -0x535f8804 -256 -256 -1 -0 -0 -8 -0x602f -0xab8cbb29 -256 -256 -0 -0 -0 -10 -0xbf51 -0x9f686759 -256 -256 -1 -0 -0 -8 -0x8ed -0xfb1cf4b3 -256 -256 -0 -0 -0 -3 -0xe2aa -0xcbdfc3a5 -256 -256 -0 -0 -0 -6 -0x69e6 -0xfcc1c0aa -256 -256 -0 -0 -0 -4 -0xe859 -0x862a74c2 -256 -256 -1 -0 -0 -10 -0x1c8e -0xd54f0ec2 -256 -256 -0 -0 -0 -10 -0x6d9a -0xf61c28be -256 -256 -1 -0 -0 -10 -0xda75 -0xe1024aae -256 -256 -0 -0 -0 -3 -0x5cfa -0x8a850bd0 -256 -256 -1 -0 -0 -1 -0xf3cf -0x21f63342 -256 -256 -0 -0 -0 -5 -0xfe60 -0x5f0de56 -256 -256 -1 -0 -0 -1 -0x3627 -0xc774f270 -256 -256 -1 -0 -0 -2 -0xef40 -0xd4b94254 -256 -256 -1 -0 -0 -1 -0xf492 -0x40be12e7 -256 -256 -0 -0 -0 -3 -0xbf1a -0xd3598340 -256 -256 -1 -0 -0 -7 -0xb65a -0x12f9dbac -256 -256 -1 -0 -0 -3 -0x8b54 -0x6ad8a60b -256 -256 -1 -0 -0 -5 -0xb8ce -0x587c6c45 -256 -256 -1 -0 -0 -10 -0xc67d -0x520c297e -256 -256 -0 -0 -0 -7 -0x50a6 -0x6478ef53 -256 -256 -1 -0 -0 -2 -0x5991 -0x8b030034 -256 -256 -1 -0 -0 -5 -0x2297 -0x1429fa2b -256 -256 -1 -0 -0 -6 -0x4bc1 -0x85d16c72 -256 -256 -1 -0 -0 -1 -0xa891 -0x926d1010 -256 -256 -1 -0 -0 -7 -0x3704 -0xc979f470 -256 -256 -1 -0 -0 -9 -0x17dd -0x1292861a -256 -256 -0 -0 -0 -10 -0xd4df -0x7e6bc04d -256 -256 -1 -0 -0 -8 -0xd80e -0xf157bc4 -256 -256 -0 -0 -0 -8 -0xf372 -0x9d155e6d -256 -256 -1 -0 -0 -3 -0x5c8c -0xc9f9829b -256 -256 -0 -0 -0 -4 -0x1e49 -0x9aa987c3 -256 -256 -1 -0 -0 -5 -0x15 -0x95e7e2fb -256 -256 -1 -0 -0 -9 -0xd8b9 -0xc51a9378 -256 -256 -0 -0 -0 -3 -0xe3d0 -0xb49a406 -256 -256 -0 -0 -0 -8 -0x81ab -0xbd589c05 -256 -256 -1 -0 -0 -1 -0x1d2a -0x23e87f35 -256 -256 -0 -0 -0 -5 -0xf45b -0xa69cf52f -256 -256 -1 -0 -0 -2 -0xeae -0x3865fa7e -256 -256 -0 -0 -0 -4 -0x58bb -0x934ded9 -256 -256 -1 -0 -0 -2 -0xf356 -0x9304641f -256 -256 -0 -0 -0 -10 -0x62ba -0xafbfb2ee -256 -256 -1 -0 -0 -6 -0x84dd -0x8ad65b8f -256 -256 -1 -0 -0 -1 -0x98e0 -0x9b44b5cb -256 -256 -1 -0 -0 -5 -0xd03d -0xa2208190 -256 -256 -0 -0 -0 -6 -0xc27a -0xebbb4955 -256 -256 -1 -0 -0 -9 -0xf79a -0x1f3d0912 -256 -256 -1 -0 -0 -4 -0xb356 -0x9e008d83 -256 -256 -1 -0 -0 -5 -0x4e13 -0x262e7461 -256 -256 -1 -0 -0 -1 -0x44a0 -0xc2ce58da -256 -256 -0 -0 -0 -6 -0xce -0x441140cb -256 -256 -1 -0 -0 -9 -0xaa14 -0x3c7bd978 -256 -256 -0 -0 -0 -4 -0xdf19 -0x9c793ddf -256 -256 -1 -0 -0 -2 -0xab21 -0x4609d3be -256 -256 -1 -0 -0 -8 -0x9d0b -0xde1b6e20 -256 -256 -1 -0 -0 -10 -0x1f13 -0x1f872aa2 -256 -256 -0 -0 -0 -1 -0x5995 -0xbf7d54af -256 -256 -1 -0 -0 -7 -0x9cd3 -0xb0c3b58a -256 -256 -0 -0 -0 -9 -0x60b1 -0xc67c8d6d -256 -256 -0 -0 -0 -5 -0x83ed -0xf5e6fd74 -256 -256 -0 -0 -0 -8 -0xb39a -0x7c77de9a -256 -256 -0 -0 -0 -1 -0xb7bb -0xaa96e91f -256 -256 -0 -0 -0 -1 -0xcbdf -0xd78826a -256 -256 -0 -0 -0 -5 -0xe990 -0xdcf8b5af -256 -256 -1 -0 -0 -5 -0x627 -0x583c9efb -256 -256 -0 -0 -0 -4 -0xded6 -0x3c6aacaf -256 -256 -0 -0 -0 -1 -0x14b6 -0xde21ab25 -256 -256 -0 -0 -0 -2 -0xf044 -0x3161ccb1 -256 -256 -1 -0 -0 -9 -0x8c02 -0x7e160311 -256 -256 -0 -0 -0 -5 -0x1100 -0xcadcbae2 -256 -256 -1 -0 -0 -4 -0xe185 -0x19e8b487 -256 -256 -0 -0 -0 -3 -0x8d41 -0xd6385f0f -256 -256 -0 -0 -0 -6 -0x8435 -0x2aa85e08 -256 -256 -1 -0 -0 -6 -0xb9a0 -0x3d58e5c6 -256 -256 -1 -0 -0 -10 -0xf186 -0xba2ca2e5 -256 -256 -1 -0 -0 -8 -0xf8f2 -0xa1ca2ff0 -256 -256 -0 -0 -0 -2 -0x7ca1 -0xcadd873e -256 -256 -1 -0 -0 -4 -0xa3d -0x4b7fbcaa -256 -256 -0 -0 -0 -5 -0xec4d -0xa7a65de7 -256 -256 -0 -0 -0 -2 -0x1cf3 -0xaa0014b4 -256 -256 -1 -0 -0 -7 -0x4664 -0xb1e941d -256 -256 -0 -0 -0 -1 -0xa3f5 -0xe4da076f -256 -256 -1 -0 -0 -10 -0x7eb6 -0x71399406 -256 -256 -0 -0 -0 -8 -0xd435 -0x836886f8 -256 -256 -1 -0 -0 -10 -0x3aba -0xd492ae39 -256 -256 -1 -0 -0 -7 -0x6ee2 -0xcf5cfb3 -256 -256 -0 -0 -0 -2 -0xc8ad -0x236a8e98 -256 -256 -0 -0 -0 -9 -0x334d -0xdf885163 -256 -256 -1 -0 -0 -8 -0xd5a9 -0x947abe59 -256 -256 -0 -0 -0 -7 -0xbf7c -0x6f88babf -256 -256 -0 -0 -0 -9 -0x3049 -0xae3085ab -256 -256 -1 -0 -0 -3 -0x480 -0xce8d74d7 -256 -256 -1 -0 -0 -3 -0x20bb -0x7650cb1f -256 -256 -1 -0 -0 -4 -0x675b -0x9a65a1f1 -256 -256 -0 -0 -0 -4 -0xe6eb -0x1346bc41 -256 -256 -0 -0 -0 -8 -0xa7a8 -0xf907cf28 -256 -256 -0 -0 -0 -6 -0x7bc2 -0x1928fd51 -256 -256 -1 -0 -0 -9 -0x3bc1 -0x8e9187fc -256 -256 -1 -0 -0 -4 -0x30aa -0x62f2fed9 -256 -256 -1 -0 -0 -6 -0x5b5e -0x2db0ccbc -256 -256 -0 -0 -0 -3 -0x24c4 -0x6df39dd8 -256 -256 -0 -0 -0 -6 -0x1bdf -0x584b0d2c -256 -256 -0 -0 -0 -5 -0x68f2 -0xed912bd2 -256 -256 -1 -0 -0 -8 -0xa2c5 -0xff6d2514 -256 -256 -1 -0 -0 -5 -0xab33 -0x7b70878a -256 -256 -1 -0 -0 -6 -0x462e -0x55921b8f -256 -256 -1 -0 -0 -9 -0x355d -0x351e6a13 -256 -256 -1 -0 -0 -5 -0xac4 -0x3003d6ff -256 -256 -1 -0 -0 -5 -0x5a95 -0x40c4075d -256 -256 -1 -0 -0 -8 -0x3d8c -0xd8e86226 -256 -256 -1 -0 -0 -7 -0xf7e0 -0xf1078b66 -256 -256 -1 -0 -0 -3 -0x4c85 -0xe79b8a37 -256 -256 -1 -0 -0 -1 -0x4e2e -0x2ec4997 -256 -256 -1 -0 -0 -1 -0x45b6 -0x51a63347 -256 -256 -1 -0 -0 -4 -0x93dc -0x734769d1 -256 -256 -1 -0 -0 -6 -0x7d14 -0x9ee4ec84 -256 -256 -1 -0 -0 -5 -0xf2d4 -0xac6b35b4 -256 -256 -1 -0 -0 -6 -0xa6b4 -0xa26cdc8b -256 -256 -1 -0 -0 -6 -0x9f77 -0x1e7fa2e4 -256 -256 -0 -0 -0 -6 -0xec20 -0x8dc97a2d -256 -256 -0 -0 -0 -2 -0xee3f -0xeab03d24 -256 -256 -1 -0 -0 -8 -0x9f37 -0xa5127077 -256 -256 -0 -0 -0 -5 -0xb79e -0x1f1da13f -256 -256 -1 -0 -0 -4 -0x9679 -0xbace1c63 -256 -256 -0 -0 -0 -9 -0xbba3 -0x3809ffed -256 -256 -0 -0 -0 -6 -0xc8a1 -0xdde49ca8 -256 -256 -0 -0 -0 -4 -0xee1 -0xf3eeecb -256 -256 -0 -0 -0 -4 -0xa943 -0x8166de95 -256 -256 -0 -0 -0 -9 -0x8bfa -0xb65b4072 -256 -256 -0 -0 -0 -9 -0xe89c -0x9b62b7fb -256 -256 -1 -0 -0 -3 -0x254f -0xcf8355b -256 -256 -0 -0 -0 -5 -0x32a -0xdce3a34a -256 -256 -1 -0 -0 -7 -0x4e6c -0x3ad06bba -256 -256 -0 -0 -0 -10 -0xca01 -0x39253df9 -256 -256 -0 -0 -0 -5 -0xcbb6 -0x5f48f230 -256 -256 -0 -0 -0 -5 -0x8d87 -0x249cf9ac -256 -256 -0 -0 -0 -4 -0xe855 -0xf0d0c606 -256 -256 -0 -0 -0 -9 -0xd2a7 -0x5d043cad -256 -256 -1 -0 -0 -8 -0x6ad8 -0xdd669488 -256 -256 -1 -0 -0 -9 -0xca23 -0xbbffe366 -256 -256 -1 -0 -0 -3 -0xe42a -0x36175621 -256 -256 -1 -0 -0 -9 -0xeabd -0xc3601657 -256 -256 -0 -0 -0 -8 -0x3176 -0x8f094b04 -256 -256 -0 -0 -0 -1 -0xf20e -0xebc2e519 -256 -256 -1 -0 -0 -2 -0x80e7 -0x5779fb72 -256 -256 -0 -0 -0 -3 -0x7baf -0xdcdb2d91 -256 -256 -0 -0 -0 -5 -0x9206 -0x5365589 -256 -256 -1 -0 -0 -3 -0xa4f1 -0xe9247e1c -256 -256 -1 -0 -0 -9 -0x2aae -0xdecce5c -256 -256 -1 -0 -0 -4 -0x7026 -0xc3e438bf -256 -256 -1 -0 -0 -2 -0xed77 -0xdbb427d4 -256 -256 -0 -0 -0 -10 -0x3baa -0x674d3d36 -256 -256 -1 -0 -0 -1 -0x4fba -0x19ed9c34 -256 -256 -0 -0 -0 -4 -0x972e -0x60d2829a -256 -256 -1 -0 -0 -9 -0xa1ca -0x9b830c25 -256 -256 -1 -0 -0 -10 -0x5507 -0xefc6ccb8 -256 -256 -1 -0 -0 -6 -0x2b27 -0x25aea6d8 -256 -256 -1 -0 -0 -8 -0x736d -0x727fc6d2 -256 -256 -1 -0 -0 -3 -0xf64f -0xe34ce321 -256 -256 -1 -0 -0 -9 -0x9235 -0xd6384d9a -256 -256 -0 -0 -0 -3 -0xdcac -0xaab4f9a9 -256 -256 -0 -0 -0 -5 -0x5b5c -0xd00b881f -256 -256 -0 -0 -0 -7 -0x22f9 -0xedee66ab -256 -256 -1 -0 -0 -1 -0xcdf9 -0x31b7231f -256 -256 -1 -0 -0 -8 -0xcf20 -0x90387f93 -256 -256 -0 -0 -0 -8 -0x87fe -0xf0fdf820 -256 -256 -1 -0 -0 -6 -0xcdb6 -0xe2bc2cb5 -256 -256 -0 -0 -0 -7 -0x5bd6 -0xf3dbbe32 -256 -256 -1 -0 -0 -4 -0x15e8 -0x363e1b4c -256 -256 -0 -0 -0 -1 -0xde21 -0xacf39499 -256 -256 -0 -0 -0 -5 -0x2ba9 -0x1f2a4055 -256 -256 -1 -0 -0 -1 -0x74df -0x1262a8d -256 -256 -0 -0 -0 -10 -0x5447 -0xe967de81 -256 -256 -1 -0 -0 -1 -0xc501 -0x10f39775 -256 -256 -0 -0 -0 -8 -0x834e -0xe0230ad5 -256 -256 -1 -0 -0 -4 -0xad08 -0xbb18d663 -256 -256 -0 -0 -0 -3 -0xf877 -0x852bbf1e -256 -256 -1 -0 -0 -1 -0x72b6 -0xd5f8b609 -256 -256 -0 -0 -0 -8 -0x9f0e -0x4d56c4f9 -256 -256 -0 -0 -0 -10 -0xe83 -0x7fb49b4b -256 -256 -0 -0 -0 -1 -0xd474 -0xdd25e4d2 -256 -256 -0 -0 -0 -6 -0x96ab -0x2bc8d4f3 -256 -256 -0 -0 -0 -10 -0x9578 -0x888d31d1 -256 -256 -1 -0 -0 -6 -0x49c8 -0xe3360e6a -256 -256 -1 -0 -0 -1 -0x1b42 -0x66d8ab32 -256 -256 -0 -0 -0 -8 -0x776a -0x23ca008a -256 -256 -0 -0 -0 -5 -0x9970 -0x2d083677 -256 -256 -1 -0 -0 -7 -0x8cd8 -0xc306cfe8 -256 -256 -1 -0 -0 -2 -0x7c0d -0xb79f3df1 -256 -256 -0 -0 -0 -5 -0x2465 -0x5304f75c -256 -256 -0 -0 -0 -8 -0x9c34 -0x554eb7e5 -256 -256 -0 -0 -0 -3 -0x63c8 -0xdad0504c -256 -256 -0 -0 -0 -6 -0x3a7c -0xd1935b11 -256 -256 -1 -0 -0 -8 -0x51bf -0xfdee0cc1 -256 -256 -1 -0 -0 -4 -0xf5b3 -0x837a8f7a -256 -256 -1 -0 -0 -10 -0x649c -0xef1d691b -256 -256 -0 -0 -0 -9 -0x1a4b -0xfcfa83a6 -256 -256 -1 -0 -0 -4 -0xa925 -0x77ba4b46 -256 -256 -0 -0 -0 -6 -0x8838 -0xa9602302 -256 -256 -0 -0 -0 -10 -0xd818 -0xadf622b6 -256 -256 -0 -0 -0 -1 -0x5978 -0xc8730238 -256 -256 -1 -0 -0 -6 -0x2810 -0x16fb8225 -256 -256 -1 -0 -0 -3 -0x4e6a -0x48edf400 -256 -256 -0 -0 -0 -2 -0x722d -0x501795d -256 -256 -0 -0 -0 -8 -0x69fe -0x49aa7edd -256 -256 -0 -0 -0 -6 -0xa25c -0xd29a7cd6 -256 -256 -1 -0 -0 -8 -0xafb5 -0xd491896b -256 -256 -1 -0 -0 -1 -0x33e5 -0xffcb93d9 -256 -256 -0 -0 -0 -8 -0xba33 -0xe4e85171 -256 -256 -1 -0 -0 -3 -0xed4 -0x5d0cc777 -256 -256 -0 -0 -0 -9 -0xc708 -0xffb0ed1a -256 -256 -1 -0 -0 -3 -0x1e88 -0x1c99ffd4 -256 -256 -0 -0 -0 -3 -0x2bfc -0xeb35e6db -256 -256 -1 -0 -0 -4 -0xf55e -0xd3272ffd -256 -256 -1 -0 -0 -10 -0xfe3e -0x893c75d2 -256 -256 -1 -0 -0 -5 -0x5dff -0x8209de9c -256 -256 -0 -0 -0 -5 -0xd1aa -0x23d8858d -256 -256 -0 -0 -0 -7 -0xf696 -0x2e20155c -256 -256 -1 -0 -0 -2 -0xd384 -0xd21a03e7 -256 -256 -1 -0 -0 -4 -0x4636 -0x2a5c0b50 -256 -256 -1 -0 -0 -6 -0xed61 -0x61655402 -256 -256 -0 -0 -0 -9 -0xb545 -0x4a6f2c46 -256 -256 -1 -0 -0 -6 -0x7167 -0x943f2ea2 -256 -256 -0 -0 -0 -10 -0x2dc9 -0x3b90f9b8 -256 -256 -1 -0 -0 -8 -0x1a87 -0x4dd12ec6 -256 -256 -1 -0 -0 -10 -0x272f -0x63bca406 -256 -256 -0 -0 -0 -7 -0x28 -0x18e37765 -256 -256 -1 -0 -0 -6 -0xa540 -0x2630d2f3 -256 -256 -1 -0 -0 -1 -0x641f -0x71bd9fc6 -256 -256 -0 -0 -0 -4 -0xf30 -0x9d18c805 -256 -256 -1 -0 -0 -2 -0x328f -0x682e5603 -256 -256 -0 -0 -0 -9 -0x6aa9 -0x8e30cc67 -256 -256 -0 -0 -0 -2 -0x472c -0x85c9d53e -256 -256 -0 -0 -0 -4 -0x47f0 -0x4b2f4ec8 -256 -256 -0 -0 -0 -7 -0x77f9 -0x5d6767df -256 -256 -1 -0 -0 -2 -0xdffa -0x43a47f85 -256 -256 -1 -0 -0 -2 -0x9dd1 -0xda1bb6c2 -256 -256 -1 -0 -0 -4 -0x2cb8 -0x81aa269 -256 -256 -1 -0 -0 -8 -0xcb8d -0x25df3fd6 -256 -256 -1 -0 -0 -1 -0x1e84 -0x226ba900 -256 -256 -0 -0 -0 -1 -0x8f8c -0xaa095273 -256 -256 -1 -0 -0 -2 -0x6305 -0x302fabfc -256 -256 -1 -0 -0 -2 -0x90df -0x2107fd62 -256 -256 -0 -0 -0 -4 -0x10 -0x28648790 -256 -256 -1 -0 -0 -5 -0xf78f -0xbc554de2 -256 -256 -0 -0 -0 -10 -0x6d7f -0x91e44da2 -256 -256 -0 -0 -0 -3 -0x464e -0x2c861fa7 -256 -256 -1 -0 -0 -2 -0x17f -0x634f4e96 -256 -256 -1 -0 -0 -5 -0x7b08 -0x35e6f5f8 -256 -256 -0 -0 -0 -4 -0xe24d -0xb859af84 -256 -256 -0 -0 -0 -1 -0x2555 -0xce058974 -256 -256 -1 -0 -0 -9 -0xa73f -0xd7420efe -256 -256 -1 -0 -0 -3 -0xdc61 -0x46e85a53 -256 -256 -0 -0 -0 -1 -0x6724 -0x6aa5288e -256 -256 -1 -0 -0 -4 -0xc6a9 -0xe903acc8 -256 -256 -0 -0 -0 -7 -0xf1ee -0x811e03af -256 -256 -1 -0 -0 -10 -0x92dc -0xb0f24715 -256 -256 -0 -0 -0 -1 -0xa248 -0x9917e354 -256 -256 -1 -0 -0 -9 -0xd112 -0x21b94aa1 -256 -256 -1 -0 -0 -1 -0x1958 -0x50b6fee9 -256 -256 -0 -0 -0 -5 -0xbfac -0xd93d3a67 -256 -256 -1 -0 -0 -1 -0x18c0 -0x63f6308d -256 -256 -0 -0 -0 -2 -0xdebe -0x9fa61f22 -256 -256 -0 -0 -0 -5 -0x5d29 -0x2bb76dcb -256 -256 -1 -0 -0 -10 -0x61fb -0xdbd833f5 -256 -256 -1 -0 -0 -6 -0x6203 -0xb75a74ab -256 -256 -1 -0 -0 -1 -0xec9f -0x65d8076 -256 -256 -1 -0 -0 -10 -0x4629 -0xe3ff61b3 -256 -256 -0 -0 -0 -7 -0x5571 -0x6c0d757f -256 -256 -1 -0 -0 -7 -0x9685 -0x470bfa3 -256 -256 -1 -0 -0 -8 -0x5f6b -0xde855399 -256 -256 -1 -0 -0 -3 -0xf57a -0xce0ae0ac -256 -256 -1 -0 -0 -10 -0x6dda -0x767d23b7 -256 -256 -0 -0 -0 -6 -0x258a -0xfd8cf17c -256 -256 -1 -0 -0 -7 -0x1b3c -0x711de091 -256 -256 -1 -0 -0 -4 -0x5b91 -0xda10157f -256 -256 -0 -0 -0 -8 -0xc1b6 -0x2dcd91ec -256 -256 -0 -0 -0 -4 -0xd7ea -0x41bb7653 -256 -256 -1 -0 -0 -7 -0xfe20 -0x8b07b216 -256 -256 -0 -0 -0 -5 -0x9639 -0xffc10a8e -256 -256 -0 -0 -0 -5 -0x1c86 -0xe904064f -256 -256 -1 -0 -0 -3 -0x6d7f -0xe5aba2e5 -256 -256 -0 -0 -0 -2 -0x3231 -0xcb841ed9 -256 -256 -0 -0 -0 -8 -0xadb9 -0xbaddc0b4 -256 -256 -1 -0 -0 -2 -0x77cc -0x71c9a476 -256 -256 -0 -0 -0 -1 -0x3cf4 -0x90bb6775 -256 -256 -0 -0 -0 -4 -0x8a99 -0xfd51965e -256 -256 -1 -0 -0 -9 -0x3a09 -0x939f7f73 -256 -256 -0 -0 -0 -10 -0xaff1 -0xcd5aa6ea -256 -256 -1 -0 -0 -4 -0x908e -0x18458f6b -256 -256 -0 -0 -0 -7 -0x7335 -0x428821c2 -256 -256 -1 -0 -0 -2 -0xdb72 -0x36ca1790 -256 -256 -1 -0 -0 -5 -0xe221 -0xa26ea95a -256 -256 -0 -0 -0 -7 -0x7e44 -0xcb03dca9 -256 -256 -1 -0 -0 -2 -0x653b -0x6cb2a50e -256 -256 -1 -0 -0 -1 -0xb2bc -0xf59eccea -256 -256 -1 -0 -0 -4 -0xc193 -0xf3b64e67 -256 -256 -0 -0 -0 -7 -0xdf8d -0xb7e1358 -256 -256 -0 -0 -0 -3 -0x14c2 -0xbf950f85 -256 -256 -0 -0 -0 -1 -0xe447 -0xfe89d461 -256 -256 -1 -0 -0 -9 -0xecf9 -0x3596f612 -256 -256 -0 -0 -0 -2 -0x2fde -0x871eed4f -256 -256 -1 -0 -0 -9 -0x2be0 -0x4c3e577a -256 -256 -1 -0 -0 -2 -0x7288 -0xb66454ff -256 -256 -1 -0 -0 -3 -0x1ab5 -0xe05172f -256 -256 -1 -0 -0 -8 -0x436d -0x7b979459 -256 -256 -1 -0 -0 -4 -0xfb20 -0x6edcb59e -256 -256 -0 -0 -0 -9 -0xd995 -0xfa60f11f -256 -256 -0 -0 -0 -6 -0x87d1 -0x94793c36 -256 -256 -1 -0 -0 -1 -0xb643 -0xc54be375 -256 -256 -1 -0 -0 -8 -0x5e6a -0x42d0181c -256 -256 -0 -0 -0 -3 -0x5702 -0xe51269ed -256 -256 -0 -0 -0 -7 -0x4383 -0x4b89f777 -256 -256 -1 -0 -0 -3 -0x7ac -0x7e948f7b -256 -256 -0 -0 -0 -4 -0x5424 -0xa763b5e5 -256 -256 -0 -0 -0 -9 -0x9cc7 -0xd55b4f8c -256 -256 -1 -0 -0 -3 -0x91f4 -0x9dfa2520 -256 -256 -0 -0 -0 -9 -0xc900 -0x1aad0ecd -256 -256 -1 -0 -0 -3 -0xfaf5 -0x6de85d82 -256 -256 -1 -0 -0 -4 -0x1b2f -0xc72da096 -256 -256 -1 -0 -0 -1 -0x1033 -0xa7b99459 -256 -256 -1 -0 -0 -9 -0x4e61 -0xf25510af -256 -256 -1 -0 -0 -1 -0x7e7c -0xbb8faeeb -256 -256 -1 -0 -0 -9 -0xff6b -0xf6cbbb7f -256 -256 -0 -0 -0 -7 -0xa2be -0xf289f767 -256 -256 -1 -0 -0 -10 -0x22b0 -0x90a54a5e -256 -256 -1 -0 -0 -2 -0x8e84 -0xc431f99c -256 -256 -0 -0 -0 -10 -0x908b -0xc84201c3 -256 -256 -0 -0 -0 -1 -0x23c1 -0x7eab60dc -256 -256 -0 -0 -0 -10 -0xad66 -0xbcaef97a -256 -256 -0 -0 -0 -9 -0x5f14 -0x220d57c9 -256 -256 -1 -0 -0 -4 -0x7bcf -0xde31553a -256 -256 -1 -0 -0 -6 -0x4b72 -0xa189f1a3 -256 -256 -1 -0 -0 -5 -0x1115 -0x57d0afef -256 -256 -1 -0 -0 -6 -0x7559 -0x6407f25a -256 -256 -0 -0 -0 -4 -0xf779 -0xf175b6a9 -256 -256 -1 -0 -0 -3 -0xe6fd -0x6224e64a -256 -256 -0 -0 -0 -3 -0x6ff8 -0x16a17c5c -256 -256 -1 -0 -0 -7 -0x8cdf -0x4767d46e -256 -256 -0 -0 -0 -10 -0x30f8 -0x533861ec -256 -256 -1 -0 -0 -5 -0x4e00 -0x5df5aea7 -256 -256 -1 -0 -0 -4 -0xb985 -0xab17f3bf -256 -256 -0 -0 -0 -10 -0x30e7 -0x7081323b -256 -256 -1 -0 -0 -8 -0x330a -0xc1e12fde -256 -256 -1 -0 -0 -2 -0x7f9f -0xa1dadbf3 -256 -256 -0 -0 -0 -10 -0x1d02 -0x6b340b88 -256 -256 -0 -0 -0 -9 -0x6976 -0x8bb44ead -256 -256 -0 -0 -0 -4 -0x22a6 -0x7f9b50e9 -256 -256 -1 -0 -0 -9 -0x9adf -0x8f6d890 -256 -256 -0 -0 -0 -10 -0x2a67 -0x46f83ef4 -256 -256 -0 -0 -0 -8 -0xef69 -0x880433a8 -256 -256 -1 -0 -0 -8 -0x8cdc -0xc87afd87 -256 -256 -1 -0 -0 -8 -0xae7c -0x7f8f3fd1 -256 -256 -1 -0 -0 -4 -0xdcb6 -0x7fc93361 -256 -256 -1 -0 -0 -3 -0x8910 -0x47ba6ca9 -256 -256 -1 -0 -0 -4 -0xa921 -0xe05f35d6 -256 -256 -1 -0 -0 -2 -0x2e6e -0xa1481aa3 -256 -256 -1 -0 -0 -6 -0xafd6 -0x49921b67 -256 -256 -0 -0 -0 -8 -0xa744 -0x918f3cd1 -256 -256 -1 -0 -0 -3 -0xff9d -0x3c0d77b -256 -256 -0 -0 -0 -1 -0xbc81 -0x81a02bf1 -256 -256 -0 -0 -0 -4 -0x318a -0xf73fa763 -256 -256 -0 -0 -0 -5 -0x74a6 -0x50a6f905 -256 -256 -0 -0 -0 -6 -0xca8e -0xb29a20c4 -256 -256 -0 -0 -0 -3 -0xf2fd -0xc0ab6496 -256 -256 -0 -0 -0 -2 -0x80c1 -0x342a1e4 -256 -256 -1 -0 -0 -4 -0x3736 -0x9e32446d -256 -256 -1 -0 -0 -7 -0xae56 -0x87c3f36 -256 -256 -1 -0 -0 -7 -0x76b6 -0xde186d4d -256 -256 -1 -0 -0 -6 -0x858a -0x895543c -256 -256 -0 -0 -0 -9 -0x1a29 -0xcba5c915 -256 -256 -0 -0 -0 -3 -0x60e4 -0xe6cd0703 -256 -256 -1 -0 -0 -8 -0xbbcd -0x9a4143c4 -256 -256 -0 -0 -0 -8 -0xffd -0x445f5d8b -256 -256 -0 -0 -0 -6 -0xa12c -0xd97f89ca -256 -256 -1 -0 -0 -1 -0x4c79 -0x1b6bcbcb -256 -256 -1 -0 -0 -7 -0x43c3 -0xb52e9c98 -256 -256 -1 -0 -0 -1 -0x5c3d -0xf41817ee -256 -256 -1 -0 -0 -1 -0xa9d5 -0xb73a2868 -256 -256 -0 -0 -0 -7 -0x2b63 -0xd5c4bc6f -256 -256 -0 -0 -0 -7 -0xe760 -0x5ea12375 -256 -256 -0 -0 -0 -2 -0x71c6 -0xb91149f4 -256 -256 -0 -0 -0 -9 -0xcdb1 -0x40312306 -256 -256 -1 -0 -0 -6 -0xfe4 -0xe1d36ffb -256 -256 -1 -0 -0 -2 -0x77c1 -0x6eea3cb6 -256 -256 -0 -0 -0 -6 -0xafeb -0xd96d8efb -256 -256 -1 -0 -0 -8 -0x45d4 -0xe30eae60 -256 -256 -0 -0 -0 -4 -0xce95 -0xaa542084 -256 -256 -1 -0 -0 -2 -0x5a6c -0xd7cb0a54 -256 -256 -0 -0 -0 -7 -0x53ab -0x592010cc -256 -256 -1 -0 -0 -10 -0xd5a3 -0xc9e5f539 -256 -256 -0 -0 -0 -6 -0x398f -0xd83b26a0 -256 -256 -0 -0 -0 -8 -0xf735 -0xb527ce18 -256 -256 -0 -0 -0 -9 -0x5b22 -0xae64ab5d -256 -256 -1 -0 -0 -1 -0x7879 -0xc4c0be2c -256 -256 -0 -0 -0 -7 -0x7c8d -0xb4259762 -256 -256 -0 -0 -0 -6 -0xe1e2 -0xe6e3038a -256 -256 -0 -0 -0 -1 -0xd516 -0xd232f7a8 -256 -256 -1 -0 -0 -7 -0xba5 -0xbbcee199 -256 -256 -0 -0 -0 -1 -0x5cfd -0x5172cbe7 -256 -256 -0 -0 -0 -8 -0xba0d -0x4a7f57be -256 -256 -1 -0 -0 -5 -0xb31a -0x1ba67e60 -256 -256 -1 -0 -0 -4 -0xebdd -0xd4f0bcda -256 -256 -1 -0 -0 -2 -0xf3d2 -0xa601bb7a -256 -256 -0 -0 -0 -9 -0x7459 -0x6a6125e6 -256 -256 -1 -0 -0 -4 -0x23dd -0x6f5dd203 -256 -256 -1 -0 -0 -1 -0xa077 -0xaec33190 -256 -256 -1 -0 -0 -10 -0x5937 -0x8d84ab78 -256 -256 -1 -0 -0 -4 -0xe5d6 -0x43b25bb6 -256 -256 -0 -0 -0 -8 -0x24fa -0x96c733ba -256 -256 -0 -0 -0 -2 -0x51a8 -0xfa35f742 -256 -256 -1 -0 -0 -5 -0xf81f -0x1661c580 -256 -256 -1 -0 -0 -3 -0x41dd -0x26b76ee1 -256 -256 -0 -0 -0 -6 -0x545f -0x82f3b07e -256 -256 -1 -0 -0 -4 -0x30f2 -0xe80b1c29 -256 -256 -1 -0 -0 -8 -0x89ee -0x652bf8c6 -256 -256 -0 -0 -0 -7 -0x9db7 -0x4eb3adcc -256 -256 -1 -0 -0 -10 -0x447f -0xfd9e0ea -256 -256 -0 -0 -0 -2 -0x95df -0xa1b5ef0 -256 -256 -0 -0 -0 -1 -0x65fb -0xaa975fda -256 -256 -1 -0 -0 -7 -0xa72d -0xa78df56e -256 -256 -0 -0 -0 -5 -0x719a -0xc41eef43 -256 -256 -1 -0 -0 -7 -0xab0c -0x4cb8b93c -256 -256 -1 -0 -0 -7 -0xb0b9 -0x785d5d5b -256 -256 -1 -0 -0 -3 -0xaf45 -0x7c0d13a6 -256 -256 -1 -0 -0 -1 -0x812a -0xdd29dd9c -256 -256 -1 -0 -0 -3 -0x9c87 -0x97189499 -256 -256 -0 -0 -0 -6 -0xf7d6 -0x44cf020e -256 -256 -1 -0 -0 -8 -0x9743 -0xa82479ef -256 -256 -1 -0 -0 -10 -0xd6bf -0x32051c2c -256 -256 -0 -0 -0 -2 -0xd98a -0xe5a10938 -256 -256 -0 -0 -0 -3 -0x95ac -0x3eec293d -256 -256 -1 -0 -0 -7 -0x830e -0x6b9ac73f -256 -256 -0 -0 -0 -2 -0x6408 -0xb1f789fe -256 -256 -0 -0 -0 -10 -0x32db -0x3e381011 -256 -256 -0 -0 -0 -4 -0x9b24 -0x11116424 -256 -256 -0 -0 -0 -5 -0xd7e5 -0x396a915a -256 -256 -1 -0 -0 -10 -0x3476 -0x505e8281 -256 -256 -1 -0 -0 -5 -0x1a5a -0x26dbe944 -256 -256 -1 -0 -0 -3 -0xda2f -0x49e9babb -256 -256 -0 -0 -0 -5 -0x6ec5 -0x4c3b6343 -256 -256 -1 -0 -0 -10 -0x6276 -0xf2077ed5 -256 -256 -1 -0 -0 -8 -0x1f91 -0x77cbb103 -256 -256 -1 -0 -0 -4 -0xe061 -0xac956965 -256 -256 -1 -0 -0 -5 -0xc9ec -0xee08ce47 -256 -256 -0 -0 -0 -9 -0xe8aa -0x32722668 -256 -256 -0 -0 -0 -3 -0x847e -0xab70bacb -256 -256 -1 -0 -0 -5 -0xa03a -0x33612fe9 -256 -256 -0 -0 -0 -8 -0x8ae1 -0xdcf38b43 -256 -256 -1 -0 -0 -7 -0x1048 -0x5afa3a55 -256 -256 -1 -0 -0 -3 -0x1352 -0x4b687a72 -256 -256 -1 -0 -0 -1 -0x2406 -0xdd2462b3 -256 -256 -1 -0 -0 -1 -0x707a -0x687183bb -256 -256 -1 -0 -0 -1 -0xac58 -0x50ded5f2 -256 -256 -0 -0 -0 -8 -0xbf1 -0x385819f4 -256 -256 -1 -0 -0 -6 -0xbcee -0x79d8cd1c -256 -256 -0 -0 -0 -5 -0x906a -0xa3be6962 -256 -256 -1 -0 -0 -2 -0xb521 -0x7f317456 -256 -256 -0 -0 -0 -8 -0x5b43 -0xb048d13b -256 -256 -1 -0 -0 -6 -0x518 -0x3c6bdaa -256 -256 -1 -0 -0 -1 -0xa027 -0x8b539af9 -256 -256 -1 -0 -0 -4 -0x6eb6 -0x3e3f5421 -256 -256 -1 -0 -0 -1 -0x8a85 -0xbd80c110 -256 -256 -0 -0 -0 -8 -0x29b4 -0x79536e32 -256 -256 -0 -0 -0 -4 -0x7229 -0xdb1dbf2b -256 -256 -1 -0 -0 -4 -0x7359 -0xa8ee1f94 -256 -256 -0 -0 -0 -7 -0xb5fc -0x39a0e8c7 -256 -256 -0 -0 -0 -1 -0x3d8a -0x99c03336 -256 -256 -1 -0 -0 -1 -0xa663 -0x602a6ab2 -256 -256 -1 -0 -0 -2 -0x52a1 -0xdcf9f8a3 -256 -256 -1 -0 -0 -2 -0xbae -0x7f7739d2 -256 -256 -0 -0 -0 -4 -0xaa18 -0x6b702929 -256 -256 -1 -0 -0 -6 -0x7640 -0x977d0262 -256 -256 -1 -0 -0 -1 -0x21b1 -0x55f90607 -256 -256 -0 -0 -0 -9 -0x4fd8 -0x873b1fbc -256 -256 -0 -0 -0 -4 -0x3a0b -0x50d64df8 -256 -256 -0 -0 -0 -4 -0x98df -0xc9a512de -256 -256 -0 -0 -0 -10 -0x2101 -0xab83e1ef -256 -256 -0 -0 -0 -2 -0x8511 -0xeefc6a6c -256 -256 -1 -0 -0 -8 -0x5845 -0x8c493a46 -256 -256 -0 -0 -0 -3 -0x41d1 -0x31c542d -256 -256 -0 -0 -0 -6 -0x5948 -0xa4df8080 -256 -256 -0 -0 -0 -4 -0x94d4 -0x11fc319c -256 -256 -1 -0 -0 -2 -0xd090 -0x341c2243 -256 -256 -1 -0 -0 -9 -0x8ffb -0x6604fa24 -256 -256 -0 -0 -0 -2 -0xd2d1 -0xba3beca6 -256 -256 -1 -0 -0 -5 -0x2bd6 -0xbaa7133d -256 -256 -0 -0 -0 -3 -0x787 -0xee57a43e -256 -256 -1 -0 -0 -3 -0xaa24 -0xf469f89b -256 -256 -1 -0 -0 -9 -0x9604 -0x9a18774e -256 -256 -1 -0 -0 -8 -0x4756 -0x3334801f -256 -256 -0 -0 -0 -10 -0x2fa5 -0x32d56f0c -256 -256 -0 -0 -0 -9 -0x1495 -0xdc68a536 -256 -256 -0 -0 -0 -10 -0xcad8 -0xe426ad0c -256 -256 -1 -0 -0 -4 -0x168d -0x2938e08b -256 -256 -1 -0 -0 -2 -0x796c -0xac8fce47 -256 -256 -1 -0 -0 -1 -0xc885 -0x870cd191 -256 -256 -0 -0 -0 -5 -0x5eb -0xc5b80b71 -256 -256 -1 -0 -0 -3 -0xd2c5 -0x75b78541 -256 -256 -1 -0 -0 -10 -0x12e1 -0x7defd8dc -256 -256 -1 -0 -0 -8 -0x80e9 -0x10a28b3f -256 -256 -0 -0 -0 -10 -0x6880 -0x1a7e5b93 -256 -256 -0 -0 -0 -7 -0x3b16 -0x716f6829 -256 -256 -1 -0 -0 -8 -0xb402 -0x60597d75 -256 -256 -0 -0 -0 -3 -0xb648 -0x8e0db1ad -256 -256 -0 -0 -0 -7 -0x10d1 -0xe77e0eef -256 -256 -0 -0 -0 -8 -0x71ff -0xbd9f81a6 -256 -256 -0 -0 -0 -9 -0xe7b6 -0x84e3f475 -256 -256 -0 -0 -0 -10 -0xc562 -0xb90d5612 -256 -256 -1 -0 -0 -10 -0x9dc3 -0x5eef8eda -256 -256 -1 -0 -0 -9 -0x4c86 -0xd700d175 -256 -256 -1 -0 -0 -6 -0x242d -0xa1e30b08 -256 -256 -0 -0 -0 -7 -0xe33f -0x22344f80 -256 -256 -1 -0 -0 -10 -0x6e1b -0xbb14ac03 -256 -256 -1 -0 -0 -7 -0xf9f7 -0x5ca68d33 -256 -256 -0 -0 -0 -5 -0xb63d -0xbcc65eb0 -256 -256 -0 -0 -0 -2 -0x6236 -0xc0f269ad -256 -256 -0 -0 -0 -6 -0xded4 -0x9abc410b -256 -256 -0 -0 -0 -9 -0xa3c8 -0xc5da4e3a -256 -256 -0 -0 -0 -4 -0xe19e -0x66d9c725 -256 -256 -0 -0 -0 -1 -0xed18 -0x371767ff -256 -256 -0 -0 -0 -5 -0x166c -0xdac15734 -256 -256 -1 -0 -0 -1 -0x38b -0xa9106449 -256 -256 -1 -0 -0 -4 -0x7de6 -0x3a36f0ee -256 -256 -1 -0 -0 -10 -0xef49 -0xbc0c7171 -256 -256 -1 -0 -0 -6 -0xaf51 -0x3a2e1022 -256 -256 -1 -0 -0 -5 -0xcf7f -0x97acb340 -256 -256 -1 -0 -0 -2 -0x8a3e -0x11cda67e -256 -256 -1 -0 -0 -10 -0x4b07 -0xef6a7031 -256 -256 -1 -0 -0 -1 -0xc468 -0x98b8aa2d -256 -256 -1 -0 -0 -4 -0xe1ef -0x79fd2484 -256 -256 -1 -0 -0 -2 -0x7f29 -0x7dc6e5e5 -256 -256 -0 -0 -0 -4 -0xe765 -0xaa3a17e4 -256 -256 -1 -0 -0 -10 -0x72ce -0x84cbe034 -256 -256 -1 -0 -0 -2 -0x9473 -0xc9dddb74 -256 -256 -1 -0 -0 -9 -0xc43c -0xcf09b3fa -256 -256 -0 -0 -0 -10 -0x46c2 -0x276ce94f -256 -256 -0 -0 -0 -4 -0x54d6 -0x8bc62c56 -256 -256 -0 -0 -0 -8 -0x37ce -0xc2f94bfa -256 -256 -0 -0 -0 -5 -0xce8c -0xdd1eab6 -256 -256 -1 -0 -0 -10 -0xac2d -0x65853ad0 -256 -256 -1 -0 -0 -7 -0x2a06 -0xeba54a61 -256 -256 -0 -0 -0 -5 -0x2862 -0x95155bc2 -256 -256 -0 -0 -0 -2 -0x7069 -0x703ab60d -256 -256 -0 -0 -0 -7 -0xfa61 -0xa70c235a -256 -256 -1 -0 -0 -1 -0x96c6 -0xae582170 -256 -256 -0 -0 -0 -10 -0x9cb5 -0xabd71922 -256 -256 -0 -0 -0 -9 -0x958f -0x2c2c9ef4 -256 -256 -0 -0 -0 -2 -0x3723 -0x15185d04 -256 -256 -1 -0 -0 -7 -0x2add -0xecf84532 -256 -256 -0 -0 -0 -7 -0x231 -0x3e6b2b16 -256 -256 -0 -0 -0 -2 -0xcca8 -0xce2da26f -256 -256 -1 -0 -0 -1 -0x4383 -0xab3e5560 -256 -256 -1 -0 -0 -5 -0xb3c6 -0x8349707 -256 -256 -0 -0 -0 -6 -0x3359 -0x1341c95d -256 -256 -0 -0 -0 -3 -0x78ba -0x69ec2846 -256 -256 -0 -0 -0 -7 -0x5a6c -0xdb793531 -256 -256 -1 -0 -0 -3 -0x3966 -0x743b8b14 -256 -256 -1 -0 -0 -6 -0x46c -0xa692b9d7 -256 -256 -1 -0 -0 -6 -0x69ab -0xb3038cb7 -256 -256 -0 -0 -0 -1 -0x8d8 -0xd5024d82 -256 -256 -1 -0 -0 -1 -0x14ca -0xfcaf8997 -256 -256 -1 -0 -0 -5 -0x3a26 -0xcf7a4a2 -256 -256 -0 -0 -0 -3 -0x877a -0x3dffd1f2 -256 -256 -1 -0 -0 -9 -0xc2ca -0xd71dd575 -256 -256 -0 -0 -0 -10 -0x8763 -0xd538295b -256 -256 -0 -0 -0 -1 -0xff11 -0x6ab88c4c -256 -256 -0 -0 -0 -4 -0x27a2 -0x3b278ebb -256 -256 -1 -0 -0 -7 -0xb817 -0xe16b991f -256 -256 -1 -0 -0 -7 -0xf247 -0x77a8366b -256 -256 -0 -0 -0 -4 -0x9e -0x4d8c9eba -256 -256 -0 -0 -0 -4 -0x6a2b -0x4c575a49 -256 -256 -0 -0 -0 -2 -0x67b6 -0xb644cad0 -256 -256 -1 -0 -0 -7 -0x85b0 -0xfccfee7e -256 -256 -1 -0 -0 -7 -0x1472 -0xd779a889 -256 -256 -0 -0 -0 -8 -0xb416 -0xb053a9fb -256 -256 -1 -0 -0 -7 -0x1580 -0x7f481503 -256 -256 -1 -0 -0 -9 -0xaf63 -0x40f0f32a -256 -256 -1 -0 -0 -2 -0x822a -0xe1ad0b2 -256 -256 -1 -0 -0 -3 -0x6ea2 -0x38e78ddb -256 -256 -0 -0 -0 -3 -0xbb48 -0x6ecd8d97 -256 -256 -1 -0 -0 -3 -0x883a -0x92c7c334 -256 -256 -0 -0 -0 -3 -0xb56c -0x962e59a3 -256 -256 -0 -0 -0 -6 -0x6dce -0x4ac67345 -256 -256 -1 -0 -0 -9 -0xd9d4 -0x3bcea7e2 -256 -256 -1 -0 -0 -5 -0xdc88 -0x3b2e65d0 -256 -256 -0 -0 -0 -7 -0xe0d8 -0xd04ffa7f -256 -256 -0 -0 -0 -6 -0xa5b8 -0x4ee7cd36 -256 -256 -0 -0 -0 -6 -0x5735 -0xdc31d027 -256 -256 -0 -0 -0 -9 -0xa318 -0xb015e89d -256 -256 -1 -0 -0 -4 -0x6583 -0x7ae49a96 -256 -256 -1 -0 -0 -5 -0xd52f -0x17704b67 -256 -256 -1 -0 -0 -3 -0x3c7c -0x3672980a -256 -256 -0 -0 -0 -7 -0xb81f -0xb375fe88 -256 -256 -1 -0 -0 -3 -0x845a -0x973db7f -256 -256 -0 -0 -0 -9 -0xd867 -0xe5d0c7a4 -256 -256 -0 -0 -0 -5 -0x26f8 -0x78d770c5 -256 -256 -0 -0 -0 -8 -0x3644 -0xf0e451c0 -256 -256 -0 -0 -0 -3 -0x3eed -0xa662cfb5 -256 -256 -1 -0 -0 -2 -0xe559 -0x8004d083 -256 -256 -1 -0 -0 -3 -0xa9d -0x27d6879d -256 -256 -0 -0 -0 -7 -0x6feb -0x8d17c7e -256 -256 -1 -0 -0 -4 -0xffbe -0x50a73425 -256 -256 -1 -0 -0 -4 -0x3191 -0xaf0382b -256 -256 -0 -0 -0 -4 -0x280e -0xbe2bc2ce -256 -256 -0 -0 -0 -7 -0x8b3a -0x62c565e2 -256 -256 -1 -0 -0 -10 -0xd9c9 -0x7d5a0e2 -256 -256 -0 -0 -0 -1 -0x7 -0x33b9d43e -256 -256 -0 -0 -0 -6 -0x7a11 -0x8ca29330 -256 -256 -1 -0 -0 -5 -0xea40 -0x839e9aa2 -256 -256 -1 -0 -0 -1 -0xb063 -0xf9fe99ef -256 -256 -0 -0 -0 -1 -0xbd69 -0x8bb0ca47 -256 -256 -0 -0 -0 -3 -0xb4b2 -0xf26cc749 -256 -256 -0 -0 -0 -4 -0x6e21 -0xdc82cbb8 -256 -256 -0 -0 -0 -7 -0x542d -0x3fcc0963 -256 -256 -0 -0 -0 -1 -0x330c -0x6d549390 -256 -256 -1 -0 -0 -6 -0xd505 -0x76ef1f9b -256 -256 -1 -0 -0 -3 -0x6bd1 -0xfb3882ce -256 -256 -1 -0 -0 -2 -0x1bb7 -0x26ce2579 -256 -256 -1 -0 -0 -9 -0x74c4 -0xd5a18403 -256 -256 -1 -0 -0 -6 -0x8888 -0xf2a1f1d9 -256 -256 -0 -0 -0 -2 -0x336a -0xafec32e8 -256 -256 -0 -0 -0 -1 -0xccec -0x789b4e5c -256 -256 -1 -0 -0 -10 -0xcde2 -0x51bf40c1 -256 -256 -0 -0 -0 -7 -0x825a -0x65af6512 -256 -256 -1 -0 -0 -10 -0xa6ad -0xdd1eb810 -256 -256 -0 -0 -0 -6 -0x68e9 -0x7c4e2b71 -256 -256 -0 -0 -0 -9 -0x9f62 -0xa1feb482 -256 -256 -1 -0 -0 -10 -0x4806 -0xb027b53f -256 -256 -1 -0 -0 -9 -0xffc7 -0x8bbdd719 -256 -256 -1 -0 -0 -3 -0x2a4 -0x5e43a008 -256 -256 -1 -0 -0 -8 -0x85f9 -0x780f713d -256 -256 -1 -0 -0 -1 -0xbf23 -0x6d00429 -256 -256 -0 -0 -0 -8 -0xdfc7 -0x2d424415 -256 -256 -0 -0 -0 -8 -0x8b64 -0xe4e8be12 -256 -256 -1 -0 -0 -10 -0xf49e -0x9e5a88b0 -256 -256 -1 -0 -0 -6 -0x4b6c -0x22223507 -256 -256 -1 -0 -0 -6 -0x53d6 -0x9e800f2b -256 -256 -1 -0 -0 -10 -0xd2e9 -0xc3a82a8f -256 -256 -0 -0 -0 -2 -0xce56 -0x1d4f8c58 -256 -256 -1 -0 -0 -1 -0x4e99 -0xd5ec671a -256 -256 -0 -0 -0 -8 -0x521a -0xaaf28bdc -256 -256 -0 -0 -0 -10 -0x7e6e -0xa697c278 -256 -256 -1 -0 -0 -10 -0xec5b -0x113271e8 -256 -256 -0 -0 -0 -3 -0xfe27 -0x8b9aa4b4 -256 -256 -0 -0 -0 -5 -0xd58b -0xbb5bd6 -256 -256 -0 -0 -0 -7 -0xebc -0xf24e80ab -256 -256 -0 -0 -0 -4 -0xb761 -0x26b3380e -256 -256 -1 -0 -0 -2 -0xc140 -0x9b174817 -256 -256 -0 -0 -0 -10 -0x849d -0xec65c77 -256 -256 -0 -0 -0 -6 -0x879a -0x12a219bc -256 -256 -1 -0 -0 -10 -0xb10b -0xa0c86d7c -256 -256 -0 -0 -0 -1 -0x8a21 -0x87cca427 -256 -256 -0 -0 -0 -9 -0xdbd -0x6ce8f107 -256 -256 -1 -0 -0 -10 -0x3844 -0xa2228177 -256 -256 -1 -0 -0 -8 -0x118e -0x29d6f249 -256 -256 -1 -0 -0 -6 -0x6e7a -0xbc0fb554 -256 -256 -0 -0 -0 -7 -0xca42 -0x47a9e070 -256 -256 -0 -0 -0 -10 -0xfb5c -0x765e7ddb -256 -256 -1 -0 -0 -2 -0xde22 -0x16db1c07 -256 -256 -0 -0 -0 -3 -0x5cf -0x9782c95d -256 -256 -0 -0 -0 -7 -0xd645 -0xfdb7587e -256 -256 -1 -0 -0 -10 -0x477e -0x486671 -256 -256 -1 -0 -0 -9 -0x30d7 -0x4b857b7 -256 -256 -0 -0 -0 -5 -0x8e3a -0x917c6d0a -256 -256 -0 -0 -0 -2 -0xab0c -0xa3ea446b -256 -256 -1 -0 -0 -2 -0x6d48 -0x46bc30c5 -256 -256 -1 -0 -0 -2 -0xf419 -0xb2274107 -256 -256 -0 -0 -0 -5 -0x76e3 -0x5bd13f9c -256 -256 -0 -0 -0 -8 -0xd4b1 -0xd8d28837 -256 -256 -1 -0 -0 -6 -0x8431 -0x985f841f -256 -256 -0 -0 -0 -8 -0xcdee -0x2b62aa8e -256 -256 -1 -0 -0 -4 -0x62fe -0xe61aaaeb -256 -256 -1 -0 -0 -2 -0xa771 -0xa9270c2a -256 -256 -0 -0 -0 -6 -0x21d8 -0xd6ffad3a -256 -256 -0 -0 -0 -1 -0x9e01 -0xe3d25955 -256 -256 -1 -0 -0 -2 -0x8ad2 -0x1c4a108b -256 -256 -1 -0 -0 -9 -0x4520 -0x716503fe -256 -256 -0 -0 -0 -3 -0x41fc -0x6321fe7f -256 -256 -1 -0 -0 -1 -0xa472 -0xce4e680a -256 -256 -1 -0 -0 -7 -0x81bb -0x41ca5a3d -256 -256 -0 -0 -0 -4 -0x8495 -0x9dfd27ec -256 -256 -1 -0 -0 -4 -0xb374 -0xe31f7111 -256 -256 -0 -0 -0 -3 -0x970e -0x27ee8a1e -256 -256 -0 -0 -0 -3 -0x1ee8 -0x260fd8d0 -256 -256 -0 -0 -0 -6 -0x596e -0xb94f5408 -256 -256 -0 -0 -0 -8 -0xa62a -0x67f6c502 -256 -256 -1 -0 -0 -3 -0xf5b0 -0xebb8e3f6 -256 -256 -1 -0 -0 -7 -0xe667 -0x5d69893 -256 -256 -1 -0 -0 -4 -0x5c7a -0xf79b9bc8 -256 -256 -1 -0 -0 -7 -0x20a9 -0x664d2183 -256 -256 -0 -0 -0 -7 -0x6cdd -0xd03ae08 -256 -256 -0 -0 -0 -2 -0xace -0xc680a273 -256 -256 -1 -0 -0 -2 -0xd199 -0xa68eca8a -256 -256 -1 -0 -0 -4 -0x7e63 -0xf800b059 -256 -256 -0 -0 -0 -1 -0x9baa -0x5c5cf228 -256 -256 -1 -0 -0 -9 -0xa923 -0xa8b522b -256 -256 -1 -0 -0 -1 -0x13e3 -0x2f3ae58c -256 -256 -1 -0 -0 -1 -0xee2a -0xe7f27b1e -256 -256 -1 -0 -0 -1 -0x8f52 -0x42013b60 -256 -256 -1 -0 -0 -5 -0xb2b7 -0x99559462 -256 -256 -0 -0 -0 -4 -0xe916 -0x75860bd3 -256 -256 -1 -0 -0 -6 -0x2007 -0xf7d4d8ff -256 -256 -1 -0 -0 -4 -0xb904 -0xd4f493dd -256 -256 -0 -0 -0 -6 -0xfa3d -0xd7769e72 -256 -256 -1 -0 -0 -2 -0x8f7c -0xc21a35cc -256 -256 -1 -0 -0 -8 -0xecb0 -0xed00154c -256 -256 -0 -0 -0 -2 -0xf81 -0x5e5900cb -256 -256 -1 -0 -0 -6 -0x2e8f -0x911cdfa6 -256 -256 -0 -0 -0 -7 -0x690e -0x2b31a46 -256 -256 -0 -0 -0 -2 -0x5da4 -0xd40287fc -256 -256 -1 -0 -0 -2 -0x2d4 -0x3702a900 -256 -256 -0 -0 -0 -1 -0x8b7b -0xb9c3be4a -256 -256 -1 -0 -0 -1 -0xbdd0 -0xe4a02924 -256 -256 -0 -0 -0 -10 -0xa76b -0x56d6a6c4 -256 -256 -0 -0 -0 -4 -0xe0f3 -0x3f022439 -256 -256 -0 -0 -0 -6 -0x45e -0xd507b2f1 -256 -256 -1 -0 -0 -4 -0x1685 -0x3b3ee8f7 -256 -256 -0 -0 -0 -8 -0x50a6 -0x70a366a7 -256 -256 -0 -0 -0 -9 -0x2d35 -0x649fc683 -256 -256 -1 -0 -0 -5 -0x1ba2 -0x4df71a56 -256 -256 -1 -0 -0 -7 -0xf9b3 -0x5103a137 -256 -256 -0 -0 -0 -5 -0x5405 -0x498087b1 -256 -256 -0 -0 -0 -8 -0x46ee -0xa660de71 -256 -256 -1 -0 -0 -2 -0xae50 -0x75faa8cb -256 -256 -1 -0 -0 -1 -0x802d -0x3b9ca5c -256 -256 -0 -0 -0 -6 -0xaefc -0x22db7a3e -256 -256 -0 -0 -0 -5 -0x4b68 -0x283bab6c -256 -256 -1 -0 -0 -2 -0x6ae2 -0xb3a296e2 -256 -256 -1 -0 -0 -2 -0x8444 -0x6ae91764 -256 -256 -1 -0 -0 -3 -0x4fb6 -0xc87b3d9a -256 -256 -0 -0 -0 -2 -0x20e2 -0x8cc6f754 -256 -256 -0 -0 -0 -4 -0xae9e -0x8aea4b0c -256 -256 -1 -0 -0 -8 -0x968e -0x99cbfb5e -256 -256 -1 -0 -0 -1 -0xca37 -0x2215c75f -256 -256 -0 -0 -0 -8 -0xf5aa -0x64833b6c -256 -256 -0 -0 -0 -3 -0x3441 -0x8c99f78c -256 -256 -0 -0 -0 -1 -0x3aee -0xb0a13cfd -256 -256 -1 -0 -0 -7 -0x1075 -0x226c8a2a -256 -256 -0 -0 -0 -4 -0xa002 -0xa168b15b -256 -256 -0 -0 -0 -8 -0xf3f4 -0xa34fcfb4 -256 -256 -0 -0 -0 -4 -0x7caa -0x39d00f7d -256 -256 -1 -0 -0 -10 -0x77ac -0x6fb886dc -256 -256 -1 -0 -0 -8 -0x72ac -0x4621357d -256 -256 -0 -0 -0 -7 -0xf1d0 -0x5e83959a -256 -256 -1 -0 -0 -8 -0x8d4e -0x441b6e81 -256 -256 -1 -0 -0 -7 -0x332c -0x6e8b0745 -256 -256 -1 -0 -0 -7 -0x2cc9 -0x19671425 -256 -256 -0 -0 -0 -8 -0x3ccf -0x50d668db -256 -256 -0 -0 -0 -7 -0x6edd -0xa57ec250 -256 -256 -0 -0 -0 -1 -0xc3f5 -0x59e0ec0a -256 -256 -0 -0 -0 -8 -0xa01d -0xa2b16032 -256 -256 -1 -0 -0 -1 -0xa946 -0xf44a836d -256 -256 -0 -0 -0 -9 -0x5c35 -0x65d74a3 -256 -256 -0 -0 -0 -4 -0x71b3 -0x707b1b90 -256 -256 -0 -0 -0 -6 -0xe5f -0xc8022982 -256 -256 -1 -0 -0 -3 -0x3b6e -0xd508fbeb -256 -256 -0 -0 -0 -7 -0x2108 -0xe04a6cca -256 -256 -0 -0 -0 -6 -0xbd72 -0x79863b34 -256 -256 -1 -0 -0 -4 -0x2eb2 -0x25debc2e -256 -256 -0 -0 -0 -10 -0x9848 -0x5ed4cb00 -256 -256 -1 -0 -0 -9 -0x4600 -0xdb2e4b54 -256 -256 -1 -0 -0 -6 -0x1b07 -0xb7591775 -256 -256 -0 -0 -0 -7 -0xa920 -0x4438bac7 -256 -256 -1 -0 -0 -1 -0xfcb9 -0xfbfe975e -256 -256 -0 -0 -0 -2 -0xebc6 -0x26efa136 -256 -256 -0 -0 -0 -10 -0x7ca9 -0x9ace7063 -256 -256 -1 -0 -0 -9 -0xe590 -0x13bf63cb -256 -256 -1 -0 -0 -7 -0x27bc -0x909a49b7 -256 -256 -1 -0 -0 -10 -0xa114 -0xa9d57849 -256 -256 -1 -0 -0 -2 -0x3492 -0xb35237c0 -256 -256 -1 -0 -0 -1 -0x666e -0xfb60e445 -256 -256 -0 -0 -0 -9 -0x4343 -0xaa8a89e7 -256 -256 -1 -0 -0 -9 -0xb85b -0x4732b64b -256 -256 -1 -0 -0 -5 -0xf7d6 -0x1bee9ca6 -256 -256 -1 -0 -0 -2 -0x3967 -0x73ed457e -256 -256 -1 -0 -0 -3 -0x7755 -0x9d7ab645 -256 -256 -0 -0 -0 -6 -0xb231 -0x9ab686a4 -256 -256 -0 -0 -0 -1 -0xde21 -0xa00119e5 -256 -256 -1 -0 -0 -3 -0x3a0d -0x1fa70d07 -256 -256 -1 -0 -0 -5 -0xdb65 -0xb3007894 -256 -256 -1 -0 -0 -10 -0xcd89 -0x5261048b -256 -256 -0 -0 -0 -5 -0xd0fb -0x7479f664 -256 -256 -1 -0 -0 -7 -0xacba -0x4fc1132c -256 -256 -1 -0 -0 -6 -0x3c32 -0x5ab52855 -256 -256 -0 -0 -0 -9 -0x32bd -0x9e4f4d9e -256 -256 -1 -0 -0 -4 -0x7670 -0xd918f8f5 -256 -256 -1 -0 -0 -6 -0xbfae -0xf3846050 -256 -256 -0 -0 -0 -5 -0xa86c -0x386fa435 -256 -256 -0 -0 -0 -3 -0xbc8a -0x55bcfffe -256 -256 -1 -0 -0 -10 -0x9c3e -0x42302110 -256 -256 -1 -0 -0 -5 -0x8121 -0x9ec16b49 -256 -256 -1 -0 -0 -6 -0xd87f -0xb3df8ebd -256 -256 -0 -0 -0 -10 -0x7360 -0x1ce554b0 -256 -256 -0 -0 -0 -1 -0x90d7 -0xf35de81d -256 -256 -1 -0 -0 -4 -0x86a3 -0x99738637 -256 -256 -1 -0 -0 -6 -0xe3d1 -0xcfbe996d -256 -256 -0 -0 -0 -7 -0xa669 -0xd74dfa90 -256 -256 -0 -0 -0 -9 -0x53d2 -0x666e8315 -256 -256 -0 -0 -0 -8 -0xec1f -0xb2c5b3e8 -256 -256 -1 -0 -0 -8 -0x5bc3 -0x80a918e5 -256 -256 -0 -0 -0 -7 -0xd285 -0xf7ef5c19 -256 -256 -1 -0 -0 -4 -0x106 -0x5d89fef8 -256 -256 -1 -0 -0 -1 -0x6385 -0x426257ac -256 -256 -1 -0 -0 -6 -0x65f2 -0xc794a00a -256 -256 -0 -0 -0 -6 -0x836b -0xe965a8b6 -256 -256 -1 -0 -0 -1 -0x560 -0x6b47d75e -256 -256 -1 -0 -0 -6 -0xb449 -0xb4ecf692 -256 -256 -0 -0 -0 -4 -0x3558 -0xb26e817c -256 -256 -0 -0 -0 -7 -0x218e -0x4b4d4b2a -256 -256 -1 -0 -0 -3 -0x771f -0xa26ef478 -256 -256 -1 -0 -0 -3 -0x5c71 -0x3c1cf877 -256 -256 -0 -0 -0 -10 -0x6dce -0x54d3583 -256 -256 -0 -0 -0 -6 -0xa255 -0xe3d8bee1 -256 -256 -0 -0 -0 -6 -0x8a8e -0x544a6dc3 -256 -256 -0 -0 -0 -9 -0xa1d8 -0xd64d32e5 -256 -256 -0 -0 -0 -9 -0x905f -0x9f4a7573 -256 -256 -1 -0 -0 -5 -0xa825 -0x3e62bd1b -256 -256 -0 -0 -0 -4 -0x186f -0x827938a5 -256 -256 -0 -0 -0 -8 -0xf278 -0xb86cb18b -256 -256 -0 -0 -0 -1 -0xb4f1 -0x33fe95b8 -256 -256 -0 -0 -0 -3 -0xc11f -0x96f14238 -256 -256 -0 -0 -0 -5 -0x6f75 -0xb15f3ef2 -256 -256 -0 -0 -0 -6 -0xb95e -0xa1f3b95 -256 -256 -1 -0 -0 -9 -0x37c2 -0xdb1b4b92 -256 -256 -1 -0 -0 -1 -0xe165 -0xe2f1909c -256 -256 -1 -0 -0 -9 -0x790f -0xd97ea92d -256 -256 -1 -0 -0 -2 -0x8b23 -0xb78fd8bd -256 -256 -0 -0 -0 -7 -0x1719 -0xc5d210aa -256 -256 -1 -0 -0 -4 -0xe66 -0x148a78dc -256 -256 -0 -0 -0 -1 -0x27a -0xe2dc86cc -256 -256 -1 -0 -0 -4 -0x4975 -0x12d34f0 -256 -256 -1 -0 -0 -8 -0x2bb1 -0x6ac28091 -256 -256 -0 -0 -0 -9 -0xf006 -0x730209a4 -256 -256 -1 -0 -0 -7 -0x732 -0x46bf4428 -256 -256 -0 -0 -0 -5 -0x9884 -0xa914e2da -256 -256 -1 -0 -0 -10 -0x30b9 -0x5e9f8ea4 -256 -256 -1 -0 -0 -6 -0xdc2a -0x84c6cf41 -256 -256 -1 -0 -0 -5 -0xde49 -0x4317719c -256 -256 -1 -0 -0 -1 -0xac7c -0x88703500 -256 -256 -0 -0 -0 -1 -0xae35 -0xdf2b1f4b -256 -256 -0 -0 -0 -8 -0x9e9a -0x4357673a -256 -256 -1 -0 -0 -3 -0xdffd -0x94d074a3 -256 -256 -0 -0 -0 -9 -0x35a3 -0xe3846cfd -256 -256 -1 -0 -0 -3 -0x5fae -0x6f2624de -256 -256 -0 -0 -0 -3 -0x8e4e -0x5cbe0c8e -256 -256 -1 -0 -0 -4 -0x447b -0xc3f59611 -256 -256 -0 -0 -0 -7 -0x278b -0xff6c2d61 -256 -256 -1 -0 -0 -5 -0xbc75 -0x7d060284 -256 -256 -0 -0 -0 -4 -0x1576 -0xf3d804b1 -256 -256 -0 -0 -0 -5 -0x5aad -0xfb1639 -256 -256 -0 -0 -0 -2 -0x6ec0 -0x50506283 -256 -256 -1 -0 -0 -7 -0x1218 -0x8579a8ac -256 -256 -1 -0 -0 -10 -0x36d1 -0xd077d124 -256 -256 -1 -0 -0 -2 -0xfa85 -0xba6715e9 -256 -256 -0 -0 -0 -5 -0xc193 -0xc78d8fcf -256 -256 -1 -0 -0 -3 -0x9306 -0xfe061c01 -256 -256 -1 -0 -0 -3 -0xd0a -0xd79de942 -256 -256 -0 -0 -0 -2 -0x1b20 -0xeba37307 -256 -256 -0 -0 -0 -3 -0xfb9e -0x5ba487ef -256 -256 -0 -0 -0 -3 -0xffdc -0xd24f9619 -256 -256 -0 -0 -0 -10 -0x7994 -0xfdb888cc -256 -256 -0 -0 -0 -9 -0x907e -0xf6cca676 -256 -256 -0 -0 -0 -8 -0xfd1c -0xe76c143 -256 -256 -0 -0 -0 -3 -0x4fb3 -0xf37a064e -256 -256 -1 -0 -0 -6 -0xa51a -0x52630135 -256 -256 -0 -0 -0 -3 -0x55b2 -0xedf609ba -256 -256 -0 -0 -0 -6 -0x9f49 -0x3be591d1 -256 -256 -0 -0 -0 -2 -0xa022 -0x740809c8 -256 -256 -1 -0 -0 -10 -0x8c36 -0xe82520ad -256 -256 -1 -0 -0 -3 -0xded3 -0xe2703933 -256 -256 -0 -0 -0 -2 -0x8f96 -0xaa4c24d8 -256 -256 -1 -0 -0 -1 -0xd46 -0x853bfe4e -256 -256 -1 -0 -0 -6 -0xadc2 -0xe15f3b1f -256 -256 -0 -0 -0 -1 -0x2e0d -0x1c21c397 -256 -256 -0 -0 -0 -4 -0xf13a -0xa389e0ad -256 -256 -0 -0 -0 -5 -0x1163 -0x9172d74a -256 -256 -1 -0 -0 -10 -0xb349 -0x41559d3e -256 -256 -1 -0 -0 -10 -0xf5f4 -0xd07039bd -256 -256 -0 -0 -0 -6 -0x4a18 -0xe0d0fefe -256 -256 -0 -0 -0 -1 -0x220 -0x8134c7c4 -256 -256 -0 -0 -0 -10 -0x99ff -0x779cec12 -256 -256 -0 -0 -0 -1 -0x1244 -0x33d22ffa -256 -256 -1 -0 -0 -2 -0x53ac -0x1dee8fd2 -256 -256 -0 -0 -0 -2 -0x59c4 -0xa10b1e77 -256 -256 -0 -0 -0 -2 -0xf9fa -0x4e73a048 -256 -256 -0 -0 -0 -4 -0x7c32 -0x27f9d7f0 -256 -256 -1 -0 -0 -4 -0x2f8c -0xe698104a -256 -256 -1 -0 -0 -10 -0xab44 -0xd07df4aa -256 -256 -1 -0 -0 -4 -0x744c -0x467fce30 -256 -256 -1 -0 -0 -6 -0x7058 -0xa252f0a3 -256 -256 -1 -0 -0 -9 -0xacd3 -0x1e955e7f -256 -256 -0 -0 -0 -10 -0xc13 -0x398b15cb -256 -256 -0 -0 -0 -6 -0xd4be -0x5197ecb6 -256 -256 -0 -0 -0 -3 -0xdcca -0x61e8626a -256 -256 -1 -0 -0 -6 -0x686d -0x47ef1526 -256 -256 -0 -0 -0 -5 -0x80fc -0x5b9a52d5 -256 -256 -1 -0 -0 -4 -0xea9c -0x864995f3 -256 -256 -0 -0 -0 -7 -0xdf2a -0xb84295e3 -256 -256 -1 -0 -0 -5 -0xa0f9 -0x55cb291a -256 -256 -0 -0 -0 -5 -0x1908 -0xc6d56c83 -256 -256 -0 -0 -0 -5 -0x6b7d -0x9c6c4fb6 -256 -256 -1 -0 -0 -9 -0x7ae -0x354896ed -256 -256 -0 -0 -0 -8 -0xdd0f -0x42247f0b -256 -256 -1 -0 -0 -9 -0x89d3 -0x4cb94051 -256 -256 -1 -0 -0 -3 -0x35ac -0xc9b1b5c7 -256 -256 -0 -0 -0 -6 -0x56e0 -0x931628cb -256 -256 -1 -0 -0 -10 -0xc35b -0x73110c2 -256 -256 -1 -0 -0 -9 -0x1ea -0x27676950 -256 -256 -1 -0 -0 -4 -0xd606 -0x26275e10 -256 -256 -1 -0 -0 -7 -0x190c -0x576abc37 -256 -256 -0 -0 -0 -9 -0x3310 -0x93d792ab -256 -256 -0 -0 -0 -7 -0xb9d6 -0xc174753b -256 -256 -1 -0 -0 -7 -0x318a -0x439918 -256 -256 -0 -0 -0 -2 -0x9718 -0x981cf14 -256 -256 -0 -0 -0 -5 -0x9c7e -0x131b7b19 -256 -256 -0 -0 -0 -2 -0xf3ee -0xe0863bd2 -256 -256 -0 -0 -0 -7 -0x8400 -0x6b75adab -256 -256 -0 -0 -0 -3 -0x92e8 -0x8108e43d -256 -256 -1 -0 -0 -5 -0xe523 -0x7d27a1e -256 -256 -1 -0 -0 -1 -0xdd2 -0x6ecca9a2 -256 -256 -0 -0 -0 -1 -0x9060 -0xf038355a -256 -256 -1 -0 -0 -7 -0x3cd -0x4f81cd8f -256 -256 -1 -0 -0 -5 -0x9671 -0x5caf891e -256 -256 -1 -0 -0 -10 -0x3105 -0x6ec8fecc -256 -256 -0 -0 -0 -5 -0x6e77 -0xccfedc31 -256 -256 -0 -0 -0 -10 -0x733f -0xadedcb3f -256 -256 -1 -0 -0 -5 -0x2007 -0x9beaf262 -256 -256 -1 -0 -0 -7 -0xda87 -0x8f8d2b1a -256 -256 -1 -0 -0 -8 -0xfe43 -0x92eefd44 -256 -256 -1 -0 -0 -9 -0xf49f -0x1c18d367 -256 -256 -1 -0 -0 -10 -0xea20 -0xdf4d897b -256 -256 -1 -0 -0 -5 -0x7346 -0x8417fedf -256 -256 -0 -0 -0 -8 -0x5c9e -0xa2be1835 -256 -256 -1 -0 -0 -9 -0xe5e6 -0xa72a65c5 -256 -256 -0 -0 -0 -8 -0x8213 -0x2333e1f4 -256 -256 -0 -0 -0 -4 -0x2c8b -0x7d305706 -256 -256 -0 -0 -0 -1 -0x8bc9 -0xe7c405d6 -256 -256 -0 -0 -0 -1 -0x2ce1 -0xe3dd9bc5 -256 -256 -1 -0 -0 -1 -0x3f4c -0x91617b8d -256 -256 -0 -0 -0 -9 -0x3e8c -0x361ac313 -256 -256 -1 -0 -0 -2 -0x6a1f -0x539a157 -256 -256 -1 -0 -0 -10 -0x7c31 -0xde6e8282 -256 -256 -0 -0 -0 -1 -0x5f92 -0x5184490d -256 -256 -1 -0 -0 -4 -0xa00c -0x234f4e32 -256 -256 -1 -0 -0 -7 -0xc39b -0x6aa6d0c2 -256 -256 -1 -0 -0 -4 -0x4a29 -0x4e135bad -256 -256 -1 -0 -0 -6 -0x6b8f -0x5302aa3c -256 -256 -1 -0 -0 -9 -0xa516 -0x5aaf1b0 -256 -256 -0 -0 -0 -5 -0xbcf8 -0x84cc5180 -256 -256 -0 -0 -0 -10 -0x9128 -0x266ec886 -256 -256 -1 -0 -0 -7 -0x31f5 -0x54abe7be -256 -256 -1 -0 -0 -2 -0x63f0 -0x9d24b73f -256 -256 -0 -0 -0 -4 -0x90c5 -0xce59ed3d -256 -256 -1 -0 -0 -1 -0x785c -0x2bd761dd -256 -256 -1 -0 -0 -8 -0xabc4 -0x444f5a3f -256 -256 -0 -0 -0 -2 -0xb8a3 -0x307ccb34 -256 -256 -1 -0 -0 -5 -0xae24 -0x7ee07ca6 -256 -256 -1 -0 -0 -3 -0x2c1a -0x32ce6db0 -256 -256 -1 -0 -0 -4 -0x6591 -0x8416b67d -256 -256 -0 -0 -0 -3 -0xc9c0 -0xa9dc6bc1 -256 -256 -1 -0 -0 -4 -0x1221 -0x46adaa4 -256 -256 -1 -0 -0 -7 -0x9ea6 -0xf12eb3c2 -256 -256 -1 -0 -0 -8 -0x21b0 -0x8e3c47ce -256 -256 -1 -0 -0 -5 -0x46d -0x57543014 -256 -256 -1 -0 -0 -8 -0x94c8 -0x47244e38 -256 -256 -1 -0 -0 -7 -0xa32e -0x4b9257e -256 -256 -0 -0 -0 -8 -0x98e5 -0xa0e0b5b5 -256 -256 -0 -0 -0 -5 -0xabcc -0xf1143da9 -256 -256 -0 -0 -0 -8 -0xbe07 -0x82fb1d82 -256 -256 -1 -0 -0 -2 -0x6d5a -0x85178112 -256 -256 -0 -0 -0 -10 -0xedb3 -0x985d1e63 -256 -256 -0 -0 -0 -2 -0x64a9 -0x76c18146 -256 -256 -1 -0 -0 -3 -0x2c9c -0xa49f096 -256 -256 -0 -0 -0 -3 -0x64ab -0x742d5976 -256 -256 -1 -0 -0 -5 -0xe78c -0xd41d9d16 -256 -256 -1 -0 -0 -5 -0xdfcf -0x57d4b106 -256 -256 -1 -0 -0 -10 -0xd903 -0x5152dcb -256 -256 -1 -0 -0 -3 -0xd78d -0x7c3a5319 -256 -256 -1 -0 -0 -6 -0x633b -0x16cb76a4 -256 -256 -1 -0 -0 -1 -0x2bda -0xb02fd49e -256 -256 -1 -0 -0 -8 -0xa14c -0x60ed533a -256 -256 -0 -0 -0 -10 -0x9c77 -0xef5f2bd -256 -256 -0 -0 -0 -2 -0x18f6 -0xe6b65fba -256 -256 -0 -0 -0 -6 -0x3af9 -0xd351052e -256 -256 -1 -0 -0 -3 -0x5a2c -0x13365415 -256 -256 -0 -0 -0 -4 -0x6387 -0x5bb6be77 -256 -256 -0 -0 -0 -10 -0x41e3 -0xc13eb4a1 -256 -256 -0 -0 -0 -6 -0x27e2 -0x70d04006 -256 -256 -0 -0 -0 -3 -0x7638 -0xfb6e1937 -256 -256 -1 -0 -0 -7 -0x9001 -0x45ec9acd -256 -256 -0 -0 -0 -6 -0xf4ca -0x6ae5d778 -256 -256 -0 -0 -0 -5 -0x90b7 -0xbaaa0831 -256 -256 -1 -0 -0 -2 -0x131d -0x971dc178 -256 -256 -0 -0 -0 -4 -0xb43d -0xd536cba7 -256 -256 -1 -0 -0 -4 -0xa6fb -0x6bbc1db6 -256 -256 -0 -0 -0 -9 -0xb7c3 -0x4a2a603f -256 -256 -0 -0 -0 -1 -0x1e54 -0x8ab9a8a8 -256 -256 -1 -0 -0 -2 -0x1cb5 -0x99a1d457 -256 -256 -0 -0 -0 -3 -0x6814 -0x2c938359 -256 -256 -0 -0 -0 -2 -0x666a -0xd38dcf80 -256 -256 -0 -0 -0 -2 -0x38ba -0x607452e5 -256 -256 -0 -0 -0 -1 -0xd646 -0xceb611dd -256 -256 -1 -0 -0 -9 -0x386e -0xf3883a5 -256 -256 -0 -0 -0 -7 -0xa294 -0xb7edd937 -256 -256 -1 -0 -0 -10 -0x3822 -0xf436ada -256 -256 -0 -0 -0 -10 -0x3055 -0x49725021 -256 -256 -0 -0 -0 -10 -0x3cf6 -0x341d9136 -256 -256 -1 -0 -0 -2 -0x2327 -0x8a0241ce -256 -256 -0 -0 -0 -6 -0xb923 -0xd506616e -256 -256 -1 -0 -0 -2 -0x3456 -0xd0207d69 -256 -256 -1 -0 -0 -6 -0x2df9 -0x512a6daf -256 -256 -0 -0 -0 -3 -0xb38a -0x7244c4d9 -256 -256 -0 -0 -0 -8 -0x6747 -0xc799e31f -256 -256 -0 -0 -0 -8 -0x67dc -0xe906cc48 -256 -256 -0 -0 -0 -6 -0x2821 -0x8e726ab1 -256 -256 -0 -0 -0 -7 -0xcc1b -0xa9ca6d89 -256 -256 -1 -0 -0 -9 -0x784b -0x56419474 -256 -256 -0 -0 -0 -5 -0xb8 -0x7dfcfabd -256 -256 -1 -0 -0 -3 -0x80a -0x6f2ad15e -256 -256 -1 -0 -0 -4 -0x23cb -0xad690364 -256 -256 -0 -0 -0 -4 -0x49d2 -0x167b648a -256 -256 -0 -0 -0 -9 -0xcd12 -0xeedd5281 -256 -256 -0 -0 -0 -7 -0x4b60 -0xafd8e9f6 -256 -256 -0 -0 -0 -1 -0xc2e8 -0x4781b705 -256 -256 -1 -0 -0 -3 -0x517f -0x3d6bf952 -256 -256 -0 -0 -0 -6 -0x392e -0x8a8539f9 -256 -256 -0 -0 -0 -4 -0x1921 -0x28bf7232 -256 -256 -1 -0 -0 -8 -0x46fa -0xfe271cfe -256 -256 -0 -0 -0 -4 -0x6660 -0xf2d40557 -256 -256 -1 -0 -0 -4 -0x9127 -0x1756a83c -256 -256 -0 -0 -0 -6 -0xa15e -0xed16a449 -256 -256 -1 -0 -0 -10 -0x4ce4 -0xc8cbb3a6 -256 -256 -1 -0 -0 -2 -0xb64c -0x4f1702a9 -256 -256 -1 -0 -0 -5 -0xcf59 -0x4f90a529 -256 -256 -1 -0 -0 -6 -0x4b09 -0xf336aacc -256 -256 -1 -0 -0 -5 -0xc86f -0xd69ce100 -256 -256 -1 -0 -0 -6 -0x6342 -0x809ec8d7 -256 -256 -1 -0 -0 -7 -0x9c47 -0x8f0d6a0b -256 -256 -1 -0 -0 -10 -0xd9f8 -0x71a62b4d -256 -256 -0 -0 -0 -1 -0xf108 -0xf620ca5a -256 -256 -1 -0 -0 -4 -0x89e4 -0x5565de5a -256 -256 -0 -0 -0 -1 -0xa279 -0x831d7968 -256 -256 -1 -0 -0 -1 -0xde24 -0x42716a6b -256 -256 -0 -0 -0 -6 -0x80c3 -0x6dd2eff7 -256 -256 -1 -0 -0 -5 -0x7d3e -0x59190414 -256 -256 -1 -0 -0 -8 -0x8aaa -0xcee70e35 -256 -256 -1 -0 -0 -2 -0xd52e -0x585173e6 -256 -256 -0 -0 -0 -5 -0xeeaa -0x3c492061 -256 -256 -0 -0 -0 -7 -0xe8f6 -0xf74fcddb -256 -256 -0 -0 -0 -5 -0x89e1 -0xb3f53892 -256 -256 -0 -0 -0 -10 -0x97c5 -0xd5d4d282 -256 -256 -0 -0 -0 -4 -0xab75 -0xf0025373 -256 -256 -0 -0 -0 -2 -0x7a5d -0xf838cd80 -256 -256 -0 -0 -0 -10 -0x2a9d -0x1b5552ba -256 -256 -1 -0 -0 -5 -0x1054 -0xee01078b -256 -256 -0 -0 -0 -6 -0x7dbc -0x7e099543 -256 -256 -0 -0 -0 -4 -0x813d -0xb33b364d -256 -256 -1 -0 -0 -6 -0xf739 -0x8415dec3 -256 -256 -1 -0 -0 -3 -0xa5cc -0x624a6b78 -256 -256 -0 -0 -0 -5 -0xeb71 -0x14b29f1d -256 -256 -1 -0 -0 -9 -0x7517 -0x69bcee8b -256 -256 -1 -0 -0 -7 -0x81fa -0x502803ec -256 -256 -1 -0 -0 -3 -0xc2c3 -0x2dedbc4d -256 -256 -0 -0 -0 -7 -0x53d8 -0x4804ed30 -256 -256 -1 -0 -0 -1 -0x7320 -0xa861dae7 -256 -256 -1 -0 -0 -4 -0xf434 -0xb0e0106f -256 -256 -0 -0 -0 -8 -0xcfc8 -0x89150024 -256 -256 -1 -0 -0 -10 -0x43a7 -0x9be685b4 -256 -256 -0 -0 -0 -2 -0x3ddc -0xa58a0e4f -256 -256 -0 -0 -0 -9 -0x6205 -0x976b40e1 -256 -256 -0 -0 -0 -2 -0x9dee -0x9741f6c9 -256 -256 -1 -0 -0 -5 -0x9b25 -0xfafcb66a -256 -256 -1 -0 -0 -1 -0x696 -0xcad38581 -256 -256 -0 -0 -0 -5 -0xdc71 -0x20552ece -256 -256 -1 -0 -0 -3 -0x6b49 -0xf0a85ccf -256 -256 -0 -0 -0 -5 -0x44cd -0xd17a6a63 -256 -256 -0 -0 -0 -10 -0x44ac -0xd2c0d856 -256 -256 -0 -0 -0 -8 -0x279c -0x1ccfbcee -256 -256 -1 -0 -0 -9 -0x6e6b -0x986051a2 -256 -256 -0 -0 -0 -8 -0xaa80 -0x6566b46d -256 -256 -1 -0 -0 -10 -0xcb2 -0x98ff6511 -256 -256 -1 -0 -0 -10 -0xeead -0x2365032c -256 -256 -0 -0 -0 -2 -0x3344 -0xb9e25c68 -256 -256 -1 -0 -0 -6 -0xb9fd -0x886ed6ed -256 -256 -1 -0 -0 -9 -0x40a5 -0xcb5f82f6 -256 -256 -0 -0 -0 -2 -0x8851 -0xe944b4cc -256 -256 -1 -0 -0 -9 -0x68b8 -0x3879aa27 -256 -256 -1 -0 -0 -6 -0xc563 -0xfee3c708 -256 -256 -0 -0 -0 -10 -0x6143 -0xfab2c7f3 -256 -256 -1 -0 -0 -5 -0x3f4c -0x9ed45131 -256 -256 -1 -0 -0 -2 -0xa566 -0xc823cee8 -256 -256 -0 -0 -0 -4 -0x5f16 -0xef77ceb3 -256 -256 -1 -0 -0 -3 -0x5895 -0x73c0934f -256 -256 -1 -0 -0 -8 -0x3c2 -0x98c76dae -256 -256 -0 -0 -0 -4 -0x2cb1 -0x533ec644 -256 -256 -0 -0 -0 -4 -0x2a42 -0x7d95f73d -256 -256 -1 -0 -0 -5 -0xc1db -0x1d1c3487 -256 -256 -1 -0 -0 -2 -0xa828 -0x3b9c5839 -256 -256 -0 -0 -0 -8 -0xde33 -0x7dfe36e9 -256 -256 -1 -0 -0 -3 -0xe927 -0x690c5653 -256 -256 -0 -0 -0 -5 -0x9fbb -0x59a4342b -256 -256 -1 -0 -0 -9 -0x78b6 -0x8242f026 -256 -256 -1 -0 -0 -5 -0x8e4e -0x55c86cb1 -256 -256 -0 -0 -0 -3 -0x7e36 -0xc4db4481 -256 -256 -0 -0 -0 -3 -0x385c -0xae11778d -256 -256 -1 -0 -0 -8 -0x64eb -0x89d61b33 -256 -256 -0 -0 -0 -2 -0xba52 -0xa7fadd9 -256 -256 -0 -0 -0 -2 -0x4d7a -0xa8cecf1e -256 -256 -0 -0 -0 -3 -0xb1c1 -0x203f5e84 -256 -256 -0 -0 -0 -7 -0x78d8 -0x44769e20 -256 -256 -1 -0 -0 -5 -0x1631 -0x93fb547a -256 -256 -1 -0 -0 -8 -0x76a6 -0xc4073c9d -256 -256 -1 -0 -0 -2 -0xba7a -0x67ac0ae -256 -256 -1 -0 -0 -2 -0xc936 -0xb4e2c022 -256 -256 -0 -0 -0 -10 -0x85c0 -0x5bb0e682 -256 -256 -0 -0 -0 -4 -0x53b6 -0xb0e88888 -256 -256 -0 -0 -0 -6 -0xd770 -0xb1de3d11 -256 -256 -0 -0 -0 -9 -0x8249 -0x10ef6f49 -256 -256 -1 -0 -0 -4 -0xf1d0 -0x31d87831 -256 -256 -0 -0 -0 -2 -0x53cf -0x5f46cf06 -256 -256 -0 -0 -0 -8 -0x9007 -0x4319c51b -256 -256 -0 -0 -0 -1 -0x22ea -0xf9c46df7 -256 -256 -0 -0 -0 -3 -0x8266 -0xa99a35e -256 -256 -1 -0 -0 -10 -0xb0c2 -0x7570c9d -256 -256 -0 -0 -0 -10 -0x150c -0x48bfbb96 -256 -256 -1 -0 -0 -3 -0x16c1 -0x6f7cd6b0 -256 -256 -0 -0 -0 -8 -0x264e -0xa6f19cc8 -256 -256 -1 -0 -0 -8 -0x6277 -0x91281605 -256 -256 -0 -0 -0 -5 -0x9791 -0x83bd473e -256 -256 -1 -0 -0 -2 -0xbfb6 -0x12c845c1 -256 -256 -1 -0 -0 -5 -0x1c78 -0x55c355bb -256 -256 -0 -0 -0 -9 -0xa33b -0x63c00b4d -256 -256 -0 -0 -0 -6 -0x7464 -0x1cba090f -256 -256 -0 -0 -0 -9 -0x1cea -0x2bd81670 -256 -256 -0 -0 -0 -8 -0x7b93 -0x8ad6a627 -256 -256 -0 -0 -0 -8 -0x1da1 -0xb9e4d722 -256 -256 -0 -0 -0 -9 -0xadf9 -0xd1fc3e4a -256 -256 -1 -0 -0 -4 -0x1267 -0x976c634e -256 -256 -0 -0 -0 -8 -0xbb49 -0x82af1cfa -256 -256 -1 -0 -0 -7 -0x9e15 -0xc5198d0d -256 -256 -0 -0 -0 -6 -0xb978 -0x2a66d429 -256 -256 -0 -0 -0 -2 -0xe514 -0xba9bf8f9 -256 -256 -1 -0 -0 -7 -0x84d2 -0x8f9debc4 -256 -256 -1 -0 -0 -2 -0x9129 -0x49d2403e -256 -256 -1 -0 -0 -3 -0x8bc6 -0x3831c8ba -256 -256 -0 -0 -0 -4 -0x1057 -0x840f2075 -256 -256 -1 -0 -0 -3 -0xfd86 -0x729ab37d -256 -256 -1 -0 -0 -1 -0xd868 -0xa52ade29 -256 -256 -0 -0 -0 -10 -0x4717 -0x92308e6f -256 -256 -0 -0 -0 -2 -0xf5c -0x4ccf27ac -256 -256 -1 -0 -0 -8 -0xa01b -0xcf5f4ed1 -256 -256 -1 -0 -0 -10 -0x9d5 -0xca7f5628 -256 -256 -0 -0 -0 -5 -0xc76 -0x4ce7cbb7 -256 -256 -1 -0 -0 -1 -0xce05 -0xfb0ee254 -256 -256 -1 -0 -0 -8 -0x5cec -0x8c077138 -256 -256 -0 -0 -0 -6 -0x83d4 -0x50ab4937 -256 -256 -0 -0 -0 -7 -0x9cc2 -0x704c00ae -256 -256 -1 -0 -0 -1 -0xceef -0xf6775bfb -256 -256 -0 -0 -0 -1 -0x68f5 -0x9fa08520 -256 -256 -1 -0 -0 -2 -0x30dd -0xc08acd1e -256 -256 -1 -0 -0 -9 -0x33d8 -0x65b10894 -256 -256 -0 -0 -0 -5 -0xe603 -0x3dfa9d7b -256 -256 -0 -0 -0 -5 -0xa5cd -0x501d0410 -256 -256 -1 -0 -0 -1 -0x20ac -0x7845524b -256 -256 -1 -0 -0 -4 -0x3b10 -0x1ab6cd28 -256 -256 -0 -0 -0 -1 -0xd0a4 -0x254771b8 -256 -256 -0 -0 -0 -4 -0x88a2 -0x1739e350 -256 -256 -0 -0 -0 -10 -0x9540 -0x58ae796b -256 -256 -1 -0 -0 -4 -0x2f4c -0xdaf7fa17 -256 -256 -1 -0 -0 -6 -0x8d10 -0xf945aa2f -256 -256 -1 -0 -0 -8 -0x5c15 -0xc6f3396f -256 -256 -0 -0 -0 -5 -0xa03f -0xde373dae -256 -256 -0 -0 -0 -1 -0xbede -0x14e0cd76 -256 -256 -0 -0 -0 -5 -0x4464 -0xd6d79412 -256 -256 -0 -0 -0 -3 -0xf97c -0xecb72b72 -256 -256 -0 -0 -0 -3 -0x4697 -0xb7982cb5 -256 -256 -0 -0 -0 -5 -0x7696 -0x1cc88a4a -256 -256 -1 -0 -0 -5 -0xc70a -0xa85fd8f2 -256 -256 -0 -0 -0 -5 -0x7bfb -0x2eec6875 -256 -256 -0 -0 -0 -8 -0x920b -0xba039d14 -256 -256 -1 -0 -0 -7 -0x2768 -0x65bf1ad0 -256 -256 -0 -0 -0 -10 -0x9a63 -0x53d71e4f -256 -256 -0 -0 -0 -7 -0x55a5 -0x14fd6e30 -256 -256 -1 -0 -0 -3 -0x3665 -0x3412186a -256 -256 -1 -0 -0 -8 -0xb315 -0xfe1dd937 -256 -256 -1 -0 -0 -6 -0xb56c -0xd3cc298d -256 -256 -1 -0 -0 -3 -0xe2f3 -0xeb6cfc6d -256 -256 -0 -0 -0 -2 -0xd5a2 -0xdfe180bf -256 -256 -0 -0 -0 -2 -0x994b -0xe7d9716e -256 -256 -1 -0 -0 -2 -0x581b -0x8e438325 -256 -256 -0 -0 -0 -5 -0xbe60 -0xd97bb895 -256 -256 -1 -0 -0 -5 -0x1525 -0x79698932 -256 -256 -0 -0 -0 -9 -0xe3e1 -0x50494a2f -256 -256 -1 -0 -0 -6 -0xd8f9 -0xab366cc -256 -256 -1 -0 -0 -10 -0xd774 -0x4c98ac83 -256 -256 -0 -0 -0 -6 -0x473f -0xabd75079 -256 -256 -1 -0 -0 -9 -0x6dfd -0x9815a378 -256 -256 -1 -0 -0 -9 -0x41b -0x5c91e5bc -256 -256 -0 -0 -0 -9 -0xfd41 -0x4d6e1418 -256 -256 -0 -0 -0 -10 -0x4dfa -0xf5266df9 -256 -256 -0 -0 -0 -9 -0x41f6 -0x4eaff354 -256 -256 -0 -0 -0 -6 -0x932b -0x87f79eb2 -256 -256 -1 -0 -0 -7 -0x8dd7 -0xbe47e4ea -256 -256 -1 -0 -0 -2 -0x8582 -0xc9935064 -256 -256 -0 -0 -0 -9 -0xe356 -0x94fdc11d -256 -256 -1 -0 -0 -5 -0xc6be -0x459bc122 -256 -256 -1 -0 -0 -6 -0x4006 -0x4ee5f801 -256 -256 -0 -0 -0 -8 -0x910c -0x3721bfc5 -256 -256 -0 -0 -0 -9 -0x6425 -0xd8ca739 -256 -256 -0 -0 -0 -8 -0x5bdf -0x51396857 -256 -256 -0 -0 -0 -8 -0x216d -0xeb02bbf9 -256 -256 -1 -0 -0 -10 -0x7438 -0x1827ddb6 -256 -256 -0 -0 -0 -4 -0xf26c -0x711d23a3 -256 -256 -0 -0 -0 -2 -0x20cf -0xfb605dcf -256 -256 -1 -0 -0 -4 -0x8cd9 -0x33fa3a2d -256 -256 -0 -0 -0 -6 -0x4f3 -0xe1cab39 -256 -256 -0 -0 -0 -1 -0x808d -0x1b1c5524 -256 -256 -1 -0 -0 -6 -0x707e -0x2b002a17 -256 -256 -0 -0 -0 -8 -0xf9e3 -0xd988c2f3 -256 -256 -1 -0 -0 -6 -0xd5dd -0xba9d278c -256 -256 -1 -0 -0 -2 -0xb571 -0x3fd123df -256 -256 -0 -0 -0 -3 -0xa866 -0xe5683b5c -256 -256 -0 -0 -0 -8 -0xcf20 -0xc63c07d8 -256 -256 -1 -0 -0 -1 -0x951e -0x463b14be -256 -256 -0 -0 -0 -5 -0x6aeb -0x7870e567 -256 -256 -1 -0 -0 -5 -0xda0f -0x951199ea -256 -256 -1 -0 -0 -7 -0xfe85 -0xd03f0ec8 -256 -256 -0 -0 -0 -9 -0x3d3c -0xf9dcc956 -256 -256 -0 -0 -0 -4 -0x57a1 -0xb3ad9a95 -256 -256 -0 -0 -0 -9 -0x98c2 -0xecf7728c -256 -256 -0 -0 -0 -9 -0x7f42 -0xccd41b4d -256 -256 -1 -0 -0 -2 -0x7033 -0x76efe905 -256 -256 -0 -0 -0 -7 -0x6fa -0xcd9ba06c -256 -256 -0 -0 -0 -6 -0xf1ca -0xc2a7ea89 -256 -256 -1 -0 -0 -6 -0x53a2 -0xc4910e6a -256 -256 -1 -0 -0 -2 -0xec20 -0x148deffe -256 -256 -0 -0 -0 -8 -0x57ea -0x58673e9f -256 -256 -0 -0 -0 -3 -0xf0ae -0x16a58499 -256 -256 -0 -0 -0 -1 -0x78f4 -0xb1c82453 -256 -256 -1 -0 -0 -2 -0x5a9a -0x3b926c70 -256 -256 -0 -0 -0 -4 -0x8fdd -0x61995e3b -256 -256 -1 -0 -0 -2 -0x557d -0xfc10838a -256 -256 -0 -0 -0 -5 -0x8a45 -0xba74c129 -256 -256 -0 -0 -0 -8 -0x8dd2 -0x4e2d466b -256 -256 -1 -0 -0 -3 -0xe270 -0x829ba63f -256 -256 -1 -0 -0 -10 -0xaf15 -0x7a8e9233 -256 -256 -1 -0 -0 -9 -0x61e5 -0x5808bb72 -256 -256 -1 -0 -0 -1 -0x3484 -0x98afcf73 -256 -256 -0 -0 -0 -8 -0x567b -0x9584ffdc -256 -256 -1 -0 -0 -6 -0x515 -0x121476be -256 -256 -0 -0 -0 -7 -0x4915 -0xfd2284ff -256 -256 -0 -0 -0 -2 -0x44a1 -0x4f72ac45 -256 -256 -1 -0 -0 -5 -0x2498 -0xffc6fa2a -256 -256 -1 -0 -0 -8 -0x6f71 -0x783ec95c -256 -256 -1 -0 -0 -4 -0x20c0 -0x9e1e34e2 -256 -256 -1 -0 -0 -6 -0x7575 -0xdecf630b -256 -256 -1 -0 -0 -9 -0x52fc -0x71ebf78b -256 -256 -1 -0 -0 -6 -0x2cf5 -0x4c7506b4 -256 -256 -1 -0 -0 -5 -0x69d7 -0xfa53b42f -256 -256 -0 -0 -0 -6 -0x5f8e -0xd15b1e32 -256 -256 -1 -0 -0 -8 -0xc63c -0xba50e192 -256 -256 -0 -0 -0 -6 -0xaa20 -0xd61b1f70 -256 -256 -0 -0 -0 -8 -0x9ed2 -0xd4e05649 -256 -256 -0 -0 -0 -5 -0xe491 -0xe03ae0d3 -256 -256 -1 -0 -0 -4 -0xb829 -0x70319e10 -256 -256 -1 -0 -0 -1 -0xd39 -0xa1c1dd76 -256 -256 -1 -0 -0 -2 -0xe326 -0xc4663c91 -256 -256 -1 -0 -0 -7 -0x6fde -0x791dc753 -256 -256 -1 -0 -0 -7 -0x6d92 -0x500ff643 -256 -256 -0 -0 -0 -10 -0x96c3 -0x23a5d22d -256 -256 -1 -0 -0 -5 -0x43d1 -0xefaeb7c4 -256 -256 -1 -0 -0 -1 -0xb789 -0x9c636afc -256 -256 -1 -0 -0 -10 -0xd277 -0x8844f7a9 -256 -256 -1 -0 -0 -5 -0x7540 -0xb5c17b9a -256 -256 -1 -0 -0 -7 -0x6df1 -0xf1194a1e -256 -256 -0 -0 -0 -5 -0xd581 -0x9302f287 -256 -256 -1 -0 -0 -3 -0xc1f0 -0xf0f717ea -256 -256 -0 -0 -0 -8 -0x40e6 -0xdb1ede69 -256 -256 -1 -0 -0 -10 -0x4c39 -0x631c4d12 -256 -256 -0 -0 -0 -3 -0x4118 -0x101aa562 -256 -256 -1 -0 -0 -1 -0x818f -0x551b04a4 -256 -256 -1 -0 -0 -8 -0x3d56 -0xc42d1fd3 -256 -256 -1 -0 -0 -1 -0xd0af -0xd59bd2e2 -256 -256 -1 -0 -0 -10 -0xb945 -0x738c9e82 -256 -256 -0 -0 -0 -8 -0x5932 -0x64fbd107 -256 -256 -0 -0 -0 -1 -0xe771 -0x8b4b868c -256 -256 -0 -0 -0 -1 -0xfb00 -0x7feb3c5 -256 -256 -0 -0 -0 -9 -0xe4fe -0xcd13868d -256 -256 -0 -0 -0 -4 -0xe3f0 -0x5cd30a31 -256 -256 -1 -0 -0 -1 -0xbfdd -0x59c422d4 -256 -256 -1 -0 -0 -9 -0x33ac -0x9c57ac20 -256 -256 -1 -0 -0 -5 -0x219 -0x912a249d -256 -256 -1 -0 -0 -4 -0x91aa -0x61c036f3 -256 -256 -0 -0 -0 -3 -0xa41e -0xd42c98c6 -256 -256 -1 -0 -0 -4 -0xcca7 -0x6bc3d78f -256 -256 -0 -0 -0 -1 -0xcd6d -0x108d8afa -256 -256 -0 -0 -0 -4 -0x7d2c -0x1e26f253 -256 -256 -1 -0 -0 -8 -0xbbf9 -0x7284848b -256 -256 -1 -0 -0 -10 -0xa914 -0x29867ce4 -256 -256 -1 -0 -0 -9 -0xe96c -0xe142c03d -256 -256 -1 -0 -0 -1 -0x1954 -0x47451f35 -256 -256 -0 -0 -0 -5 -0x3fd7 -0xde9fa46a -256 -256 -0 -0 -0 -5 -0xa990 -0x8caa31a0 -256 -256 -1 -0 -0 -6 -0x4303 -0xc1e1b649 -256 -256 -0 -0 -0 -8 -0x3d9 -0x82c62a74 -256 -256 -0 -0 -0 -10 -0xfaa5 -0x640b4913 -256 -256 -0 -0 -0 -9 -0xca07 -0x6ce44681 -256 -256 -0 -0 -0 -10 -0x2eb -0x4a3ba5f2 -256 -256 -1 -0 -0 -4 -0x75e8 -0x1484d527 -256 -256 -1 -0 -0 -9 -0x1791 -0x4bf8fa4b -256 -256 -1 -0 -0 -5 -0xa37c -0x15ea60e9 -256 -256 -1 -0 -0 -10 -0xacca -0xd1cee1d3 -256 -256 -1 -0 -0 -3 -0x7b23 -0xc0d10b95 -256 -256 -1 -0 -0 -6 -0x723 -0xdada0409 -256 -256 -1 -0 -0 -6 -0x7ed5 -0xb30ae4e9 -256 -256 -0 -0 -0 -3 -0xab5 -0x32d0cb64 -256 -256 -0 -0 -0 -3 -0x8fc7 -0xecd9c00f -256 -256 -0 -0 -0 -3 -0x49b6 -0xbd99f18b -256 -256 -1 -0 -0 -5 -0x10f9 -0x814b9101 -256 -256 -1 -0 -0 -10 -0x8678 -0xe2957170 -256 -256 -1 -0 -0 -8 -0x83e3 -0x30cfb43b -256 -256 -1 -0 -0 -6 -0x990c -0xe91a3fe9 -256 -256 -0 -0 -0 -1 -0x910a -0x5b4e51c3 -256 -256 -0 -0 -0 -9 -0x7ef4 -0xbddfc57a -256 -256 -0 -0 -0 -8 -0x2623 -0x11e6dce7 -256 -256 -1 -0 -0 -9 -0x45b2 -0xf3731760 -256 -256 -1 -0 -0 -7 -0x6074 -0x354f677a -256 -256 -0 -0 -0 -6 -0x10b6 -0xb16a7561 -256 -256 -1 -0 -0 -4 -0x2431 -0x9136bca9 -256 -256 -0 -0 -0 -10 -0x2bed -0xcf288273 -256 -256 -1 -0 -0 -2 -0x5f8b -0x553af21e -256 -256 -0 -0 -0 -8 -0x2e6 -0x540676cb -256 -256 -0 -0 -0 -8 -0x873e -0xe840beeb -256 -256 -1 -0 -0 -5 -0xc58c -0x4805ec88 -256 -256 -0 -0 -0 -8 -0x28da -0xf78fc888 -256 -256 -1 -0 -0 -6 -0x83a7 -0xa1231133 -256 -256 -1 -0 -0 -4 -0x94ab -0x912b7b93 -256 -256 -1 -0 -0 -3 -0x16c7 -0x5b6b86f -256 -256 -1 -0 -0 -2 -0x475b -0xab8488c1 -256 -256 -1 -0 -0 -4 -0xd157 -0x61b8f1e6 -256 -256 -0 -0 -0 -5 -0xbbe0 -0x2318c0e7 -256 -256 -1 -0 -0 -3 -0x1230 -0x7179e069 -256 -256 -1 -0 -0 -3 -0x10d1 -0x4f4d0ce3 -256 -256 -0 -0 -0 -5 -0x97a5 -0x50f253b6 -256 -256 -1 -0 -0 -5 -0xe45d -0xfe447d7f -256 -256 -0 -0 -0 -3 -0xcada -0xf5c52836 -256 -256 -1 -0 -0 -3 -0xafe9 -0xea55aa11 -256 -256 -1 -0 -0 -4 -0x756e -0x4c757e08 -256 -256 -0 -0 -0 -6 -0x504b -0x165dcd -256 -256 -0 -0 -0 -6 -0x19f3 -0x44aa3809 -256 -256 -1 -0 -0 -3 -0x1745 -0x9d18b514 -256 -256 -0 -0 -0 -6 -0x9445 -0x484a52c3 -256 -256 -1 -0 -0 -9 -0x12fc -0x7c4758b7 -256 -256 -1 -0 -0 -3 -0xe13a -0x2cd4393 -256 -256 -1 -0 -0 -7 -0xdd16 -0x87b9157e -256 -256 -0 -0 -0 -10 -0x570a -0x77bb37ec -256 -256 -1 -0 -0 -7 -0x1a5b -0x290856ee -256 -256 -0 -0 -0 -4 -0x147 -0xa5281aa7 -256 -256 -0 -0 -0 -6 -0x8ba1 -0x376ae6c -256 -256 -0 -0 -0 -9 -0xd61 -0x815db091 -256 -256 -0 -0 -0 -5 -0x2280 -0x26720af7 -256 -256 -1 -0 -0 -2 -0xc5f9 -0xe19c98f8 -256 -256 -1 -0 -0 -9 -0xd610 -0x3099f831 -256 -256 -1 -0 -0 -2 -0xd03f -0x5d810782 -256 -256 -1 -0 -0 -5 -0x75e0 -0x9ea3298b -256 -256 -0 -0 -0 -2 -0x210d -0x23db24c4 -256 -256 -1 -0 -0 -9 -0x8bc1 -0xf5450059 -256 -256 -0 -0 -0 -2 -0x8a18 -0xee26530d -256 -256 -0 -0 -0 -2 -0xa1c1 -0xf8ef52a0 -256 -256 -1 -0 -0 -5 -0x21f3 -0x76bbadb4 -256 -256 -0 -0 -0 -7 -0xc4a0 -0x4cc8b9fe -256 -256 -0 -0 -0 -10 -0xbed0 -0xd1edaa5a -256 -256 -1 -0 -0 -4 -0x21a6 -0xb3445d3b -256 -256 -0 -0 -0 -3 -0xaf92 -0xdceeae5f -256 -256 -1 -0 -0 -6 -0x15fe -0x27f4941b -256 -256 -0 -0 -0 -8 -0xf800 -0xf337ecc -256 -256 -0 -0 -0 -4 -0x97f8 -0x4fdf224a -256 -256 -0 -0 -0 -7 -0xc8c9 -0x7713c228 -256 -256 -0 -0 -0 -3 -0xbb6f -0xb89fc48d -256 -256 -1 -0 -0 -4 -0xafd0 -0x684097b9 -256 -256 -0 -0 -0 -5 -0x1260 -0xd98ae93b -256 -256 -0 -0 -0 -2 -0x7237 -0x2171dda1 -256 -256 -0 -0 -0 -4 -0x8cdf -0x13de6b4 -256 -256 -0 -0 -0 -6 -0x99ca -0x865e5e65 -256 -256 -1 -0 -0 -2 -0x3f1e -0x80300ab4 -256 -256 -1 -0 -0 -7 -0x166a -0xf09b4fe0 -256 -256 -1 -0 -0 -9 -0xfc65 -0x10c9714c -256 -256 -0 -0 -0 -5 -0x2920 -0x2058026 -256 -256 -0 -0 -0 -8 -0xaf5f -0x3c754578 -256 -256 -1 -0 -0 -7 -0xca8e -0xe7cb19c2 -256 -256 -0 -0 -0 -1 -0xce5 -0x468b2465 -256 -256 -0 -0 -0 -8 -0x6306 -0x74a621f5 -256 -256 -1 -0 -0 -3 -0x2212 -0x52f75c44 -256 -256 -1 -0 -0 -7 -0x5235 -0xe38f76f3 -256 -256 -0 -0 -0 -7 -0x5af5 -0x90819da6 -256 -256 -1 -0 -0 -10 -0x4db7 -0xdf685f9a -256 -256 -0 -0 -0 -10 -0xe3fa -0xead043f -256 -256 -1 -0 -0 -2 -0xf6b4 -0x25485d3e -256 -256 -1 -0 -0 -10 -0x91e4 -0xb2bfec7a -256 -256 -0 -0 -0 -2 -0xc683 -0xa299d2ba -256 -256 -1 -0 -0 -4 -0x4143 -0x1a89138a -256 -256 -0 -0 -0 -9 -0x96ce -0xdf5365b6 -256 -256 -1 -0 -0 -4 -0x5035 -0x740eb263 -256 -256 -0 -0 -0 -4 -0xb9d3 -0xd8b7a4cf -256 -256 -0 -0 -0 -10 -0xc691 -0xe5dc2537 -256 -256 -0 -0 -0 -7 -0x7f03 -0xe63df5e6 -256 -256 -1 -0 -0 -7 -0x58b2 -0xaf08c8e7 -256 -256 -0 -0 -0 -5 -0x1e97 -0x58c0a8c9 -256 -256 -0 -0 -0 -7 -0x6ecc -0x632659b4 -256 -256 -0 -0 -0 -4 -0x960c -0xef714087 -256 -256 -0 -0 -0 -10 -0x263c -0x1fbf9ee -256 -256 -0 -0 -0 -9 -0x4516 -0x71c08a50 -256 -256 -0 -0 -0 -1 -0x8e83 -0x412fc5a -256 -256 -1 -0 -0 -7 -0xa8fb -0xc9b6483a -256 -256 -0 -0 -0 -9 -0xf0a1 -0x7ce34bcb -256 -256 -1 -0 -0 -8 -0x1ca -0xc903b304 -256 -256 -1 -0 -0 -6 -0x4206 -0x358f1ab9 -256 -256 -1 -0 -0 -9 -0x6ccf -0x4bb39b41 -256 -256 -0 -0 -0 -5 -0x73ac -0x434aaf7d -256 -256 -1 -0 -0 -10 -0x593d -0x8a194afe -256 -256 -0 -0 -0 -3 -0x7bc3 -0x2a0a47fe -256 -256 -1 -0 -0 -10 -0x73da -0x8f4cafdb -256 -256 -1 -0 -0 -4 -0x89cd -0x86002160 -256 -256 -1 -0 -0 -1 -0xd5a0 -0xc9592c9b -256 -256 -0 -0 -0 -10 -0xa638 -0x7317eb98 -256 -256 -0 -0 -0 -5 -0xd4cd -0x45889e16 -256 -256 -1 -0 -0 -3 -0xada8 -0x8cfa83d -256 -256 -1 -0 -0 -9 -0xea73 -0x79fe7e2d -256 -256 -1 -0 -0 -1 -0x398e -0x978f733e -256 -256 -0 -0 -0 -3 -0xc03a -0xce9aed81 -256 -256 -1 -0 -0 -9 -0x919b -0xbd7093aa -256 -256 -0 -0 -0 -5 -0x6dba -0x1ffd58e1 -256 -256 -1 -0 -0 -9 -0x40e -0x1f094a0b -256 -256 -1 -0 -0 -5 -0xcee7 -0xce3f51c5 -256 -256 -1 -0 -0 -10 -0x58c0 -0xaf8a890a -256 -256 -0 -0 -0 -4 -0xab72 -0x7058a252 -256 -256 -0 -0 -0 -7 -0x4f34 -0x3489107f -256 -256 -1 -0 -0 -6 -0xe73d -0x2d09c2cf -256 -256 -0 -0 -0 -3 -0xe70c -0x9a082580 -256 -256 -1 -0 -0 -7 -0xa4d5 -0xb25da84 -256 -256 -0 -0 -0 -7 -0x5e88 -0xec2bbafa -256 -256 -1 -0 -0 -9 -0x110f -0x691cb6bf -256 -256 -1 -0 -0 -1 -0xaaed -0xe12cbd44 -256 -256 -0 -0 -0 -8 -0x818d -0x225f39e7 -256 -256 -1 -0 -0 -6 -0x8e85 -0x69639979 -256 -256 -0 -0 -0 -5 -0x7537 -0x11d6b84b -256 -256 -0 -0 -0 -5 -0xf1f7 -0xb2eefc1a -256 -256 -1 -0 -0 -2 -0xdb07 -0x5e1492ba -256 -256 -1 -0 -0 -10 -0x2e7d -0xd196c8d4 -256 -256 -0 -0 -0 -5 -0x3316 -0x8381477b -256 -256 -0 -0 -0 -3 -0x4408 -0x813fed9d -256 -256 -1 -0 -0 -4 -0x9380 -0x95b62440 -256 -256 -0 -0 -0 -5 -0x2ce6 -0xa3e8a9a5 -256 -256 -1 -0 -0 -2 -0xb0d9 -0x4681878c -256 -256 -1 -0 -0 -1 -0x4093 -0xa107ad09 -256 -256 -0 -0 -0 -5 -0x7efe -0xe8c5c469 -256 -256 -0 -0 -0 -4 -0x2d9b -0x2b923afa -256 -256 -1 -0 -0 -9 -0x51f6 -0xd46d24fd -256 -256 -1 -0 -0 -4 -0x2d9c -0xfbce4272 -256 -256 -1 -0 -0 -2 -0x8813 -0x41c3a7ec -256 -256 -1 -0 -0 -5 -0xdd2e -0x9950ca03 -256 -256 -1 -0 -0 -2 -0x15c3 -0x2dbe5bf1 -256 -256 -0 -0 -0 -7 -0x597 -0xd63be2d0 -256 -256 -0 -0 -0 -8 -0xeda0 -0xf9e4ce0c -256 -256 -1 -0 -0 -4 -0xa38b -0xe7c28314 -256 -256 -1 -0 -0 -1 -0x3bf1 -0x2a5aa64 -256 -256 -1 -0 -0 -6 -0x28e3 -0x7f2bb14d -256 -256 -1 -0 -0 -8 -0x5004 -0xb5a846d3 -256 -256 -1 -0 -0 -3 -0xaa71 -0x7bab0499 -256 -256 -0 -0 -0 -6 -0x7adc -0x590c1c88 -256 -256 -1 -0 -0 -5 -0xf44 -0x1e7f7a75 -256 -256 -1 -0 -0 -8 -0x4443 -0x664f63fc -256 -256 -0 -0 -0 -9 -0xc78 -0xda1ca01 -256 -256 -1 -0 -0 -2 -0x2571 -0xedf40ab4 -256 -256 -0 -0 -0 -4 -0x25aa -0xad49499d -256 -256 -0 -0 -0 -8 -0x59b3 -0x3edafdad -256 -256 -0 -0 -0 -4 -0x52d2 -0x6069cb39 -256 -256 -1 -0 -0 -10 -0x919d -0x410177a -256 -256 -0 -0 -0 -4 -0x8350 -0x3a0e4aad -256 -256 -1 -0 -0 -3 -0x6770 -0x6cdd76ba -256 -256 -0 -0 -0 -8 -0xd56e -0xeb667cbb -256 -256 -1 -0 -0 -2 -0x6248 -0xca3e7bee -256 -256 -0 -0 -0 -5 -0xcad1 -0x5b0d23d -256 -256 -1 -0 -0 -2 -0x78d7 -0x647c4728 -256 -256 -0 -0 -0 -6 -0x8203 -0x91371f2d -256 -256 -1 -0 -0 -9 -0x1ab8 -0x67133c8b -256 -256 -0 -0 -0 -7 -0xf14a -0xce72ed4a -256 -256 -1 -0 -0 -8 -0x4563 -0xb0c97844 -256 -256 -0 -0 -0 -10 -0x4302 -0xb697eae4 -256 -256 -1 -0 -0 -9 -0x2eed -0x31e1059f -256 -256 -0 -0 -0 -10 -0xdcdb -0x74f6a1c1 -256 -256 -0 -0 -0 -6 -0x653e -0xe2e2e8c3 -256 -256 -0 -0 -0 -3 -0xc68a -0xff7eb746 -256 -256 -1 -0 -0 -2 -0xe6e3 -0x8ac98956 -256 -256 -0 -0 -0 -9 -0x1908 -0xe09c272 -256 -256 -1 -0 -0 -3 -0x3256 -0x7184f7d2 -256 -256 -0 -0 -0 -3 -0xbd79 -0xf096d2c5 -256 -256 -1 -0 -0 -10 -0xb3aa -0x879d16e7 -256 -256 -0 -0 -0 -1 -0xb857 -0xc1f41236 -256 -256 -0 -0 -0 -7 -0x3a9b -0xc95a28d6 -256 -256 -0 -0 -0 -8 -0xf075 -0x9d9b9442 -256 -256 -1 -0 -0 -8 -0x6127 -0x31de3d94 -256 -256 -1 -0 -0 -9 -0xc67b -0x6f359948 -256 -256 -0 -0 -0 -9 -0x99a5 -0x23bd9d70 -256 -256 -0 -0 -0 -4 -0xa652 -0x25e41a96 -256 -256 -0 -0 -0 -5 -0x29e5 -0x2cb55335 -256 -256 -0 -0 -0 -2 -0x9064 -0x4bea4940 -256 -256 -0 -0 -0 -4 -0xde73 -0x82c18db7 -256 -256 -0 -0 -0 -2 -0x88b -0x825bb32e -256 -256 -1 -0 -0 -9 -0xdee6 -0x2d0a450 -256 -256 -1 -0 -0 -4 -0x1054 -0x66ddeb00 -256 -256 -0 -0 -0 -3 -0xd4a7 -0xd26868c1 -256 -256 -0 -0 -0 -9 -0xf8aa -0xab74b261 -256 -256 -1 -0 -0 -4 -0x9a35 -0x205dd789 -256 -256 -1 -0 -0 -6 -0x6366 -0x56c3d539 -256 -256 -1 -0 -0 -10 -0x8676 -0xfcc3decc -256 -256 -0 -0 -0 -3 -0xb20e -0x496dfa7b -256 -256 -0 -0 -0 -4 -0x9d5f -0x56c48c28 -256 -256 -0 -0 -0 -7 -0xce7 -0x824a7497 -256 -256 -0 -0 -0 -7 -0x1471 -0x9a2df7ca -256 -256 -0 -0 -0 -1 -0x64e6 -0xea26c3fc -256 -256 -1 -0 -0 -7 -0xc86c -0x7fcb15cd -256 -256 -1 -0 -0 -2 -0x5ec2 -0x725d85c7 -256 -256 -0 -0 -0 -3 -0x94ef -0x5cc2215e -256 -256 -1 -0 -0 -10 -0x2136 -0xdff49702 -256 -256 -1 -0 -0 -5 -0xdc26 -0xbc9214d6 -256 -256 -1 -0 -0 -10 -0x42a4 -0xe7394f0c -256 -256 -1 -0 -0 -7 -0xfd96 -0xcae36a35 -256 -256 -0 -0 -0 -3 -0xdd10 -0xd14da16b -256 -256 -0 -0 -0 -1 -0xeced -0x5d24f90f -256 -256 -0 -0 -0 -4 -0x57f8 -0x6b92dce7 -256 -256 -1 -0 -0 -4 -0xe0d6 -0x694365a -256 -256 -1 -0 -0 -6 -0x48e2 -0x1a208c1 -256 -256 -1 -0 -0 -9 -0x9460 -0x13ea5f1 -256 -256 -0 -0 -0 -6 -0x213 -0x2dfb7a99 -256 -256 -0 -0 -0 -5 -0x52d2 -0x4addc132 -256 -256 -0 -0 -0 -2 -0xfd84 -0xe7159c45 -256 -256 -0 -0 -0 -5 -0x481c -0x9204a5bd -256 -256 -1 -0 -0 -3 -0x2b41 -0x73448fcc -256 -256 -0 -0 -0 -7 -0x13d8 -0x7cf9046b -256 -256 -0 -0 -0 -6 -0xbfc4 -0xcea27a22 -256 -256 -0 -0 -0 -9 -0xded5 -0xf0fa6659 -256 -256 -1 -0 -0 -3 -0x4106 -0xce39c60b -256 -256 -0 -0 -0 -4 -0x5ed8 -0xc27272dd -256 -256 -1 -0 -0 -3 -0x92a -0x271dae87 -256 -256 -0 -0 -0 -8 -0x9704 -0x6315ea55 -256 -256 -0 -0 -0 -8 -0x3081 -0x199821d1 -256 -256 -1 -0 -0 -8 -0xb306 -0xbc09f5a9 -256 -256 -1 -0 -0 -9 -0xb944 -0x586e8968 -256 -256 -1 -0 -0 -10 -0x1d85 -0x79160afa -256 -256 -0 -0 -0 -1 -0xc277 -0x985553dc -256 -256 -1 -0 -0 -1 -0xec5 -0xace75c1f -256 -256 -1 -0 -0 -2 -0x1b95 -0xb39b0bce -256 -256 -1 -0 -0 -4 -0xbc2d -0x95acac19 -256 -256 -1 -0 -0 -5 -0x4ac6 -0x7b251b99 -256 -256 -1 -0 -0 -2 -0x5873 -0x1f0043d5 -256 -256 -1 -0 -0 -6 -0x7991 -0x213cb949 -256 -256 -1 -0 -0 -2 -0x8e8 -0x8f32f7b7 -256 -256 -0 -0 -0 -3 -0x33f1 -0x421ad080 -256 -256 -1 -0 -0 -5 -0xcc9d -0xe9622574 -256 -256 -0 -0 -0 -7 -0xcf74 -0xf99e24e2 -256 -256 -1 -0 -0 -2 -0x2568 -0x1a6d70b2 -256 -256 -0 -0 -0 -8 -0xdbfd -0x7ea4d0ac -256 -256 -0 -0 -0 -10 -0xf82d -0x8a723278 -256 -256 -1 -0 -0 -1 -0x6ae2 -0xdb09b58 -256 -256 -0 -0 -0 -3 -0xe9ee -0x398fe65d -256 -256 -1 -0 -0 -8 -0x48cb -0x48c87787 -256 -256 -0 -0 -0 -9 -0x5f98 -0x8be895bc -256 -256 -1 -0 -0 -2 -0xe589 -0x30a37e4d -256 -256 -1 -0 -0 -3 -0xb9f0 -0x8b3c7953 -256 -256 -1 -0 -0 -4 -0xd920 -0xe87a5e3d -256 -256 -0 -0 -0 -8 -0xeb01 -0x4014e40f -256 -256 -0 -0 -0 -5 -0x28d2 -0x7cf3fab5 -256 -256 -0 -0 -0 -10 -0xe40e -0xdf5278de -256 -256 -0 -0 -0 -2 -0x7dcc -0x6bf61b62 -256 -256 -1 -0 -0 -10 -0xf530 -0xf512612d -256 -256 -0 -0 -0 -2 -0x7c34 -0xf2484c35 -256 -256 -1 -0 -0 -4 -0xaa39 -0x14010aac -256 -256 -1 -0 -0 -3 -0x1499 -0xf8a90855 -256 -256 -1 -0 -0 -10 -0x1a22 -0x8b37e6b4 -256 -256 -1 -0 -0 -2 -0x5764 -0x428e0875 -256 -256 -1 -0 -0 -9 -0x55c5 -0xbbd5ecf0 -256 -256 -1 -0 -0 -8 -0x6a5f -0xd3c100b -256 -256 -1 -0 -0 -10 -0xd737 -0xf4bd5942 -256 -256 -1 -0 -0 -8 -0xd717 -0x91df329a -256 -256 -0 -0 -0 -5 -0x1c71 -0x630043d -256 -256 -0 -0 -0 -1 -0x103b -0x7173e75b -256 -256 -0 -0 -0 -9 -0xd060 -0xcf8e1e74 -256 -256 -1 -0 -0 -6 -0xa2ba -0x86f6d31a -256 -256 -0 -0 -0 -8 -0x426 -0x88c860 -256 -256 -0 -0 -0 -5 -0x7aba -0x64b48431 -256 -256 -1 -0 -0 -10 -0x798 -0x438dbce -256 -256 -1 -0 -0 -7 -0xd8cc -0x86522ce2 -256 -256 -0 -0 -0 -5 -0x7c39 -0x42f59931 -256 -256 -0 -0 -0 -5 -0x936a -0x800d3a2 -256 -256 -0 -0 -0 -9 -0x635a -0x48c748b6 -256 -256 -0 -0 -0 -5 -0xb0f2 -0x4ed643fa -256 -256 -0 -0 -0 -8 -0x1b97 -0x1334a9e1 -256 -256 -0 -0 -0 -9 -0x3592 -0xf42ddf5 -256 -256 -0 -0 -0 -4 -0x8445 -0x44fa1e52 -256 -256 -1 -0 -0 -8 -0xa463 -0xf76bcd0d -256 -256 -0 -0 -0 -1 -0xb4be -0x895935f6 -256 -256 -1 -0 -0 -8 -0x31f1 -0x10cc853c -256 -256 -1 -0 -0 -4 -0x7a84 -0x72a349e -256 -256 -1 -0 -0 -2 -0x9675 -0xcccb2879 -256 -256 -0 -0 -0 -8 -0xa55f -0x23af0145 -256 -256 -1 -0 -0 -2 -0x63a7 -0xaebd094 -256 -256 -0 -0 -0 -2 -0x9b81 -0x3dcdbc82 -256 -256 -1 -0 -0 -2 -0x1b25 -0x2b5200f9 -256 -256 -1 -0 -0 -9 -0x5c58 -0x47ef78c7 -256 -256 -1 -0 -0 -4 -0x5a4c -0xdcbf4014 -256 -256 -0 -0 -0 -10 -0xb7eb -0xde6fd040 -256 -256 -0 -0 -0 -1 -0xfba0 -0x345c33d5 -256 -256 -1 -0 -0 -3 -0xd4fd -0x452785da -256 -256 -0 -0 -0 -6 -0xa6b4 -0xe5e8a051 -256 -256 -0 -0 -0 -7 -0xeeae -0xe7bcddeb -256 -256 -0 -0 -0 -1 -0x80f9 -0xa3de20d1 -256 -256 -0 -0 -0 -4 -0x4961 -0x17cf969c -256 -256 -1 -0 -0 -9 -0x726 -0x120d9d30 -256 -256 -0 -0 -0 -1 -0xfc8d -0xd94ec32b -256 -256 -0 -0 -0 -1 -0xc08b -0x80f0601b -256 -256 -0 -0 -0 -1 -0x2c33 -0xb3dadafd -256 -256 -0 -0 -0 -9 -0xde25 -0xe381b9e4 -256 -256 -1 -0 -0 -5 -0xf0ca -0x9d992637 -256 -256 -0 -0 -0 -4 -0x74da -0x2cc462bd -256 -256 -0 -0 -0 -7 -0x7f74 -0xd2cbd18c -256 -256 -0 -0 -0 -9 -0x8e62 -0x305c65c0 -256 -256 -1 -0 -0 -4 -0x965c -0xb11ef781 -256 -256 -0 -0 -0 -3 -0x6601 -0xf30d2469 -256 -256 -0 -0 -0 -9 -0xf47b -0x753b05f6 -256 -256 -0 -0 -0 -5 -0xd6d1 -0xfe53c8bd -256 -256 -1 -0 -0 -4 -0xf21c -0xdbf89896 -256 -256 -1 -0 -0 -6 -0x3f8b -0xa02fa0b9 -256 -256 -1 -0 -0 -3 -0x1fad -0x5c2bf5de -256 -256 -0 -0 -0 -8 -0xa392 -0x6fc505c0 -256 -256 -1 -0 -0 -1 -0xa87a -0x8cf66e16 -256 -256 -0 -0 -0 -1 -0x9741 -0x97183dcf -256 -256 -1 -0 -0 -10 -0x13b5 -0x5c7c1edc -256 -256 -1 -0 -0 -8 -0x7b03 -0xc00edaaf -256 -256 -0 -0 -0 -6 -0xa41f -0xcbae3ffe -256 -256 -0 -0 -0 -2 -0xb2ca -0x565f38c -256 -256 -0 -0 -0 -7 -0xdb68 -0x5c70df2 -256 -256 -1 -0 -0 -2 -0x1d16 -0xd58c0a0f -256 -256 -1 -0 -0 -1 -0x522e -0x6e474980 -256 -256 -1 -0 -0 -6 -0xe6c9 -0x860f7960 -256 -256 -0 -0 -0 -2 -0xfdc4 -0x9a618486 -256 -256 -1 -0 -0 -5 -0xef8 -0xabcb4101 -256 -256 -1 -0 -0 -10 -0xb90f -0x80bf91df -256 -256 -1 -0 -0 -9 -0xff76 -0x772c339d -256 -256 -1 -0 -0 -5 -0xb118 -0xb17ce96c -256 -256 -0 -0 -0 -4 -0xb634 -0x9dcfc2b0 -256 -256 -0 -0 -0 -9 -0xaf45 -0xcc1613f2 -256 -256 -0 -0 -0 -4 -0xa0c6 -0x2bdd5b9 -256 -256 -0 -0 -0 -9 -0x497d -0xff2bcba3 -256 -256 -0 -0 -0 -8 -0x42b0 -0x90853173 -256 -256 -1 -0 -0 -2 -0x97b2 -0x3874afe6 -256 -256 -0 -0 -0 -5 -0xd279 -0xf7eca964 -256 -256 -1 -0 -0 -5 -0xf57b -0x95042a39 -256 -256 -1 -0 -0 -2 -0xa88e -0x827e3ece -256 -256 -0 -0 -0 -3 -0x5f7c -0xe787fa78 -256 -256 -1 -0 -0 -4 -0x60c3 -0x3ba152ca -256 -256 -0 -0 -0 -5 -0xa711 -0xdf8ba2e -256 -256 -0 -0 -0 -9 -0x4a24 -0x27a0d91e -256 -256 -1 -0 -0 -3 -0xbff1 -0xe126a8ef -256 -256 -0 -0 -0 -4 -0x5dd7 -0x57881bc3 -256 -256 -1 -0 -0 -5 -0x4b2f -0x1f216d02 -256 -256 -0 -0 -0 -6 -0x4cec -0xa43fea45 -256 -256 -1 -0 -0 -10 -0x1a34 -0xe6a56303 -256 -256 -1 -0 -0 -6 -0x623f -0x12442e24 -256 -256 -1 -0 -0 -4 -0xf43f -0xb4568a5 -256 -256 -1 -0 -0 -4 -0x95a7 -0x8691e16e -256 -256 -0 -0 -0 -3 -0x9610 -0xefe12063 -256 -256 -1 -0 -0 -5 -0x2fc5 -0x77d0d62b -256 -256 -1 -0 -0 -6 -0x76f8 -0x24647ba0 -256 -256 -1 -0 -0 -7 -0x1d32 -0x3dc5bc75 -256 -256 -0 -0 -0 -3 -0x3711 -0xe1f23b86 -256 -256 -0 -0 -0 -2 -0xabcb -0x48ae65d1 -256 -256 -0 -0 -0 -6 -0x1eed -0x54124136 -256 -256 -1 -0 -0 -7 -0x8159 -0xd33f2597 -256 -256 -1 -0 -0 -10 -0x29b7 -0x797db575 -256 -256 -1 -0 -0 -5 -0x9884 -0xb42376a4 -256 -256 -1 -0 -0 -1 -0x9041 -0x57c0caaa -256 -256 -1 -0 -0 -1 -0xa5e6 -0x673638c7 -256 -256 -1 -0 -0 -10 -0x3beb -0x612ea267 -256 -256 -0 -0 -0 -1 -0x472b -0x3f3e6a0b -256 -256 -0 -0 -0 -8 -0xa18 -0xff78186f -256 -256 -1 -0 -0 -7 -0xb909 -0x80822750 -256 -256 -1 -0 -0 -5 -0xa816 -0x9b19dadf -256 -256 -1 -0 -0 -2 -0x5e68 -0xba1e506d -256 -256 -1 -0 -0 -5 -0xe20f -0x7afdd951 -256 -256 -0 -0 -0 -7 -0xe7f5 -0xaca65c87 -256 -256 -1 -0 -0 -9 -0xfa14 -0x123f9333 -256 -256 -0 -0 -0 -2 -0x90b3 -0xd62cb488 -256 -256 -1 -0 -0 -7 -0x8cee -0x8de41614 -256 -256 -1 -0 -0 -4 -0x18cc -0x82042a34 -256 -256 -0 -0 -0 -6 -0x126a -0xcd1b7f6e -256 -256 -0 -0 -0 -8 -0xe74 -0x30ee5998 -256 -256 -1 -0 -0 -1 -0xbbc3 -0x566e44aa -256 -256 -0 -0 -0 -7 -0x4e85 -0x317b31ba -256 -256 -0 -0 -0 -8 -0x199d -0xbb3c6ea4 -256 -256 -1 -0 -0 -8 -0x6dee -0x2e9e41e5 -256 -256 -1 -0 -0 -10 -0x4289 -0xcd408296 -256 -256 -0 -0 -0 -5 -0x8221 -0x72d6917b -256 -256 -0 -0 -0 -9 -0x8d60 -0xf618b5a3 -256 -256 -1 -0 -0 -1 -0x8f31 -0xf5d72bd0 -256 -256 -1 -0 -0 -8 -0xd144 -0xa4aa84a1 -256 -256 -0 -0 -0 -2 -0x2953 -0x1d3b8ab6 -256 -256 -0 -0 -0 -2 -0x8b82 -0xa518cae9 -256 -256 -0 -0 -0 -3 -0x91e7 -0xe933bbbe -256 -256 -1 -0 -0 -2 -0x40cd -0xe492d33c -256 -256 -1 -0 -0 -1 -0x9989 -0x1782cba4 -256 -256 -0 -0 -0 -5 -0x611 -0x2d066f7 -256 -256 -1 -0 -0 -1 -0x2ca3 -0xfa2ac501 -256 -256 -1 -0 -0 -6 -0x83e -0xa751fca4 -256 -256 -0 -0 -0 -3 -0x4a92 -0xd2c9aaa2 -256 -256 -1 -0 -0 -5 -0xbf66 -0xd510cc32 -256 -256 -1 -0 -0 -5 -0x4192 -0x421ccf52 -256 -256 -1 -0 -0 -4 -0x51e5 -0x146f393d -256 -256 -1 -0 -0 -7 -0x6143 -0xf1fe47c -256 -256 -1 -0 -0 -2 -0x3d26 -0xe9e13fbd -256 -256 -1 -0 -0 -5 -0xc4a2 -0x622c1a4b -256 -256 -1 -0 -0 -6 -0x588b -0xb87fb77d -256 -256 -0 -0 -0 -6 -0x29d6 -0x2f9c82b -256 -256 -1 -0 -0 -9 -0x3180 -0xe1fec468 -256 -256 -0 -0 -0 -7 -0x2950 -0xbb18442a -256 -256 -1 -0 -0 -2 -0xca0f -0xf2150e28 -256 -256 -0 -0 -0 -8 -0xea2 -0x37bdd516 -256 -256 -0 -0 -0 -7 -0xb8d9 -0x31361d4 -256 -256 -0 -0 -0 -2 -0xac62 -0x63ef4ff6 -256 -256 -1 -0 -0 -3 -0x815d -0x236af1a2 -256 -256 -0 -0 -0 -9 -0x6fa7 -0x1946a146 -256 -256 -0 -0 -0 -5 -0xf570 -0xd40e326c -256 -256 -1 -0 -0 -4 -0x8520 -0xe2cd5467 -256 -256 -1 -0 -0 -7 -0x4370 -0xe5a07b19 -256 -256 -0 -0 -0 -6 -0x5b46 -0x956cc85f -256 -256 -0 -0 -0 -10 -0x3899 -0x2b06da92 -256 -256 -1 -0 -0 -6 -0xfe51 -0x4464b40c -256 -256 -0 -0 -0 -1 -0x5c99 -0x5601383f -256 -256 -0 -0 -0 -4 -0xc9d1 -0x5cdfd806 -256 -256 -1 -0 -0 -1 -0x35f4 -0xb8923dd -256 -256 -0 -0 -0 -1 -0x789e -0xcf030329 -256 -256 -1 -0 -0 -4 -0xbad7 -0x20729790 -256 -256 -1 -0 -0 -2 -0xe0fb -0xe257b17f -256 -256 -0 -0 -0 -5 -0x313a -0x1609f6ee -256 -256 -1 -0 -0 -2 -0x42 -0xf1ae818a -256 -256 -1 -0 -0 -1 -0x479c -0xc6d3b352 -256 -256 -1 -0 -0 -2 -0x8ce4 -0x97a1c512 -256 -256 -0 -0 -0 -6 -0x1b15 -0xe4ec18ad -256 -256 -0 -0 -0 -10 -0xbd7e -0x72c61be0 -256 -256 -1 -0 -0 -4 -0x8a97 -0x64e8334c -256 -256 -0 -0 -0 -2 -0x8d15 -0x13323ab1 -256 -256 -1 -0 -0 -6 -0x307b -0xc430348c -256 -256 -1 -0 -0 -10 -0x9f4b -0xe5655cbb -256 -256 -0 -0 -0 -6 -0xe9fc -0x771617c2 -256 -256 -0 -0 -0 -1 -0x4c02 -0x921c6d77 -256 -256 -0 -0 -0 -2 -0xf353 -0x7fcb14d6 -256 -256 -1 -0 -0 -5 -0x3d41 -0x37c3e6c1 -256 -256 -1 -0 -0 -7 -0x9435 -0xc624f586 -256 -256 -0 -0 -0 -1 -0x26a3 -0x2e9a2515 -256 -256 -1 -0 -0 -4 -0xc855 -0xb73d8bf2 -256 -256 -0 -0 -0 -3 -0xd1c7 -0xb1d5147c -256 -256 -0 -0 -0 -7 -0xce46 -0x89db8a48 -256 -256 -1 -0 -0 -2 -0x3e -0x9e65593f -256 -256 -1 -0 -0 -6 -0x4721 -0x3c01e267 -256 -256 -1 -0 -0 -4 -0x9a2f -0x11fba8be -256 -256 -0 -0 -0 -3 -0x908d -0x76e6daee -256 -256 -0 -0 -0 -3 -0x8f8d -0x3a6d3b72 -256 -256 -0 -0 -0 -3 -0xd85d -0xf14bd723 -256 -256 -1 -0 -0 -5 -0xb19f -0x62002e7a -256 -256 -0 -0 -0 -6 -0xeff6 -0x43eac16d -256 -256 -1 -0 -0 -7 -0x9143 -0x1a2966c1 -256 -256 -0 -0 -0 -4 -0x6c85 -0xf593f704 -256 -256 -0 -0 -0 -1 -0x2d14 -0x8f3bad23 -256 -256 -1 -0 -0 -1 -0x3d5f -0xe68a716b -256 -256 -1 -0 -0 -9 -0x6192 -0x63379888 -256 -256 -0 -0 -0 -4 -0xbb7 -0x26f18c5a -256 -256 -1 -0 -0 -7 -0x3146 -0x5ccbbca5 -256 -256 -0 -0 -0 -4 -0xe299 -0x23455534 -256 -256 -0 -0 -0 -3 -0x4b29 -0x8725b33a -256 -256 -0 -0 -0 -7 -0xdaf3 -0x96e20608 -256 -256 -0 -0 -0 -7 -0xb7e -0x49bf9216 -256 -256 -0 -0 -0 -10 -0x2526 -0x49f3b248 -256 -256 -0 -0 -0 -1 -0xd1b8 -0x76c92efc -256 -256 -0 -0 -0 -3 -0x4c4 -0x22ff64f5 -256 -256 -0 -0 -0 -4 -0x11df -0x1692c75c -256 -256 -1 -0 -0 -5 -0x4ed4 -0xf02c0049 -256 -256 -0 -0 -0 -2 -0xdc05 -0x69213b82 -256 -256 -0 -0 -0 -4 -0xab02 -0xa2cdb328 -256 -256 -1 -0 -0 -5 -0x338 -0x9cc35ce9 -256 -256 -1 -0 -0 -4 -0x26d8 -0x8d8bdb0 -256 -256 -1 -0 -0 -4 -0xa71e -0x62f804fe -256 -256 -0 -0 -0 -2 -0xe5cf -0xee451060 -256 -256 -1 -0 -0 -2 -0x61ee -0x3028e9d0 -256 -256 -1 -0 -0 -5 -0x3e4c -0x4b9451ef -256 -256 -1 -0 -0 -10 -0x43de -0xa3455dcc -256 -256 -0 -0 -0 -3 -0x39c8 -0x45404bac -256 -256 -0 -0 -0 -1 -0x180d -0x5f30c774 -256 -256 -1 -0 -0 -1 -0x42f9 -0xbe3d58c7 -256 -256 -1 -0 -0 -3 -0xc809 -0x83543887 -256 -256 -1 -0 -0 -6 -0xcf8f -0x72922d3c -256 -256 -0 -0 -0 -9 -0x4ddf -0x5eff1dd3 -256 -256 -0 -0 -0 -9 -0x115e -0x8a56c6d6 -256 -256 -0 -0 -0 -8 -0xe1e0 -0xd5f93d44 -256 -256 -1 -0 -0 -10 -0x7656 -0x2ed449ca -256 -256 -1 -0 -0 -2 -0xa942 -0x2d36db4e -256 -256 -1 -0 -0 -6 -0x386a -0x8dc0d0f7 -256 -256 -1 -0 -0 -2 -0x2597 -0x31116ea -256 -256 -0 -0 -0 -3 -0x1cca -0x219f79f2 -256 -256 -1 -0 -0 -4 -0xa149 -0x421e8080 -256 -256 -1 -0 -0 -4 -0xf5a2 -0x630cc9ff -256 -256 -0 -0 -0 -1 -0x2c01 -0x3714496 -256 -256 -0 -0 -0 -8 -0x5ade -0xe3220787 -256 -256 -0 -0 -0 -7 -0xbd00 -0x89d3c5ea -256 -256 -1 -0 -0 -4 -0x360e -0x4ccd9c67 -256 -256 -0 -0 -0 -1 -0x5a21 -0x337d7526 -256 -256 -0 -0 -0 -3 -0xe968 -0xb26a62e8 -256 -256 -1 -0 -0 -5 -0x7a1a -0x6cf51567 -256 -256 -1 -0 -0 -3 -0x17f7 -0xfaf12399 -256 -256 -0 -0 -0 -6 -0x1cf8 -0xd7beb9ac -256 -256 -0 -0 -0 -3 -0x3bba -0x2ac68c5e -256 -256 -1 -0 -0 -10 -0xe871 -0x40ef75e -256 -256 -0 -0 -0 -4 -0x9c36 -0x67f39379 -256 -256 -1 -0 -0 -9 -0x828f -0xfad36e54 -256 -256 -1 -0 -0 -9 -0x9c7e -0x53b04898 -256 -256 -1 -0 -0 -9 -0x66fc -0x443ce91f -256 -256 -1 -0 -0 -3 -0x597a -0x963fef5c -256 -256 -0 -0 -0 -1 -0x52b9 -0x3d8d91c -256 -256 -0 -0 -0 -4 -0x95be -0x876f9625 -256 -256 -1 -0 -0 -3 -0x686e -0xa847ad21 -256 -256 -1 -0 -0 -4 -0x7243 -0xbf682208 -256 -256 -0 -0 -0 -6 -0x1c4f -0x935357d7 -256 -256 -1 -0 -0 -4 -0xfffb -0x77de28cc -256 -256 -0 -0 -0 -9 -0x7973 -0xdb9d9b10 -256 -256 -0 -0 -0 -4 -0x9da1 -0xf509461 -256 -256 -1 -0 -0 -4 -0x9843 -0x848233af -256 -256 -1 -0 -0 -5 -0xb7ce -0x10545659 -256 -256 -0 -0 -0 -8 -0xe5cb -0xebda3005 -256 -256 -1 -0 -0 -10 -0x21b3 -0x1f0b37ae -256 -256 -0 -0 -0 -9 -0xca01 -0xd5a05a7b -256 -256 -1 -0 -0 -7 -0x7ec2 -0xcb89d98 -256 -256 -0 -0 -0 -2 -0x25a8 -0xab2de3eb -256 -256 -0 -0 -0 -5 -0xc9cd -0x662415b3 -256 -256 -1 -0 -0 -9 -0x1835 -0x98a88d56 -256 -256 -0 -0 -0 -5 -0x42db -0x2c5ffd07 -256 -256 -1 -0 -0 -9 -0xa6ec -0x707f4dff -256 -256 -0 -0 -0 -4 -0xaecf -0xf3b153d7 -256 -256 -0 -0 -0 -2 -0x76fd -0x533d70c7 -256 -256 -1 -0 -0 -9 -0x981a -0xae02cc51 -256 -256 -0 -0 -0 -1 -0x1638 -0x275eb702 -256 -256 -0 -0 -0 -5 -0x3673 -0x84c8b771 -256 -256 -0 -0 -0 -4 -0x7d01 -0x54e1871 -256 -256 -0 -0 -0 -4 -0x1c39 -0x707a40ab -256 -256 -0 -0 -0 -4 -0xd730 -0xac58c5ab -256 -256 -1 -0 -0 -6 -0x851e -0xf7f51be2 -256 -256 -1 -0 -0 -1 -0xb634 -0x2c085c29 -256 -256 -1 -0 -0 -1 -0xd097 -0x5335b33 -256 -256 -1 -0 -0 -7 -0x17c3 -0xa5b639d8 -256 -256 -0 -0 -0 -9 -0x4fe6 -0x74ba7d8e -256 -256 -0 -0 -0 -8 -0xe169 -0xb3f43e3d -256 -256 -1 -0 -0 -2 -0xa834 -0x9214206f -256 -256 -1 -0 -0 -4 -0x7e24 -0xbe0f0481 -256 -256 -1 -0 -0 -8 -0x5bb0 -0x2fd29ee4 -256 -256 -1 -0 -0 -9 -0x5886 -0x167b764c -256 -256 -0 -0 -0 -2 -0xc61e -0x45901606 -256 -256 -1 -0 -0 -5 -0x79be -0xfb852a94 -256 -256 -0 -0 -0 -2 -0x2d22 -0xa788f6c -256 -256 -1 -0 -0 -1 -0x36a5 -0xf7fad503 -256 -256 -1 -0 -0 -9 -0xeff -0x3c5d2fdf -256 -256 -0 -0 -0 -5 -0x7600 -0x168b941f -256 -256 -0 -0 -0 -6 -0xc95 -0x5cae861c -256 -256 -0 -0 -0 -2 -0xa2f8 -0x5732d68 -256 -256 -1 -0 -0 -2 -0xbce8 -0xd87d28b3 -256 -256 -1 -0 -0 -2 -0x2144 -0xdd957b4b -256 -256 -1 -0 -0 -10 -0x8b7f -0xcc06799b -256 -256 -0 -0 -0 -9 -0x7c34 -0x58e9e099 -256 -256 -1 -0 -0 -4 -0x2d4c -0xf55ece73 -256 -256 -0 -0 -0 -10 -0x9772 -0x60d2d7c0 -256 -256 -0 -0 -0 -2 -0x740f -0x8263b4a -256 -256 -1 -0 -0 -6 -0xb08d -0xd82f4faf -256 -256 -1 -0 -0 -3 -0x4cf9 -0x23d0c036 -256 -256 -0 -0 -0 -3 -0x7014 -0xd84f09a3 -256 -256 -1 -0 -0 -5 -0x3d4d -0x496dd667 -256 -256 -1 -0 -0 -10 -0x354e -0x1a9c2a72 -256 -256 -0 -0 -0 -7 -0x9933 -0xf6c2883e -256 -256 -0 -0 -0 -6 -0x623d -0x9ef69c13 -256 -256 -1 -0 -0 -6 -0x54fc -0x2fb1799a -256 -256 -1 -0 -0 -2 -0xc95b -0xf654342c -256 -256 -1 -0 -0 -10 -0xe2dd -0xfe931cb8 -256 -256 -0 -0 -0 -5 -0xaa3a -0x8612d9ee -256 -256 -1 -0 -0 -10 -0xd3a1 -0x75592905 -256 -256 -0 -0 -0 -6 -0x49f6 -0x3a7704b9 -256 -256 -0 -0 -0 -7 -0x676b -0x845c783b -256 -256 -1 -0 -0 -8 -0xeaf0 -0xf85bc037 -256 -256 -0 -0 -0 -9 -0x6cac -0xf67aa8f1 -256 -256 -0 -0 -0 -5 -0xfcd1 -0x75d4c5aa -256 -256 -0 -0 -0 -4 -0xbe71 -0xaa184360 -256 -256 -1 -0 -0 -4 -0xaa80 -0x89576b0b -256 -256 -0 -0 -0 -2 -0xcbbb -0x1b107f24 -256 -256 -0 -0 -0 -4 -0x16a9 -0xda8f92a6 -256 -256 -1 -0 -0 -5 -0x2e49 -0x2458c912 -256 -256 -1 -0 -0 -1 -0x863e -0x7afa1564 -256 -256 -0 -0 -0 -6 -0x270c -0x49b051e8 -256 -256 -0 -0 -0 -10 -0x60dc -0x6a4b273e -256 -256 -1 -0 -0 -5 -0xe901 -0x73f85536 -256 -256 -0 -0 -0 -5 -0x36d2 -0x815dc7f9 -256 -256 -0 -0 -0 -4 -0x4eea -0xdfbfd4e4 -256 -256 -1 -0 -0 -4 -0xdd61 -0x869ebddb -256 -256 -0 -0 -0 -5 -0x4264 -0xfb9e9342 -256 -256 -0 -0 -0 -7 -0xd5a8 -0x7dfe3fe4 -256 -256 -1 -0 -0 -3 -0x4a74 -0xef7b0a93 -256 -256 -1 -0 -0 -6 -0xed9b -0xde3176be -256 -256 -1 -0 -0 -9 -0xe250 -0xdd55803 -256 -256 -1 -0 -0 -4 -0x2fb2 -0xd4b5e8de -256 -256 -1 -0 -0 -6 -0x95c0 -0x2c6007e4 -256 -256 -0 -0 -0 -6 -0x5a79 -0xfabde35e -256 -256 -1 -0 -0 -8 -0xafb5 -0x2c10889b -256 -256 -1 -0 -0 -1 -0xf5d -0x50468a5d -256 -256 -0 -0 -0 -2 -0x8232 -0xf1cb5169 -256 -256 -0 -0 -0 -8 -0xabd9 -0x1d61595d -256 -256 -0 -0 -0 -1 -0x3cc6 -0x1b31d4a8 -256 -256 -1 -0 -0 -5 -0xfbcf -0x3e6c3950 -256 -256 -1 -0 -0 -3 -0x4632 -0xc2d01af5 -256 -256 -0 -0 -0 -10 -0xefe3 -0x6ecf72ee -256 -256 -1 -0 -0 -2 -0xcf68 -0x97d6d5aa -256 -256 -1 -0 -0 -9 -0x75b8 -0xe52b4ed8 -256 -256 -0 -0 -0 -8 -0x6453 -0x5cb2bc14 -256 -256 -0 -0 -0 -6 -0x4a97 -0x348864da -256 -256 -0 -0 -0 -3 -0xe68a -0x78bb0345 -256 -256 -0 -0 -0 -3 -0xb7f7 -0xc596a58c -256 -256 -1 -0 -0 -4 -0xc1b -0xe9523b14 -256 -256 -0 -0 -0 -7 -0xf5be -0xda4fbf8a -256 -256 -1 -0 -0 -1 -0xbfa1 -0x92e98347 -256 -256 -0 -0 -0 -5 -0xd803 -0x90051f5d -256 -256 -0 -0 -0 -2 -0x8ceb -0xfcd69df -256 -256 -1 -0 -0 -5 -0x4ef1 -0xd87dcb4f -256 -256 -1 -0 -0 -3 -0xa6e9 -0xd4ae42ad -256 -256 -0 -0 -0 -2 -0x1365 -0x37cb94c5 -256 -256 -0 -0 -0 -8 -0x460b -0x526fd832 -256 -256 -1 -0 -0 -6 -0xb1a3 -0xcbaa39c1 -256 -256 -1 -0 -0 -8 -0xf501 -0x53ed2b4e -256 -256 -0 -0 -0 -5 -0xbb11 -0x163ecd16 -256 -256 -0 -0 -0 -2 -0xa5cf -0x732596f1 -256 -256 -0 -0 -0 -7 -0x89cd -0xb796a3fe -256 -256 -0 -0 -0 -4 -0xffe6 -0x1c35d9b2 -256 -256 -1 -0 -0 -7 -0x8f20 -0xe2dfb622 -256 -256 -0 -0 -0 -2 -0xe5b8 -0x895a311 -256 -256 -0 -0 -0 -10 -0xbfb7 -0x29d9616d -256 -256 -1 -0 -0 -7 -0xa08c -0x7613787e -256 -256 -1 -0 -0 -9 -0x59b1 -0x2281cf14 -256 -256 -1 -0 -0 -8 -0x1940 -0xc8304415 -256 -256 -1 -0 -0 -4 -0x4ec5 -0xf30aa950 -256 -256 -0 -0 -0 -5 -0x19b5 -0xd76b2448 -256 -256 -1 -0 -0 -5 -0xf61c -0xa759a1af -256 -256 -0 -0 -0 -4 -0x9059 -0x6cbb0e65 -256 -256 -1 -0 -0 -7 -0x2ba9 -0x52c25849 -256 -256 -1 -0 -0 -2 -0xf0a9 -0x78ca7645 -256 -256 -0 -0 -0 -7 -0x7b3b -0xa9c4ffd -256 -256 -0 -0 -0 -1 -0x5290 -0xdfa7eba5 -256 -256 -1 -0 -0 -6 -0xa9df -0x4ea090cc -256 -256 -1 -0 -0 -5 -0x738b -0x595362c0 -256 -256 -0 -0 -0 -2 -0xdaa8 -0x1915ed43 -256 -256 -0 -0 -0 -8 -0x54d2 -0x99dfdd39 -256 -256 -1 -0 -0 -2 -0xdcfc -0x1f01dea5 -256 -256 -1 -0 -0 -1 -0xc714 -0xae406976 -256 -256 -0 -0 -0 -7 -0x1bb1 -0xb3374d97 -256 -256 -0 -0 -0 -9 -0x261e -0x99566426 -256 -256 -0 -0 -0 -6 -0xca87 -0xbd1b01bd -256 -256 -0 -0 -0 -4 -0x14ae -0xfc1d2319 -256 -256 -1 -0 -0 -9 -0x5d7e -0x30c1573a -256 -256 -0 -0 -0 -5 -0x3e68 -0x229401fb -256 -256 -0 -0 -0 -4 -0xbd6d -0xbcec4a25 -256 -256 -1 -0 -0 -6 -0x459 -0xd6b017ad -256 -256 -1 -0 -0 -2 -0xe3e7 -0x352d06b3 -256 -256 -0 -0 -0 -4 -0x483e -0xff1e80fa -256 -256 -1 -0 -0 -9 -0xc536 -0x220f958c -256 -256 -0 -0 -0 -1 -0x1098 -0x10fd1211 -256 -256 -1 -0 -0 -2 -0x7a11 -0x242d5062 -256 -256 -0 -0 -0 -9 -0x8bcc -0xaa5f6316 -256 -256 -1 -0 -0 -9 -0x819 -0x5915045a -256 -256 -1 -0 -0 -10 -0x5138 -0x9677b9ea -256 -256 -0 -0 -0 -4 -0x1fea -0xf813af31 -256 -256 -1 -0 -0 -5 -0xad55 -0x1406a34 -256 -256 -1 -0 -0 -10 -0xb130 -0x8d7ac64a -256 -256 -0 -0 -0 -1 -0xc5c9 -0xa8278bc0 -256 -256 -1 -0 -0 -3 -0x955 -0xa837bddb -256 -256 -0 -0 -0 -2 -0x14d0 -0x68f2000f -256 -256 -0 -0 -0 -8 -0x7ff7 -0x13dc4eb -256 -256 -0 -0 -0 -10 -0x75e3 -0x4f10ce42 -256 -256 -1 -0 -0 -3 -0xe1c7 -0x416e147d -256 -256 -1 -0 -0 -1 -0xa46 -0x60adc9d4 -256 -256 -1 -0 -0 -9 -0xa238 -0x20a1762a -256 -256 -0 -0 -0 -2 -0x45d2 -0x113aa8a2 -256 -256 -1 -0 -0 -10 -0x9b8 -0x204269f -256 -256 -1 -0 -0 -7 -0x1e61 -0x5e3c813f -256 -256 -0 -0 -0 -5 -0x4b40 -0xc5fd8e06 -256 -256 -1 -0 -0 -6 -0x6ed -0xefd46d20 -256 -256 -0 -0 -0 -8 -0x60fb -0xa50ad47d -256 -256 -0 -0 -0 -2 -0x6e58 -0xc87c4c7d -256 -256 -0 -0 -0 -1 -0x20a -0xddbc6898 -256 -256 -0 -0 -0 -9 -0xc510 -0x327a1af1 -256 -256 -1 -0 -0 -2 -0x6edb -0x6c2a3021 -256 -256 -0 -0 -0 -10 -0x689f -0xce086ed0 -256 -256 -1 -0 -0 -8 -0x72f9 -0x4d9c029f -256 -256 -1 -0 -0 -1 -0x9696 -0x49f61d67 -256 -256 -0 -0 -0 -9 -0xc5f4 -0xa598fb54 -256 -256 -1 -0 -0 -4 -0xdeb8 -0xd107d3a5 -256 -256 -1 -0 -0 -9 -0xeb2c -0xbd0645b4 -256 -256 -0 -0 -0 -7 -0xbf3a -0x81adb1c7 -256 -256 -1 -0 -0 -1 -0x3d68 -0x53922fbe -256 -256 -0 -0 -0 -10 -0x8415 -0x2d6ca6d6 -256 -256 -1 -0 -0 -7 -0xbc3a -0x5cd9e890 -256 -256 -1 -0 -0 -6 -0x81ac -0xa7bd9501 -256 -256 -0 -0 -0 -2 -0x5c0b -0x97d1f963 -256 -256 -0 -0 -0 -8 -0xdbbf -0x1818bb0f -256 -256 -1 -0 -0 -4 -0xcfa9 -0x82eedff8 -256 -256 -1 -0 -0 -7 -0x1d3 -0x421e6b60 -256 -256 -1 -0 -0 -1 -0xbe76 -0xba844c52 -256 -256 -1 -0 -0 -10 -0x6d7e -0xf3bda2d7 -256 -256 -0 -0 -0 -9 -0x4868 -0xa8b53e89 -256 -256 -1 -0 -0 -6 -0xb1a2 -0xaaeff4c1 -256 -256 -0 -0 -0 -1 -0xc483 -0xea15b5a1 -256 -256 -1 -0 -0 -2 -0x2346 -0xe0a7b667 -256 -256 -1 -0 -0 -6 -0x4b53 -0x8c73c62 -256 -256 -1 -0 -0 -7 -0x8402 -0x85ffb3cb -256 -256 -0 -0 -0 -5 -0xd1f4 -0x466cf10d -256 -256 -0 -0 -0 -2 -0x7633 -0x42c2efcd -256 -256 -0 -0 -0 -6 -0x2f2 -0xc64437fd -256 -256 -1 -0 -0 -4 -0xa340 -0x32501cf6 -256 -256 -1 -0 -0 -6 -0x8ca2 -0x4c594d14 -256 -256 -1 -0 -0 -8 -0x8a03 -0xa7ab203e -256 -256 -0 -0 -0 -5 -0x8084 -0x42159ab6 -256 -256 -0 -0 -0 -5 -0x4393 -0xc351b9b6 -256 -256 -1 -0 -0 -2 -0xe857 -0x45814428 -256 -256 -1 -0 -0 -5 -0x4875 -0xdec85459 -256 -256 -1 -0 -0 -7 -0x4a88 -0xc838591c -256 -256 -1 -0 -0 -10 -0x1349 -0x51ad5c95 -256 -256 -1 -0 -0 -2 -0x52e4 -0x767e682f -256 -256 -1 -0 -0 -3 -0xd02c -0x6aee319 -256 -256 -0 -0 -0 -9 -0xfad7 -0x1ca198f0 -256 -256 -1 -0 -0 -9 -0x2cb7 -0x5b3a03f2 -256 -256 -1 -0 -0 -1 -0x6b4f -0x436c91f -256 -256 -1 -0 -0 -10 -0xf68c -0x2af08e1a -256 -256 -1 -0 -0 -2 -0x3702 -0xfabcebce -256 -256 -1 -0 -0 -10 -0x1540 -0x4b1d1422 -256 -256 -1 -0 -0 -6 -0xd8cd -0x6586f636 -256 -256 -0 -0 -0 -7 -0xc22e -0x210410ef -256 -256 -0 -0 -0 -10 -0x8707 -0xe80579fa -256 -256 -0 -0 -0 -6 -0xbec3 -0x410dc68e -256 -256 -0 -0 -0 -7 -0x31fd -0xed571523 -256 -256 -1 -0 -0 -4 -0x60b6 -0xfacda35 -256 -256 -1 -0 -0 -3 -0x262b -0xea6a4611 -256 -256 -1 -0 -0 -1 -0xc310 -0x2310facb -256 -256 -1 -0 -0 -10 -0x6c03 -0x44b8f977 -256 -256 -0 -0 -0 -10 -0x93bc -0x7c479bf5 -256 -256 -0 -0 -0 -9 -0x8773 -0x785899a -256 -256 -0 -0 -0 -4 -0x5f92 -0x4f783a29 -256 -256 -0 -0 -0 -8 -0xbdeb -0x74785af2 -256 -256 -0 -0 -0 -6 -0xbca9 -0xcb4541d1 -256 -256 -0 -0 -0 -4 -0x7d04 -0x3c7581f1 -256 -256 -1 -0 -0 -2 -0x282f -0x89c566fc -256 -256 -1 -0 -0 -8 -0x48cd -0x93f60cf8 -256 -256 -0 -0 -0 -9 -0x9930 -0xd394e0e6 -256 -256 -0 -0 -0 -8 -0xde70 -0x9ff5697e -256 -256 -0 -0 -0 -6 -0x1fb9 -0x2da728ff -256 -256 -1 -0 -0 -6 -0x145 -0x736a953c -256 -256 -1 -0 -0 -1 -0x25da -0x1e76a2e9 -256 -256 -1 -0 -0 -7 -0xa7fe -0x8c457f9b -256 -256 -1 -0 -0 -6 -0x41a7 -0x21e06edf -256 -256 -0 -0 -0 -1 -0x184a -0xea509161 -256 -256 -1 -0 -0 -3 -0xb27a -0x93e927f0 -256 -256 -1 -0 -0 -6 -0xf648 -0x972be450 -256 -256 -0 -0 -0 -2 -0xb3b4 -0x59a82650 -256 -256 -1 -0 -0 -5 -0x8c41 -0xe22f17e4 -256 -256 -0 -0 -0 -3 -0xce60 -0x76c946fa -256 -256 -0 -0 -0 -8 -0x3546 -0xcc503127 -256 -256 -1 -0 -0 -10 -0x3aae -0x2fdc7f56 -256 -256 -0 -0 -0 -5 -0xfbf -0xb2570b37 -256 -256 -1 -0 -0 -4 -0xb138 -0xa933d078 -256 -256 -1 -0 -0 -7 -0xb1b4 -0x352b8f3 -256 -256 -1 -0 -0 -6 -0x3f03 -0x24936d8f -256 -256 -0 -0 -0 -1 -0x312a -0x41709546 -256 -256 -1 -0 -0 -3 -0x5efa -0xf37e276e -256 -256 -1 -0 -0 -9 -0xf55f -0x6229ee8a -256 -256 -0 -0 -0 -2 -0x65a8 -0xdc69db59 -256 -256 -0 -0 -0 -7 -0xd6a7 -0x83a89ac6 -256 -256 -0 -0 -0 -6 -0x589d -0x8bf9aa70 -256 -256 -0 -0 -0 -3 -0xbafe -0x328ebf13 -256 -256 -0 -0 -0 -7 -0x5634 -0xa8ad8060 -256 -256 -0 -0 -0 -8 -0x5b46 -0x480eac70 -256 -256 -1 -0 -0 -8 -0xba09 -0x39120a5e -256 -256 -1 -0 -0 -5 -0xdf -0x475be3bb -256 -256 -0 -0 -0 -3 -0x11e2 -0xf2419a3 -256 -256 -0 -0 -0 -3 -0x91df -0x39cf2f0c -256 -256 -0 -0 -0 -8 -0xab05 -0x24c16123 -256 -256 -1 -0 -0 -10 -0x7f85 -0xb77bba8b -256 -256 -1 -0 -0 -9 -0x1b2e -0xe382a801 -256 -256 -1 -0 -0 -3 -0x7374 -0x6b1835d1 -256 -256 -1 -0 -0 -8 -0x45b -0xe35013f9 -256 -256 -0 -0 -0 -3 -0x4956 -0x8902e7ff -256 -256 -1 -0 -0 -9 -0xc395 -0x8ca416bb -256 -256 -0 -0 -0 -10 -0x1b1f -0x33eefbe2 -256 -256 -0 -0 -0 -6 -0xe07b -0x75cd9f46 -256 -256 -1 -0 -0 -9 -0x5d56 -0x88e7423a -256 -256 -1 -0 -0 -3 -0x6eae -0x3c552e70 -256 -256 -0 -0 -0 -10 -0xca5b -0xdb991273 -256 -256 -1 -0 -0 -1 -0x952c -0xfba67b9d -256 -256 -0 -0 -0 -8 -0x4b1a -0x48f6ae88 -256 -256 -0 -0 -0 -7 -0xffe4 -0xe0893e6f -256 -256 -1 -0 -0 -1 -0x6983 -0x1fc1092f -256 -256 -0 -0 -0 -1 -0x8265 -0x4933f967 -256 -256 -0 -0 -0 -1 -0xa565 -0xb1ac531a -256 -256 -0 -0 -0 -3 -0x4489 -0x3cf5979e -256 -256 -1 -0 -0 -8 -0x50ed -0x894d7daa -256 -256 -1 -0 -0 -2 -0x6842 -0x9892512e -256 -256 -0 -0 -0 -7 -0xcc9d -0x7787545d -256 -256 -0 -0 -0 -7 -0x5a16 -0xd86b82f3 -256 -256 -1 -0 -0 -5 -0x1b5f -0xd5ca4452 -256 -256 -0 -0 -0 -2 -0xf928 -0xd9093f7 -256 -256 -0 -0 -0 -9 -0x4648 -0xf81f6748 -256 -256 -0 -0 -0 -7 -0x4490 -0x844e05de -256 -256 -0 -0 -0 -8 -0xe498 -0x46590d58 -256 -256 -0 -0 -0 -9 -0xfea6 -0xbc94afa4 -256 -256 -0 -0 -0 -10 -0x71c3 -0xbcfc7930 -256 -256 -0 -0 -0 -4 -0x93a0 -0x6dccaff2 -256 -256 -1 -0 -0 -10 -0x5fb6 -0x1ae8df9b -256 -256 -0 -0 -0 -4 -0x83ba -0xab1b0797 -256 -256 -1 -0 -0 -2 -0xca8 -0x83c06909 -256 -256 -0 -0 -0 -8 -0x4d4b -0xc0e62387 -256 -256 -0 -0 -0 -6 -0x3c2b -0xcf429689 -256 -256 -1 -0 -0 -8 -0x4672 -0x451b9c32 -256 -256 -0 -0 -0 -5 -0x6f0a -0x903dc48 -256 -256 -0 -0 -0 -7 -0x110 -0xa185e522 -256 -256 -0 -0 -0 -8 -0x37bf -0xbb5ca090 -256 -256 -0 -0 -0 -6 -0x85b1 -0x3fb7241f -256 -256 -0 -0 -0 -6 -0x38db -0xdacad8e4 -256 -256 -1 -0 -0 -3 -0x3aaf -0x2f80dd9b -256 -256 -1 -0 -0 -8 -0x2331 -0xaa861bb3 -256 -256 -1 -0 -0 -8 -0x971c -0x57ea590d -256 -256 -0 -0 -0 -3 -0xfdee -0x5a4c9d21 -256 -256 -0 -0 -0 -4 -0xa2d2 -0x57e7595a -256 -256 -1 -0 -0 -6 -0x340c -0x66f4ebc8 -256 -256 -1 -0 -0 -8 -0x32b2 -0x13467c6a -256 -256 -0 -0 -0 -7 -0xbee -0x7552a777 -256 -256 -0 -0 -0 -10 -0x5b49 -0x79e8f410 -256 -256 -1 -0 -0 -5 -0x9363 -0x7a77abb5 -256 -256 -1 -0 -0 -7 -0x982c -0xd2c3ae7c -256 -256 -1 -0 -0 -9 -0x8a92 -0xc66e1919 -256 -256 -1 -0 -0 -8 -0xb0ea -0x8148647b -256 -256 -1 -0 -0 -3 -0x1887 -0x1c5d7375 -256 -256 -0 -0 -0 -4 -0x6c54 -0x8f1db165 -256 -256 -0 -0 -0 -8 -0x2d6d -0xccb825d2 -256 -256 -1 -0 -0 -3 -0x8845 -0x4b656c89 -256 -256 -1 -0 -0 -6 -0x6b3a -0x626c6627 -256 -256 -1 -0 -0 -5 -0xa9b2 -0x2f6276d8 -256 -256 -0 -0 -0 -10 -0x16bd -0x2bcf4af7 -256 -256 -0 -0 -0 -8 -0x14e -0x81c383d6 -256 -256 -1 -0 -0 -2 -0x593d -0xcfeacac9 -256 -256 -1 -0 -0 -9 -0x1af6 -0x29b76e46 -256 -256 -0 -0 -0 -3 -0x8545 -0x59a12a8 -256 -256 -0 -0 -0 -1 -0x4cc7 -0x336f21bb -256 -256 -0 -0 -0 -10 -0xdd9a -0xd97d4026 -256 -256 -1 -0 -0 -7 -0x3bc8 -0x6bca0dd0 -256 -256 -0 -0 -0 -8 -0xbf0d -0x6fcd94df -256 -256 -1 -0 -0 -4 -0x2091 -0x780c818e -256 -256 -1 -0 -0 -2 -0x2f8c -0x9600523c -256 -256 -0 -0 -0 -4 -0xd4f9 -0x1f7372a5 -256 -256 -0 -0 -0 -2 -0x3d5a -0x7a543d91 -256 -256 -0 -0 -0 -6 -0xa029 -0xe8086901 -256 -256 -1 -0 -0 -3 -0x40f6 -0x51116743 -256 -256 -0 -0 -0 -10 -0x8b8e -0x1594a8a3 -256 -256 -1 -0 -0 -8 -0x8af -0xc46677d -256 -256 -0 -0 -0 -5 -0xa02d -0x90395589 -256 -256 -0 -0 -0 -10 -0x2ecc -0xc0641960 -256 -256 -0 -0 -0 -5 -0x575e -0x36d0faa7 -256 -256 -1 -0 -0 -10 -0xfe20 -0xd8d20fa8 -256 -256 -1 -0 -0 -10 -0x54b -0x1c3f14f0 -256 -256 -0 -0 -0 -5 -0xa879 -0x1357e82f -256 -256 -1 -0 -0 -4 -0xd5a9 -0x977c30f6 -256 -256 -1 -0 -0 -8 -0x2d55 -0xb275b9d1 -256 -256 -1 -0 -0 -9 -0x5fe5 -0xbb75c68f -256 -256 -0 -0 -0 -9 -0xafd7 -0x1a3e5781 -256 -256 -0 -0 -0 -6 -0x68fe -0xbbe52f81 -256 -256 -1 -0 -0 -3 -0x8256 -0x3b011e35 -256 -256 -1 -0 -0 -6 -0xedd8 -0xec9640df -256 -256 -0 -0 -0 -5 -0x5057 -0x1bf85ea3 -256 -256 -1 -0 -0 -2 -0x8c60 -0x7b0b812a -256 -256 -1 -0 -0 -10 -0x27fe -0x94fd964d -256 -256 -0 -0 -0 -7 -0x1540 -0xaea81183 -256 -256 -0 -0 -0 -9 -0xc362 -0xefdb98ef -256 -256 -0 -0 -0 -3 -0x73f -0x2a1cc763 -256 -256 -0 -0 -0 -10 -0xf324 -0x41876ec6 -256 -256 -0 -0 -0 -8 -0xf341 -0xf0ec34b2 -256 -256 -0 -0 -0 -7 -0x7ddf -0xc8ac24ce -256 -256 -0 -0 -0 -5 -0xe2c -0x3d60667b -256 -256 -0 -0 -0 -5 -0xd659 -0x773806f6 -256 -256 -1 -0 -0 -1 -0x4a8f -0xe1d2d588 -256 -256 -0 -0 -0 -4 -0xb7b -0xed1c01a3 -256 -256 -1 -0 -0 -1 -0xb91d -0xd5594cd3 -256 -256 -0 -0 -0 -3 -0x900b -0xbddfa796 -256 -256 -1 -0 -0 -7 -0xdb53 -0xbe6a92e -256 -256 -1 -0 -0 -6 -0xe08d -0x9af47e83 -256 -256 -0 -0 -0 -4 -0x148a -0xf6e989e7 -256 -256 -1 -0 -0 -5 -0x7d50 -0xd4d5f175 -256 -256 -1 -0 -0 -2 -0x52f0 -0xdc3f7838 -256 -256 -1 -0 -0 -9 -0x1beb -0x13e429b0 -256 -256 -1 -0 -0 -6 -0x3d0 -0x929eac3b -256 -256 -1 -0 -0 -2 -0x7038 -0x965867f3 -256 -256 -1 -0 -0 -8 -0x6075 -0xb98147ee -256 -256 -0 -0 -0 -7 -0x1f5e -0x537d8b4 -256 -256 -1 -0 -0 -10 -0x978e -0x28fa06bd -256 -256 -1 -0 -0 -1 -0x5060 -0x3e0bc61c -256 -256 -1 -0 -0 -3 -0x8a6f -0xe39c695a -256 -256 -0 -0 -0 -5 -0x9352 -0x13681d84 -256 -256 -0 -0 -0 -10 -0xf30f -0x38e6bde4 -256 -256 -1 -0 -0 -6 -0xdb4b -0xa990d7b1 -256 -256 -1 -0 -0 -10 -0x2c00 -0xc7c51d7c -256 -256 -1 -0 -0 -5 -0x10ee -0x9dc239a3 -256 -256 -0 -0 -0 -6 -0xe873 -0x81ac6d03 -256 -256 -1 -0 -0 -6 -0x30df -0x7b1a30e0 -256 -256 -0 -0 -0 -4 -0xbdb7 -0xd30cbb47 -256 -256 -0 -0 -0 -9 -0xd89e -0xd55cf28c -256 -256 -0 -0 -0 -7 -0x96fd -0xeafa45be -256 -256 -0 -0 -0 -10 -0x131b -0x7693181f -256 -256 -0 -0 -0 -5 -0xbc67 -0x28d364af -256 -256 -1 -0 -0 -10 -0xb87a -0x5913c2d6 -256 -256 -0 -0 -0 -6 -0x4ed2 -0x125359ea -256 -256 -0 -0 -0 -5 -0x7b1f -0x461d281b -256 -256 -1 -0 -0 -1 -0x6b0b -0xd05ed9ab -256 -256 -1 -0 -0 -4 -0xbc42 -0xc13000e4 -256 -256 -0 -0 -0 -6 -0xdab6 -0x13f92c76 -256 -256 -0 -0 -0 -8 -0xa3b8 -0xe8fb8a1c -256 -256 -0 -0 -0 -10 -0xab25 -0x1baa416b -256 -256 -1 -0 -0 -4 -0xf1e5 -0x4fcf52b2 -256 -256 -1 -0 -0 -8 -0xd16d -0x8e9f263b -256 -256 -1 -0 -0 -5 -0xb45f -0x6040805b -256 -256 -0 -0 -0 -8 -0xff0b -0x79af74b -256 -256 -1 -0 -0 -2 -0xd2ce -0xa0944566 -256 -256 -1 -0 -0 -7 -0x1e1c -0x1561d0d0 -256 -256 -0 -0 -0 -1 -0x2181 -0x34b84c0e -256 -256 -1 -0 -0 -3 -0x5ef0 -0xbf125455 -256 -256 -0 -0 -0 -8 -0x8a32 -0x6633628e -256 -256 -1 -0 -0 -5 -0xc26 -0x75332b90 -256 -256 -1 -0 -0 -1 -0x93f2 -0x55199d8a -256 -256 -0 -0 -0 -10 -0x4f19 -0x545fafa9 -256 -256 -1 -0 -0 -8 -0x23c4 -0x4b80f341 -256 -256 -0 -0 -0 -7 -0x6765 -0x62d4a07f -256 -256 -1 -0 -0 -3 -0x1774 -0x17095669 -256 -256 -1 -0 -0 -5 -0xc9b8 -0x3a7d7374 -256 -256 -0 -0 -0 -6 -0xec07 -0xf48ff0d5 -256 -256 -0 -0 -0 -8 -0x5960 -0x7f055ae7 -256 -256 -0 -0 -0 -7 -0x5cad -0x228ad636 -256 -256 -1 -0 -0 -1 -0x2ebe -0xde68dafe -256 -256 -1 -0 -0 -10 -0x7af -0x73742894 -256 -256 -0 -0 -0 -8 -0x7c62 -0xefbb9d0f -256 -256 -0 -0 -0 -2 -0xe0f8 -0x29e7411f -256 -256 -0 -0 -0 -2 -0x465b -0x7f001de1 -256 -256 -1 -0 -0 -5 -0x6de8 -0xa81a0097 -256 -256 -0 -0 -0 -3 -0xa8c1 -0x36ffd9f -256 -256 -0 -0 -0 -4 -0xffc1 -0x84d05df5 -256 -256 -1 -0 -0 -3 -0xbd55 -0x5597b6a9 -256 -256 -0 -0 -0 -1 -0xa08 -0xac6a4c80 -256 -256 -0 -0 -0 -6 -0x1789 -0x8fe56248 -256 -256 -0 -0 -0 -10 -0x9dae -0xf04c2fa6 -256 -256 -1 -0 -0 -6 -0x84f8 -0x60cdeebd -256 -256 -1 -0 -0 -4 -0xdb2e -0x3fb5152d -256 -256 -0 -0 -0 -7 -0xdd60 -0x9832cf45 -256 -256 -0 -0 -0 -3 -0x7110 -0xeb37e75c -256 -256 -0 -0 -0 -6 -0xe56b -0xceb198c8 -256 -256 -1 -0 -0 -10 -0xb9ad -0xa97b6b0b -256 -256 -0 -0 -0 -6 -0xcd50 -0xed21e2cd -256 -256 -1 -0 -0 -2 -0xd095 -0xd696d7bb -256 -256 -1 -0 -0 -9 -0x304a -0x74558c64 -256 -256 -0 -0 -0 -7 -0xc5fe -0x2812cc1f -256 -256 -1 -0 -0 -1 -0x783c -0xabe67e6a -256 -256 -1 -0 -0 -8 -0xb42b -0x998731ee -256 -256 -0 -0 -0 -9 -0x866c -0xa0af6caf -256 -256 -1 -0 -0 -8 -0xd425 -0x50f5ac3e -256 -256 -0 -0 -0 -1 -0x5f5c -0x97c381ca -256 -256 -1 -0 -0 -8 -0xf639 -0xe250d10f -256 -256 -0 -0 -0 -10 -0x61e4 -0x67e5e434 -256 -256 -1 -0 -0 -4 -0x4cd5 -0x63287e6a -256 -256 -0 -0 -0 -2 -0x26a0 -0x3ff28f6f -256 -256 -0 -0 -0 -6 -0x82b4 -0x5604386f -256 -256 -0 -0 -0 -10 -0xd467 -0xfb091930 -256 -256 -0 -0 -0 -10 -0x2301 -0x7b63857f -256 -256 -1 -0 -0 -10 -0x8d48 -0xb492ae90 -256 -256 -0 -0 -0 -6 -0xe092 -0x544eb620 -256 -256 -1 -0 -0 -5 -0xd990 -0xa54de726 -256 -256 -0 -0 -0 -4 -0x43c8 -0x75e9e929 -256 -256 -0 -0 -0 -2 -0x82a6 -0x155f8ee -256 -256 -1 -0 -0 -1 -0x51f7 -0xef6f0b57 -256 -256 -0 -0 -0 -4 -0x79a6 -0xb4f654e5 -256 -256 -1 -0 -0 -3 -0xe46d -0x825fbe13 -256 -256 -0 -0 -0 -3 -0x8566 -0x824f121d -256 -256 -0 -0 -0 -7 -0xb77b -0xaf632713 -256 -256 -0 -0 -0 -9 -0x3b28 -0x81ddcc17 -256 -256 -1 -0 -0 -6 -0x1548 -0x7bf3e361 -256 -256 -0 -0 -0 -10 -0xe662 -0x121faa38 -256 -256 -1 -0 -0 -9 -0xef31 -0xe7f9cafa -256 -256 -1 -0 -0 -6 -0x9bf7 -0xdaf1dd24 -256 -256 -0 -0 -0 -8 -0x64e9 -0x3fe5e4cf -256 -256 -1 -0 -0 -6 -0x6cdc -0x7d2c89e5 -256 -256 -0 -0 -0 -2 -0xfba1 -0xea336062 -256 -256 -0 -0 -0 -1 -0x3fad -0x3f73a080 -256 -256 -0 -0 -0 -10 -0x77fc -0x39e9adbc -256 -256 -1 -0 -0 -9 -0x183c -0x84d07a19 -256 -256 -1 -0 -0 -5 -0xbc1f -0x96316c50 -256 -256 -0 -0 -0 -4 -0x5a9a -0x18ea23bd -256 -256 -1 -0 -0 -10 -0x4bf8 -0xd2ee059c -256 -256 -0 -0 -0 -7 -0xa589 -0x8d24a998 -256 -256 -0 -0 -0 -6 -0x98ce -0x6ee9cb3e -256 -256 -0 -0 -0 -9 -0xf645 -0x4f988afa -256 -256 -1 -0 -0 -2 -0x756 -0xe643f9bc -256 -256 -0 -0 -0 -6 -0x9ca3 -0x1bfcacd -256 -256 -0 -0 -0 -10 -0x6a1 -0x56c01485 -256 -256 -0 -0 -0 -3 -0x38c0 -0xff59e3cd -256 -256 -0 -0 -0 -2 -0x579e -0x3a072fa9 -256 -256 -1 -0 -0 -1 -0x218c -0x95ce5902 -256 -256 -0 -0 -0 -9 -0x5fa3 -0x3ded140d -256 -256 -1 -0 -0 -9 -0xe4fb -0x1561d2cc -256 -256 -0 -0 -0 -8 -0x4f8c -0x3f03f083 -256 -256 -1 -0 -0 -8 -0xf9fb -0x4dda34d4 -256 -256 -1 -0 -0 -10 -0x998a -0x2c6534f5 -256 -256 -0 -0 -0 -9 -0xa790 -0xa3fac3ae -256 -256 -0 -0 -0 -1 -0x7765 -0xaa5665ae -256 -256 -0 -0 -0 -9 -0x75b7 -0x2023fa36 -256 -256 -1 -0 -0 -3 -0x31dd -0x98bbcfd8 -256 -256 -1 -0 -0 -4 -0xdcc5 -0x7911db3f -256 -256 -1 -0 -0 -4 -0x9bb1 -0x21c90b9 -256 -256 -0 -0 -0 -4 -0x87ed -0x47bb9ea8 -256 -256 -0 -0 -0 -3 -0x80d7 -0xa13c1d87 -256 -256 -1 -0 -0 -2 -0x5d53 -0x78a23f36 -256 -256 -1 -0 -0 -4 -0xd755 -0x4749cd3 -256 -256 -1 -0 -0 -6 -0x96f3 -0x2f13295c -256 -256 -1 -0 -0 -1 -0x18be -0x77133090 -256 -256 -1 -0 -0 -8 -0xa81 -0x7b9252a -256 -256 -0 -0 -0 -1 -0xc910 -0xd18810d3 -256 -256 -0 -0 -0 -4 -0xafa5 -0xec5bcdaf -256 -256 -0 -0 -0 -9 -0xaf12 -0xd5a0a0a9 -256 -256 -0 -0 -0 -8 -0xa47b -0xc8d313f8 -256 -256 -0 -0 -0 -5 -0x7e11 -0x263c5275 -256 -256 -1 -0 -0 -9 -0xf7a1 -0x1ac4ea1c -256 -256 -1 -0 -0 -10 -0x9305 -0x97427b2f -256 -256 -1 -0 -0 -9 -0x823d -0x9f6363c1 -256 -256 -1 -0 -0 -3 -0x4e5f -0x75f77a41 -256 -256 -1 -0 -0 -8 -0xcc -0xe8b56b88 -256 -256 -0 -0 -0 -1 -0x1b5f -0x42a876e3 -256 -256 -1 -0 -0 -5 -0x60e9 -0x61dd6519 -256 -256 -0 -0 -0 -8 -0xc484 -0x90301772 -256 -256 -0 -0 -0 -8 -0xd487 -0x7bd51708 -256 -256 -0 -0 -0 -4 -0x7807 -0x12b0ec81 -256 -256 -0 -0 -0 -10 -0x92c6 -0x8929852f -256 -256 -1 -0 -0 -9 -0x77a0 -0x5e0e6c57 -256 -256 -0 -0 -0 -8 -0x4f11 -0x89ef946c -256 -256 -1 -0 -0 -4 -0xeb27 -0x537f7298 -256 -256 -0 -0 -0 -9 -0x21b9 -0xf684a122 -256 -256 -0 -0 -0 -2 -0xdc8e -0x3d08a959 -256 -256 -1 -0 -0 -7 -0x800e -0x7a98bd55 -256 -256 -1 -0 -0 -2 -0x54ad -0xcf5d2905 -256 -256 -0 -0 -0 -5 -0x8dd3 -0x44095574 -256 -256 -1 -0 -0 -9 -0x46e -0x2578351b -256 -256 -1 -0 -0 -2 -0xcb09 -0x75598232 -256 -256 -1 -0 -0 -1 -0x30bc -0x3778ba0a -256 -256 -1 -0 -0 -4 -0xc2f1 -0xc35d9f0d -256 -256 -1 -0 -0 -7 -0x3392 -0x6c50ed39 -256 -256 -1 -0 -0 -3 -0x82a8 -0x8aacbd4d -256 -256 -1 -0 -0 -7 -0xd996 -0xabcd621f -256 -256 -1 -0 -0 -2 -0x72dd -0xb70d9b3b -256 -256 -0 -0 -0 -3 -0xaa7a -0xce3757b7 -256 -256 -1 -0 -0 -6 -0x3e69 -0x7be3b943 -256 -256 -1 -0 -0 -9 -0x85ab -0x4d88093e -256 -256 -1 -0 -0 -6 -0xcb0a -0xc7b95950 -256 -256 -1 -0 -0 -9 -0x9e65 -0x6e28689d -256 -256 -1 -0 -0 -3 -0xe3e9 -0x483507e8 -256 -256 -1 -0 -0 -5 -0xde9a -0xfec0264c -256 -256 -1 -0 -0 -1 -0x6ae4 -0x586bc7fb -256 -256 -1 -0 -0 -9 -0x1053 -0x1f382736 -256 -256 -0 -0 -0 -8 -0x21e1 -0x439b47c3 -256 -256 -0 -0 -0 -6 -0x2d5 -0x76efc326 -256 -256 -0 -0 -0 -8 -0xc0cc -0x173747c7 -256 -256 -1 -0 -0 -7 -0x4596 -0xa9bfa93f -256 -256 -1 -0 -0 -1 -0x5e5c -0x73081fa6 -256 -256 -0 -0 -0 -10 -0xf21d -0x5eed13f -256 -256 -0 -0 -0 -1 -0x5c6a -0x4ad00bc9 -256 -256 -1 -0 -0 -7 -0x9cf1 -0x1b1307c3 -256 -256 -1 -0 -0 -3 -0xb23 -0xf0874d63 -256 -256 -0 -0 -0 -10 -0xe340 -0x2444083b -256 -256 -1 -0 -0 -2 -0x75ea -0x7ccd8b60 -256 -256 -1 -0 -0 -3 -0xf85 -0xe8f430ad -256 -256 -0 -0 -0 -10 -0x702c -0x2a024c93 -256 -256 -1 -0 -0 -2 -0x9850 -0xb0d21a93 -256 -256 -0 -0 -0 -1 -0xbc1e -0x86d454da -256 -256 -1 -0 -0 -5 -0xcc47 -0xdb76d0c5 -256 -256 -0 -0 -0 -9 -0x521f -0x206af210 -256 -256 -1 -0 -0 -3 -0x595e -0xac8617d -256 -256 -1 -0 -0 -8 -0xa6aa -0xd51eca94 -256 -256 -0 -0 -0 -7 -0xeaee -0x6798dcb1 -256 -256 -1 -0 -0 -3 -0x3220 -0x33632db1 -256 -256 -0 -0 -0 -8 -0x8154 -0xe7c41f0c -256 -256 -0 -0 -0 -3 -0x1ff9 -0xdf671b44 -256 -256 -1 -0 -0 -8 -0xaf8a -0xddffa6db -256 -256 -0 -0 -0 -8 -0xd89b -0x31e57b56 -256 -256 -1 -0 -0 -1 -0xafe5 -0xf5da265d -256 -256 -0 -0 -0 -1 -0x9637 -0xf3d645ba -256 -256 -0 -0 -0 -1 -0x4be7 -0xbe6ded3f -256 -256 -0 -0 -0 -3 -0x8e07 -0xbc319c44 -256 -256 -0 -0 -0 -2 -0x2b5a -0x46cf4439 -256 -256 -1 -0 -0 -5 -0x39fd -0xe7c5bcb4 -256 -256 -0 -0 -0 -2 -0xb7e9 -0xed32d115 -256 -256 -0 -0 -0 -4 -0x3d68 -0x93f6fc5e -256 -256 -0 -0 -0 -4 -0x5ce6 -0xde981d80 -256 -256 -0 -0 -0 -8 -0xa4b4 -0xed720c87 -256 -256 -1 -0 -0 -10 -0xa91b -0x17cefc6 -256 -256 -0 -0 -0 -1 -0xfda2 -0x454f8c38 -256 -256 -0 -0 -0 -1 -0x394c -0xc8d48e85 -256 -256 -1 -0 -0 -6 -0x8bc3 -0x5a992fa2 -256 -256 -0 -0 -0 -8 -0x28ff -0x5ad85d1f -256 -256 -0 -0 -0 -5 -0xe03c -0xff1dfa8f -256 -256 -1 -0 -0 -8 -0x5bdd -0xe85fcbea -256 -256 -0 -0 -0 -2 -0x4565 -0x33309c87 -256 -256 -1 -0 -0 -6 -0x260b -0xf93f9a55 -256 -256 -0 -0 -0 -2 -0x6684 -0x82f42064 -256 -256 -0 -0 -0 -2 -0x420f -0x55390de1 -256 -256 -0 -0 -0 -5 -0xc81 -0xec366c54 -256 -256 -0 -0 -0 -5 -0xfbc8 -0x3bd0e5c9 -256 -256 -1 -0 -0 -3 -0xfa34 -0x1ee2bd49 -256 -256 -0 -0 -0 -2 -0x260d -0xd23ef5f1 -256 -256 -1 -0 -0 -7 -0x1bde -0xeb976b85 -256 -256 -0 -0 -0 -8 -0x7069 -0x4c7a9695 -256 -256 -1 -0 -0 -8 -0xc1c8 -0x8564d74a -256 -256 -0 -0 -0 -2 -0x40b7 -0x32c107f4 -256 -256 -0 -0 -0 -9 -0xc02d -0x1d3c0afa -256 -256 -0 -0 -0 -7 -0x5c13 -0x38f4dfb6 -256 -256 -0 -0 -0 -5 -0x719b -0x9382a465 -256 -256 -1 -0 -0 -9 -0xfc14 -0x1620ab22 -256 -256 -1 -0 -0 -2 -0x7f93 -0x53041c3e -256 -256 -1 -0 -0 -3 -0x29c -0xd900b91c -256 -256 -0 -0 -0 -9 -0x36b8 -0xcb412e3 -256 -256 -1 -0 -0 -7 -0x3699 -0x5ae72bca -256 -256 -1 -0 -0 -4 -0x26d1 -0xaad4b2a3 -256 -256 -1 -0 -0 -1 -0xc58d -0xdf8d4400 -256 -256 -0 -0 -0 -8 -0xd7ee -0xd57966d3 -256 -256 -1 -0 -0 -2 -0x7fda -0x63dbbef3 -256 -256 -0 -0 -0 -10 -0xab6e -0xffa39934 -256 -256 -1 -0 -0 -2 -0x118 -0xc6dd2a8f -256 -256 -1 -0 -0 -3 -0xc2ff -0x15996c9b -256 -256 -0 -0 -0 -1 -0x740b -0x10d6b27f -256 -256 -1 -0 -0 -4 -0x1c66 -0x5b0dff61 -256 -256 -0 -0 -0 -1 -0x1a5 -0x774a7be0 -256 -256 -0 -0 -0 -7 -0xadfc -0x4d146c48 -256 -256 -0 -0 -0 -9 -0x8553 -0x1c4f9731 -256 -256 -1 -0 -0 -9 -0x1be0 -0x9f5f4c76 -256 -256 -1 -0 -0 -8 -0x8c84 -0x70cbe7db -256 -256 -0 -0 -0 -9 -0x33d8 -0x5fa2e40c -256 -256 -0 -0 -0 -5 -0x5d09 -0x2e4bfb54 -256 -256 -1 -0 -0 -3 -0x381f -0x90e7c169 -256 -256 -1 -0 -0 -3 -0xb5ff -0xb583f562 -256 -256 -1 -0 -0 -9 -0x6601 -0x6db80d27 -256 -256 -0 -0 -0 -4 -0x81d1 -0xc7d53eb6 -256 -256 -1 -0 -0 -6 -0x22ea -0xd8cf8683 -256 -256 -1 -0 -0 -5 -0x6e73 -0xd1e8e82b -256 -256 -0 -0 -0 -1 -0x8bb9 -0xca039d73 -256 -256 -0 -0 -0 -8 -0xd7bf -0x1597fb59 -256 -256 -0 -0 -0 -2 -0x704c -0xbc795615 -256 -256 -0 -0 -0 -4 -0xcd6 -0xd1900731 -256 -256 -0 -0 -0 -5 -0x8980 -0x980da123 -256 -256 -1 -0 -0 -4 -0xed0 -0x3d398ffc -256 -256 -1 -0 -0 -4 -0xc0df -0x31acd5d2 -256 -256 -1 -0 -0 -10 -0x54c5 -0x6155386a -256 -256 -1 -0 -0 -10 -0x74f6 -0x293fbfec -256 -256 -0 -0 -0 -5 -0x206c -0x82fd49dc -256 -256 -0 -0 -0 -7 -0x8afa -0xfe79b316 -256 -256 -1 -0 -0 -9 -0x2039 -0xb250451d -256 -256 -0 -0 -0 -7 -0x7c99 -0x8337116d -256 -256 -1 -0 -0 -1 -0x41d4 -0x7c8c4157 -256 -256 -0 -0 -0 -7 -0xe011 -0x76699fa1 -256 -256 -0 -0 -0 -3 -0x5d11 -0x279db613 -256 -256 -0 -0 -0 -3 -0x36c6 -0xa8f273a5 -256 -256 -1 -0 -0 -10 -0xed2b -0xcc18a27b -256 -256 -1 -0 -0 -10 -0xfb9 -0x3b570820 -256 -256 -1 -0 -0 -10 -0x5d61 -0x2e61d82b -256 -256 -1 -0 -0 -1 -0x5352 -0xccf9d47f -256 -256 -0 -0 -0 -9 -0xa608 -0xf41a4cbf -256 -256 -0 -0 -0 -10 -0xffd1 -0x486d4908 -256 -256 -0 -0 -0 -1 -0xb4ac -0x48660499 -256 -256 -0 -0 -0 -6 -0xae44 -0x4b7d18f -256 -256 -0 -0 -0 -8 -0x962c -0xa80e0dbb -256 -256 -1 -0 -0 -5 -0x8bf0 -0x1a60648b -256 -256 -1 -0 -0 -1 -0x361a -0x1ebee69d -256 -256 -1 -0 -0 -8 -0x8405 -0xc9b68531 -256 -256 -1 -0 -0 -3 -0x1aff -0x41c9788 -256 -256 -1 -0 -0 -5 -0xa69d -0xeaaa14e5 -256 -256 -1 -0 -0 -5 -0x98a5 -0x842cb127 -256 -256 -1 -0 -0 -2 -0xec25 -0x11ef2b61 -256 -256 -0 -0 -0 -1 -0xda8b -0x3d7e18f1 -256 -256 -0 -0 -0 -2 -0x667e -0x76bd7742 -256 -256 -0 -0 -0 -1 -0x59 -0xe22f5245 -256 -256 -1 -0 -0 -8 -0x6bdf -0x2413014f -256 -256 -1 -0 -0 -8 -0xe2bc -0xdea96af4 -256 -256 -1 -0 -0 -10 -0x199a -0x48856904 -256 -256 -1 -0 -0 -7 -0x88be -0x138ce21e -256 -256 -0 -0 -0 -2 -0x5462 -0xf9b171a6 -256 -256 -1 -0 -0 -4 -0xc4ec -0x7e0ac7c5 -256 -256 -1 -0 -0 -5 -0x1019 -0xebc7efa4 -256 -256 -1 -0 -0 -10 -0x43ad -0x72b5ba5a -256 -256 -1 -0 -0 -2 -0xce57 -0x1f36a228 -256 -256 -0 -0 -0 -6 -0x8270 -0xfe5d09a1 -256 -256 -1 -0 -0 -10 -0x7956 -0x5e581324 -256 -256 -0 -0 -0 -9 -0x6eb7 -0x7bd1ffed -256 -256 -0 -0 -0 -4 -0x2fb7 -0xbb233fdc -256 -256 -0 -0 -0 -2 -0x2cce -0xdb2049a7 -256 -256 -0 -0 -0 -2 -0x4338 -0x7de556e -256 -256 -0 -0 -0 -5 -0x59a5 -0x12d37b76 -256 -256 -1 -0 -0 -8 -0xd095 -0x55b27db7 -256 -256 -1 -0 -0 -6 -0x6368 -0xb92b3c22 -256 -256 -0 -0 -0 -3 -0x5ff6 -0xf9235305 -256 -256 -1 -0 -0 -4 -0x8b1f -0x7ceb888 -256 -256 -1 -0 -0 -7 -0x3c66 -0x97235d26 -256 -256 -1 -0 -0 -2 -0x9fcf -0xf8133b5f -256 -256 -1 -0 -0 -10 -0x87c7 -0xbebc2301 -256 -256 -0 -0 -0 -6 -0x6e0e -0xf97c9ccf -256 -256 -1 -0 -0 -9 -0x6f8f -0xcaae943c -256 -256 -0 -0 -0 -1 -0x1fa4 -0x5bcf4ae9 -256 -256 -1 -0 -0 -9 -0x8ce4 -0x4eedec3 -256 -256 -1 -0 -0 -2 -0xdcfd -0xa746ccb4 -256 -256 -1 -0 -0 -7 -0xbb3b -0xe3a56192 -256 -256 -0 -0 -0 -4 -0xb607 -0xbe1ffa55 -256 -256 -1 -0 -0 -1 -0xcaf3 -0xa721e085 -256 -256 -1 -0 -0 -5 -0x5815 -0xde19d5a4 -256 -256 -1 -0 -0 -3 -0x1fca -0x4b92a740 -256 -256 -1 -0 -0 -8 -0x8e3b -0xd7a65ea4 -256 -256 -0 -0 -0 -7 -0xe5c -0xe8e037f6 -256 -256 -0 -0 -0 -7 -0x225 -0xb274b25b -256 -256 -1 -0 -0 -2 -0x5199 -0x11e2f9dd -256 -256 -0 -0 -0 -3 -0xdd69 -0x4c4a52b4 -256 -256 -0 -0 -0 -2 -0x214 -0x78ac15fb -256 -256 -0 -0 -0 -9 -0x6593 -0xee026d80 -256 -256 -1 -0 -0 -10 -0x79dd -0xb14645b4 -256 -256 -1 -0 -0 -7 -0x8803 -0x19f45370 -256 -256 -0 -0 -0 -4 -0x230 -0xd8db51dc -256 -256 -0 -0 -0 -5 -0xfb57 -0xdc3dba47 -256 -256 -1 -0 -0 -1 -0x335e -0xaf2481d3 -256 -256 -0 -0 -0 -4 -0x157 -0x54e999e6 -256 -256 -0 -0 -0 -6 -0x4975 -0xc617448d -256 -256 -0 -0 -0 -2 -0x4161 -0x7bc1efd6 -256 -256 -0 -0 -0 -4 -0x2e4e -0xbb6cd8ea -256 -256 -1 -0 -0 -2 -0x161d -0x7ba93b3b -256 -256 -0 -0 -0 -7 -0x3bf4 -0xbacb0398 -256 -256 -0 -0 -0 -3 -0x8811 -0x4a0b8abe -256 -256 -1 -0 -0 -6 -0x7a3e -0x47e0f2d4 -256 -256 -1 -0 -0 -1 -0xdc32 -0x55dd4d62 -256 -256 -0 -0 -0 -8 -0x2e6b -0x118e6cab -256 -256 -1 -0 -0 -7 -0x58f7 -0xd4e5d9b0 -256 -256 -1 -0 -0 -5 -0x14e8 -0x1a3939b3 -256 -256 -0 -0 -0 -4 -0x216e -0x2d355e25 -256 -256 -0 -0 -0 -10 -0xbfd8 -0x19a0308d -256 -256 -1 -0 -0 -3 -0x5cf1 -0xd26131ea -256 -256 -0 -0 -0 -6 -0xb8a0 -0x53bdd1c3 -256 -256 -0 -0 -0 -1 -0xde51 -0x55b686b4 -256 -256 -0 -0 -0 -5 -0x7b90 -0x202a8bce -256 -256 -1 -0 -0 -6 -0xdab7 -0x2b8f93ff -256 -256 -0 -0 -0 -2 -0x1a15 -0x7f65b055 -256 -256 -0 -0 -0 -5 -0xe978 -0x78c7879c -256 -256 -0 -0 -0 -10 -0x8bc8 -0xa2f2b701 -256 -256 -1 -0 -0 -4 -0xcbb6 -0x218d1588 -256 -256 -1 -0 -0 -3 -0xd36f -0xf8a7a739 -256 -256 -1 -0 -0 -10 -0xcaec -0xfd5bce6 -256 -256 -0 -0 -0 -6 -0xd8d9 -0x734bc2a -256 -256 -0 -0 -0 -6 -0xf9a9 -0x53bad718 -256 -256 -1 -0 -0 -1 -0x7400 -0x2c04014a -256 -256 -0 -0 -0 -8 -0x8c1e -0xde320056 -256 -256 -1 -0 -0 -4 -0x33dd -0xf45a50b1 -256 -256 -0 -0 -0 -6 -0x180e -0x28489c46 -256 -256 -1 -0 -0 -9 -0x693c -0xc4ec14fb -256 -256 -1 -0 -0 -2 -0xe85 -0x73271608 -256 -256 -0 -0 -0 -6 -0x12c8 -0xf7bb0fd7 -256 -256 -0 -0 -0 -4 -0x4ba3 -0x8e9ca6b7 -256 -256 -0 -0 -0 -2 -0x2829 -0x74700719 -256 -256 -0 -0 -0 -5 -0x434 -0x6fdebee9 -256 -256 -0 -0 -0 -4 -0x423b -0x65ffa519 -256 -256 -1 -0 -0 -10 -0x8053 -0xbdc2a2e1 -256 -256 -0 -0 -0 -8 -0x1575 -0xae1eaf92 -256 -256 -0 -0 -0 -2 -0x6e00 -0xa9a8c8b2 -256 -256 -0 -0 -0 -9 -0xa06f -0xfd8372d0 -256 -256 -1 -0 -0 -6 -0xff7c -0x5f897f1c -256 -256 -0 -0 -0 -6 -0x7891 -0x310c6fd5 -256 -256 -0 -0 -0 -7 -0x45e0 -0x8899840b -256 -256 -1 -0 -0 -2 -0x89e0 -0x9dfcd872 -256 -256 -1 -0 -0 -1 -0xfe9e -0x3f3c19f6 -256 -256 -0 -0 -0 -3 -0xba72 -0x811ce9b6 -256 -256 -1 -0 -0 -3 -0x7a4e -0x346843d9 -256 -256 -0 -0 -0 -6 -0xb7b4 -0xa143f5b1 -256 -256 -1 -0 -0 -4 -0x78a9 -0xbd653da9 -256 -256 -1 -0 -0 -3 -0xed06 -0xfe6c4bb7 -256 -256 -1 -0 -0 -1 -0xd068 -0x815b1c55 -256 -256 -1 -0 -0 -10 -0xe762 -0xb0bf9956 -256 -256 -1 -0 -0 -3 -0xabcc -0x37c17a47 -256 -256 -0 -0 -0 -2 -0x981f -0xae17fe95 -256 -256 -0 -0 -0 -1 -0x824a -0x946820ac -256 -256 -0 -0 -0 -4 -0xd266 -0x7ccf60c2 -256 -256 -0 -0 -0 -7 -0x6bd9 -0xc26ea569 -256 -256 -1 -0 -0 -5 -0x124f -0x218f869f -256 -256 -0 -0 -0 -4 -0xda0b -0x5fb24265 -256 -256 -0 -0 -0 -4 -0x11eb -0x5c6559a -256 -256 -0 -0 -0 -5 -0x598e -0xba8f53b9 -256 -256 -0 -0 -0 -4 -0xd39d -0x1cd1176b -256 -256 -0 -0 -0 -4 -0x37a3 -0xef14a9e1 -256 -256 -1 -0 -0 -6 -0xd68e -0x4c882844 -256 -256 -1 -0 -0 -9 -0x568d -0xad7a85b1 -256 -256 -1 -0 -0 -5 -0x142c -0xd2e0e58e -256 -256 -1 -0 -0 -5 -0xa07 -0x2b402b89 -256 -256 -0 -0 -0 -9 -0x2228 -0xe663f925 -256 -256 -1 -0 -0 -1 -0x7648 -0xb9a47df4 -256 -256 -1 -0 -0 -5 -0xcc4b -0x17684d23 -256 -256 -1 -0 -0 -2 -0x5f8f -0x9c8ffbb9 -256 -256 -1 -0 -0 -1 -0xa309 -0x484347cd -256 -256 -0 -0 -0 -7 -0x3a42 -0x9258c9e0 -256 -256 -0 -0 -0 -4 -0xfa6d -0x9eb93f7a -256 -256 -0 -0 -0 -6 -0xc61f -0x4cbc537 -256 -256 -0 -0 -0 -4 -0xc864 -0xbe01bb2d -256 -256 -0 -0 -0 -3 -0xf744 -0xf60a0ea -256 -256 -0 -0 -0 -10 -0xd034 -0xc06d45d -256 -256 -1 -0 -0 -9 -0xac67 -0x631f7a30 -256 -256 -1 -0 -0 -3 -0x2086 -0x6417767b -256 -256 -1 -0 -0 -9 -0x66e1 -0xe25b1b29 -256 -256 -1 -0 -0 -2 -0xcd90 -0x6afd2e5d -256 -256 -0 -0 -0 -6 -0x6a8c -0x9a7d56df -256 -256 -1 -0 -0 -6 -0x3220 -0xe0e9a4f3 -256 -256 -1 -0 -0 -7 -0xbc85 -0xbe6e9621 -256 -256 -1 -0 -0 -2 -0xb054 -0x3ce684a0 -256 -256 -0 -0 -0 -2 -0x8e6f -0xdf3d2871 -256 -256 -1 -0 -0 -4 -0xa100 -0x81a4c533 -256 -256 -1 -0 -0 -2 -0x9b8a -0xb53cc10b -256 -256 -0 -0 -0 -1 -0xb3bf -0xbb3d7c14 -256 -256 -1 -0 -0 -3 -0x856 -0xeaec3160 -256 -256 -0 -0 -0 -9 -0xf978 -0xebe1f6ea -256 -256 -0 -0 -0 -2 -0xabb3 -0x8f0a3cac -256 -256 -1 -0 -0 -5 -0xb376 -0x1718132 -256 -256 -0 -0 -0 -8 -0xa689 -0x92ad5e1c -256 -256 -0 -0 -0 -3 -0x99c3 -0xaf8a3c3e -256 -256 -1 -0 -0 -5 -0x6077 -0xdee74f65 -256 -256 -1 -0 -0 -1 -0xdd51 -0x1b3e3895 -256 -256 -0 -0 -0 -7 -0xb290 -0x157dd1be -256 -256 -0 -0 -0 -6 -0x6b82 -0xf1882dd6 -256 -256 -0 -0 -0 -3 -0xad48 -0x173deeb1 -256 -256 -1 -0 -0 -2 -0x88d4 -0x7bad3cc7 -256 -256 -1 -0 -0 -3 -0x471 -0x7e180521 -256 -256 -0 -0 -0 -2 -0x78e7 -0x2f6b4cb6 -256 -256 -1 -0 -0 -5 -0x41a -0x336ff891 -256 -256 -1 -0 -0 -5 -0x7b41 -0x5681080b -256 -256 -1 -0 -0 -9 -0xe17c -0xa45975a6 -256 -256 -0 -0 -0 -2 -0xe590 -0xc4dfda65 -256 -256 -0 -0 -0 -8 -0x96bc -0xb4d0c8ff -256 -256 -1 -0 -0 -1 -0xaa45 -0x31794bee -256 -256 -0 -0 -0 -10 -0xc828 -0x633993b6 -256 -256 -0 -0 -0 -8 -0x35e8 -0xc3dedb63 -256 -256 -1 -0 -0 -4 -0x8009 -0xdc1a6561 -256 -256 -0 -0 -0 -5 -0x8968 -0x5fcc7bcf -256 -256 -1 -0 -0 -9 -0x173f -0xf94717cb -256 -256 -1 -0 -0 -10 -0x96ec -0xda14df41 -256 -256 -0 -0 -0 -3 -0xfb57 -0x5a86319 -256 -256 -1 -0 -0 -6 -0xaecb -0x952284f1 -256 -256 -1 -0 -0 -1 -0xc34a -0x98f88a38 -256 -256 -0 -0 -0 -3 -0xb1d9 -0xe043a40f -256 -256 -0 -0 -0 -8 -0xe2ef -0xc750e4fc -256 -256 -1 -0 -0 -4 -0x6b29 -0x3bf2a149 -256 -256 -0 -0 -0 -8 -0x6351 -0x1d3d210 -256 -256 -0 -0 -0 -9 -0x1193 -0x6ec737b -256 -256 -0 -0 -0 -10 -0x33c7 -0x80df5f76 -256 -256 -0 -0 -0 -5 -0x21d -0x3ba3c63e -256 -256 -1 -0 -0 -5 -0xc530 -0xe3293fa5 -256 -256 -0 -0 -0 -3 -0xf91e -0x8882e398 -256 -256 -1 -0 -0 -4 -0x3b4f -0x4db7756b -256 -256 -0 -0 -0 -5 -0x62ed -0x5b1584b0 -256 -256 -1 -0 -0 -10 -0xd591 -0xe31e9c8 -256 -256 -1 -0 -0 -6 -0x2b2a -0x9a91eb07 -256 -256 -0 -0 -0 -10 -0xdf3a -0xf5862a48 -256 -256 -1 -0 -0 -8 -0xe8c6 -0xeea4932a -256 -256 -1 -0 -0 -10 -0x98a0 -0xba2860b9 -256 -256 -1 -0 -0 -8 -0xf123 -0x52dbc69e -256 -256 -0 -0 -0 -4 -0xc92b -0x901295ea -256 -256 -1 -0 -0 -9 -0xa906 -0xee5df3f2 -256 -256 -1 -0 -0 -7 -0xea99 -0x5aa15d8a -256 -256 -0 -0 -0 -10 -0x8292 -0x72268d62 -256 -256 -1 -0 -0 -3 -0x671 -0x68cff645 -256 -256 -1 -0 -0 -2 -0xb600 -0xff457d47 -256 -256 -1 -0 -0 -7 -0x8444 -0xa02cf70d -256 -256 -1 -0 -0 -5 -0x10fa -0x4d21f7d9 -256 -256 -0 -0 -0 -3 -0x92c6 -0xde380fd7 -256 -256 -1 -0 -0 -3 -0x49c2 -0x11939ac -256 -256 -1 -0 -0 -2 -0x2fa4 -0xd2426358 -256 -256 -1 -0 -0 -1 -0x669 -0x9f666655 -256 -256 -1 -0 -0 -5 -0x6504 -0xdc11ea59 -256 -256 -1 -0 -0 -7 -0xa574 -0x1a49127 -256 -256 -1 -0 -0 -3 -0xa907 -0xae921536 -256 -256 -1 -0 -0 -2 -0xe269 -0x2dc6ca05 -256 -256 -1 -0 -0 -1 -0xc36b -0x316c0f50 -256 -256 -0 -0 -0 -10 -0xe787 -0xf6ccc542 -256 -256 -0 -0 -0 -4 -0x174f -0x2e70a67d -256 -256 -1 -0 -0 -1 -0xace6 -0x7c5f1644 -256 -256 -1 -0 -0 -8 -0x5e2f -0xe7b4e387 -256 -256 -0 -0 -0 -9 -0xab8a -0xdee2afc8 -256 -256 -0 -0 -0 -4 -0x7c50 -0x8e69fb27 -256 -256 -1 -0 -0 -5 -0x3a0a -0x5503709a -256 -256 -1 -0 -0 -10 -0x5534 -0xf6138602 -256 -256 -1 -0 -0 -6 -0x1214 -0xa07280ee -256 -256 -1 -0 -0 -6 -0xc2e4 -0x8b64167b -256 -256 -1 -0 -0 -9 -0xb6e0 -0xeeae788 -256 -256 -0 -0 -0 -4 -0x8af5 -0xb57a35e4 -256 -256 -0 -0 -0 -2 -0x5989 -0xa0cb1b78 -256 -256 -1 -0 -0 -8 -0x71a7 -0xdb45460f -256 -256 -0 -0 -0 -3 -0xee3b -0x82a1cc24 -256 -256 -0 -0 -0 -5 -0xe77e -0x4e1e296e -256 -256 -0 -0 -0 -1 -0x8d76 -0x18aafa16 -256 -256 -0 -0 -0 -3 -0x96e7 -0xaf6cb82b -256 -256 -0 -0 -0 -2 -0x70a5 -0xd030822a -256 -256 -0 -0 -0 -1 -0xb2e7 -0x795fb609 -256 -256 -1 -0 -0 -7 -0x44e0 -0xa5708bab -256 -256 -1 -0 -0 -5 -0x455c -0x608c7e34 -256 -256 -1 -0 -0 -7 -0xfa1 -0xf357ce1a -256 -256 -1 -0 -0 -8 -0x59ae -0xba064e3d -256 -256 -0 -0 -0 -2 -0x1d68 -0x683fd421 -256 -256 -0 -0 -0 -2 -0x16c8 -0x91a74ffc -256 -256 -1 -0 -0 -8 -0x4a2e -0xdeffd2f6 -256 -256 -1 -0 -0 -10 -0xc011 -0xb7aff882 -256 -256 -0 -0 -0 -10 -0x3a90 -0x540793f0 -256 -256 -1 -0 -0 -1 -0x23b5 -0x8bb90eb -256 -256 -0 -0 -0 -1 -0x8125 -0x6ed9770 -256 -256 -1 -0 -0 -4 -0xa0be -0xf662d166 -256 -256 -1 -0 -0 -9 -0xa2ec -0x7f8dbc1 -256 -256 -1 -0 -0 -4 -0x76c1 -0x4c046e95 -256 -256 -0 -0 -0 -2 -0x42ac -0xe3b763aa -256 -256 -1 -0 -0 -8 -0x2b3c -0x47eaabee -256 -256 -1 -0 -0 -8 -0xd216 -0x3fb1fa19 -256 -256 -1 -0 -0 -5 -0x412e -0xfaf1e243 -256 -256 -1 -0 -0 -1 -0xb569 -0xffc4ebd -256 -256 -1 -0 -0 -7 -0xaf09 -0x77cbd693 -256 -256 -1 -0 -0 -3 -0x7fe9 -0xbe36de5a -256 -256 -1 -0 -0 -5 -0x1ee7 -0xc53e9c71 -256 -256 -0 -0 -0 -3 -0x6881 -0xc145675e -256 -256 -0 -0 -0 -3 -0x186e -0x37d2084b -256 -256 -1 -0 -0 -7 -0x100 -0x5e1d819b -256 -256 -0 -0 -0 -7 -0x21dd -0x2d71cf0f -256 -256 -1 -0 -0 -3 -0x7607 -0xba3f12e6 -256 -256 -1 -0 -0 -9 -0x83f0 -0xa982aee4 -256 -256 -0 -0 -0 -4 -0x8793 -0x865e7780 -256 -256 -1 -0 -0 -6 -0x2cb4 -0x11115f8 -256 -256 -0 -0 -0 -2 -0x80e -0xb4f15fd3 -256 -256 -1 -0 -0 -2 -0xe9a8 -0xa8b71799 -256 -256 -0 -0 -0 -2 -0xfe6e -0x7c545fff -256 -256 -1 -0 -0 -7 -0x2baa -0x7bac64e1 -256 -256 -0 -0 -0 -6 -0x8039 -0x6f087ce5 -256 -256 -0 -0 -0 -1 -0x17f4 -0x3082cf6f -256 -256 -1 -0 -0 -2 -0x4429 -0x76811b70 -256 -256 -1 -0 -0 -9 -0xfc44 -0xfbe234be -256 -256 -1 -0 -0 -2 -0xeba8 -0x5b1dc105 -256 -256 -1 -0 -0 -7 -0x2314 -0x2514085 -256 -256 -1 -0 -0 -6 -0x5bfa -0x67c7e5cb -256 -256 -1 -0 -0 -4 -0xb4ce -0xcb3d5886 -256 -256 -0 -0 -0 -10 -0xf51f -0xcfe92698 -256 -256 -1 -0 -0 -2 -0x5525 -0x478409c8 -256 -256 -0 -0 -0 -7 -0xc08b -0x21109f3d -256 -256 -0 -0 -0 -4 -0xc802 -0x4e2b9b93 -256 -256 -0 -0 -0 -3 -0xcf5d -0x3641ff4d -256 -256 -0 -0 -0 -6 -0x4112 -0x20296059 -256 -256 -0 -0 -0 -4 -0xada -0x5f2ab75e -256 -256 -0 -0 -0 -6 -0x354d -0x98a62db4 -256 -256 -1 -0 -0 -2 -0xa368 -0x362bb89d -256 -256 -1 -0 -0 -3 -0xfe42 -0x11da02ad -256 -256 -1 -0 -0 -10 -0xa3b3 -0x8b2d3078 -256 -256 -0 -0 -0 -9 -0x9a3c -0x7a7d3b4b -256 -256 -1 -0 -0 -7 -0x1e1b -0x5f478eb8 -256 -256 -1 -0 -0 -8 -0x4230 -0x32758979 -256 -256 -1 -0 -0 -6 -0x6194 -0x9c41ab49 -256 -256 -1 -0 -0 -5 -0xd8b0 -0x76bca7e7 -256 -256 -0 -0 -0 -9 -0x546c -0x1f280fdc -256 -256 -1 -0 -0 -6 -0xba9d -0x2a6fd14c -256 -256 -1 -0 -0 -8 -0xc832 -0xf8ba78a9 -256 -256 -0 -0 -0 -6 -0x9aa2 -0xec59e0f8 -256 -256 -0 -0 -0 -2 -0x6b38 -0xbc26d08f -256 -256 -0 -0 -0 -5 -0x78be -0x44e5c87d -256 -256 -0 -0 -0 -5 -0x5823 -0x3d6ef75a -256 -256 -1 -0 -0 -5 -0xc364 -0x31d48b0c -256 -256 -0 -0 -0 -5 -0x4407 -0x1f2c798f -256 -256 -1 -0 -0 -5 -0xacd -0x3cf00a02 -256 -256 -1 -0 -0 -6 -0x9f8 -0x76c029fc -256 -256 -1 -0 -0 -8 -0x834c -0xa94949e9 -256 -256 -1 -0 -0 -4 -0xe31c -0x15497fa1 -256 -256 -0 -0 -0 -7 -0xb990 -0xa2215368 -256 -256 -1 -0 -0 -6 -0xfa21 -0x3ada3a16 -256 -256 -0 -0 -0 -2 -0x9751 -0x66f257c7 -256 -256 -1 -0 -0 -4 -0xf030 -0xbd8bff05 -256 -256 -1 -0 -0 -6 -0x4805 -0xe7ae1d8 -256 -256 -0 -0 -0 -3 -0x335 -0x70221e25 -256 -256 -1 -0 -0 -4 -0x86d8 -0x55164a44 -256 -256 -1 -0 -0 -3 -0xbbbd -0xb5be34d7 -256 -256 -0 -0 -0 -8 -0xdb6a -0x1bc76e8c -256 -256 -1 -0 -0 -2 -0x9021 -0xca01dc2d -256 -256 -1 -0 -0 -3 -0x569e -0xe24d2c40 -256 -256 -0 -0 -0 -8 -0x838a -0x4fccd0a -256 -256 -0 -0 -0 -10 -0xd36f -0xc7c41fcd -256 -256 -1 -0 -0 -1 -0x4261 -0xa2953fbe -256 -256 -0 -0 -0 -8 -0xa77e -0xade3d080 -256 -256 -1 -0 -0 -1 -0x2e3 -0x12522325 -256 -256 -0 -0 -0 -4 -0xc846 -0x58c2abb6 -256 -256 -0 -0 -0 -5 -0xe7b0 -0x3962a06a -256 -256 -1 -0 -0 -1 -0xc596 -0x1f16a60d -256 -256 -0 -0 -0 -5 -0x91db -0xda8bc631 -256 -256 -1 -0 -0 -3 -0x2036 -0x7d8c4ee9 -256 -256 -0 -0 -0 -7 -0x2100 -0x9d89ccd1 -256 -256 -0 -0 -0 -4 -0xeb6e -0xc849e8dc -256 -256 -0 -0 -0 -1 -0xc2db -0x9a8fa8d9 -256 -256 -1 -0 -0 -8 -0x21c0 -0xb3358fd4 -256 -256 -0 -0 -0 -4 -0xec00 -0xd9ae4fdd -256 -256 -1 -0 -0 -2 -0x3f3f -0x7a5deaf6 -256 -256 -1 -0 -0 -10 -0x1828 -0xe118f819 -256 -256 -0 -0 -0 -10 -0x122 -0x5f5e6a00 -256 -256 -0 -0 -0 -3 -0xc0b2 -0xb9038e53 -256 -256 -1 -0 -0 -7 -0x65d5 -0x86ca2f56 -256 -256 -1 -0 -0 -7 -0x9057 -0xbd607513 -256 -256 -0 -0 -0 -8 -0x8580 -0xa0721b57 -256 -256 -1 -0 -0 -1 -0xacf9 -0x1eb87488 -256 -256 -1 -0 -0 -2 -0x85cb -0xec1fcbc1 -256 -256 -0 -0 -0 -7 -0xf331 -0xe94b0c3f -256 -256 -1 -0 -0 -10 -0x4a8b -0xbf99234a -256 -256 -0 -0 -0 -8 -0x902f -0x462480b9 -256 -256 -0 -0 -0 -4 -0xbf1 -0x18c88b8f -256 -256 -1 -0 -0 -3 -0x843e -0xc160c0c9 -256 -256 -0 -0 -0 -7 -0xdfb4 -0x48c5ea27 -256 -256 -0 -0 -0 -5 -0x4f49 -0xa555a770 -256 -256 -1 -0 -0 -6 -0x3ecd -0x9f19c3ad -256 -256 -1 -0 -0 -4 -0x59f2 -0xd0119c58 -256 -256 -0 -0 -0 -9 -0xf5dc -0x25063ae -256 -256 -0 -0 -0 -1 -0x4a8e -0xb118072d -256 -256 -1 -0 -0 -10 -0xb829 -0xb215a23e -256 -256 -0 -0 -0 -5 -0x4b4d -0x6d9f821c -256 -256 -1 -0 -0 -9 -0x7b05 -0xbe4c0ae9 -256 -256 -0 -0 -0 -4 -0xb346 -0xc78a8 -256 -256 -1 -0 -0 -3 -0xc21e -0x1ba7db5c -256 -256 -0 -0 -0 -7 -0x47e3 -0x8f710ff8 -256 -256 -0 -0 -0 -3 -0xf5f -0xb83365d8 -256 -256 -1 -0 -0 -8 -0xc6a6 -0x57fad250 -256 -256 -0 -0 -0 -4 -0xbbe9 -0xb7b83629 -256 -256 -1 -0 -0 -2 -0x1f4 -0x1a3a3476 -256 -256 -1 -0 -0 -3 -0x9d0a -0x67baee55 -256 -256 -1 -0 -0 -3 -0x6c7e -0xd632eace -256 -256 -0 -0 -0 -8 -0xe175 -0xd4abf64f -256 -256 -0 -0 -0 -4 -0xb85d -0xd20e227e -256 -256 -1 -0 -0 -6 -0x7a7b -0x38ef42ee -256 -256 -0 -0 -0 -9 -0xd636 -0xe1b0ba27 -256 -256 -1 -0 -0 -5 -0xb796 -0x35a92a47 -256 -256 -0 -0 -0 -3 -0x485 -0x66ea4f43 -256 -256 -0 -0 -0 -1 -0xd1c8 -0xa06757ee -256 -256 -0 -0 -0 -4 -0xa116 -0xbd3cc380 -256 -256 -0 -0 -0 -4 -0xfa16 -0xbea93853 -256 -256 -0 -0 -0 -7 -0xcac2 -0x9034b458 -256 -256 -0 -0 -0 -1 -0x61b7 -0x72610776 -256 -256 -1 -0 -0 -3 -0xe00c -0x9e331f8 -256 -256 -1 -0 -0 -9 -0xb8db -0xf1eb2e6b -256 -256 -0 -0 -0 -8 -0x5bdb -0x7c82b7e7 -256 -256 -1 -0 -0 -5 -0x453a -0x9c42795a -256 -256 -1 -0 -0 -2 -0xf40e -0x98657553 -256 -256 -1 -0 -0 -9 -0xcfe6 -0x8fec2b6c -256 -256 -0 -0 -0 -4 -0xe694 -0x72007ae7 -256 -256 -1 -0 -0 -9 -0x430a -0x706687b7 -256 -256 -1 -0 -0 -7 -0x3d13 -0x9ef7a344 -256 -256 -1 -0 -0 -8 -0x9d6d -0x74f70631 -256 -256 -1 -0 -0 -3 -0x4f67 -0x87975eb3 -256 -256 -0 -0 -0 -4 -0xe53d -0xc44838d6 -256 -256 -1 -0 -0 -6 -0xf5e -0x8fbcfe15 -256 -256 -1 -0 -0 -1 -0x7e02 -0xfdf332a1 -256 -256 -1 -0 -0 -9 -0x53e2 -0x6ed9957a -256 -256 -1 -0 -0 -4 -0xd14 -0x82583c5 -256 -256 -0 -0 -0 -1 -0x728a -0x624b8302 -256 -256 -1 -0 -0 -10 -0xbd13 -0x3af4ac40 -256 -256 -1 -0 -0 -4 -0x63c8 -0xb3769272 -256 -256 -1 -0 -0 -6 -0x7ad8 -0x904b7619 -256 -256 -1 -0 -0 -10 -0xc9f -0x3104ba9f -256 -256 -0 -0 -0 -5 -0xc55a -0x2dedbfd4 -256 -256 -1 -0 -0 -2 -0xceb1 -0xc12715ba -256 -256 -0 -0 -0 -7 -0xb35d -0x6cdafc3a -256 -256 -0 -0 -0 -9 -0x5126 -0xb91f7355 -256 -256 -1 -0 -0 -10 -0x673 -0xbde153b0 -256 -256 -1 -0 -0 -5 -0x6cb1 -0x4ad7633f -256 -256 -0 -0 -0 -7 -0x7e0d -0xc769254 -256 -256 -1 -0 -0 -3 -0x897 -0x243adb45 -256 -256 -0 -0 -0 -6 -0x9e7d -0xd11befc8 -256 -256 -1 -0 -0 -2 -0xfe80 -0xd1eeba4d -256 -256 -0 -0 -0 -7 -0x29a1 -0xe0517d00 -256 -256 -1 -0 -0 -5 -0xec05 -0x4086e2fb -256 -256 -1 -0 -0 -6 -0x2e0e -0xae28e1ae -256 -256 -0 -0 -0 -3 -0x7a3b -0xd8edd616 -256 -256 -1 -0 -0 -8 -0xf404 -0x36b0b55 -256 -256 -0 -0 -0 -10 -0x3eb0 -0x72ee8636 -256 -256 -1 -0 -0 -9 -0xa117 -0xe2bee33c -256 -256 -0 -0 -0 -6 -0x3342 -0x2b232b3c -256 -256 -1 -0 -0 -10 -0xe76e -0xbb28984c -256 -256 -0 -0 -0 -4 -0xf97a -0xe6fadbc7 -256 -256 -1 -0 -0 -1 -0x6ff7 -0xc1d243c5 -256 -256 -1 -0 -0 -5 -0x6747 -0xc60f82ed -256 -256 -0 -0 -0 -7 -0x510e -0x8c42096c -256 -256 -1 -0 -0 -3 -0x9560 -0x377a3d37 -256 -256 -1 -0 -0 -8 -0x6afc -0x49c5185b -256 -256 -0 -0 -0 -2 -0xa694 -0x59e5cbf3 -256 -256 -0 -0 -0 -2 -0x4de8 -0x16341904 -256 -256 -0 -0 -0 -3 -0x738e -0x1120d8ed -256 -256 -0 -0 -0 -4 -0x15e -0xc67e3777 -256 -256 -1 -0 -0 -9 -0x15e3 -0x2ffaef08 -256 -256 -0 -0 -0 -7 -0xe095 -0x29d4b7ae -256 -256 -1 -0 -0 -9 -0x8d9d -0xd56d65cd -256 -256 -0 -0 -0 -10 -0xa568 -0x272ad381 -256 -256 -1 -0 -0 -3 -0x430e -0xe4aac53a -256 -256 -1 -0 -0 -4 -0xc5b3 -0x9beab441 -256 -256 -1 -0 -0 -2 -0x2de -0xad66aca7 -256 -256 -1 -0 -0 -6 -0xe595 -0x9312349a -256 -256 -1 -0 -0 -10 -0x16fb -0x96b1e6ba -256 -256 -0 -0 -0 -3 -0xda1c -0xa7d9b3de -256 -256 -0 -0 -0 -7 -0x32c4 -0x16e281f2 -256 -256 -0 -0 -0 -5 -0x37f1 -0x9eda6c2 -256 -256 -0 -0 -0 -7 -0x9406 -0x60954515 -256 -256 -0 -0 -0 -9 -0x370e -0x89878fe0 -256 -256 -1 -0 -0 -8 -0xc679 -0xca9dc04 -256 -256 -1 -0 -0 -2 -0xc4c1 -0x70a380c -256 -256 -0 -0 -0 -6 -0xce57 -0x8be4337a -256 -256 -0 -0 -0 -4 -0x9769 -0x20abb53e -256 -256 -0 -0 -0 -2 -0xffb7 -0x8ee6bf2c -256 -256 -1 -0 -0 -7 -0x3b2a -0xe0114082 -256 -256 -1 -0 -0 -4 -0x6602 -0xec30a2a6 -256 -256 -1 -0 -0 -7 -0x6e05 -0x84239885 -256 -256 -1 -0 -0 -7 -0x29d -0x74f4de4a -256 -256 -0 -0 -0 -1 -0xc347 -0x15ca5883 -256 -256 -1 -0 -0 -9 -0x7bde -0x995e7731 -256 -256 -1 -0 -0 -3 -0xec3 -0x7d540116 -256 -256 -0 -0 -0 -6 -0xd48c -0x5f7ffacb -256 -256 -0 -0 -0 -1 -0x19dd -0xc542f404 -256 -256 -0 -0 -0 -5 -0xc8a0 -0x73e6bcee -256 -256 -1 -0 -0 -1 -0x4b2f -0xf50cc9ab -256 -256 -1 -0 -0 -8 -0xe9de -0x54f2e774 -256 -256 -0 -0 -0 -4 -0x257a -0xca71aece -256 -256 -0 -0 -0 -5 -0xaeaa -0x5e1aaa8e -256 -256 -0 -0 -0 -10 -0xee12 -0xa477533d -256 -256 -1 -0 -0 -10 -0x7c81 -0xe92716db -256 -256 -0 -0 -0 -3 -0xc86a -0x6cb3bce2 -256 -256 -1 -0 -0 -8 -0x32ae -0xdff7d9ae -256 -256 -0 -0 -0 -2 -0x64c6 -0x3c0d62 -256 -256 -0 -0 -0 -7 -0x24fd -0x67e9a58b -256 -256 -0 -0 -0 -7 -0x4f46 -0xcfb9e16a -256 -256 -1 -0 -0 -9 -0x8781 -0x931dc55 -256 -256 -1 -0 -0 -6 -0xcf56 -0x8f273251 -256 -256 -0 -0 -0 -4 -0x7e44 -0xb5fab3a7 -256 -256 -0 -0 -0 -3 -0xb225 -0x889be04b -256 -256 -1 -0 -0 -10 -0xdb5d -0xa7b3030d -256 -256 -0 -0 -0 -10 -0xd66a -0xb9f43387 -256 -256 -1 -0 -0 -4 -0x8182 -0x6551b8d4 -256 -256 -1 -0 -0 -3 -0x521c -0xaaaae802 -256 -256 -0 -0 -0 -9 -0x7d3c -0x3eec66ef -256 -256 -0 -0 -0 -9 -0xb9af -0x74a45ab8 -256 -256 -1 -0 -0 -6 -0xdebd -0x69d9f26f -256 -256 -0 -0 -0 -3 -0xc539 -0x9bd8c1b -256 -256 -0 -0 -0 -7 -0xb3f -0xbc03c0ed -256 -256 -1 -0 -0 -5 -0x8266 -0xc276b4d -256 -256 -0 -0 -0 -1 -0x6822 -0x6951226d -256 -256 -1 -0 -0 -10 -0x4079 -0x16220c67 -256 -256 -0 -0 -0 -4 -0xf2b2 -0x5f3b093a -256 -256 -0 -0 -0 -6 -0xa1d2 -0x4d29c6f7 -256 -256 -0 -0 -0 -4 -0x2e4c -0x7f1d731c -256 -256 -1 -0 -0 -5 -0x72c5 -0xf24d7716 -256 -256 -1 -0 -0 -6 -0xf3b2 -0xa6e582a4 -256 -256 -1 -0 -0 -2 -0x91b -0xe8e1e2e7 -256 -256 -0 -0 -0 -5 -0xb363 -0xad3cef56 -256 -256 -0 -0 -0 -5 -0xcaaf -0x839c0704 -256 -256 -0 -0 -0 -2 -0x5d38 -0xd6dba2d -256 -256 -1 -0 -0 -1 -0x495 -0x9a6ccbc0 -256 -256 -1 -0 -0 -6 -0x1f00 -0x76b44de9 -256 -256 -1 -0 -0 -3 -0xb39b -0xe5303ea0 -256 -256 -0 -0 -0 -8 -0x52f7 -0x8aa7fc1 -256 -256 -0 -0 -0 -4 -0x93af -0x4a4335 -256 -256 -1 -0 -0 -8 -0xa59f -0xe1c3be00 -256 -256 -0 -0 -0 -6 -0xbc7c -0x143613d5 -256 -256 -1 -0 -0 -9 -0x514c -0xff268f86 -256 -256 -1 -0 -0 -3 -0x6de7 -0xa650891 -256 -256 -0 -0 -0 -4 -0xbc70 -0xef722f55 -256 -256 -1 -0 -0 -3 -0x5b56 -0xbbbd121d -256 -256 -0 -0 -0 -1 -0x9e7e -0xfdd87dd8 -256 -256 -1 -0 -0 -4 -0x374a -0xd325263d -256 -256 -0 -0 -0 -6 -0xde2b -0xe77bf3de -256 -256 -1 -0 -0 -8 -0x1a41 -0x1458bde6 -256 -256 -1 -0 -0 -5 -0x62f3 -0xc51ffc08 -256 -256 -1 -0 -0 -5 -0x5acd -0xbb0e5664 -256 -256 -0 -0 -0 -10 -0xd88 -0x7b0dc92c -256 -256 -0 -0 -0 -4 -0x55e5 -0xc11feccf -256 -256 -1 -0 -0 -4 -0x6ae9 -0x14d4914b -256 -256 -1 -0 -0 -1 -0xa9d7 -0x836a7952 -256 -256 -1 -0 -0 -5 -0x813d -0xe46827c2 -256 -256 -0 -0 -0 -7 -0x2dc1 -0xfd35642 -256 -256 -1 -0 -0 -7 -0x8f20 -0xc3dc4af2 -256 -256 -0 -0 -0 -6 -0xfd05 -0x64e3da7e -256 -256 -0 -0 -0 -10 -0x6117 -0xe150fe08 -256 -256 -1 -0 -0 -4 -0xed8 -0x95ddaabf -256 -256 -1 -0 -0 -8 -0xdae3 -0x52c6f87f -256 -256 -0 -0 -0 -5 -0x32a3 -0xc60d2df8 -256 -256 -1 -0 -0 -10 -0x1856 -0xc0e60e8f -256 -256 -1 -0 -0 -5 -0xf38 -0x9228765a -256 -256 -0 -0 -0 -8 -0x942c -0x8c1e18ce -256 -256 -1 -0 -0 -6 -0xeec -0xfaaa357e -256 -256 -1 -0 -0 -3 -0x53ac -0x50e969fe -256 -256 -1 -0 -0 -2 -0x4aad -0xfee4336e -256 -256 -0 -0 -0 -5 -0xf4ce -0xb55512c1 -256 -256 -0 -0 -0 -5 -0x1764 -0xfe65d6b7 -256 -256 -0 -0 -0 -5 -0x2f7a -0xd8f9c451 -256 -256 -1 -0 -0 -8 -0xcf8f -0x9e227c35 -256 -256 -1 -0 -0 -7 -0xc0dd -0x7b4ee749 -256 -256 -1 -0 -0 -9 -0x25a7 -0x4218bedb -256 -256 -0 -0 -0 -8 -0xc7e1 -0x8e34860c -256 -256 -1 -0 -0 -2 -0xa761 -0x42b200ee -256 -256 -1 -0 -0 -9 -0xce79 -0xcad847f2 -256 -256 -0 -0 -0 -3 -0x55f7 -0xe1da1c8 -256 -256 -0 -0 -0 -10 -0xe353 -0x226a8178 -256 -256 -1 -0 -0 -3 -0x2743 -0x8dba9388 -256 -256 -1 -0 -0 -8 -0xb723 -0xdf003c7 -256 -256 -0 -0 -0 -4 -0x105c -0x69aeb05d -256 -256 -1 -0 -0 -10 -0x74b3 -0x70cf395f -256 -256 -0 -0 -0 -7 -0xe931 -0xeb639fda -256 -256 -0 -0 -0 -10 -0xa594 -0xf39740de -256 -256 -0 -0 -0 -5 -0x93d5 -0x89ed95be -256 -256 -1 -0 -0 -4 -0x9f80 -0xcf150af9 -256 -256 -0 -0 -0 -10 -0x28ed -0x56906aae -256 -256 -1 -0 -0 -8 -0x8318 -0x94a6bfd8 -256 -256 -1 -0 -0 -9 -0x6cb4 -0x94ff7a3c -256 -256 -1 -0 -0 -5 -0x7eef -0x70c387fe -256 -256 -1 -0 -0 -5 -0x9d45 -0x779d7df4 -256 -256 -1 -0 -0 -5 -0xa5d7 -0xd484af17 -256 -256 -0 -0 -0 -7 -0x949b -0xbfeace4a -256 -256 -0 -0 -0 -2 -0xdeff -0x85536b52 -256 -256 -1 -0 -0 -7 -0xb31b -0xbb68fcdd -256 -256 -0 -0 -0 -7 -0xc9f0 -0x6186897d -256 -256 -1 -0 -0 -9 -0x5770 -0xfa236e71 -256 -256 -0 -0 -0 -8 -0x81db -0xc7920be0 -256 -256 -0 -0 -0 -1 -0x132f -0x4aa62df9 -256 -256 -1 -0 -0 -7 -0xca7c -0xcffa28c6 -256 -256 -1 -0 -0 -8 -0xbcf2 -0x53babb23 -256 -256 -0 -0 -0 -7 -0xfb62 -0x8faa8e20 -256 -256 -0 -0 -0 -9 -0x586a -0xcccdf997 -256 -256 -1 -0 -0 -3 -0xcd9e -0x823f4b05 -256 -256 -0 -0 -0 -10 -0x1c52 -0x8ce28bc8 -256 -256 -0 -0 -0 -2 -0xccc2 -0xa1e3a14a -256 -256 -0 -0 -0 -5 -0x2272 -0x48548355 -256 -256 -0 -0 -0 -10 -0x7f37 -0xbcf0d3fb -256 -256 -0 -0 -0 -9 -0xdb4 -0xea799a8f -256 -256 -1 -0 -0 -2 -0x6b0d -0x8c9bc1a7 -256 -256 -0 -0 -0 -5 -0x19b6 -0xb217bfb6 -256 -256 -1 -0 -0 -10 -0x121a -0x91a029ff -256 -256 -0 -0 -0 -5 -0xd98c -0xe9bfead8 -256 -256 -0 -0 -0 -9 -0x4628 -0x51af9626 -256 -256 -1 -0 -0 -9 -0x991c -0x55c36f8d -256 -256 -0 -0 -0 -6 -0x4eea -0x246a4225 -256 -256 -1 -0 -0 -6 -0x20c -0xcdf30612 -256 -256 -1 -0 -0 -7 -0x7a71 -0xba804fe9 -256 -256 -1 -0 -0 -1 -0xbeee -0xa459f0bd -256 -256 -0 -0 -0 -4 -0x3719 -0x360cab1a -256 -256 -1 -0 -0 -4 -0x1956 -0x4db53995 -256 -256 -0 -0 -0 -9 -0x6fd -0x9b246380 -256 -256 -0 -0 -0 -7 -0x34eb -0xbf147b50 -256 -256 -0 -0 -0 -6 -0x9ef1 -0x8dcd5ea9 -256 -256 -0 -0 -0 -1 -0x1c22 -0x76c2329c -256 -256 -0 -0 -0 -10 -0x3f36 -0xa486dc0b -256 -256 -0 -0 -0 -1 -0x69a2 -0xb7274829 -256 -256 -1 -0 -0 -9 -0x56d8 -0xbcad6f76 -256 -256 -1 -0 -0 -8 -0x6c13 -0x761f12be -256 -256 -0 -0 -0 -10 -0xe70d -0x150fb35f -256 -256 -0 -0 -0 -4 -0xebc7 -0x6b595099 -256 -256 -0 -0 -0 -2 -0xfe1 -0x651517f7 -256 -256 -0 -0 -0 -4 -0xbd75 -0xc84b80ea -256 -256 -0 -0 -0 -10 -0xd443 -0xf832feeb -256 -256 -0 -0 -0 -3 -0x17 -0x4ce5140a -256 -256 -1 -0 -0 -9 -0xc7eb -0xad471358 -256 -256 -1 -0 -0 -6 -0x946e -0x1ab652a3 -256 -256 -0 -0 -0 -3 -0x47dc -0xf342c0c -256 -256 -1 -0 -0 -1 -0x7616 -0xa264aad6 -256 -256 -0 -0 -0 -1 -0xcb20 -0x39533d89 -256 -256 -1 -0 -0 -2 -0xc22c -0x807f330a -256 -256 -0 -0 -0 -4 -0x87cf -0x7f954927 -256 -256 -1 -0 -0 -2 -0xbb68 -0x8cb099c6 -256 -256 -1 -0 -0 -2 -0xba0 -0x272ac004 -256 -256 -0 -0 -0 -9 -0xf1e5 -0xc7468efe -256 -256 -1 -0 -0 -8 -0x9c47 -0x27decef2 -256 -256 -1 -0 -0 -8 -0x4668 -0x942d8cb8 -256 -256 -0 -0 -0 -10 -0x6315 -0x62c9c41b -256 -256 -0 -0 -0 -8 -0xa51b -0xd57ceea2 -256 -256 -1 -0 -0 -7 -0xeb98 -0x1d0cf7e2 -256 -256 -1 -0 -0 -6 -0x5bc1 -0x68b746e9 -256 -256 -1 -0 -0 -4 -0xfa5e -0x932f6271 -256 -256 -0 -0 -0 -2 -0x82fd -0x81fea079 -256 -256 -1 -0 -0 -6 -0x173a -0x98a2f116 -256 -256 -0 -0 -0 -3 -0x7c31 -0xc3c37273 -256 -256 -0 -0 -0 -6 -0xe1ea -0x636a714d -256 -256 -0 -0 -0 -6 -0x5d3e -0xecb92797 -256 -256 -0 -0 -0 -5 -0xaef0 -0xb479680 -256 -256 -0 -0 -0 -5 -0xd3cd -0xf9a41b2e -256 -256 -0 -0 -0 -1 -0x6fcd -0x989958e -256 -256 -0 -0 -0 -3 -0xb201 -0x2a08c00a -256 -256 -1 -0 -0 -3 -0x55dc -0xc1aa7934 -256 -256 -0 -0 -0 -4 -0x3f5d -0x2020f2a8 -256 -256 -0 -0 -0 -1 -0xde53 -0xb24d4234 -256 -256 -0 -0 -0 -1 -0xc3a5 -0xb8487361 -256 -256 -1 -0 -0 -4 -0x1f37 -0xfcea8fd7 -256 -256 -1 -0 -0 -1 -0x2d9d -0x2fecc404 -256 -256 -1 -0 -0 -3 -0xd2bc -0xc1897aef -256 -256 -1 -0 -0 -7 -0x1839 -0x724d587f -256 -256 -1 -0 -0 -4 -0x9aa1 -0x729902e6 -256 -256 -1 -0 -0 -7 -0xaaf1 -0x2a6276f2 -256 -256 -1 -0 -0 -3 -0x6788 -0xeb41f2e3 -256 -256 -0 -0 -0 -6 -0xc07a -0xa4cd36e3 -256 -256 -0 -0 -0 -10 -0x6057 -0x742fcb08 -256 -256 -0 -0 -0 -8 -0xf33f -0x391b0dd3 -256 -256 -1 -0 -0 -9 -0x2c1 -0x2c8cfb3f -256 -256 -0 -0 -0 -8 -0xa001 -0x96830bc9 -256 -256 -1 -0 -0 -1 -0xc148 -0x4c0b664b -256 -256 -1 -0 -0 -6 -0x5afb -0xfea02a7c -256 -256 -0 -0 -0 -7 -0xf2af -0x134d7cbd -256 -256 -1 -0 -0 -8 -0xbd0b -0xc2f452e5 -256 -256 -1 -0 -0 -4 -0x5d9c -0x1b3e5b0e -256 -256 -1 -0 -0 -2 -0xa8f8 -0xbdd61b4c -256 -256 -1 -0 -0 -7 -0x84ca -0x3b7f5630 -256 -256 -0 -0 -0 -4 -0x420a -0xf6a1261 -256 -256 -0 -0 -0 -3 -0x7426 -0xe2c8de9 -256 -256 -0 -0 -0 -10 -0xc66d -0xc4f66d6a -256 -256 -0 -0 -0 -10 -0x2c14 -0x7680381c -256 -256 -0 -0 -0 -7 -0xa8f2 -0x6ff6d146 -256 -256 -0 -0 -0 -5 -0x4081 -0xb0cb1e3b -256 -256 -1 -0 -0 -1 -0xe0df -0xe7b1a6a1 -256 -256 -0 -0 -0 -5 -0xd701 -0x8fbb272d -256 -256 -1 -0 -0 -4 -0xabb1 -0x327d24dc -256 -256 -0 -0 -0 -6 -0x2f34 -0x4fe3f07d -256 -256 -1 -0 -0 -2 -0xb7c5 -0x1e912437 -256 -256 -1 -0 -0 -6 -0x5426 -0x8604eefc -256 -256 -1 -0 -0 -6 -0xb0ff -0xde9ff34f -256 -256 -1 -0 -0 -6 -0x24b8 -0x42c11c4 -256 -256 -1 -0 -0 -5 -0xa520 -0x53d447d7 -256 -256 -0 -0 -0 -9 -0xa914 -0x83493e7f -256 -256 -1 -0 -0 -8 -0x6bb6 -0x50f00ad2 -256 -256 -0 -0 -0 -8 -0xe692 -0xc0a100f8 -256 -256 -1 -0 -0 -10 -0x8bf9 -0x675b685c -256 -256 -0 -0 -0 -6 -0x860e -0x92031af4 -256 -256 -1 -0 -0 -2 -0x68e -0xd92a7e42 -256 -256 -0 -0 -0 -2 -0xf4fc -0xe9543ba2 -256 -256 -1 -0 -0 -6 -0x2d7e -0xcf4a15e7 -256 -256 -0 -0 -0 -4 -0xb9fa -0xa2cc1fa3 -256 -256 -0 -0 -0 -2 -0x9100 -0xf0f9509f -256 -256 -1 -0 -0 -7 -0x32d1 -0x2428dc82 -256 -256 -0 -0 -0 -4 -0x3090 -0x7fe98bb3 -256 -256 -0 -0 -0 -3 -0x89a8 -0x832f7816 -256 -256 -0 -0 -0 -3 -0xb618 -0x4ffb1291 -256 -256 -1 -0 -0 -10 -0x8635 -0x8729061e -256 -256 -0 -0 -0 -8 -0xe77c -0x53910620 -256 -256 -0 -0 -0 -8 -0xe77d -0xc6ed3a08 -256 -256 -1 -0 -0 -3 -0x93ba -0x2a747e91 -256 -256 -1 -0 -0 -7 -0x419a -0xbe64fcfe -256 -256 -0 -0 -0 -10 -0x2bfc -0x14be4135 -256 -256 -1 -0 -0 -6 -0xe34a -0xb398b07a -256 -256 -1 -0 -0 -6 -0xe8a6 -0x94fe5f16 -256 -256 -0 -0 -0 -4 -0xb3a9 -0xc85b18d0 -256 -256 -1 -0 -0 -8 -0x9337 -0x64413d3d -256 -256 -0 -0 -0 -2 -0xb1a8 -0xb09850e9 -256 -256 -0 -0 -0 -9 -0x6071 -0xc901b2dd -256 -256 -1 -0 -0 -9 -0x2306 -0x52a11866 -256 -256 -0 -0 -0 -10 -0x3712 -0x31323ade -256 -256 -0 -0 -0 -6 -0x3480 -0xc0533dfd -256 -256 -0 -0 -0 -10 -0xd924 -0x9ab43a84 -256 -256 -1 -0 -0 -6 -0x9f4e -0xc2cb2a1b -256 -256 -1 -0 -0 -1 -0x14ca -0x15f03c62 -256 -256 -1 -0 -0 -7 -0x25e8 -0x1ea3166d -256 -256 -1 -0 -0 -10 -0x3b4b -0xc0404343 -256 -256 -0 -0 -0 -9 -0x22bd -0x960c695e -256 -256 -0 -0 -0 -2 -0xb138 -0xa358e958 -256 -256 -0 -0 -0 -3 -0x101c -0x29bb01e5 -256 -256 -0 -0 -0 -3 -0xcd5b -0xb8a31e50 -256 -256 -0 -0 -0 -3 -0xc163 -0x321c043e -256 -256 -0 -0 -0 -7 -0xa28d -0xba3cb740 -256 -256 -0 -0 -0 -9 -0xe8f5 -0xd4ff2165 -256 -256 -1 -0 -0 -3 -0x378f -0x34b273f4 -256 -256 -0 -0 -0 -4 -0xed7f -0xc9de9cf6 -256 -256 -1 -0 -0 -3 -0x3603 -0xbb5c8a1 -256 -256 -0 -0 -0 -5 -0x155a -0xaadc01ed -256 -256 -1 -0 -0 -3 -0x29a9 -0x28c31cee -256 -256 -1 -0 -0 -8 -0x7865 -0x1f2bf077 -256 -256 -1 -0 -0 -3 -0xf9d -0x6c38be1b -256 -256 -1 -0 -0 -10 -0x2340 -0x594e8f32 -256 -256 -1 -0 -0 -10 -0x49b9 -0x27a71e17 -256 -256 -0 -0 -0 -2 -0x36b4 -0x41798a4f -256 -256 -0 -0 -0 -4 -0x5fb7 -0xcfc7b15a -256 -256 -1 -0 -0 -1 -0xd97f -0x6f490e8 -256 -256 -0 -0 -0 -5 -0x63e3 -0x257962e4 -256 -256 -0 -0 -0 -8 -0x6be9 -0x712408c6 -256 -256 -0 -0 -0 -7 -0x5b89 -0xb045b2a0 -256 -256 -1 -0 -0 -9 -0xecac -0xea101b68 -256 -256 -1 -0 -0 -6 -0xf384 -0x7329853e -256 -256 -1 -0 -0 -7 -0x942d -0x29bb6ca9 -256 -256 -1 -0 -0 -9 -0xdd41 -0xed2091c2 -256 -256 -1 -0 -0 -5 -0x7ea5 -0xe3842c77 -256 -256 -1 -0 -0 -1 -0xff9f -0x3173ff7c -256 -256 -0 -0 -0 -5 -0xe9e -0x447dddba -256 -256 -0 -0 -0 -3 -0x6dc6 -0x852d938c -256 -256 -0 -0 -0 -1 -0x16e8 -0xb97d01e2 -256 -256 -0 -0 -0 -7 -0xc5ba -0xba9a4a5e -256 -256 -0 -0 -0 -6 -0x4a3 -0x3eef46e1 -256 -256 -1 -0 -0 -9 -0x6085 -0xe14b4bf3 -256 -256 -0 -0 -0 -7 -0x68d0 -0x32ed2f66 -256 -256 -0 -0 -0 -8 -0x86fc -0xe3a34e70 -256 -256 -0 -0 -0 -7 -0x4201 -0xd38460d3 -256 -256 -1 -0 -0 -3 -0xe353 -0xdd967550 -256 -256 -1 -0 -0 -2 -0xcf27 -0x6bb71ad8 -256 -256 -1 -0 -0 -2 -0x7bd9 -0xad7f653b -256 -256 -0 -0 -0 -4 -0x2290 -0x51391cf -256 -256 -1 -0 -0 -4 -0x8fec -0x945876ed -256 -256 -1 -0 -0 -8 -0x15dc -0x6e3ec38a -256 -256 -1 -0 -0 -10 -0x5fc4 -0xd3183390 -256 -256 -0 -0 -0 -10 -0x8435 -0x214daf56 -256 -256 -1 -0 -0 -9 -0xcb30 -0x7b7dc17b -256 -256 -0 -0 -0 -3 -0x9895 -0x2dd5202a -256 -256 -0 -0 -0 -1 -0x4995 -0x95a3f688 -256 -256 -1 -0 -0 -9 -0x47e6 -0x2489657d -256 -256 -1 -0 -0 -3 -0x11 -0xe44aa5dd -256 -256 -0 -0 -0 -7 -0x1c54 -0x1a3d33ac -256 -256 -0 -0 -0 -3 -0xcb59 -0xee61313b -256 -256 -0 -0 -0 -9 -0x50c5 -0x285a94ff -256 -256 -0 -0 -0 -3 -0x740c -0x3be2e134 -256 -256 -0 -0 -0 -8 -0xd2a4 -0xd158b0d0 -256 -256 -0 -0 -0 -5 -0x4452 -0x9185c3de -256 -256 -0 -0 -0 -8 -0x334f -0x3ec0aaff -256 -256 -0 -0 -0 -10 -0x8143 -0x2c5d9b37 -256 -256 -0 -0 -0 -1 -0xc56a -0x8cf3659 -256 -256 -1 -0 -0 -6 -0x9e75 -0xec5166ed -256 -256 -0 -0 -0 -4 -0x4e80 -0xca16327d -256 -256 -0 -0 -0 -10 -0x5066 -0x5f2369e7 -256 -256 -0 -0 -0 -4 -0x6f39 -0x642956b4 -256 -256 -1 -0 -0 -1 -0x3fdf -0xb360376 -256 -256 -1 -0 -0 -10 -0xf4e3 -0x4e50c4b7 -256 -256 -0 -0 -0 -1 -0x8dfa -0x28590640 -256 -256 -0 -0 -0 -1 -0xd4a4 -0xc9444e38 -256 -256 -1 -0 -0 -6 -0xfa1e -0x12f29948 -256 -256 -1 -0 -0 -8 -0xfdd9 -0x4fba4dcb -256 -256 -1 -0 -0 -10 -0xff36 -0x43a4062 -256 -256 -0 -0 -0 -10 -0xe9b7 -0x49933337 -256 -256 -0 -0 -0 -2 -0x122 -0xd7ddd2aa -256 -256 -0 -0 -0 -10 -0xd7c3 -0x9c1ec1db -256 -256 -1 -0 -0 -4 -0x9f82 -0x518e2479 -256 -256 -0 -0 -0 -2 -0x12d2 -0xa3ef1214 -256 -256 -0 -0 -0 -4 -0x1544 -0xb0f4115c -256 -256 -1 -0 -0 -10 -0xaf1f -0x6a55eefc -256 -256 -0 -0 -0 -5 -0x526 -0xf6b048f9 -256 -256 -0 -0 -0 -5 -0x28b7 -0x60c7d620 -256 -256 -0 -0 -0 -2 -0x3470 -0x33f83e6a -256 -256 -0 -0 -0 -10 -0xb059 -0x744af70a -256 -256 -0 -0 -0 -8 -0x33a3 -0x3a714f72 -256 -256 -0 -0 -0 -9 -0xcc66 -0xc3227683 -256 -256 -1 -0 -0 -1 -0x34c8 -0x6f4e4249 -256 -256 -1 -0 -0 -1 -0xa8c4 -0x42941fd1 -256 -256 -1 -0 -0 -1 -0xc0a1 -0xe6d1d27a -256 -256 -0 -0 -0 -6 -0x81f9 -0x779286a1 -256 -256 -1 -0 -0 -8 -0xee0c -0xe83bd92d -256 -256 -0 -0 -0 -9 -0x2cfd -0xbd2d562b -256 -256 -0 -0 -0 -7 -0x909c -0x90e36b2c -256 -256 -1 -0 -0 -3 -0x9658 -0x292bc50 -256 -256 -0 -0 -0 -3 -0x125e -0x526ab807 -256 -256 -0 -0 -0 -4 -0x7d0 -0xb6c0eceb -256 -256 -0 -0 -0 -10 -0x7eed -0x622eb742 -256 -256 -1 -0 -0 -10 -0xa75e -0x1d984573 -256 -256 -0 -0 -0 -7 -0x7931 -0xd042eecf -256 -256 -0 -0 -0 -10 -0x9d3f -0xd85cb0ce -256 -256 -0 -0 -0 -6 -0x8432 -0xb7411ece -256 -256 -1 -0 -0 -2 -0x623c -0x9689454d -256 -256 -0 -0 -0 -7 -0x7e92 -0x1d39d942 -256 -256 -1 -0 -0 -1 -0xfb1b -0x3d170ae8 -256 -256 -1 -0 -0 -6 -0x7d79 -0x394894f2 -256 -256 -0 -0 -0 -1 -0x3952 -0x9d434072 -256 -256 -1 -0 -0 -5 -0x2b8 -0x73d62c02 -256 -256 -0 -0 -0 -7 -0x2e5a -0x91daddea -256 -256 -1 -0 -0 -5 -0x5a64 -0xbc544456 -256 -256 -1 -0 -0 -10 -0xaeeb -0xa900e8e1 -256 -256 -0 -0 -0 -5 -0x4d33 -0x34f71ef2 -256 -256 -1 -0 -0 -8 -0x9ba8 -0x63778b2c -256 -256 -1 -0 -0 -5 -0x4bea -0x7042d03d -256 -256 -1 -0 -0 -8 -0xd8b6 -0xc9de36e3 -256 -256 -1 -0 -0 -10 -0xf97c -0x4b42f12f -256 -256 -1 -0 -0 -9 -0xf95d -0x11bf9ec0 -256 -256 -1 -0 -0 -1 -0x5863 -0x67b34654 -256 -256 -0 -0 -0 -10 -0x893e -0x4bfce2a4 -256 -256 -0 -0 -0 -1 -0x83f -0x17f1a7dc -256 -256 -0 -0 -0 -6 -0x66e5 -0xadfd7c93 -256 -256 -1 -0 -0 -2 -0xc284 -0x4aefdcde -256 -256 -0 -0 -0 -7 -0xc1d3 -0xcae6b35d -256 -256 -1 -0 -0 -9 -0x6615 -0xbf5d47c2 -256 -256 -0 -0 -0 -5 -0xf3e -0x348e751 -256 -256 -0 -0 -0 -3 -0x2c95 -0x79719c52 -256 -256 -0 -0 -0 -1 -0x520a -0xfe4122ae -256 -256 -0 -0 -0 -10 -0x844c -0x3a0549ec -256 -256 -1 -0 -0 -4 -0xcb2e -0xda3c0f7f -256 -256 -1 -0 -0 -8 -0x3e31 -0xedf80f9c -256 -256 -1 -0 -0 -10 -0xcff3 -0x6132c681 -256 -256 -0 -0 -0 -5 -0x96b0 -0x1d0b5efb -256 -256 -0 -0 -0 -1 -0x5027 -0x19cd2e90 -256 -256 -1 -0 -0 -10 -0x5137 -0x9ec4bf1a -256 -256 -1 -0 -0 -7 -0xbb3f -0xd6f2b26e -256 -256 -0 -0 -0 -10 -0x3103 -0xbc4a5308 -256 -256 -0 -0 -0 -8 -0x763 -0x4aeadc86 -256 -256 -0 -0 -0 -4 -0xe066 -0x682c077c -256 -256 -0 -0 -0 -4 -0xd29b -0x1f459997 -256 -256 -1 -0 -0 -4 -0x426e -0xdb34fa1b -256 -256 -0 -0 -0 -2 -0xc5e4 -0xfb33b395 -256 -256 -0 -0 -0 -3 -0x5f0d -0x4085b336 -256 -256 -0 -0 -0 -9 -0xcca8 -0xe5ba4ebe -256 -256 -0 -0 -0 -8 -0x2af0 -0x6f0f4bfe -256 -256 -0 -0 -0 -3 -0x7c80 -0xd6360632 -256 -256 -1 -0 -0 -2 -0x6d7a -0xe060e1b -256 -256 -0 -0 -0 -4 -0x4ff1 -0x2d4cb866 -256 -256 -0 -0 -0 -1 -0x42ba -0xba177b29 -256 -256 -0 -0 -0 -2 -0x9aba -0x565486a6 -256 -256 -0 -0 -0 -5 -0x949f -0xfced0ea4 -256 -256 -1 -0 -0 -9 -0x55e9 -0x9a54ad04 -256 -256 -0 -0 -0 -8 -0xf09c -0x7404525 -256 -256 -0 -0 -0 -5 -0x30cb -0xdb7be465 -256 -256 -1 -0 -0 -10 -0x62af -0x31df2cd4 -256 -256 -1 -0 -0 -2 -0xa58c -0x6b189ecd -256 -256 -0 -0 -0 -2 -0x3c00 -0xef6ddd18 -256 -256 -1 -0 -0 -3 -0x1cb8 -0xaa98d5cb -256 -256 -0 -0 -0 -5 -0xc394 -0x3f53adb -256 -256 -1 -0 -0 -4 -0xbe84 -0x12bcab4f -256 -256 -1 -0 -0 -7 -0x4ad -0xc706de5b -256 -256 -0 -0 -0 -5 -0xe8a3 -0x52271fba -256 -256 -1 -0 -0 -4 -0x3ae4 -0x40bae9b2 -256 -256 -0 -0 -0 -5 -0x2269 -0x8983cffd -256 -256 -1 -0 -0 -6 -0x1b46 -0xef46669b -256 -256 -0 -0 -0 -1 -0x1625 -0x1e2f11b7 -256 -256 -0 -0 -0 -2 -0xc025 -0xea1b701e -256 -256 -0 -0 -0 -10 -0xb3f7 -0x39393427 -256 -256 -0 -0 -0 -1 -0x1a8a -0xb7f46236 -256 -256 -0 -0 -0 -5 -0x714b -0xe7150067 -256 -256 -1 -0 -0 -6 -0xbe38 -0x6ce61ea6 -256 -256 -0 -0 -0 -2 -0x7811 -0x20532d04 -256 -256 -1 -0 -0 -2 -0x6cde -0x3650c3fb -256 -256 -1 -0 -0 -6 -0xf5c1 -0x1788d79c -256 -256 -1 -0 -0 -9 -0x9e3a -0x24fcc08 -256 -256 -1 -0 -0 -9 -0x427 -0xeae9837f -256 -256 -0 -0 -0 -6 -0x4801 -0x1037405a -256 -256 -0 -0 -0 -6 -0xec15 -0x7cf6fa8c -256 -256 -0 -0 -0 -10 -0x111c -0x20c9a49 -256 -256 -0 -0 -0 -6 -0x3061 -0xae90232 -256 -256 -0 -0 -0 -9 -0xa369 -0x1e88c7f2 -256 -256 -0 -0 -0 -7 -0x5f27 -0x3b9d126c -256 -256 -1 -0 -0 -1 -0xf816 -0x7c7be580 -256 -256 -1 -0 -0 -9 -0x14bd -0xa883864c -256 -256 -1 -0 -0 -3 -0xfafb -0x6f945040 -256 -256 -1 -0 -0 -8 -0xaa55 -0x5795cbe3 -256 -256 -1 -0 -0 -2 -0xc16e -0x23141417 -256 -256 -0 -0 -0 -6 -0xf7de -0x67d9770e -256 -256 -0 -0 -0 -9 -0xa47c -0xe4c11e0f -256 -256 -1 -0 -0 -2 -0xa1a1 -0x3b7b5413 -256 -256 -1 -0 -0 -5 -0xbbe5 -0xf88e62ec -256 -256 -0 -0 -0 -1 -0x4676 -0x1dc59ef8 -256 -256 -0 -0 -0 -3 -0xb896 -0x6e8a1433 -256 -256 -1 -0 -0 -5 -0xd787 -0xc6873d2e -256 -256 -0 -0 -0 -2 -0x65d9 -0x33c9c64d -256 -256 -0 -0 -0 -1 -0xf597 -0x9959d9c -256 -256 -0 -0 -0 -5 -0xc288 -0xdce5dbf4 -256 -256 -1 -0 -0 -8 -0xd1ae -0x714a1558 -256 -256 -0 -0 -0 -5 -0x3a24 -0xa502b7b7 -256 -256 -0 -0 -0 -6 -0x6805 -0x1345b34a -256 -256 -0 -0 -0 -3 -0xe934 -0xd7f67d78 -256 -256 -0 -0 -0 -1 -0xfe65 -0x89397ba9 -256 -256 -0 -0 -0 -10 -0x53f8 -0x14e7c06 -256 -256 -0 -0 -0 -1 -0xfa79 -0xa365cef6 -256 -256 -1 -0 -0 -7 -0x7055 -0xe1fbf7ab -256 -256 -1 -0 -0 -8 -0x1304 -0xcacdc6c8 -256 -256 -1 -0 -0 -2 -0x82af -0xfd875c6f -256 -256 -1 -0 -0 -5 -0x6c2a -0x82832a7f -256 -256 -0 -0 -0 -4 -0xb690 -0x846ca6e4 -256 -256 -0 -0 -0 -7 -0x971b -0x922d4b06 -256 -256 -0 -0 -0 -5 -0xe2f0 -0xe5b804c6 -256 -256 -1 -0 -0 -2 -0x6eeb -0x745174a4 -256 -256 -1 -0 -0 -10 -0x4e10 -0x18345ffd -256 -256 -0 -0 -0 -6 -0xdccc -0xa7bd1e05 -256 -256 -0 -0 -0 -3 -0xedb3 -0x66aea6ee -256 -256 -1 -0 -0 -6 -0xdb67 -0xc047a83e -256 -256 -1 -0 -0 -9 -0xf005 -0x6da7f795 -256 -256 -1 -0 -0 -7 -0x9d03 -0xaa0dfe01 -256 -256 -0 -0 -0 -2 -0x96b8 -0xb045266a -256 -256 -0 -0 -0 -1 -0xa153 -0xb01b52aa -256 -256 -1 -0 -0 -7 -0x97d2 -0x12d9af02 -256 -256 -0 -0 -0 -8 -0x4bcb -0x6b90395a -256 -256 -0 -0 -0 -10 -0x4260 -0xd34f93a1 -256 -256 -1 -0 -0 -2 -0x5c3e -0xb3a3c3e -256 -256 -1 -0 -0 -6 -0x8fb0 -0x8ebb8a93 -256 -256 -1 -0 -0 -6 -0x1e04 -0xddf8e982 -256 -256 -1 -0 -0 -1 -0x5e9d -0xb8afd61f -256 -256 -1 -0 -0 -7 -0x45aa -0x13ebc349 -256 -256 -1 -0 -0 -3 -0x3d77 -0x1d3537db -256 -256 -0 -0 -0 -3 -0x763b -0x8259fac7 -256 -256 -1 -0 -0 -10 -0x84af -0x5af3fa05 -256 -256 -0 -0 -0 -8 -0xf3d9 -0xf8b153f2 -256 -256 -1 -0 -0 -8 -0x5d4b -0xc03dbf85 -256 -256 -0 -0 -0 -7 -0x3575 -0xe0e1cf90 -256 -256 -0 -0 -0 -4 -0x993b -0x703a220e -256 -256 -0 -0 -0 -4 -0x35c9 -0x9a0819a5 -256 -256 -0 -0 -0 -6 -0x6095 -0xebafa7c7 -256 -256 -0 -0 -0 -5 -0x195 -0x2ba44b -256 -256 -1 -0 -0 -2 -0xf692 -0xb51c144b -256 -256 -1 -0 -0 -7 -0x485c -0x4511eb80 -256 -256 -1 -0 -0 -4 -0xa78c -0x5fdcc8da -256 -256 -1 -0 -0 -8 -0xbc98 -0x886fc4ef -256 -256 -1 -0 -0 -9 -0x8eb0 -0x40579cbe -256 -256 -1 -0 -0 -7 -0xf59e -0x888924ae -256 -256 -0 -0 -0 -3 -0x34bd -0x4dc8b832 -256 -256 -1 -0 -0 -6 -0xcf77 -0xe83d5857 -256 -256 -1 -0 -0 -8 -0x6865 -0x538a639e -256 -256 -1 -0 -0 -6 -0x5ff2 -0xd0815ac4 -256 -256 -0 -0 -0 -4 -0x579f -0xc74d5d40 -256 -256 -0 -0 -0 -8 -0x5bca -0x1f9beb23 -256 -256 -1 -0 -0 -4 -0x73ee -0x912baffd -256 -256 -1 -0 -0 -9 -0xc9dd -0x45b68682 -256 -256 -1 -0 -0 -2 -0x67d7 -0x3f0e1fa0 -256 -256 -1 -0 -0 -7 -0xdfb0 -0x86bf058 -256 -256 -1 -0 -0 -1 -0xb3a5 -0xec755bad -256 -256 -0 -0 -0 -8 -0x5fd5 -0x16a20f9e -256 -256 -1 -0 -0 -7 -0x4171 -0x7756b23f -256 -256 -0 -0 -0 -6 -0x7372 -0x11acfd6a -256 -256 -1 -0 -0 -2 -0xbcaa -0x796e7b06 -256 -256 -0 -0 -0 -3 -0x676e -0xf6643765 -256 -256 -1 -0 -0 -7 -0x5916 -0x173fb181 -256 -256 -0 -0 -0 -8 -0xf33f -0x630584ea -256 -256 -1 -0 -0 -6 -0xa143 -0xc04dc18d -256 -256 -0 -0 -0 -6 -0xc517 -0x447b3c6 -256 -256 -0 -0 -0 -6 -0xbcea -0x676a3681 -256 -256 -0 -0 -0 -2 -0x25ca -0x2c6caffa -256 -256 -0 -0 -0 -1 -0xafa4 -0xf393a7fd -256 -256 -0 -0 -0 -1 -0x1717 -0x79021484 -256 -256 -0 -0 -0 -6 -0x2c1d -0x3f5e7e14 -256 -256 -1 -0 -0 -7 -0x1c75 -0x75ece31e -256 -256 -0 -0 -0 -6 -0x3ee5 -0x990929d2 -256 -256 -0 -0 -0 -6 -0xa993 -0xd49a49d9 -256 -256 -1 -0 -0 -2 -0x2a10 -0x4fdb3104 -256 -256 -0 -0 -0 -8 -0x3d7b -0x8ac49f74 -256 -256 -0 -0 -0 -5 -0x3c36 -0xf7d00e4f -256 -256 -0 -0 -0 -4 -0xdd0c -0xe7bf619d -256 -256 -1 -0 -0 -4 -0xd5ed -0xca84f6bf -256 -256 -0 -0 -0 -4 -0x651d -0x9be61d13 -256 -256 -0 -0 -0 -5 -0x574b -0x38b2480c -256 -256 -0 -0 -0 -4 -0xe9a6 -0xa5a56d1 -256 -256 -1 -0 -0 -7 -0xdafd -0x8331bf52 -256 -256 -1 -0 -0 -4 -0xa0fc -0x5257f313 -256 -256 -0 -0 -0 -1 -0x2249 -0x18b7fef -256 -256 -0 -0 -0 -7 -0xf580 -0xb0993bf0 -256 -256 -1 -0 -0 -4 -0xe950 -0x2bc8fcb7 -256 -256 -1 -0 -0 -3 -0xaa02 -0x39459df8 -256 -256 -1 -0 -0 -7 -0x1080 -0xc07bd1c5 -256 -256 -0 -0 -0 -5 -0xf99 -0x81362f75 -256 -256 -1 -0 -0 -10 -0xba65 -0x7fb6a583 -256 -256 -0 -0 -0 -6 -0x7abe -0xba4887bb -256 -256 -1 -0 -0 -1 -0xda39 -0x3f3a1168 -256 -256 -1 -0 -0 -7 -0x13d2 -0x28c455b3 -256 -256 -0 -0 -0 -4 -0x768c -0x25781878 -256 -256 -1 -0 -0 -1 -0x37d3 -0x3030b1ab -256 -256 -1 -0 -0 -7 -0x53c0 -0xba0b475b -256 -256 -0 -0 -0 -9 -0x3f0d -0x16af4b6f -256 -256 -0 -0 -0 -1 -0xbf33 -0x8f0242a9 -256 -256 -1 -0 -0 -6 -0x3b0d -0x72063599 -256 -256 -0 -0 -0 -3 -0xd8bd -0xa73b4684 -256 -256 -0 -0 -0 -4 -0x4746 -0x431c23df -256 -256 -1 -0 -0 -1 -0x9365 -0x3825402 -256 -256 -1 -0 -0 -5 -0x6d24 -0x60597d14 -256 -256 -0 -0 -0 -7 -0x10c2 -0x9e7d6d14 -256 -256 -1 -0 -0 -10 -0x5eb6 -0xedb0ca9a -256 -256 -0 -0 -0 -8 -0xe793 -0x674617c8 -256 -256 -1 -0 -0 -3 -0x2476 -0x4adf4eb5 -256 -256 -1 -0 -0 -7 -0x3159 -0xa71d815a -256 -256 -0 -0 -0 -10 -0x16df -0x90f8d492 -256 -256 -0 -0 -0 -7 -0xc28c -0x4a388683 -256 -256 -0 -0 -0 -3 -0xb104 -0x27fa1211 -256 -256 -1 -0 -0 -4 -0xc484 -0xdde63c7a -256 -256 -1 -0 -0 -7 -0x80a5 -0x3e91f3ca -256 -256 -0 -0 -0 -7 -0xa646 -0xf4fb407d -256 -256 -1 -0 -0 -2 -0x636b -0x244e2e26 -256 -256 -0 -0 -0 -7 -0xcfe2 -0xd3492639 -256 -256 -0 -0 -0 -10 -0xaa08 -0xef31f104 -256 -256 -1 -0 -0 -5 -0x4f83 -0xe1a4c0bc -256 -256 -0 -0 -0 -9 -0x6f19 -0x8ef9d42a -256 -256 -0 -0 -0 -6 -0x1a01 -0xfda5907d -256 -256 -0 -0 -0 -4 -0x985 -0xd3c986c3 -256 -256 -0 -0 -0 -6 -0x28dd -0x8473c645 -256 -256 -1 -0 -0 -7 -0xc051 -0xdee9ee16 -256 -256 -0 -0 -0 -8 -0x8bd0 -0x4b988a91 -256 -256 -0 -0 -0 -4 -0x9190 -0x129a22d0 -256 -256 -0 -0 -0 -10 -0x70ac -0x383e1195 -256 -256 -1 -0 -0 -4 -0x816a -0xb3c4e98b -256 -256 -0 -0 -0 -10 -0xc54e -0x8f5da5d6 -256 -256 -0 -0 -0 -8 -0xc3f3 -0x118f380f -256 -256 -0 -0 -0 -6 -0x9e53 -0xca2561bf -256 -256 -1 -0 -0 -7 -0x15e -0x396debe2 -256 -256 -0 -0 -0 -4 -0x9827 -0x18c93634 -256 -256 -0 -0 -0 -4 -0x1b4 -0xe1425846 -256 -256 -1 -0 -0 -6 -0x641e -0x746e89af -256 -256 -1 -0 -0 -4 -0xbef7 -0x48cc2ca3 -256 -256 -0 -0 -0 -6 -0xb891 -0xa477a2c3 -256 -256 -1 -0 -0 -3 -0x305c -0x81edfb3f -256 -256 -0 -0 -0 -5 -0xfe26 -0x972f7cdf -256 -256 -1 -0 -0 -8 -0x81ad -0x1b9997e6 -256 -256 -0 -0 -0 -7 -0xcf2 -0xb9b91aad -256 -256 -1 -0 -0 -4 -0xf2b0 -0xcb3bb1c9 -256 -256 -1 -0 -0 -9 -0xae26 -0x7b459da1 -256 -256 -0 -0 -0 -1 -0x4105 -0x76d6f4ce -256 -256 -1 -0 -0 -4 -0x6782 -0x14448e63 -256 -256 -0 -0 -0 -1 -0xed95 -0x78c15693 -256 -256 -1 -0 -0 -6 -0x3965 -0x77459281 -256 -256 -0 -0 -0 -1 -0x81b3 -0x10a8db4f -256 -256 -0 -0 -0 -9 -0xa5d7 -0x4150f776 -256 -256 -1 -0 -0 -8 -0xd51c -0x943d777 -256 -256 -0 -0 -0 -3 -0x56a4 -0x2ae2c102 -256 -256 -0 -0 -0 -6 -0x8eec -0xcdc62a43 -256 -256 -0 -0 -0 -8 -0xe3ee -0xb8b4d60b -256 -256 -0 -0 -0 -10 -0xa34c -0xa23fad24 -256 -256 -1 -0 -0 -8 -0xa254 -0x1705c1a0 -256 -256 -0 -0 -0 -3 -0xaa26 -0xcef55d16 -256 -256 -0 -0 -0 -4 -0xdcb3 -0x6359274 -256 -256 -1 -0 -0 -1 -0xcdc0 -0xf162e0f9 -256 -256 -1 -0 -0 -8 -0x6dfa -0xcb7dd0ea -256 -256 -1 -0 -0 -3 -0xfc20 -0x6bf26c42 -256 -256 -0 -0 -0 -2 -0x2a3d -0x62b7d85a -256 -256 -1 -0 -0 -10 -0xd815 -0x45fbf37b -256 -256 -1 -0 -0 -9 -0x95ff -0x29ba86a5 -256 -256 -0 -0 -0 -7 -0xad7a -0xd80cec78 -256 -256 -1 -0 -0 -9 -0xc2f -0xdb98974f -256 -256 -1 -0 -0 -4 -0x6fbc -0xbe78e6b -256 -256 -0 -0 -0 -5 -0x760d -0xe8aad622 -256 -256 -0 -0 -0 -2 -0x34da -0xb7c6042f -256 -256 -0 -0 -0 -4 -0xb2e3 -0x730676a -256 -256 -0 -0 -0 -10 -0xff71 -0x10ea4d19 -256 -256 -1 -0 -0 -10 -0x2392 -0x1daccb67 -256 -256 -1 -0 -0 -5 -0x4df2 -0x7acd4027 -256 -256 -1 -0 -0 -4 -0x6e5f -0xe8c42a4c -256 -256 -0 -0 -0 -10 -0xf88 -0x1f8e7af3 -256 -256 -1 -0 -0 -6 -0x6d98 -0xcc358e8e -256 -256 -0 -0 -0 -1 -0x4ce2 -0x55e6ec8b -256 -256 -1 -0 -0 -3 -0x574b -0x91dd44b4 -256 -256 -0 -0 -0 -6 -0xca78 -0x1100283a -256 -256 -0 -0 -0 -6 -0x4dc4 -0x4a087b6d -256 -256 -1 -0 -0 -2 -0x238f -0x3aea73c9 -256 -256 -1 -0 -0 -3 -0x6292 -0x68733a9d -256 -256 -1 -0 -0 -3 -0xfec -0xb869a24 -256 -256 -1 -0 -0 -5 -0xca91 -0x1d7c84c0 -256 -256 -1 -0 -0 -7 -0xa8cf -0x888d7362 -256 -256 -0 -0 -0 -2 -0x61e9 -0x65e9a870 -256 -256 -1 -0 -0 -9 -0x1344 -0xe7233800 -256 -256 -1 -0 -0 -8 -0x592f -0xb5b9c182 -256 -256 -1 -0 -0 -3 -0x14c6 -0x1ccb68ae -256 -256 -1 -0 -0 -9 -0x62c8 -0x2f529845 -256 -256 -1 -0 -0 -6 -0xfec7 -0x8758588a -256 -256 -1 -0 -0 -5 -0xf72f -0xcf2f1998 -256 -256 -0 -0 -0 -7 -0xa732 -0x4b4d6ce6 -256 -256 -0 -0 -0 -1 -0x35bc -0xca8e8608 -256 -256 -1 -0 -0 -5 -0xf381 -0x5c35788c -256 -256 -1 -0 -0 -8 -0x5413 -0x9f30aebc -256 -256 -1 -0 -0 -6 -0xfb5c -0x4e9dc2f -256 -256 -0 -0 -0 -2 -0x66fb -0x66ab552e -256 -256 -1 -0 -0 -4 -0x83db -0x31f91f6d -256 -256 -1 -0 -0 -8 -0xb61 -0x7b30ffd3 -256 -256 -0 -0 -0 -9 -0x6cb3 -0xab881fb9 -256 -256 -0 -0 -0 -3 -0xf3b1 -0x47adfe19 -256 -256 -0 -0 -0 -5 -0x50c0 -0x89b44131 -256 -256 -0 -0 -0 -8 -0xd55a -0x698cd3 -256 -256 -1 -0 -0 -5 -0x5553 -0x18de93a3 -256 -256 -1 -0 -0 -3 -0x6c57 -0x7eb69f18 -256 -256 -0 -0 -0 -10 -0x529e -0xb34e09c7 -256 -256 -0 -0 -0 -5 -0xf3d6 -0xe0c4cb6c -256 -256 -1 -0 -0 -1 -0xe4d8 -0x911293e4 -256 -256 -1 -0 -0 -2 -0x3de -0x5f545cc4 -256 -256 -0 -0 -0 -2 -0x7811 -0xbbc02b56 -256 -256 -0 -0 -0 -3 -0x4047 -0x91277083 -256 -256 -1 -0 -0 -3 -0xf97b -0x3e0ec09d -256 -256 -0 -0 -0 -5 -0x4910 -0x4f0ab45d -256 -256 -0 -0 -0 -4 -0x987b -0xfb4f5f08 -256 -256 -1 -0 -0 -8 -0x5796 -0x2f2e16bd -256 -256 -0 -0 -0 -10 -0x8ceb -0x7d8e9f1c -256 -256 -0 -0 -0 -2 -0x5d64 -0xae2768f9 -256 -256 -0 -0 -0 -2 -0x598e -0x13efd898 -256 -256 -1 -0 -0 -5 -0xd6eb -0x3ad995f1 -256 -256 -0 -0 -0 -7 -0x6afa -0xb9fcf888 -256 -256 -1 -0 -0 -3 -0xb7be -0x69cb12f2 -256 -256 -0 -0 -0 -5 -0x8b54 -0x2c9ea78d -256 -256 -1 -0 -0 -4 -0x4112 -0xff70b13e -256 -256 -1 -0 -0 -3 -0x49f -0x65399bae -256 -256 -1 -0 -0 -7 -0xfb9d -0xf74c5447 -256 -256 -0 -0 -0 -9 -0x90f3 -0xab925012 -256 -256 -0 -0 -0 -5 -0x8765 -0x60669254 -256 -256 -0 -0 -0 -1 -0x41f3 -0x67376ff9 -256 -256 -0 -0 -0 -3 -0xff37 -0xd971ddfe -256 -256 -1 -0 -0 -8 -0xf40e -0x7057d3f -256 -256 -1 -0 -0 -3 -0xa760 -0xbbd5cf7a -256 -256 -0 -0 -0 -7 -0xca93 -0xd62665a2 -256 -256 -1 -0 -0 -1 -0x3f39 -0x5b2802de -256 -256 -1 -0 -0 -1 -0xcac5 -0xb7614702 -256 -256 -0 -0 -0 -1 -0x29 -0xbf484668 -256 -256 -1 -0 -0 -3 -0x8c90 -0xcf258049 -256 -256 -0 -0 -0 -7 -0xbdc6 -0x11e9cc73 -256 -256 -1 -0 -0 -3 -0x3e22 -0x11dc1f0c -256 -256 -1 -0 -0 -3 -0x29df -0xa2be6d79 -256 -256 -0 -0 -0 -6 -0xbbfb -0x9c553b22 -256 -256 -0 -0 -0 -10 -0x1af3 -0xae3bfa6c -256 -256 -0 -0 -0 -6 -0xf5a1 -0xe8c2a6aa -256 -256 -1 -0 -0 -1 -0xe75a -0xf2f89903 -256 -256 -0 -0 -0 -4 -0x2819 -0x39a131bd -256 -256 -1 -0 -0 -5 -0xc8b8 -0xc68a4a74 -256 -256 -0 -0 -0 -9 -0x3cae -0xee83833d -256 -256 -0 -0 -0 -8 -0xd086 -0xee20ba6a -256 -256 -0 -0 -0 -1 -0x9065 -0x46ee92ff -256 -256 -0 -0 -0 -5 -0x649c -0x69debdc8 -256 -256 -0 -0 -0 -1 -0x6b43 -0xda623c4d -256 -256 -0 -0 -0 -10 -0x273c -0xaff0cacf -256 -256 -0 -0 -0 -4 -0x71d -0xbe31246e -256 -256 -1 -0 -0 -1 -0x3720 -0x866ee3dc -256 -256 -1 -0 -0 -1 -0xd1eb -0x4c7b5912 -256 -256 -1 -0 -0 -4 -0x9e8b -0xa8011270 -256 -256 -0 -0 -0 -9 -0x47d4 -0x74bf2d13 -256 -256 -0 -0 -0 -7 -0xb443 -0xcb3b7db6 -256 -256 -1 -0 -0 -1 -0xe570 -0xe5f5db14 -256 -256 -1 -0 -0 -10 -0xff44 -0x27faf7e -256 -256 -1 -0 -0 -1 -0x9033 -0xf39129a2 -256 -256 -1 -0 -0 -10 -0x7b58 -0x6e57774b -256 -256 -1 -0 -0 -1 -0x423b -0xe7af4f5a -256 -256 -1 -0 -0 -10 -0xe43e -0x152398a0 -256 -256 -1 -0 -0 -3 -0x78df -0x5bbb1679 -256 -256 -0 -0 -0 -8 -0x4fbd -0x3070ac1f -256 -256 -1 -0 -0 -3 -0x5dc6 -0x5877c57 -256 -256 -1 -0 -0 -5 -0x3af5 -0xa10f9f7 -256 -256 -1 -0 -0 -9 -0x744 -0x6758a921 -256 -256 -1 -0 -0 -6 -0xf5b1 -0xaa4ebd3f -256 -256 -0 -0 -0 -10 -0xc860 -0x8265b648 -256 -256 -0 -0 -0 -9 -0x7514 -0x63256435 -256 -256 -0 -0 -0 -5 -0x15d1 -0x38045db1 -256 -256 -1 -0 -0 -4 -0xaaed -0x6309de9f -256 -256 -1 -0 -0 -9 -0xb9f2 -0x8c38a4c2 -256 -256 -1 -0 -0 -6 -0x5a79 -0x1d4dfc7a -256 -256 -0 -0 -0 -5 -0x4d13 -0x71222d2d -256 -256 -0 -0 -0 -8 -0x2323 -0xcf98c587 -256 -256 -1 -0 -0 -10 -0x61d -0x8080b4ba -256 -256 -0 -0 -0 -2 -0x82ff -0x1572c626 -256 -256 -0 -0 -0 -4 -0x3488 -0xca5ae28c -256 -256 -0 -0 -0 -6 -0x1556 -0x95bf98b9 -256 -256 -0 -0 -0 -8 -0x1ff0 -0x4d7f7aea -256 -256 -1 -0 -0 -9 -0x33b5 -0xe40ff68c -256 -256 -1 -0 -0 -10 -0x1c71 -0x863b8326 -256 -256 -1 -0 -0 -7 -0xa777 -0xb720e24a -256 -256 -0 -0 -0 -10 -0xb5f3 -0x7734d5a -256 -256 -1 -0 -0 -1 -0xd2cf -0x2151725e -256 -256 -1 -0 -0 -1 -0x9696 -0x959a293d -256 -256 -1 -0 -0 -2 -0xc9d7 -0x46e534f6 -256 -256 -1 -0 -0 -6 -0x6bd9 -0x10544c6 -256 -256 -0 -0 -0 -1 -0x1a30 -0x3a5c26db -256 -256 -1 -0 -0 -3 -0x32be -0x3ca79000 -256 -256 -0 -0 -0 -1 -0xaa6e -0x2d719d0b -256 -256 -1 -0 -0 -1 -0xe8ce -0xa1e53b89 -256 -256 -1 -0 -0 -8 -0x9188 -0x3f6fde29 -256 -256 -0 -0 -0 -3 -0xf431 -0x3d3714b -256 -256 -1 -0 -0 -4 -0xbfd7 -0xcc5304c9 -256 -256 -1 -0 -0 -10 -0xf673 -0xe0cea8 -256 -256 -1 -0 -0 -7 -0xa2dc -0xf1c81747 -256 -256 -0 -0 -0 -4 -0x6c3b -0xbf5ae58c -256 -256 -0 -0 -0 -6 -0xad55 -0x8267648 -256 -256 -0 -0 -0 -7 -0xecd4 -0xd0da147c -256 -256 -0 -0 -0 -7 -0xbcc8 -0x77af1115 -256 -256 -1 -0 -0 -5 -0x1c35 -0xe42f2c78 -256 -256 -1 -0 -0 -9 -0x7371 -0x30f0a9bc -256 -256 -0 -0 -0 -10 -0x3dd4 -0xc1f3d8d9 -256 -256 -1 -0 -0 -3 -0xbb28 -0x69623364 -256 -256 -1 -0 -0 -5 -0xc1ff -0x6b983695 -256 -256 -1 -0 -0 -1 -0xf214 -0xcacf068f -256 -256 -0 -0 -0 -10 -0xddcf -0xcf3487b -256 -256 -1 -0 -0 -9 -0xf930 -0x4cb32264 -256 -256 -0 -0 -0 -9 -0x1efa -0xacace006 -256 -256 -1 -0 -0 -2 -0x2fae -0xec83538b -256 -256 -1 -0 -0 -5 -0x5fe7 -0x4e24e937 -256 -256 -1 -0 -0 -7 -0x3fbf -0x5eaefa4a -256 -256 -1 -0 -0 -8 -0x9ee -0xb5c08695 -256 -256 -1 -0 -0 -6 -0xe6a6 -0x14652980 -256 -256 -1 -0 -0 -3 -0xdefc -0xcec033d7 -256 -256 -1 -0 -0 -10 -0x2c3d -0x1be5ee82 -256 -256 -1 -0 -0 -1 -0xfcff -0x7a8c350 -256 -256 -0 -0 -0 -5 -0x7755 -0x91aad508 -256 -256 -0 -0 -0 -7 -0x6f10 -0x2e75c46 -256 -256 -1 -0 -0 -10 -0xef94 -0xb7523006 -256 -256 -0 -0 -0 -8 -0xe235 -0xd67bba66 -256 -256 -0 -0 -0 -2 -0xb570 -0x3e220c0 -256 -256 -1 -0 -0 -6 -0x5a36 -0xcb4f2f9e -256 -256 -1 -0 -0 -8 -0xf900 -0x528bb1ba -256 -256 -1 -0 -0 -4 -0x41fd -0x4d4ceb6a -256 -256 -1 -0 -0 -10 -0x718 -0x6a68812b -256 -256 -0 -0 -0 -2 -0xf5a8 -0x46492e2a -256 -256 -1 -0 -0 -5 -0xb896 -0x990705a -256 -256 -0 -0 -0 -3 -0x34eb -0x91fb9e87 -256 -256 -0 -0 -0 -10 -0x36e5 -0xaabd6827 -256 -256 -1 -0 -0 -7 -0x8f94 -0xdc49a048 -256 -256 -1 -0 -0 -6 -0x36e1 -0xa57d9650 -256 -256 -1 -0 -0 -7 -0x6f58 -0x361aaf20 -256 -256 -0 -0 -0 -3 -0xa9cf -0x5c3b0cc -256 -256 -0 -0 -0 -6 -0xe4fc -0xf8f31fb8 -256 -256 -1 -0 -0 -6 -0x27a9 -0x6828ee86 -256 -256 -1 -0 -0 -3 -0xfdd7 -0x27b2a180 -256 -256 -1 -0 -0 -8 -0x5de5 -0x916c54cd -256 -256 -1 -0 -0 -5 -0xf88d -0xee3d56e5 -256 -256 -0 -0 -0 -5 -0x94fc -0xc9d539e1 -256 -256 -1 -0 -0 -5 -0x8324 -0x94059ecc -256 -256 -1 -0 -0 -10 -0x48d3 -0x65889dbb -256 -256 -0 -0 -0 -8 -0xb16a -0x525cffbd -256 -256 -1 -0 -0 -7 -0x62ee -0xd1aaa14c -256 -256 -1 -0 -0 -4 -0xad3c -0x72e4c061 -256 -256 -1 -0 -0 -7 -0x9f7e -0x634055aa -256 -256 -0 -0 -0 -10 -0x92f1 -0x5dc0e386 -256 -256 -1 -0 -0 -10 -0xa1b8 -0x9c9cd5f0 -256 -256 -0 -0 -0 -2 -0x621e -0x5afcc81b -256 -256 -1 -0 -0 -2 -0x2cbb -0x80f73b98 -256 -256 -1 -0 -0 -3 -0xd6b3 -0x634e4d2d -256 -256 -1 -0 -0 -6 -0x35a3 -0x42601a80 -256 -256 -1 -0 -0 -7 -0x2db -0xa9097b08 -256 -256 -1 -0 -0 -5 -0x3260 -0xd0af1aff -256 -256 -1 -0 -0 -8 -0x4e0d -0xbecf6f -256 -256 -1 -0 -0 -5 -0xe720 -0xd8b05da2 -256 -256 -1 -0 -0 -10 -0xac9c -0xa9217de3 -256 -256 -0 -0 -0 -1 -0x7770 -0x34bcfe20 -256 -256 -0 -0 -0 -3 -0x144e -0x464b5178 -256 -256 -1 -0 -0 -6 -0x7aa -0xb532d125 -256 -256 -1 -0 -0 -2 -0x4b0d -0x972fb91b -256 -256 -1 -0 -0 -7 -0x983c -0x379203be -256 -256 -0 -0 -0 -8 -0xe802 -0x729b84f4 -256 -256 -0 -0 -0 -3 -0xca69 -0xe170ea7 -256 -256 -0 -0 -0 -5 -0x6617 -0x183ea656 -256 -256 -1 -0 -0 -2 -0x86f4 -0x2a01c4dc -256 -256 -1 -0 -0 -4 -0x9d4f -0x92ee7695 -256 -256 -0 -0 -0 -10 -0xef2b -0x9ce992a7 -256 -256 -1 -0 -0 -7 -0x94a0 -0x21fb0c1f -256 -256 -1 -0 -0 -6 -0xeb06 -0xd843176f -256 -256 -1 -0 -0 -10 -0xccfb -0xc068ce44 -256 -256 -1 -0 -0 -6 -0x8673 -0x6a4b7234 -256 -256 -0 -0 -0 -3 -0xbd9 -0x3827760f -256 -256 -1 -0 -0 -3 -0x6767 -0xb3cf83c2 -256 -256 -1 -0 -0 -3 -0x6c4b -0x53e92111 -256 -256 -1 -0 -0 -2 -0x480f -0xd5592a23 -256 -256 -1 -0 -0 -3 -0x80f6 -0xea8a5d3a -256 -256 -1 -0 -0 -7 -0x828e -0xf93218c3 -256 -256 -0 -0 -0 -3 -0x323e -0x37bf7817 -256 -256 -1 -0 -0 -6 -0xe7a7 -0x5fb05519 -256 -256 -0 -0 -0 -7 -0x6ee5 -0x78f476be -256 -256 -0 -0 -0 -8 -0xe869 -0x170285d4 -256 -256 -1 -0 -0 -5 -0x9407 -0x1ae569ea -256 -256 -0 -0 -0 -4 -0xcbe9 -0xab30b0da -256 -256 -0 -0 -0 -10 -0x7bf1 -0xbda99bda -256 -256 -1 -0 -0 -2 -0xa420 -0xef9ab560 -256 -256 -0 -0 -0 -8 -0x61eb -0x5402cfd5 -256 -256 -0 -0 -0 -4 -0x6b82 -0x8b6ba9cf -256 -256 -0 -0 -0 -10 -0x86e0 -0xf426719d -256 -256 -0 -0 -0 -3 -0x8528 -0x6f24cdc8 -256 -256 -1 -0 -0 -2 -0x287c -0xda0d5d01 -256 -256 -1 -0 -0 -10 -0xb3a3 -0xd6b4133a -256 -256 -1 -0 -0 -2 -0xd2d6 -0xdc42ec38 -256 -256 -0 -0 -0 -10 -0x25cb -0xfb3e08e2 -256 -256 -0 -0 -0 -7 -0xdadc -0xa4a35d99 -256 -256 -0 -0 -0 -7 -0x1532 -0x94fec2d3 -256 -256 -0 -0 -0 -1 -0x47e7 -0x6a51a142 -256 -256 -0 -0 -0 -6 -0xf137 -0x2afa692c -256 -256 -0 -0 -0 -3 -0x203f -0xec9c950f -256 -256 -1 -0 -0 -3 -0x1b5b -0x22d61afd -256 -256 -1 -0 -0 -3 -0xe8fa -0x77f35983 -256 -256 -1 -0 -0 -6 -0xa7b3 -0x93f07134 -256 -256 -0 -0 -0 -1 -0x5a5a -0x5103687 -256 -256 -1 -0 -0 -2 -0xb04b -0x4305a189 -256 -256 -0 -0 -0 -1 -0xc7af -0xaf0d360 -256 -256 -1 -0 -0 -9 -0xe1bf -0xa152514f -256 -256 -1 -0 -0 -7 -0xe180 -0xef4acbea -256 -256 -0 -0 -0 -3 -0x90c9 -0x10088e14 -256 -256 -0 -0 -0 -7 -0xf45 -0x4b1dde90 -256 -256 -0 -0 -0 -3 -0x20a5 -0xff39cd1d -256 -256 -1 -0 -0 -9 -0xe87b -0x2cf219ad -256 -256 -1 -0 -0 -1 -0xef4a -0xf1d3f5ed -256 -256 -1 -0 -0 -7 -0xf71 -0xb3300d00 -256 -256 -0 -0 -0 -7 -0x4e6 -0xc2a20264 -256 -256 -1 -0 -0 -5 -0x11b9 -0x62a42f36 -256 -256 -1 -0 -0 -10 -0xbdfb -0xc538b597 -256 -256 -0 -0 -0 -7 -0x8d12 -0x3398b297 -256 -256 -0 -0 -0 -10 -0xeff9 -0xa88046cb -256 -256 -0 -0 -0 -2 -0x3e -0x6a7f7dc0 -256 -256 -1 -0 -0 -8 -0xe4f -0x877a5906 -256 -256 -1 -0 -0 -8 -0x9493 -0xa75762cb -256 -256 -1 -0 -0 -7 -0xe356 -0xa195cf9 -256 -256 -0 -0 -0 -5 -0xe4da -0x37c509ed -256 -256 -0 -0 -0 -10 -0xdbfe -0x1ee5b3ad -256 -256 -0 -0 -0 -3 -0x5237 -0xeb8f8ea7 -256 -256 -0 -0 -0 -7 -0xcd31 -0xbf576d14 -256 -256 -0 -0 -0 -7 -0x29e5 -0x7da13236 -256 -256 -0 -0 -0 -6 -0x877e -0x5d5adbb0 -256 -256 -0 -0 -0 -6 -0x74ba -0x4950d5f0 -256 -256 -1 -0 -0 -5 -0x8019 -0x3c278580 -256 -256 -1 -0 -0 -1 -0x87cd -0xb755a8d0 -256 -256 -1 -0 -0 -3 -0x5b4 -0xc7737dda -256 -256 -1 -0 -0 -2 -0xadc9 -0x2b350369 -256 -256 -1 -0 -0 -4 -0xc737 -0xce6326ba -256 -256 -1 -0 -0 -4 -0x79b1 -0x66eea1f -256 -256 -1 -0 -0 -4 -0xf95a -0xbdd9c833 -256 -256 -0 -0 -0 -1 -0x6f61 -0xc6d70465 -256 -256 -1 -0 -0 -7 -0x52a0 -0x2558f3d9 -256 -256 -0 -0 -0 -5 -0xd180 -0xa2847cd7 -256 -256 -0 -0 -0 -6 -0x91cb -0x2c627479 -256 -256 -1 -0 -0 -8 -0x5a34 -0xc5471fe5 -256 -256 -0 -0 -0 -2 -0x488c -0x927c464f -256 -256 -0 -0 -0 -10 -0x15e5 -0x144d0b4c -256 -256 -0 -0 -0 -7 -0x600d -0x8c8e7e4f -256 -256 -1 -0 -0 -8 -0xe04 -0xfcaa0a51 -256 -256 -0 -0 -0 -3 -0x6286 -0x7dbe6e9b -256 -256 -0 -0 -0 -5 -0xe4d -0xf9c25feb -256 -256 -1 -0 -0 -5 -0x46d3 -0x636e1b63 -256 -256 -0 -0 -0 -7 -0x766c -0x8fb7a33f -256 -256 -1 -0 -0 -2 -0x63 -0xfe4e8e3b -256 -256 -1 -0 -0 -4 -0xa90f -0x9e05dfd3 -256 -256 -0 -0 -0 -10 -0x1150 -0xecc55368 -256 -256 -0 -0 -0 -3 -0xd45c -0x4fe81104 -256 -256 -1 -0 -0 -4 -0x5abe -0x8ceca919 -256 -256 -0 -0 -0 -1 -0x29d4 -0xaa60fb3e -256 -256 -0 -0 -0 -8 -0x63e8 -0xc8b0ef22 -256 -256 -1 -0 -0 -4 -0x5d15 -0x709a23bc -256 -256 -0 -0 -0 -9 -0xc6d8 -0x61004616 -256 -256 -0 -0 -0 -4 -0x6a0d -0x757edcc1 -256 -256 -1 -0 -0 -6 -0xa3f -0x2a541387 -256 -256 -1 -0 -0 -2 -0x2b99 -0x932cea2a -256 -256 -0 -0 -0 -6 -0x458c -0xa9b54fb7 -256 -256 -1 -0 -0 -3 -0x1d08 -0xa2ae1763 -256 -256 -0 -0 -0 -3 -0x278a -0xc98a607a -256 -256 -1 -0 -0 -6 -0x7b55 -0xb8d60a15 -256 -256 -1 -0 -0 -9 -0xdbdd -0x6f21896a -256 -256 -0 -0 -0 -5 -0xf2cb -0xfaf48ef3 -256 -256 -0 -0 -0 -9 -0x4996 -0x9c74c0af -256 -256 -0 -0 -0 -6 -0xdeb4 -0xbdbd49d0 -256 -256 -1 -0 -0 -7 -0x4887 -0x71a870cf -256 -256 -0 -0 -0 -5 -0x1125 -0xc9d78f8a -256 -256 -0 -0 -0 -4 -0xd449 -0xa2dd9895 -256 -256 -1 -0 -0 -3 -0xe32a -0x7d948d87 -256 -256 -1 -0 -0 -4 -0xe95 -0xdfeb2470 -256 -256 -1 -0 -0 -10 -0x1d84 -0xcbedfb01 -256 -256 -1 -0 -0 -5 -0x38aa -0x52f7e44f -256 -256 -0 -0 -0 -2 -0x7dab -0x88ce93a2 -256 -256 -1 -0 -0 -5 -0xacd9 -0x7f491dd3 -256 -256 -1 -0 -0 -4 -0x2d40 -0xc055d774 -256 -256 -1 -0 -0 -2 -0xe8a7 -0x9edf227 -256 -256 -1 -0 -0 -1 -0x9841 -0xd7f92045 -256 -256 -1 -0 -0 -2 -0xfde0 -0xde40acf8 -256 -256 -1 -0 -0 -1 -0x7ea4 -0x6b06b832 -256 -256 -0 -0 -0 -5 -0x9b87 -0xbd9d69ef -256 -256 -1 -0 -0 -6 -0x2c72 -0xf3c14076 -256 -256 -0 -0 -0 -5 -0x9248 -0x122e0a98 -256 -256 -0 -0 -0 -9 -0xea1d -0xf95eec23 -256 -256 -0 -0 -0 -6 -0x88cf -0xed92a06c -256 -256 -0 -0 -0 -2 -0x189f -0x42133ef1 -256 -256 -1 -0 -0 -4 -0xa079 -0x8592ceb2 -256 -256 -1 -0 -0 -4 -0x2ad5 -0xd1f28e2 -256 -256 -0 -0 -0 -7 -0x395 -0x354caa79 -256 -256 -0 -0 -0 -6 -0x414f -0x98dcb2b6 -256 -256 -0 -0 -0 -8 -0x8d28 -0x8fffb2d8 -256 -256 -0 -0 -0 -7 -0xbaa4 -0x2378a1cd -256 -256 -0 -0 -0 -2 -0x71e1 -0xa709528 -256 -256 -1 -0 -0 -6 -0x7ef2 -0xd5775e17 -256 -256 -1 -0 -0 -2 -0xe674 -0xad2c317e -256 -256 -0 -0 -0 -3 -0x2a32 -0x38dcb733 -256 -256 -0 -0 -0 -1 -0x372 -0xe3c63b65 -256 -256 -0 -0 -0 -1 -0x5a28 -0xc384294a -256 -256 -1 -0 -0 -8 -0xb8bc -0x696a691f -256 -256 -0 -0 -0 -5 -0x174c -0x45632ad3 -256 -256 -1 -0 -0 -8 -0xf5fa -0xcde78143 -256 -256 -1 -0 -0 -3 -0x65a7 -0xff5e2a39 -256 -256 -0 -0 -0 -7 -0x2302 -0x931e4261 -256 -256 -1 -0 -0 -4 -0x2a14 -0x52d4c6ff -256 -256 -0 -0 -0 -3 -0xeb98 -0x8ed797fe -256 -256 -0 -0 -0 -1 -0xfe3 -0xd41f821c -256 -256 -0 -0 -0 -7 -0x244f -0xa1f343ea -256 -256 -1 -0 -0 -4 -0xc0c5 -0x1d0b0327 -256 -256 -1 -0 -0 -10 -0xd2f3 -0xc07a2ebb -256 -256 -1 -0 -0 -3 -0xf3b7 -0xc2af0f5c -256 -256 -1 -0 -0 -4 -0xa008 -0x9b55d00e -256 -256 -0 -0 -0 -2 -0xacdd -0x680dea0d -256 -256 -0 -0 -0 -9 -0x76ab -0xc9701f30 -256 -256 -1 -0 -0 -4 -0x58ef -0x12d83d9d -256 -256 -1 -0 -0 -7 -0xbe75 -0xe94a4d4c -256 -256 -1 -0 -0 -10 -0x115d -0x13b89eec -256 -256 -1 -0 -0 -2 -0x7e0a -0x1379d46c -256 -256 -0 -0 -0 -6 -0xa866 -0x93cd91fe -256 -256 -1 -0 -0 -3 -0xe5b0 -0x30364911 -256 -256 -0 -0 -0 -9 -0x5070 -0x7e291bfd -256 -256 -1 -0 -0 -2 -0x7f2 -0x6222363d -256 -256 -1 -0 -0 -6 -0x8d57 -0x65d9b6c0 -256 -256 -0 -0 -0 -10 -0xfd01 -0x42d8b1ed -256 -256 -0 -0 -0 -1 -0x2d05 -0x5d311fe7 -256 -256 -0 -0 -0 -6 -0x5a6e -0x967e18d9 -256 -256 -0 -0 -0 -9 -0xb524 -0xdd9596ce -256 -256 -1 -0 -0 -9 -0x27f7 -0xa7c04968 -256 -256 -0 -0 -0 -9 -0x34ff -0x69836e2f -256 -256 -1 -0 -0 -8 -0x6bc7 -0x20d6c143 -256 -256 -0 -0 -0 -2 -0x45b -0xa423ad78 -256 -256 -1 -0 -0 -7 -0x4adc -0x29d0d891 -256 -256 -1 -0 -0 -2 -0x450d -0x9d6ec2f7 -256 -256 -0 -0 -0 -5 -0x2196 -0xc8f5f1e6 -256 -256 -0 -0 -0 -1 -0xf957 -0x2f295bff -256 -256 -1 -0 -0 -6 -0x2931 -0x5b1f009e -256 -256 -1 -0 -0 -9 -0x5e37 -0xcceaeb8d -256 -256 -1 -0 -0 -2 -0x417c -0x48c04154 -256 -256 -0 -0 -0 -2 -0x4df9 -0xf8dc8508 -256 -256 -0 -0 -0 -8 -0x31a2 -0xb4737cd -256 -256 -0 -0 -0 -10 -0xf879 -0x6aa5a474 -256 -256 -0 -0 -0 -3 -0xc5b4 -0xbdb55e6a -256 -256 -0 -0 -0 -1 -0x8cd4 -0x96fa8961 -256 -256 -0 -0 -0 -6 -0x35a8 -0xcfea4435 -256 -256 -1 -0 -0 -9 -0x2771 -0x8be18ab5 -256 -256 -0 -0 -0 -10 -0x5f7d -0xf3c3fea4 -256 -256 -1 -0 -0 -5 -0x1497 -0x7e18a869 -256 -256 -0 -0 -0 -10 -0x1241 -0x3ef0cfc8 -256 -256 -1 -0 -0 -3 -0x595d -0x82c984e8 -256 -256 -1 -0 -0 -5 -0xa1a9 -0x1550dd80 -256 -256 -0 -0 -0 -5 -0x1df2 -0xed4c612 -256 -256 -0 -0 -0 -3 -0x5b2f -0x490a1225 -256 -256 -0 -0 -0 -8 -0x6d20 -0xb6548566 -256 -256 -0 -0 -0 -2 -0x5f2a -0xf7a474f6 -256 -256 -1 -0 -0 -4 -0x24ea -0x25ce38a8 -256 -256 -0 -0 -0 -9 -0x3204 -0xd504217c -256 -256 -0 -0 -0 -2 -0x65f9 -0x2cf3515 -256 -256 -0 -0 -0 -7 -0xf8e -0xaadcda77 -256 -256 -0 -0 -0 -8 -0x9c9c -0xa5cc58f9 -256 -256 -0 -0 -0 -4 -0xa8b4 -0x22fa65fc -256 -256 -0 -0 -0 -7 -0x2d7a -0x7ba4e411 -256 -256 -0 -0 -0 -1 -0xab17 -0x9166e647 -256 -256 -1 -0 -0 -9 -0x1203 -0x8c4ec038 -256 -256 -0 -0 -0 -2 -0xbb3c -0x9af56cfd -256 -256 -1 -0 -0 -2 -0x9f97 -0x123865d -256 -256 -0 -0 -0 -9 -0x2fc6 -0xd99bd296 -256 -256 -0 -0 -0 -7 -0xcf0c -0x1ccb8358 -256 -256 -0 -0 -0 -2 -0x4d2a -0x5d0226fa -256 -256 -0 -0 -0 -7 -0xd26d -0x3fc67ef5 -256 -256 -1 -0 -0 -4 -0x5e79 -0x48067efa -256 -256 -0 -0 -0 -1 -0xf653 -0x35560015 -256 -256 -1 -0 -0 -3 -0x3f02 -0x58ba6a37 -256 -256 -0 -0 -0 -5 -0xf42b -0x31fe7045 -256 -256 -1 -0 -0 -7 -0xb0d0 -0x806731ba -256 -256 -1 -0 -0 -7 -0xb817 -0x71d0af50 -256 -256 -0 -0 -0 -2 -0xf18 -0x679e096d -256 -256 -0 -0 -0 -7 -0x6ea8 -0xf1159e60 -256 -256 -1 -0 -0 -2 -0x90a1 -0x19d97f77 -256 -256 -0 -0 -0 -10 -0x9bd0 -0xbd9cb102 -256 -256 -0 -0 -0 -7 -0x36d9 -0x23c4e9ed -256 -256 -0 -0 -0 -6 -0x1766 -0xe6c1c8d0 -256 -256 -0 -0 -0 -5 -0x17d8 -0x3a89c0da -256 -256 -1 -0 -0 -9 -0xd26d -0xdaf4b5ed -256 -256 -0 -0 -0 -3 -0x4ec6 -0xf1e58caa -256 -256 -0 -0 -0 -1 -0x4df9 -0x17d4cd22 -256 -256 -1 -0 -0 -9 -0x7366 -0x4203c92d -256 -256 -1 -0 -0 -2 -0x127d -0x814a7625 -256 -256 -1 -0 -0 -9 -0x3691 -0xa00e5ea1 -256 -256 -0 -0 -0 -7 -0x1f06 -0x5be31ed6 -256 -256 -1 -0 -0 -5 -0xfc54 -0xc327dd56 -256 -256 -1 -0 -0 -4 -0x7dc -0xbe001692 -256 -256 -1 -0 -0 -8 -0x4140 -0xad51d455 -256 -256 -0 -0 -0 -9 -0xde66 -0x6a4b3e23 -256 -256 -0 -0 -0 -2 -0x3da3 -0x9d88d0bd -256 -256 -1 -0 -0 -6 -0x6594 -0x79ac72dd -256 -256 -1 -0 -0 -8 -0x8069 -0xbca05d65 -256 -256 -0 -0 -0 -6 -0xc1e4 -0x3ee70868 -256 -256 -0 -0 -0 -4 -0x299a -0x45a77cb4 -256 -256 -0 -0 -0 -6 -0x803a -0x70ff3a7f -256 -256 -0 -0 -0 -5 -0xdf25 -0x46c961ce -256 -256 -1 -0 -0 -1 -0xc1bb -0xde18dfe9 -256 -256 -0 -0 -0 -2 -0xe169 -0x96997270 -256 -256 -0 -0 -0 -3 -0x2875 -0xb20a604b -256 -256 -0 -0 -0 -5 -0x89bb -0xeac0d8cc -256 -256 -1 -0 -0 -2 -0x5b17 -0x1d6e9fbd -256 -256 -1 -0 -0 -1 -0x8fdb -0xa2bc855f -256 -256 -1 -0 -0 -1 -0xdcc6 -0xcceb412b -256 -256 -1 -0 -0 -8 -0x77b7 -0x57690fc7 -256 -256 -0 -0 -0 -8 -0x2337 -0xe7b77abb -256 -256 -0 -0 -0 -3 -0xe749 -0x580be828 -256 -256 -1 -0 -0 -6 -0xd5ab -0x6b40e882 -256 -256 -0 -0 -0 -7 -0xce65 -0x2f487c3f -256 -256 -1 -0 -0 -3 -0x98b0 -0xab0c6fb4 -256 -256 -1 -0 -0 -8 -0xd580 -0x8e9ccc5c -256 -256 -1 -0 -0 -3 -0xadb0 -0x9dcede52 -256 -256 -1 -0 -0 -6 -0x99ff -0xda1dd4ff -256 -256 -1 -0 -0 -7 -0x2010 -0x15377a33 -256 -256 -0 -0 -0 -1 -0x4d0c -0x9caeec14 -256 -256 -1 -0 -0 -1 -0xc174 -0x1e5783d2 -256 -256 -0 -0 -0 -8 -0xcccb -0xf708eba4 -256 -256 -0 -0 -0 -3 -0xf458 -0x41097bb7 -256 -256 -0 -0 -0 -3 -0x3260 -0x49043ba7 -256 -256 -1 -0 -0 -10 -0x7890 -0x4d016361 -256 -256 -1 -0 -0 -2 -0xdadc -0x1c35c98d -256 -256 -1 -0 -0 -10 -0xfadb -0x5fd85a14 -256 -256 -1 -0 -0 -2 -0x4c0d -0xa9617199 -256 -256 -0 -0 -0 -3 -0x6e91 -0x78f87bb9 -256 -256 -1 -0 -0 -8 -0xd79e -0x22140f1d -256 -256 -0 -0 -0 -2 -0x1bad -0x9e27534c -256 -256 -0 -0 -0 -9 -0x8b02 -0x60fc0a36 -256 -256 -1 -0 -0 -3 -0x1a1e -0xca19a5e9 -256 -256 -1 -0 -0 -8 -0xf635 -0x3123bb9d -256 -256 -1 -0 -0 -5 -0xfca2 -0x2e083193 -256 -256 -1 -0 -0 -5 -0x3dff -0x2528661c -256 -256 -0 -0 -0 -4 -0x1f81 -0xc291638c -256 -256 -1 -0 -0 -1 -0xf420 -0xf3eeac55 -256 -256 -1 -0 -0 -8 -0x7907 -0x2c64fc38 -256 -256 -0 -0 -0 -6 -0x59ce -0x60919bd7 -256 -256 -1 -0 -0 -2 -0x2e7e -0x1802abb5 -256 -256 -0 -0 -0 -9 -0x701e -0x428ac23e -256 -256 -1 -0 -0 -1 -0x109 -0x55228cf8 -256 -256 -0 -0 -0 -10 -0x1e0a -0x61123383 -256 -256 -0 -0 -0 -10 -0x32df -0xba267f8e -256 -256 -1 -0 -0 -9 -0x9fd8 -0x923d4622 -256 -256 -1 -0 -0 -1 -0x6b31 -0x394b12cc -256 -256 -1 -0 -0 -9 -0xc191 -0xdbff4e87 -256 -256 -1 -0 -0 -8 -0x5bab -0xaabb0e5b -256 -256 -0 -0 -0 -8 -0x131f -0xced358d2 -256 -256 -0 -0 -0 -5 -0x5360 -0xd3fae011 -256 -256 -1 -0 -0 -2 -0x657c -0xa36900cd -256 -256 -0 -0 -0 -9 -0xd4e3 -0x2ede1316 -256 -256 -0 -0 -0 -8 -0xc548 -0x50437542 -256 -256 -0 -0 -0 -6 -0x17b6 -0x2415e6a5 -256 -256 -1 -0 -0 -7 -0x5db7 -0xde263294 -256 -256 -0 -0 -0 -9 -0x1d69 -0x4646e7da -256 -256 -0 -0 -0 -3 -0xcc81 -0x4c889ba2 -256 -256 -0 -0 -0 -8 -0xcaa6 -0x75c6339d -256 -256 -0 -0 -0 -4 -0xefc2 -0xfdc098c8 -256 -256 -0 -0 -0 -1 -0x4107 -0xa75d7e45 -256 -256 -0 -0 -0 -4 -0x9d29 -0x363ed993 -256 -256 -0 -0 -0 -2 -0xeaa1 -0xdb87ef02 -256 -256 -0 -0 -0 -4 -0xa482 -0x7e9f189e -256 -256 -1 -0 -0 -5 -0x82ff -0x3d95ba66 -256 -256 -1 -0 -0 -10 -0x33f0 -0xb947327f -256 -256 -1 -0 -0 -9 -0xf3f6 -0xbb16f8ac -256 -256 -0 -0 -0 -9 -0xa211 -0x3e72fc7e -256 -256 -1 -0 -0 -10 -0x3746 -0xfc2d9a7c -256 -256 -0 -0 -0 -3 -0xab71 -0x4a0efe00 -256 -256 -1 -0 -0 -5 -0x234b -0x5f98c161 -256 -256 -1 -0 -0 -5 -0x4602 -0xafdce475 -256 -256 -1 -0 -0 -5 -0x6766 -0xf56857 -256 -256 -1 -0 -0 -9 -0x1bcc -0xeeddc159 -256 -256 -1 -0 -0 -9 -0x5683 -0xece1007 -256 -256 -1 -0 -0 -1 -0x3c9f -0xbb2f841c -256 -256 -1 -0 -0 -3 -0x91fa -0xb2bb47f -256 -256 -1 -0 -0 -1 -0xec42 -0x9a89703f -256 -256 -1 -0 -0 -8 -0x50dd -0xd3cc2d53 -256 -256 -1 -0 -0 -10 -0xbfea -0x6999ec22 -256 -256 -1 -0 -0 -1 -0x37c6 -0x99e1fe0d -256 -256 -0 -0 -0 -3 -0xeb76 -0xceb575c5 -256 -256 -1 -0 -0 -3 -0x3173 -0xb8d3a721 -256 -256 -1 -0 -0 -2 -0x6437 -0xa57e543f -256 -256 -1 -0 -0 -9 -0xd052 -0xef4176a7 -256 -256 -0 -0 -0 -4 -0x7ff9 -0xa7c4ae29 -256 -256 -1 -0 -0 -10 -0x51ae -0xb99bc8d4 -256 -256 -1 -0 -0 -8 -0x6e04 -0x71169d0a -256 -256 -0 -0 -0 -4 -0xf34f -0xc247acc4 -256 -256 -1 -0 -0 -10 -0x4db4 -0x63100171 -256 -256 -1 -0 -0 -1 -0x8985 -0x1700189d -256 -256 -0 -0 -0 -9 -0x9eb5 -0x91ae526d -256 -256 -1 -0 -0 -2 -0x4124 -0xb7aa3660 -256 -256 -1 -0 -0 -3 -0xe94f -0xea5663ce -256 -256 -0 -0 -0 -10 -0x483a -0x82f0d85c -256 -256 -1 -0 -0 -1 -0x3889 -0xbe2dc194 -256 -256 -0 -0 -0 -8 -0xb20b -0xede9006c -256 -256 -1 -0 -0 -2 -0xf390 -0xc29068a3 -256 -256 -1 -0 -0 -10 -0xb5dc -0x83dbf38e -256 -256 -0 -0 -0 -8 -0xf568 -0x121eb6dc -256 -256 -0 -0 -0 -3 -0x6e74 -0xacc515b4 -256 -256 -1 -0 -0 -10 -0x34ea -0x6c4dc27b -256 -256 -0 -0 -0 -8 -0x16b7 -0xbf135dbb -256 -256 -1 -0 -0 -8 -0x9651 -0xd82ab8e3 -256 -256 -1 -0 -0 -4 -0xa5eb -0xf757f1a5 -256 -256 -1 -0 -0 -7 -0x27c4 -0xa9efea00 -256 -256 -1 -0 -0 -10 -0x1e4a -0x4b53024d -256 -256 -0 -0 -0 -8 -0x661f -0xf101c7a1 -256 -256 -0 -0 -0 -7 -0x2322 -0x57496a42 -256 -256 -1 -0 -0 -4 -0x3acb -0xd3667d89 -256 -256 -0 -0 -0 -9 -0xa393 -0xbd1e5be4 -256 -256 -0 -0 -0 -6 -0x36e9 -0xc35f80c1 -256 -256 -1 -0 -0 -2 -0x8dc0 -0x2a8ede54 -256 -256 -1 -0 -0 -8 -0x72fb -0x401fd1b3 -256 -256 -0 -0 -0 -9 -0xdc44 -0x49fac19 -256 -256 -0 -0 -0 -10 -0xd1ed -0xa86dd42e -256 -256 -1 -0 -0 -10 -0xbd0a -0xc4bd4e92 -256 -256 -0 -0 -0 -5 -0xa177 -0xb68e740d -256 -256 -1 -0 -0 -7 -0xe682 -0xf8d28305 -256 -256 -1 -0 -0 -10 -0xfd13 -0xaca754e7 -256 -256 -0 -0 -0 -10 -0x2512 -0x1156138b -256 -256 -0 -0 -0 -6 -0x7b95 -0x22523dad -256 -256 -1 -0 -0 -10 -0x637b -0x3174fae6 -256 -256 -1 -0 -0 -4 -0x9871 -0xcd32b41b -256 -256 -1 -0 -0 -5 -0xf54f -0xb90911f1 -256 -256 -1 -0 -0 -4 -0x5c99 -0x5ba80225 -256 -256 -1 -0 -0 -8 -0x3d5c -0xde63c0f9 -256 -256 -1 -0 -0 -2 -0xdfb9 -0x91bc07d0 -256 -256 -0 -0 -0 -4 -0x421b -0x8f25f3ec -256 -256 -1 -0 -0 -9 -0x2274 -0xe9024c2b -256 -256 -0 -0 -0 -10 -0x5bac -0x749c591b -256 -256 -1 -0 -0 -5 -0x8a22 -0x2ef05ab0 -256 -256 -1 -0 -0 -7 -0x9466 -0x18a376d0 -256 -256 -0 -0 -0 -9 -0x366a -0xed4e7ba9 -256 -256 -0 -0 -0 -1 -0x36e1 -0x8e05bf6d -256 -256 -0 -0 -0 -9 -0x3dd9 -0x93e0622a -256 -256 -1 -0 -0 -1 -0xdfea -0x392d409d -256 -256 -0 -0 -0 -7 -0x2225 -0x1fd6b929 -256 -256 -0 -0 -0 -6 -0x4dc1 -0x574d34f6 -256 -256 -0 -0 -0 -7 -0x6b36 -0xa19e5b9 -256 -256 -1 -0 -0 -10 -0x3f2a -0x8c165e48 -256 -256 -1 -0 -0 -3 -0xb080 -0x7eb77b50 -256 -256 -0 -0 -0 -8 -0x48c9 -0xefbd3365 -256 -256 -1 -0 -0 -6 -0xf8ab -0x65046201 -256 -256 -1 -0 -0 -1 -0xf7de -0x7ddfa24c -256 -256 -0 -0 -0 -10 -0xfd88 -0x50938ee1 -256 -256 -1 -0 -0 -7 -0x93d9 -0xb2e0e0d3 -256 -256 -0 -0 -0 -1 -0x1db3 -0xb7e3e3f1 -256 -256 -1 -0 -0 -10 -0xc590 -0xc4822374 -256 -256 -1 -0 -0 -6 -0x79c7 -0x46daa615 -256 -256 -0 -0 -0 -2 -0x38ad -0x99fb9a56 -256 -256 -1 -0 -0 -6 -0x37fe -0x3b57d878 -256 -256 -0 -0 -0 -6 -0x348e -0x611dc01e -256 -256 -0 -0 -0 -8 -0xfda -0xc8302ba0 -256 -256 -0 -0 -0 -7 -0xb869 -0xbf02cc36 -256 -256 -1 -0 -0 -8 -0x5430 -0xd00be767 -256 -256 -0 -0 -0 -3 -0xc46c -0x7aeb5bf1 -256 -256 -1 -0 -0 -1 -0x45fc -0x13a1b2df -256 -256 -0 -0 -0 -9 -0xf06d -0x8cbd913 -256 -256 -1 -0 -0 -6 -0xcdfb -0x61639dc4 -256 -256 -1 -0 -0 -6 -0x8dd -0x5f24fa67 -256 -256 -0 -0 -0 -7 -0x254e -0x16fb737f -256 -256 -1 -0 -0 -8 -0x9c8f -0xffbf402a -256 -256 -1 -0 -0 -10 -0xe622 -0xf6074aaf -256 -256 -0 -0 -0 -5 -0x308e -0x4faab4e1 -256 -256 -0 -0 -0 -6 -0x5fed -0xb98f46de -256 -256 -0 -0 -0 -1 -0x6f46 -0x828d9f96 -256 -256 -0 -0 -0 -2 -0xd50 -0xb7931f1a -256 -256 -1 -0 -0 -9 -0xdb7c -0x7e4a4b35 -256 -256 -1 -0 -0 -6 -0x480d -0xa0f32e6d -256 -256 -1 -0 -0 -5 -0x24ad -0x4b456a91 -256 -256 -0 -0 -0 -8 -0xa22d -0x82a60b4b -256 -256 -0 -0 -0 -10 -0x4474 -0x8f75fbc9 -256 -256 -0 -0 -0 -6 -0x527e -0xa4068844 -256 -256 -1 -0 -0 -3 -0x2b24 -0xfb837816 -256 -256 -0 -0 -0 -2 -0x85ad -0x8e4d5e6a -256 -256 -1 -0 -0 -7 -0x67cc -0xdf7eaf45 -256 -256 -0 -0 -0 -7 -0xa0cf -0xcbe7b5d3 -256 -256 -1 -0 -0 -5 -0xfaab -0x92094087 -256 -256 -1 -0 -0 -2 -0x5993 -0x3344026 -256 -256 -0 -0 -0 -2 -0xffb0 -0x17602469 -256 -256 -1 -0 -0 -4 -0x3979 -0x160475b7 -256 -256 -0 -0 -0 -10 -0x2c8d -0x6f3c5ded -256 -256 -1 -0 -0 -2 -0xbf37 -0xd3147240 -256 -256 -1 -0 -0 -5 -0xab8b -0xe647f2cb -256 -256 -0 -0 -0 -3 -0x7120 -0xc5eed54d -256 -256 -0 -0 -0 -10 -0x7ddc -0xfd58d9c7 -256 -256 -1 -0 -0 -3 -0x1be8 -0xcf435f66 -256 -256 -0 -0 -0 -2 -0x3734 -0xf243009e -256 -256 -1 -0 -0 -4 -0xc617 -0xf90da7f9 -256 -256 -0 -0 -0 -1 -0x794e -0x9032c654 -256 -256 -1 -0 -0 -10 -0xc073 -0x99823b8d -256 -256 -1 -0 -0 -5 -0x8a07 -0x856c73a -256 -256 -1 -0 -0 -1 -0xb5b9 -0xa6ebf664 -256 -256 -0 -0 -0 -5 -0xa781 -0x8a43d23a -256 -256 -1 -0 -0 -10 -0x1603 -0xca8c4841 -256 -256 -1 -0 -0 -5 -0x9b63 -0x465a3d35 -256 -256 -1 -0 -0 -10 -0x430d -0xfd1db80c -256 -256 -1 -0 -0 -4 -0x31ee -0x52309791 -256 -256 -1 -0 -0 -1 -0xe1c9 -0x3186ee95 -256 -256 -0 -0 -0 -3 -0x896b -0x478f35a2 -256 -256 -0 -0 -0 -2 -0x3541 -0x217b902f -256 -256 -1 -0 -0 -2 -0x48d9 -0xf3538584 -256 -256 -1 -0 -0 -8 -0x74f8 -0x5dac240a -256 -256 -0 -0 -0 -10 -0x5a6b -0xaf8cb198 -256 -256 -0 -0 -0 -10 -0x4cb1 -0x3d6260c5 -256 -256 -0 -0 -0 -2 -0xa429 -0xf0c0410d -256 -256 -1 -0 -0 -3 -0x65c2 -0xd5e37dd6 -256 -256 -0 -0 -0 -8 -0xfd87 -0xfee6b216 -256 -256 -1 -0 -0 -9 -0x102e -0x6782c318 -256 -256 -1 -0 -0 -9 -0xa0cd -0x9594dff4 -256 -256 -1 -0 -0 -9 -0xfb41 -0xacb7c25f -256 -256 -0 -0 -0 -1 -0x8828 -0x555ded8c -256 -256 -1 -0 -0 -2 -0x7be5 -0xd236ca56 -256 -256 -0 -0 -0 -6 -0xb9c5 -0xe15ee64b -256 -256 -1 -0 -0 -8 -0x841 -0xb179141c -256 -256 -1 -0 -0 -6 -0xa5c0 -0x551afc18 -256 -256 -0 -0 -0 -7 -0x645f -0x252e4920 -256 -256 -1 -0 -0 -10 -0xba86 -0x85fe4cfe -256 -256 -1 -0 -0 -9 -0x1639 -0xe5cd0503 -256 -256 -0 -0 -0 -1 -0x1f5f -0xcab39744 -256 -256 -0 -0 -0 -6 -0x5253 -0x9b77f006 -256 -256 -0 -0 -0 -7 -0x586 -0xfba3d087 -256 -256 -1 -0 -0 -8 -0x906b -0x21afa3fd -256 -256 -0 -0 -0 -10 -0xa84f -0x580f02d8 -256 -256 -0 -0 -0 -10 -0xc2aa -0x8f8cfe1c -256 -256 -0 -0 -0 -1 -0xd06a -0x9f96239b -256 -256 -1 -0 -0 -6 -0xf99b -0xcb269bf4 -256 -256 -0 -0 -0 -7 -0xf0b0 -0xa14c79ae -256 -256 -1 -0 -0 -2 -0xf47 -0x63e30ce5 -256 -256 -0 -0 -0 -10 -0xf59c -0xe84197de -256 -256 -0 -0 -0 -3 -0x3874 -0x30968ce5 -256 -256 -0 -0 -0 -1 -0xf6ab -0x3a8aaffb -256 -256 -0 -0 -0 -1 -0x96af -0x326eaf14 -256 -256 -0 -0 -0 -9 -0xd226 -0x59acde99 -256 -256 -1 -0 -0 -10 -0x4017 -0x3298c725 -256 -256 -1 -0 -0 -8 -0x793d -0xa4e8100a -256 -256 -1 -0 -0 -3 -0xe127 -0x5a94f940 -256 -256 -1 -0 -0 -3 -0x7c -0xd368f84e -256 -256 -1 -0 -0 -4 -0xc467 -0x8e152937 -256 -256 -0 -0 -0 -5 -0x62d6 -0x2d44ee82 -256 -256 -1 -0 -0 -7 -0x1f64 -0xe945da10 -256 -256 -1 -0 -0 -8 -0x8184 -0x3883bd94 -256 -256 -1 -0 -0 -10 -0x679b -0xbbd4028d -256 -256 -1 -0 -0 -9 -0xf965 -0xa8eea51b -256 -256 -1 -0 -0 -10 -0xe3f8 -0x26af7907 -256 -256 -0 -0 -0 -3 -0x898e -0x3ebe4995 -256 -256 -0 -0 -0 -7 -0x120c -0xb628f2ea -256 -256 -1 -0 -0 -4 -0x71cc -0x5607d52 -256 -256 -0 -0 -0 -7 -0xb3f2 -0x369b4726 -256 -256 -0 -0 -0 -9 -0xf71a -0xd428b948 -256 -256 -0 -0 -0 -7 -0x42ed -0x6dca0af6 -256 -256 -1 -0 -0 -1 -0x35cc -0x4a55a805 -256 -256 -1 -0 -0 -5 -0x66e4 -0xfe8edad3 -256 -256 -1 -0 -0 -3 -0x788d -0xe556aa88 -256 -256 -0 -0 -0 -3 -0x667b -0xfc72458b -256 -256 -1 -0 -0 -2 -0xb474 -0x12b06775 -256 -256 -1 -0 -0 -3 -0xcb24 -0xb115da41 -256 -256 -0 -0 -0 -5 -0xa62f -0xa9898b50 -256 -256 -0 -0 -0 -9 -0xf89a -0x2491fc23 -256 -256 -0 -0 -0 -3 -0xb12a -0xb6d0f720 -256 -256 -0 -0 -0 -6 -0x852e -0x4c5e96c2 -256 -256 -0 -0 -0 -1 -0xe465 -0xad924d47 -256 -256 -0 -0 -0 -1 -0x94e4 -0xd0e93026 -256 -256 -1 -0 -0 -5 -0x4cf -0xda127e0 -256 -256 -1 -0 -0 -7 -0xdbc2 -0x1e17bcae -256 -256 -1 -0 -0 -2 -0xc45e -0x4b1fcbf6 -256 -256 -0 -0 -0 -10 -0xe916 -0x4558d6d8 -256 -256 -0 -0 -0 -4 -0x3ec5 -0xe841a627 -256 -256 -0 -0 -0 -5 -0x9388 -0x80b43795 -256 -256 -0 -0 -0 -4 -0xb19f -0x85d2a592 -256 -256 -0 -0 -0 -3 -0x8c0f -0xae2ab0c0 -256 -256 -1 -0 -0 -6 -0x5b65 -0x76bfe233 -256 -256 -1 -0 -0 -4 -0x6d13 -0x7454900d -256 -256 -0 -0 -0 -6 -0x10dd -0x2e4a4e60 -256 -256 -1 -0 -0 -1 -0x8fd1 -0x7569f33c -256 -256 -0 -0 -0 -8 -0x1878 -0xce05f218 -256 -256 -1 -0 -0 -9 -0x8468 -0xb214dd22 -256 -256 -1 -0 -0 -8 -0x69e6 -0x1d6868b6 -256 -256 -0 -0 -0 -8 -0x56dd -0x84ce2946 -256 -256 -0 -0 -0 -2 -0xa7bf -0x2f9124e3 -256 -256 -1 -0 -0 -1 -0x99da -0x9599d91f -256 -256 -1 -0 -0 -8 -0x3374 -0xdfe77605 -256 -256 -1 -0 -0 -9 -0xc183 -0xc03c55a8 -256 -256 -1 -0 -0 -3 -0x7661 -0x3e477d2c -256 -256 -0 -0 -0 -3 -0x9f29 -0xe59a9dd9 -256 -256 -0 -0 -0 -7 -0x5133 -0x1e464f6 -256 -256 -1 -0 -0 -5 -0xccf1 -0xb04ddee9 -256 -256 -1 -0 -0 -3 -0xc42e -0x2e9af3ef -256 -256 -0 -0 -0 -4 -0x7afc -0xf58e3992 -256 -256 -0 -0 -0 -10 -0xc4ee -0x1f939440 -256 -256 -1 -0 -0 -9 -0x4477 -0x210cb05d -256 -256 -0 -0 -0 -7 -0x4e0d -0x2de2b148 -256 -256 -0 -0 -0 -9 -0xf41b -0x2187a02a -256 -256 -1 -0 -0 -10 -0x5a5f -0xe5f1571b -256 -256 -0 -0 -0 -1 -0xf206 -0xcb909582 -256 -256 -1 -0 -0 -7 -0xb485 -0xced6ff73 -256 -256 -1 -0 -0 -1 -0xcb1d -0x23566500 -256 -256 -0 -0 -0 -10 -0xfa2a -0x693380d2 -256 -256 -1 -0 -0 -9 -0xfc32 -0x934be3c9 -256 -256 -0 -0 -0 -3 -0xac3f -0xd52b00fc -256 -256 -0 -0 -0 -7 -0x3b6d -0xa728efa0 -256 -256 -0 -0 -0 -8 -0xa414 -0xb6960319 -256 -256 -0 -0 -0 -7 -0x821f -0xb9ec560f -256 -256 -1 -0 -0 -3 -0x131a -0x215d3bb5 -256 -256 -0 -0 -0 -10 -0x8fa5 -0xc0ab3823 -256 -256 -1 -0 -0 -9 -0x391d -0xe792d5d0 -256 -256 -1 -0 -0 -4 -0xaa5a -0xa7ae9b4 -256 -256 -1 -0 -0 -6 -0x5123 -0x6c39e3af -256 -256 -1 -0 -0 -7 -0x707c -0x3a92d126 -256 -256 -0 -0 -0 -4 -0x6256 -0x1b9fbe30 -256 -256 -1 -0 -0 -2 -0xad24 -0x1e84c07 -256 -256 -1 -0 -0 -8 -0xc14 -0xb5fd0810 -256 -256 -1 -0 -0 -8 -0x809a -0x2b0ff92c -256 -256 -1 -0 -0 -4 -0xb00f -0x2f25c91b -256 -256 -1 -0 -0 -2 -0x222a -0xdf4ea58b -256 -256 -1 -0 -0 -3 -0xf4a2 -0x4934fcd6 -256 -256 -0 -0 -0 -5 -0x8623 -0x3ef148d3 -256 -256 -0 -0 -0 -5 -0x97f -0x2f8c7a37 -256 -256 -1 -0 -0 -2 -0xde5f -0x1553eabd -256 -256 -1 -0 -0 -9 -0x393a -0xa7f7215b -256 -256 -0 -0 -0 -10 -0xeba8 -0x75c20462 -256 -256 -1 -0 -0 -8 -0x7dd3 -0x7eaf3662 -256 -256 -0 -0 -0 -5 -0xeb37 -0x65647087 -256 -256 -0 -0 -0 -5 -0x4b55 -0xefc93189 -256 -256 -0 -0 -0 -1 -0x6171 -0xd3731816 -256 -256 -1 -0 -0 -5 -0x7a5e -0x21c240f0 -256 -256 -1 -0 -0 -1 -0x1bb9 -0xa9fd5d3c -256 -256 -1 -0 -0 -1 -0x7685 -0xe12a3c7e -256 -256 -1 -0 -0 -10 -0x105f -0xf83d1280 -256 -256 -0 -0 -0 -9 -0x9f5 -0x7a6078ea -256 -256 -1 -0 -0 -2 -0x7bbb -0xe395dd75 -256 -256 -1 -0 -0 -3 -0x7cb1 -0x1fe2c8dc -256 -256 -0 -0 -0 -6 -0x23a5 -0x51cbbba7 -256 -256 -0 -0 -0 -9 -0x9199 -0x884f66d9 -256 -256 -1 -0 -0 -3 -0xd879 -0x5a0644ed -256 -256 -0 -0 -0 -4 -0x7930 -0x12ddfc5e -256 -256 -1 -0 -0 -3 -0xf0c7 -0x3b556f8c -256 -256 -0 -0 -0 -8 -0x3352 -0xec824cdc -256 -256 -1 -0 -0 -5 -0xfe3b -0x90e056f4 -256 -256 -1 -0 -0 -7 -0x2286 -0x28aefcea -256 -256 -0 -0 -0 -8 -0x53ba -0x101a7aeb -256 -256 -0 -0 -0 -7 -0xec82 -0x2b08ee5 -256 -256 -0 -0 -0 -6 -0x1002 -0x18096252 -256 -256 -0 -0 -0 -9 -0x87d5 -0x9e208946 -256 -256 -1 -0 -0 -6 -0x578a -0x410626f0 -256 -256 -0 -0 -0 -1 -0x176f -0x7cc2fba3 -256 -256 -1 -0 -0 -10 -0x8afe -0x4c26a8b3 -256 -256 -1 -0 -0 -10 -0xc077 -0xa29d7cee -256 -256 -0 -0 -0 -3 -0xfc8a -0x27dc3ae1 -256 -256 -0 -0 -0 -10 -0x85ed -0x39b20240 -256 -256 -1 -0 -0 -8 -0x33a6 -0xb5444a8 -256 -256 -0 -0 -0 -4 -0x15a2 -0x97c8357 -256 -256 -1 -0 -0 -6 -0x9ed9 -0xb540bad2 -256 -256 -1 -0 -0 -3 -0x96d -0x7826cabb -256 -256 -0 -0 -0 -7 -0x9ede -0x9b1fe329 -256 -256 -0 -0 -0 -7 -0xc4c6 -0x49bd45e7 -256 -256 -0 -0 -0 -2 -0x226b -0xecac1f0a -256 -256 -1 -0 -0 -3 -0x2d76 -0xda629658 -256 -256 -1 -0 -0 -7 -0x731b -0x26d781e0 -256 -256 -1 -0 -0 -4 -0x8adb -0xc987672b -256 -256 -0 -0 -0 -6 -0x39af -0x778d4cea -256 -256 -0 -0 -0 -5 -0x2ad8 -0x3fc6d29e -256 -256 -0 -0 -0 -2 -0xceff -0x63bd4faf -256 -256 -1 -0 -0 -6 -0x136f -0x7367e49c -256 -256 -0 -0 -0 -9 -0x5603 -0xcdd99381 -256 -256 -0 -0 -0 -3 -0x4245 -0x957ced73 -256 -256 -1 -0 -0 -4 -0xac94 -0xaec04e57 -256 -256 -0 -0 -0 -9 -0x7639 -0x81f3ba91 -256 -256 -1 -0 -0 -10 -0xc617 -0x476a63d7 -256 -256 -0 -0 -0 -3 -0x4636 -0x52a3ad9b -256 -256 -1 -0 -0 -9 -0xc1a0 -0xd6382d3a -256 -256 -1 -0 -0 -7 -0x39bd -0xaec98bb6 -256 -256 -0 -0 -0 -3 -0xd3df -0x14679635 -256 -256 -0 -0 -0 -8 -0x90f5 -0x519c99ed -256 -256 -0 -0 -0 -6 -0x1c8c -0xf2304df6 -256 -256 -1 -0 -0 -3 -0xbc1 -0xa3c06af4 -256 -256 -0 -0 -0 -9 -0xadc9 -0x2104eca4 -256 -256 -1 -0 -0 -10 -0xa8ca -0x1553cb33 -256 -256 -1 -0 -0 -7 -0xc039 -0x5ccbfc60 -256 -256 -1 -0 -0 -2 -0xa92a -0xddbe15c6 -256 -256 -1 -0 -0 -4 -0xef33 -0x3fa473d0 -256 -256 -1 -0 -0 -4 -0x5da5 -0xabc9fa96 -256 -256 -1 -0 -0 -1 -0xfcae -0x10124907 -256 -256 -0 -0 -0 -7 -0x7cc2 -0xc7fc5446 -256 -256 -0 -0 -0 -2 -0xfb28 -0xd09ac0d6 -256 -256 -1 -0 -0 -3 -0x30fb -0x5879f61e -256 -256 -1 -0 -0 -9 -0xe663 -0xc74a1e8f -256 -256 -0 -0 -0 -6 -0x4787 -0x2b1c6cb -256 -256 -1 -0 -0 -7 -0x1159 -0xd2e4ad49 -256 -256 -0 -0 -0 -10 -0xa658 -0x58b1c5f3 -256 -256 -0 -0 -0 -2 -0x8dc3 -0x935de5cd -256 -256 -1 -0 -0 -9 -0x7da7 -0xa38054b0 -256 -256 -1 -0 -0 -8 -0x9107 -0x6b395af4 -256 -256 -0 -0 -0 -4 -0x1d79 -0xa2a7a94b -256 -256 -1 -0 -0 -9 -0x50e7 -0xca49a13 -256 -256 -0 -0 -0 -4 -0x5c24 -0xe543c5 -256 -256 -1 -0 -0 -7 -0x21a3 -0xbe03cd34 -256 -256 -1 -0 -0 -5 -0xe97e -0xc00de119 -256 -256 -0 -0 -0 -9 -0xc6ed -0x5a4b887e -256 -256 -1 -0 -0 -5 -0xc3fb -0xf0841dd4 -256 -256 -1 -0 -0 -6 -0xfba7 -0x2fc9cb9c -256 -256 -0 -0 -0 -3 -0xc6ac -0x5296bba1 -256 -256 -1 -0 -0 -7 -0x39c8 -0x12088f30 -256 -256 -1 -0 -0 -4 -0x34ab -0xdc3cc99a -256 -256 -1 -0 -0 -1 -0xe7af -0xecdb5666 -256 -256 -0 -0 -0 -9 -0xd42b -0x4fec3fa4 -256 -256 -0 -0 -0 -6 -0x583d -0x742b4034 -256 -256 -0 -0 -0 -9 -0xddd6 -0x553d637d -256 -256 -1 -0 -0 -8 -0x422e -0xa4cb9c01 -256 -256 -0 -0 -0 -8 -0x849b -0xf4525b82 -256 -256 -1 -0 -0 -2 -0xc752 -0x29b6c50f -256 -256 -0 -0 -0 -8 -0x1c26 -0xc7ebbdc1 -256 -256 -1 -0 -0 -9 -0x8a17 -0xa9006fd -256 -256 -1 -0 -0 -4 -0x788a -0xaf2ed282 -256 -256 -1 -0 -0 -3 -0xddcf -0x1b8c4b20 -256 -256 -0 -0 -0 -2 -0xb5da -0x5bbf000 -256 -256 -0 -0 -0 -8 -0x98a0 -0x22b3b143 -256 -256 -1 -0 -0 -8 -0x43e -0x650538b1 -256 -256 -1 -0 -0 -1 -0x1387 -0xd9e3a920 -256 -256 -0 -0 -0 -9 -0x931d -0xc8873951 -256 -256 -1 -0 -0 -8 -0xf8fd -0x26eb1ade -256 -256 -0 -0 -0 -5 -0x56f4 -0xba8a4015 -256 -256 -1 -0 -0 -2 -0x461f -0x116d312f -256 -256 -1 -0 -0 -6 -0x2d2d -0xa5e1b62a -256 -256 -1 -0 -0 -7 -0xb938 -0x3c3a2e8f -256 -256 -0 -0 -0 -8 -0x3980 -0x9c65ded -256 -256 -1 -0 -0 -6 -0x222d -0x555c404c -256 -256 -1 -0 -0 -5 -0x654e -0x9d79c329 -256 -256 -1 -0 -0 -7 -0xf751 -0xf765cd10 -256 -256 -0 -0 -0 -3 -0xba34 -0x6d528bf8 -256 -256 -1 -0 -0 -10 -0x27e3 -0xdbc3115b -256 -256 -1 -0 -0 -8 -0xfe2 -0x61e7af7a -256 -256 -1 -0 -0 -5 -0x108e -0x4f3a534b -256 -256 -0 -0 -0 -10 -0x4ce6 -0xa788b42b -256 -256 -0 -0 -0 -4 -0x3c45 -0xd3b761b8 -256 -256 -0 -0 -0 -6 -0x3fe0 -0x82804678 -256 -256 -0 -0 -0 -2 -0x2ef5 -0x88cc56e0 -256 -256 -1 -0 -0 -9 -0x15b2 -0xbdf042ad -256 -256 -0 -0 -0 -2 -0xd1e9 -0x2e42638 -256 -256 -1 -0 -0 -10 -0xb55e -0x86951212 -256 -256 -1 -0 -0 -8 -0x128e -0x4f7ad568 -256 -256 -0 -0 -0 -6 -0xf8f -0xd35afbf4 -256 -256 -0 -0 -0 -8 -0xf339 -0xa5ae2f9b -256 -256 -1 -0 -0 -9 -0xed50 -0x5814feea -256 -256 -0 -0 -0 -4 -0xd12f -0xbcaa4555 -256 -256 -1 -0 -0 -5 -0x8ac8 -0xd9e3b269 -256 -256 -0 -0 -0 -1 -0x18f9 -0x411b61d7 -256 -256 -1 -0 -0 -5 -0x652c -0x4d765606 -256 -256 -1 -0 -0 -1 -0xb8f2 -0x5b1f6b98 -256 -256 -1 -0 -0 -8 -0xbd2f -0xe552dac5 -256 -256 -1 -0 -0 -10 -0x5b67 -0xef990d7 -256 -256 -0 -0 -0 -6 -0xfb39 -0x1c775456 -256 -256 -0 -0 -0 -7 -0xe131 -0xa2fc8af2 -256 -256 -1 -0 -0 -3 -0xb240 -0x3ce836a3 -256 -256 -0 -0 -0 -4 -0x8d0c -0xe0fa59a8 -256 -256 -0 -0 -0 -7 -0xd331 -0xc1715afd -256 -256 -0 -0 -0 -2 -0x9456 -0xa76c4a6b -256 -256 -1 -0 -0 -2 -0x6fea -0xffcb7b22 -256 -256 -1 -0 -0 -8 -0xdd23 -0x2fdb2aaf -256 -256 -0 -0 -0 -7 -0x66be -0x5133fa71 -256 -256 -0 -0 -0 -3 -0x29eb -0x83809c90 -256 -256 -1 -0 -0 -2 -0xe5a2 -0x26a19b -256 -256 -1 -0 -0 -5 -0x66b3 -0x6eb73081 -256 -256 -0 -0 -0 -8 -0x5400 -0x333aece1 -256 -256 -0 -0 -0 -9 -0xb99d -0xeb58a924 -256 -256 -1 -0 -0 -6 -0xe32e -0xbadf8e7d -256 -256 -0 -0 -0 -3 -0x6698 -0x68f58ebb -256 -256 -0 -0 -0 -7 -0x256e -0x5d6c8627 -256 -256 -1 -0 -0 -8 -0xe763 -0xb9582eba -256 -256 -0 -0 -0 -3 -0x60db -0x22a3cd9b -256 -256 -0 -0 -0 -4 -0xd43f -0x1c88326 -256 -256 -0 -0 -0 -8 -0x7c02 -0x3b2ea923 -256 -256 -1 -0 -0 -5 -0xcb8a -0x28a70e3f -256 -256 -0 -0 -0 -1 -0xf423 -0x6036e415 -256 -256 -0 -0 -0 -2 -0xacf6 -0xc31912b1 -256 -256 -1 -0 -0 -1 -0xd141 -0xeefcee63 -256 -256 -1 -0 -0 -9 -0xb9f5 -0xf01b365b -256 -256 -0 -0 -0 -10 -0x30b -0x683c2a9d -256 -256 -1 -0 -0 -8 -0xddbf -0x40f7c5ce -256 -256 -1 -0 -0 -8 -0xfa5e -0x384535a0 -256 -256 -0 -0 -0 -4 -0x71f5 -0xf95d080a -256 -256 -0 -0 -0 -8 -0x93bc -0xf5241597 -256 -256 -0 -0 -0 -10 -0xee5c -0x381560fb -256 -256 -1 -0 -0 -6 -0x4e08 -0x2d44cc5 -256 -256 -1 -0 -0 -9 -0xd523 -0x9a7a3eba -256 -256 -1 -0 -0 -1 -0xd959 -0xf9111eb7 -256 -256 -0 -0 -0 -10 -0xf84 -0xfbeb3c55 -256 -256 -1 -0 -0 -2 -0x5a4c -0x522b166d -256 -256 -0 -0 -0 -9 -0xdcfe -0x4d966750 -256 -256 -0 -0 -0 -1 -0xd1f7 -0x3eee2233 -256 -256 -0 -0 -0 -10 -0xa5a0 -0x6268172 -256 -256 -1 -0 -0 -5 -0x60ac -0x16a67575 -256 -256 -1 -0 -0 -10 -0x881f -0x33d3ba1b -256 -256 -1 -0 -0 -10 -0xf0f9 -0xae6b158e -256 -256 -1 -0 -0 -6 -0x881a -0x5897da9f -256 -256 -1 -0 -0 -8 -0xd77e -0x33839bf8 -256 -256 -0 -0 -0 -7 -0x5ded -0x1d18727e -256 -256 -1 -0 -0 -3 -0xb557 -0xc0b1a72b -256 -256 -0 -0 -0 -9 -0xbb33 -0x9fabc6b6 -256 -256 -0 -0 -0 -8 -0x1f40 -0x95cf6a41 -256 -256 -0 -0 -0 -1 -0x15d9 -0x1635aa5 -256 -256 -0 -0 -0 -9 -0x796e -0xf32d7cf7 -256 -256 -0 -0 -0 -10 -0x996c -0x9b84e054 -256 -256 -1 -0 -0 -4 -0xaea0 -0x8b7185ea -256 -256 -1 -0 -0 -8 -0x63a -0x6cd3d5cb -256 -256 -0 -0 -0 -4 -0x6156 -0xd591a3fb -256 -256 -0 -0 -0 -5 -0x89c0 -0x6ff2cc43 -256 -256 -1 -0 -0 -7 -0x4c37 -0x30bae673 -256 -256 -0 -0 -0 -10 -0x9a3f -0x23aff248 -256 -256 -1 -0 -0 -6 -0x15fb -0x8a8f5eb0 -256 -256 -0 -0 -0 -4 -0x8a60 -0x108dab98 -256 -256 -0 -0 -0 -10 -0x2fbc -0x6dce5d25 -256 -256 -1 -0 -0 -9 -0xebb9 -0x9dcd5ed1 -256 -256 -0 -0 -0 -6 -0xfdf5 -0x31680892 -256 -256 -1 -0 -0 -7 -0xb301 -0x84f74e6a -256 -256 -1 -0 -0 -4 -0x8dd8 -0x46ae4c33 -256 -256 -1 -0 -0 -9 -0x9301 -0x48ee6813 -256 -256 -0 -0 -0 -6 -0x9e1e -0xea605910 -256 -256 -1 -0 -0 -6 -0x59bf -0x5801738b -256 -256 -0 -0 -0 -4 -0xb87 -0xb5948dbd -256 -256 -0 -0 -0 -6 -0x1a46 -0x89214d6c -256 -256 -0 -0 -0 -8 -0x5ca2 -0x442f640d -256 -256 -1 -0 -0 -4 -0x11a6 -0x3e52e97c -256 -256 -0 -0 -0 -4 -0x5715 -0xf318de15 -256 -256 -1 -0 -0 -7 -0x283d -0xe6efae82 -256 -256 -0 -0 -0 -9 -0xfe3f -0xdb4d6a01 -256 -256 -1 -0 -0 -7 -0x355a -0x3701924c -256 -256 -1 -0 -0 -7 -0x33a5 -0xcf55da3a -256 -256 -1 -0 -0 -8 -0xa93c -0xad152909 -256 -256 -1 -0 -0 -10 -0xc26d -0x72f1ccbf -256 -256 -1 -0 -0 -9 -0xac0d -0x572d8bca -256 -256 -1 -0 -0 -4 -0xbb40 -0xa43f32fd -256 -256 -0 -0 -0 -9 -0x715 -0x42e008c0 -256 -256 -1 -0 -0 -7 -0xa5b1 -0x2936baa1 -256 -256 -1 -0 -0 -6 -0x5901 -0x5ba8fa00 -256 -256 -1 -0 -0 -7 -0x555c -0xd678108c -256 -256 -1 -0 -0 -2 -0xfeef -0x8f69e835 -256 -256 -0 -0 -0 -8 -0x838d -0x425754ac -256 -256 -1 -0 -0 -7 -0x11f1 -0xc07da4f4 -256 -256 -1 -0 -0 -9 -0xabc3 -0xbcca9ebc -256 -256 -1 -0 -0 -9 -0xfaec -0x53f464d0 -256 -256 -1 -0 -0 -4 -0x902e -0xb3f84b52 -256 -256 -0 -0 -0 -9 -0x5c73 -0x73682941 -256 -256 -1 -0 -0 -2 -0x601e -0x90f4f8cc -256 -256 -1 -0 -0 -7 -0xf5e5 -0x49f8ad60 -256 -256 -1 -0 -0 -2 -0xbc72 -0xdfffddd2 -256 -256 -1 -0 -0 -9 -0x3188 -0xd57eeffc -256 -256 -0 -0 -0 -6 -0x6fbd -0x5cebf026 -256 -256 -1 -0 -0 -10 -0xf643 -0x4355c5f5 -256 -256 -0 -0 -0 -7 -0xc5a7 -0xe1767e80 -256 -256 -1 -0 -0 -9 -0xa34f -0x8e0a4226 -256 -256 -0 -0 -0 -8 -0xe3ba -0xe892e215 -256 -256 -0 -0 -0 -5 -0xcc0f -0xa63030ef -256 -256 -0 -0 -0 -6 -0xd608 -0xaabda715 -256 -256 -0 -0 -0 -5 -0xf860 -0x769c68b2 -256 -256 -0 -0 -0 -3 -0x9b3e -0x10a0792b -256 -256 -1 -0 -0 -10 -0xcf21 -0x606bed0f -256 -256 -0 -0 -0 -5 -0xbc83 -0xb2238cc5 -256 -256 -0 -0 -0 -10 -0xedc4 -0xf4096ede -256 -256 -0 -0 -0 -1 -0x6636 -0x3e9264be -256 -256 -1 -0 -0 -6 -0x1897 -0xe091fd32 -256 -256 -1 -0 -0 -9 -0x394b -0x608d9f0a -256 -256 -1 -0 -0 -7 -0xade7 -0xbd08d175 -256 -256 -0 -0 -0 -8 -0xa891 -0xd91167d9 -256 -256 -0 -0 -0 -10 -0xe463 -0x2af14b2f -256 -256 -1 -0 -0 -1 -0xdb57 -0xcf7a523a -256 -256 -1 -0 -0 -6 -0x5fad -0x498236f -256 -256 -0 -0 -0 -10 -0xbbb5 -0x7c609b78 -256 -256 -1 -0 -0 -2 -0x496a -0x4ae58fb9 -256 -256 -0 -0 -0 -9 -0xad6a -0xdb6b104e -256 -256 -1 -0 -0 -4 -0xa82d -0x6df9f2fa -256 -256 -1 -0 -0 -7 -0xf282 -0xf8938a1a -256 -256 -1 -0 -0 -4 -0x9053 -0x61003d5a -256 -256 -1 -0 -0 -3 -0x48c2 -0x59f08ce2 -256 -256 -0 -0 -0 -5 -0x7725 -0x17c55440 -256 -256 -0 -0 -0 -6 -0xaf7d -0x894e2d2d -256 -256 -0 -0 -0 -8 -0xd96a -0xf4f8e94b -256 -256 -1 -0 -0 -7 -0x53da -0xe6fe7d7b -256 -256 -0 -0 -0 -9 -0x7124 -0x4a7382d3 -256 -256 -0 -0 -0 -9 -0xfc53 -0x578e1fc2 -256 -256 -0 -0 -0 -8 -0xf87f -0x1d966b92 -256 -256 -1 -0 -0 -6 -0x1692 -0x9504fdc3 -256 -256 -0 -0 -0 -2 -0xeaba -0x16ba0a7a -256 -256 -0 -0 -0 -9 -0xa4c0 -0xa2a82b88 -256 -256 -1 -0 -0 -2 -0xe44d -0xf4dadee8 -256 -256 -1 -0 -0 -2 -0xf1d0 -0xacc73c82 -256 -256 -1 -0 -0 -2 -0x9745 -0x996a3c48 -256 -256 -1 -0 -0 -2 -0x7a89 -0x17a5e904 -256 -256 -1 -0 -0 -10 -0x369e -0x88c0a1e4 -256 -256 -0 -0 -0 -10 -0x9aa0 -0x13b38c75 -256 -256 -0 -0 -0 -10 -0x6af8 -0xcf2618d2 -256 -256 -0 -0 -0 -10 -0xdb5f -0xa9130dff -256 -256 -0 -0 -0 -4 -0xb9b4 -0x10139413 -256 -256 -1 -0 -0 -10 -0x488 -0xeae2a49f -256 -256 -0 -0 -0 -1 -0x376 -0x9871db38 -256 -256 -0 -0 -0 -3 -0xca85 -0x90b75f00 -256 -256 -1 -0 -0 -4 -0xb523 -0x2d546328 -256 -256 -1 -0 -0 -5 -0x1602 -0xb3f6f3d9 -256 -256 -0 -0 -0 -3 -0x336b -0x171f2b22 -256 -256 -1 -0 -0 -10 -0x361b -0x47d91f6f -256 -256 -0 -0 -0 -2 -0xe1c2 -0x109f6320 -256 -256 -1 -0 -0 -8 -0x98b2 -0x39865ace -256 -256 -0 -0 -0 -7 -0x6e83 -0xddd4e8b8 -256 -256 -1 -0 -0 -2 -0xb6b6 -0xb4670df8 -256 -256 -0 -0 -0 -9 -0x476f -0xe7142912 -256 -256 -1 -0 -0 -4 -0x8342 -0x37e8c9d6 -256 -256 -1 -0 -0 -7 -0xd434 -0x33bd6258 -256 -256 -1 -0 -0 -4 -0x1674 -0x4a1a2d6d -256 -256 -0 -0 -0 -9 -0xf75d -0xf8385611 -256 -256 -1 -0 -0 -9 -0x87e1 -0x1aa1b493 -256 -256 -1 -0 -0 -2 -0x98e7 -0xbcbbbd1f -256 -256 -1 -0 -0 -9 -0x2df1 -0x47383db4 -256 -256 -0 -0 -0 -9 -0xd8c4 -0xd7b0bf4c -256 -256 -0 -0 -0 -9 -0x6a37 -0x7ddc4996 -256 -256 -1 -0 -0 -5 -0xf5aa -0x3a542429 -256 -256 -1 -0 -0 -1 -0x8f0c -0xa7992578 -256 -256 -0 -0 -0 -9 -0xfc76 -0x5033e96 -256 -256 -0 -0 -0 -1 -0x5531 -0xb41d60de -256 -256 -1 -0 -0 -8 -0x8b70 -0x88223f52 -256 -256 -0 -0 -0 -3 -0x6b0c -0xf0ff7c24 -256 -256 -0 -0 -0 -1 -0x8999 -0x51be996f -256 -256 -1 -0 -0 -6 -0x439 -0x7c55b4c3 -256 -256 -1 -0 -0 -3 -0xa362 -0xf59c5e10 -256 -256 -1 -0 -0 -3 -0x9f2d -0x8b809514 -256 -256 -0 -0 -0 -2 -0xf4dd -0x575454e6 -256 -256 -0 -0 -0 -8 -0x2462 -0x246711a -256 -256 -1 -0 -0 -6 -0x3683 -0x143dae1f -256 -256 -0 -0 -0 -10 -0xf917 -0x13130ebf -256 -256 -1 -0 -0 -8 -0xcba -0x47ef4c27 -256 -256 -1 -0 -0 -7 -0x9bb4 -0xf8ba6dad -256 -256 -0 -0 -0 -5 -0xbb4 -0xaf771729 -256 -256 -0 -0 -0 -9 -0x9ff6 -0xc33fc6e1 -256 -256 -0 -0 -0 -10 -0x47f7 -0x1ed377c3 -256 -256 -0 -0 -0 -1 -0x62d1 -0xce3622a7 -256 -256 -0 -0 -0 -10 -0x4a43 -0x2f6bffeb -256 -256 -1 -0 -0 -7 -0xd7cb -0xbd3963af -256 -256 -1 -0 -0 -8 -0xa82e -0x6c845a2d -256 -256 -0 -0 -0 -7 -0x3d15 -0xd952cf4f -256 -256 -0 -0 -0 -3 -0xd312 -0xfb244a77 -256 -256 -0 -0 -0 -9 -0x6abe -0x7ee9fcf -256 -256 -1 -0 -0 -7 -0x6cb2 -0x276dacea -256 -256 -0 -0 -0 -7 -0x3dc2 -0x9a0985f0 -256 -256 -0 -0 -0 -6 -0xdd42 -0x9b9e23d0 -256 -256 -1 -0 -0 -2 -0x57e7 -0x21c4d849 -256 -256 -0 -0 -0 -2 -0xcbe4 -0xd107e9c0 -256 -256 -1 -0 -0 -8 -0x49f7 -0xae55bbee -256 -256 -1 -0 -0 -5 -0xb851 -0x5e72178f -256 -256 -0 -0 -0 -10 -0x4213 -0xff3d17df -256 -256 -1 -0 -0 -4 -0xe09a -0xd3d19af9 -256 -256 -0 -0 -0 -5 -0xdc3 -0x7219d5 -256 -256 -1 -0 -0 -6 -0xb3bd -0x49a4afb2 -256 -256 -0 -0 -0 -10 -0xff0e -0xcf173b04 -256 -256 -0 -0 -0 -6 -0x6287 -0xe237f8b -256 -256 -1 -0 -0 -8 -0x91c4 -0x639215dd -256 -256 -1 -0 -0 -8 -0xd35f -0x9c0267b7 -256 -256 -1 -0 -0 -7 -0x5ff9 -0xda704aa8 -256 -256 -0 -0 -0 -8 -0xeb33 -0x55aa9bfa -256 -256 -0 -0 -0 -8 -0xf229 -0x761a148e -256 -256 -1 -0 -0 -5 -0xe27a -0x9985168e -256 -256 -1 -0 -0 -8 -0x9188 -0x4e5a04b6 -256 -256 -1 -0 -0 -1 -0x411b -0xc76c6c58 -256 -256 -1 -0 -0 -5 -0x72ec -0x8ba72b51 -256 -256 -1 -0 -0 -7 -0x42ca -0x6153858a -256 -256 -1 -0 -0 -4 -0xed1 -0x6186f310 -256 -256 -1 -0 -0 -5 -0xde9 -0xf296430e -256 -256 -1 -0 -0 -4 -0x238c -0x23aba94b -256 -256 -0 -0 -0 -8 -0xd9f3 -0x4b947428 -256 -256 -1 -0 -0 -2 -0xc801 -0x69158753 -256 -256 -0 -0 -0 -10 -0x3386 -0x5181216d -256 -256 -0 -0 -0 -8 -0x3cb5 -0x72179215 -256 -256 -1 -0 -0 -6 -0xa93a -0x3a26e498 -256 -256 -0 -0 -0 -3 -0x8b45 -0x4dbc2e7a -256 -256 -1 -0 -0 -9 -0xd66c -0x70a2fcdc -256 -256 -1 -0 -0 -2 -0x8e9a -0x986ed1ba -256 -256 -1 -0 -0 -5 -0xf2c5 -0x1c04f790 -256 -256 -1 -0 -0 -3 -0x8f4a -0xb146c8a6 -256 -256 -0 -0 -0 -6 -0x7573 -0x664c70d6 -256 -256 -0 -0 -0 -7 -0x9d5f -0x58313bc4 -256 -256 -1 -0 -0 -8 -0x98f7 -0xceb30ba0 -256 -256 -0 -0 -0 -10 -0x3fa8 -0xd447a7ce -256 -256 -1 -0 -0 -7 -0xd629 -0x9e606fa6 -256 -256 -1 -0 -0 -7 -0x9fbf -0x7260d995 -256 -256 -0 -0 -0 -2 -0x4a04 -0x46186bed -256 -256 -1 -0 -0 -10 -0x55d1 -0xeb9204a4 -256 -256 -0 -0 -0 -8 -0x27cc -0x2f8b8aa0 -256 -256 -0 -0 -0 -5 -0x9bda -0x4ff4ab55 -256 -256 -1 -0 -0 -1 -0x4b5f -0x4c7a1b73 -256 -256 -1 -0 -0 -2 -0xa8ac -0x6c2d02dc -256 -256 -0 -0 -0 -4 -0xdff0 -0xf8e5ed44 -256 -256 -1 -0 -0 -1 -0x6f3 -0xb95a0d16 -256 -256 -0 -0 -0 -4 -0x7c31 -0xa7cf6f2 -256 -256 -0 -0 -0 -9 -0x3215 -0xcab40797 -256 -256 -1 -0 -0 -10 -0xda47 -0x20ddd4fe -256 -256 -1 -0 -0 -8 -0x15b3 -0x252d14ba -256 -256 -1 -0 -0 -7 -0x7094 -0x860d73bb -256 -256 -0 -0 -0 -4 -0x7b93 -0xb9f1f642 -256 -256 -0 -0 -0 -8 -0x8b3e -0x8c40dfe -256 -256 -1 -0 -0 -3 -0x13cb -0xc2fd2d36 -256 -256 -1 -0 -0 -3 -0x559e -0x9d04f034 -256 -256 -0 -0 -0 -9 -0xb36a -0xc449f38e -256 -256 -1 -0 -0 -2 -0xdc9b -0x93b359d9 -256 -256 -1 -0 -0 -2 -0x47b5 -0x10afcc30 -256 -256 -0 -0 -0 -10 -0x5667 -0xd89eef7b -256 -256 -1 -0 -0 -5 -0x9ba7 -0x465da885 -256 -256 -1 -0 -0 -2 -0x4113 -0x8675ca6c -256 -256 -1 -0 -0 -6 -0xa5cf -0xfb203c99 -256 -256 -1 -0 -0 -3 -0xcce1 -0xf67a5f71 -256 -256 -0 -0 -0 -1 -0xe325 -0xa0acf8f0 -256 -256 -1 -0 -0 -9 -0x754b -0xbb802bc0 -256 -256 -0 -0 -0 -6 -0x57e9 -0xec2fc09e -256 -256 -0 -0 -0 -6 -0x3fe -0x87fc36a4 -256 -256 -1 -0 -0 -7 -0x8252 -0x6a9087af -256 -256 -0 -0 -0 -1 -0xfa0e -0x786be38 -256 -256 -0 -0 -0 -6 -0x430c -0x8d5a7bfe -256 -256 -0 -0 -0 -7 -0x3c03 -0xfa10084c -256 -256 -1 -0 -0 -1 -0x671 -0xe2a3ae50 -256 -256 -0 -0 -0 -2 -0x15a4 -0x83565073 -256 -256 -1 -0 -0 -2 -0xdf8f -0x6f06d510 -256 -256 -1 -0 -0 -4 -0x48b2 -0xe4cb18f3 -256 -256 -1 -0 -0 -4 -0xc1bd -0xfbd6f0b4 -256 -256 -0 -0 -0 -10 -0xd53b -0x1113c652 -256 -256 -1 -0 -0 -6 -0xee83 -0xf009117 -256 -256 -1 -0 -0 -8 -0x93c5 -0x50dcecf7 -256 -256 -1 -0 -0 -2 -0x2cc1 -0xccc595d7 -256 -256 -0 -0 -0 -10 -0xa6e8 -0xd8be0167 -256 -256 -1 -0 -0 -10 -0xfec3 -0x3d6bced4 -256 -256 -0 -0 -0 -5 -0xc140 -0x6220b18d -256 -256 -0 -0 -0 -7 -0x40de -0x4e0304fd -256 -256 -1 -0 -0 -2 -0x12f7 -0xc00bb950 -256 -256 -0 -0 -0 -5 -0x947a -0xb07de13d -256 -256 -0 -0 -0 -6 -0x1b32 -0x9333dceb -256 -256 -0 -0 -0 -5 -0x49fb -0x79434053 -256 -256 -1 -0 -0 -9 -0x944d -0xc7af632a -256 -256 -1 -0 -0 -2 -0xe21a -0x21aa8b50 -256 -256 -1 -0 -0 -8 -0x3106 -0x16c14bbd -256 -256 -0 -0 -0 -10 -0x22a0 -0x28034ec -256 -256 -1 -0 -0 -5 -0xc458 -0x377f3c92 -256 -256 -1 -0 -0 -2 -0x1b6 -0x404c888e -256 -256 -0 -0 -0 -4 -0xd4f6 -0x76e4f372 -256 -256 -0 -0 -0 -1 -0x8af6 -0x107c0d09 -256 -256 -1 -0 -0 -9 -0x9a4 -0x4eec3bb8 -256 -256 -0 -0 -0 -4 -0xdf53 -0x318ed3eb -256 -256 -1 -0 -0 -7 -0xc2f2 -0x5f9263 -256 -256 -1 -0 -0 -6 -0x275e -0xc55e1e61 -256 -256 -1 -0 -0 -9 -0x6107 -0xb54799fe -256 -256 -1 -0 -0 -1 -0x68f5 -0x36321fef -256 -256 -1 -0 -0 -1 -0xa673 -0xee0f8ecf -256 -256 -1 -0 -0 -4 -0x6d6 -0xf918dcd5 -256 -256 -0 -0 -0 -1 -0xbdc2 -0x7118e90f -256 -256 -1 -0 -0 -4 -0xdb02 -0xc59e827d -256 -256 -0 -0 -0 -1 -0xdcb6 -0x20a133b6 -256 -256 -1 -0 -0 -1 -0x1f2f -0x136077b3 -256 -256 -0 -0 -0 -2 -0xec64 -0x66e1a6c5 -256 -256 -1 -0 -0 -4 -0xbd0c -0x24504e4a -256 -256 -1 -0 -0 -6 -0x197b -0x519e6e65 -256 -256 -1 -0 -0 -1 -0x5205 -0x6974bc09 -256 -256 -1 -0 -0 -9 -0x71ea -0xba0e8071 -256 -256 -1 -0 -0 -8 -0xbdf9 -0x1e2bfd6 -256 -256 -1 -0 -0 -9 -0xb359 -0xca771a7f -256 -256 -0 -0 -0 -5 -0x1d69 -0x4dc2efd5 -256 -256 -1 -0 -0 -8 -0x83ee -0xa9707220 -256 -256 -1 -0 -0 -6 -0x803 -0xe2533f2e -256 -256 -1 -0 -0 -3 -0xea06 -0x14df0c64 -256 -256 -1 -0 -0 -4 -0x3a57 -0xd8e22ff0 -256 -256 -1 -0 -0 -8 -0xc142 -0x5f1af9b5 -256 -256 -1 -0 -0 -1 -0x13bc -0xc65e5376 -256 -256 -0 -0 -0 -4 -0xe62a -0x47fa00d8 -256 -256 -0 -0 -0 -5 -0xc25b -0x647185eb -256 -256 -0 -0 -0 -6 -0xa930 -0x22f6550b -256 -256 -0 -0 -0 -5 -0xe418 -0x36961586 -256 -256 -1 -0 -0 -1 -0xae29 -0x5f0f141a -256 -256 -1 -0 -0 -6 -0x38de -0x3fe3c763 -256 -256 -1 -0 -0 -8 -0x8f4c -0x29af9720 -256 -256 -1 -0 -0 -3 -0xe41c -0x607af778 -256 -256 -0 -0 -0 -1 -0x25dd -0x23cc2913 -256 -256 -0 -0 -0 -4 -0xb52c -0x39423b9b -256 -256 -1 -0 -0 -2 -0x88b2 -0xeb85d082 -256 -256 -1 -0 -0 -2 -0x2a5d -0x53fa4e3e -256 -256 -1 -0 -0 -5 -0xa87b -0x18fac254 -256 -256 -0 -0 -0 -9 -0x1cc4 -0x140be1d3 -256 -256 -1 -0 -0 -4 -0x55bd -0x9f439dd5 -256 -256 -0 -0 -0 -6 -0x2af9 -0x58511551 -256 -256 -1 -0 -0 -4 -0x17ee -0xdd179a6f -256 -256 -0 -0 -0 -7 -0x9258 -0xf981eb02 -256 -256 -1 -0 -0 -8 -0xa6a7 -0xca529b96 -256 -256 -1 -0 -0 -7 -0x14f9 -0xeace9c7f -256 -256 -0 -0 -0 -9 -0x417d -0x4a08fb9f -256 -256 -1 -0 -0 -7 -0x29f1 -0x88150ca0 -256 -256 -0 -0 -0 -1 -0xb096 -0xdd65af49 -256 -256 -0 -0 -0 -1 -0x1778 -0x2ab3502c -256 -256 -1 -0 -0 -7 -0xcd29 -0x42f913 -256 -256 -0 -0 -0 -2 -0xf592 -0x62a1abd -256 -256 -1 -0 -0 -9 -0xf5d5 -0xe44c3009 -256 -256 -0 -0 -0 -3 -0xa121 -0xa51f8f64 -256 -256 -1 -0 -0 -6 -0xdf2d -0xeb0171ed -256 -256 -0 -0 -0 -5 -0xd5f3 -0x993a9397 -256 -256 -0 -0 -0 -9 -0x19b1 -0xd8783c03 -256 -256 -0 -0 -0 -7 -0x2d26 -0xe67f59cb -256 -256 -1 -0 -0 -5 -0xfc5f -0xf9ce36bd -256 -256 -1 -0 -0 -10 -0xef72 -0x5f9627a8 -256 -256 -1 -0 -0 -1 -0xf375 -0xc9df07ad -256 -256 -0 -0 -0 -1 -0x5d89 -0xbd66219c -256 -256 -1 -0 -0 -10 -0x98b3 -0x7938be1f -256 -256 -0 -0 -0 -4 -0x13a3 -0x76db8d9f -256 -256 -1 -0 -0 -7 -0x3b64 -0x3058e7dd -256 -256 -0 -0 -0 -9 -0x7a3b -0x6ba8e576 -256 -256 -0 -0 -0 -2 -0x2e35 -0x75060835 -256 -256 -0 -0 -0 -3 -0xff51 -0xc824873e -256 -256 -1 -0 -0 -2 -0xa721 -0xa1c9cd0d -256 -256 -0 -0 -0 -2 -0x3820 -0xb9649f74 -256 -256 -1 -0 -0 -10 -0xce38 -0xa50fb0ee -256 -256 -1 -0 -0 -9 -0xce1 -0xf8b321d1 -256 -256 -0 -0 -0 -2 -0x33a1 -0x1004668e -256 -256 -1 -0 -0 -10 -0x5c2a -0x246ab0f1 -256 -256 -1 -0 -0 -10 -0x8842 -0xf4024095 -256 -256 -0 -0 -0 -9 -0x29b2 -0xc0845e77 -256 -256 -0 -0 -0 -8 -0x14d3 -0xcc94f29 -256 -256 -0 -0 -0 -6 -0xf62f -0xebefbeaa -256 -256 -0 -0 -0 -10 -0x2720 -0x8830c151 -256 -256 -0 -0 -0 -7 -0x1b2c -0xa27a2b34 -256 -256 -0 -0 -0 -3 -0xa182 -0x42417a62 -256 -256 -0 -0 -0 -4 -0x2419 -0x39cd70ef -256 -256 -1 -0 -0 -8 -0xb48f -0x4cf468af -256 -256 -0 -0 -0 -1 -0xa0 -0xe0f09da8 -256 -256 -0 -0 -0 -6 -0x2fab -0x14215332 -256 -256 -1 -0 -0 -2 -0x34ee -0x49fa950e -256 -256 -1 -0 -0 -6 -0xc20 -0xb787e7b5 -256 -256 -0 -0 -0 -7 -0x8245 -0x7aec58b9 -256 -256 -1 -0 -0 -5 -0xdc4e -0xee9e2e63 -256 -256 -1 -0 -0 -10 -0x9610 -0x839800bb -256 -256 -0 -0 -0 -7 -0x2a6f -0xf29b9f8a -256 -256 -1 -0 -0 -5 -0x80f3 -0xa4b4a19a -256 -256 -1 -0 -0 -2 -0xb0b9 -0xb2d8eeb7 -256 -256 -1 -0 -0 -8 -0x9249 -0x19e0a129 -256 -256 -1 -0 -0 -1 -0x4cfb -0xb83b17c9 -256 -256 -0 -0 -0 -7 -0x86f7 -0xfb01a499 -256 -256 -0 -0 -0 -6 -0xc653 -0xaa5b22fc -256 -256 -1 -0 -0 -5 -0x819b -0x60d1a900 -256 -256 -1 -0 -0 -2 -0xaffd -0x675898a1 -256 -256 -1 -0 -0 -9 -0x4946 -0xe78e048a -256 -256 -0 -0 -0 -10 -0xe159 -0x8f767e30 -256 -256 -0 -0 -0 -9 -0x1859 -0x5ed8966e -256 -256 -1 -0 -0 -4 -0xaae7 -0xc8fc2b5 -256 -256 -1 -0 -0 -10 -0xcff3 -0xd30c99f2 -256 -256 -1 -0 -0 -7 -0x51c0 -0x254700e -256 -256 -1 -0 -0 -1 -0x1a2d -0xcb0740c6 -256 -256 -0 -0 -0 -6 -0xf8f8 -0xcd74e5a8 -256 -256 -0 -0 -0 -7 -0xaf2a -0x6ed1a4c -256 -256 -1 -0 -0 -8 -0x193c -0xb262b7ac -256 -256 -0 -0 -0 -3 -0x64aa -0x89b0a539 -256 -256 -0 -0 -0 -1 -0x61f7 -0xd45cef0a -256 -256 -0 -0 -0 -2 -0x9ccb -0x29187ec -256 -256 -0 -0 -0 -1 -0xa380 -0xdf596408 -256 -256 -0 -0 -0 -1 -0x4a76 -0x8619146c -256 -256 -0 -0 -0 -6 -0xe498 -0x6ef86d10 -256 -256 -0 -0 -0 -2 -0x5fb4 -0x26a3bebd -256 -256 -1 -0 -0 -5 -0x2ff7 -0x3b76e87c -256 -256 -0 -0 -0 -10 -0x21b1 -0x152dae9d -256 -256 -0 -0 -0 -7 -0xf175 -0xd8bd3678 -256 -256 -1 -0 -0 -10 -0xcd0f -0x9d183bb5 -256 -256 -1 -0 -0 -9 -0x7d0b -0x80fb9d72 -256 -256 -1 -0 -0 -4 -0xb25e -0xa63b9434 -256 -256 -0 -0 -0 -10 -0xd531 -0x449bdeb8 -256 -256 -1 -0 -0 -6 -0xe6 -0x7cb13615 -256 -256 -0 -0 -0 -9 -0x52d4 -0x260b55a2 -256 -256 -0 -0 -0 -6 -0x8d20 -0x2d1d1ef1 -256 -256 -1 -0 -0 -7 -0x6c8b -0x366205fa -256 -256 -1 -0 -0 -2 -0x305e -0xc960b9f1 -256 -256 -1 -0 -0 -10 -0x750f -0xf5540d65 -256 -256 -1 -0 -0 -3 -0xe250 -0x345a467e -256 -256 -0 -0 -0 -6 -0x9116 -0x3c888dd6 -256 -256 -0 -0 -0 -7 -0x701a -0x68e57f43 -256 -256 -1 -0 -0 -6 -0xec84 -0xddbf3220 -256 -256 -0 -0 -0 -7 -0x20d2 -0x37d23172 -256 -256 -1 -0 -0 -4 -0x5c01 -0xec38d409 -256 -256 -0 -0 -0 -4 -0x54b8 -0xabc3655a -256 -256 -0 -0 -0 -10 -0x97b3 -0x72a9edbb -256 -256 -1 -0 -0 -6 -0x547f -0x94a5ce49 -256 -256 -1 -0 -0 -10 -0x83e3 -0xb42198df -256 -256 -1 -0 -0 -7 -0xbee -0x3e831c86 -256 -256 -0 -0 -0 -7 -0x7ed4 -0xa43126dc -256 -256 -1 -0 -0 -3 -0x393a -0xbff505d3 -256 -256 -0 -0 -0 -4 -0xffe3 -0x7a69d612 -256 -256 -0 -0 -0 -9 -0xfa22 -0x248b0b25 -256 -256 -0 -0 -0 -2 -0xc22 -0xb9327279 -256 -256 -1 -0 -0 -9 -0x5da5 -0xfb6d649 -256 -256 -0 -0 -0 -7 -0x2812 -0x9c17900a -256 -256 -0 -0 -0 -3 -0xd86e -0x9e067a5b -256 -256 -1 -0 -0 -9 -0xbe71 -0xa68cfd39 -256 -256 -0 -0 -0 -6 -0x2dd2 -0xade52a34 -256 -256 -1 -0 -0 -10 -0x7b74 -0x3cd9fd75 -256 -256 -0 -0 -0 -3 -0xdb6b -0x313cb14c -256 -256 -0 -0 -0 -1 -0xce63 -0xc6f9fba2 -256 -256 -1 -0 -0 -9 -0x7e86 -0x994fd2d -256 -256 -0 -0 -0 -4 -0x9177 -0xdda4085c -256 -256 -0 -0 -0 -10 -0x7e38 -0x2a7cda61 -256 -256 -0 -0 -0 -3 -0xb751 -0x1797c09f -256 -256 -1 -0 -0 -1 -0x5c66 -0xde9ca6ac -256 -256 -1 -0 -0 -2 -0x909b -0x854018b1 -256 -256 -0 -0 -0 -7 -0xf697 -0x54b20f20 -256 -256 -0 -0 -0 -1 -0x1d69 -0x50a5e73f -256 -256 -0 -0 -0 -7 -0x3baf -0x7f9fb080 -256 -256 -1 -0 -0 -6 -0xa9b0 -0x809f1cef -256 -256 -0 -0 -0 -4 -0xf48b -0x3ef3668e -256 -256 -1 -0 -0 -3 -0x5b49 -0x77c78995 -256 -256 -1 -0 -0 -2 -0x3b19 -0x5070cf6d -256 -256 -1 -0 -0 -7 -0x6bca -0x1eed6372 -256 -256 -0 -0 -0 -8 -0x8b20 -0xf3f94542 -256 -256 -0 -0 -0 -6 -0xc137 -0x34ef41bb -256 -256 -0 -0 -0 -6 -0xf351 -0x493f7766 -256 -256 -1 -0 -0 -4 -0x9df7 -0x7351ab33 -256 -256 -1 -0 -0 -4 -0x3ae -0x7d80a3ff -256 -256 -0 -0 -0 -3 -0x2f20 -0x67ac1f90 -256 -256 -1 -0 -0 -9 -0x4651 -0xf7f2886 -256 -256 -1 -0 -0 -3 -0xcc8 -0x8f3f734a -256 -256 -0 -0 -0 -5 -0xf3ee -0x8eb88468 -256 -256 -0 -0 -0 -4 -0x8d52 -0x91e64796 -256 -256 -1 -0 -0 -4 -0xdcd2 -0x4f2bfa47 -256 -256 -1 -0 -0 -9 -0x439c -0xcff9bbe3 -256 -256 -1 -0 -0 -8 -0x6e8c -0x2a82584e -256 -256 -0 -0 -0 -5 -0x4d05 -0xdafc8508 -256 -256 -0 -0 -0 -5 -0xab4c -0xabddb0da -256 -256 -1 -0 -0 -3 -0x9616 -0xc4caf380 -256 -256 -1 -0 -0 -10 -0x1e2a -0xa82e7e91 -256 -256 -0 -0 -0 -5 -0xb36e -0x1268e0c2 -256 -256 -1 -0 -0 -9 -0x7712 -0x870371eb -256 -256 -0 -0 -0 -2 -0x1c04 -0xfc0fbef -256 -256 -1 -0 -0 -2 -0x167f -0x97fe752 -256 -256 -0 -0 -0 -5 -0xc135 -0x40134fdd -256 -256 -0 -0 -0 -6 -0x6ea3 -0xcdea4da8 -256 -256 -1 -0 -0 -10 -0xfc11 -0x1b274ba1 -256 -256 -0 -0 -0 -1 -0xf4c3 -0xeaf8534b -256 -256 -0 -0 -0 -9 -0x7b03 -0x7784e81d -256 -256 -0 -0 -0 -6 -0x1ade -0x1e1cc2bc -256 -256 -0 -0 -0 -3 -0x93a -0x59fa3f7e -256 -256 -1 -0 -0 -9 -0x998a -0xa58c6e61 -256 -256 -1 -0 -0 -5 -0xac13 -0x3418464e -256 -256 -1 -0 -0 -8 -0xd726 -0xf377c9e9 -256 -256 -0 -0 -0 -3 -0xd7bd -0xb04d5d7 -256 -256 -0 -0 -0 -4 -0xfaeb -0xdaebdb6a -256 -256 -1 -0 -0 -6 -0xddad -0x1ea776ec -256 -256 -1 -0 -0 -9 -0x78ba -0xfc0a9b87 -256 -256 -1 -0 -0 -7 -0xa6c -0xfb408223 -256 -256 -1 -0 -0 -4 -0x344b -0x4f5aecdc -256 -256 -1 -0 -0 -10 -0x3a5e -0xb998aa69 -256 -256 -1 -0 -0 -1 -0xfdc7 -0x95830e4c -256 -256 -1 -0 -0 -8 -0xf5b -0x3d44ed62 -256 -256 -0 -0 -0 -5 -0xb422 -0x97096fac -256 -256 -1 -0 -0 -8 -0x627a -0x443ab5cd -256 -256 -1 -0 -0 -6 -0xcbca -0x22cb945c -256 -256 -1 -0 -0 -7 -0xf3bf -0x4175d15f -256 -256 -1 -0 -0 -1 -0x1159 -0xedfaa1c -256 -256 -0 -0 -0 -8 -0x5027 -0x4a94a593 -256 -256 -1 -0 -0 -4 -0x51a -0xec8634cc -256 -256 -1 -0 -0 -6 -0xc817 -0xd0b69df2 -256 -256 -1 -0 -0 -6 -0xe00d -0xd87d43f2 -256 -256 -0 -0 -0 -8 -0x6ef0 -0xe98dc6ba -256 -256 -1 -0 -0 -5 -0x7b26 -0xad3a1881 -256 -256 -0 -0 -0 -10 -0x5e51 -0x78f81f17 -256 -256 -0 -0 -0 -3 -0x3b0d -0x6b947497 -256 -256 -1 -0 -0 -3 -0x3cde -0x3ab5e8f3 -256 -256 -0 -0 -0 -3 -0x4098 -0x36c3fe34 -256 -256 -1 -0 -0 -2 -0xf026 -0x1d758266 -256 -256 -0 -0 -0 -1 -0xf4bd -0xb816a094 -256 -256 -1 -0 -0 -9 -0xa63f -0x338c0c3d -256 -256 -0 -0 -0 -5 -0x4122 -0x9e2020c4 -256 -256 -0 -0 -0 -7 -0xa7c2 -0x52a8ff79 -256 -256 -0 -0 -0 -9 -0x538d -0x6acff8b7 -256 -256 -1 -0 -0 -3 -0x6610 -0xaefd8c35 -256 -256 -1 -0 -0 -1 -0xa9f8 -0x427f71fe -256 -256 -1 -0 -0 -3 -0xa040 -0xdea31a3e -256 -256 -1 -0 -0 -8 -0x311f -0xb11efc6e -256 -256 -1 -0 -0 -3 -0xfaa7 -0x73ec9577 -256 -256 -0 -0 -0 -6 -0x430d -0x4fd7d57d -256 -256 -1 -0 -0 -6 -0x69d9 -0x4c335f52 -256 -256 -1 -0 -0 -1 -0xda29 -0x51a06f -256 -256 -1 -0 -0 -1 -0xe85a -0x33f3be73 -256 -256 -1 -0 -0 -10 -0xed30 -0x197f4871 -256 -256 -0 -0 -0 -4 -0x990e -0x5e6a5e11 -256 -256 -1 -0 -0 -4 -0x80b -0x7a937f2d -256 -256 -0 -0 -0 -2 -0x8ddf -0xb5b5b835 -256 -256 -0 -0 -0 -10 -0xb92e -0x602b5763 -256 -256 -1 -0 -0 -3 -0x9956 -0x82746335 -256 -256 -1 -0 -0 -10 -0x9c8f -0xe5489acc -256 -256 -1 -0 -0 -5 -0x4dfb -0x4494da3e -256 -256 -1 -0 -0 -5 -0x88ed -0x73fd53c9 -256 -256 -0 -0 -0 -6 -0x81af -0xa9811264 -256 -256 -1 -0 -0 -8 -0x7406 -0xe6be445 -256 -256 -1 -0 -0 -8 -0xa430 -0xd74546f -256 -256 -0 -0 -0 -9 -0x794d -0x55a9b3f1 -256 -256 -1 -0 -0 -8 -0xaddd -0xddba38b2 -256 -256 -0 -0 -0 -8 -0x2ab9 -0x625197e3 -256 -256 -1 -0 -0 -6 -0x20a2 -0x9b2d4549 -256 -256 -0 -0 -0 -8 -0xd14 -0xa08e22d7 -256 -256 -1 -0 -0 -1 -0x78c0 -0x3011cc2a -256 -256 -1 -0 -0 -7 -0xd768 -0x94bd2774 -256 -256 -1 -0 -0 -9 -0xf044 -0x22b40ad0 -256 -256 -1 -0 -0 -6 -0xa7a5 -0xfe37f576 -256 -256 -0 -0 -0 -8 -0x4d75 -0x37fd12c -256 -256 -1 -0 -0 -2 -0xa372 -0xa2a08ff7 -256 -256 -0 -0 -0 -2 -0x259d -0xef49edf4 -256 -256 -1 -0 -0 -2 -0x4d56 -0x4e041b7d -256 -256 -0 -0 -0 -6 -0xdfad -0x8b9c07ed -256 -256 -1 -0 -0 -3 -0xc0d3 -0xcd70eb54 -256 -256 -0 -0 -0 -2 -0x2438 -0x7fb43de2 -256 -256 -0 -0 -0 -2 -0xb0a3 -0x904877c8 -256 -256 -1 -0 -0 -1 -0x9c88 -0xfd36685e -256 -256 -1 -0 -0 -5 -0x723b -0x1f7de7b1 -256 -256 -0 -0 -0 -6 -0x4716 -0x94465962 -256 -256 -0 -0 -0 -8 -0x470f -0x4721c98e -256 -256 -1 -0 -0 -7 -0xe0e6 -0x8790ad45 -256 -256 -1 -0 -0 -9 -0x6c5a -0x43e3ac46 -256 -256 -0 -0 -0 -7 -0xb05f -0x9791f928 -256 -256 -1 -0 -0 -8 -0xcfc3 -0x46603aa -256 -256 -1 -0 -0 -1 -0x5db -0x89148927 -256 -256 -0 -0 -0 -7 -0xec6a -0x680d72a1 -256 -256 -0 -0 -0 -6 -0x4c3a -0x579a0d89 -256 -256 -0 -0 -0 -1 -0x87d6 -0x83a08dfc -256 -256 -0 -0 -0 -8 -0x49c5 -0x190a5b2b -256 -256 -1 -0 -0 -1 -0xadde -0xb48cf0df -256 -256 -1 -0 -0 -5 -0xd8ba -0x922d7823 -256 -256 -0 -0 -0 -7 -0x727b -0xa527f320 -256 -256 -1 -0 -0 -3 -0xa6e3 -0x2810a5aa -256 -256 -0 -0 -0 -7 -0xcc66 -0x61ea4db4 -256 -256 -0 -0 -0 -3 -0x9d3c -0x5678b111 -256 -256 -1 -0 -0 -7 -0xf97f -0x9377801f -256 -256 -0 -0 -0 -4 -0x7f48 -0xb7620d40 -256 -256 -1 -0 -0 -7 -0x8b8d -0xf8d45da0 -256 -256 -0 -0 -0 -8 -0x863a -0x3a667c67 -256 -256 -0 -0 -0 -1 -0x8de3 -0x3c38f942 -256 -256 -1 -0 -0 -5 -0xe2a0 -0xf9bfd669 -256 -256 -0 -0 -0 -7 -0x1dd5 -0x36e34567 -256 -256 -0 -0 -0 -10 -0xf518 -0xc6796009 -256 -256 -0 -0 -0 -6 -0x6f9b -0x926f24ae -256 -256 -0 -0 -0 -2 -0x16e1 -0xe3d5123b -256 -256 -0 -0 -0 -9 -0x77e -0xc619c774 -256 -256 -1 -0 -0 -5 -0x8753 -0x63fdd0f8 -256 -256 -0 -0 -0 -4 -0xd860 -0x1138ed7 -256 -256 -1 -0 -0 -6 -0x74c -0xc2faa564 -256 -256 -0 -0 -0 -2 -0xf7d6 -0x7d37cc2b -256 -256 -1 -0 -0 -2 -0x8c59 -0xab1ff986 -256 -256 -1 -0 -0 -5 -0x4d6c -0x8b7c5140 -256 -256 -0 -0 -0 -10 -0x54b5 -0xedae531f -256 -256 -0 -0 -0 -7 -0xd99b -0xc00ff909 -256 -256 -0 -0 -0 -6 -0x7163 -0x73d14016 -256 -256 -1 -0 -0 -4 -0x9a8e -0xd3dde382 -256 -256 -1 -0 -0 -6 -0xe0f8 -0x1b28e5a8 -256 -256 -0 -0 -0 -7 -0x767a -0xbf7aed96 -256 -256 -0 -0 -0 -9 -0x9df4 -0x4022ac59 -256 -256 -0 -0 -0 -3 -0x2740 -0x3127eaa9 -256 -256 -1 -0 -0 -10 -0xcc9e -0xb799ce2f -256 -256 -0 -0 -0 -9 -0x7cc9 -0x920ac980 -256 -256 -1 -0 -0 -8 -0xf6f8 -0xba085798 -256 -256 -0 -0 -0 -9 -0xccfd -0xe8fd6418 -256 -256 -0 -0 -0 -3 -0xb32f -0x9e80b627 -256 -256 -1 -0 -0 -9 -0x1c59 -0x51d2952e -256 -256 -1 -0 -0 -1 -0x78b9 -0x7a673d90 -256 -256 -0 -0 -0 -5 -0x8f8c -0x8d45c9a3 -256 -256 -1 -0 -0 -6 -0xc5d3 -0x3bf51ee0 -256 -256 -0 -0 -0 -2 -0x9378 -0xf74afa0e -256 -256 -1 -0 -0 -6 -0xaf11 -0x1ca8ae6e -256 -256 -1 -0 -0 -7 -0xbe0d -0x7d8b5cc6 -256 -256 -0 -0 -0 -1 -0x474e -0xb38075fe -256 -256 -1 -0 -0 -9 -0x199 -0x5ee5c7f9 -256 -256 -1 -0 -0 -2 -0xabe -0xc3bed7ff -256 -256 -1 -0 -0 -2 -0xee3a -0x424316e5 -256 -256 -1 -0 -0 -4 -0x393f -0x8e835051 -256 -256 -0 -0 -0 -2 -0x9948 -0x9ad42c51 -256 -256 -1 -0 -0 -9 -0x4861 -0x505b61e1 -256 -256 -1 -0 -0 -2 -0xc797 -0xfbc5987c -256 -256 -0 -0 -0 -1 -0xb843 -0x96ac2b1 -256 -256 -0 -0 -0 -10 -0xcf63 -0x2f27703b -256 -256 -1 -0 -0 -1 -0xcedb -0x282271b1 -256 -256 -0 -0 -0 -5 -0xb533 -0x7c6cd4ba -256 -256 -1 -0 -0 -6 -0x8fdb -0xa3c2625f -256 -256 -1 -0 -0 -8 -0x3553 -0x4610a728 -256 -256 -1 -0 -0 -3 -0xc65a -0xd2c7a1ff -256 -256 -1 -0 -0 -9 -0xd48c -0x4d7e5d70 -256 -256 -1 -0 -0 -8 -0x3969 -0xb6251ee0 -256 -256 -1 -0 -0 -9 -0xcf7c -0xcd47540a -256 -256 -1 -0 -0 -9 -0x70 -0xb1e1478e -256 -256 -1 -0 -0 -8 -0x409 -0x7598c76c -256 -256 -0 -0 -0 -5 -0xc9bc -0xeb83c1c9 -256 -256 -1 -0 -0 -3 -0xd282 -0x9dff7820 -256 -256 -1 -0 -0 -3 -0x2f10 -0x1960e02d -256 -256 -1 -0 -0 -9 -0x1d4c -0x6186689c -256 -256 -0 -0 -0 -7 -0xe4dc -0x8b92f1eb -256 -256 -0 -0 -0 -9 -0x2c3e -0xe796d908 -256 -256 -0 -0 -0 -2 -0x7027 -0xa33e2bde -256 -256 -1 -0 -0 -7 -0xa493 -0x4d75cf42 -256 -256 -1 -0 -0 -4 -0xcea1 -0x245a0561 -256 -256 -1 -0 -0 -10 -0xb0d5 -0x63b1876e -256 -256 -1 -0 -0 -5 -0x32de -0xe163fe47 -256 -256 -1 -0 -0 -5 -0xcbff -0x9259ef2a -256 -256 -1 -0 -0 -6 -0x1ac0 -0x56a30b4b -256 -256 -0 -0 -0 -1 -0x1339 -0x5132828a -256 -256 -0 -0 -0 -7 -0xc46c -0xa3cefc41 -256 -256 -1 -0 -0 -6 -0xa5f9 -0xc3efb8a -256 -256 -0 -0 -0 -5 -0xc43f -0x2fb2268d -256 -256 -0 -0 -0 -3 -0xe326 -0xce9712bd -256 -256 -1 -0 -0 -10 -0xe882 -0x281d2dea -256 -256 -1 -0 -0 -9 -0xe27e -0xaa27599c -256 -256 -0 -0 -0 -7 -0x4671 -0xa7f0f894 -256 -256 -1 -0 -0 -2 -0x5dc1 -0xaf2ff663 -256 -256 -1 -0 -0 -6 -0x89ef -0x2d87c2cf -256 -256 -0 -0 -0 -5 -0xa106 -0xf5f38d1b -256 -256 -0 -0 -0 -1 -0x46ff -0x6c308251 -256 -256 -0 -0 -0 -3 -0xf799 -0xd17f6695 -256 -256 -0 -0 -0 -6 -0x5209 -0x4e7192d8 -256 -256 -0 -0 -0 -2 -0x3d7e -0xb2e637fb -256 -256 -1 -0 -0 -2 -0x6b4d -0x5f4e7684 -256 -256 -0 -0 -0 -1 -0xbc6b -0x7e7bdaac -256 -256 -0 -0 -0 -2 -0x4661 -0x6a54bc61 -256 -256 -1 -0 -0 -6 -0x726e -0xd154c78c -256 -256 -1 -0 -0 -7 -0xc151 -0xe560974f -256 -256 -1 -0 -0 -6 -0x2a1f -0x8dc88214 -256 -256 -1 -0 -0 -4 -0xba94 -0x50335e4e -256 -256 -0 -0 -0 -1 -0x3adc -0x32d1b752 -256 -256 -0 -0 -0 -5 -0x9343 -0xb7937265 -256 -256 -0 -0 -0 -9 -0x4e3a -0x9ef3c3c5 -256 -256 -0 -0 -0 -10 -0x2998 -0xbc3869a -256 -256 -1 -0 -0 -9 -0xbb3a -0x6681b290 -256 -256 -0 -0 -0 -1 -0xc656 -0x60c8f6fa -256 -256 -0 -0 -0 -9 -0x339c -0xd9270cc3 -256 -256 -0 -0 -0 -5 -0xca93 -0x1889a63 -256 -256 -1 -0 -0 -5 -0x79e9 -0xfa3e00e9 -256 -256 -0 -0 -0 -3 -0x5a5f -0x27960d08 -256 -256 -0 -0 -0 -10 -0x5641 -0xb09e43f8 -256 -256 -1 -0 -0 -2 -0x828d -0xf5a5ae5a -256 -256 -1 -0 -0 -3 -0x1940 -0x9de49af6 -256 -256 -0 -0 -0 -4 -0xbab -0x1a322f19 -256 -256 -1 -0 -0 -5 -0x6219 -0xdd9abe47 -256 -256 -0 -0 -0 -6 -0x4774 -0xb08366c7 -256 -256 -1 -0 -0 -9 -0xbd79 -0xcaaca468 -256 -256 -1 -0 -0 -10 -0xff48 -0x7bc7e140 -256 -256 -1 -0 -0 -1 -0x6c30 -0x1952e289 -256 -256 -0 -0 -0 -2 -0xfdc3 -0x49bb9434 -256 -256 -1 -0 -0 -3 -0xc693 -0x95bd33e4 -256 -256 -1 -0 -0 -9 -0x78cc -0x5a87b19b -256 -256 -0 -0 -0 -5 -0x5494 -0x20e56ff6 -256 -256 -1 -0 -0 -2 -0x112d -0x21341e8f -256 -256 -0 -0 -0 -9 -0xb5da -0x2a691847 -256 -256 -0 -0 -0 -2 -0xde8c -0x64349520 -256 -256 -0 -0 -0 -8 -0x52a8 -0xee83befc -256 -256 -0 -0 -0 -2 -0xf525 -0x85dc7b7f -256 -256 -0 -0 -0 -8 -0x9a4c -0x2010737a -256 -256 -0 -0 -0 -5 -0xc87c -0x787660ec -256 -256 -0 -0 -0 -5 -0xbb30 -0x97a1e358 -256 -256 -0 -0 -0 -2 -0xdf6d -0x8bb6e23c -256 -256 -1 -0 -0 -3 -0xf3bf -0x84c5ec58 -256 -256 -1 -0 -0 -2 -0x56d3 -0x11c7a2ed -256 -256 -0 -0 -0 -2 -0xfd98 -0xa8b89bec -256 -256 -0 -0 -0 -8 -0x6ca -0x6bd1c5be -256 -256 -1 -0 -0 -8 -0xcaf5 -0xd596177 -256 -256 -1 -0 -0 -1 -0xc514 -0x7d6c0d1a -256 -256 -1 -0 -0 -10 -0x81b6 -0xe2307332 -256 -256 -1 -0 -0 -4 -0x159f -0x857de3cb -256 -256 -0 -0 -0 -8 -0x5920 -0x9efe915f -256 -256 -0 -0 -0 -10 -0xbb00 -0x4ace50aa -256 -256 -0 -0 -0 -3 -0x31ec -0x7a7c8d54 -256 -256 -1 -0 -0 -2 -0xb39a -0x341fcc12 -256 -256 -0 -0 -0 -10 -0x61cd -0x6dcf10bf -256 -256 -1 -0 -0 -4 -0xc133 -0xf26462d0 -256 -256 -1 -0 -0 -6 -0x35a4 -0x417b0a4f -256 -256 -1 -0 -0 -7 -0xe177 -0xea68332f -256 -256 -1 -0 -0 -8 -0x1b13 -0x4879d423 -256 -256 -0 -0 -0 -5 -0x3095 -0x7cd1cae0 -256 -256 -0 -0 -0 -2 -0x197e -0x700e0ee0 -256 -256 -0 -0 -0 -4 -0x7e49 -0x8f3b0003 -256 -256 -1 -0 -0 -2 -0x964a -0x7ff90631 -256 -256 -0 -0 -0 -7 -0x13db -0xbbfdece2 -256 -256 -0 -0 -0 -6 -0xe5d -0x12f0789 -256 -256 -0 -0 -0 -2 -0xbaf3 -0x3c940376 -256 -256 -1 -0 -0 -5 -0xa5b1 -0x4312230 -256 -256 -1 -0 -0 -3 -0x180a -0x2d8cfd46 -256 -256 -1 -0 -0 -9 -0xc14c -0xb476a6bc -256 -256 -1 -0 -0 -6 -0x6974 -0x66e12f4e -256 -256 -0 -0 -0 -4 -0x3d3b -0x36c1ceb -256 -256 -1 -0 -0 -5 -0x5314 -0x258d2922 -256 -256 -0 -0 -0 -1 -0xe18c -0xfbaa9ea1 -256 -256 -1 -0 -0 -6 -0xc78d -0x82ff19da -256 -256 -1 -0 -0 -3 -0xbcb8 -0x8058bbf7 -256 -256 -1 -0 -0 -3 -0x70b2 -0x9cd859da -256 -256 -0 -0 -0 -7 -0x29b2 -0xb88a5e81 -256 -256 -0 -0 -0 -2 -0x74ea -0x71452547 -256 -256 -0 -0 -0 -5 -0xc4ff -0x2f96f53c -256 -256 -1 -0 -0 -5 -0x1bd5 -0x2aaecc9f -256 -256 -0 -0 -0 -6 -0x8ab8 -0x671245e7 -256 -256 -1 -0 -0 -9 -0x17e7 -0xdc4bc797 -256 -256 -1 -0 -0 -8 -0xb864 -0x191422a2 -256 -256 -0 -0 -0 -6 -0xcb20 -0xcd0e6a0e -256 -256 -0 -0 -0 -8 -0x786e -0x829aa797 -256 -256 -1 -0 -0 -2 -0x45a9 -0x562ac60d -256 -256 -0 -0 -0 -8 -0x2efc -0xfb06e084 -256 -256 -1 -0 -0 -5 -0x7287 -0xc4af034 -256 -256 -1 -0 -0 -5 -0x98e0 -0x269cf9f6 -256 -256 -1 -0 -0 -10 -0xe86e -0x95121e6 -256 -256 -0 -0 -0 -5 -0xcd1e -0x6214be13 -256 -256 -0 -0 -0 -1 -0x5d30 -0x1bc38f88 -256 -256 -0 -0 -0 -3 -0x476f -0x65386831 -256 -256 -0 -0 -0 -9 -0x5ff7 -0xce232bda -256 -256 -1 -0 -0 -2 -0xb017 -0x151641e2 -256 -256 -1 -0 -0 -7 -0x9e8e -0xdedb2bb0 -256 -256 -1 -0 -0 -10 -0xf34b -0x98a5d80e -256 -256 -1 -0 -0 -3 -0x12fb -0xf89afc5f -256 -256 -1 -0 -0 -7 -0xeb05 -0x9b419461 -256 -256 -1 -0 -0 -9 -0x6c8c -0x6f5df137 -256 -256 -0 -0 -0 -5 -0xbbb1 -0x1ccd5d87 -256 -256 -1 -0 -0 -4 -0xc212 -0x4e81ed22 -256 -256 -1 -0 -0 -2 -0x6f29 -0xcbaa375c -256 -256 -1 -0 -0 -9 -0x7682 -0xf606d9da -256 -256 -1 -0 -0 -2 -0x7a10 -0xf914855a -256 -256 -1 -0 -0 -1 -0x9690 -0x5a640b6e -256 -256 -0 -0 -0 -5 -0x99fa -0xf21f1850 -256 -256 -0 -0 -0 -3 -0x841e -0xa741e7c9 -256 -256 -0 -0 -0 -6 -0xa6df -0xe195cac8 -256 -256 -1 -0 -0 -9 -0x3d61 -0x1c726de4 -256 -256 -0 -0 -0 -2 -0x81bc -0x5f93acab -256 -256 -1 -0 -0 -5 -0xbcdb -0xd1140821 -256 -256 -1 -0 -0 -1 -0xe38 -0xee868f28 -256 -256 -0 -0 -0 -2 -0xbbb -0x674aae3b -256 -256 -0 -0 -0 -5 -0xb179 -0x162f9d53 -256 -256 -0 -0 -0 -1 -0x3104 -0x9134e1a0 -256 -256 -0 -0 -0 -2 -0x1a1e -0xe886bd6d -256 -256 -1 -0 -0 -7 -0x4d76 -0xe649ef05 -256 -256 -1 -0 -0 -4 -0x50e8 -0xccba3dd4 -256 -256 -0 -0 -0 -9 -0x9d -0x767c7830 -256 -256 -0 -0 -0 -6 -0x6f41 -0xa08dacfa -256 -256 -0 -0 -0 -8 -0xb40 -0x8df5a84c -256 -256 -0 -0 -0 -7 -0x381d -0x77562d03 -256 -256 -1 -0 -0 -9 -0xb20a -0x62e29105 -256 -256 -0 -0 -0 -2 -0x2018 -0xc04ad441 -256 -256 -1 -0 -0 -7 -0x420d -0x64406b4f -256 -256 -0 -0 -0 -2 -0xa067 -0xcf91ccaf -256 -256 -0 -0 -0 -10 -0xeac7 -0x11ddcedb -256 -256 -0 -0 -0 -9 -0xd594 -0xb6fae86d -256 -256 -0 -0 -0 -10 -0xa71a -0xa8090ea8 -256 -256 -0 -0 -0 -9 -0x1a02 -0x4bc537f7 -256 -256 -1 -0 -0 -7 -0xfd9f -0x47e73123 -256 -256 -1 -0 -0 -2 -0xaec7 -0xbc39f649 -256 -256 -1 -0 -0 -9 -0x7e9c -0xc070b6e9 -256 -256 -0 -0 -0 -2 -0x9733 -0x2f069eb9 -256 -256 -1 -0 -0 -6 -0xf8b9 -0x639a24a3 -256 -256 -0 -0 -0 -9 -0x9324 -0x9d444139 -256 -256 -0 -0 -0 -2 -0x631c -0xcce12b83 -256 -256 -0 -0 -0 -2 -0xc5b2 -0xd35accb2 -256 -256 -1 -0 -0 -7 -0xf5a8 -0xe86d1e08 -256 -256 -1 -0 -0 -5 -0x8e96 -0xb03f337f -256 -256 -1 -0 -0 -4 -0x778c -0x748b8c55 -256 -256 -1 -0 -0 -3 -0x77b7 -0x1292b06b -256 -256 -1 -0 -0 -8 -0x53de -0xb86c9887 -256 -256 -0 -0 -0 -1 -0xca36 -0x77ff11a6 -256 -256 -1 -0 -0 -10 -0x970b -0xf7cad6d -256 -256 -0 -0 -0 -7 -0x5b65 -0xc47aa34c -256 -256 -1 -0 -0 -5 -0x1ae1 -0x4af701b2 -256 -256 -0 -0 -0 -7 -0x4f1c -0xb4e9990b -256 -256 -1 -0 -0 -2 -0x5a4e -0x40dcfc46 -256 -256 -1 -0 -0 -1 -0x83e9 -0xe29329b7 -256 -256 -1 -0 -0 -7 -0x89f4 -0x1a5e97c3 -256 -256 -1 -0 -0 -10 -0x2730 -0xae61d51d -256 -256 -1 -0 -0 -9 -0x6d3a -0x944ed270 -256 -256 -0 -0 -0 -4 -0x9c98 -0xb43e15a8 -256 -256 -0 -0 -0 -1 -0xef99 -0xd95f85b -256 -256 -0 -0 -0 -2 -0xe077 -0x14d38971 -256 -256 -0 -0 -0 -4 -0xbd52 -0x1f76fa1c -256 -256 -0 -0 -0 -5 -0xceca -0xd9502547 -256 -256 -1 -0 -0 -4 -0x22f7 -0xd89c8da2 -256 -256 -1 -0 -0 -10 -0x93b2 -0x9d099feb -256 -256 -1 -0 -0 -5 -0xb072 -0x41c393b7 -256 -256 -1 -0 -0 -5 -0x4571 -0x31ae38be -256 -256 -0 -0 -0 -1 -0x7ac2 -0x3c42f555 -256 -256 -1 -0 -0 -4 -0x6a25 -0x58ee7a10 -256 -256 -0 -0 -0 -7 -0xa3b5 -0x238cedfe -256 -256 -1 -0 -0 -2 -0x2cc0 -0x6f0b2956 -256 -256 -1 -0 -0 -9 -0x3022 -0x1f58a6c3 -256 -256 -0 -0 -0 -5 -0x44ec -0x682ef9a8 -256 -256 -0 -0 -0 -2 -0x87f -0xf12a92b8 -256 -256 -1 -0 -0 -3 -0xe8c8 -0xadebfafa -256 -256 -1 -0 -0 -3 -0xdbda -0x9360ad5d -256 -256 -1 -0 -0 -8 -0xcb9a -0x17fefefe -256 -256 -1 -0 -0 -8 -0xd00f -0xc5d1725a -256 -256 -0 -0 -0 -7 -0xd20e -0xe958dd02 -256 -256 -1 -0 -0 -7 -0x314d -0xc4cd4b5e -256 -256 -1 -0 -0 -2 -0x65dd -0xa601ef18 -256 -256 -0 -0 -0 -3 -0xa617 -0x4241953a -256 -256 -0 -0 -0 -7 -0x549a -0xea267f61 -256 -256 -1 -0 -0 -8 -0x77d6 -0xc2786909 -256 -256 -1 -0 -0 -5 -0x2c95 -0x54e934ee -256 -256 -0 -0 -0 -8 -0xa76d -0x932fde44 -256 -256 -1 -0 -0 -7 -0x1937 -0xdd39f10a -256 -256 -0 -0 -0 -7 -0x601 -0x2b896e46 -256 -256 -1 -0 -0 -5 -0xeee8 -0x187e8696 -256 -256 -0 -0 -0 -3 -0xa0ac -0x19888bf6 -256 -256 -0 -0 -0 -5 -0x192c -0xa6706321 -256 -256 -0 -0 -0 -4 -0xbf18 -0x4c4eab95 -256 -256 -0 -0 -0 -5 -0x5345 -0xbd650ba7 -256 -256 -1 -0 -0 -2 -0x63e0 -0xf4bb4dce -256 -256 -0 -0 -0 -10 -0xa40 -0x5bfc2211 -256 -256 -0 -0 -0 -9 -0x8852 -0xfa2a4385 -256 -256 -0 -0 -0 -3 -0x4ae9 -0x28deca37 -256 -256 -1 -0 -0 -5 -0x76ae -0x4fc58795 -256 -256 -0 -0 -0 -5 -0xb8d5 -0xc9429e6a -256 -256 -0 -0 -0 -6 -0x1b5a -0x8f07b0e0 -256 -256 -1 -0 -0 -7 -0x9bca -0xcdec813a -256 -256 -1 -0 -0 -8 -0x8b66 -0xc89c5e06 -256 -256 -0 -0 -0 -10 -0xe06b -0xd5903457 -256 -256 -1 -0 -0 -8 -0xc768 -0x4f095a1e -256 -256 -1 -0 -0 -1 -0xcd89 -0x203a88da -256 -256 -0 -0 -0 -9 -0xe68f -0x1abb0c1d -256 -256 -0 -0 -0 -4 -0xcba2 -0x4d254d1d -256 -256 -0 -0 -0 -1 -0xb82b -0x459e0b28 -256 -256 -0 -0 -0 -2 -0x8764 -0x57b05e02 -256 -256 -0 -0 -0 -2 -0xfe65 -0x2664a743 -256 -256 -1 -0 -0 -3 -0xd107 -0x11d7dd0 -256 -256 -0 -0 -0 -7 -0x9a2 -0x87388973 -256 -256 -0 -0 -0 -4 -0x42a6 -0xd740bd57 -256 -256 -0 -0 -0 -9 -0xde8a -0x299994c8 -256 -256 -1 -0 -0 -9 -0x4f5c -0xb241e8bb -256 -256 -0 -0 -0 -10 -0x3d07 -0x95d522cd -256 -256 -0 -0 -0 -8 -0x467 -0x69105b2 -256 -256 -1 -0 -0 -6 -0x5db5 -0x98fb841f -256 -256 -1 -0 -0 -2 -0xbd72 -0xc1cdb46d -256 -256 -0 -0 -0 -9 -0x5e3c -0x4a4012e2 -256 -256 -0 -0 -0 -4 -0xb166 -0x5fda01f7 -256 -256 -0 -0 -0 -1 -0x3e7e -0x4bffe88b -256 -256 -0 -0 -0 -1 -0x5702 -0x4ac78483 -256 -256 -0 -0 -0 -1 -0xcad5 -0xc43aea16 -256 -256 -0 -0 -0 -3 -0x2702 -0xccad287e -256 -256 -1 -0 -0 -9 -0x948d -0x810a62c1 -256 -256 -1 -0 -0 -10 -0x1fc0 -0xf64352f8 -256 -256 -0 -0 -0 -5 -0x6726 -0xb7c9ddc6 -256 -256 -0 -0 -0 -3 -0x9a67 -0x58eeda27 -256 -256 -1 -0 -0 -10 -0xdf40 -0x9cdda9d1 -256 -256 -1 -0 -0 -9 -0xf1da -0xca46526c -256 -256 -1 -0 -0 -7 -0xee36 -0x1ba8b4a9 -256 -256 -1 -0 -0 -3 -0xe9ea -0x3ea8ead -256 -256 -1 -0 -0 -2 -0x3fca -0xb784fbad -256 -256 -1 -0 -0 -3 -0x146c -0xa4b5dcb3 -256 -256 -1 -0 -0 -8 -0xcf2a -0x13548eae -256 -256 -1 -0 -0 -2 -0xe6b4 -0x842dc4f3 -256 -256 -1 -0 -0 -6 -0x8462 -0xce35f212 -256 -256 -1 -0 -0 -10 -0x271a -0xd2559ab2 -256 -256 -1 -0 -0 -4 -0x98ad -0x9a1d201c -256 -256 -1 -0 -0 -2 -0x7558 -0xac091146 -256 -256 -1 -0 -0 -5 -0x6521 -0xb565449a -256 -256 -1 -0 -0 -9 -0x2174 -0x828e9b78 -256 -256 -0 -0 -0 -6 -0x9069 -0xad6740da -256 -256 -0 -0 -0 -10 -0xffa7 -0xe5e2164d -256 -256 -1 -0 -0 -2 -0xc16e -0x683df114 -256 -256 -0 -0 -0 -8 -0x38fa -0x69ff9f6f -256 -256 -1 -0 -0 -5 -0xe8e3 -0x9c191454 -256 -256 -0 -0 -0 -8 -0x91a -0xded1e96c -256 -256 -1 -0 -0 -1 -0x4193 -0x2284bb5c -256 -256 -0 -0 -0 -5 -0x5f0e -0x53bdec5c -256 -256 -0 -0 -0 -9 -0xcdb -0xccf0b553 -256 -256 -1 -0 -0 -5 -0xf794 -0xdf86eaa2 -256 -256 -0 -0 -0 -4 -0xc1b5 -0x70a2f0f -256 -256 -0 -0 -0 -7 -0x2035 -0xbe96bf09 -256 -256 -0 -0 -0 -8 -0xa157 -0xa35d9810 -256 -256 -1 -0 -0 -7 -0x3432 -0x1cd0bb54 -256 -256 -0 -0 -0 -5 -0xb9bb -0x6be14d9a -256 -256 -1 -0 -0 -6 -0x51ed -0xaefe2e64 -256 -256 -0 -0 -0 -2 -0x61fb -0x41de5ba5 -256 -256 -1 -0 -0 -10 -0xf2e2 -0x2a1e20f5 -256 -256 -1 -0 -0 -4 -0x7458 -0x3e7c3133 -256 -256 -0 -0 -0 -10 -0x1bcd -0xf84069d7 -256 -256 -0 -0 -0 -6 -0x7d02 -0x79410b42 -256 -256 -1 -0 -0 -2 -0x6d18 -0x87fded9a -256 -256 -0 -0 -0 -2 -0xd82e -0xa657823e -256 -256 -0 -0 -0 -9 -0x1b7e -0xdbf28939 -256 -256 -0 -0 -0 -2 -0x61b2 -0xa5efd858 -256 -256 -1 -0 -0 -2 -0x5bd6 -0xb3dab9a6 -256 -256 -1 -0 -0 -4 -0xd9fd -0x572a5ad0 -256 -256 -0 -0 -0 -5 -0x8ce5 -0x785b6c92 -256 -256 -0 -0 -0 -1 -0x9794 -0x7aa493d5 -256 -256 -0 -0 -0 -7 -0xe6bb -0xc008bf5c -256 -256 -0 -0 -0 -1 -0x278b -0xed6dac8d -256 -256 -1 -0 -0 -4 -0x7ba1 -0x8bff9ac6 -256 -256 -0 -0 -0 -2 -0x19dd -0x82ca8f17 -256 -256 -0 -0 -0 -4 -0x2ad6 -0x2e601da3 -256 -256 -1 -0 -0 -1 -0x2c72 -0x9a1d270d -256 -256 -0 -0 -0 -1 -0xc6c7 -0xd9fcc59b -256 -256 -1 -0 -0 -6 -0xfebd -0x109636c5 -256 -256 -0 -0 -0 -1 -0xdcce -0xfe251af9 -256 -256 -1 -0 -0 -6 -0x50ca -0x3f215acb -256 -256 -0 -0 -0 -9 -0x57d1 -0xd46aed67 -256 -256 -0 -0 -0 -3 -0xb702 -0xf71c9686 -256 -256 -0 -0 -0 -7 -0x225 -0x3af94944 -256 -256 -1 -0 -0 -3 -0xa262 -0xe7369c28 -256 -256 -0 -0 -0 -1 -0xd360 -0xddad804 -256 -256 -0 -0 -0 -8 -0x7cce -0x43d57d17 -256 -256 -1 -0 -0 -10 -0xa485 -0x98191f1f -256 -256 -1 -0 -0 -1 -0x3e4a -0xf38a7427 -256 -256 -1 -0 -0 -3 -0x6021 -0x92d5bdfd -256 -256 -1 -0 -0 -1 -0xc318 -0xf2a02d33 -256 -256 -1 -0 -0 -5 -0xab44 -0xe5e7c15b -256 -256 -0 -0 -0 -4 -0x3894 -0xafc083c2 -256 -256 -0 -0 -0 -3 -0x8c12 -0x4b4bf2d4 -256 -256 -0 -0 -0 -9 -0x3ac4 -0x45c98450 -256 -256 -1 -0 -0 -4 -0x171a -0x1a7e9a25 -256 -256 -0 -0 -0 -6 -0x9da6 -0x46227d9a -256 -256 -1 -0 -0 -3 -0xf96b -0x749323fa -256 -256 -0 -0 -0 -7 -0xf414 -0xf2445f6a -256 -256 -1 -0 -0 -4 -0xcb15 -0x47640ad1 -256 -256 -0 -0 -0 -6 -0xa72c -0x8e339584 -256 -256 -0 -0 -0 -8 -0x3dfd -0xf31e0b9d -256 -256 -0 -0 -0 -8 -0x1228 -0x8fc97d6 -256 -256 -0 -0 -0 -9 -0x941e -0x4193f67f -256 -256 -0 -0 -0 -6 -0x35c -0x5d41b79f -256 -256 -0 -0 -0 -3 -0xa82a -0x9d882a24 -256 -256 -1 -0 -0 -10 -0x209b -0x15988f8b -256 -256 -0 -0 -0 -10 -0xe785 -0x48e189d7 -256 -256 -0 -0 -0 -8 -0xf26a -0x4594d270 -256 -256 -1 -0 -0 -8 -0xe6ee -0x124579d0 -256 -256 -0 -0 -0 -8 -0x4ebf -0xa833fdfe -256 -256 -1 -0 -0 -10 -0xd3d2 -0xfefee481 -256 -256 -1 -0 -0 -7 -0x1e6e -0xeace5df2 -256 -256 -0 -0 -0 -3 -0x2d9 -0xba9390f2 -256 -256 -1 -0 -0 -3 -0x2461 -0x3020a005 -256 -256 -0 -0 -0 -6 -0x3aed -0xf74bfb7 -256 -256 -1 -0 -0 -5 -0x899c -0x115bfa7b -256 -256 -0 -0 -0 -8 -0xa1f6 -0x9b10bc25 -256 -256 -1 -0 -0 -4 -0xab15 -0x9741ddd3 -256 -256 -0 -0 -0 -6 -0x658d -0x51a7febd -256 -256 -1 -0 -0 -6 -0xabbf -0x8a729702 -256 -256 -0 -0 -0 -2 -0x52f8 -0x294d81dc -256 -256 -0 -0 -0 -6 -0xab38 -0xd4ec0f63 -256 -256 -1 -0 -0 -6 -0xa9d1 -0xaa91cc5f -256 -256 -1 -0 -0 -6 -0x795 -0xb558c76c -256 -256 -0 -0 -0 -7 -0x8f4 -0x4be2ed29 -256 -256 -1 -0 -0 -10 -0x730e -0x4481f6c6 -256 -256 -1 -0 -0 -8 -0x7aec -0xf4113f4b -256 -256 -0 -0 -0 -9 -0x697e -0x8debbfc6 -256 -256 -1 -0 -0 -3 -0x240d -0x946bceed -256 -256 -1 -0 -0 -10 -0xc62 -0xb26557af -256 -256 -0 -0 -0 -10 -0xdab8 -0x166c8c7d -256 -256 -1 -0 -0 -5 -0xad89 -0x2cfb34e7 -256 -256 -1 -0 -0 -1 -0x35ac -0xf13234f0 -256 -256 -1 -0 -0 -2 -0xc43f -0x67edbd5a -256 -256 -0 -0 -0 -10 -0xa932 -0xd9a47398 -256 -256 -1 -0 -0 -6 -0x78ef -0x6803ac78 -256 -256 -1 -0 -0 -1 -0xb9df -0xbe08158f -256 -256 -0 -0 -0 -2 -0x6c49 -0xef1dfeb -256 -256 -1 -0 -0 -10 -0xb9ec -0x7627c67d -256 -256 -1 -0 -0 -3 -0x2cb7 -0xc0e2780 -256 -256 -0 -0 -0 -1 -0x557 -0x59cdf45c -256 -256 -0 -0 -0 -7 -0xd5c4 -0x289b7997 -256 -256 -1 -0 -0 -6 -0x6c3a -0xed2b512a -256 -256 -1 -0 -0 -8 -0x30d5 -0xd9e73c90 -256 -256 -1 -0 -0 -1 -0xcc12 -0x9a1060a3 -256 -256 -1 -0 -0 -3 -0xc89 -0xf7f870d -256 -256 -1 -0 -0 -1 -0xaeef -0xd646bb2 -256 -256 -0 -0 -0 -8 -0xdefc -0x9dc97936 -256 -256 -1 -0 -0 -9 -0x47f3 -0x2defc7a1 -256 -256 -1 -0 -0 -1 -0x48d8 -0xdbcf5a9 -256 -256 -0 -0 -0 -5 -0xbecd -0x3a7f7eee -256 -256 -1 -0 -0 -7 -0x284b -0xc68336ec -256 -256 -0 -0 -0 -3 -0x67aa -0x8cd941e -256 -256 -1 -0 -0 -1 -0xa08c -0x66b07ce9 -256 -256 -0 -0 -0 -8 -0x81f0 -0xaa10ed20 -256 -256 -1 -0 -0 -5 -0xdae5 -0x69a78943 -256 -256 -0 -0 -0 -10 -0x1492 -0xc91401f3 -256 -256 -1 -0 -0 -3 -0xaa07 -0xa9d64f3 -256 -256 -1 -0 -0 -9 -0xc9ad -0x49756c34 -256 -256 -0 -0 -0 -9 -0xb3d8 -0x469a4e1b -256 -256 -1 -0 -0 -8 -0x6f80 -0x31f9f123 -256 -256 -1 -0 -0 -10 -0x9934 -0xfb8ade43 -256 -256 -0 -0 -0 -8 -0xab0d -0x5684ff80 -256 -256 -1 -0 -0 -2 -0x6a5 -0xf177af64 -256 -256 -0 -0 -0 -3 -0x2c9b -0x42a7b43e -256 -256 -1 -0 -0 -7 -0xafc2 -0xf985a2fe -256 -256 -1 -0 -0 -3 -0x3859 -0x33f6f24d -256 -256 -1 -0 -0 -6 -0x4cfa -0xd603dc12 -256 -256 -1 -0 -0 -9 -0x1799 -0x2463215d -256 -256 -0 -0 -0 -4 -0xb2f -0x9001e66f -256 -256 -0 -0 -0 -1 -0x8704 -0xec95578a -256 -256 -1 -0 -0 -2 -0x91c7 -0xbd9bd320 -256 -256 -1 -0 -0 -9 -0xed5d -0x9b82f673 -256 -256 -0 -0 -0 -7 -0xa487 -0xdb4b6df7 -256 -256 -1 -0 -0 -10 -0x610f -0xc796f4f7 -256 -256 -0 -0 -0 -5 -0xc284 -0x901e4477 -256 -256 -1 -0 -0 -6 -0x27a8 -0x63770f5b -256 -256 -0 -0 -0 -10 -0x7066 -0x53121782 -256 -256 -0 -0 -0 -6 -0x2b07 -0x260167b5 -256 -256 -0 -0 -0 -3 -0xa987 -0xbfef79db -256 -256 -1 -0 -0 -6 -0x4f59 -0xb44ea4e2 -256 -256 -0 -0 -0 -7 -0x7804 -0x6add83d -256 -256 -0 -0 -0 -6 -0x2b7 -0x87317dc3 -256 -256 -1 -0 -0 -1 -0xa92a -0x6f8f9e55 -256 -256 -1 -0 -0 -2 -0x9293 -0xcfe3773b -256 -256 -0 -0 -0 -10 -0x93b3 -0x7e352955 -256 -256 -0 -0 -0 -8 -0xe9f9 -0xb2be9b4 -256 -256 -0 -0 -0 -7 -0x9c48 -0xb9d785f5 -256 -256 -1 -0 -0 -7 -0x5a73 -0x1e57b543 -256 -256 -1 -0 -0 -3 -0x5814 -0x819575a -256 -256 -0 -0 -0 -6 -0x7590 -0xc3cb4004 -256 -256 -0 -0 -0 -5 -0x9e9 -0x127b9737 -256 -256 -0 -0 -0 -9 -0x18c5 -0x9c96fe92 -256 -256 -1 -0 -0 -7 -0x30c6 -0x43089909 -256 -256 -0 -0 -0 -7 -0x4610 -0x267f103a -256 -256 -1 -0 -0 -8 -0x8651 -0x8b7c13a3 -256 -256 -1 -0 -0 -7 -0xb8ea -0xf2628e29 -256 -256 -0 -0 -0 -9 -0xba74 -0x30a2b5ad -256 -256 -0 -0 -0 -3 -0xa1f8 -0xcc568df -256 -256 -0 -0 -0 -8 -0xd71f -0xf01faa6e -256 -256 -0 -0 -0 -7 -0x948b -0x7d6ea316 -256 -256 -1 -0 -0 -8 -0x2318 -0xe87aa541 -256 -256 -0 -0 -0 -3 -0xce0b -0x4f99586b -256 -256 -0 -0 -0 -1 -0x60a5 -0xf7e8a2a2 -256 -256 -1 -0 -0 -5 -0x367a -0x4b9b8452 -256 -256 -1 -0 -0 -9 -0x1193 -0x2a22b65f -256 -256 -1 -0 -0 -6 -0x5d8c -0xb57f225f -256 -256 -1 -0 -0 -7 -0x9920 -0xdaa0d4ee -256 -256 -0 -0 -0 -3 -0xcfcd -0x6adee80a -256 -256 -0 -0 -0 -8 -0xfb3f -0x41a35fdc -256 -256 -0 -0 -0 -8 -0x5cdb -0x5be1458f -256 -256 -0 -0 -0 -5 -0xc7b0 -0x8decbd28 -256 -256 -0 -0 -0 -1 -0x8171 -0x753850c -256 -256 -0 -0 -0 -2 -0xee35 -0xcc4f17d4 -256 -256 -1 -0 -0 -9 -0x7e2a -0xbb9974d4 -256 -256 -1 -0 -0 -1 -0x7f2d -0x94dc18f5 -256 -256 -0 -0 -0 -4 -0xf3d7 -0xd409a38b -256 -256 -1 -0 -0 -8 -0xc7e8 -0xf625faa9 -256 -256 -0 -0 -0 -5 -0x820d -0x1f652b9 -256 -256 -0 -0 -0 -1 -0x2691 -0x8ecf0007 -256 -256 -0 -0 -0 -7 -0x15e5 -0xe530cf3c -256 -256 -1 -0 -0 -4 -0x3a9f -0x8172c597 -256 -256 -0 -0 -0 -5 -0xce21 -0xfa1b650f -256 -256 -1 -0 -0 -3 -0xaad5 -0xeb6cbb03 -256 -256 -1 -0 -0 -10 -0x33f0 -0x506171a -256 -256 -1 -0 -0 -7 -0x55d4 -0x73d01cb9 -256 -256 -1 -0 -0 -6 -0xe31c -0xc4b146eb -256 -256 -0 -0 -0 -7 -0x8efb -0x403de282 -256 -256 -0 -0 -0 -1 -0x37ea -0xa9271513 -256 -256 -1 -0 -0 -8 -0xdee1 -0x3e2630da -256 -256 -1 -0 -0 -5 -0x5ec2 -0x590aa8b6 -256 -256 -0 -0 -0 -1 -0xfa59 -0xb5ca938f -256 -256 -0 -0 -0 -9 -0xdd81 -0xdc2f0402 -256 -256 -1 -0 -0 -7 -0xa397 -0x2926dc1b -256 -256 -1 -0 -0 -2 -0x2ada -0x95114c6b -256 -256 -0 -0 -0 -7 -0x17a3 -0x922601cf -256 -256 -0 -0 -0 -3 -0xce8e -0x2622a549 -256 -256 -0 -0 -0 -9 -0xc70b -0xe4e7b013 -256 -256 -0 -0 -0 -2 -0xcbfc -0x9f531372 -256 -256 -1 -0 -0 -8 -0xc6bf -0x470e9365 -256 -256 -0 -0 -0 -4 -0xdf29 -0xccf58a0e -256 -256 -1 -0 -0 -8 -0x3417 -0x8cefdcc4 -256 -256 -1 -0 -0 -6 -0xed88 -0x2490a6bd -256 -256 -1 -0 -0 -7 -0xc1ba -0x5617028 -256 -256 -1 -0 -0 -10 -0xea86 -0x211c9ea2 -256 -256 -1 -0 -0 -3 -0xc019 -0x264009ca -256 -256 -0 -0 -0 -4 -0xaff7 -0x5d8e9497 -256 -256 -1 -0 -0 -5 -0xc95c -0x849c713e -256 -256 -1 -0 -0 -6 -0xabec -0x70b8fd9f -256 -256 -1 -0 -0 -8 -0xc68a -0x9194cb35 -256 -256 -0 -0 -0 -1 -0x254c -0xb3218c94 -256 -256 -1 -0 -0 -2 -0xb880 -0x97006b33 -256 -256 -1 -0 -0 -5 -0xccf5 -0x88d806a5 -256 -256 -0 -0 -0 -7 -0xd443 -0x61736871 -256 -256 -0 -0 -0 -2 -0xb8ae -0xc2ad7b05 -256 -256 -1 -0 -0 -2 -0x76f9 -0x6fa04394 -256 -256 -1 -0 -0 -6 -0x736a -0x9972359b -256 -256 -0 -0 -0 -5 -0x96f -0x7054d400 -256 -256 -1 -0 -0 -8 -0x825f -0x7a21842 -256 -256 -0 -0 -0 -9 -0xfe6f -0xeb064725 -256 -256 -1 -0 -0 -1 -0x2ba3 -0x410e41af -256 -256 -1 -0 -0 -6 -0xe087 -0x1de0144a -256 -256 -1 -0 -0 -7 -0x4ee5 -0xca5965cb -256 -256 -1 -0 -0 -7 -0x1b7e -0x37f7a27e -256 -256 -0 -0 -0 -4 -0xcf64 -0x322a31a6 -256 -256 -0 -0 -0 -7 -0xf272 -0x92ec2e15 -256 -256 -0 -0 -0 -6 -0xb92 -0x86239db4 -256 -256 -0 -0 -0 -1 -0xd509 -0xe2d506d -256 -256 -1 -0 -0 -4 -0xbb97 -0xf373c422 -256 -256 -0 -0 -0 -2 -0x7a6e -0x67ce0a75 -256 -256 -1 -0 -0 -2 -0xe7ef -0xfe3b27ef -256 -256 -1 -0 -0 -2 -0xa848 -0x7d5c672f -256 -256 -1 -0 -0 -10 -0x1fba -0x44bf33ca -256 -256 -0 -0 -0 -9 -0x931 -0xffc72837 -256 -256 -1 -0 -0 -8 -0x5399 -0xa753ba89 -256 -256 -1 -0 -0 -7 -0x7216 -0xd88dad6d -256 -256 -0 -0 -0 -1 -0xed70 -0xb9c557f0 -256 -256 -0 -0 -0 -10 -0xdfb0 -0xf5469e9c -256 -256 -1 -0 -0 -9 -0x4165 -0x6ab3498 -256 -256 -0 -0 -0 -8 -0xc182 -0xb0c0973b -256 -256 -0 -0 -0 -7 -0xbeed -0xfe5d9c2d -256 -256 -1 -0 -0 -1 -0x2b9 -0x964bb5ee -256 -256 -1 -0 -0 -10 -0x205f -0xa6010391 -256 -256 -0 -0 -0 -1 -0xdd38 -0xab0ba9ff -256 -256 -0 -0 -0 -3 -0xc51e -0x2bc33c78 -256 -256 -0 -0 -0 -4 -0x8485 -0x17a3b656 -256 -256 -1 -0 -0 -1 -0xa99b -0x32dd7aba -256 -256 -0 -0 -0 -7 -0x666 -0x77d477e2 -256 -256 -1 -0 -0 -6 -0x2818 -0x794bdab2 -256 -256 -1 -0 -0 -2 -0xbcb7 -0xd7cd2d46 -256 -256 -1 -0 -0 -8 -0x3595 -0xd1c00772 -256 -256 -1 -0 -0 -4 -0x7410 -0xe1490b9b -256 -256 -0 -0 -0 -5 -0x2064 -0xbfec942e -256 -256 -1 -0 -0 -7 -0xe5a5 -0xfa7f691b -256 -256 -1 -0 -0 -6 -0xb609 -0x8dc0a4b5 -256 -256 -1 -0 -0 -9 -0xb562 -0x4d2ddeb -256 -256 -1 -0 -0 -2 -0xa735 -0x18a89269 -256 -256 -1 -0 -0 -2 -0xd91c -0xd1346ead -256 -256 -1 -0 -0 -8 -0x3673 -0x6e5a84d8 -256 -256 -0 -0 -0 -2 -0xb389 -0xe3504adb -256 -256 -1 -0 -0 -3 -0xb4b3 -0xc1492768 -256 -256 -1 -0 -0 -10 -0x9e17 -0xb980469f -256 -256 -0 -0 -0 -7 -0x6c39 -0xd58cdc39 -256 -256 -1 -0 -0 -2 -0x320c -0xba7cf479 -256 -256 -0 -0 -0 -9 -0x6dbd -0xd4e9355f -256 -256 -0 -0 -0 -5 -0xdd59 -0x2bacbde1 -256 -256 -1 -0 -0 -8 -0xccb6 -0xd40e9af9 -256 -256 -0 -0 -0 -3 -0xfdda -0xdf9e1764 -256 -256 -1 -0 -0 -5 -0x25be -0xd06e8908 -256 -256 -0 -0 -0 -2 -0x6878 -0x94928308 -256 -256 -0 -0 -0 -2 -0x5b54 -0x27a62af1 -256 -256 -1 -0 -0 -6 -0x44a -0x17da501e -256 -256 -0 -0 -0 -7 -0xbe9f -0x7903581 -256 -256 -1 -0 -0 -9 -0x6dfd -0x15b880df -256 -256 -1 -0 -0 -5 -0x2d1 -0xf3729e74 -256 -256 -1 -0 -0 -7 -0x6ab3 -0xd9363c19 -256 -256 -1 -0 -0 -8 -0x44b9 -0x56c0cb41 -256 -256 -1 -0 -0 -2 -0x9fd4 -0xefe8236a -256 -256 -1 -0 -0 -6 -0x8cb5 -0xec46892a -256 -256 -0 -0 -0 -3 -0x9021 -0x59c49083 -256 -256 -0 -0 -0 -6 -0x1376 -0x5970c06a -256 -256 -0 -0 -0 -6 -0x9586 -0xf6a737e2 -256 -256 -0 -0 -0 -7 -0x42a3 -0xca60f7d2 -256 -256 -1 -0 -0 -1 -0xd0ed -0x55375ef -256 -256 -0 -0 -0 -1 -0x37cc -0xbc063c0f -256 -256 -1 -0 -0 -6 -0x8998 -0x12ea4405 -256 -256 -0 -0 -0 -3 -0xd509 -0xf62eabce -256 -256 -1 -0 -0 -10 -0x7603 -0xa2d9c477 -256 -256 -0 -0 -0 -4 -0x1f7d -0x34a13cb5 -256 -256 -0 -0 -0 -6 -0x2e5e -0x7b658f7d -256 -256 -0 -0 -0 -9 -0x78df -0x756c0fa9 -256 -256 -0 -0 -0 -4 -0xa35 -0xe65c3b4d -256 -256 -0 -0 -0 -10 -0x6a9f -0x42644824 -256 -256 -0 -0 -0 -9 -0x8549 -0x13e1d9c -256 -256 -0 -0 -0 -9 -0xeafe -0xabcdde53 -256 -256 -0 -0 -0 -10 -0x823d -0x6d24ceef -256 -256 -1 -0 -0 -2 -0x8b2e -0xaefe8a51 -256 -256 -0 -0 -0 -2 -0x8a23 -0xd67887de -256 -256 -1 -0 -0 -4 -0xcb1f -0xadc55aff -256 -256 -1 -0 -0 -6 -0x54f8 -0x65a1fc41 -256 -256 -0 -0 -0 -7 -0x839f -0x795a36d1 -256 -256 -1 -0 -0 -7 -0x4c0 -0x38f09995 -256 -256 -1 -0 -0 -3 -0x9914 -0x844d4ffe -256 -256 -0 -0 -0 -3 -0x9fd7 -0x1d852fa9 -256 -256 -0 -0 -0 -1 -0x85f6 -0x6bf05677 -256 -256 -0 -0 -0 -9 -0xbf6 -0x39daf455 -256 -256 -0 -0 -0 -9 -0x5e23 -0x1674c14b -256 -256 -1 -0 -0 -6 -0x1cfb -0x931450b -256 -256 -1 -0 -0 -6 -0xcae6 -0x7641ea30 -256 -256 -1 -0 -0 -8 -0x45cb -0x94fc96c -256 -256 -1 -0 -0 -1 -0x25f4 -0x5bbca6e2 -256 -256 -0 -0 -0 -4 -0x3677 -0xea6e8d91 -256 -256 -1 -0 -0 -10 -0xb51a -0xac5f583 -256 -256 -1 -0 -0 -2 -0x14b4 -0xc0894790 -256 -256 -1 -0 -0 -6 -0xc9ef -0x269251d7 -256 -256 -0 -0 -0 -5 -0xbcb3 -0xeeb46b22 -256 -256 -0 -0 -0 -9 -0x1aa7 -0x588fa4c0 -256 -256 -1 -0 -0 -2 -0xf655 -0x6990e42f -256 -256 -0 -0 -0 -1 -0x881a -0xf2f8e1 -256 -256 -1 -0 -0 -10 -0xe06e -0x29e6aae8 -256 -256 -0 -0 -0 -4 -0xc2a7 -0x8e527aff -256 -256 -0 -0 -0 -10 -0x4b53 -0xb8e64c18 -256 -256 -0 -0 -0 -1 -0xbf43 -0xb93748a9 -256 -256 -1 -0 -0 -3 -0x2284 -0xda9bfddf -256 -256 -0 -0 -0 -4 -0x6d96 -0x2e6a9b7d -256 -256 -1 -0 -0 -1 -0xe308 -0xa7dca42e -256 -256 -0 -0 -0 -7 -0x1af4 -0x2460d067 -256 -256 -1 -0 -0 -1 -0x7493 -0x4357d809 -256 -256 -1 -0 -0 -8 -0xd6bc -0x7f221f04 -256 -256 -0 -0 -0 -4 -0xede1 -0x63d8fce -256 -256 -0 -0 -0 -7 -0xbb4e -0x3ffd0ed1 -256 -256 -0 -0 -0 -2 -0x24cb -0x4d02dc1c -256 -256 -1 -0 -0 -7 -0xaaa7 -0x202d5fe6 -256 -256 -0 -0 -0 -4 -0xfd73 -0x3a888c9c -256 -256 -1 -0 -0 -10 -0xe478 -0x1865c61b -256 -256 -0 -0 -0 -4 -0x958d -0xbd743497 -256 -256 -0 -0 -0 -2 -0x8d8e -0x21ed8906 -256 -256 -0 -0 -0 -7 -0xfa5d -0x65154b42 -256 -256 -0 -0 -0 -7 -0x2abe -0xf209d1d6 -256 -256 -1 -0 -0 -9 -0x4bd5 -0x50da8f3a -256 -256 -0 -0 -0 -6 -0x2be3 -0x6a44d04f -256 -256 -0 -0 -0 -5 -0x17e7 -0xa8af9e84 -256 -256 -1 -0 -0 -9 -0xd0f1 -0xda089edb -256 -256 -1 -0 -0 -9 -0x85ed -0x2f05cc6e -256 -256 -0 -0 -0 -4 -0x4ba7 -0x7c3d6c6a -256 -256 -1 -0 -0 -9 -0x222d -0x6aaab48d -256 -256 -1 -0 -0 -10 -0xe767 -0x8eceadbe -256 -256 -0 -0 -0 -7 -0x17af -0xea1e0ef8 -256 -256 -0 -0 -0 -2 -0x52de -0x1e0fd1b4 -256 -256 -0 -0 -0 -7 -0x8245 -0x85d70931 -256 -256 -1 -0 -0 -2 -0xd452 -0xc1369b93 -256 -256 -1 -0 -0 -10 -0x3c25 -0x3befc8d7 -256 -256 -1 -0 -0 -3 -0xe2ab -0xf4907b89 -256 -256 -0 -0 -0 -5 -0xc00 -0xe87fd526 -256 -256 -1 -0 -0 -4 -0x8304 -0xfbcb3944 -256 -256 -1 -0 -0 -4 -0xb1df -0x7648016e -256 -256 -1 -0 -0 -8 -0x6486 -0x65ee4265 -256 -256 -0 -0 -0 -1 -0xc073 -0xd8665c24 -256 -256 -0 -0 -0 -5 -0x57e0 -0x2fc9f9f1 -256 -256 -0 -0 -0 -1 -0xcf59 -0x53f88a99 -256 -256 -0 -0 -0 -9 -0x30c6 -0xdfe2e5b2 -256 -256 -1 -0 -0 -1 -0xf29a -0xe4b073f0 -256 -256 -1 -0 -0 -2 -0x7e -0xaa8d7dd4 -256 -256 -1 -0 -0 -2 -0x50eb -0x282d1b76 -256 -256 -0 -0 -0 -4 -0x1bbc -0xdfb3bf4a -256 -256 -0 -0 -0 -8 -0xbc7d -0x1916fcdc -256 -256 -0 -0 -0 -4 -0x4bf6 -0xaa16264a -256 -256 -0 -0 -0 -7 -0x8ad4 -0xabac29e6 -256 -256 -1 -0 -0 -3 -0x1d00 -0x38aa7646 -256 -256 -0 -0 -0 -8 -0x1e45 -0xb2fe1cb -256 -256 -0 -0 -0 -7 -0xd1c7 -0xe10ac67a -256 -256 -0 -0 -0 -7 -0x61a -0x3588c38b -256 -256 -0 -0 -0 -4 -0xc414 -0x718368b6 -256 -256 -1 -0 -0 -5 -0xcb6d -0xc02adea3 -256 -256 -0 -0 -0 -6 -0xa6ff -0x6f08d2df -256 -256 -1 -0 -0 -10 -0x806d -0x551a6e39 -256 -256 -1 -0 -0 -6 -0x6a9a -0xff92a7ee -256 -256 -0 -0 -0 -9 -0xc98 -0xb670f80c -256 -256 -0 -0 -0 -5 -0x4804 -0x5c70b4d7 -256 -256 -1 -0 -0 -5 -0x4ab2 -0x4f211b71 -256 -256 -1 -0 -0 -2 -0x9a0a -0xe91b93ec -256 -256 -1 -0 -0 -2 -0xd965 -0xb75b0f2e -256 -256 -0 -0 -0 -6 -0xd1f8 -0xf82bebde -256 -256 -0 -0 -0 -4 -0x14f8 -0x5f5c45d -256 -256 -1 -0 -0 -3 -0xb99d -0xe337fcd -256 -256 -0 -0 -0 -10 -0x1829 -0xad2db3af -256 -256 -0 -0 -0 -2 -0x8408 -0xa297e233 -256 -256 -0 -0 -0 -6 -0x1516 -0xef2b5559 -256 -256 -0 -0 -0 -1 -0xef3b -0x4a9a737f -256 -256 -1 -0 -0 -5 -0x4335 -0xb57de2da -256 -256 -1 -0 -0 -9 -0xc394 -0x42e0012b -256 -256 -0 -0 -0 -4 -0x7993 -0xd386df28 -256 -256 -0 -0 -0 -5 -0x8e62 -0x12d980cc -256 -256 -1 -0 -0 -1 -0x379c -0x3dc26eae -256 -256 -0 -0 -0 -8 -0xee2e -0xaae356b0 -256 -256 -1 -0 -0 -3 -0x2af7 -0xaa8aba9a -256 -256 -1 -0 -0 -8 -0xe099 -0xcb49df63 -256 -256 -1 -0 -0 -2 -0x6a3a -0xf6acc57 -256 -256 -1 -0 -0 -4 -0x162b -0x12425e43 -256 -256 -0 -0 -0 -4 -0x4f32 -0xe015f9b8 -256 -256 -1 -0 -0 -5 -0xe3c0 -0xdc28e55d -256 -256 -0 -0 -0 -6 -0xb282 -0x5030fb0a -256 -256 -0 -0 -0 -1 -0x4c01 -0xa7990e30 -256 -256 -0 -0 -0 -8 -0xabc8 -0x7dc826ed -256 -256 -1 -0 -0 -1 -0xb8a8 -0x75cd1eeb -256 -256 -1 -0 -0 -9 -0xea17 -0xb98ee656 -256 -256 -0 -0 -0 -4 -0xb449 -0x5230080f -256 -256 -0 -0 -0 -4 -0xdf6d -0x19308f8f -256 -256 -1 -0 -0 -3 -0xe13c -0x48d57b15 -256 -256 -0 -0 -0 -7 -0x2d16 -0x3e09f84c -256 -256 -1 -0 -0 -5 -0xc0dc -0xc01eee32 -256 -256 -1 -0 -0 -7 -0x9a1b -0xba2b69f2 -256 -256 -1 -0 -0 -7 -0x3908 -0xe0703a8f -256 -256 -1 -0 -0 -5 -0xfcdf -0x7ff425e9 -256 -256 -1 -0 -0 -10 -0xb80c -0xeea1940d -256 -256 -1 -0 -0 -4 -0xfe59 -0x644fd426 -256 -256 -1 -0 -0 -3 -0x11ef -0x38b2f5ea -256 -256 -1 -0 -0 -1 -0x31e9 -0x95fbcec6 -256 -256 -0 -0 -0 -1 -0x5d21 -0x53e0bdde -256 -256 -1 -0 -0 -6 -0xcc82 -0xdcb76bd4 -256 -256 -1 -0 -0 -9 -0x7a09 -0xcec9cc1d -256 -256 -0 -0 -0 -6 -0x15a -0xd591f922 -256 -256 -0 -0 -0 -10 -0x1ff3 -0x736439d8 -256 -256 -0 -0 -0 -1 -0xb95f -0x7eda8ba -256 -256 -0 -0 -0 -10 -0x147 -0x8309f2c2 -256 -256 -1 -0 -0 -4 -0x77f2 -0x908cc5ef -256 -256 -0 -0 -0 -3 -0xe235 -0x4327b58a -256 -256 -1 -0 -0 -10 -0xa6ec -0xbf6beaeb -256 -256 -1 -0 -0 -3 -0x553 -0x80fca4d8 -256 -256 -0 -0 -0 -2 -0x3aa3 -0x5c7fb264 -256 -256 -0 -0 -0 -2 -0x5711 -0x8389b7bd -256 -256 -0 -0 -0 -7 -0x6a09 -0xad4b8dbb -256 -256 -1 -0 -0 -7 -0xf2f8 -0x695040f8 -256 -256 -1 -0 -0 -8 -0xdeb5 -0x4d4b7675 -256 -256 -0 -0 -0 -8 -0x6bb5 -0x57482ca8 -256 -256 -0 -0 -0 -4 -0x8894 -0xa537cbb7 -256 -256 -1 -0 -0 -9 -0x1366 -0x61d24dfa -256 -256 -0 -0 -0 -5 -0x4f8d -0x7f3c4aa3 -256 -256 -1 -0 -0 -3 -0x28f5 -0x7a1e81f -256 -256 -1 -0 -0 -7 -0x14e5 -0xb28b2338 -256 -256 -0 -0 -0 -2 -0x8773 -0xcad3c3ed -256 -256 -1 -0 -0 -6 -0x1d88 -0xdd2c872a -256 -256 -1 -0 -0 -2 -0xe7f6 -0x91679e36 -256 -256 -1 -0 -0 -1 -0xa06f -0x888dd35d -256 -256 -1 -0 -0 -10 -0x8532 -0x8457bb39 -256 -256 -0 -0 -0 -6 -0x8c09 -0xe33bccf1 -256 -256 -0 -0 -0 -2 -0xbce2 -0xd5fb2c1c -256 -256 -0 -0 -0 -2 -0xeb80 -0xe8f22f4 -256 -256 -1 -0 -0 -2 -0xefe9 -0x429e1f9c -256 -256 -1 -0 -0 -9 -0xde6e -0x23f20f4d -256 -256 -0 -0 -0 -5 -0xc540 -0x73c1f7b9 -256 -256 -1 -0 -0 -10 -0xa499 -0x8a0be381 -256 -256 -0 -0 -0 -2 -0xcd11 -0xfe508591 -256 -256 -0 -0 -0 -1 -0x16a3 -0xd321d442 -256 -256 -1 -0 -0 -3 -0xdc97 -0x85b0a78e -256 -256 -0 -0 -0 -6 -0x8407 -0x19e19f75 -256 -256 -0 -0 -0 -9 -0x298f -0x51b9b85 -256 -256 -0 -0 -0 -2 -0x5103 -0x9e683e42 -256 -256 -1 -0 -0 -8 -0xe2a9 -0x8b5ba8ce -256 -256 -1 -0 -0 -7 -0x7068 -0xff04d0bf -256 -256 -1 -0 -0 -1 -0x3a98 -0xcf6b96a3 -256 -256 -0 -0 -0 -3 -0xe00 -0x8d1e6ff5 -256 -256 -0 -0 -0 -6 -0x6849 -0xa77ac0bc -256 -256 -1 -0 -0 -4 -0x2f0 -0xc05358cc -256 -256 -0 -0 -0 -4 -0x8967 -0x6d2ca6be -256 -256 -0 -0 -0 -3 -0xe739 -0x9c33ef69 -256 -256 -0 -0 -0 -3 -0x460b -0x4d89544f -256 -256 -0 -0 -0 -10 -0x118b -0x67615761 -256 -256 -0 -0 -0 -8 -0x5565 -0xc02968a9 -256 -256 -1 -0 -0 -7 -0xffcd -0xf2eaf27 -256 -256 -0 -0 -0 -4 -0xa63 -0xf2fb822d -256 -256 -1 -0 -0 -9 -0x5950 -0x2aaa39e9 -256 -256 -0 -0 -0 -7 -0xc3ec -0xcdd80b50 -256 -256 -1 -0 -0 -4 -0x1876 -0xf9156972 -256 -256 -0 -0 -0 -7 -0x3497 -0xce831d71 -256 -256 -1 -0 -0 -6 -0xfe8c -0xb3d8de50 -256 -256 -1 -0 -0 -9 -0x7d8d -0x6d905685 -256 -256 -0 -0 -0 -7 -0xbb57 -0x897ced2c -256 -256 -0 -0 -0 -5 -0xc4e9 -0xde7212e4 -256 -256 -1 -0 -0 -10 -0xbd26 -0x7bd6159f -256 -256 -0 -0 -0 -6 -0xd100 -0x922b3089 -256 -256 -0 -0 -0 -6 -0xc3a5 -0x3e200a23 -256 -256 -1 -0 -0 -2 -0x9658 -0x74ff5de3 -256 -256 -1 -0 -0 -7 -0x3385 -0x34bfb94c -256 -256 -1 -0 -0 -5 -0x4067 -0x2d95cce6 -256 -256 -1 -0 -0 -4 -0x7c6b -0xf83020d -256 -256 -1 -0 -0 -1 -0x79c1 -0xece07b17 -256 -256 -0 -0 -0 -8 -0xd76 -0x8fda6ef4 -256 -256 -1 -0 -0 -3 -0x21a7 -0x9bd1d890 -256 -256 -0 -0 -0 -10 -0xc546 -0x763a692a -256 -256 -0 -0 -0 -5 -0xe8f7 -0xaf66a73c -256 -256 -0 -0 -0 -2 -0xd734 -0x59ab27d4 -256 -256 -1 -0 -0 -3 -0x2177 -0xb1590a0d -256 -256 -1 -0 -0 -9 -0xb21f -0x5f703f4c -256 -256 -1 -0 -0 -4 -0x7d4d -0x35068c27 -256 -256 -0 -0 -0 -4 -0x578a -0x25e2f026 -256 -256 -1 -0 -0 -6 -0xf0e3 -0xb6619731 -256 -256 -1 -0 -0 -6 -0x3040 -0x322d493e -256 -256 -0 -0 -0 -1 -0x7f22 -0x2b836d4c -256 -256 -1 -0 -0 -4 -0x904b -0xa9c82d1d -256 -256 -1 -0 -0 -9 -0x43a3 -0xb9e189fd -256 -256 -0 -0 -0 -5 -0x7cd -0x9e02cbd7 -256 -256 -0 -0 -0 -8 -0xadb4 -0xd170b939 -256 -256 -1 -0 -0 -8 -0x4dd -0xa48f6468 -256 -256 -0 -0 -0 -1 -0x83a3 -0x57136388 -256 -256 -1 -0 -0 -1 -0xe8c5 -0x698094c -256 -256 -0 -0 -0 -4 -0x482a -0xb8f40821 -256 -256 -0 -0 -0 -8 -0x609 -0x21dd46e9 -256 -256 -0 -0 -0 -8 -0xd8eb -0x227f9a8d -256 -256 -0 -0 -0 -10 -0xe46f -0xadf6582 -256 -256 -1 -0 -0 -5 -0x90e2 -0x7a31186f -256 -256 -0 -0 -0 -7 -0x9321 -0x594bb59d -256 -256 -0 -0 -0 -1 -0xa35d -0xe35c9305 -256 -256 -0 -0 -0 -5 -0xede4 -0x8398eac5 -256 -256 -1 -0 -0 -3 -0x93cd -0xe72626e7 -256 -256 -0 -0 -0 -2 -0xecfc -0xbf680b9c -256 -256 -0 -0 -0 -1 -0xdcc8 -0xe311563e -256 -256 -1 -0 -0 -5 -0x1b7f -0xf96e1831 -256 -256 -0 -0 -0 -8 -0xbe7b -0xd6747db -256 -256 -1 -0 -0 -1 -0xf929 -0x9de5a7df -256 -256 -0 -0 -0 -9 -0x8bfc -0xa798f94a -256 -256 -0 -0 -0 -10 -0x4b72 -0x6c26f8fe -256 -256 -0 -0 -0 -3 -0xb76d -0x21bdcdc3 -256 -256 -0 -0 -0 -9 -0x156e -0x59cd0522 -256 -256 -0 -0 -0 -5 -0xf707 -0xb72dfe4a -256 -256 -0 -0 -0 -2 -0x3f4 -0x7502bfcb -256 -256 -0 -0 -0 -2 -0xfe03 -0x8beffcad -256 -256 -0 -0 -0 -1 -0xee36 -0x2b43a6ac -256 -256 -1 -0 -0 -4 -0xc13e -0x8bd3f377 -256 -256 -0 -0 -0 -9 -0x44c -0xb25ad386 -256 -256 -0 -0 -0 -2 -0xd05c -0xcd413b49 -256 -256 -0 -0 -0 -3 -0x67ba -0x15819618 -256 -256 -1 -0 -0 -8 -0x6987 -0xacce9eec -256 -256 -0 -0 -0 -6 -0x8a35 -0x4d634d39 -256 -256 -0 -0 -0 -6 -0xb0d -0x74272086 -256 -256 -0 -0 -0 -5 -0x270e -0xdd23772c -256 -256 -1 -0 -0 -9 -0x257e -0xf3a42653 -256 -256 -0 -0 -0 -7 -0x482c -0x5a4cb2a3 -256 -256 -1 -0 -0 -7 -0xe111 -0xa5a4d742 -256 -256 -1 -0 -0 -6 -0x7b10 -0x5c92d578 -256 -256 -0 -0 -0 -4 -0x6483 -0xe37125a9 -256 -256 -0 -0 -0 -4 -0xbbb2 -0xa5210bf0 -256 -256 -0 -0 -0 -3 -0x242e -0xdd22589 -256 -256 -0 -0 -0 -3 -0xdb1b -0xebb8b207 -256 -256 -1 -0 -0 -5 -0x2a0d -0x9986f742 -256 -256 -1 -0 -0 -6 -0xbd81 -0xb1b5b498 -256 -256 -0 -0 -0 -7 -0x5d -0x98732bf6 -256 -256 -0 -0 -0 -2 -0x9a66 -0x5b6f17ae -256 -256 -1 -0 -0 -9 -0x131e -0x29e1eb4d -256 -256 -0 -0 -0 -4 -0x4708 -0x1aea4298 -256 -256 -0 -0 -0 -4 -0x9811 -0x5c085ba7 -256 -256 -1 -0 -0 -10 -0xdc72 -0x806c5fdb -256 -256 -0 -0 -0 -1 -0xbaa9 -0x3e25b009 -256 -256 -0 -0 -0 -6 -0x8815 -0xc61f0ff8 -256 -256 -0 -0 -0 -6 -0x4d6b -0xb853b04d -256 -256 -1 -0 -0 -1 -0x4f4f -0x43da823f -256 -256 -1 -0 -0 -3 -0x196d -0xc62db355 -256 -256 -1 -0 -0 -1 -0xed77 -0x67f5dafe -256 -256 -0 -0 -0 -7 -0xe422 -0x5a94a474 -256 -256 -1 -0 -0 -3 -0xbb9 -0x6aa73d92 -256 -256 -1 -0 -0 -1 -0x2a4e -0x4ceaa241 -256 -256 -1 -0 -0 -6 -0x9491 -0xeba76074 -256 -256 -1 -0 -0 -3 -0x1e83 -0x790dd891 -256 -256 -1 -0 -0 -8 -0x1c60 -0x1b4d25ae -256 -256 -1 -0 -0 -10 -0x10a -0xfec9e333 -256 -256 -1 -0 -0 -8 -0xd940 -0xfbf0f708 -256 -256 -1 -0 -0 -4 -0x7db5 -0x85ab1364 -256 -256 -0 -0 -0 -8 -0xedc5 -0x5ad7741b -256 -256 -0 -0 -0 -7 -0x5f3c -0x72124431 -256 -256 -1 -0 -0 -8 -0x9c03 -0x73a04cf0 -256 -256 -1 -0 -0 -1 -0xb1f -0xa2b468f6 -256 -256 -1 -0 -0 -4 -0x1eac -0x7c720844 -256 -256 -1 -0 -0 -1 -0x8ea9 -0x4e5ae834 -256 -256 -1 -0 -0 -5 -0xcf8b -0x207c704b -256 -256 -0 -0 -0 -10 -0x5540 -0x8702c8d0 -256 -256 -1 -0 -0 -2 -0x4cda -0x695050fc -256 -256 -1 -0 -0 -3 -0xe6f8 -0x79a9ee4a -256 -256 -0 -0 -0 -10 -0xe87e -0xe7ba2644 -256 -256 -1 -0 -0 -5 -0x639c -0x88e20afa -256 -256 -0 -0 -0 -2 -0x3ebb -0xf010d787 -256 -256 -1 -0 -0 -7 -0xb4ee -0xf1f806ff -256 -256 -1 -0 -0 -1 -0xb329 -0x6912589 -256 -256 -0 -0 -0 -10 -0xb668 -0x2d95a74c -256 -256 -1 -0 -0 -9 -0x8b29 -0x113e978b -256 -256 -1 -0 -0 -8 -0x6724 -0x11228829 -256 -256 -1 -0 -0 -9 -0x53a -0xa704c4bb -256 -256 -0 -0 -0 -9 -0x2bc5 -0x9608972a -256 -256 -0 -0 -0 -3 -0xf5b6 -0xfb7ca9f7 -256 -256 -0 -0 -0 -3 -0x4f08 -0x7ab8ea34 -256 -256 -1 -0 -0 -9 -0xb8b -0x9f5cae96 -256 -256 -0 -0 -0 -8 -0x1f35 -0xf18156ea -256 -256 -0 -0 -0 -5 -0x5c5e -0x684420a0 -256 -256 -0 -0 -0 -8 -0x76c2 -0x7eae1b3c -256 -256 -0 -0 -0 -4 -0xf2a6 -0x558e8f16 -256 -256 -1 -0 -0 -2 -0xf980 -0x8901b5cf -256 -256 -0 -0 -0 -5 -0xbc8c -0x7d04a335 -256 -256 -0 -0 -0 -4 -0x25ca -0x596d54c5 -256 -256 -0 -0 -0 -3 -0x6c1a -0xc5c9c3bf -256 -256 -1 -0 -0 -4 -0x5595 -0xfbf99e43 -256 -256 -0 -0 -0 -5 -0x26c1 -0x9349315e -256 -256 -1 -0 -0 -3 -0xf7b3 -0x6c4d12c7 -256 -256 -0 -0 -0 -5 -0xbd31 -0x4abd0f62 -256 -256 -0 -0 -0 -6 -0x860d -0x7217cf58 -256 -256 -0 -0 -0 -3 -0xfbd7 -0xc6c0359 -256 -256 -1 -0 -0 -8 -0xf66 -0x66e9f6bb -256 -256 -1 -0 -0 -5 -0x1e62 -0x8f39be26 -256 -256 -1 -0 -0 -3 -0x1631 -0xd8cbea89 -256 -256 -1 -0 -0 -6 -0xc87d -0x53645645 -256 -256 -0 -0 -0 -6 -0xdfab -0x81b32d52 -256 -256 -0 -0 -0 -8 -0xdef6 -0x6e4a9a75 -256 -256 -1 -0 -0 -4 -0x6367 -0x9d53e849 -256 -256 -0 -0 -0 -2 -0xa236 -0x35707994 -256 -256 -0 -0 -0 -6 -0xf6b4 -0xba2aa253 -256 -256 -1 -0 -0 -9 -0xac3e -0xa2fc6f98 -256 -256 -0 -0 -0 -10 -0x5792 -0xa10ec64a -256 -256 -0 -0 -0 -2 -0xf582 -0xa9ebd921 -256 -256 -0 -0 -0 -6 -0xbf4 -0xcd9879fc -256 -256 -0 -0 -0 -9 -0xe7d3 -0x7b3c785c -256 -256 -1 -0 -0 -10 -0x1fed -0xb07f4d59 -256 -256 -0 -0 -0 -4 -0xee82 -0x27a9425 -256 -256 -1 -0 -0 -1 -0x7363 -0x8e446967 -256 -256 -0 -0 -0 -3 -0xa92d -0xb4f09ddf -256 -256 -1 -0 -0 -8 -0x75af -0xc8711e6 -256 -256 -1 -0 -0 -2 -0xf37 -0x9f5ac622 -256 -256 -1 -0 -0 -5 -0x22a4 -0xb8dd966f -256 -256 -1 -0 -0 -8 -0x9eeb -0x1a70e67c -256 -256 -1 -0 -0 -7 -0x9ce9 -0xcbc9b2e3 -256 -256 -1 -0 -0 -8 -0x6333 -0x90c741ea -256 -256 -0 -0 -0 -7 -0x603f -0x7ebc11b6 -256 -256 -1 -0 -0 -3 -0x663f -0xd2585d79 -256 -256 -1 -0 -0 -5 -0x310d -0xe2083575 -256 -256 -0 -0 -0 -2 -0xe6f0 -0xa269d604 -256 -256 -0 -0 -0 -8 -0xa341 -0x2dfbf706 -256 -256 -0 -0 -0 -8 -0xe338 -0x65ccb9f4 -256 -256 -0 -0 -0 -8 -0x8022 -0x67db4967 -256 -256 -0 -0 -0 -4 -0xf239 -0xc8bd2ec8 -256 -256 -1 -0 -0 -2 -0x94c4 -0xe5432227 -256 -256 -0 -0 -0 -1 -0xbfdb -0x3a8ff37d -256 -256 -1 -0 -0 -1 -0xb0b5 -0xfb776bee -256 -256 -1 -0 -0 -5 -0x517a -0x686964cb -256 -256 -1 -0 -0 -7 -0x3c1f -0xa80b7ce3 -256 -256 -1 -0 -0 -4 -0x1ac9 -0x20245aa9 -256 -256 -1 -0 -0 -2 -0xa15 -0xca5bc327 -256 -256 -0 -0 -0 -4 -0x9bfe -0x8c9c9635 -256 -256 -0 -0 -0 -7 -0x70b2 -0xdcc67d58 -256 -256 -1 -0 -0 -7 -0x6b00 -0x6317df8e -256 -256 -0 -0 -0 -2 -0xec9 -0x12051845 -256 -256 -0 -0 -0 -9 -0x1bf3 -0x458d0b11 -256 -256 -1 -0 -0 -1 -0x9296 -0x26975799 -256 -256 -0 -0 -0 -3 -0xd5f2 -0xee701904 -256 -256 -1 -0 -0 -7 -0xa5f2 -0x92a27590 -256 -256 -1 -0 -0 -9 -0x861f -0x3f93365e -256 -256 -1 -0 -0 -3 -0xc2ef -0x45a4fa6b -256 -256 -0 -0 -0 -4 -0x87d8 -0xa4683068 -256 -256 -1 -0 -0 -3 -0xfa57 -0x801970b9 -256 -256 -0 -0 -0 -4 -0x6d94 -0x6f4126d1 -256 -256 -0 -0 -0 -2 -0xcd5b -0xd98dd090 -256 -256 -1 -0 -0 -6 -0x1e05 -0x14e998e4 -256 -256 -1 -0 -0 -1 -0x492 -0x4a32360 -256 -256 -1 -0 -0 -4 -0x9743 -0x5f6e820a -256 -256 -1 -0 -0 -5 -0x12f7 -0xe0a68403 -256 -256 -1 -0 -0 -3 -0x88b2 -0xeef2b4dc -256 -256 -1 -0 -0 -4 -0xeadc -0x6228c6e2 -256 -256 -0 -0 -0 -1 -0xb418 -0xd4724902 -256 -256 -1 -0 -0 -10 -0xc6c6 -0x153563a3 -256 -256 -1 -0 -0 -5 -0x616a -0xd146699e -256 -256 -1 -0 -0 -8 -0x1ea4 -0xd56f78ff -256 -256 -1 -0 -0 -2 -0x52a0 -0x44eafc88 -256 -256 -0 -0 -0 -6 -0x4393 -0x96bc72d8 -256 -256 -0 -0 -0 -9 -0x7ce -0x6c41af3a -256 -256 -0 -0 -0 -2 -0xd0e0 -0xdf1d14b1 -256 -256 -0 -0 -0 -3 -0x88a8 -0xc88be024 -256 -256 -0 -0 -0 -9 -0xae3d -0xe503427 -256 -256 -0 -0 -0 -10 -0xc2f1 -0x9f8d393f -256 -256 -0 -0 -0 -6 -0x5384 -0xbe04a19e -256 -256 -1 -0 -0 -3 -0xd883 -0xe47893e -256 -256 -1 -0 -0 -1 -0x3e25 -0xd9ce5136 -256 -256 -0 -0 -0 -4 -0x486e -0x2fe5f406 -256 -256 -1 -0 -0 -10 -0x30f6 -0x2d086ad7 -256 -256 -0 -0 -0 -4 -0x1a94 -0x730dc8b6 -256 -256 -1 -0 -0 -5 -0x734 -0xa79a9dce -256 -256 -1 -0 -0 -7 -0xab14 -0x1ee57410 -256 -256 -1 -0 -0 -7 -0xcb85 -0xc27192af -256 -256 -0 -0 -0 -2 -0x35d2 -0xa511c7a5 -256 -256 -0 -0 -0 -3 -0xed2c -0x1070e626 -256 -256 -0 -0 -0 -1 -0x7438 -0x8c04a95e -256 -256 -0 -0 -0 -9 -0xa4fc -0x6be188 -256 -256 -1 -0 -0 -2 -0xbf2b -0xf484f6c9 -256 -256 -0 -0 -0 -6 -0xc8f4 -0xd6aa76f9 -256 -256 -0 -0 -0 -10 -0x967b -0x768bd9d7 -256 -256 -0 -0 -0 -5 -0x48db -0x4eaf750a -256 -256 -0 -0 -0 -3 -0x6744 -0xbefac1e -256 -256 -1 -0 -0 -7 -0x1e08 -0xfda813de -256 -256 -0 -0 -0 -7 -0x2060 -0xb137d6b9 -256 -256 -0 -0 -0 -2 -0x8ae2 -0xf2e12aaa -256 -256 -1 -0 -0 -2 -0x1911 -0xff5be198 -256 -256 -0 -0 -0 -7 -0xb7ca -0x8e8d8276 -256 -256 -1 -0 -0 -5 -0xedab -0x533877d4 -256 -256 -1 -0 -0 -9 -0xcf3d -0x72315d34 -256 -256 -0 -0 -0 -8 -0x94f5 -0xb826e5eb -256 -256 -1 -0 -0 -7 -0x747 -0xc4f11d28 -256 -256 -0 -0 -0 -8 -0x61c5 -0xc4095fe8 -256 -256 -1 -0 -0 -4 -0xbf4f -0x8fdaa5c9 -256 -256 -1 -0 -0 -2 -0xc21a -0x1d268394 -256 -256 -0 -0 -0 -7 -0xe0dd -0x7fd083b2 -256 -256 -1 -0 -0 -8 -0x2192 -0xacda7159 -256 -256 -0 -0 -0 -5 -0x1690 -0x7b088c3a -256 -256 -0 -0 -0 -1 -0x6cbe -0xa9fb89d -256 -256 -0 -0 -0 -5 -0x3c0 -0x481d2506 -256 -256 -0 -0 -0 -6 -0x7d6c -0xd6a9bed2 -256 -256 -1 -0 -0 -4 -0x6a26 -0xcec97f90 -256 -256 -1 -0 -0 -2 -0x1358 -0x557a1984 -256 -256 -1 -0 -0 -10 -0x358f -0xb7a80515 -256 -256 -1 -0 -0 -5 -0xec3b -0xc4db5504 -256 -256 -1 -0 -0 -6 -0x7ca0 -0x606fbcf5 -256 -256 -0 -0 -0 -1 -0x1731 -0x5189e58e -256 -256 -1 -0 -0 -7 -0xaaf5 -0x9aaea396 -256 -256 -1 -0 -0 -5 -0x9cfa -0x172a6934 -256 -256 -0 -0 -0 -6 -0x2645 -0xaadec00a -256 -256 -1 -0 -0 -8 -0x63ce -0x83adeeb1 -256 -256 -0 -0 -0 -3 -0xaf2c -0xbb0cb9d7 -256 -256 -1 -0 -0 -4 -0xa654 -0x194293dd -256 -256 -1 -0 -0 -5 -0x97c4 -0x810eb43b -256 -256 -0 -0 -0 -9 -0x6a66 -0x3a521ae5 -256 -256 -0 -0 -0 -9 -0xf026 -0x662ef2e9 -256 -256 -0 -0 -0 -7 -0x2b83 -0x877b524e -256 -256 -1 -0 -0 -10 -0x742f -0xacd65de2 -256 -256 -0 -0 -0 -1 -0x5c26 -0xebf61f72 -256 -256 -1 -0 -0 -4 -0x3e7e -0x4c7be8da -256 -256 -1 -0 -0 -7 -0xab72 -0xb0721a2f -256 -256 -0 -0 -0 -3 -0x8d14 -0x1d848b99 -256 -256 -1 -0 -0 -7 -0x13a2 -0xfd733e9d -256 -256 -1 -0 -0 -10 -0x3d2b -0x21a7deb7 -256 -256 -1 -0 -0 -5 -0xb419 -0xe1698aed -256 -256 -1 -0 -0 -10 -0xed6e -0xb5c805a9 -256 -256 -0 -0 -0 -9 -0xbb6d -0x56af9c46 -256 -256 -1 -0 -0 -7 -0xf68f -0xfafcd556 -256 -256 -0 -0 -0 -2 -0x9f20 -0x8006d7f5 -256 -256 -0 -0 -0 -4 -0x249b -0xce1c235b -256 -256 -0 -0 -0 -9 -0xba0b -0x1eb794c3 -256 -256 -1 -0 -0 -8 -0xca54 -0x33c4f7a0 -256 -256 -0 -0 -0 -4 -0xb73 -0x2c3e76c8 -256 -256 -1 -0 -0 -1 -0x6e3 -0xe27a942 -256 -256 -0 -0 -0 -7 -0xb056 -0x67e07b9d -256 -256 -1 -0 -0 -2 -0x358f -0x5c564a97 -256 -256 -1 -0 -0 -9 -0x5a92 -0xb0f37a8 -256 -256 -0 -0 -0 -9 -0x5939 -0x3e9b54fe -256 -256 -0 -0 -0 -10 -0xfca1 -0xdea09ec7 -256 -256 -0 -0 -0 -1 -0x7d24 -0x2f419a1 -256 -256 -0 -0 -0 -10 -0x6835 -0x29ec8f24 -256 -256 -1 -0 -0 -6 -0xdce4 -0xfd6a8f27 -256 -256 -0 -0 -0 -2 -0x6ec -0xaef3d131 -256 -256 -1 -0 -0 -4 -0xb7dc -0xb5f418cc -256 -256 -0 -0 -0 -1 -0xb67 -0x1c51c98a -256 -256 -1 -0 -0 -8 -0x1fca -0xa5381d13 -256 -256 -0 -0 -0 -2 -0x6fb8 -0x7404339 -256 -256 -0 -0 -0 -10 -0x13a2 -0x4718cefa -256 -256 -1 -0 -0 -9 -0xd76a -0x9db18fd4 -256 -256 -0 -0 -0 -4 -0xfeca -0x3ebba660 -256 -256 -0 -0 -0 -1 -0x508e -0x8af966a9 -256 -256 -1 -0 -0 -2 -0xb7c8 -0x643c51f8 -256 -256 -1 -0 -0 -1 -0xebdc -0xb12542d8 -256 -256 -1 -0 -0 -4 -0x786 -0x6e41c8c0 -256 -256 -1 -0 -0 -4 -0x4d74 -0x9ae55e57 -256 -256 -0 -0 -0 -7 -0xd677 -0xc444ba5b -256 -256 -1 -0 -0 -4 -0x5b4c -0x8c1080b8 -256 -256 -0 -0 -0 -10 -0x193f -0x780721a3 -256 -256 -1 -0 -0 -5 -0xd6f3 -0x6d30716b -256 -256 -0 -0 -0 -7 -0xc6dd -0x6b6595ab -256 -256 -1 -0 -0 -4 -0xee74 -0x6b9944 -256 -256 -0 -0 -0 -6 -0x739b -0x2e423fd3 -256 -256 -0 -0 -0 -4 -0x3ab1 -0xe23b1ea7 -256 -256 -1 -0 -0 -4 -0xf750 -0x5e0f2eba -256 -256 -1 -0 -0 -10 -0xf558 -0x1bb113e8 -256 -256 -0 -0 -0 -7 -0x143b -0xf5c521c1 -256 -256 -1 -0 -0 -9 -0xcd00 -0x221fdc95 -256 -256 -0 -0 -0 -4 -0xd20a -0x795882eb -256 -256 -0 -0 -0 -1 -0x69c0 -0x8d879c83 -256 -256 -0 -0 -0 -7 -0x81b -0x6753a8bb -256 -256 -1 -0 -0 -1 -0xb10b -0x3aedac5b -256 -256 -0 -0 -0 -4 -0x5fb1 -0x7b3268ee -256 -256 -0 -0 -0 -8 -0x515 -0x179d104e -256 -256 -0 -0 -0 -4 -0xaea3 -0xbcc5f758 -256 -256 -1 -0 -0 -1 -0x7994 -0xa354f57 -256 -256 -0 -0 -0 -10 -0x91dd -0xa501a78a -256 -256 -0 -0 -0 -10 -0xc31d -0x85e659a6 -256 -256 -0 -0 -0 -8 -0x3c78 -0x623c843f -256 -256 -1 -0 -0 -4 -0xd36e -0x80149c6f -256 -256 -1 -0 -0 -3 -0x789a -0x4cf3df38 -256 -256 -1 -0 -0 -4 -0x347c -0x5b081d49 -256 -256 -0 -0 -0 -8 -0xb5f1 -0x6dd872c4 -256 -256 -0 -0 -0 -5 -0xf1e1 -0x5c5cb405 -256 -256 -1 -0 -0 -10 -0xeb15 -0xb9aa8961 -256 -256 -0 -0 -0 -2 -0xde80 -0x73d178c8 -256 -256 -1 -0 -0 -4 -0xa469 -0xb99cef2e -256 -256 -0 -0 -0 -1 -0x2a90 -0xc102f47f -256 -256 -1 -0 -0 -6 -0x3775 -0x546b8e21 -256 -256 -0 -0 -0 -5 -0x7213 -0x47241149 -256 -256 -0 -0 -0 -8 -0xc569 -0x7f6bac9c -256 -256 -0 -0 -0 -8 -0x4f44 -0x4f840a46 -256 -256 -0 -0 -0 -7 -0xe4e0 -0xa427d29 -256 -256 -1 -0 -0 -6 -0xc49e -0x926050e7 -256 -256 -1 -0 -0 -8 -0x1705 -0x29d7092a -256 -256 -0 -0 -0 -4 -0x2b45 -0x1d25d04f -256 -256 -0 -0 -0 -3 -0x26c4 -0xbb284696 -256 -256 -1 -0 -0 -6 -0xe97c -0x638720bf -256 -256 -0 -0 -0 -3 -0x5e29 -0xd946a924 -256 -256 -0 -0 -0 -2 -0x3502 -0x542d5be5 -256 -256 -0 -0 -0 -6 -0xf95b -0x57f6234f -256 -256 -1 -0 -0 -2 -0xbfad -0xb7407c0 -256 -256 -1 -0 -0 -9 -0x82b5 -0x88e80c8d -256 -256 -0 -0 -0 -1 -0x51d3 -0x5145e3f7 -256 -256 -1 -0 -0 -3 -0xd32c -0x1894a68d -256 -256 -0 -0 -0 -6 -0x1691 -0x6a215ce2 -256 -256 -1 -0 -0 -9 -0xb466 -0x4b092fbd -256 -256 -0 -0 -0 -5 -0x6e2b -0xfb7d275b -256 -256 -1 -0 -0 -3 -0x1ad7 -0xccedf97e -256 -256 -1 -0 -0 -3 -0xe158 -0xc6bdc61 -256 -256 -0 -0 -0 -7 -0x6efa -0x20b797a0 -256 -256 -1 -0 -0 -9 -0x4459 -0xb31bd9f4 -256 -256 -1 -0 -0 -1 -0xf0b6 -0xe68668df -256 -256 -0 -0 -0 -8 -0xe612 -0xf8a8bcc3 -256 -256 -1 -0 -0 -4 -0x8a2a -0xd112c481 -256 -256 -1 -0 -0 -10 -0xf6e0 -0xa49c29d -256 -256 -0 -0 -0 -8 -0x64c5 -0xecf5403c -256 -256 -1 -0 -0 -4 -0xbbce -0xad9a5e61 -256 -256 -0 -0 -0 -3 -0xe843 -0x77030167 -256 -256 -0 -0 -0 -8 -0x5cf1 -0xfc224ed2 -256 -256 -1 -0 -0 -8 -0xf478 -0xdd59d74c -256 -256 -1 -0 -0 -10 -0xe20e -0xa726d2b6 -256 -256 -0 -0 -0 -7 -0x61e2 -0x1ef1856a -256 -256 -0 -0 -0 -9 -0x8a4 -0x42c753a9 -256 -256 -1 -0 -0 -9 -0xd69b -0x95a75c46 -256 -256 -0 -0 -0 -5 -0x3e30 -0x4e24a730 -256 -256 -1 -0 -0 -3 -0x84a6 -0xf6bea3c4 -256 -256 -1 -0 -0 -5 -0xaec1 -0x4112cfea -256 -256 -0 -0 -0 -2 -0x98be -0x57d454af -256 -256 -0 -0 -0 -4 -0xb1b3 -0xdc59e762 -256 -256 -0 -0 -0 -3 -0x3d7f -0x505a82ad -256 -256 -1 -0 -0 -9 -0xd28d -0xc46d3916 -256 -256 -0 -0 -0 -6 -0xdf30 -0x9ac30783 -256 -256 -0 -0 -0 -1 -0x3e77 -0xc61ff4fe -256 -256 -0 -0 -0 -3 -0xb893 -0x76f6d44b -256 -256 -1 -0 -0 -5 -0x8a5e -0x22a31619 -256 -256 -0 -0 -0 -10 -0x18de -0xeef17ffd -256 -256 -0 -0 -0 -9 -0xef7c -0x51fdba6c -256 -256 -0 -0 -0 -3 -0xa661 -0x74e99c48 -256 -256 -1 -0 -0 -7 -0xbeed -0xf6395eff -256 -256 -1 -0 -0 -7 -0xa4ec -0x9de5b778 -256 -256 -0 -0 -0 -2 -0xd1c0 -0xc488747a -256 -256 -1 -0 -0 -5 -0x4f1b -0x2e78c2fd -256 -256 -1 -0 -0 -2 -0xa51c -0xa01e3696 -256 -256 -1 -0 -0 -6 -0x2f3b -0x782b9ae3 -256 -256 -0 -0 -0 -6 -0x2276 -0x794fe63e -256 -256 -0 -0 -0 -6 -0xe5d0 -0x2f797c03 -256 -256 -1 -0 -0 -6 -0x7561 -0x69279cfe -256 -256 -1 -0 -0 -4 -0x5b7d -0x533c8f5b -256 -256 -1 -0 -0 -1 -0x89cf -0xcc34f2c -256 -256 -0 -0 -0 -5 -0x564e -0xafaf6bc1 -256 -256 -1 -0 -0 -10 -0xf7d6 -0xe7ddc1e0 -256 -256 -1 -0 -0 -6 -0x1a14 -0xb3979f44 -256 -256 -1 -0 -0 -1 -0x8efd -0x318ba0d4 -256 -256 -1 -0 -0 -3 -0x5f2a -0x6f31226f -256 -256 -0 -0 -0 -9 -0x5a3 -0x22092d75 -256 -256 -0 -0 -0 -9 -0x5756 -0xe0af1106 -256 -256 -0 -0 -0 -3 -0x134e -0x901a839a -256 -256 -0 -0 -0 -2 -0x4b5f -0x48f2ef35 -256 -256 -1 -0 -0 -4 -0x9378 -0x920b84db -256 -256 -1 -0 -0 -6 -0x50d7 -0x826f6495 -256 -256 -0 -0 -0 -6 -0x7d4 -0xb91fc121 -256 -256 -0 -0 -0 -6 -0x2106 -0xe292a29b -256 -256 -1 -0 -0 -2 -0xa490 -0x126277f1 -256 -256 -1 -0 -0 -10 -0x6d87 -0x8ef2f7d1 -256 -256 -0 -0 -0 -1 -0xe111 -0xa4f41200 -256 -256 -1 -0 -0 -3 -0xfb14 -0xde9d1a9f -256 -256 -0 -0 -0 -4 -0xedb1 -0x74bc5325 -256 -256 -0 -0 -0 -10 -0x3cbf -0xb8588999 -256 -256 -0 -0 -0 -8 -0x1260 -0x26da1354 -256 -256 -1 -0 -0 -2 -0x108b -0xb4a39036 -256 -256 -0 -0 -0 -5 -0xc624 -0x17734326 -256 -256 -1 -0 -0 -6 -0x5116 -0xc545a051 -256 -256 -0 -0 -0 -8 -0x93da -0x14ff071f -256 -256 -0 -0 -0 -1 -0x423d -0xe3f1b625 -256 -256 -0 -0 -0 -4 -0x6068 -0xfb90b7ea -256 -256 -1 -0 -0 -3 -0x4bba -0xf9b678f -256 -256 -1 -0 -0 -7 -0x40ed -0xf60e4709 -256 -256 -0 -0 -0 -1 -0x4aa9 -0x63c4fd98 -256 -256 -1 -0 -0 -5 -0xe96b -0x33e484b5 -256 -256 -1 -0 -0 -1 -0x4c7 -0x299b9953 -256 -256 -1 -0 -0 -1 -0xc883 -0xb305eed3 -256 -256 -1 -0 -0 -2 -0x3dd4 -0x1b2515a9 -256 -256 -1 -0 -0 -5 -0x3836 -0x46854b6d -256 -256 -1 -0 -0 -4 -0x795d -0xd0de0c1f -256 -256 -1 -0 -0 -3 -0xab99 -0x9e8b4ca7 -256 -256 -0 -0 -0 -8 -0xa1f1 -0x1d101a0d -256 -256 -1 -0 -0 -10 -0x96b3 -0x67e2eb10 -256 -256 -1 -0 -0 -5 -0xcec8 -0x331fd854 -256 -256 -0 -0 -0 -2 -0x5bdb -0x45d78f1f -256 -256 -1 -0 -0 -1 -0x31bd -0x73f0c711 -256 -256 -0 -0 -0 -3 -0x3b95 -0x554bc360 -256 -256 -1 -0 -0 -6 -0x3bde -0xc0c3e126 -256 -256 -1 -0 -0 -6 -0xd0cc -0x43604203 -256 -256 -0 -0 -0 -9 -0x94ac -0xeed1e839 -256 -256 -0 -0 -0 -2 -0x6768 -0xc15228a9 -256 -256 -0 -0 -0 -4 -0xbb69 -0x3e815a28 -256 -256 -0 -0 -0 -7 -0xd6f0 -0xd4b95eb0 -256 -256 -0 -0 -0 -2 -0x487f -0xb6a31c99 -256 -256 -0 -0 -0 -3 -0x65a9 -0xa1a21d68 -256 -256 -0 -0 -0 -9 -0xa6d0 -0xf5a0d43 -256 -256 -1 -0 -0 -8 -0xb001 -0x40524f3f -256 -256 -0 -0 -0 -3 -0x8c05 -0xdd0643f -256 -256 -0 -0 -0 -7 -0xec99 -0x7c7ce1b2 -256 -256 -0 -0 -0 -7 -0xfe6a -0xad5aed6b -256 -256 -1 -0 -0 -2 -0x8391 -0xfe4f8ef9 -256 -256 -1 -0 -0 -4 -0x80d2 -0x738934d0 -256 -256 -0 -0 -0 -7 -0x6d98 -0x568ef292 -256 -256 -0 -0 -0 -9 -0xfb9 -0xd42a821a -256 -256 -1 -0 -0 -8 -0xf0a2 -0xde7d618a -256 -256 -0 -0 -0 -4 -0xcb9 -0x87513e5c -256 -256 -0 -0 -0 -9 -0x9c8d -0xdb2ac6b7 -256 -256 -0 -0 -0 -4 -0x142a -0x4c55c2c8 -256 -256 -1 -0 -0 -9 -0x96ea -0x311e516e -256 -256 -0 -0 -0 -2 -0xdee6 -0x646ceedb -256 -256 -0 -0 -0 -1 -0x3ecf -0x5a60acc7 -256 -256 -1 -0 -0 -1 -0x684e -0xf592af40 -256 -256 -1 -0 -0 -9 -0x7ab5 -0xa05f67d1 -256 -256 -0 -0 -0 -6 -0xe9a6 -0x51cdd9d7 -256 -256 -1 -0 -0 -1 -0xca21 -0x2776d6ac -256 -256 -1 -0 -0 -6 -0xbac1 -0xe31cdabc -256 -256 -0 -0 -0 -6 -0x2dfc -0xd1c3cec5 -256 -256 -0 -0 -0 -4 -0x8c2b -0x4aa4b25f -256 -256 -0 -0 -0 -2 -0x746e -0x8217477c -256 -256 -1 -0 -0 -1 -0x7d2d -0x7f6cf93 -256 -256 -0 -0 -0 -4 -0xbf61 -0xe3070c6f -256 -256 -0 -0 -0 -7 -0xd320 -0x18d1362e -256 -256 -1 -0 -0 -8 -0x2b7b -0x7159b3be -256 -256 -1 -0 -0 -10 -0x9079 -0x36fb61f5 -256 -256 -1 -0 -0 -6 -0xd5d1 -0x7f3a8c23 -256 -256 -0 -0 -0 -10 -0x2615 -0x1dc0c12a -256 -256 -1 -0 -0 -6 -0x5a77 -0x5ae39a1 -256 -256 -0 -0 -0 -6 -0x64c5 -0x89dd3e82 -256 -256 -0 -0 -0 -5 -0x4911 -0x4f8ac28b -256 -256 -0 -0 -0 -8 -0x7ab4 -0xf05413a2 -256 -256 -1 -0 -0 -6 -0xe554 -0x9a7c5664 -256 -256 -0 -0 -0 -7 -0xcd94 -0xc9810171 -256 -256 -0 -0 -0 -6 -0x463a -0xd8550238 -256 -256 -0 -0 -0 -1 -0xf7a8 -0x1d338bde -256 -256 -1 -0 -0 -3 -0xfeec -0x7c56d0ce -256 -256 -0 -0 -0 -8 -0x21e5 -0xc708dab5 -256 -256 -1 -0 -0 -7 -0x7a6d -0x3d5f088e -256 -256 -0 -0 -0 -1 -0x951f -0xefadb098 -256 -256 -1 -0 -0 -10 -0x647c -0x26bdb477 -256 -256 -0 -0 -0 -3 -0xac6a -0x46aac76d -256 -256 -0 -0 -0 -10 -0x2b2d -0x44ef0ff9 -256 -256 -1 -0 -0 -9 -0xdaac -0xadcf43f5 -256 -256 -0 -0 -0 -9 -0x8fbc -0x5f5ec563 -256 -256 -1 -0 -0 -3 -0x1f71 -0x9056419c -256 -256 -0 -0 -0 -3 -0x4599 -0xcc578dd3 -256 -256 -1 -0 -0 -3 -0xa8b8 -0x517078e0 -256 -256 -1 -0 -0 -3 -0x8ccc -0x36c5caaa -256 -256 -1 -0 -0 -5 -0x8195 -0xd024debd -256 -256 -0 -0 -0 -7 -0xe65e -0xede58eaa -256 -256 -0 -0 -0 -3 -0xde9b -0x8f6d5812 -256 -256 -1 -0 -0 -5 -0x6ffe -0xd9458fd9 -256 -256 -1 -0 -0 -2 -0x9a78 -0xe396b880 -256 -256 -1 -0 -0 -9 -0x715e -0x89d9aad2 -256 -256 -0 -0 -0 -10 -0x5c6c -0x4bf57433 -256 -256 -1 -0 -0 -2 -0x9f95 -0x9ebb092e -256 -256 -1 -0 -0 -10 -0xa683 -0x8614e7b7 -256 -256 -0 -0 -0 -9 -0xb70c -0xf15f76cb -256 -256 -0 -0 -0 -2 -0x740e -0x4c32a726 -256 -256 -0 -0 -0 -6 -0xee49 -0x9c54b913 -256 -256 -1 -0 -0 -3 -0x4ef0 -0x6074fb88 -256 -256 -0 -0 -0 -9 -0x9121 -0xfd0c789d -256 -256 -1 -0 -0 -1 -0x2237 -0x84db3f04 -256 -256 -1 -0 -0 -5 -0x54fc -0xf0a81dd3 -256 -256 -1 -0 -0 -6 -0x7425 -0xfa288f42 -256 -256 -0 -0 -0 -4 -0x998e -0x194612c -256 -256 -0 -0 -0 -4 -0x913d -0x1bd092c9 -256 -256 -1 -0 -0 -9 -0x8fb0 -0xfc42bf5d -256 -256 -1 -0 -0 -5 -0x1d7e -0xd8ac032c -256 -256 -1 -0 -0 -5 -0x4d33 -0x53d923e4 -256 -256 -0 -0 -0 -5 -0x88c7 -0xc7535df8 -256 -256 -1 -0 -0 -3 -0x1a77 -0x65ac3f7b -256 -256 -1 -0 -0 -3 -0x8570 -0xaad3fd6e -256 -256 -1 -0 -0 -1 -0xdf9c -0x10df546b -256 -256 -1 -0 -0 -7 -0xb5cf -0xbd5878cb -256 -256 -0 -0 -0 -2 -0x966f -0x9ee854d4 -256 -256 -0 -0 -0 -5 -0x1330 -0xb83ed2c6 -256 -256 -0 -0 -0 -3 -0xaafb -0xd22796eb -256 -256 -1 -0 -0 -7 -0x95c5 -0xc84b8e35 -256 -256 -0 -0 -0 -9 -0xefe2 -0x182001eb -256 -256 -1 -0 -0 -4 -0xf79c -0xd7612fb1 -256 -256 -1 -0 -0 -10 -0x4080 -0x4bed08d3 -256 -256 -1 -0 -0 -6 -0x51bc -0x977c610b -256 -256 -0 -0 -0 -10 -0xe78e -0xd38955ac -256 -256 -1 -0 -0 -2 -0x5008 -0xc8de70f2 -256 -256 -1 -0 -0 -8 -0x3db2 -0xe92a7593 -256 -256 -1 -0 -0 -3 -0x9368 -0x5577bf6f -256 -256 -1 -0 -0 -1 -0x4acf -0x65a5a895 -256 -256 -1 -0 -0 -8 -0x2781 -0xe0aa27e6 -256 -256 -0 -0 -0 -9 -0x4684 -0xbd2b71d9 -256 -256 -1 -0 -0 -2 -0xfdc -0xc8811be1 -256 -256 -0 -0 -0 -7 -0x4f11 -0xf3e7ff1c -256 -256 -1 -0 -0 -6 -0xc8b9 -0x4f14a691 -256 -256 -1 -0 -0 -9 -0x61b1 -0xd77fcf00 -256 -256 -1 -0 -0 -2 -0x7203 -0x136767cc -256 -256 -1 -0 -0 -6 -0xdcee -0xffc8dd0 -256 -256 -0 -0 -0 -4 -0xc1b3 -0x6b1d7317 -256 -256 -1 -0 -0 -9 -0xc832 -0x9edf01c4 -256 -256 -1 -0 -0 -5 -0x4a76 -0x5f5d0c17 -256 -256 -1 -0 -0 -2 -0xa427 -0xca2f9d5c -256 -256 -1 -0 -0 -1 -0x82b4 -0xe935d692 -256 -256 -0 -0 -0 -4 -0xd4ba -0x5f1b5342 -256 -256 -1 -0 -0 -1 -0x89ad -0x9f78203a -256 -256 -1 -0 -0 -2 -0x2da2 -0x1aeb1ecf -256 -256 -1 -0 -0 -9 -0x9c2d -0xecb63bba -256 -256 -0 -0 -0 -4 -0xa4c0 -0x1d722167 -256 -256 -0 -0 -0 -1 -0x57f1 -0x3ae1a6f5 -256 -256 -1 -0 -0 -2 -0x6a43 -0x9bc22231 -256 -256 -0 -0 -0 -5 -0xecec -0xc2d0663f -256 -256 -1 -0 -0 -5 -0xce2e -0xdc902c23 -256 -256 -1 -0 -0 -10 -0x2409 -0x47e05655 -256 -256 -0 -0 -0 -2 -0x699a -0xd049d2bf -256 -256 -0 -0 -0 -6 -0x81e6 -0x9ac1c2da -256 -256 -1 -0 -0 -10 -0xb2cc -0x7e0ccbe4 -256 -256 -0 -0 -0 -4 -0x5840 -0x6f41653e -256 -256 -1 -0 -0 -1 -0xee08 -0x52a9825b -256 -256 -1 -0 -0 -4 -0x3d98 -0x530d5ebc -256 -256 -0 -0 -0 -2 -0x2d4e -0x397a02d6 -256 -256 -0 -0 -0 -1 -0xa54a -0x11f4046c -256 -256 -1 -0 -0 -7 -0x6f5 -0x72c7c0b6 -256 -256 -1 -0 -0 -4 -0xf954 -0x30040727 -256 -256 -1 -0 -0 -3 -0xe74 -0x849cbd9 -256 -256 -1 -0 -0 -3 -0x9c4 -0x994d28fd -256 -256 -0 -0 -0 -6 -0x49b8 -0x88e6a3d5 -256 -256 -0 -0 -0 -8 -0x1375 -0xc525f5e6 -256 -256 -1 -0 -0 -4 -0x2824 -0x1593d7d2 -256 -256 -1 -0 -0 -8 -0xd44a -0x62bc870c -256 -256 -0 -0 -0 -2 -0x4414 -0x6054ce54 -256 -256 -0 -0 -0 -3 -0x8863 -0x265990b0 -256 -256 -0 -0 -0 -1 -0x676e -0xaf7adef2 -256 -256 -0 -0 -0 -10 -0x4e5e -0x3a88bffc -256 -256 -1 -0 -0 -9 -0xb643 -0x6bc40387 -256 -256 -0 -0 -0 -5 -0x3998 -0x1ac46cc7 -256 -256 -0 -0 -0 -6 -0x6dfc -0x41e2f58e -256 -256 -0 -0 -0 -6 -0x2d33 -0xae1493f3 -256 -256 -1 -0 -0 -2 -0xfe3c -0xf105cb94 -256 -256 -1 -0 -0 -3 -0x13c8 -0x4d298c6f -256 -256 -1 -0 -0 -6 -0x370 -0x2ef62b3d -256 -256 -1 -0 -0 -2 -0xa5b6 -0x2ec3f5d9 -256 -256 -1 -0 -0 -8 -0xda90 -0x159f7a27 -256 -256 -0 -0 -0 -5 -0x7f1c -0x6d943dfd -256 -256 -0 -0 -0 -8 -0xc32f -0xfef25346 -256 -256 -0 -0 -0 -7 -0x3f29 -0xc81532db -256 -256 -0 -0 -0 -6 -0xb037 -0xea9cd188 -256 -256 -1 -0 -0 -5 -0xb7ed -0xe79af591 -256 -256 -0 -0 -0 -6 -0x9843 -0xaffb7645 -256 -256 -1 -0 -0 -4 -0xbd38 -0x26a2bc54 -256 -256 -0 -0 -0 -3 -0x6578 -0xd2690009 -256 -256 -1 -0 -0 -3 -0x4dc1 -0xb36c2551 -256 -256 -1 -0 -0 -2 -0xb08d -0xe0d77224 -256 -256 -1 -0 -0 -4 -0xc040 -0xe8ea004f -256 -256 -0 -0 -0 -9 -0xd8c8 -0x98d47316 -256 -256 -1 -0 -0 -4 -0xefd7 -0x87c38061 -256 -256 -0 -0 -0 -7 -0x672c -0x19d3387d -256 -256 -1 -0 -0 -9 -0x662e -0x14f3b942 -256 -256 -1 -0 -0 -10 -0xb29f -0x7a99574f -256 -256 -0 -0 -0 -4 -0x5d83 -0xa0dc27f2 -256 -256 -0 -0 -0 -1 -0xe394 -0x44a9d1d -256 -256 -0 -0 -0 -5 -0xa91a -0x4cdebb -256 -256 -0 -0 -0 -5 -0x8f81 -0x7d19c0ca -256 -256 -0 -0 -0 -4 -0xde2c -0xf87348e7 -256 -256 -0 -0 -0 -1 -0x705f -0x304355d -256 -256 -1 -0 -0 -8 -0x174f -0xcfec0257 -256 -256 -0 -0 -0 -6 -0xf794 -0x5e8d4d96 -256 -256 -0 -0 -0 -4 -0xc241 -0x35658acf -256 -256 -1 -0 -0 -3 -0xd3a9 -0xa029a205 -256 -256 -0 -0 -0 -10 -0x4b64 -0x9afbb492 -256 -256 -0 -0 -0 -1 -0xafe3 -0xa0f91f9f -256 -256 -0 -0 -0 -9 -0x80ea -0xdf5ffba7 -256 -256 -1 -0 -0 -5 -0xb054 -0x65d07649 -256 -256 -0 -0 -0 -6 -0xf9ee -0x17b08f1f -256 -256 -0 -0 -0 -1 -0x2787 -0x9a066812 -256 -256 -0 -0 -0 -4 -0x5bc9 -0xb813f290 -256 -256 -1 -0 -0 -1 -0xac3 -0xcf8a5e61 -256 -256 -0 -0 -0 -5 -0x76dc -0xd90c5c58 -256 -256 -1 -0 -0 -1 -0xa371 -0xa80e1114 -256 -256 -0 -0 -0 -3 -0xdeac -0xb8d03750 -256 -256 -0 -0 -0 -9 -0x16e3 -0x3e4311b2 -256 -256 -1 -0 -0 -6 -0xa6c7 -0x61f85379 -256 -256 -1 -0 -0 -10 -0xa192 -0x846b85f1 -256 -256 -1 -0 -0 -2 -0x4fa4 -0x2ae5f981 -256 -256 -0 -0 -0 -6 -0x9e15 -0x53503048 -256 -256 -1 -0 -0 -2 -0x163c -0x911ede61 -256 -256 -1 -0 -0 -6 -0xcc45 -0xdeeff458 -256 -256 -1 -0 -0 -5 -0x9cd2 -0xa6ee4c80 -256 -256 -1 -0 -0 -8 -0xb24 -0xaf065b3 -256 -256 -0 -0 -0 -5 -0xf6e1 -0x33af21f4 -256 -256 -0 -0 -0 -8 -0xff26 -0xfd2cafb8 -256 -256 -1 -0 -0 -4 -0x8737 -0x15403b64 -256 -256 -0 -0 -0 -1 -0x702a -0xb02a1c39 -256 -256 -0 -0 -0 -1 -0x4373 -0xd960fc28 -256 -256 -1 -0 -0 -10 -0x9db8 -0x6c167a65 -256 -256 -1 -0 -0 -4 -0xf687 -0x3bca3a22 -256 -256 -1 -0 -0 -1 -0x1a19 -0x7c688e42 -256 -256 -1 -0 -0 -7 -0xd41b -0xc3c24505 -256 -256 -0 -0 -0 -1 -0xcd2d -0xaa9cb9c1 -256 -256 -1 -0 -0 -6 -0xc11a -0x2bb7316e -256 -256 -0 -0 -0 -1 -0x43c4 -0x82f191f1 -256 -256 -1 -0 -0 -1 -0x64c1 -0x52bc663f -256 -256 -1 -0 -0 -7 -0xf70c -0x1fc2ecbf -256 -256 -1 -0 -0 -3 -0xe126 -0xce0a64e -256 -256 -1 -0 -0 -7 -0x30ea -0x7903bbe9 -256 -256 -1 -0 -0 -4 -0x4ddc -0x91379ba -256 -256 -0 -0 -0 -10 -0x8bae -0x2796402e -256 -256 -0 -0 -0 -1 -0xc456 -0x9c71e65 -256 -256 -1 -0 -0 -3 -0xf67a -0x9d0cebe0 -256 -256 -1 -0 -0 -1 -0x530a -0xeb089a41 -256 -256 -0 -0 -0 -3 -0xb3b6 -0x492c8559 -256 -256 -0 -0 -0 -4 -0x6108 -0x8fc4c5a5 -256 -256 -1 -0 -0 -7 -0xa58c -0xf26e080f -256 -256 -1 -0 -0 -4 -0x60d9 -0x8cf7f4ce -256 -256 -1 -0 -0 -8 -0x7748 -0xe6b3f060 -256 -256 -1 -0 -0 -8 -0xb705 -0xac934b6b -256 -256 -0 -0 -0 -5 -0xe2b0 -0x41b6256e -256 -256 -0 -0 -0 -9 -0x186c -0xd04f050e -256 -256 -1 -0 -0 -2 -0x6dd3 -0x2ae59db0 -256 -256 -1 -0 -0 -10 -0x1578 -0xb2e9d309 -256 -256 -1 -0 -0 -6 -0x6b26 -0x6e299d6d -256 -256 -0 -0 -0 -10 -0x8042 -0xb314dd6c -256 -256 -1 -0 -0 -2 -0x51ee -0xfe3f9407 -256 -256 -0 -0 -0 -3 -0xa4ca -0x35658ebd -256 -256 -0 -0 -0 -3 -0x3f6 -0xc8201826 -256 -256 -0 -0 -0 -2 -0xafd6 -0x945c3d35 -256 -256 -0 -0 -0 -2 -0x8444 -0xadeb45e7 -256 -256 -0 -0 -0 -6 -0xb1e7 -0xc5150a90 -256 -256 -1 -0 -0 -5 -0xbb9c -0x6588729a -256 -256 -0 -0 -0 -6 -0xe6af -0xc9f0183f -256 -256 -1 -0 -0 -2 -0x47d4 -0x25a5b530 -256 -256 -1 -0 -0 -9 -0x8508 -0xaaf0f7a7 -256 -256 -0 -0 -0 -5 -0x783b -0x47c29103 -256 -256 -1 -0 -0 -2 -0x55ba -0x24d5a2b3 -256 -256 -1 -0 -0 -2 -0x449 -0xe0f98d97 -256 -256 -0 -0 -0 -3 -0x969a -0xb594494a -256 -256 -0 -0 -0 -5 -0xa32d -0x2665128f -256 -256 -0 -0 -0 -3 -0x95b4 -0x43c4e628 -256 -256 -0 -0 -0 -4 -0xf9a3 -0x5c82d35e -256 -256 -1 -0 -0 -10 -0x81f4 -0xdef5187e -256 -256 -1 -0 -0 -2 -0x1879 -0xd225112a -256 -256 -0 -0 -0 -7 -0x701b -0xd01d0bc0 -256 -256 -0 -0 -0 -5 -0x5eb6 -0xd90bc6fe -256 -256 -1 -0 -0 -9 -0x1464 -0x64fdf9af -256 -256 -0 -0 -0 -10 -0x85e0 -0xfe7bebd6 -256 -256 -1 -0 -0 -4 -0x8b8 -0xfd89afb8 -256 -256 -1 -0 -0 -5 -0xef8 -0xdafd46c5 -256 -256 -1 -0 -0 -9 -0x5567 -0x60daa54e -256 -256 -1 -0 -0 -1 -0xc1df -0x200b222e -256 -256 -1 -0 -0 -10 -0x6d4 -0xd55ff3cb -256 -256 -0 -0 -0 -2 -0xd155 -0x7cab41bb -256 -256 -1 -0 -0 -8 -0xbb52 -0xd83ad3d2 -256 -256 -1 -0 -0 -9 -0x7f6c -0x9f957475 -256 -256 -0 -0 -0 -4 -0x72d9 -0xf2c026c2 -256 -256 -0 -0 -0 -3 -0x6f0b -0xb9a01e92 -256 -256 -1 -0 -0 -6 -0xa54f -0x78a69d2d -256 -256 -1 -0 -0 -1 -0x843b -0x8b0e401f -256 -256 -1 -0 -0 -4 -0xf45e -0xa00467a6 -256 -256 -0 -0 -0 -2 -0xdf3d -0x529d756c -256 -256 -1 -0 -0 -8 -0x4522 -0x3d9a4f62 -256 -256 -0 -0 -0 -4 -0xbb72 -0x5006f446 -256 -256 -1 -0 -0 -7 -0x42e9 -0xe70febf8 -256 -256 -1 -0 -0 -9 -0x3a12 -0x4e1fb0c4 -256 -256 -0 -0 -0 -5 -0x948f -0x7eb17ebf -256 -256 -1 -0 -0 -2 -0x4544 -0xef57f2e7 -256 -256 -0 -0 -0 -3 -0x14bc -0xd8a9b161 -256 -256 -0 -0 -0 -1 -0x4a61 -0x56fb277b -256 -256 -0 -0 -0 -3 -0x6624 -0x1ed4d3b5 -256 -256 -0 -0 -0 -6 -0x1f5a -0x8dffe5c2 -256 -256 -0 -0 -0 -3 -0x616f -0x5777ae94 -256 -256 -1 -0 -0 -8 -0x86df -0x5cc03f0f -256 -256 -0 -0 -0 -8 -0xf6f6 -0x1a15dfcd -256 -256 -1 -0 -0 -8 -0x4552 -0xbc6e74df -256 -256 -0 -0 -0 -3 -0xd0e5 -0x89675eb4 -256 -256 -0 -0 -0 -7 -0xc402 -0x4acb830 -256 -256 -0 -0 -0 -10 -0x7daa -0x44d7baf1 -256 -256 -1 -0 -0 -5 -0x54a5 -0x884358c7 -256 -256 -1 -0 -0 -5 -0x8e5b -0xe9b4959a -256 -256 -0 -0 -0 -10 -0xc7 -0xffa4882d -256 -256 -0 -0 -0 -5 -0x16c1 -0x4d85f7bd -256 -256 -0 -0 -0 -9 -0xe88a -0xc65bbdd2 -256 -256 -1 -0 -0 -3 -0x2724 -0x4f260c6b -256 -256 -0 -0 -0 -6 -0x7dc3 -0x71b28788 -256 -256 -1 -0 -0 -6 -0xb005 -0xbb27a58c -256 -256 -1 -0 -0 -5 -0x88db -0x81465732 -256 -256 -1 -0 -0 -2 -0xe263 -0x59c9d777 -256 -256 -1 -0 -0 -1 -0x9f74 -0x751ff288 -256 -256 -0 -0 -0 -6 -0xad4e -0x7428dd2a -256 -256 -0 -0 -0 -3 -0x1c1d -0xd94267d3 -256 -256 -1 -0 -0 -2 -0x609e -0x7896c3a9 -256 -256 -0 -0 -0 -4 -0xabb7 -0xafd640a -256 -256 -1 -0 -0 -10 -0x6e42 -0x89c9f153 -256 -256 -1 -0 -0 -3 -0xa549 -0x18c092ed -256 -256 -0 -0 -0 -8 -0xa75f -0xaeaac3af -256 -256 -0 -0 -0 -2 -0x8834 -0xdac21d81 -256 -256 -0 -0 -0 -8 -0xac4e -0x4b92b040 -256 -256 -1 -0 -0 -1 -0xa5d7 -0x64bbf8b4 -256 -256 -1 -0 -0 -2 -0x428f -0x85ed0267 -256 -256 -1 -0 -0 -9 -0xf9f -0x12633359 -256 -256 -1 -0 -0 -9 -0x65c9 -0xfa49c7fb -256 -256 -0 -0 -0 -5 -0xdf5f -0x78995d3d -256 -256 -1 -0 -0 -9 -0x77f4 -0x676d868c -256 -256 -1 -0 -0 -7 -0x7320 -0x998c0d0a -256 -256 -0 -0 -0 -9 -0xcd67 -0xddbc5a82 -256 -256 -0 -0 -0 -6 -0xebd5 -0x3033083b -256 -256 -1 -0 -0 -4 -0x2347 -0x2dfd6b8 -256 -256 -0 -0 -0 -3 -0x9643 -0xc10bacb5 -256 -256 -1 -0 -0 -5 -0xa2a7 -0xfb507573 -256 -256 -0 -0 -0 -9 -0xaf76 -0x2299651b -256 -256 -1 -0 -0 -3 -0x567c -0xe7d06228 -256 -256 -1 -0 -0 -1 -0x2bc3 -0xcb96c4bd -256 -256 -1 -0 -0 -5 -0xc5dd -0x292d5e2e -256 -256 -0 -0 -0 -3 -0x8c29 -0x7412ffe7 -256 -256 -0 -0 -0 -2 -0xefb8 -0x910401be -256 -256 -0 -0 -0 -7 -0xd1da -0x9eaa2c47 -256 -256 -1 -0 -0 -8 -0xceb5 -0xf28981fa -256 -256 -0 -0 -0 -7 -0x1789 -0xd9b940f5 -256 -256 -1 -0 -0 -9 -0x20a3 -0x99e87ace -256 -256 -1 -0 -0 -5 -0xec58 -0xaec80bfe -256 -256 -0 -0 -0 -8 -0xfd70 -0x440e6af7 -256 -256 -0 -0 -0 -4 -0x279b -0xef952b29 -256 -256 -0 -0 -0 -8 -0x59c5 -0xaaee7b1e -256 -256 -1 -0 -0 -6 -0xb551 -0xd3378dc3 -256 -256 -1 -0 -0 -2 -0xdfb6 -0x68f4e97 -256 -256 -0 -0 -0 -9 -0x6ed0 -0xee3452b0 -256 -256 -0 -0 -0 -8 -0x50c1 -0x5902be78 -256 -256 -0 -0 -0 -2 -0xb5d4 -0x4b192107 -256 -256 -0 -0 -0 -3 -0xb2a2 -0x3a6e4c3a -256 -256 -0 -0 -0 -2 -0x74ef -0x21fbddf0 -256 -256 -0 -0 -0 -6 -0xa412 -0x9d1aa8a3 -256 -256 -0 -0 -0 -5 -0x9247 -0xca2210e5 -256 -256 -1 -0 -0 -9 -0x3ea3 -0xb760f7de -256 -256 -1 -0 -0 -2 -0x28b -0x30a3bcd9 -256 -256 -1 -0 -0 -4 -0x75aa -0x620a1c6f -256 -256 -1 -0 -0 -9 -0xa9b8 -0x6591f299 -256 -256 -0 -0 -0 -4 -0x681f -0x19c517b3 -256 -256 -1 -0 -0 -8 -0xf824 -0xafe387c3 -256 -256 -1 -0 -0 -1 -0x11d1 -0xd2cfa070 -256 -256 -0 -0 -0 -6 -0x76d9 -0x585a743 -256 -256 -1 -0 -0 -3 -0x743a -0x22cae18d -256 -256 -0 -0 -0 -5 -0x8c41 -0xd122cba0 -256 -256 -1 -0 -0 -6 -0x6661 -0x1fd1f6ab -256 -256 -0 -0 -0 -6 -0x1b2f -0x634fcf58 -256 -256 -0 -0 -0 -4 -0xc660 -0x1290dea6 -256 -256 -1 -0 -0 -2 -0x7822 -0xeb2a67d -256 -256 -0 -0 -0 -1 -0x9cc9 -0x360e1cb8 -256 -256 -1 -0 -0 -6 -0xcfff -0xca3bf2db -256 -256 -0 -0 -0 -7 -0xf06 -0x98fb5f50 -256 -256 -1 -0 -0 -3 -0x8483 -0x91c5f705 -256 -256 -0 -0 -0 -9 -0x1ea4 -0xee70d221 -256 -256 -0 -0 -0 -8 -0xba36 -0x70465b8d -256 -256 -0 -0 -0 -2 -0xaebf -0x84261303 -256 -256 -0 -0 -0 -5 -0xafeb -0x8a9d8cd0 -256 -256 -0 -0 -0 -10 -0x7fd3 -0xdeb2329d -256 -256 -1 -0 -0 -7 -0x988b -0x8bd77980 -256 -256 -1 -0 -0 -7 -0x22eb -0x5a6f4344 -256 -256 -1 -0 -0 -9 -0xb018 -0x6dce67c1 -256 -256 -1 -0 -0 -9 -0xce12 -0xbe5f4b69 -256 -256 -1 -0 -0 -6 -0xe369 -0x2a682c49 -256 -256 -0 -0 -0 -1 -0xb9e4 -0x3bf0a8eb -256 -256 -1 -0 -0 -2 -0x9777 -0xe90a7be9 -256 -256 -0 -0 -0 -8 -0x74da -0x1457e72b -256 -256 -0 -0 -0 -3 -0xb095 -0x22cc158f -256 -256 -1 -0 -0 -1 -0xbde -0xa8a9dc1 -256 -256 -0 -0 -0 -4 -0x6ef2 -0x153570b3 -256 -256 -0 -0 -0 -10 -0x5db3 -0xda96aca6 -256 -256 -0 -0 -0 -8 -0xe6e8 -0xe7ec546b -256 -256 -0 -0 -0 -4 -0x7312 -0x20b7dc5b -256 -256 -0 -0 -0 -7 -0x8d05 -0xd75aed10 -256 -256 -1 -0 -0 -6 -0xf61f -0xdb697a25 -256 -256 -1 -0 -0 -8 -0x2620 -0x5e8fe008 -256 -256 -1 -0 -0 -4 -0xf607 -0xa2db1c28 -256 -256 -0 -0 -0 -4 -0x623 -0x648dc9b1 -256 -256 -1 -0 -0 -2 -0x547b -0x8f3d83f -256 -256 -0 -0 -0 -2 -0x5f62 -0xbf4f0d19 -256 -256 -0 -0 -0 -10 -0x3792 -0x94f30541 -256 -256 -1 -0 -0 -10 -0xb964 -0xe6dc1148 -256 -256 -0 -0 -0 -5 -0xef78 -0x63ca3aef -256 -256 -1 -0 -0 -6 -0x657c -0x1a125183 -256 -256 -1 -0 -0 -2 -0x7b91 -0x71c84d3b -256 -256 -0 -0 -0 -6 -0xcdd0 -0x1705d2e4 -256 -256 -0 -0 -0 -4 -0x989d -0x8ae5204b -256 -256 -1 -0 -0 -3 -0x2991 -0xcf621c0f -256 -256 -1 -0 -0 -4 -0x6c50 -0x6cd0c3c5 -256 -256 -1 -0 -0 -4 -0xcd2a -0x68a59f4 -256 -256 -1 -0 -0 -1 -0x1a47 -0x36e47d68 -256 -256 -0 -0 -0 -9 -0xbd9d -0x6f93fa33 -256 -256 -0 -0 -0 -2 -0x5f34 -0xa22e1c97 -256 -256 -1 -0 -0 -7 -0xcec -0x68554c6c -256 -256 -1 -0 -0 -9 -0xebe1 -0xd77435be -256 -256 -0 -0 -0 -7 -0x21c0 -0x33999d7d -256 -256 -0 -0 -0 -4 -0x3c8f -0x82c67274 -256 -256 -1 -0 -0 -6 -0x9951 -0xd13d98d6 -256 -256 -1 -0 -0 -7 -0x32ef -0xcb79de9a -256 -256 -0 -0 -0 -10 -0xee2f -0x697e14bd -256 -256 -0 -0 -0 -8 -0x7d3f -0xcc68d4d8 -256 -256 -1 -0 -0 -1 -0xdb41 -0xd9cf7ba9 -256 -256 -1 -0 -0 -5 -0x7d8d -0x2245d474 -256 -256 -1 -0 -0 -1 -0x806f -0xbec0b398 -256 -256 -1 -0 -0 -8 -0x1976 -0x4eb3b8ea -256 -256 -0 -0 -0 -5 -0x5d2b -0xb2353af9 -256 -256 -0 -0 -0 -3 -0x5d2a -0xc9da06aa -256 -256 -1 -0 -0 -10 -0xfaf8 -0x3122db38 -256 -256 -0 -0 -0 -10 -0xf254 -0x3883e854 -256 -256 -0 -0 -0 -9 -0xfa28 -0x91516cb8 -256 -256 -0 -0 -0 -3 -0xe74b -0xca0b315e -256 -256 -1 -0 -0 -6 -0xa230 -0xa4fdb998 -256 -256 -1 -0 -0 -1 -0xd25c -0x370a9bf9 -256 -256 -0 -0 -0 -6 -0x75e6 -0x6932204f -256 -256 -1 -0 -0 -10 -0x8ece -0xe2a96263 -256 -256 -1 -0 -0 -6 -0x762c -0xd583296e -256 -256 -1 -0 -0 -1 -0xdf76 -0xde4c64a6 -256 -256 -0 -0 -0 -6 -0xb1e3 -0x24e83c93 -256 -256 -1 -0 -0 -10 -0x4205 -0x768ad0a9 -256 -256 -1 -0 -0 -2 -0x63ec -0xe7d0f925 -256 -256 -0 -0 -0 -1 -0x7fcf -0x8b04ff34 -256 -256 -1 -0 -0 -7 -0xccec -0x96d2a5b0 -256 -256 -1 -0 -0 -2 -0xfe91 -0xedc71bee -256 -256 -0 -0 -0 -8 -0x33a7 -0x1f17e748 -256 -256 -1 -0 -0 -8 -0xd658 -0xfc7fa6fc -256 -256 -1 -0 -0 -1 -0x58b2 -0xf84e55b6 -256 -256 -1 -0 -0 -4 -0x39bc -0xc455f095 -256 -256 -0 -0 -0 -3 -0x1e38 -0x8344f1d1 -256 -256 -0 -0 -0 -6 -0x36fb -0x8011ae2f -256 -256 -1 -0 -0 -6 -0xd1ca -0x16d41f3e -256 -256 -0 -0 -0 -10 -0xb63d -0xb38541a5 -256 -256 -1 -0 -0 -9 -0xdedc -0x50165fc3 -256 -256 -1 -0 -0 -3 -0xd46 -0x4aee78df -256 -256 -1 -0 -0 -10 -0x7781 -0xaaee3920 -256 -256 -0 -0 -0 -7 -0x6715 -0xa91fb37f -256 -256 -1 -0 -0 -5 -0x1475 -0x60425a68 -256 -256 -0 -0 -0 -8 -0x6966 -0x5f29a225 -256 -256 -1 -0 -0 -3 -0xf365 -0xfb811e79 -256 -256 -0 -0 -0 -9 -0xc29 -0x8a48d0a5 -256 -256 -0 -0 -0 -5 -0xefc0 -0x563e5c8d -256 -256 -0 -0 -0 -6 -0xe08b -0x555d15f5 -256 -256 -0 -0 -0 -5 -0xe5c1 -0xae69fee9 -256 -256 -0 -0 -0 -9 -0x43ff -0x4d11928b -256 -256 -1 -0 -0 -10 -0x6885 -0x28596d52 -256 -256 -0 -0 -0 -7 -0x435f -0xf898738f -256 -256 -1 -0 -0 -3 -0x806 -0xe13748a2 -256 -256 -0 -0 -0 -7 -0xf357 -0xfcf9459c -256 -256 -1 -0 -0 -9 -0xb49a -0x248ebc11 -256 -256 -1 -0 -0 -10 -0x7641 -0xfa60a6a1 -256 -256 -1 -0 -0 -3 -0x7454 -0x703ab187 -256 -256 -1 -0 -0 -4 -0xd436 -0x9fcdd02e -256 -256 -0 -0 -0 -3 -0x456a -0xd8403e8e -256 -256 -0 -0 -0 -9 -0xdd03 -0xb8a95e69 -256 -256 -0 -0 -0 -8 -0x5d08 -0x8d2288fd -256 -256 -1 -0 -0 -6 -0x15b3 -0x796f719d -256 -256 -0 -0 -0 -4 -0xe167 -0x6d78203a -256 -256 -1 -0 -0 -2 -0x4570 -0xe6dbd7b8 -256 -256 -1 -0 -0 -2 -0x5732 -0xf4899d3f -256 -256 -0 -0 -0 -5 -0xb7a3 -0x48ee14f8 -256 -256 -1 -0 -0 -3 -0xc2ad -0xf5d84e09 -256 -256 -0 -0 -0 -3 -0x3750 -0xe8a910ff -256 -256 -0 -0 -0 -1 -0x3bc0 -0xecf150b8 -256 -256 -0 -0 -0 -3 -0xbf6d -0x983e02ae -256 -256 -0 -0 -0 -3 -0xd25a -0x5d605c8d -256 -256 -1 -0 -0 -5 -0x4de9 -0x53bac775 -256 -256 -1 -0 -0 -10 -0x4801 -0xf59dd0b3 -256 -256 -1 -0 -0 -6 -0xb234 -0xe6b40b57 -256 -256 -0 -0 -0 -4 -0x1828 -0xe5cca7d5 -256 -256 -0 -0 -0 -8 -0x13e8 -0xeb307b7d -256 -256 -1 -0 -0 -7 -0x47c4 -0x7b292465 -256 -256 -1 -0 -0 -5 -0x669a -0x1757ef18 -256 -256 -0 -0 -0 -1 -0xf5f7 -0xc806e3be -256 -256 -1 -0 -0 -1 -0xffc -0x3d5a729 -256 -256 -1 -0 -0 -6 -0x2c02 -0xbda7695a -256 -256 -0 -0 -0 -7 -0x9990 -0x32888168 -256 -256 -0 -0 -0 -5 -0x41ef -0x90ace260 -256 -256 -1 -0 -0 -8 -0x7902 -0xeece0b4f -256 -256 -1 -0 -0 -8 -0x492f -0x845ad146 -256 -256 -1 -0 -0 -2 -0x8e0c -0x5af390a -256 -256 -1 -0 -0 -8 -0x5746 -0x61e72204 -256 -256 -0 -0 -0 -4 -0x1aab -0xefa0b3cf -256 -256 -1 -0 -0 -6 -0x51b5 -0xf3b8dc83 -256 -256 -0 -0 -0 -4 -0xaebc -0xb5b111ed -256 -256 -1 -0 -0 -4 -0xfb2a -0xb2ffdb73 -256 -256 -1 -0 -0 -3 -0xabb6 -0x71c7af6f -256 -256 -1 -0 -0 -1 -0xf0b7 -0x32835a4c -256 -256 -1 -0 -0 -7 -0x6efd -0xb5da6798 -256 -256 -1 -0 -0 -8 -0x29f6 -0xde2674b4 -256 -256 -0 -0 -0 -6 -0x6206 -0x85810936 -256 -256 -1 -0 -0 -7 -0xc487 -0xc92fb496 -256 -256 -1 -0 -0 -1 -0xe0e9 -0xebccdb40 -256 -256 -1 -0 -0 -5 -0xf1fe -0x28f84e23 -256 -256 -1 -0 -0 -2 -0x9866 -0xa40c08ef -256 -256 -1 -0 -0 -1 -0xf739 -0x36f749ff -256 -256 -1 -0 -0 -2 -0x1ae8 -0x8a6c690e -256 -256 -1 -0 -0 -3 -0x657 -0x78012706 -256 -256 -1 -0 -0 -3 -0xd9b -0xb2e9ce3e -256 -256 -1 -0 -0 -4 -0x773e -0x57019fd6 -256 -256 -1 -0 -0 -8 -0x8567 -0xf9ca02c -256 -256 -0 -0 -0 -2 -0x2524 -0x379eb514 -256 -256 -1 -0 -0 -6 -0x640d -0x29bf709c -256 -256 -1 -0 -0 -3 -0x667c -0xc7bbadca -256 -256 -0 -0 -0 -8 -0x9aa4 -0x27c8531e -256 -256 -1 -0 -0 -3 -0x3a8 -0xfe279295 -256 -256 -0 -0 -0 -9 -0x83a6 -0x231f5d93 -256 -256 -0 -0 -0 -9 -0x2985 -0x39b0a25a -256 -256 -0 -0 -0 -9 -0xe0ed -0x445f3c44 -256 -256 -0 -0 -0 -7 -0xf430 -0x7f67ff31 -256 -256 -0 -0 -0 -9 -0x1629 -0x7f920535 -256 -256 -1 -0 -0 -6 -0xb677 -0x49acd27b -256 -256 -0 -0 -0 -9 -0xa2b9 -0xa57dbc7b -256 -256 -0 -0 -0 -7 -0x70b4 -0xf991debf -256 -256 -1 -0 -0 -6 -0xeeeb -0x911d03eb -256 -256 -1 -0 -0 -9 -0x975d -0xb55954e5 -256 -256 -1 -0 -0 -10 -0x184c -0x817e8d8a -256 -256 -1 -0 -0 -3 -0xee8f -0x3ab52af4 -256 -256 -1 -0 -0 -7 -0xb96a -0x582eca17 -256 -256 -0 -0 -0 -9 -0xc858 -0xd3dc2c89 -256 -256 -1 -0 -0 -8 -0xdc50 -0x42526c9e -256 -256 -0 -0 -0 -1 -0xe923 -0x3c74efe6 -256 -256 -0 -0 -0 -8 -0x7824 -0x37139f13 -256 -256 -0 -0 -0 -6 -0x8886 -0x86f887f4 -256 -256 -0 -0 -0 -6 -0x9b08 -0xa15b750e -256 -256 -1 -0 -0 -4 -0x4902 -0xe11d4be -256 -256 -1 -0 -0 -1 -0x1c92 -0x5278c005 -256 -256 -0 -0 -0 -3 -0xc914 -0x608a9f5f -256 -256 -1 -0 -0 -7 -0x70e0 -0xfb6e2d96 -256 -256 -1 -0 -0 -7 -0x9331 -0x804ce257 -256 -256 -1 -0 -0 -3 -0x955a -0x7045500a -256 -256 -1 -0 -0 -8 -0x1a69 -0xbca98f5c -256 -256 -1 -0 -0 -2 -0xab74 -0x30b9d8de -256 -256 -1 -0 -0 -8 -0xe4b2 -0x19898937 -256 -256 -1 -0 -0 -8 -0xff18 -0x31ab5636 -256 -256 -1 -0 -0 -10 -0x1dbd -0x5f766c47 -256 -256 -0 -0 -0 -9 -0xea26 -0x8a42eacb -256 -256 -1 -0 -0 -6 -0xf6b1 -0x4af72ba4 -256 -256 -1 -0 -0 -1 -0xf2e4 -0x46d77f5c -256 -256 -1 -0 -0 -8 -0xeb78 -0xc9f66b86 -256 -256 -0 -0 -0 -2 -0x81b2 -0x166e19a0 -256 -256 -1 -0 -0 -8 -0x8e92 -0x2cb060db -256 -256 -0 -0 -0 -1 -0x6b74 -0x1df52068 -256 -256 -0 -0 -0 -3 -0x3d76 -0x861b32b3 -256 -256 -1 -0 -0 -2 -0xd28 -0x7f058786 -256 -256 -1 -0 -0 -6 -0xac90 -0xcff5ab29 -256 -256 -0 -0 -0 -7 -0xbd1 -0x6b237e7d -256 -256 -0 -0 -0 -10 -0x7256 -0x145524f5 -256 -256 -0 -0 -0 -10 -0x30ae -0x21389d8a -256 -256 -0 -0 -0 -3 -0xbfaa -0xf6bba1d3 -256 -256 -0 -0 -0 -6 -0x5405 -0x2f794917 -256 -256 -1 -0 -0 -7 -0x50a -0x8622ebd9 -256 -256 -1 -0 -0 -2 -0xc00c -0xcff1a1f5 -256 -256 -0 -0 -0 -8 -0x9cd9 -0x61cdf01e -256 -256 -0 -0 -0 -6 -0x1b83 -0x38f72a41 -256 -256 -1 -0 -0 -10 -0x9eb2 -0xf3f32615 -256 -256 -1 -0 -0 -8 -0xd336 -0x87c010b -256 -256 -0 -0 -0 -4 -0xfdb8 -0x4ad62459 -256 -256 -0 -0 -0 -1 -0xd964 -0xc6a1539d -256 -256 -1 -0 -0 -3 -0x74f8 -0x78661518 -256 -256 -1 -0 -0 -2 -0xb249 -0x82e5fbdd -256 -256 -0 -0 -0 -3 -0x6765 -0x761af40c -256 -256 -0 -0 -0 -6 -0x5e90 -0xc7e4876e -256 -256 -0 -0 -0 -7 -0xa5e0 -0x64716090 -256 -256 -0 -0 -0 -8 -0x7a4b -0x1b99497f -256 -256 -1 -0 -0 -3 -0xff5b -0xdb55173d -256 -256 -0 -0 -0 -1 -0x65fa -0x1a5470a1 -256 -256 -0 -0 -0 -10 -0x8c65 -0x9009bff9 -256 -256 -1 -0 -0 -7 -0x18ac -0xb8837dca -256 -256 -0 -0 -0 -8 -0x36e8 -0xd44314be -256 -256 -1 -0 -0 -5 -0x4c22 -0xe9d34822 -256 -256 -1 -0 -0 -1 -0xc091 -0x86afed1b -256 -256 -0 -0 -0 -3 -0x9393 -0x75945edb -256 -256 -1 -0 -0 -7 -0x66a9 -0xb187808 -256 -256 -1 -0 -0 -5 -0x560e -0x2d045f4d -256 -256 -0 -0 -0 -8 -0x50d0 -0x7d5266a9 -256 -256 -1 -0 -0 -5 -0xdb4 -0x4c9ea9f6 -256 -256 -1 -0 -0 -9 -0x24c8 -0x8dd8ddcf -256 -256 -1 -0 -0 -8 -0x5361 -0x1f10839c -256 -256 -0 -0 -0 -8 -0x244b -0xa58d23ac -256 -256 -1 -0 -0 -6 -0xed1d -0xad30a0f3 -256 -256 -1 -0 -0 -4 -0xa92e -0xef7d2fbb -256 -256 -0 -0 -0 -7 -0x35f7 -0x998f9da3 -256 -256 -0 -0 -0 -7 -0xb91c -0x45d5233d -256 -256 -1 -0 -0 -3 -0x62c7 -0x11a9d43c -256 -256 -1 -0 -0 -3 -0xebbe -0xe4407551 -256 -256 -0 -0 -0 -1 -0xd305 -0x141cd4ce -256 -256 -1 -0 -0 -6 -0x7615 -0x7a0dbdd0 -256 -256 -0 -0 -0 -9 -0xecae -0xdb7e09a -256 -256 -0 -0 -0 -9 -0x1863 -0x447f5c1 -256 -256 -0 -0 -0 -10 -0x3998 -0x6b3b2609 -256 -256 -1 -0 -0 -3 -0x2573 -0xb47ae92a -256 -256 -1 -0 -0 -3 -0xa9ee -0x5b037875 -256 -256 -1 -0 -0 -1 -0x472 -0x804047ca -256 -256 -1 -0 -0 -3 -0xf0bb -0x21749bb0 -256 -256 -1 -0 -0 -1 -0x46b1 -0x3c60b7ef -256 -256 -1 -0 -0 -9 -0x44d3 -0xc705af4a -256 -256 -1 -0 -0 -1 -0x58ed -0x1bdeedfa -256 -256 -1 -0 -0 -1 -0x4fac -0x3f7a6843 -256 -256 -0 -0 -0 -7 -0xe4fc -0xffba0be6 -256 -256 -0 -0 -0 -5 -0xef95 -0xb759be28 -256 -256 -0 -0 -0 -5 -0xcff6 -0x45b1879f -256 -256 -0 -0 -0 -4 -0x9365 -0x2e4925a2 -256 -256 -0 -0 -0 -2 -0x17c9 -0x6234f901 -256 -256 -0 -0 -0 -2 -0x3b97 -0xdbb25527 -256 -256 -0 -0 -0 -5 -0xef6c -0x2b680f89 -256 -256 -1 -0 -0 -9 -0x571d -0x5659b9be -256 -256 -1 -0 -0 -1 -0x4b63 -0x605df7e1 -256 -256 -0 -0 -0 -8 -0xc23b -0xeaab9c2d -256 -256 -1 -0 -0 -6 -0xa89a -0x4f88483d -256 -256 -0 -0 -0 -6 -0x99a4 -0xef7b00e6 -256 -256 -0 -0 -0 -2 -0x5d2d -0xb522ba9f -256 -256 -0 -0 -0 -4 -0xfd64 -0x886e3595 -256 -256 -1 -0 -0 -5 -0xf543 -0x15b23acc -256 -256 -0 -0 -0 -3 -0xe7b7 -0x355a1bcb -256 -256 -0 -0 -0 -10 -0xa1ae -0xc6d9b8e3 -256 -256 -0 -0 -0 -10 -0x8057 -0x6c3f0670 -256 -256 -0 -0 -0 -4 -0x888f -0x3c05bd17 -256 -256 -0 -0 -0 -8 -0x4896 -0x78509ecb -256 -256 -0 -0 -0 -7 -0x67ec -0x3993146d -256 -256 -1 -0 -0 -1 -0x1ede -0x25336fe5 -256 -256 -1 -0 -0 -7 -0x3ded -0x8b133be7 -256 -256 -1 -0 -0 -7 -0x151a -0x89b6645e -256 -256 -1 -0 -0 -8 -0xdb94 -0x180813ae -256 -256 -1 -0 -0 -7 -0xf33c -0xf987d5ad -256 -256 -1 -0 -0 -9 -0x9ee5 -0x40ddc14c -256 -256 -1 -0 -0 -9 -0x3827 -0x8e6bbdaf -256 -256 -1 -0 -0 -3 -0xe5c1 -0x9d602660 -256 -256 -0 -0 -0 -3 -0x941 -0xe3d0f8c1 -256 -256 -1 -0 -0 -6 -0xe783 -0x7ddd0751 -256 -256 -0 -0 -0 -7 -0xbf09 -0xdd6affc7 -256 -256 -0 -0 -0 -8 -0x3e3a -0x2d241d90 -256 -256 -1 -0 -0 -7 -0xdd0 -0xcd37ed6d -256 -256 -1 -0 -0 -7 -0x3d23 -0xa0f3f0e6 -256 -256 -1 -0 -0 -2 -0xc6c4 -0xf9d10ddb -256 -256 -1 -0 -0 -5 -0xf15c -0xf03bf11a -256 -256 -1 -0 -0 -2 -0x30d3 -0x502fa1f8 -256 -256 -1 -0 -0 -9 -0x4a92 -0xd7e00ec1 -256 -256 -0 -0 -0 -2 -0x209e -0x72a3bf9b -256 -256 -1 -0 -0 -5 -0x5d4c -0x9cf2334 -256 -256 -1 -0 -0 -8 -0xd26d -0x9c9cbf5 -256 -256 -1 -0 -0 -2 -0xee51 -0xea51fa08 -256 -256 -0 -0 -0 -6 -0xae6b -0x882a8d9b -256 -256 -0 -0 -0 -3 -0x2d6d -0xa62fa7c4 -256 -256 -0 -0 -0 -2 -0x764c -0xf72cff85 -256 -256 -1 -0 -0 -2 -0x2035 -0x28bb96ef -256 -256 -1 -0 -0 -8 -0x6f7f -0x4f23acd2 -256 -256 -0 -0 -0 -5 -0x4c8c -0xdfb5cf07 -256 -256 -0 -0 -0 -2 -0xc01a -0x15bd47db -256 -256 -0 -0 -0 -9 -0xad45 -0x2fd85fda -256 -256 -1 -0 -0 -4 -0x4f44 -0x833b24d4 -256 -256 -1 -0 -0 -9 -0x533f -0x6aee8f4c -256 -256 -1 -0 -0 -4 -0x58bd -0x8130b550 -256 -256 -0 -0 -0 -5 -0xf285 -0xcfff1f11 -256 -256 -0 -0 -0 -8 -0x7f2d -0xf6b75d41 -256 -256 -1 -0 -0 -2 -0x5f9 -0x74af197c -256 -256 -1 -0 -0 -4 -0xb679 -0x22306275 -256 -256 -1 -0 -0 -6 -0x7f64 -0x3e7baf57 -256 -256 -1 -0 -0 -5 -0xae19 -0xc116a5db -256 -256 -0 -0 -0 -4 -0xaa39 -0xc265ab2e -256 -256 -1 -0 -0 -10 -0xb192 -0x414e7f88 -256 -256 -0 -0 -0 -5 -0xff8c -0x27082e36 -256 -256 -1 -0 -0 -8 -0x2d48 -0x9dd49521 -256 -256 -1 -0 -0 -2 -0x97b5 -0xccb95bad -256 -256 -0 -0 -0 -6 -0x39d9 -0x3cb7a53a -256 -256 -0 -0 -0 -1 -0xf44f -0xc42c877c -256 -256 -1 -0 -0 -8 -0x303c -0x78f8afa5 -256 -256 -1 -0 -0 -9 -0xd4b7 -0x16b2afb1 -256 -256 -1 -0 -0 -10 -0x3587 -0xfef17420 -256 -256 -0 -0 -0 -7 -0xe761 -0x265d9e45 -256 -256 -0 -0 -0 -10 -0xf36 -0xc13a29ef -256 -256 -1 -0 -0 -4 -0xd10a -0x52f9943a -256 -256 -1 -0 -0 -1 -0x4a63 -0x81e512e -256 -256 -0 -0 -0 -1 -0x520 -0xeeb9c46e -256 -256 -1 -0 -0 -3 -0x238a -0x37f18f38 -256 -256 -0 -0 -0 -7 -0x4c4f -0xf35bfe8b -256 -256 -0 -0 -0 -4 -0x9058 -0xe5b1f9d4 -256 -256 -0 -0 -0 -10 -0x5106 -0xe2814404 -256 -256 -1 -0 -0 -6 -0xe3b -0xc36514b0 -256 -256 -1 -0 -0 -10 -0xd225 -0x49d18e94 -256 -256 -0 -0 -0 -10 -0x5b6d -0x96319b99 -256 -256 -0 -0 -0 -9 -0x4ff8 -0xb51b5818 -256 -256 -0 -0 -0 -2 -0x82e1 -0x73461fce -256 -256 -1 -0 -0 -7 -0xa0f1 -0x5ba37727 -256 -256 -1 -0 -0 -2 -0xfa91 -0x7c135dcb -256 -256 -0 -0 -0 -1 -0xbd9a -0xf51312ac -256 -256 -0 -0 -0 -3 -0x253d -0xca8a5132 -256 -256 -0 -0 -0 -9 -0x6309 -0x3b892038 -256 -256 -1 -0 -0 -8 -0xee60 -0x4a396758 -256 -256 -0 -0 -0 -7 -0xb786 -0xe2f0955 -256 -256 -1 -0 -0 -6 -0x9f51 -0xe7a33a4a -256 -256 -0 -0 -0 -7 -0xc8a0 -0x4d6cba05 -256 -256 -1 -0 -0 -7 -0xa6ae -0xca5f2263 -256 -256 -1 -0 -0 -8 -0x6ca7 -0x67ba456c -256 -256 -1 -0 -0 -5 -0x94d5 -0xb4b9906c -256 -256 -1 -0 -0 -2 -0x3a25 -0x59cb0eaf -256 -256 -1 -0 -0 -4 -0x99f -0xcc2b505a -256 -256 -1 -0 -0 -6 -0x500d -0xf997afc6 -256 -256 -1 -0 -0 -5 -0xe88b -0x6d674ecf -256 -256 -0 -0 -0 -2 -0xb26 -0x6739e396 -256 -256 -0 -0 -0 -6 -0x7689 -0x9a617fe2 -256 -256 -0 -0 -0 -1 -0x39e2 -0xb5a8afa6 -256 -256 -0 -0 -0 -7 -0x2a0f -0xc1ce2816 -256 -256 -1 -0 -0 -2 -0xe249 -0xa8f8eea6 -256 -256 -1 -0 -0 -5 -0xcf84 -0xda9e6a3 -256 -256 -0 -0 -0 -8 -0xd80e -0x3a3a28b8 -256 -256 -1 -0 -0 -1 -0xe7d1 -0x10912b95 -256 -256 -0 -0 -0 -6 -0xd843 -0x45ad1f1e -256 -256 -1 -0 -0 -9 -0xc442 -0x20029eb2 -256 -256 -1 -0 -0 -10 -0xa0f1 -0x1ab8f86a -256 -256 -1 -0 -0 -9 -0xbb25 -0x4d4106ed -256 -256 -1 -0 -0 -5 -0x10a5 -0xb2eb2419 -256 -256 -0 -0 -0 -4 -0x6cbb -0x4ce74f8e -256 -256 -0 -0 -0 -8 -0x7a2b -0x3080c25c -256 -256 -1 -0 -0 -10 -0x3f81 -0x979fe850 -256 -256 -0 -0 -0 -4 -0x600a -0x6a39347c -256 -256 -1 -0 -0 -6 -0x17f -0xb357134d -256 -256 -1 -0 -0 -9 -0x9d55 -0x86ee3609 -256 -256 -0 -0 -0 -5 -0xdc97 -0x70aa0f46 -256 -256 -1 -0 -0 -4 -0x5579 -0xa82078f4 -256 -256 -0 -0 -0 -8 -0x5446 -0x4ab0c6f9 -256 -256 -1 -0 -0 -8 -0xefbb -0xa275b704 -256 -256 -1 -0 -0 -3 -0xa820 -0x8f6a6186 -256 -256 -1 -0 -0 -7 -0xa19b -0xa657b34 -256 -256 -1 -0 -0 -4 -0x1b23 -0x880179b1 -256 -256 -0 -0 -0 -10 -0xe352 -0x19517072 -256 -256 -1 -0 -0 -6 -0xc237 -0x1eb8d0aa -256 -256 -1 -0 -0 -1 -0x2714 -0xce1577f0 -256 -256 -0 -0 -0 -9 -0x4a9e -0xa0ac84ce -256 -256 -1 -0 -0 -5 -0xb0df -0xe640a508 -256 -256 -1 -0 -0 -7 -0x8af7 -0x9ea1a1ab -256 -256 -1 -0 -0 -8 -0xcd6f -0x5d37ee1 -256 -256 -1 -0 -0 -1 -0x292b -0x6e43879b -256 -256 -0 -0 -0 -9 -0xb9e3 -0x945b98cd -256 -256 -1 -0 -0 -10 -0xe0a6 -0x3cd37cb5 -256 -256 -0 -0 -0 -5 -0xa6ef -0xd4c32fac -256 -256 -0 -0 -0 -1 -0xa4d3 -0x6f5ea30 -256 -256 -1 -0 -0 -1 -0xd4ea -0x51e576ea -256 -256 -0 -0 -0 -1 -0x32ec -0x8dfd50bc -256 -256 -0 -0 -0 -3 -0x138e -0x77e2baeb -256 -256 -1 -0 -0 -6 -0xa73a -0xf1381321 -256 -256 -0 -0 -0 -8 -0xbf36 -0x27ce55df -256 -256 -1 -0 -0 -3 -0xf1ae -0xa4a2c35 -256 -256 -0 -0 -0 -6 -0xf116 -0x52ea2568 -256 -256 -1 -0 -0 -10 -0x6e61 -0xa03c9a25 -256 -256 -1 -0 -0 -5 -0x4ada -0xec4165b6 -256 -256 -1 -0 -0 -1 -0x4a8b -0x67f11f6c -256 -256 -1 -0 -0 -7 -0x61a1 -0xb1288782 -256 -256 -0 -0 -0 -8 -0xbcfb -0x528781b8 -256 -256 -0 -0 -0 -4 -0xefdd -0x7ea8e504 -256 -256 -0 -0 -0 -4 -0xea00 -0x112c331e -256 -256 -0 -0 -0 -2 -0xb865 -0xaa4b47ec -256 -256 -1 -0 -0 -7 -0x6831 -0xdcde1f1b -256 -256 -0 -0 -0 -8 -0xe1a -0x8035eba -256 -256 -1 -0 -0 -5 -0x6571 -0xf416ea2d -256 -256 -1 -0 -0 -7 -0xa982 -0x4b476c6e -256 -256 -0 -0 -0 -4 -0x429a -0x3a9fefad -256 -256 -0 -0 -0 -6 -0xfb37 -0x29151840 -256 -256 -0 -0 -0 -7 -0xfddf -0x4e8ed6d6 -256 -256 -1 -0 -0 -10 -0x2d4 -0xc9a33cc0 -256 -256 -1 -0 -0 -5 -0xcfdc -0xc0b896a7 -256 -256 -0 -0 -0 -9 -0x2247 -0xb13c6325 -256 -256 -1 -0 -0 -5 -0x4df6 -0x8c671adc -256 -256 -1 -0 -0 -10 -0x64a -0x8eec2b4f -256 -256 -1 -0 -0 -9 -0x7776 -0xba9caee7 -256 -256 -1 -0 -0 -8 -0xf74b -0x152ac14a -256 -256 -0 -0 -0 -2 -0xaeec -0x2abf3978 -256 -256 -1 -0 -0 -2 -0x2d87 -0xddad6a5c -256 -256 -0 -0 -0 -4 -0x2d57 -0x778fbe89 -256 -256 -0 -0 -0 -9 -0x860f -0x81169643 -256 -256 -0 -0 -0 -8 -0xe34 -0x2168f645 -256 -256 -1 -0 -0 -5 -0x30d2 -0x55d5895f -256 -256 -1 -0 -0 -5 -0xa93e -0x302041a8 -256 -256 -1 -0 -0 -2 -0xa6a0 -0x13fca6a8 -256 -256 -0 -0 -0 -3 -0xf224 -0xe087070c -256 -256 -0 -0 -0 -6 -0x2810 -0x26fd482d -256 -256 -0 -0 -0 -9 -0x6315 -0x175ee3c7 -256 -256 -0 -0 -0 -10 -0xbc69 -0xd0b5b028 -256 -256 -1 -0 -0 -5 -0xfef4 -0x82e0825d -256 -256 -1 -0 -0 -9 -0x66a8 -0x8f23239c -256 -256 -1 -0 -0 -1 -0xf8e -0x28462a11 -256 -256 -1 -0 -0 -9 -0x86cf -0xb475afdf -256 -256 -1 -0 -0 -3 -0xd36c -0x8ad75d7a -256 -256 -1 -0 -0 -8 -0x45bb -0xbcf4b2f9 -256 -256 -0 -0 -0 -3 -0xdda1 -0x9c2e00c2 -256 -256 -0 -0 -0 -10 -0x5a3c -0x226b6ee5 -256 -256 -0 -0 -0 -10 -0xe75c -0x2b454618 -256 -256 -1 -0 -0 -7 -0x1164 -0x60961ba5 -256 -256 -1 -0 -0 -2 -0xc82 -0x5da7c02f -256 -256 -1 -0 -0 -3 -0xcb40 -0x4a3ec5a4 -256 -256 -0 -0 -0 -6 -0xa355 -0xcef1cb27 -256 -256 -1 -0 -0 -9 -0x1824 -0x25da8fce -256 -256 -1 -0 -0 -1 -0xa84e -0x9ce1acc5 -256 -256 -0 -0 -0 -10 -0x8051 -0x61eaa9b -256 -256 -1 -0 -0 -1 -0x6e65 -0xade44c68 -256 -256 -1 -0 -0 -6 -0xd7cb -0xd37b32f7 -256 -256 -1 -0 -0 -6 -0xf515 -0xf97b75db -256 -256 -1 -0 -0 -6 -0x424 -0xf5d11b9a -256 -256 -0 -0 -0 -3 -0xa498 -0x210f2de0 -256 -256 -0 -0 -0 -9 -0x4f49 -0xb044bb6a -256 -256 -1 -0 -0 -1 -0x4576 -0x19004398 -256 -256 -0 -0 -0 -5 -0x54d8 -0x71fa2979 -256 -256 -0 -0 -0 -7 -0x87fd -0x998a05a2 -256 -256 -1 -0 -0 -7 -0x6001 -0xdebb9969 -256 -256 -1 -0 -0 -3 -0x21f -0xf21ce47d -256 -256 -1 -0 -0 -10 -0xdf6a -0x2c75661 -256 -256 -0 -0 -0 -2 -0x404f -0x8d506859 -256 -256 -1 -0 -0 -2 -0x1b2a -0x644eb6c5 -256 -256 -1 -0 -0 -9 -0x975c -0x6a488ccf -256 -256 -1 -0 -0 -6 -0x2cb9 -0x46801f0b -256 -256 -0 -0 -0 -7 -0xc001 -0x2a9fa677 -256 -256 -0 -0 -0 -6 -0x989b -0x94530b6e -256 -256 -1 -0 -0 -10 -0x95c5 -0xa07772a0 -256 -256 -1 -0 -0 -6 -0xb5f0 -0xcbe321c -256 -256 -1 -0 -0 -1 -0x72eb -0x68207170 -256 -256 -1 -0 -0 -2 -0xba6f -0x13ce7c69 -256 -256 -0 -0 -0 -6 -0xdf2 -0x90c5e9e -256 -256 -1 -0 -0 -8 -0xd4de -0xc65e96ef -256 -256 -0 -0 -0 -2 -0xf0de -0xcbb63ea9 -256 -256 -0 -0 -0 -1 -0xb328 -0x8efef796 -256 -256 -0 -0 -0 -4 -0x30ef -0x472925a8 -256 -256 -0 -0 -0 -4 -0x2eef -0x250c8b5f -256 -256 -1 -0 -0 -7 -0x15ef -0x13ae3235 -256 -256 -1 -0 -0 -3 -0x14e1 -0xb77533c0 -256 -256 -1 -0 -0 -10 -0xd07b -0xaa65c54c -256 -256 -1 -0 -0 -9 -0x941a -0xd14ccb84 -256 -256 -1 -0 -0 -8 -0xe3c -0xfb9b5512 -256 -256 -1 -0 -0 -5 -0xa536 -0x2e044372 -256 -256 -1 -0 -0 -6 -0xd71a -0x3fd3404b -256 -256 -0 -0 -0 -10 -0xebc8 -0x6f681706 -256 -256 -1 -0 -0 -4 -0x99ce -0x141f9d35 -256 -256 -1 -0 -0 -7 -0xf07a -0xa92f4801 -256 -256 -0 -0 -0 -5 -0x48c1 -0x217388d1 -256 -256 -1 -0 -0 -4 -0xe3d3 -0x5936dfbf -256 -256 -1 -0 -0 -10 -0x61bc -0x7732900a -256 -256 -0 -0 -0 -3 -0xad3d -0xaa101779 -256 -256 -0 -0 -0 -2 -0x10b6 -0xd96ce726 -256 -256 -1 -0 -0 -7 -0xf20b -0xc452b0b -256 -256 -1 -0 -0 -2 -0x3d9c -0xfff256a9 -256 -256 -0 -0 -0 -6 -0x306b -0xed466d7b -256 -256 -1 -0 -0 -4 -0xd34a -0x12aca145 -256 -256 -0 -0 -0 -10 -0x6c6b -0xc4268d00 -256 -256 -0 -0 -0 -10 -0x20b3 -0x67cabcd9 -256 -256 -1 -0 -0 -10 -0x5405 -0xf47ee977 -256 -256 -1 -0 -0 -9 -0x3b95 -0x1bcf78e9 -256 -256 -1 -0 -0 -6 -0xdd5e -0x11ed215a -256 -256 -0 -0 -0 -3 -0x1b5d -0x965f1aaa -256 -256 -0 -0 -0 -7 -0x61c9 -0xd7aa9cda -256 -256 -0 -0 -0 -4 -0xfa8 -0x1ed3753d -256 -256 -0 -0 -0 -9 -0xde82 -0x4a224a78 -256 -256 -1 -0 -0 -1 -0x116b -0x788a3b85 -256 -256 -0 -0 -0 -7 -0xab6c -0xf5a19b34 -256 -256 -1 -0 -0 -4 -0x95e9 -0xf3dd55ed -256 -256 -1 -0 -0 -6 -0xfde1 -0x667f4d0a -256 -256 -0 -0 -0 -1 -0xb8f7 -0x5462df00 -256 -256 -1 -0 -0 -4 -0xa5c -0x2f873376 -256 -256 -0 -0 -0 -10 -0x9bf0 -0x8942df25 -256 -256 -0 -0 -0 -2 -0x9a8f -0xc2b85e5 -256 -256 -0 -0 -0 -6 -0xe3cc -0x450f6577 -256 -256 -1 -0 -0 -4 -0x1c2d -0x55acb3e0 -256 -256 -0 -0 -0 -10 -0x5fee -0xc73fb410 -256 -256 -1 -0 -0 -2 -0x2a7d -0xf894ce06 -256 -256 -1 -0 -0 -10 -0xc049 -0xfc6be672 -256 -256 -1 -0 -0 -6 -0x5176 -0xe5cf130d -256 -256 -0 -0 -0 -1 -0x973a -0x6ec0cdec -256 -256 -1 -0 -0 -4 -0xbfe1 -0xc044a90 -256 -256 -1 -0 -0 -5 -0x2cd -0x7dabb2 -256 -256 -0 -0 -0 -3 -0x2bfe -0x7e09f189 -256 -256 -0 -0 -0 -8 -0x6a2d -0x18419438 -256 -256 -0 -0 -0 -5 -0xb6a2 -0x15c4d4c4 -256 -256 -0 -0 -0 -3 -0xa24a -0xa6639528 -256 -256 -1 -0 -0 -8 -0x20cd -0x7ca93c03 -256 -256 -0 -0 -0 -2 -0xfac3 -0x10429747 -256 -256 -0 -0 -0 -10 -0x6fe7 -0xf4358198 -256 -256 -0 -0 -0 -1 -0x1f8d -0xe307ea4f -256 -256 -0 -0 -0 -1 -0xa8d1 -0x7b69a4cf -256 -256 -1 -0 -0 -8 -0xfb3a -0xa915bb7c -256 -256 -1 -0 -0 -2 -0x3926 -0xe5779b51 -256 -256 -1 -0 -0 -3 -0x4dbe -0xa7c6bcd2 -256 -256 -0 -0 -0 -7 -0xe290 -0xd6b8c24d -256 -256 -1 -0 -0 -5 -0xdb97 -0x48523d77 -256 -256 -0 -0 -0 -1 -0xdebf -0x75c292fa -256 -256 -1 -0 -0 -9 -0xaa34 -0x4cee4e63 -256 -256 -1 -0 -0 -3 -0xf1fb -0x18015892 -256 -256 -1 -0 -0 -2 -0x5691 -0x1ad28db0 -256 -256 -0 -0 -0 -3 -0xb4dc -0xd4eaa653 -256 -256 -0 -0 -0 -2 -0xe58f -0x921da306 -256 -256 -0 -0 -0 -10 -0xc201 -0xea496fda -256 -256 -0 -0 -0 -3 -0x690e -0x36c4ae05 -256 -256 -0 -0 -0 -10 -0xe0d6 -0x4139f43c -256 -256 -0 -0 -0 -9 -0xff32 -0x1f9866c2 -256 -256 -0 -0 -0 -10 -0x5684 -0x3749acb6 -256 -256 -1 -0 -0 -1 -0x9ad -0x6d47c844 -256 -256 -1 -0 -0 -5 -0x7c33 -0x43d6b06c -256 -256 -1 -0 -0 -6 -0x24bb -0x47ac1597 -256 -256 -0 -0 -0 -5 -0x5462 -0x53e49066 -256 -256 -1 -0 -0 -1 -0x49f1 -0x6cacce85 -256 -256 -1 -0 -0 -8 -0x1b8c -0x29c7b443 -256 -256 -0 -0 -0 -8 -0x7edd -0x52640b3a -256 -256 -1 -0 -0 -5 -0xc283 -0x786068b0 -256 -256 -1 -0 -0 -5 -0xad2c -0x696f00ca -256 -256 -0 -0 -0 -2 -0xc0be -0x892ee489 -256 -256 -1 -0 -0 -9 -0xd7f5 -0x1ee9bd94 -256 -256 -0 -0 -0 -9 -0x24b1 -0x52354a1c -256 -256 -0 -0 -0 -3 -0x30c -0xeb57f8dc -256 -256 -0 -0 -0 -10 -0xfa62 -0x91b13bc1 -256 -256 -0 -0 -0 -6 -0x8502 -0x66620dcd -256 -256 -1 -0 -0 -5 -0xed7f -0x8980bbee -256 -256 -0 -0 -0 -9 -0x9515 -0x8cbd8ed2 -256 -256 -0 -0 -0 -5 -0xcf7b -0x36c8d695 -256 -256 -0 -0 -0 -10 -0x282 -0x25912f7c -256 -256 -0 -0 -0 -9 -0x7d63 -0x17d3d06e -256 -256 -0 -0 -0 -4 -0x52cd -0xefa90a4 -256 -256 -0 -0 -0 -3 -0xbc5 -0x8aeb6694 -256 -256 -0 -0 -0 -5 -0xd664 -0x60aa1ac0 -256 -256 -1 -0 -0 -10 -0xf0ee -0xec766010 -256 -256 -0 -0 -0 -3 -0xd80e -0x91348eab -256 -256 -1 -0 -0 -4 -0x353e -0x106db10f -256 -256 -1 -0 -0 -5 -0x46e1 -0x34adcf62 -256 -256 -0 -0 -0 -3 -0x7d3 -0xc02fdf3e -256 -256 -1 -0 -0 -3 -0xfe45 -0x7fdd4a19 -256 -256 -0 -0 -0 -7 -0x2fdf -0x56c9d25 -256 -256 -1 -0 -0 -7 -0x9939 -0xd3dea947 -256 -256 -0 -0 -0 -5 -0xcc62 -0xcfe320bf -256 -256 -0 -0 -0 -6 -0x7de7 -0x398aed6c -256 -256 -1 -0 -0 -9 -0x8508 -0x6a0082ea -256 -256 -0 -0 -0 -9 -0x316c -0x5f32cea -256 -256 -1 -0 -0 -7 -0x7741 -0x7fca6b92 -256 -256 -0 -0 -0 -6 -0xa496 -0x8f9140ac -256 -256 -1 -0 -0 -3 -0xcece -0x1ef9af5c -256 -256 -1 -0 -0 -1 -0x7775 -0x5465087b -256 -256 -1 -0 -0 -9 -0x4402 -0x63f785cd -256 -256 -1 -0 -0 -9 -0xabec -0x536f08d7 -256 -256 -1 -0 -0 -8 -0xc00a -0xecea3412 -256 -256 -0 -0 -0 -6 -0xe01e -0x820502a4 -256 -256 -1 -0 -0 -9 -0x9d79 -0x5ea0e2c0 -256 -256 -1 -0 -0 -2 -0x36eb -0xafed3025 -256 -256 -0 -0 -0 -1 -0x8ea8 -0xd6b47f32 -256 -256 -1 -0 -0 -10 -0xbb76 -0x282156d6 -256 -256 -0 -0 -0 -1 -0x35a4 -0x10d95066 -256 -256 -1 -0 -0 -5 -0x67f1 -0xe8c3d36 -256 -256 -0 -0 -0 -10 -0x11ef -0x138236a4 -256 -256 -0 -0 -0 -10 -0x7abd -0xb96345af -256 -256 -1 -0 -0 -8 -0xfeb3 -0x4d2a985c -256 -256 -0 -0 -0 -7 -0xb6b9 -0xa00b1847 -256 -256 -1 -0 -0 -1 -0x6ebf -0x1167ab1e -256 -256 -0 -0 -0 -1 -0x8881 -0x19fda198 -256 -256 -1 -0 -0 -2 -0xca89 -0x18ffbf45 -256 -256 -1 -0 -0 -9 -0xd565 -0x5d6d8f56 -256 -256 -0 -0 -0 -3 -0x5971 -0x6372656b -256 -256 -1 -0 -0 -1 -0x433f -0xf57e2154 -256 -256 -0 -0 -0 -5 -0x9470 -0xd75f5f52 -256 -256 -1 -0 -0 -7 -0xb5a3 -0x7c8135da -256 -256 -0 -0 -0 -8 -0xb1d6 -0xe5cacc38 -256 -256 -0 -0 -0 -5 -0x3d89 -0x10b2801e -256 -256 -1 -0 -0 -6 -0x98ed -0x89db398f -256 -256 -1 -0 -0 -4 -0x93b2 -0xccf17f13 -256 -256 -0 -0 -0 -7 -0xd798 -0xc04fe955 -256 -256 -1 -0 -0 -6 -0x54e1 -0x5ae67385 -256 -256 -1 -0 -0 -6 -0xa521 -0xc818d1c1 -256 -256 -1 -0 -0 -5 -0xd539 -0x261907d3 -256 -256 -0 -0 -0 -1 -0x48cd -0x2eb78e9 -256 -256 -1 -0 -0 -10 -0xbcab -0x92291ae1 -256 -256 -0 -0 -0 -1 -0x30d -0x3e4fc37 -256 -256 -1 -0 -0 -9 -0xd798 -0x1cfd3ee -256 -256 -0 -0 -0 -10 -0xaae6 -0x7ec5e684 -256 -256 -0 -0 -0 -7 -0x5413 -0x90d8236d -256 -256 -1 -0 -0 -8 -0x6066 -0x4ab341d4 -256 -256 -0 -0 -0 -9 -0x81e1 -0xbda7f8c0 -256 -256 -0 -0 -0 -2 -0xf65c -0x2c4afb07 -256 -256 -0 -0 -0 -10 -0xd1a4 -0xc1c2a41a -256 -256 -0 -0 -0 -9 -0x48c6 -0xfc5f15cf -256 -256 -0 -0 -0 -7 -0x688d -0x71ff160 -256 -256 -1 -0 -0 -4 -0x580a -0x3f9d7cda -256 -256 -1 -0 -0 -2 -0xeacf -0x80d116b8 -256 -256 -0 -0 -0 -5 -0x127c -0xd1ea8758 -256 -256 -1 -0 -0 -3 -0x5912 -0x70453b3c -256 -256 -1 -0 -0 -5 -0xff6 -0xd409f1bd -256 -256 -0 -0 -0 -6 -0xdf3e -0x3fa85613 -256 -256 -0 -0 -0 -7 -0xf036 -0x543d0989 -256 -256 -1 -0 -0 -6 -0x2744 -0x29003f55 -256 -256 -1 -0 -0 -6 -0xaeca -0x47e57953 -256 -256 -1 -0 -0 -2 -0x3a7f -0x1d1c59be -256 -256 -0 -0 -0 -5 -0xde86 -0x4a751608 -256 -256 -1 -0 -0 -8 -0xf91e -0x15152ae7 -256 -256 -1 -0 -0 -2 -0x2f1d -0x11ee7cc2 -256 -256 -1 -0 -0 -1 -0x7d7c -0x9fdf0525 -256 -256 -0 -0 -0 -10 -0xbb60 -0x1798b6b5 -256 -256 -1 -0 -0 -6 -0x50b7 -0xd83a90eb -256 -256 -0 -0 -0 -5 -0x160e -0x56097303 -256 -256 -1 -0 -0 -8 -0x18a5 -0x904e0dfb -256 -256 -0 -0 -0 -3 -0x5ed2 -0xc843447e -256 -256 -0 -0 -0 -6 -0xdcaa -0xe268023a -256 -256 -0 -0 -0 -2 -0xdca6 -0x6f69dc4c -256 -256 -0 -0 -0 -6 -0xa453 -0x8e2c9d8 -256 -256 -0 -0 -0 -4 -0x6201 -0xe8b6f322 -256 -256 -0 -0 -0 -2 -0x6b6e -0xf36ba1a1 -256 -256 -0 -0 -0 -5 -0xc167 -0x4793307c -256 -256 -0 -0 -0 -1 -0x88cb -0xf2878e95 -256 -256 -0 -0 -0 -4 -0xbde8 -0x9c9a079a -256 -256 -1 -0 -0 -4 -0xa5c5 -0x16845cba -256 -256 -0 -0 -0 -5 -0xdc82 -0x6d738f62 -256 -256 -1 -0 -0 -3 -0xf331 -0xd064022f -256 -256 -0 -0 -0 -2 -0xb02e -0x176b75ef -256 -256 -0 -0 -0 -6 -0xbaad -0xb7c9d162 -256 -256 -0 -0 -0 -5 -0x24f6 -0xff3fe418 -256 -256 -1 -0 -0 -1 -0xf8a3 -0xee7854c3 -256 -256 -0 -0 -0 -9 -0xd0b8 -0x19d08eca -256 -256 -1 -0 -0 -6 -0x2e7e -0x68c72148 -256 -256 -0 -0 -0 -2 -0xeb91 -0x192a2c50 -256 -256 -0 -0 -0 -9 -0xf5c1 -0xd8ee339e -256 -256 -1 -0 -0 -8 -0x9607 -0x79aec50a -256 -256 -0 -0 -0 -4 -0xab1f -0xc7020d10 -256 -256 -0 -0 -0 -8 -0xdaea -0xf592c8a1 -256 -256 -1 -0 -0 -10 -0xc66 -0x63095d8d -256 -256 -1 -0 -0 -7 -0x2e2d -0xab6e0259 -256 -256 -0 -0 -0 -1 -0xdf48 -0x96b6888 -256 -256 -1 -0 -0 -3 -0x9314 -0x4aaa4781 -256 -256 -0 -0 -0 -10 -0xd3e7 -0xdbbd6708 -256 -256 -0 -0 -0 -7 -0x8af4 -0x94049cac -256 -256 -0 -0 -0 -9 -0x5956 -0x6ab4eb99 -256 -256 -1 -0 -0 -7 -0x1c63 -0x778053bc -256 -256 -1 -0 -0 -3 -0x1d55 -0xf2248c16 -256 -256 -0 -0 -0 -3 -0xdad5 -0x36443c14 -256 -256 -0 -0 -0 -10 -0xaa3b -0xef9c1b6e -256 -256 -1 -0 -0 -10 -0x4b85 -0x9caf1022 -256 -256 -0 -0 -0 -4 -0x8b9b -0x4c70006f -256 -256 -1 -0 -0 -6 -0x2975 -0x4a1a549b -256 -256 -1 -0 -0 -2 -0x66d4 -0x6d87b9fc -256 -256 -1 -0 -0 -4 -0xfbf7 -0x304e3ef5 -256 -256 -1 -0 -0 -6 -0x5e3c -0x477e2ac0 -256 -256 -1 -0 -0 -4 -0x5096 -0xeda2574b -256 -256 -0 -0 -0 -3 -0x2855 -0xb515638 -256 -256 -0 -0 -0 -3 -0xe526 -0x8991f414 -256 -256 -0 -0 -0 -6 -0x67ba -0xa2007995 -256 -256 -1 -0 -0 -6 -0xa4c5 -0x1287dc15 -256 -256 -1 -0 -0 -3 -0x999d -0xeada83b5 -256 -256 -1 -0 -0 -7 -0xd7bf -0x7cad2996 -256 -256 -1 -0 -0 -4 -0x9e66 -0xfaab3ec -256 -256 -0 -0 -0 -3 -0xb7bb -0x544a3a0c -256 -256 -0 -0 -0 -7 -0xe380 -0xfb9810b2 -256 -256 -1 -0 -0 -4 -0x5c98 -0x68a018f5 -256 -256 -1 -0 -0 -4 -0x489d -0x155ed5d7 -256 -256 -1 -0 -0 -4 -0x3b91 -0x7e27c958 -256 -256 -1 -0 -0 -3 -0xa69e -0x1a787f6e -256 -256 -1 -0 -0 -2 -0xbccb -0x1c76d6c5 -256 -256 -0 -0 -0 -6 -0xfd82 -0x542497da -256 -256 -0 -0 -0 -4 -0x58a7 -0x881813b8 -256 -256 -0 -0 -0 -4 -0xdd70 -0x48e4a41b -256 -256 -0 -0 -0 -3 -0x6f20 -0xa48b971a -256 -256 -0 -0 -0 -2 -0x4d9c -0x3b446efd -256 -256 -0 -0 -0 -4 -0x3d62 -0xaa0d08fb -256 -256 -1 -0 -0 -7 -0xd185 -0x8c05e359 -256 -256 -1 -0 -0 -5 -0x260a -0x2adfa06 -256 -256 -0 -0 -0 -1 -0x8491 -0xd5526eec -256 -256 -1 -0 -0 -4 -0x5116 -0x332b51e1 -256 -256 -0 -0 -0 -3 -0x51f9 -0x915fc8d8 -256 -256 -0 -0 -0 -9 -0x6c3b -0x1fdf07da -256 -256 -1 -0 -0 -2 -0x84 -0xd84d78fe -256 -256 -0 -0 -0 -10 -0x1625 -0xb7e0f9df -256 -256 -0 -0 -0 -8 -0xb025 -0x6e2765ba -256 -256 -0 -0 -0 -5 -0xdb9 -0xfee5e5d1 -256 -256 -1 -0 -0 -6 -0x11b4 -0xaf1b6064 -256 -256 -0 -0 -0 -10 -0xf440 -0x4ebd18a5 -256 -256 -0 -0 -0 -3 -0xba11 -0xb7a842dd -256 -256 -1 -0 -0 -5 -0xdf35 -0x95fbda3a -256 -256 -0 -0 -0 -1 -0xfc4c -0xc7cfe24c -256 -256 -1 -0 -0 -2 -0x9592 -0xdffb132b -256 -256 -0 -0 -0 -6 -0x15dd -0x4a002e70 -256 -256 -0 -0 -0 -7 -0x3cdc -0xb413a411 -256 -256 -1 -0 -0 -4 -0x1ef0 -0xb989c62a -256 -256 -1 -0 -0 -9 -0x51b2 -0x251c154b -256 -256 -1 -0 -0 -10 -0xc312 -0x66ee8445 -256 -256 -0 -0 -0 -7 -0xb93a -0xa6d44222 -256 -256 -0 -0 -0 -2 -0xa955 -0xe97336f8 -256 -256 -1 -0 -0 -8 -0x478 -0x4f3ad5c9 -256 -256 -0 -0 -0 -3 -0x93de -0xa36ac073 -256 -256 -1 -0 -0 -8 -0xb47e -0xd3ecd7dc -256 -256 -0 -0 -0 -7 -0xa910 -0xf7606197 -256 -256 -1 -0 -0 -2 -0x5852 -0xd121b03c -256 -256 -0 -0 -0 -1 -0x3c4f -0xc0a25782 -256 -256 -1 -0 -0 -3 -0xedb2 -0xd320fd37 -256 -256 -0 -0 -0 -6 -0x56a7 -0xf0e08be -256 -256 -1 -0 -0 -3 -0x6aff -0xa1acbaac -256 -256 -1 -0 -0 -9 -0x36a4 -0x62591ff8 -256 -256 -0 -0 -0 -6 -0x6f2d -0x92718102 -256 -256 -0 -0 -0 -3 -0xdb1e -0x93af3db0 -256 -256 -0 -0 -0 -9 -0x7b73 -0xdc62c1be -256 -256 -0 -0 -0 -1 -0x7be1 -0xf26963f0 -256 -256 -1 -0 -0 -8 -0xade9 -0x200e91c6 -256 -256 -1 -0 -0 -7 -0x7669 -0x24b158f -256 -256 -1 -0 -0 -2 -0xc895 -0x6b020ad2 -256 -256 -1 -0 -0 -5 -0xe5c -0x80d5e3bc -256 -256 -1 -0 -0 -4 -0x3647 -0x4b2266da -256 -256 -0 -0 -0 -3 -0x141d -0xa56b365b -256 -256 -0 -0 -0 -10 -0x9d24 -0x1aa589e6 -256 -256 -1 -0 -0 -8 -0x2ded -0x6b129392 -256 -256 -1 -0 -0 -1 -0x8587 -0x62b86e26 -256 -256 -1 -0 -0 -10 -0x5187 -0xcc4ae5ae -256 -256 -0 -0 -0 -7 -0x9570 -0x7176bd6a -256 -256 -0 -0 -0 -5 -0x1425 -0x4885e38e -256 -256 -1 -0 -0 -2 -0xe4e2 -0xf84f09c -256 -256 -0 -0 -0 -8 -0x2268 -0x3d37c46f -256 -256 -1 -0 -0 -9 -0x9e0b -0xa5173c0b -256 -256 -0 -0 -0 -3 -0xdd56 -0x90f17445 -256 -256 -1 -0 -0 -7 -0xb3b9 -0xef9b2798 -256 -256 -0 -0 -0 -4 -0x3e2f -0x32dde712 -256 -256 -1 -0 -0 -5 -0xb448 -0x7575ced8 -256 -256 -1 -0 -0 -6 -0xbca0 -0x25d5f8c7 -256 -256 -1 -0 -0 -8 -0x7320 -0xc100733f -256 -256 -1 -0 -0 -5 -0xd9b7 -0x1ae3d050 -256 -256 -0 -0 -0 -8 -0x2305 -0x620add40 -256 -256 -0 -0 -0 -4 -0x119b -0x2ba85055 -256 -256 -0 -0 -0 -2 -0x5e30 -0x11513e1e -256 -256 -0 -0 -0 -5 -0x3762 -0x791dae29 -256 -256 -1 -0 -0 -7 -0x13d2 -0x9a913080 -256 -256 -1 -0 -0 -2 -0x34a8 -0x73a952ac -256 -256 -1 -0 -0 -4 -0x7219 -0x6a35c5d -256 -256 -0 -0 -0 -6 -0xc4a5 -0xc2c78cd1 -256 -256 -0 -0 -0 -2 -0x6148 -0xddd22044 -256 -256 -0 -0 -0 -2 -0xe5c6 -0xa668e7e7 -256 -256 -1 -0 -0 -5 -0x19ad -0xf2548dbb -256 -256 -0 -0 -0 -3 -0x3181 -0x7e88405b -256 -256 -0 -0 -0 -3 -0x815f -0x2f5b8209 -256 -256 -0 -0 -0 -4 -0xbf19 -0x2197d898 -256 -256 -0 -0 -0 -1 -0x12b8 -0x5f2e006b -256 -256 -1 -0 -0 -6 -0x757d -0xacb40292 -256 -256 -1 -0 -0 -5 -0x6d77 -0x595b6646 -256 -256 -0 -0 -0 -3 -0x8976 -0x3db638fa -256 -256 -0 -0 -0 -1 -0x404e -0x6801d3f9 -256 -256 -0 -0 -0 -7 -0xd498 -0xd88c6d26 -256 -256 -1 -0 -0 -1 -0x1865 -0xdc76278b -256 -256 -1 -0 -0 -9 -0xd90e -0x31a14917 -256 -256 -1 -0 -0 -8 -0xad5b -0x51381781 -256 -256 -1 -0 -0 -6 -0x5a2f -0x334c30f7 -256 -256 -0 -0 -0 -4 -0x3645 -0x4ac6d9ad -256 -256 -0 -0 -0 -4 -0x87a7 -0xdfb89d4 -256 -256 -1 -0 -0 -5 -0x94b -0x891cbd67 -256 -256 -1 -0 -0 -8 -0xd40f -0xc47b245f -256 -256 -1 -0 -0 -5 -0x9ee6 -0x98b6ca59 -256 -256 -1 -0 -0 -1 -0xdb1a -0xce2d5c4a -256 -256 -0 -0 -0 -9 -0xcb35 -0x505f6f1d -256 -256 -0 -0 -0 -7 -0x3d96 -0x13a10d0 -256 -256 -0 -0 -0 -10 -0xbda1 -0xba22faf9 -256 -256 -1 -0 -0 -6 -0xa1e2 -0x39d36610 -256 -256 -1 -0 -0 -2 -0xca4d -0x4b0f9f7e -256 -256 -0 -0 -0 -6 -0xeee9 -0x2dd1dc32 -256 -256 -0 -0 -0 -9 -0x83ec -0x66c6211e -256 -256 -0 -0 -0 -4 -0x23a6 -0x16a16c7c -256 -256 -0 -0 -0 -1 -0x520b -0xf6a0d21c -256 -256 -1 -0 -0 -2 -0x164e -0x17057b2e -256 -256 -1 -0 -0 -5 -0x8ef0 -0x669e95cf -256 -256 -0 -0 -0 -9 -0x2960 -0xae034dfc -256 -256 -1 -0 -0 -6 -0x4b90 -0x16da681f -256 -256 -1 -0 -0 -8 -0x1757 -0xbb5af12e -256 -256 -0 -0 -0 -10 -0x5ce2 -0x25b3628b -256 -256 -0 -0 -0 -1 -0x2b15 -0x603c2813 -256 -256 -1 -0 -0 -7 -0x90a9 -0x9ab2a885 -256 -256 -0 -0 -0 -1 -0xe531 -0xe0c6dcd5 -256 -256 -0 -0 -0 -8 -0xab31 -0x9e4df630 -256 -256 -1 -0 -0 -2 -0x1bbd -0xc3eeac51 -256 -256 -0 -0 -0 -7 -0x9918 -0x668595c1 -256 -256 -0 -0 -0 -10 -0x5750 -0xf08df06d -256 -256 -0 -0 -0 -5 -0xe1c8 -0x7158c743 -256 -256 -0 -0 -0 -5 -0xb0a5 -0xfe1b4db1 -256 -256 -1 -0 -0 -8 -0x46e5 -0x96b9e837 -256 -256 -1 -0 -0 -6 -0x504a -0x564f76ae -256 -256 -0 -0 -0 -8 -0x28c6 -0x5bf9e631 -256 -256 -1 -0 -0 -8 -0xedd2 -0x767c50ce -256 -256 -0 -0 -0 -10 -0x5bc -0xfaaf8e8d -256 -256 -0 -0 -0 -5 -0xe8e4 -0x7a6e69c0 -256 -256 -1 -0 -0 -8 -0x9ade -0x51c4cc75 -256 -256 -0 -0 -0 -1 -0xd4b0 -0x4d736c53 -256 -256 -1 -0 -0 -5 -0xda3d -0xdb1babe0 -256 -256 -1 -0 -0 -4 -0x289d -0xedc518e1 -256 -256 -0 -0 -0 -6 -0xa9dc -0xb2bd2fd4 -256 -256 -1 -0 -0 -7 -0x4af9 -0x765e612c -256 -256 -1 -0 -0 -1 -0x97f7 -0x882eaf65 -256 -256 -0 -0 -0 -5 -0x4596 -0x25c75ccf -256 -256 -1 -0 -0 -1 -0x3ff2 -0x37e7d45 -256 -256 -0 -0 -0 -8 -0x740c -0x4fcc0110 -256 -256 -0 -0 -0 -7 -0x2de2 -0xb4321817 -256 -256 -0 -0 -0 -1 -0x1373 -0x87bcb2ed -256 -256 -0 -0 -0 -9 -0x4344 -0x3464665 -256 -256 -0 -0 -0 -10 -0x3ac1 -0xab044276 -256 -256 -0 -0 -0 -3 -0x245f -0xcfc7126 -256 -256 -1 -0 -0 -7 -0xd81e -0x466c4049 -256 -256 -0 -0 -0 -3 -0x4d1c -0x87169577 -256 -256 -1 -0 -0 -4 -0x9b83 -0x31eac952 -256 -256 -1 -0 -0 -10 -0x30a8 -0x4f074c86 -256 -256 -1 -0 -0 -6 -0x67b8 -0xcf135122 -256 -256 -1 -0 -0 -5 -0xedcb -0xbdbab21a -256 -256 -1 -0 -0 -6 -0x9e2b -0x686179e1 -256 -256 -1 -0 -0 -10 -0xd260 -0xeb8ac11e -256 -256 -1 -0 -0 -6 -0xbd30 -0x68bb93aa -256 -256 -1 -0 -0 -7 -0xc26a -0x1d362e4c -256 -256 -1 -0 -0 -8 -0x2e01 -0xf5cfb1b1 -256 -256 -1 -0 -0 -10 -0x3c34 -0x2df5b359 -256 -256 -0 -0 -0 -8 -0x18db -0xd931d95e -256 -256 -0 -0 -0 -10 -0x8f63 -0x1094abfe -256 -256 -0 -0 -0 -6 -0x37b6 -0xc29512f7 -256 -256 -0 -0 -0 -5 -0x977a -0x72563114 -256 -256 -1 -0 -0 -8 -0x1dbc -0x4e66ba54 -256 -256 -0 -0 -0 -1 -0x698b -0x29147885 -256 -256 -1 -0 -0 -6 -0xe88f -0xe7de3072 -256 -256 -0 -0 -0 -4 -0x4481 -0x72c63b5b -256 -256 -1 -0 -0 -4 -0x6449 -0x34ada125 -256 -256 -1 -0 -0 -1 -0x32d1 -0xa12bc582 -256 -256 -1 -0 -0 -1 -0x589c -0x2b9ed2ce -256 -256 -1 -0 -0 -2 -0xde22 -0xb7503665 -256 -256 -1 -0 -0 -2 -0xa3c2 -0x73ec8bcd -256 -256 -1 -0 -0 -7 -0x7aa3 -0x82c58c90 -256 -256 -1 -0 -0 -8 -0xb575 -0x1fba4c0d -256 -256 -0 -0 -0 -10 -0x28e -0x637fcb9f -256 -256 -0 -0 -0 -8 -0x6737 -0x41029afc -256 -256 -0 -0 -0 -3 -0x508 -0x286eef48 -256 -256 -0 -0 -0 -8 -0x7bb8 -0x14030eb2 -256 -256 -0 -0 -0 -8 -0x67ee -0x70d6ddea -256 -256 -0 -0 -0 -7 -0x55a8 -0x483eb199 -256 -256 -0 -0 -0 -5 -0x4541 -0xde052d2c -256 -256 -0 -0 -0 -3 -0xcafc -0x44cdd1ba -256 -256 -1 -0 -0 -9 -0x1ea2 -0xa282b124 -256 -256 -0 -0 -0 -5 -0x8839 -0x659636d1 -256 -256 -1 -0 -0 -6 -0x6520 -0x71b259cd -256 -256 -1 -0 -0 -10 -0x6256 -0x2dbda162 -256 -256 -1 -0 -0 -1 -0xdc93 -0xeed53e44 -256 -256 -1 -0 -0 -3 -0x1d33 -0x6c5b7351 -256 -256 -1 -0 -0 -9 -0x50ba -0x53f075f3 -256 -256 -1 -0 -0 -8 -0xad4c -0x72968b90 -256 -256 -0 -0 -0 -1 -0x5337 -0x59fd3069 -256 -256 -1 -0 -0 -8 -0x3f2 -0xc6911721 -256 -256 -1 -0 -0 -9 -0x2b61 -0xece1df2c -256 -256 -0 -0 -0 -2 -0xb9c0 -0x8ccd4f8d -256 -256 -1 -0 -0 -4 -0x21af -0x5c7186a -256 -256 -1 -0 -0 -6 -0x26ed -0x69b61c29 -256 -256 -0 -0 -0 -6 -0x3193 -0x64b09d18 -256 -256 -1 -0 -0 -10 -0x1232 -0x3fc808e9 -256 -256 -0 -0 -0 -1 -0xbca -0xacef7d09 -256 -256 -1 -0 -0 -6 -0x37e4 -0xbf79e34a -256 -256 -0 -0 -0 -5 -0x304 -0xc27c65a8 -256 -256 -1 -0 -0 -3 -0x3b2e -0x403cdf54 -256 -256 -1 -0 -0 -3 -0xa3f4 -0x59c15d4 -256 -256 -1 -0 -0 -6 -0x12c7 -0x4ba3c61a -256 -256 -1 -0 -0 -6 -0x6fd9 -0x1722a911 -256 -256 -0 -0 -0 -4 -0x72f6 -0x30353553 -256 -256 -1 -0 -0 -10 -0xcb41 -0x32abe6be -256 -256 -1 -0 -0 -5 -0x1ef4 -0x843ed49 -256 -256 -1 -0 -0 -8 -0xb3f2 -0x2db0b783 -256 -256 -0 -0 -0 -7 -0xd89 -0xc980114e -256 -256 -1 -0 -0 -3 -0xa6a0 -0x76dbe484 -256 -256 -1 -0 -0 -7 -0xa201 -0x29130463 -256 -256 -0 -0 -0 -1 -0x582a -0xd8d415b9 -256 -256 -0 -0 -0 -5 -0xab87 -0xee47f567 -256 -256 -1 -0 -0 -8 -0x18d5 -0x5d99c86 -256 -256 -0 -0 -0 -6 -0xd969 -0xcd1ecbf4 -256 -256 -0 -0 -0 -3 -0x475b -0x806d38e8 -256 -256 -0 -0 -0 -6 -0x2f21 -0xfd45f147 -256 -256 -1 -0 -0 -6 -0x1fd8 -0xa2d3d2cd -256 -256 -1 -0 -0 -9 -0x20cc -0x34e4bd8 -256 -256 -1 -0 -0 -6 -0xc165 -0xe08c2245 -256 -256 -0 -0 -0 -1 -0x7695 -0x367a2acb -256 -256 -1 -0 -0 -8 -0x334d -0x6123e003 -256 -256 -0 -0 -0 -2 -0x7e72 -0x16420a62 -256 -256 -1 -0 -0 -1 -0xa2dc -0x396df9a6 -256 -256 -0 -0 -0 -7 -0xbb4f -0xfe074e2d -256 -256 -1 -0 -0 -2 -0x32f4 -0x8514fa9b -256 -256 -0 -0 -0 -3 -0xb58f -0xbd5b3f0f -256 -256 -1 -0 -0 -2 -0xaf13 -0x1d8c9412 -256 -256 -1 -0 -0 -6 -0x5ebb -0x1bdb33cf -256 -256 -0 -0 -0 -10 -0x8025 -0x57da3ebb -256 -256 -0 -0 -0 -1 -0x4836 -0xc052a7a -256 -256 -0 -0 -0 -4 -0x358c -0xc9822365 -256 -256 -1 -0 -0 -4 -0xb356 -0x9a939b09 -256 -256 -1 -0 -0 -9 -0xb115 -0xa9f06d90 -256 -256 -0 -0 -0 -9 -0xef3b -0xadeccd04 -256 -256 -0 -0 -0 -8 -0x65d8 -0x77c72a67 -256 -256 -1 -0 -0 -4 -0x3299 -0x2844fbb7 -256 -256 -1 -0 -0 -6 -0xff27 -0xdab9c6ce -256 -256 -0 -0 -0 -8 -0x8187 -0xcc757175 -256 -256 -0 -0 -0 -3 -0x49fd -0xa5187d32 -256 -256 -1 -0 -0 -5 -0xb0c3 -0x786af5b1 -256 -256 -1 -0 -0 -3 -0xbcd7 -0xe4fc2c79 -256 -256 -0 -0 -0 -2 -0xe264 -0x2526e530 -256 -256 -1 -0 -0 -7 -0x139b -0x67a12940 -256 -256 -0 -0 -0 -5 -0xf673 -0xe7241c47 -256 -256 -1 -0 -0 -2 -0xe3cb -0xff996353 -256 -256 -0 -0 -0 -5 -0xa8c6 -0x4f985e13 -256 -256 -0 -0 -0 -5 -0x1365 -0x527ad01b -256 -256 -1 -0 -0 -3 -0x8888 -0xba74533c -256 -256 -0 -0 -0 -8 -0xae0c -0x7dcb9f06 -256 -256 -0 -0 -0 -9 -0x97ce -0x5a87d88c -256 -256 -0 -0 -0 -9 -0x366a -0x165c9959 -256 -256 -1 -0 -0 -4 -0xed05 -0x837a9c38 -256 -256 -0 -0 -0 -9 -0x3138 -0x805271b5 -256 -256 -0 -0 -0 -5 -0xc35d -0x10c3c303 -256 -256 -0 -0 -0 -9 -0x9aa1 -0x11f8f24 -256 -256 -1 -0 -0 -1 -0x4ba0 -0x946b8806 -256 -256 -1 -0 -0 -2 -0xb02 -0x8cc0239d -256 -256 -1 -0 -0 -3 -0x1a03 -0x97caf352 -256 -256 -0 -0 -0 -2 -0x4682 -0xfa9949f0 -256 -256 -1 -0 -0 -4 -0xb754 -0x7d95e298 -256 -256 -0 -0 -0 -10 -0xcc03 -0xbcfd70f9 -256 -256 -0 -0 -0 -1 -0xdf -0x122a58ef -256 -256 -0 -0 -0 -10 -0x11a9 -0xb39ba83 -256 -256 -1 -0 -0 -6 -0x6958 -0xdbd6a800 -256 -256 -0 -0 -0 -1 -0x41d7 -0xe03f9690 -256 -256 -0 -0 -0 -5 -0xc0d8 -0x217d7740 -256 -256 -1 -0 -0 -10 -0x4d46 -0xefabb553 -256 -256 -0 -0 -0 -5 -0xf153 -0x631499b4 -256 -256 -1 -0 -0 -8 -0xaebb -0x1b55d37a -256 -256 -0 -0 -0 -8 -0x56b4 -0xdec4fe54 -256 -256 -0 -0 -0 -10 -0xa292 -0x2e45763e -256 -256 -1 -0 -0 -10 -0xe5eb -0x9a3934a4 -256 -256 -0 -0 -0 -4 -0xd2ec -0xc6fd8d93 -256 -256 -1 -0 -0 -10 -0x7d5b -0x2015ad6f -256 -256 -0 -0 -0 -7 -0x52d3 -0xc8883df -256 -256 -0 -0 -0 -10 -0x21c3 -0xe99cb4e8 -256 -256 -0 -0 -0 -2 -0xc71a -0x89775d39 -256 -256 -1 -0 -0 -1 -0xe1f8 -0xd85e4f8c -256 -256 -1 -0 -0 -3 -0xb40c -0xd41d3a51 -256 -256 -1 -0 -0 -1 -0x663f -0x4313aeb7 -256 -256 -1 -0 -0 -6 -0x258b -0x99f507bc -256 -256 -1 -0 -0 -8 -0x5a97 -0x9b8a19ea -256 -256 -0 -0 -0 -3 -0x8e62 -0xa8bf23d3 -256 -256 -1 -0 -0 -2 -0x7878 -0x85913f67 -256 -256 -0 -0 -0 -3 -0x70fe -0xb659e87b -256 -256 -1 -0 -0 -10 -0x7b25 -0x513d9129 -256 -256 -0 -0 -0 -3 -0x77d1 -0xd6f780e6 -256 -256 -0 -0 -0 -8 -0x5f5b -0x325a9834 -256 -256 -1 -0 -0 -5 -0xb33b -0x58b17aa9 -256 -256 -0 -0 -0 -5 -0x73fe -0x3c383bae -256 -256 -0 -0 -0 -4 -0x3584 -0xf0f64424 -256 -256 -1 -0 -0 -1 -0xf9d7 -0xaaa4554e -256 -256 -1 -0 -0 -5 -0xe5f4 -0xd3e1b153 -256 -256 -1 -0 -0 -10 -0xea94 -0x3584c5f1 -256 -256 -0 -0 -0 -4 -0x7602 -0xab29d65b -256 -256 -1 -0 -0 -5 -0xc5f3 -0xac9dd83b -256 -256 -1 -0 -0 -5 -0xe740 -0x129a160 -256 -256 -1 -0 -0 -6 -0xf93f -0x286f716d -256 -256 -0 -0 -0 -4 -0xa3f8 -0x4709827d -256 -256 -1 -0 -0 -6 -0x2b1c -0x61dbc3d -256 -256 -1 -0 -0 -3 -0x70df -0x216a3dce -256 -256 -1 -0 -0 -2 -0xc410 -0xd4bdf047 -256 -256 -1 -0 -0 -8 -0x2055 -0xff17b97a -256 -256 -0 -0 -0 -1 -0x211e -0x6dedcd36 -256 -256 -1 -0 -0 -1 -0xe57f -0x3407238a -256 -256 -0 -0 -0 -10 -0x1227 -0x327c8a5a -256 -256 -0 -0 -0 -8 -0x784e -0x1936c088 -256 -256 -0 -0 -0 -3 -0xd947 -0xefee0f38 -256 -256 -0 -0 -0 -3 -0x73d9 -0x2fccdaf0 -256 -256 -0 -0 -0 -5 -0xb638 -0x7c0a7701 -256 -256 -1 -0 -0 -1 -0x61c5 -0xe635e80b -256 -256 -0 -0 -0 -10 -0x6c3d -0xda970b49 -256 -256 -1 -0 -0 -8 -0xe1 -0xa0797201 -256 -256 -1 -0 -0 -3 -0x6244 -0x5c8e43e6 -256 -256 -0 -0 -0 -10 -0x4715 -0x81cf43a1 -256 -256 -1 -0 -0 -4 -0xfc78 -0x10622132 -256 -256 -1 -0 -0 -9 -0x1161 -0x1532a732 -256 -256 -0 -0 -0 -10 -0xa11a -0xc669bfbb -256 -256 -0 -0 -0 -2 -0xb76d -0x7f6ace0e -256 -256 -1 -0 -0 -5 -0x5492 -0xb09f0406 -256 -256 -1 -0 -0 -2 -0x60bd -0x64b6be53 -256 -256 -1 -0 -0 -7 -0x5ade -0x3fe41ea8 -256 -256 -1 -0 -0 -6 -0xb996 -0x970bd09 -256 -256 -0 -0 -0 -8 -0x3c89 -0x3e8876b1 -256 -256 -1 -0 -0 -8 -0x6c0c -0x29dbdbbc -256 -256 -0 -0 -0 -1 -0x8ba7 -0xd6769a3a -256 -256 -1 -0 -0 -1 -0x346c -0xb6777881 -256 -256 -0 -0 -0 -3 -0xdcd1 -0xb0c8e53c -256 -256 -1 -0 -0 -3 -0x2389 -0x1bcc8575 -256 -256 -1 -0 -0 -10 -0x628 -0xf4f47467 -256 -256 -0 -0 -0 -3 -0x5e4 -0xd9a70335 -256 -256 -1 -0 -0 -2 -0xec32 -0xc48ee9bb -256 -256 -0 -0 -0 -6 -0xcd8a -0x78ce1c9e -256 -256 -1 -0 -0 -8 -0xe442 -0x319df8e3 -256 -256 -0 -0 -0 -9 -0x50ad -0x55c3a814 -256 -256 -1 -0 -0 -9 -0xec5b -0xe3351788 -256 -256 -1 -0 -0 -9 -0xe01b -0xbbdde15a -256 -256 -0 -0 -0 -2 -0xc821 -0x97b408f7 -256 -256 -0 -0 -0 -3 -0xa906 -0xc74c4f98 -256 -256 -0 -0 -0 -3 -0x9de2 -0x40292179 -256 -256 -0 -0 -0 -5 -0x84aa -0x5180a34d -256 -256 -1 -0 -0 -6 -0x8617 -0xeedca2fd -256 -256 -1 -0 -0 -2 -0x7a63 -0xc60da2c4 -256 -256 -0 -0 -0 -6 -0xc478 -0xf9272561 -256 -256 -1 -0 -0 -8 -0x8515 -0x3b6e9749 -256 -256 -1 -0 -0 -1 -0x5070 -0xcb115670 -256 -256 -0 -0 -0 -2 -0x8749 -0x2b32240f -256 -256 -0 -0 -0 -6 -0x6555 -0xfa7f5a95 -256 -256 -0 -0 -0 -7 -0xb51a -0x88df1ddc -256 -256 -0 -0 -0 -10 -0xe2f7 -0xde0e5df4 -256 -256 -0 -0 -0 -5 -0x6c05 -0x738566f8 -256 -256 -1 -0 -0 -10 -0x79fd -0xd95898b1 -256 -256 -1 -0 -0 -9 -0x7102 -0xec55610b -256 -256 -1 -0 -0 -3 -0x5df4 -0xe3e85209 -256 -256 -1 -0 -0 -4 -0xe68 -0x3c3f94c6 -256 -256 -1 -0 -0 -3 -0x41d5 -0xe0acef9b -256 -256 -1 -0 -0 -5 -0xa563 -0x2bc1bc1c -256 -256 -0 -0 -0 -6 -0xc2d1 -0xb21da155 -256 -256 -1 -0 -0 -1 -0x4d15 -0x36d6b865 -256 -256 -0 -0 -0 -5 -0xbe56 -0x7c278854 -256 -256 -0 -0 -0 -2 -0x1f03 -0x74ff113b -256 -256 -0 -0 -0 -3 -0xe0fa -0x340e875d -256 -256 -0 -0 -0 -7 -0x1aa6 -0x3795c6ea -256 -256 -1 -0 -0 -7 -0x5917 -0x8c096765 -256 -256 -0 -0 -0 -4 -0x5eb0 -0xf2a040d4 -256 -256 -0 -0 -0 -10 -0x419c -0xfceb5d1e -256 -256 -0 -0 -0 -8 -0x2d5e -0x30baf6c9 -256 -256 -0 -0 -0 -4 -0x80a0 -0x7beaafb9 -256 -256 -1 -0 -0 -10 -0x2359 -0x39f34049 -256 -256 -0 -0 -0 -4 -0xfd13 -0x5d72420b -256 -256 -1 -0 -0 -2 -0xc49e -0xe7f4538e -256 -256 -1 -0 -0 -7 -0xfa92 -0xc7ade609 -256 -256 -0 -0 -0 -3 -0xb92 -0xd43089b4 -256 -256 -0 -0 -0 -3 -0x41ab -0xdbcef1c3 -256 -256 -1 -0 -0 -9 -0x3bb1 -0x4087df86 -256 -256 -1 -0 -0 -3 -0x638b -0x49fccbfe -256 -256 -1 -0 -0 -10 -0x4f20 -0xcc2efef8 -256 -256 -0 -0 -0 -7 -0xf5dc -0xee7787f2 -256 -256 -0 -0 -0 -9 -0x537d -0xdfe3c537 -256 -256 -0 -0 -0 -3 -0x2195 -0xf14da8ca -256 -256 -0 -0 -0 -3 -0x22ac -0xe667404f -256 -256 -0 -0 -0 -8 -0x7a50 -0xead0296d -256 -256 -0 -0 -0 -7 -0x2d2b -0x54081284 -256 -256 -1 -0 -0 -1 -0x5d80 -0xc6bd986f -256 -256 -0 -0 -0 -10 -0xcf11 -0x4eaaa511 -256 -256 -0 -0 -0 -4 -0x5bf0 -0xdb8683a5 -256 -256 -0 -0 -0 -6 -0xa936 -0x388a0eed -256 -256 -1 -0 -0 -4 -0xa256 -0x2cf8276a -256 -256 -1 -0 -0 -10 -0x523f -0x69450936 -256 -256 -0 -0 -0 -10 -0x50a6 -0x4345bcfa -256 -256 -1 -0 -0 -8 -0x2b41 -0x7bca345e -256 -256 -1 -0 -0 -5 -0x936f -0x1aa42c7e -256 -256 -0 -0 -0 -8 -0xd0b1 -0x805611ef -256 -256 -0 -0 -0 -2 -0x286d -0x9148fb2e -256 -256 -0 -0 -0 -6 -0xff1e -0x4c266be0 -256 -256 -1 -0 -0 -1 -0xe215 -0xf8747eb6 -256 -256 -1 -0 -0 -4 -0xab54 -0x2d156ece -256 -256 -0 -0 -0 -3 -0x899c -0x24cd4766 -256 -256 -1 -0 -0 -9 -0x1ade -0x26706650 -256 -256 -0 -0 -0 -1 -0x242c -0xda009eec -256 -256 -0 -0 -0 -4 -0xc5ed -0x8053a649 -256 -256 -0 -0 -0 -1 -0x1c7a -0x9feb5743 -256 -256 -1 -0 -0 -2 -0x60c -0x56c37125 -256 -256 -1 -0 -0 -4 -0x7202 -0xb368c581 -256 -256 -0 -0 -0 -7 -0x92cc -0x806c2e62 -256 -256 -1 -0 -0 -7 -0x3b84 -0xdb51a266 -256 -256 -1 -0 -0 -5 -0xcd57 -0xcaefee23 -256 -256 -1 -0 -0 -1 -0x86a -0x50440666 -256 -256 -0 -0 -0 -3 -0x8b28 -0xca32adad -256 -256 -0 -0 -0 -7 -0x2885 -0xdb5d8ed1 -256 -256 -0 -0 -0 -3 -0x81bc -0x96c7557c -256 -256 -1 -0 -0 -1 -0x4f03 -0x9312681 -256 -256 -0 -0 -0 -6 -0x9e97 -0x4a1e702a -256 -256 -1 -0 -0 -8 -0xec65 -0xcfc3e480 -256 -256 -1 -0 -0 -2 -0x43c -0xe8799b09 -256 -256 -0 -0 -0 -3 -0x6751 -0x7fca79d4 -256 -256 -1 -0 -0 -6 -0x1f3d -0x3d2ffc5c -256 -256 -1 -0 -0 -6 -0x375a -0x2a3316b6 -256 -256 -0 -0 -0 -7 -0xd22b -0x61825104 -256 -256 -0 -0 -0 -5 -0xcd83 -0x2584f66f -256 -256 -1 -0 -0 -1 -0xdce3 -0xa39a4511 -256 -256 -1 -0 -0 -2 -0x8ee3 -0xa4386d25 -256 -256 -1 -0 -0 -9 -0xe9d7 -0x1970b7cf -256 -256 -1 -0 -0 -6 -0x916d -0xdf83d2a8 -256 -256 -1 -0 -0 -2 -0x283e -0x2588c248 -256 -256 -1 -0 -0 -1 -0xf7f -0x66d47c9e -256 -256 -0 -0 -0 -4 -0x817c -0xcdabdbcd -256 -256 -0 -0 -0 -10 -0xeabd -0x78efcc3 -256 -256 -0 -0 -0 -7 -0x9a58 -0xfa7d14f -256 -256 -1 -0 -0 -3 -0xa0c7 -0x479c4070 -256 -256 -1 -0 -0 -4 -0xda23 -0x410005b4 -256 -256 -0 -0 -0 -9 -0x3ae3 -0xf136ba71 -256 -256 -1 -0 -0 -2 -0x1100 -0xcd6fe7f4 -256 -256 -1 -0 -0 -2 -0x98f4 -0x2a55eda2 -256 -256 -0 -0 -0 -2 -0xdd62 -0x4c6d70a -256 -256 -1 -0 -0 -9 -0x8b1b -0xf57dcced -256 -256 -1 -0 -0 -1 -0x1da7 -0x96812d79 -256 -256 -1 -0 -0 -5 -0x43be -0xfbc9325d -256 -256 -0 -0 -0 -8 -0xa7a0 -0x3904ba2c -256 -256 -1 -0 -0 -7 -0x50b -0x6dd2e546 -256 -256 -1 -0 -0 -5 -0xb55c -0xba45467b -256 -256 -0 -0 -0 -1 -0xed47 -0x1449932b -256 -256 -0 -0 -0 -6 -0x7cc0 -0xe0a6d3 -256 -256 -1 -0 -0 -6 -0xe12e -0xf8fc23fc -256 -256 -1 -0 -0 -4 -0x5f62 -0xe4d214d3 -256 -256 -0 -0 -0 -8 -0xdeb6 -0x5889c097 -256 -256 -0 -0 -0 -2 -0x233a -0x292f2a92 -256 -256 -1 -0 -0 -5 -0x99bd -0xa9e978f7 -256 -256 -1 -0 -0 -9 -0x2288 -0x298f500 -256 -256 -1 -0 -0 -1 -0x8161 -0x81906512 -256 -256 -1 -0 -0 -2 -0xcaac -0xfd188f7e -256 -256 -0 -0 -0 -6 -0xe6eb -0xddfb6420 -256 -256 -1 -0 -0 -5 -0x5485 -0x5529c6cd -256 -256 -1 -0 -0 -2 -0xcd56 -0x3a2bc32f -256 -256 -0 -0 -0 -6 -0xafef -0x81216361 -256 -256 -0 -0 -0 -9 -0x79ab -0x7d172871 -256 -256 -0 -0 -0 -8 -0xc917 -0xcddab930 -256 -256 -1 -0 -0 -3 -0x7ac4 -0x52902fde -256 -256 -0 -0 -0 -2 -0x1012 -0x4872d070 -256 -256 -0 -0 -0 -2 -0xe24b -0x47a35aa7 -256 -256 -1 -0 -0 -2 -0x4617 -0x5c4bcecd -256 -256 -1 -0 -0 -1 -0xfa0b -0xaa84b3d5 -256 -256 -0 -0 -0 -2 -0xafa9 -0x44f9baa0 -256 -256 -1 -0 -0 -6 -0x1671 -0xa3e55c03 -256 -256 -1 -0 -0 -8 -0xdd88 -0xc37f06a -256 -256 -0 -0 -0 -5 -0x9013 -0x115ef7ee -256 -256 -0 -0 -0 -8 -0xcd04 -0x3d76f767 -256 -256 -1 -0 -0 -1 -0xcf2d -0x3ac567ae -256 -256 -1 -0 -0 -9 -0x3b2b -0x39c63a17 -256 -256 -0 -0 -0 -8 -0x76af -0x18e1f4e6 -256 -256 -1 -0 -0 -6 -0xef7b -0x52728d82 -256 -256 -0 -0 -0 -3 -0x2404 -0x30c36ae8 -256 -256 -1 -0 -0 -8 -0x83e6 -0x2fb819bf -256 -256 -1 -0 -0 -9 -0xf1f5 -0xc6e9a0f3 -256 -256 -0 -0 -0 -6 -0x6419 -0xd264bd13 -256 -256 -1 -0 -0 -4 -0x50e6 -0xec98378e -256 -256 -0 -0 -0 -1 -0x6fb4 -0x566f24ee -256 -256 -0 -0 -0 -1 -0x6976 -0xa5fdcf77 -256 -256 -1 -0 -0 -1 -0x3d89 -0x5dde64f1 -256 -256 -0 -0 -0 -6 -0x9933 -0x3964a542 -256 -256 -0 -0 -0 -2 -0x8f5f -0x1fd721e4 -256 -256 -0 -0 -0 -3 -0xc355 -0x8761d654 -256 -256 -1 -0 -0 -2 -0xefc7 -0x70297a2f -256 -256 -0 -0 -0 -10 -0xc74d -0x361682e3 -256 -256 -1 -0 -0 -8 -0x8cf6 -0x38d69490 -256 -256 -0 -0 -0 -3 -0x7da0 -0x75e2bc6 -256 -256 -1 -0 -0 -8 -0xfafc -0xe5c40d8a -256 -256 -0 -0 -0 -8 -0x84ba -0xbf07f248 -256 -256 -1 -0 -0 -1 -0x511f -0x1317ff4b -256 -256 -0 -0 -0 -10 -0xb74e -0x85e6b201 -256 -256 -0 -0 -0 -2 -0xa27e -0x91298cac -256 -256 -0 -0 -0 -8 -0xe743 -0xe0afe9dd -256 -256 -0 -0 -0 -1 -0x28b7 -0xc9a2ff91 -256 -256 -0 -0 -0 -2 -0x2d3e -0x87095d49 -256 -256 -0 -0 -0 -2 -0xdd62 -0xb3a36f8d -256 -256 -1 -0 -0 -10 -0x276b -0x84968492 -256 -256 -0 -0 -0 -8 -0x96ac -0x94695344 -256 -256 -1 -0 -0 -8 -0xeb78 -0x2d888d41 -256 -256 -1 -0 -0 -9 -0xfb70 -0x47734706 -256 -256 -0 -0 -0 -2 -0xdcd1 -0xa687f2eb -256 -256 -1 -0 -0 -1 -0x6a88 -0x17c35b1f -256 -256 -1 -0 -0 -9 -0x6317 -0x7914b09e -256 -256 -0 -0 -0 -5 -0x3200 -0xa2f856d3 -256 -256 -0 -0 -0 -6 -0x6e3b -0xabf941f4 -256 -256 -1 -0 -0 -8 -0x5bf5 -0x62c04f12 -256 -256 -0 -0 -0 -8 -0x74ea -0xf19f648a -256 -256 -0 -0 -0 -10 -0x7ff0 -0xbcf240a1 -256 -256 -1 -0 -0 -8 -0x1822 -0x2037581f -256 -256 -0 -0 -0 -3 -0x82c1 -0x27edd0d8 -256 -256 -0 -0 -0 -6 -0xffb0 -0xdbc5ca5a -256 -256 -1 -0 -0 -7 -0xa6d5 -0xe136906b -256 -256 -1 -0 -0 -2 -0x2cc4 -0x6ec7c8a3 -256 -256 -0 -0 -0 -5 -0xa367 -0xfe81318b -256 -256 -1 -0 -0 -3 -0xeed7 -0xd15f3bb6 -256 -256 -1 -0 -0 -10 -0x7825 -0xbbfd18c -256 -256 -1 -0 -0 -6 -0x7d19 -0x82566ba3 -256 -256 -1 -0 -0 -4 -0x675d -0x81f295e5 -256 -256 -0 -0 -0 -10 -0x4583 -0x272a77b7 -256 -256 -0 -0 -0 -9 -0x8669 -0x3037822c -256 -256 -0 -0 -0 -9 -0x30c4 -0x7b6bf1fd -256 -256 -0 -0 -0 -2 -0xe205 -0xbb6ddff8 -256 -256 -1 -0 -0 -8 -0xc659 -0xffe832fc -256 -256 -1 -0 -0 -2 -0xedd1 -0xda886798 -256 -256 -1 -0 -0 -9 -0xc12e -0xf6e59715 -256 -256 -1 -0 -0 -10 -0xdc9f -0x3bd95b3b -256 -256 -1 -0 -0 -3 -0xfea7 -0xe3b5e0f -256 -256 -1 -0 -0 -3 -0x7fc4 -0x8fa4ab40 -256 -256 -1 -0 -0 -9 -0x251 -0x2e759e14 -256 -256 -1 -0 -0 -8 -0x60aa -0x8b7b9350 -256 -256 -0 -0 -0 -10 -0x7079 -0xda527bf0 -256 -256 -1 -0 -0 -1 -0xead6 -0x47ae780f -256 -256 -1 -0 -0 -7 -0x8d3b -0xda54e689 -256 -256 -1 -0 -0 -3 -0x3718 -0x46c39e39 -256 -256 -0 -0 -0 -8 -0x40bf -0xe9f65538 -256 -256 -1 -0 -0 -8 -0x8d27 -0xcc45d5ed -256 -256 -1 -0 -0 -8 -0x6d37 -0xc75d4ea7 -256 -256 -0 -0 -0 -2 -0xd19 -0x3cf526aa -256 -256 -0 -0 -0 -7 -0x642d -0x209558fe -256 -256 -0 -0 -0 -7 -0x5200 -0x62c4050a -256 -256 -1 -0 -0 -2 -0x70f6 -0x5c1cdc2b -256 -256 -1 -0 -0 -7 -0x215c -0x5002de37 -256 -256 -0 -0 -0 -6 -0x1cb1 -0x29df7f17 -256 -256 -0 -0 -0 -1 -0xcc38 -0xddef1304 -256 -256 -1 -0 -0 -1 -0xc5a7 -0x14690499 -256 -256 -0 -0 -0 -3 -0xfcd4 -0xe719441c -256 -256 -1 -0 -0 -6 -0x4b6b -0x1b8ddd5a -256 -256 -1 -0 -0 -5 -0x671e -0x3bd6b240 -256 -256 -0 -0 -0 -6 -0xb3d7 -0x9520c701 -256 -256 -1 -0 -0 -7 -0x1a29 -0x254fca47 -256 -256 -1 -0 -0 -8 -0xc498 -0x54886ca3 -256 -256 -0 -0 -0 -8 -0x2d40 -0xf1bd638f -256 -256 -1 -0 -0 -9 -0x3cf4 -0x1409ab1b -256 -256 -1 -0 -0 -1 -0x4ecc -0x4b6e931f -256 -256 -1 -0 -0 -7 -0x4313 -0xb29261 -256 -256 -0 -0 -0 -1 -0x45bd -0xfa263c40 -256 -256 -1 -0 -0 -10 -0x67f7 -0x256ddfa7 -256 -256 -0 -0 -0 -10 -0x8c57 -0x40e879e8 -256 -256 -0 -0 -0 -6 -0x7923 -0xc1f669e3 -256 -256 -1 -0 -0 -4 -0x846e -0x2f0f9dd0 -256 -256 -0 -0 -0 -4 -0x1a9e -0x4d784914 -256 -256 -1 -0 -0 -3 -0xb85b -0xfd3d4cb2 -256 -256 -0 -0 -0 -7 -0xf123 -0xea72ed5c -256 -256 -0 -0 -0 -6 -0x1b66 -0xc16b5a23 -256 -256 -0 -0 -0 -10 -0x6d74 -0x9a1f495d -256 -256 -0 -0 -0 -4 -0x918c -0xed01b757 -256 -256 -1 -0 -0 -5 -0xb5cd -0xee33dfc6 -256 -256 -0 -0 -0 -5 -0xeaff -0x80be87ce -256 -256 -1 -0 -0 -5 -0x2b2a -0x4798fbc2 -256 -256 -0 -0 -0 -4 -0x4cf4 -0x80de6efc -256 -256 -1 -0 -0 -8 -0x78ec -0x29adfa74 -256 -256 -0 -0 -0 -10 -0x2d46 -0xd7f94ec -256 -256 -0 -0 -0 -3 -0xe019 -0xb8fc576f -256 -256 -1 -0 -0 -8 -0xf0b -0xc7e8e577 -256 -256 -0 -0 -0 -7 -0x2768 -0x4c970c4b -256 -256 -0 -0 -0 -7 -0x37c4 -0xf024f1de -256 -256 -1 -0 -0 -8 -0x229d -0x50f56a9d -256 -256 -0 -0 -0 -5 -0x5050 -0xa9060ed1 -256 -256 -0 -0 -0 -1 -0xdcd1 -0xb00fe83b -256 -256 -0 -0 -0 -7 -0x8110 -0x8d7f829 -256 -256 -0 -0 -0 -6 -0x6297 -0xcd9438df -256 -256 -1 -0 -0 -10 -0xc61f -0x2f394cec -256 -256 -1 -0 -0 -1 -0x9c75 -0x9ba75e52 -256 -256 -1 -0 -0 -5 -0x9e5e -0xb1ff2b4d -256 -256 -0 -0 -0 -6 -0xa0fb -0xc8781c3b -256 -256 -0 -0 -0 -1 -0x7304 -0xed9c25ba -256 -256 -1 -0 -0 -1 -0x91f2 -0x7dad5a27 -256 -256 -1 -0 -0 -5 -0x379e -0x6778d434 -256 -256 -1 -0 -0 -10 -0x8f81 -0x260a4e34 -256 -256 -0 -0 -0 -8 -0x4a73 -0x6d91e66a -256 -256 -0 -0 -0 -2 -0x486d -0x98b9b951 -256 -256 -0 -0 -0 -7 -0xdcd5 -0x4bc83f05 -256 -256 -0 -0 -0 -10 -0x3f9a -0xa0cd1863 -256 -256 -0 -0 -0 -4 -0x8823 -0x22b564c -256 -256 -0 -0 -0 -7 -0x1a0d -0x6dabc8d2 -256 -256 -1 -0 -0 -10 -0x691c -0x3aa3fe23 -256 -256 -1 -0 -0 -5 -0x8746 -0x6d047c5 -256 -256 -0 -0 -0 -9 -0x3793 -0xc5da9009 -256 -256 -1 -0 -0 -6 -0x3ea1 -0x430fd35 -256 -256 -0 -0 -0 -4 -0x9155 -0x9418cc7c -256 -256 -1 -0 -0 -6 -0x1aad -0xe3835258 -256 -256 -1 -0 -0 -9 -0x7f85 -0x1ecc0a4c -256 -256 -1 -0 -0 -10 -0x717 -0x139629b1 -256 -256 -0 -0 -0 -4 -0x87ec -0xe66d46fb -256 -256 -0 -0 -0 -1 -0xa3e9 -0x7b4ec38c -256 -256 -1 -0 -0 -1 -0xebd1 -0x1ba7b5f9 -256 -256 -1 -0 -0 -6 -0xab25 -0xed74909b -256 -256 -0 -0 -0 -1 -0x2bd4 -0xc2a0a52f -256 -256 -1 -0 -0 -8 -0x9485 -0x1106a12a -256 -256 -1 -0 -0 -8 -0xda91 -0xb9b8a9e -256 -256 -1 -0 -0 -6 -0xb49c -0x28c5e7cc -256 -256 -0 -0 -0 -5 -0xfa75 -0xdc96069c -256 -256 -0 -0 -0 -9 -0x8690 -0x33ed9bee -256 -256 -1 -0 -0 -5 -0x2483 -0x54ff64fb -256 -256 -1 -0 -0 -6 -0x2d58 -0x85478ac9 -256 -256 -0 -0 -0 -2 -0x1674 -0x35bc9a15 -256 -256 -1 -0 -0 -10 -0x63c4 -0x2037ac6 -256 -256 -0 -0 -0 -9 -0xcb74 -0xf8bd6236 -256 -256 -1 -0 -0 -4 -0xaafa -0x28aa2832 -256 -256 -1 -0 -0 -4 -0x3196 -0xe6ea8f80 -256 -256 -1 -0 -0 -6 -0xb8ea -0x40d0f148 -256 -256 -1 -0 -0 -10 -0xb8e0 -0x86ab23da -256 -256 -0 -0 -0 -1 -0x9bb5 -0xc772457d -256 -256 -1 -0 -0 -4 -0xe41c -0x13642d88 -256 -256 -1 -0 -0 -9 -0x53ea -0x877fd152 -256 -256 -0 -0 -0 -4 -0xe09a -0x7a6e3599 -256 -256 -0 -0 -0 -9 -0x9d82 -0x336409ae -256 -256 -1 -0 -0 -8 -0x2fd3 -0xcdd5b151 -256 -256 -1 -0 -0 -3 -0xdd9e -0x33153b38 -256 -256 -1 -0 -0 -4 -0x499a -0xc5eee4ce -256 -256 -1 -0 -0 -7 -0xa75c -0xd9274f6 -256 -256 -0 -0 -0 -5 -0xd3b9 -0xbb025d55 -256 -256 -1 -0 -0 -7 -0x9049 -0xf62ce556 -256 -256 -0 -0 -0 -6 -0x1df8 -0x82c2b552 -256 -256 -1 -0 -0 -1 -0xcaaa -0x84b94004 -256 -256 -1 -0 -0 -10 -0x58d1 -0xb89738a5 -256 -256 -0 -0 -0 -2 -0x7a8b -0x5b1d7c48 -256 -256 -0 -0 -0 -4 -0x2cbc -0x70dcd193 -256 -256 -0 -0 -0 -4 -0xfd32 -0xe11e9e03 -256 -256 -0 -0 -0 -8 -0xd63e -0xc98faff6 -256 -256 -0 -0 -0 -7 -0xf700 -0x58ebe07d -256 -256 -0 -0 -0 -1 -0x407c -0xa668a350 -256 -256 -0 -0 -0 -7 -0xe2dc -0xcff54bc8 -256 -256 -1 -0 -0 -8 -0x9cb8 -0x96d32e1f -256 -256 -0 -0 -0 -1 -0xc8e6 -0xcba0b2ee -256 -256 -0 -0 -0 -10 -0x266c -0x53b16578 -256 -256 -0 -0 -0 -9 -0xc25b -0x43ccdde6 -256 -256 -1 -0 -0 -7 -0x666f -0xb9e450ae -256 -256 -0 -0 -0 -9 -0xf0ef -0xebd723b7 -256 -256 -1 -0 -0 -7 -0xe667 -0xb82b0622 -256 -256 -1 -0 -0 -9 -0x4879 -0x964b6ae -256 -256 -0 -0 -0 -4 -0xc230 -0xfc9595c4 -256 -256 -1 -0 -0 -2 -0x9c3c -0xeae572c4 -256 -256 -0 -0 -0 -5 -0xe179 -0xba847aa -256 -256 -1 -0 -0 -2 -0x52a -0xf3596f2b -256 -256 -1 -0 -0 -4 -0x41e6 -0x728a80fe -256 -256 -1 -0 -0 -5 -0xcc18 -0x87ef3c3 -256 -256 -1 -0 -0 -8 -0x5c2f -0xac87a304 -256 -256 -1 -0 -0 -6 -0x32da -0x97656f0a -256 -256 -0 -0 -0 -9 -0xe9ee -0xfc990ba2 -256 -256 -1 -0 -0 -10 -0xfde4 -0xecfeff21 -256 -256 -1 -0 -0 -4 -0x2d2a -0x325dd457 -256 -256 -1 -0 -0 -3 -0x68b1 -0x4f1870b6 -256 -256 -0 -0 -0 -2 -0x37f3 -0xbdfea424 -256 -256 -0 -0 -0 -2 -0x7b60 -0x5ae31534 -256 -256 -1 -0 -0 -5 -0xb0ec -0x9419cc9d -256 -256 -1 -0 -0 -8 -0xf04e -0x4a530a44 -256 -256 -1 -0 -0 -6 -0x9cba -0x9dc6b0eb -256 -256 -0 -0 -0 -9 -0x91ff -0xabfef40f -256 -256 -1 -0 -0 -3 -0xd2dd -0x7706950b -256 -256 -1 -0 -0 -7 -0x104d -0x2ba3158a -256 -256 -1 -0 -0 -10 -0xf323 -0x3f108b8b -256 -256 -1 -0 -0 -1 -0x98f5 -0x18fe4cf8 -256 -256 -0 -0 -0 -4 -0x6a39 -0xf602c0cd -256 -256 -0 -0 -0 -6 -0x6df9 -0xefc2b2f -256 -256 -0 -0 -0 -4 -0xdf41 -0x86ce304 -256 -256 -0 -0 -0 -6 -0xe312 -0xc9b0c007 -256 -256 -0 -0 -0 -6 -0x8993 -0x7dd7a39e -256 -256 -1 -0 -0 -7 -0x67b7 -0xdbed4d5a -256 -256 -1 -0 -0 -2 -0xb7c3 -0x8167eda8 -256 -256 -1 -0 -0 -7 -0xa1cd -0xfed43145 -256 -256 -1 -0 -0 -8 -0x3e63 -0x19660daf -256 -256 -1 -0 -0 -5 -0x6681 -0x3f2e071c -256 -256 -0 -0 -0 -5 -0x8650 -0x605c7ea -256 -256 -1 -0 -0 -3 -0x180d -0x4cb5801 -256 -256 -0 -0 -0 -10 -0x18a1 -0x2ffa519d -256 -256 -0 -0 -0 -2 -0x61e7 -0x65d1e8ba -256 -256 -1 -0 -0 -10 -0x36a9 -0xfb5a0c2c -256 -256 -0 -0 -0 -8 -0x6e74 -0x5fb15e74 -256 -256 -0 -0 -0 -8 -0xe685 -0xe5f85195 -256 -256 -1 -0 -0 -4 -0x4aab -0x1b783b9a -256 -256 -0 -0 -0 -6 -0x3a76 -0xe218a948 -256 -256 -0 -0 -0 -6 -0x1d58 -0xc092647 -256 -256 -0 -0 -0 -9 -0xc696 -0xe74238fb -256 -256 -1 -0 -0 -8 -0x7fc3 -0x358329b3 -256 -256 -0 -0 -0 -4 -0x7872 -0x90715856 -256 -256 -1 -0 -0 -10 -0x4dd9 -0xb3907445 -256 -256 -0 -0 -0 -6 -0x1b55 -0x4f9da228 -256 -256 -1 -0 -0 -2 -0x8a1f -0x85e4b18d -256 -256 -0 -0 -0 -2 -0xfea6 -0x5f094d8e -256 -256 -0 -0 -0 -4 -0xe4f9 -0x9f127acc -256 -256 -1 -0 -0 -6 -0x4aad -0x7bc832e2 -256 -256 -0 -0 -0 -7 -0x8579 -0xff53171b -256 -256 -0 -0 -0 -8 -0xe800 -0x6aa513ef -256 -256 -0 -0 -0 -3 -0xcb87 -0xe071e051 -256 -256 -0 -0 -0 -4 -0xf5c0 -0x5264e99e -256 -256 -0 -0 -0 -7 -0x4a0 -0x8fcb03e1 -256 -256 -1 -0 -0 -7 -0xbac7 -0x7ca55519 -256 -256 -0 -0 -0 -5 -0x65c6 -0xbf903813 -256 -256 -0 -0 -0 -6 -0x8159 -0x4c29f374 -256 -256 -1 -0 -0 -4 -0x4f53 -0xbef972c5 -256 -256 -0 -0 -0 -8 -0x2e8b -0x50550b81 -256 -256 -1 -0 -0 -5 -0x8367 -0x829d5ef3 -256 -256 -1 -0 -0 -2 -0x51cf -0xcbe3d914 -256 -256 -0 -0 -0 -6 -0xde2b -0x6000a53f -256 -256 -1 -0 -0 -9 -0xc67f -0x592c299d -256 -256 -0 -0 -0 -6 -0x5e6d -0x3857a06a -256 -256 -1 -0 -0 -5 -0xb5c0 -0x3bef43bb -256 -256 -1 -0 -0 -9 -0x829a -0xecbcf312 -256 -256 -0 -0 -0 -5 -0x6140 -0x7511c1de -256 -256 -1 -0 -0 -10 -0x8d01 -0x3c10c26f -256 -256 -0 -0 -0 -4 -0x178d -0xd6a1c675 -256 -256 -0 -0 -0 -6 -0x8184 -0xda2f47d7 -256 -256 -1 -0 -0 -2 -0x758 -0x6d1013ae -256 -256 -1 -0 -0 -4 -0x7141 -0xb0b5a66c -256 -256 -1 -0 -0 -4 -0xf0f4 -0x51f07966 -256 -256 -1 -0 -0 -3 -0x5391 -0x24a57d5c -256 -256 -0 -0 -0 -7 -0xb578 -0x50e8d2dd -256 -256 -1 -0 -0 -5 -0x7ab0 -0x56af117e -256 -256 -1 -0 -0 -3 -0x914e -0x5830009c -256 -256 -0 -0 -0 -10 -0xec54 -0xeb750d26 -256 -256 -1 -0 -0 -8 -0xfbba -0xe793d244 -256 -256 -1 -0 -0 -1 -0x133f -0x4a37e46f -256 -256 -1 -0 -0 -4 -0x540b -0x12c0c524 -256 -256 -0 -0 -0 -10 -0x38bb -0x7d09df72 -256 -256 -1 -0 -0 -2 -0x9ebc -0x2f5f97f1 -256 -256 -0 -0 -0 -1 -0x1a4a -0xae5ea757 -256 -256 -0 -0 -0 -1 -0xa959 -0x412025cc -256 -256 -1 -0 -0 -3 -0xeb9a -0x4bab97df -256 -256 -1 -0 -0 -4 -0xbe8c -0x57df6e2a -256 -256 -1 -0 -0 -8 -0xc855 -0xb3ecc8b0 -256 -256 -1 -0 -0 -4 -0xd694 -0x13186a75 -256 -256 -1 -0 -0 -6 -0xfdf9 -0x84e3c0e2 -256 -256 -0 -0 -0 -9 -0xe01d -0xc1402ef1 -256 -256 -1 -0 -0 -5 -0xd820 -0x5843ff67 -256 -256 -0 -0 -0 -9 -0xd28 -0x3c8131ba -256 -256 -1 -0 -0 -6 -0x6344 -0x78153ac5 -256 -256 -1 -0 -0 -8 -0xf7c4 -0x385d9f8a -256 -256 -1 -0 -0 -1 -0x8a98 -0x855c55ba -256 -256 -1 -0 -0 -2 -0x7ed0 -0xde65ceb2 -256 -256 -1 -0 -0 -3 -0xa99a -0x6e577e9d -256 -256 -0 -0 -0 -1 -0xcac0 -0x7e45cbf7 -256 -256 -1 -0 -0 -8 -0x1363 -0xdeac0b87 -256 -256 -1 -0 -0 -8 -0xa151 -0x9c6a472a -256 -256 -0 -0 -0 -4 -0x868b -0xb2222a20 -256 -256 -0 -0 -0 -5 -0x37f3 -0xd179a2b7 -256 -256 -0 -0 -0 -8 -0xa9b2 -0x2ab669a6 -256 -256 -1 -0 -0 -1 -0x2bef -0x8e5c5fe7 -256 -256 -1 -0 -0 -3 -0xbee8 -0xae2d5def -256 -256 -1 -0 -0 -5 -0x3e06 -0x641be8d -256 -256 -1 -0 -0 -2 -0xa2da -0x1c17b50c -256 -256 -0 -0 -0 -7 -0xa265 -0xe55ee74b -256 -256 -1 -0 -0 -7 -0x1707 -0xd20ad1e4 -256 -256 -1 -0 -0 -10 -0x24af -0x4bfd31c5 -256 -256 -1 -0 -0 -5 -0x157d -0xc7427122 -256 -256 -1 -0 -0 -1 -0x4e89 -0x225937cf -256 -256 -0 -0 -0 -9 -0x14dc -0xb963e6d1 -256 -256 -0 -0 -0 -6 -0xe232 -0x2fd2be44 -256 -256 -1 -0 -0 -9 -0x905d -0xae64f10c -256 -256 -1 -0 -0 -5 -0xa1c -0xc4d853c2 -256 -256 -1 -0 -0 -7 -0x6c23 -0x5694260e -256 -256 -0 -0 -0 -6 -0xc68c -0x7c38cb26 -256 -256 -0 -0 -0 -1 -0xf760 -0x4869954d -256 -256 -1 -0 -0 -1 -0x9b7e -0x7bf4073a -256 -256 -1 -0 -0 -2 -0xa6f -0xa1c2234a -256 -256 -1 -0 -0 -1 -0x8ac1 -0x85c7f773 -256 -256 -0 -0 -0 -8 -0x8f5d -0xad214e90 -256 -256 -1 -0 -0 -1 -0xc5d5 -0x809d593e -256 -256 -1 -0 -0 -5 -0xfc54 -0x24bbfef8 -256 -256 -0 -0 -0 -3 -0x750d -0xc296c5ba -256 -256 -0 -0 -0 -10 -0x4487 -0xd9512ea3 -256 -256 -1 -0 -0 -8 -0x5da1 -0x31b3cd5f -256 -256 -0 -0 -0 -6 -0xf012 -0x90ec123c -256 -256 -1 -0 -0 -6 -0xa068 -0x26dab4ee -256 -256 -1 -0 -0 -1 -0xb92b -0x37a799bc -256 -256 -0 -0 -0 -3 -0x7150 -0x4027ab56 -256 -256 -0 -0 -0 -6 -0x3704 -0x76d16ba0 -256 -256 -0 -0 -0 -6 -0xa101 -0xc6c97f0c -256 -256 -0 -0 -0 -1 -0x28df -0xa9bd547e -256 -256 -1 -0 -0 -7 -0xa20a -0x61cdfb15 -256 -256 -1 -0 -0 -1 -0x2924 -0x395c75cf -256 -256 -0 -0 -0 -5 -0xcaa7 -0x16a61a78 -256 -256 -0 -0 -0 -7 -0x92d7 -0x767a073b -256 -256 -1 -0 -0 -10 -0xd2a5 -0x3c10b1ff -256 -256 -1 -0 -0 -6 -0xc29f -0x2d47eba -256 -256 -0 -0 -0 -3 -0xff52 -0xdb34316c -256 -256 -0 -0 -0 -10 -0x98cf -0xaf160db7 -256 -256 -1 -0 -0 -5 -0x4a14 -0xa98fe532 -256 -256 -0 -0 -0 -2 -0x853e -0x4fd6178a -256 -256 -0 -0 -0 -7 -0x878a -0x16853bb3 -256 -256 -0 -0 -0 -8 -0x5f6e -0x649e5f2d -256 -256 -0 -0 -0 -4 -0x230c -0xdc28a43d -256 -256 -1 -0 -0 -1 -0x14ba -0x86780585 -256 -256 -1 -0 -0 -4 -0xa02c -0x20e67d84 -256 -256 -1 -0 -0 -6 -0x5213 -0xca013a8b -256 -256 -0 -0 -0 -6 -0xfd2b -0x2d44cb9f -256 -256 -1 -0 -0 -4 -0xc43 -0x37d9e928 -256 -256 -1 -0 -0 -7 -0xb5b3 -0x2289d433 -256 -256 -1 -0 -0 -4 -0x75e -0x7836d5e7 -256 -256 -0 -0 -0 -9 -0x1c7b -0xa936628a -256 -256 -1 -0 -0 -2 -0x8358 -0xaa2c1ca8 -256 -256 -0 -0 -0 -8 -0x68bc -0x64335b59 -256 -256 -0 -0 -0 -4 -0x6110 -0xa3554b00 -256 -256 -1 -0 -0 -7 -0x3a5c -0x9af6200 -256 -256 -1 -0 -0 -9 -0x29f6 -0x9b5a628a -256 -256 -0 -0 -0 -10 -0x4a2 -0xe8555fb6 -256 -256 -1 -0 -0 -1 -0x2a4f -0xfd2f4a8f -256 -256 -1 -0 -0 -3 -0x393a -0x863772f7 -256 -256 -1 -0 -0 -6 -0x6c44 -0x8a7c16b4 -256 -256 -0 -0 -0 -2 -0xd1df -0x6cba87d7 -256 -256 -0 -0 -0 -5 -0x56c9 -0x4be17698 -256 -256 -1 -0 -0 -10 -0x57ba -0x39aadccf -256 -256 -1 -0 -0 -2 -0x812 -0x63cb449d -256 -256 -1 -0 -0 -6 -0xfcd0 -0xbc0c0d18 -256 -256 -0 -0 -0 -9 -0x19f9 -0x4aade5e7 -256 -256 -0 -0 -0 -9 -0xa676 -0x56d8f2ee -256 -256 -1 -0 -0 -4 -0xeddc -0x9014ef9f -256 -256 -0 -0 -0 -6 -0xcc30 -0x1c2dc8f2 -256 -256 -1 -0 -0 -8 -0x47ff -0xd0e07ae5 -256 -256 -0 -0 -0 -7 -0xfab3 -0x49695b88 -256 -256 -1 -0 -0 -4 -0x7445 -0x4d22e467 -256 -256 -0 -0 -0 -9 -0x511a -0xd6528601 -256 -256 -0 -0 -0 -7 -0xd1a0 -0xb282d534 -256 -256 -1 -0 -0 -8 -0x699d -0x7eadb557 -256 -256 -0 -0 -0 -9 -0x11bd -0x3c2b889 -256 -256 -0 -0 -0 -3 -0x72b4 -0x29477192 -256 -256 -0 -0 -0 -9 -0xc52 -0xd463834f -256 -256 -1 -0 -0 -8 -0x6560 -0x7f7e21fb -256 -256 -0 -0 -0 -10 -0xaf6f -0x82b271df -256 -256 -1 -0 -0 -4 -0xf0b3 -0x9fd6ef17 -256 -256 -0 -0 -0 -10 -0x219d -0x1ed15d38 -256 -256 -1 -0 -0 -4 -0x691c -0xfb3a046 -256 -256 -0 -0 -0 -8 -0x932a -0xcd9a430b -256 -256 -1 -0 -0 -1 -0xf2b8 -0xac19c0e1 -256 -256 -1 -0 -0 -7 -0x8b2f -0x7806cedb -256 -256 -0 -0 -0 -8 -0x64c8 -0xc58a97d6 -256 -256 -1 -0 -0 -4 -0x197f -0x7eb3f8d9 -256 -256 -0 -0 -0 -9 -0x13f5 -0xaeed88e7 -256 -256 -1 -0 -0 -3 -0xe7f7 -0x50a7ef03 -256 -256 -1 -0 -0 -6 -0x42f3 -0x988eeb74 -256 -256 -1 -0 -0 -8 -0x71d5 -0xe5481828 -256 -256 -1 -0 -0 -1 -0xec5a -0x8b00edad -256 -256 -1 -0 -0 -4 -0xf417 -0xe94695af -256 -256 -0 -0 -0 -3 -0xfc58 -0xa8086506 -256 -256 -0 -0 -0 -10 -0x82af -0xb012c353 -256 -256 -1 -0 -0 -5 -0x58f9 -0x28091d46 -256 -256 -0 -0 -0 -6 -0x2332 -0x7c13f47c -256 -256 -0 -0 -0 -2 -0x8ac2 -0x3d47232d -256 -256 -1 -0 -0 -9 -0x4011 -0x8b713186 -256 -256 -0 -0 -0 -8 -0x3b3c -0xbd2e2d7e -256 -256 -0 -0 -0 -1 -0x1c25 -0x4752ab3e -256 -256 -0 -0 -0 -1 -0x1c38 -0x6efa1f0a -256 -256 -0 -0 -0 -8 -0x8a66 -0x4b79d916 -256 -256 -1 -0 -0 -6 -0x5364 -0xae13c0aa -256 -256 -0 -0 -0 -3 -0xf0d2 -0x4ab7536 -256 -256 -1 -0 -0 -8 -0x5af8 -0x784122cc -256 -256 -1 -0 -0 -6 -0x7be0 -0x1ccb962f -256 -256 -1 -0 -0 -10 -0xfdd5 -0xa2e1abe2 -256 -256 -1 -0 -0 -3 -0x42a6 -0xe56ec858 -256 -256 -1 -0 -0 -2 -0x24bd -0x843b9f76 -256 -256 -0 -0 -0 -4 -0xb259 -0xa3a00895 -256 -256 -1 -0 -0 -4 -0x3621 -0xfe96c014 -256 -256 -1 -0 -0 -3 -0x19ae -0x1e685ae8 -256 -256 -0 -0 -0 -3 -0x7da5 -0x2c271465 -256 -256 -1 -0 -0 -4 -0x5f1e -0xf0065df3 -256 -256 -1 -0 -0 -4 -0xf15c -0xe33e1373 -256 -256 -1 -0 -0 -5 -0xd786 -0x4a89a941 -256 -256 -1 -0 -0 -3 -0xa22b -0xd65f5ea8 -256 -256 -1 -0 -0 -8 -0xd95c -0x601215b9 -256 -256 -0 -0 -0 -6 -0xfff9 -0x75f38b72 -256 -256 -1 -0 -0 -9 -0x9b78 -0x2516aca0 -256 -256 -1 -0 -0 -6 -0x343a -0x4cffcc05 -256 -256 -0 -0 -0 -8 -0x2fa4 -0xa9af22a5 -256 -256 -1 -0 -0 -1 -0x61d0 -0x9018340c -256 -256 -1 -0 -0 -4 -0xa1f6 -0x1fe921cd -256 -256 -1 -0 -0 -6 -0xf784 -0x80be1ed5 -256 -256 -1 -0 -0 -9 -0xca15 -0xfa244d2e -256 -256 -0 -0 -0 -4 -0xba98 -0xeaed0793 -256 -256 -1 -0 -0 -5 -0xfb01 -0x5538c84c -256 -256 -1 -0 -0 -6 -0x1b1e -0x8b63ef45 -256 -256 -1 -0 -0 -3 -0x9d43 -0xe824e1c6 -256 -256 -1 -0 -0 -6 -0xa92d -0xa6e65846 -256 -256 -1 -0 -0 -8 -0x888b -0xe972290f -256 -256 -0 -0 -0 -1 -0x5d70 -0xe5f58a79 -256 -256 -0 -0 -0 -5 -0xf168 -0xee8335b -256 -256 -1 -0 -0 -9 -0xb87 -0x17dc7f90 -256 -256 -0 -0 -0 -10 -0x2d70 -0xfcf66c6f -256 -256 -1 -0 -0 -9 -0x815 -0x25932c7a -256 -256 -0 -0 -0 -4 -0xc4ff -0x3a65e463 -256 -256 -1 -0 -0 -9 -0xe431 -0x3ef5e62d -256 -256 -0 -0 -0 -1 -0x9437 -0x9882bec5 -256 -256 -1 -0 -0 -1 -0x829c -0x4e819771 -256 -256 -0 -0 -0 -1 -0x1adf -0x36df337e -256 -256 -0 -0 -0 -10 -0x8a34 -0xabaa36e -256 -256 -0 -0 -0 -7 -0x874c -0x38fb0533 -256 -256 -0 -0 -0 -1 -0xac78 -0xceb0d490 -256 -256 -1 -0 -0 -6 -0x3764 -0x9d8b3426 -256 -256 -0 -0 -0 -6 -0xf2b3 -0xa893fc87 -256 -256 -0 -0 -0 -4 -0x89ca -0xa03b26b0 -256 -256 -0 -0 -0 -4 -0x712b -0x92aad726 -256 -256 -0 -0 -0 -4 -0x6de8 -0x7826c1ae -256 -256 -1 -0 -0 -9 -0x270a -0x3e29dd6c -256 -256 -0 -0 -0 -2 -0x13eb -0xaa5d4fab -256 -256 -0 -0 -0 -7 -0x261b -0x467f8a05 -256 -256 -1 -0 -0 -9 -0xc3a3 -0x755cd6f6 -256 -256 -0 -0 -0 -2 -0xa7ab -0x3fee058 -256 -256 -1 -0 -0 -4 -0x6bc9 -0x66013a6a -256 -256 -1 -0 -0 -1 -0x352f -0x3274d0a9 -256 -256 -0 -0 -0 -7 -0x9448 -0x83646184 -256 -256 -1 -0 -0 -1 -0xfe75 -0xd8bc9387 -256 -256 -0 -0 -0 -5 -0xd0df -0x70fdc6af -256 -256 -1 -0 -0 -3 -0x3bb7 -0x8bac4474 -256 -256 -1 -0 -0 -2 -0x9f6a -0xda977301 -256 -256 -1 -0 -0 -4 -0xa91b -0xe7c7ca85 -256 -256 -0 -0 -0 -6 -0xda10 -0x6d60fd8e -256 -256 -1 -0 -0 -7 -0xfaf0 -0x1c7d2ec3 -256 -256 -0 -0 -0 -3 -0x804f -0xaaf0cbe -256 -256 -1 -0 -0 -6 -0x3791 -0x4c5b3768 -256 -256 -0 -0 -0 -1 -0x5072 -0xf2e685f7 -256 -256 -1 -0 -0 -7 -0xe8bb -0x9a9f282b -256 -256 -1 -0 -0 -6 -0x6e5e -0x8cca539d -256 -256 -0 -0 -0 -3 -0x58a7 -0xb82a1121 -256 -256 -0 -0 -0 -7 -0x32b6 -0xdf2fc0f4 -256 -256 -0 -0 -0 -1 -0x13c0 -0x54430ec5 -256 -256 -0 -0 -0 -9 -0xc7f1 -0xa2e27df9 -256 -256 -0 -0 -0 -5 -0x178e -0x76169812 -256 -256 -0 -0 -0 -8 -0xab6e -0x5a5b1ecf -256 -256 -1 -0 -0 -2 -0x925f -0x264dae22 -256 -256 -1 -0 -0 -3 -0x1e2f -0xe25ee082 -256 -256 -0 -0 -0 -5 -0xeb42 -0x76ea2062 -256 -256 -1 -0 -0 -3 -0xab7 -0x446d9f5a -256 -256 -0 -0 -0 -6 -0xfab1 -0x716f2958 -256 -256 -0 -0 -0 -7 -0x5625 -0x736eb6ab -256 -256 -1 -0 -0 -9 -0xb1b1 -0x4814cb1a -256 -256 -0 -0 -0 -6 -0xd821 -0xc04c0eba -256 -256 -1 -0 -0 -10 -0x184a -0xded3c80a -256 -256 -1 -0 -0 -5 -0x175f -0x1ee19405 -256 -256 -0 -0 -0 -1 -0x2155 -0xa6ea14ab -256 -256 -0 -0 -0 -9 -0xdb72 -0xd62ed201 -256 -256 -0 -0 -0 -10 -0x74e2 -0x5afd6a7c -256 -256 -1 -0 -0 -6 -0x5f34 -0xb8047704 -256 -256 -1 -0 -0 -3 -0xcee5 -0x873348af -256 -256 -0 -0 -0 -10 -0xe25c -0x52d0b8a7 -256 -256 -0 -0 -0 -7 -0xf78 -0x2c6c11fb -256 -256 -0 -0 -0 -7 -0xe48d -0x7c0d96da -256 -256 -1 -0 -0 -7 -0xe6ac -0xb1ca6207 -256 -256 -0 -0 -0 -7 -0xefb9 -0x77e36485 -256 -256 -0 -0 -0 -1 -0xf9af -0x157ed84a -256 -256 -0 -0 -0 -6 -0x2784 -0x50245c1b -256 -256 -1 -0 -0 -1 -0x45f3 -0xeb30eaeb -256 -256 -0 -0 -0 -7 -0x351f -0x58863b59 -256 -256 -0 -0 -0 -10 -0x50c9 -0x9961f5f3 -256 -256 -0 -0 -0 -7 -0xb0c5 -0x6543b8b3 -256 -256 -0 -0 -0 -2 -0x957d -0x36a6d467 -256 -256 -0 -0 -0 -1 -0x400b -0xdb8e1fd9 -256 -256 -0 -0 -0 -2 -0x8ed4 -0xb1aac840 -256 -256 -0 -0 -0 -1 -0x3dc8 -0xe017d12f -256 -256 -0 -0 -0 -8 -0x5cf9 -0x44c5e138 -256 -256 -1 -0 -0 -5 -0x5c62 -0xb45bd2e4 -256 -256 -1 -0 -0 -2 -0x109f -0x53521ae7 -256 -256 -1 -0 -0 -8 -0x4559 -0x72f73682 -256 -256 -1 -0 -0 -10 -0xc34a -0x4584d562 -256 -256 -0 -0 -0 -5 -0xf79 -0xee619f84 -256 -256 -0 -0 -0 -6 -0x4f8d -0x90d18558 -256 -256 -1 -0 -0 -9 -0xc98f -0x1aca8455 -256 -256 -1 -0 -0 -6 -0xfb7a -0x25ce3a57 -256 -256 -1 -0 -0 -1 -0xf9c -0x32e7aa33 -256 -256 -0 -0 -0 -2 -0xdd1d -0xef67dd64 -256 -256 -1 -0 -0 -8 -0x324b -0xa3b2caaf -256 -256 -0 -0 -0 -6 -0xebdd -0x6a86d189 -256 -256 -0 -0 -0 -6 -0xcc27 -0x8c1550fe -256 -256 -1 -0 -0 -8 -0xcb71 -0x98c47ab5 -256 -256 -1 -0 -0 -10 -0xcf7b -0x1bee3b02 -256 -256 -0 -0 -0 -9 -0xd249 -0x2573f3cd -256 -256 -0 -0 -0 -6 -0x4bd7 -0x3d6133cd -256 -256 -0 -0 -0 -8 -0x4f56 -0x837567dd -256 -256 -1 -0 -0 -4 -0x4537 -0x74db7aca -256 -256 -1 -0 -0 -8 -0x7bf2 -0x806e11aa -256 -256 -0 -0 -0 -4 -0x1eea -0xdf19b1c8 -256 -256 -1 -0 -0 -6 -0xe70a -0xd1f78eff -256 -256 -0 -0 -0 -9 -0x84ef -0x828ab6c3 -256 -256 -1 -0 -0 -6 -0xc50c -0x158cf913 -256 -256 -0 -0 -0 -6 -0xbe11 -0x89af3b41 -256 -256 -1 -0 -0 -4 -0x2100 -0x7855ecb8 -256 -256 -1 -0 -0 -7 -0x1edf -0x26ea52c4 -256 -256 -0 -0 -0 -9 -0xe229 -0x4e1cf610 -256 -256 -0 -0 -0 -6 -0xc681 -0x8e367296 -256 -256 -1 -0 -0 -8 -0x4280 -0x45635931 -256 -256 -0 -0 -0 -4 -0xb506 -0x5a862fe7 -256 -256 -1 -0 -0 -4 -0xd37a -0x55cd357b -256 -256 -1 -0 -0 -2 -0xdc76 -0xe3bdbc55 -256 -256 -1 -0 -0 -5 -0x2fe9 -0x2e59872d -256 -256 -1 -0 -0 -10 -0xce1f -0x843989ef -256 -256 -0 -0 -0 -2 -0xb98 -0xc849656e -256 -256 -1 -0 -0 -8 -0xceb0 -0x36a66339 -256 -256 -0 -0 -0 -8 -0xdfd9 -0xa853dfb4 -256 -256 -1 -0 -0 -8 -0x47d -0x3e781e5d -256 -256 -0 -0 -0 -1 -0xa639 -0xea88695b -256 -256 -1 -0 -0 -7 -0x2103 -0x552f9bbe -256 -256 -1 -0 -0 -10 -0x6099 -0x3986c600 -256 -256 -0 -0 -0 -9 -0x6b2 -0xfb48b655 -256 -256 -0 -0 -0 -9 -0x2e67 -0xb59435c4 -256 -256 -1 -0 -0 -2 -0xb1d -0xac8da3d4 -256 -256 -1 -0 -0 -10 -0x5f4e -0xba75ec79 -256 -256 -1 -0 -0 -10 -0x75fe -0xaf8b5242 -256 -256 -1 -0 -0 -2 -0x1f28 -0x93173427 -256 -256 -1 -0 -0 -4 -0x8561 -0x7837f2fa -256 -256 -1 -0 -0 -1 -0x6e01 -0x26074261 -256 -256 -0 -0 -0 -1 -0x9e78 -0xae7574f9 -256 -256 -1 -0 -0 -4 -0x7832 -0x1663b58d -256 -256 -1 -0 -0 -10 -0x3ba6 -0x3b95caf5 -256 -256 -0 -0 -0 -6 -0x5e2f -0xf5059c12 -256 -256 -0 -0 -0 -9 -0x4144 -0x2a35f962 -256 -256 -1 -0 -0 -2 -0xe0d4 -0x1a2dba99 -256 -256 -0 -0 -0 -4 -0x1dba -0xff8b0f1 -256 -256 -0 -0 -0 -6 -0xc5b7 -0x601ba096 -256 -256 -1 -0 -0 -6 -0x313a -0x6b0d49c6 -256 -256 -0 -0 -0 -10 -0xc9f5 -0x36de5e99 -256 -256 -0 -0 -0 -8 -0xd324 -0x318e4971 -256 -256 -0 -0 -0 -2 -0x7893 -0x5112bd60 -256 -256 -1 -0 -0 -5 -0xa826 -0x59b9c9d9 -256 -256 -1 -0 -0 -10 -0xc6cc -0x8228e0d7 -256 -256 -1 -0 -0 -10 -0xc789 -0xf2b9ee2a -256 -256 -1 -0 -0 -2 -0xfed9 -0xe9229da1 -256 -256 -1 -0 -0 -4 -0x218c -0x7e73d8d6 -256 -256 -1 -0 -0 -4 -0x472b -0x34455403 -256 -256 -1 -0 -0 -3 -0x80b7 -0x3cdf2e19 -256 -256 -0 -0 -0 -9 -0xb3e -0xdbf2a1e5 -256 -256 -1 -0 -0 -1 -0x998f -0x2955767 -256 -256 -1 -0 -0 -4 -0x2f29 -0x871dc65d -256 -256 -1 -0 -0 -4 -0xbe98 -0x6103cf46 -256 -256 -0 -0 -0 -9 -0x27eb -0x180f98aa -256 -256 -0 -0 -0 -1 -0x20f3 -0x1557e846 -256 -256 -0 -0 -0 -6 -0x9930 -0x6e9a5c39 -256 -256 -0 -0 -0 -2 -0x8e66 -0x9152963f -256 -256 -1 -0 -0 -2 -0xd099 -0x334c782d -256 -256 -0 -0 -0 -10 -0x175b -0x74bee698 -256 -256 -1 -0 -0 -10 -0x2f33 -0x75eb5aa7 -256 -256 -0 -0 -0 -2 -0xc8e2 -0xb2628b0d -256 -256 -1 -0 -0 -2 -0xc1f3 -0x38b970fa -256 -256 -0 -0 -0 -2 -0x762f -0x2043591b -256 -256 -0 -0 -0 -1 -0xfdfe -0xd6e7d686 -256 -256 -1 -0 -0 -4 -0xb5d9 -0xead9af42 -256 -256 -1 -0 -0 -6 -0x2781 -0xfd725796 -256 -256 -1 -0 -0 -10 -0x4a -0x13eccc16 -256 -256 -1 -0 -0 -6 -0x3d1f -0x5f2d6310 -256 -256 -0 -0 -0 -8 -0x44ad -0x596a9de5 -256 -256 -1 -0 -0 -5 -0xc954 -0x42b04d54 -256 -256 -0 -0 -0 -5 -0x845c -0x9ee4b65d -256 -256 -0 -0 -0 -3 -0x780d -0x7578b1ac -256 -256 -0 -0 -0 -3 -0xbd60 -0x2636196f -256 -256 -0 -0 -0 -6 -0x101e -0xc50094e5 -256 -256 -0 -0 -0 -9 -0x27d3 -0x5146e4bf -256 -256 -1 -0 -0 -8 -0x6589 -0xd05b4a84 -256 -256 -1 -0 -0 -3 -0xf142 -0x992f49be -256 -256 -1 -0 -0 -4 -0xb5dd -0x38935924 -256 -256 -1 -0 -0 -5 -0xae9c -0x15630062 -256 -256 -0 -0 -0 -4 -0x20ec -0x5bff09ff -256 -256 -0 -0 -0 -2 -0x65f9 -0x5cde21e9 -256 -256 -1 -0 -0 -5 -0x8a5d -0x4539399f -256 -256 -1 -0 -0 -10 -0xf700 -0x2370d517 -256 -256 -0 -0 -0 -7 -0x151 -0x777eb645 -256 -256 -1 -0 -0 -9 -0x53a1 -0x9b40a85c -256 -256 -1 -0 -0 -3 -0xbd9a -0xcb07092f -256 -256 -1 -0 -0 -7 -0xefde -0xb7275c4c -256 -256 -1 -0 -0 -10 -0x9c3f -0x8835589f -256 -256 -1 -0 -0 -8 -0xdd21 -0xfdb217a3 -256 -256 -0 -0 -0 -7 -0x9e00 -0xe5f0aa89 -256 -256 -1 -0 -0 -3 -0x1bfd -0xc5cbf571 -256 -256 -1 -0 -0 -3 -0xda26 -0xf1b9a58 -256 -256 -1 -0 -0 -8 -0xb1ad -0xdf55810d -256 -256 -0 -0 -0 -7 -0xfb9b -0xb8c3894d -256 -256 -0 -0 -0 -9 -0x7be1 -0xeed02fc8 -256 -256 -1 -0 -0 -3 -0xcae6 -0xf1f42243 -256 -256 -0 -0 -0 -6 -0x5009 -0x238c22c2 -256 -256 -0 -0 -0 -8 -0x15ca -0x99bff59b -256 -256 -0 -0 -0 -6 -0xfaa6 -0xe9387e41 -256 -256 -0 -0 -0 -4 -0x3d3d -0x6a854d5d -256 -256 -0 -0 -0 -10 -0xc5a4 -0x21958fab -256 -256 -1 -0 -0 -10 -0x9284 -0x968e50cb -256 -256 -0 -0 -0 -10 -0x7b47 -0x9c621d28 -256 -256 -1 -0 -0 -2 -0xd78e -0x4891e7ed -256 -256 -0 -0 -0 -10 -0x8d22 -0x5e655159 -256 -256 -1 -0 -0 -6 -0x3297 -0xf1a2a8dd -256 -256 -1 -0 -0 -1 -0xf13b -0xe99abfb5 -256 -256 -1 -0 -0 -6 -0xaf4c -0xae12ed36 -256 -256 -0 -0 -0 -10 -0x824b -0x6ce686d1 -256 -256 -1 -0 -0 -2 -0xd718 -0x43a35970 -256 -256 -0 -0 -0 -8 -0x966e -0xa85168c8 -256 -256 -0 -0 -0 -1 -0x4cba -0x176887cc -256 -256 -1 -0 -0 -10 -0x943b -0xe165bd8f -256 -256 -0 -0 -0 -4 -0x9fbd -0x7341d88e -256 -256 -0 -0 -0 -5 -0xfeae -0x21ae0be5 -256 -256 -1 -0 -0 -3 -0xec13 -0x518b284a -256 -256 -1 -0 -0 -8 -0x5a41 -0x6e5e79ca -256 -256 -1 -0 -0 -4 -0x352f -0xfe8f930a -256 -256 -1 -0 -0 -1 -0x3c25 -0xdfe743fd -256 -256 -1 -0 -0 -1 -0x3dc6 -0xacdb5660 -256 -256 -0 -0 -0 -5 -0xef33 -0x5858fb08 -256 -256 -0 -0 -0 -7 -0x70fa -0x73cbc73e -256 -256 -1 -0 -0 -1 -0xbc2c -0x42c1d139 -256 -256 -0 -0 -0 -1 -0x49f4 -0x277fa6dd -256 -256 -0 -0 -0 -5 -0xbfa -0xd0cda26f -256 -256 -0 -0 -0 -4 -0xae94 -0xad84603a -256 -256 -1 -0 -0 -6 -0xcd88 -0x38402dfc -256 -256 -0 -0 -0 -1 -0x38e0 -0x656de466 -256 -256 -0 -0 -0 -4 -0xb675 -0xf316ccba -256 -256 -0 -0 -0 -7 -0x808f -0xd5834a88 -256 -256 -0 -0 -0 -3 -0x9842 -0xb573d242 -256 -256 -1 -0 -0 -3 -0xb9f3 -0x20b28edf -256 -256 -0 -0 -0 -10 -0xf714 -0xaa5fd560 -256 -256 -0 -0 -0 -1 -0x8814 -0x50fe6e6d -256 -256 -0 -0 -0 -7 -0x2706 -0xd432ec04 -256 -256 -0 -0 -0 -9 -0x9dfd -0x2d92c8ae -256 -256 -1 -0 -0 -10 -0x918d -0xa5d43d08 -256 -256 -1 -0 -0 -6 -0xdf5a -0x4c46f128 -256 -256 -1 -0 -0 -4 -0x3d5b -0x106ca0a -256 -256 -0 -0 -0 -3 -0xfa80 -0x4641062e -256 -256 -0 -0 -0 -6 -0xd057 -0x66b03706 -256 -256 -1 -0 -0 -3 -0x397c -0x38d8ee4 -256 -256 -0 -0 -0 -2 -0x2306 -0x6a526341 -256 -256 -1 -0 -0 -8 -0x397a -0x25efb7e9 -256 -256 -1 -0 -0 -3 -0x6eeb -0xedccba2d -256 -256 -1 -0 -0 -3 -0x2130 -0xbd1bcf4e -256 -256 -1 -0 -0 -4 -0x2db9 -0x3b2ccb27 -256 -256 -0 -0 -0 -6 -0x5e9b -0x8ceb5463 -256 -256 -0 -0 -0 -10 -0xd2bf -0x96ef2ebf -256 -256 -1 -0 -0 -1 -0x1aaa -0x90186942 -256 -256 -1 -0 -0 -6 -0xced4 -0x308c734d -256 -256 -1 -0 -0 -8 -0x9a54 -0xdb1bc9fc -256 -256 -1 -0 -0 -9 -0xb7ff -0xded360d -256 -256 -1 -0 -0 -6 -0xe8ab -0xbfd00dc3 -256 -256 -1 -0 -0 -3 -0xe8ba -0x301adc70 -256 -256 -1 -0 -0 -10 -0xd9e8 -0x20f01c96 -256 -256 -1 -0 -0 -4 -0x6f17 -0x43c4a551 -256 -256 -0 -0 -0 -4 -0xe76 -0x87352345 -256 -256 -1 -0 -0 -8 -0x262d -0x155a891a -256 -256 -0 -0 -0 -3 -0xf85a -0xd790d9b6 -256 -256 -0 -0 -0 -9 -0x7836 -0x4f2ac1ab -256 -256 -0 -0 -0 -8 -0xdefb -0xf9488d34 -256 -256 -0 -0 -0 -6 -0x90e1 -0xfc2e8182 -256 -256 -0 -0 -0 -3 -0x1e2c -0xae2e6507 -256 -256 -0 -0 -0 -8 -0x7d37 -0x11c0f471 -256 -256 -0 -0 -0 -10 -0x35d1 -0x9a374fd3 -256 -256 -0 -0 -0 -1 -0x9830 -0x83e8f33c -256 -256 -1 -0 -0 -7 -0x1f8b -0xa5444eea -256 -256 -1 -0 -0 -3 -0x3de3 -0xf2ef4880 -256 -256 -1 -0 -0 -3 -0x7c60 -0xf5164368 -256 -256 -1 -0 -0 -8 -0x8d0a -0x5fadff0c -256 -256 -1 -0 -0 -8 -0xe2b1 -0xe2e02f1e -256 -256 -1 -0 -0 -2 -0x3d12 -0xc8c3a245 -256 -256 -1 -0 -0 -4 -0x83c6 -0x3274638c -256 -256 -1 -0 -0 -7 -0x5a28 -0x762c1b94 -256 -256 -1 -0 -0 -7 -0x6528 -0x4df160d9 -256 -256 -1 -0 -0 -2 -0x82f3 -0xd3010b2d -256 -256 -0 -0 -0 -10 -0xfda1 -0x3f28919c -256 -256 -1 -0 -0 -4 -0x94f7 -0x2d735c53 -256 -256 -1 -0 -0 -5 -0x5bb6 -0x3b1a2249 -256 -256 -1 -0 -0 -9 -0x6fb2 -0xa228e23b -256 -256 -0 -0 -0 -8 -0xd7a9 -0xcce8c2b4 -256 -256 -1 -0 -0 -1 -0xed07 -0x6fa36642 -256 -256 -1 -0 -0 -2 -0x843d -0x8afa1375 -256 -256 -1 -0 -0 -10 -0xc7c2 -0x30463d7a -256 -256 -0 -0 -0 -8 -0x8c63 -0xd6a0d810 -256 -256 -0 -0 -0 -1 -0x8bc6 -0x80fdaaba -256 -256 -1 -0 -0 -2 -0xfa5 -0xf6d78389 -256 -256 -1 -0 -0 -8 -0xd8b1 -0x8c52aea -256 -256 -1 -0 -0 -8 -0x8b1f -0x3fc356e2 -256 -256 -0 -0 -0 -9 -0xf990 -0xc67d6f40 -256 -256 -0 -0 -0 -6 -0x9bc5 -0xe9d46e57 -256 -256 -1 -0 -0 -8 -0xdad3 -0xf4a58e62 -256 -256 -1 -0 -0 -10 -0xb0f4 -0x50f38644 -256 -256 -0 -0 -0 -5 -0xa5a4 -0xfa5c91fc -256 -256 -0 -0 -0 -9 -0x64bb -0x14370dac -256 -256 -1 -0 -0 -2 -0x8566 -0x489d638 -256 -256 -0 -0 -0 -2 -0x10f1 -0xadef7fdd -256 -256 -0 -0 -0 -10 -0xd506 -0xe3bfa337 -256 -256 -0 -0 -0 -2 -0x1a88 -0x756c03ab -256 -256 -1 -0 -0 -3 -0x806a -0xd4a473a -256 -256 -0 -0 -0 -8 -0x6a9a -0x52bb1d10 -256 -256 -0 -0 -0 -10 -0xa9da -0x9e099938 -256 -256 -1 -0 -0 -2 -0x9b56 -0xa4d8f259 -256 -256 -1 -0 -0 -10 -0x81e5 -0xa30c0bc3 -256 -256 -0 -0 -0 -6 -0x26b9 -0x73e3b9f1 -256 -256 -0 -0 -0 -6 -0x3e67 -0xd2711e27 -256 -256 -1 -0 -0 -8 -0xb588 -0x5ff24c1 -256 -256 -0 -0 -0 -9 -0xfc23 -0x46ae7059 -256 -256 -0 -0 -0 -10 -0xc7fb -0x25268c25 -256 -256 -1 -0 -0 -4 -0xcd9e -0x37cf19d0 -256 -256 -1 -0 -0 -4 -0x7833 -0xf5184936 -256 -256 -1 -0 -0 -8 -0xe67c -0x8ca2794f -256 -256 -0 -0 -0 -10 -0x5dd1 -0xbd963635 -256 -256 -1 -0 -0 -7 -0xfa45 -0xff44ac22 -256 -256 -0 -0 -0 -6 -0x13da -0xb9d41a3 -256 -256 -0 -0 -0 -7 -0x37eb -0x5ab89be8 -256 -256 -1 -0 -0 -5 -0x5f8a -0xe70ba3da -256 -256 -1 -0 -0 -7 -0xd1ef -0x27e873be -256 -256 -1 -0 -0 -2 -0x156e -0x189a3702 -256 -256 -0 -0 -0 -5 -0xd31 -0x49e8d1a -256 -256 -1 -0 -0 -9 -0x5e11 -0xb945791b -256 -256 -0 -0 -0 -9 -0xaee1 -0xea49c33a -256 -256 -1 -0 -0 -1 -0xe252 -0x25c0967d -256 -256 -0 -0 -0 -8 -0x3888 -0xcae73b64 -256 -256 -1 -0 -0 -4 -0x58e8 -0x8c1c1c24 -256 -256 -1 -0 -0 -1 -0xb6a3 -0x3d56df03 -256 -256 -1 -0 -0 -6 -0x4f9f -0x5b49ac56 -256 -256 -0 -0 -0 -10 -0x19db -0x53a3422f -256 -256 -1 -0 -0 -2 -0x2316 -0xf2be2a32 -256 -256 -1 -0 -0 -2 -0x4706 -0x5a7e994e -256 -256 -1 -0 -0 -8 -0x2117 -0x58c75445 -256 -256 -0 -0 -0 -1 -0x95b8 -0x9e464b69 -256 -256 -0 -0 -0 -1 -0xcf86 -0xd20e7cbb -256 -256 -1 -0 -0 -8 -0xafb5 -0x93fb1c12 -256 -256 -0 -0 -0 -1 -0x11d -0xae1b4cf6 -256 -256 -1 -0 -0 -2 -0xd6cc -0xe3e5522e -256 -256 -0 -0 -0 -4 -0xdfaf -0x9d2ce686 -256 -256 -0 -0 -0 -5 -0x3567 -0x4b10ae66 -256 -256 -0 -0 -0 -7 -0x1c3a -0xf18cbba4 -256 -256 -0 -0 -0 -7 -0x928 -0x5cb0a758 -256 -256 -1 -0 -0 -7 -0x3c86 -0x525e8bfa -256 -256 -1 -0 -0 -3 -0xbe23 -0x9367cd50 -256 -256 -1 -0 -0 -1 -0x5a04 -0x4e5b2fd1 -256 -256 -0 -0 -0 -2 -0x1b38 -0xa1a93ba6 -256 -256 -0 -0 -0 -6 -0xc50c -0xda395cf7 -256 -256 -1 -0 -0 -1 -0x1c49 -0xdbaf423b -256 -256 -1 -0 -0 -9 -0xd033 -0x69b955ce -256 -256 -0 -0 -0 -9 -0x854f -0x3c7d32e -256 -256 -1 -0 -0 -4 -0xe9b1 -0xcb4d06c7 -256 -256 -0 -0 -0 -6 -0x3a77 -0xb97f26cc -256 -256 -0 -0 -0 -3 -0x11bd -0x30bbc53c -256 -256 -1 -0 -0 -10 -0x8783 -0x9dc3a42e -256 -256 -0 -0 -0 -2 -0x5a83 -0x296eb9bc -256 -256 -1 -0 -0 -1 -0x107 -0x2e63e533 -256 -256 -1 -0 -0 -4 -0xb0da -0x9eddd1eb -256 -256 -0 -0 -0 -8 -0x867a -0x197bfb58 -256 -256 -0 -0 -0 -4 -0xb540 -0x4945ea32 -256 -256 -0 -0 -0 -1 -0x8ae5 -0x7c8ad64a -256 -256 -1 -0 -0 -1 -0x342f -0x5f23adf9 -256 -256 -1 -0 -0 -8 -0x69dd -0xd68e609d -256 -256 -0 -0 -0 -10 -0x37ce -0xdd6648d5 -256 -256 -1 -0 -0 -9 -0x65f7 -0xbfd77e23 -256 -256 -0 -0 -0 -1 -0x4988 -0xd70533ed -256 -256 -0 -0 -0 -8 -0x9ced -0x1ae6d53c -256 -256 -1 -0 -0 -3 -0xb8d2 -0x1c8b52aa -256 -256 -1 -0 -0 -6 -0x622f -0x6800b308 -256 -256 -0 -0 -0 -5 -0x8e1f -0x33c88137 -256 -256 -1 -0 -0 -6 -0xa395 -0x3fd5d36a -256 -256 -0 -0 -0 -7 -0xb36b -0x4aa42c70 -256 -256 -1 -0 -0 -9 -0x2a7b -0xb150d5b4 -256 -256 -1 -0 -0 -1 -0x93a8 -0xf7412e6 -256 -256 -1 -0 -0 -10 -0x250 -0x7d7eb6a8 -256 -256 -0 -0 -0 -9 -0xa593 -0xc28df23f -256 -256 -0 -0 -0 -1 -0xce9b -0xb917081e -256 -256 -0 -0 -0 -2 -0xc4d9 -0x726ef254 -256 -256 -0 -0 -0 -8 -0x89ad -0xd445e31 -256 -256 -0 -0 -0 -5 -0xc0c2 -0x5168a108 -256 -256 -0 -0 -0 -5 -0xc5eb -0x92cbaddc -256 -256 -0 -0 -0 -6 -0x59b3 -0x8d9ec87e -256 -256 -0 -0 -0 -3 -0x8537 -0x403fae70 -256 -256 -0 -0 -0 -4 -0xb245 -0x9af42137 -256 -256 -0 -0 -0 -2 -0xb4e -0x68063c20 -256 -256 -0 -0 -0 -10 -0xde9d -0x25d8f6e5 -256 -256 -1 -0 -0 -2 -0xfc0a -0xc91391d3 -256 -256 -1 -0 -0 -2 -0xa589 -0x6897a447 -256 -256 -0 -0 -0 -8 -0xd2bd -0xdbe9f99 -256 -256 -0 -0 -0 -8 -0x5316 -0x2587675c -256 -256 -0 -0 -0 -3 -0xc58f -0xd2d2c90d -256 -256 -1 -0 -0 -8 -0xc502 -0x996ae829 -256 -256 -1 -0 -0 -4 -0x914c -0x9caac0f6 -256 -256 -0 -0 -0 -10 -0xec3d -0xc4e010a6 -256 -256 -1 -0 -0 -7 -0xc132 -0x8bd62466 -256 -256 -1 -0 -0 -3 -0x9b24 -0xb2312166 -256 -256 -0 -0 -0 -6 -0xe1e6 -0x14cf67ea -256 -256 -1 -0 -0 -5 -0x70d2 -0xec152f8d -256 -256 -1 -0 -0 -1 -0x7b9f -0x310f8a8d -256 -256 -1 -0 -0 -8 -0xcbcd -0xae7e8b9 -256 -256 -1 -0 -0 -1 -0xe6d5 -0xc4fcec6a -256 -256 -1 -0 -0 -3 -0xc47a -0x480fd0a2 -256 -256 -0 -0 -0 -10 -0xd029 -0xaee6184 -256 -256 -1 -0 -0 -10 -0xb8ee -0x4797a64f -256 -256 -0 -0 -0 -4 -0x82bf -0x815db5e7 -256 -256 -1 -0 -0 -6 -0xdc7b -0x87b763e3 -256 -256 -1 -0 -0 -3 -0x53af -0x555fb68 -256 -256 -1 -0 -0 -4 -0x8458 -0xa68048cb -256 -256 -0 -0 -0 -6 -0x5914 -0x989ebd32 -256 -256 -1 -0 -0 -8 -0xe318 -0xfe720a23 -256 -256 -0 -0 -0 -7 -0xc90d -0xa247c8a -256 -256 -1 -0 -0 -7 -0xb5e -0x8014e430 -256 -256 -0 -0 -0 -2 -0x3056 -0x452091db -256 -256 -0 -0 -0 -8 -0x952c -0x74435d98 -256 -256 -0 -0 -0 -7 -0x6395 -0xe97c1b84 -256 -256 -0 -0 -0 -5 -0x7b60 -0x4f8c1918 -256 -256 -1 -0 -0 -9 -0x89ef -0xad11ae8 -256 -256 -1 -0 -0 -10 -0x8a5e -0xd7740047 -256 -256 -0 -0 -0 -10 -0xb90a -0x6e962ae5 -256 -256 -0 -0 -0 -3 -0xe14 -0x68c31dda -256 -256 -1 -0 -0 -9 -0x6dfc -0x664d11c7 -256 -256 -0 -0 -0 -9 -0xcefc -0xf6874d75 -256 -256 -1 -0 -0 -10 -0x9a1a -0x8342d308 -256 -256 -1 -0 -0 -1 -0x5c73 -0x95340e45 -256 -256 -1 -0 -0 -5 -0xb89c -0x31210161 -256 -256 -0 -0 -0 -2 -0x5184 -0xa3f2068d -256 -256 -1 -0 -0 -3 -0xf56e -0x59e569d1 -256 -256 -0 -0 -0 -6 -0x695d -0x65e17f94 -256 -256 -0 -0 -0 -3 -0x150f -0xed335599 -256 -256 -0 -0 -0 -4 -0x48b5 -0x92231cd9 -256 -256 -1 -0 -0 -3 -0x2c52 -0xea54032b -256 -256 -0 -0 -0 -8 -0xb2e7 -0x43aa635a -256 -256 -0 -0 -0 -2 -0xa803 -0x5cbd94f7 -256 -256 -0 -0 -0 -5 -0x1ec5 -0x96b6b664 -256 -256 -0 -0 -0 -5 -0xb103 -0x86d0bd12 -256 -256 -1 -0 -0 -8 -0xe7ad -0x155b8443 -256 -256 -1 -0 -0 -1 -0x4327 -0x8dd17a65 -256 -256 -1 -0 -0 -3 -0x987 -0x5a285f8e -256 -256 -1 -0 -0 -10 -0xe765 -0x5edaa2cb -256 -256 -1 -0 -0 -10 -0xc850 -0x6578ad8c -256 -256 -0 -0 -0 -1 -0x8ef2 -0xe2d90155 -256 -256 -1 -0 -0 -5 -0xe285 -0x514a6a6a -256 -256 -1 -0 -0 -1 -0xf7dd -0xdf49b276 -256 -256 -1 -0 -0 -4 -0x63c6 -0x44b957e8 -256 -256 -1 -0 -0 -4 -0xb4ca -0x152633f0 -256 -256 -0 -0 -0 -8 -0x8930 -0x2f807248 -256 -256 -0 -0 -0 -10 -0xbcae -0x5f8a15e -256 -256 -1 -0 -0 -8 -0x295 -0x3c6cb71e -256 -256 -0 -0 -0 -8 -0xeb62 -0xe7c622a1 -256 -256 -1 -0 -0 -5 -0xbea5 -0xab3a60c5 -256 -256 -0 -0 -0 -6 -0xf632 -0xa97267c4 -256 -256 -1 -0 -0 -1 -0xc082 -0x126bbe39 -256 -256 -0 -0 -0 -1 -0x49c4 -0x184d69bd -256 -256 -1 -0 -0 -7 -0xe687 -0x4ade4be3 -256 -256 -1 -0 -0 -2 -0x3c7e -0xbae71ae5 -256 -256 -0 -0 -0 -9 -0x304c -0xe74f0b84 -256 -256 -0 -0 -0 -3 -0x115d -0x20f92964 -256 -256 -1 -0 -0 -4 -0x9ea2 -0xdf382765 -256 -256 -1 -0 -0 -4 -0xca0e -0xe249c1c1 -256 -256 -1 -0 -0 -7 -0xadcf -0x236dae4f -256 -256 -0 -0 -0 -5 -0x69e3 -0xd2038ca0 -256 -256 -0 -0 -0 -3 -0xfb4f -0x4d315858 -256 -256 -0 -0 -0 -3 -0x5123 -0x6d418698 -256 -256 -1 -0 -0 -5 -0x5b5d -0x8d82f5ff -256 -256 -1 -0 -0 -4 -0xd2da -0x1b496248 -256 -256 -1 -0 -0 -10 -0xe7c5 -0xf9434372 -256 -256 -1 -0 -0 -8 -0x5ded -0xe1eaa846 -256 -256 -1 -0 -0 -8 -0xb6f1 -0xed0861b0 -256 -256 -1 -0 -0 -4 -0xc10b -0xe228ce41 -256 -256 -1 -0 -0 -4 -0x6b87 -0x2f9d39e6 -256 -256 -0 -0 -0 -9 -0xcdc5 -0xb7eadbdb -256 -256 -0 -0 -0 -6 -0x12ec -0xf5cd602a -256 -256 -1 -0 -0 -5 -0x36fb -0x16d665e2 -256 -256 -0 -0 -0 -9 -0x9a30 -0xb6e8a2d2 -256 -256 -1 -0 -0 -4 -0xc851 -0x7db910e0 -256 -256 -1 -0 -0 -8 -0x7acf -0x53069ca5 -256 -256 -1 -0 -0 -8 -0xe0c4 -0x35d70033 -256 -256 -1 -0 -0 -10 -0xd0e3 -0x59bb0c7 -256 -256 -0 -0 -0 -3 -0xcb54 -0xfb8d314e -256 -256 -1 -0 -0 -3 -0x3f25 -0x96d2119e -256 -256 -1 -0 -0 -9 -0x9d53 -0xc49b6c4d -256 -256 -1 -0 -0 -7 -0xcb55 -0x3e71ccff -256 -256 -1 -0 -0 -1 -0x7d29 -0x300fe51e -256 -256 -1 -0 -0 -5 -0x6c27 -0x2d0f54b9 -256 -256 -0 -0 -0 -4 -0xaed6 -0x4eee6edc -256 -256 -0 -0 -0 -6 -0x18d2 -0xd6e34d42 -256 -256 -1 -0 -0 -4 -0x8cdc -0xc36f2154 -256 -256 -1 -0 -0 -10 -0xac38 -0x33647b8 -256 -256 -0 -0 -0 -8 -0xb333 -0xb2268a18 -256 -256 -0 -0 -0 -3 -0x6904 -0x15f0ee47 -256 -256 -1 -0 -0 -1 -0x4bb1 -0x46b6dd2 -256 -256 -1 -0 -0 -3 -0x9de2 -0x9e5cea7a -256 -256 -0 -0 -0 -3 -0x5130 -0x9336c65a -256 -256 -0 -0 -0 -5 -0x3503 -0xabb76ee9 -256 -256 -1 -0 -0 -7 -0xdcba -0x7b476411 -256 -256 -1 -0 -0 -10 -0xc80f -0x5dca5df2 -256 -256 -1 -0 -0 -8 -0xd305 -0x6502ca30 -256 -256 -1 -0 -0 -8 -0xd206 -0x6d220886 -256 -256 -0 -0 -0 -3 -0x6198 -0x9723a416 -256 -256 -0 -0 -0 -5 -0xfab7 -0xed5017be -256 -256 -1 -0 -0 -5 -0x9409 -0xe7132728 -256 -256 -1 -0 -0 -9 -0x51ab -0x5a085bf7 -256 -256 -0 -0 -0 -9 -0x376a -0xbde28d80 -256 -256 -1 -0 -0 -4 -0x463d -0x49aa1e06 -256 -256 -1 -0 -0 -9 -0xea9 -0xca875552 -256 -256 -0 -0 -0 -10 -0x713f -0x1ad050f5 -256 -256 -0 -0 -0 -9 -0x1ade -0x51a3bf6 -256 -256 -0 -0 -0 -8 -0x6575 -0xd5acf70 -256 -256 -0 -0 -0 -7 -0xd494 -0xcde571da -256 -256 -0 -0 -0 -9 -0x3b3e -0x2e64e962 -256 -256 -1 -0 -0 -10 -0xb317 -0xaab4d6cb -256 -256 -0 -0 -0 -7 -0xf91f -0x7720a357 -256 -256 -0 -0 -0 -9 -0x1969 -0xf7108a7f -256 -256 -1 -0 -0 -9 -0x1dae -0x3e8a48a7 -256 -256 -1 -0 -0 -5 -0xebfc -0xd2ad1d50 -256 -256 -0 -0 -0 -3 -0xe3bc -0x92d7c387 -256 -256 -0 -0 -0 -7 -0xad9f -0x3d523282 -256 -256 -1 -0 -0 -3 -0x4335 -0xc8b3971c -256 -256 -1 -0 -0 -10 -0xd915 -0x32b59217 -256 -256 -0 -0 -0 -10 -0x4f75 -0x5989bd80 -256 -256 -0 -0 -0 -4 -0xba08 -0x93f2074b -256 -256 -1 -0 -0 -10 -0xf959 -0xf31d72b1 -256 -256 -1 -0 -0 -5 -0xbc42 -0x4f66f91c -256 -256 -1 -0 -0 -4 -0x6b84 -0xeec7b7b4 -256 -256 -1 -0 -0 -4 -0x18dc -0x65891be -256 -256 -1 -0 -0 -7 -0x4f0e -0x9e105f45 -256 -256 -1 -0 -0 -1 -0xee20 -0x8429e32e -256 -256 -1 -0 -0 -2 -0x5010 -0x56a67b3f -256 -256 -0 -0 -0 -2 -0x528a -0x1256babe -256 -256 -1 -0 -0 -4 -0x1823 -0xf039f097 -256 -256 -1 -0 -0 -10 -0x1e51 -0xb69d6fdc -256 -256 -1 -0 -0 -9 -0x1ccf -0xa2a0f3a4 -256 -256 -1 -0 -0 -6 -0x974b -0x75dfc884 -256 -256 -1 -0 -0 -10 -0x397 -0xf5448da3 -256 -256 -1 -0 -0 -2 -0x17a4 -0xcf58ce -256 -256 -1 -0 -0 -9 -0x53bf -0x2fa6bd65 -256 -256 -1 -0 -0 -10 -0xd446 -0xeb455ce1 -256 -256 -1 -0 -0 -7 -0x5aee -0x36c80fbd -256 -256 -0 -0 -0 -4 -0xa38d -0x8f375dd7 -256 -256 -0 -0 -0 -2 -0x2712 -0xc79b2a29 -256 -256 -0 -0 -0 -6 -0x5158 -0x66f1efe0 -256 -256 -1 -0 -0 -9 -0xc4c -0x5626cab2 -256 -256 -1 -0 -0 -3 -0x2431 -0xb4b8f62e -256 -256 -1 -0 -0 -1 -0x696e -0x8f14fabe -256 -256 -0 -0 -0 -9 -0xecd2 -0xfa9554a2 -256 -256 -1 -0 -0 -6 -0x90b7 -0xf7afd26d -256 -256 -1 -0 -0 -10 -0x45aa -0x10e59f1d -256 -256 -0 -0 -0 -10 -0xae8d -0xb0adcaa9 -256 -256 -1 -0 -0 -1 -0x66d -0x68d48765 -256 -256 -0 -0 -0 -4 -0x6c57 -0x13e8e1ba -256 -256 -0 -0 -0 -1 -0xdd72 -0xdb58b96 -256 -256 -1 -0 -0 -10 -0x903e -0xb86f1579 -256 -256 -0 -0 -0 -5 -0x55b4 -0xa34d7549 -256 -256 -1 -0 -0 -2 -0x335 -0x567abb52 -256 -256 -0 -0 -0 -2 -0x426b -0x74217f2a -256 -256 -0 -0 -0 -9 -0x2b71 -0x40a6e8a4 -256 -256 -1 -0 -0 -1 -0x1e86 -0x56a8544f -256 -256 -0 -0 -0 -8 -0x107a -0xaf0eb3f9 -256 -256 -1 -0 -0 -8 -0xc93f -0xfb6303fb -256 -256 -1 -0 -0 -3 -0xcef9 -0xcda9e22d -256 -256 -0 -0 -0 -7 -0x878d -0x29e69176 -256 -256 -0 -0 -0 -7 -0xfbfc -0xc296e26d -256 -256 -0 -0 -0 -4 -0xd53b -0x18ae40f7 -256 -256 -1 -0 -0 -6 -0x7c10 -0xb8b2331b -256 -256 -1 -0 -0 -1 -0xe83 -0xf357ec46 -256 -256 -1 -0 -0 -3 -0xf2b9 -0xdc9593e4 -256 -256 -1 -0 -0 -7 -0x5b8a -0x3ea36d49 -256 -256 -1 -0 -0 -3 -0xf5c4 -0x384f083e -256 -256 -1 -0 -0 -2 -0xa806 -0x7d47df60 -256 -256 -1 -0 -0 -5 -0xdabe -0x2a72d6c8 -256 -256 -0 -0 -0 -6 -0xf612 -0x479192e8 -256 -256 -1 -0 -0 -4 -0xbe60 -0x85501f10 -256 -256 -0 -0 -0 -4 -0x620c -0xa97e4081 -256 -256 -0 -0 -0 -5 -0x203 -0x57154c2f -256 -256 -0 -0 -0 -1 -0xa765 -0x1b4ee2a0 -256 -256 -1 -0 -0 -4 -0x1a94 -0x11de08db -256 -256 -1 -0 -0 -7 -0xf26a -0x19821239 -256 -256 -0 -0 -0 -9 -0x1806 -0x3756ca27 -256 -256 -0 -0 -0 -1 -0xb699 -0x342ca48b -256 -256 -1 -0 -0 -10 -0x5042 -0x507b00c5 -256 -256 -1 -0 -0 -8 -0x9836 -0x28d2e3a5 -256 -256 -0 -0 -0 -7 -0x3eb9 -0xf40edcef -256 -256 -1 -0 -0 -1 -0xcaf2 -0xa91116d2 -256 -256 -0 -0 -0 -8 -0x1192 -0xd4ee06af -256 -256 -0 -0 -0 -2 -0xf88b -0xce8dffb5 -256 -256 -1 -0 -0 -3 -0xa534 -0xe53b4bde -256 -256 -1 -0 -0 -2 -0xc2a3 -0x1f747040 -256 -256 -1 -0 -0 -8 -0x2591 -0x99409031 -256 -256 -0 -0 -0 -8 -0xf0f6 -0x39f41641 -256 -256 -0 -0 -0 -7 -0xf507 -0x37bc45b1 -256 -256 -0 -0 -0 -5 -0x6d4a -0x5a6d87c0 -256 -256 -0 -0 -0 -4 -0xb487 -0xf03d0730 -256 -256 -0 -0 -0 -10 -0xc593 -0x4a1e7914 -256 -256 -1 -0 -0 -6 -0xd9fc -0xee1f0652 -256 -256 -0 -0 -0 -8 -0x5ae0 -0x8d5972c9 -256 -256 -0 -0 -0 -2 -0x9dda -0x7e9e4a29 -256 -256 -1 -0 -0 -6 -0xee75 -0xd5009354 -256 -256 -0 -0 -0 -5 -0x21b7 -0x94173db3 -256 -256 -1 -0 -0 -5 -0x2e7b -0xb0a95903 -256 -256 -0 -0 -0 -4 -0x9631 -0x296771f9 -256 -256 -0 -0 -0 -9 -0x6183 -0xc58bf149 -256 -256 -0 -0 -0 -7 -0xa866 -0xfc09c659 -256 -256 -1 -0 -0 -4 -0x8c19 -0xa0677499 -256 -256 -0 -0 -0 -7 -0x116e -0x9b8a22e1 -256 -256 -0 -0 -0 -4 -0x83a1 -0xef43a842 -256 -256 -1 -0 -0 -8 -0x84da -0xa5c619be -256 -256 -0 -0 -0 -1 -0x58c8 -0xba963b27 -256 -256 -1 -0 -0 -1 -0xe655 -0x4c5ed90d -256 -256 -0 -0 -0 -3 -0x8495 -0x799f1c8e -256 -256 -1 -0 -0 -7 -0x35ac -0xda7aebf3 -256 -256 -0 -0 -0 -3 -0x89ea -0x8024aa37 -256 -256 -1 -0 -0 -7 -0xf7a7 -0x12d09b12 -256 -256 -1 -0 -0 -6 -0x2475 -0x953e2d0a -256 -256 -0 -0 -0 -3 -0x8e73 -0x99594023 -256 -256 -0 -0 -0 -2 -0x6d64 -0x51394256 -256 -256 -1 -0 -0 -3 -0x1af9 -0x51645f3 -256 -256 -1 -0 -0 -9 -0x41b2 -0x7d42d1a0 -256 -256 -1 -0 -0 -3 -0x88d4 -0x3d6af8af -256 -256 -1 -0 -0 -5 -0xc844 -0xa8a7614b -256 -256 -0 -0 -0 -2 -0x2069 -0x8de37fa0 -256 -256 -0 -0 -0 -7 -0xbc2c -0x31fd14 -256 -256 -1 -0 -0 -5 -0xe5b6 -0xe380505 -256 -256 -0 -0 -0 -8 -0x6a95 -0x58bc230e -256 -256 -0 -0 -0 -5 -0x90b7 -0x85bac613 -256 -256 -1 -0 -0 -9 -0x667b -0x9fe4f753 -256 -256 -0 -0 -0 -9 -0x369 -0x398a9c17 -256 -256 -1 -0 -0 -1 -0xa41c -0xeb70b2cd -256 -256 -1 -0 -0 -2 -0x58a -0xa2f326ab -256 -256 -0 -0 -0 -5 -0x1c2c -0xbd801905 -256 -256 -0 -0 -0 -3 -0xe24b -0x18025943 -256 -256 -1 -0 -0 -6 -0x52b1 -0x3e88a645 -256 -256 -1 -0 -0 -7 -0x23ec -0x39692695 -256 -256 -0 -0 -0 -8 -0x49aa -0x9702d6a0 -256 -256 -0 -0 -0 -1 -0x8ded -0xe2dd729c -256 -256 -0 -0 -0 -10 -0xa108 -0xd8fdb981 -256 -256 -0 -0 -0 -6 -0x96bb -0x2c1c9104 -256 -256 -0 -0 -0 -2 -0xff1c -0xfcbf6e33 -256 -256 -0 -0 -0 -5 -0xa1e5 -0x63faa042 -256 -256 -0 -0 -0 -3 -0xf8cb -0x9b18ee81 -256 -256 -1 -0 -0 -9 -0x868 -0x685c6fba -256 -256 -1 -0 -0 -8 -0x18f0 -0x2ce9d7c5 -256 -256 -1 -0 -0 -5 -0x34a5 -0x52e628ab -256 -256 -0 -0 -0 -2 -0xf913 -0xfdd71346 -256 -256 -1 -0 -0 -8 -0xa759 -0xa1fa00e4 -256 -256 -0 -0 -0 -1 -0x5018 -0x11b7088 -256 -256 -0 -0 -0 -8 -0x3fdf -0xdf9ba89d -256 -256 -0 -0 -0 -3 -0x81ae -0x6893f66f -256 -256 -0 -0 -0 -1 -0x30ad -0x8e715a5c -256 -256 -0 -0 -0 -2 -0x3409 -0x55adca71 -256 -256 -1 -0 -0 -6 -0xce68 -0x4fd32720 -256 -256 -0 -0 -0 -7 -0xf050 -0xbd2350e9 -256 -256 -1 -0 -0 -5 -0xbca0 -0x14aaa87f -256 -256 -0 -0 -0 -3 -0xaa21 -0xe2f3e935 -256 -256 -1 -0 -0 -5 -0x4202 -0xb413112d -256 -256 -1 -0 -0 -3 -0x4387 -0x80cfc570 -256 -256 -1 -0 -0 -6 -0xa252 -0x3de10931 -256 -256 -1 -0 -0 -9 -0x8a97 -0xfb0ac20a -256 -256 -0 -0 -0 -3 -0xc84d -0xfa3cc151 -256 -256 -0 -0 -0 -7 -0x2e7d -0xe2e8bb37 -256 -256 -0 -0 -0 -6 -0x3fbd -0xadb2a1f8 -256 -256 -1 -0 -0 -5 -0xd5cb -0xf4fc1adb -256 -256 -1 -0 -0 -1 -0x347a -0xafc7ffe9 -256 -256 -1 -0 -0 -6 -0xf960 -0x456d496c -256 -256 -1 -0 -0 -1 -0xf57d -0x62d873e9 -256 -256 -1 -0 -0 -3 -0xbc31 -0xea3e799e -256 -256 -1 -0 -0 -9 -0xbe64 -0xefbe8231 -256 -256 -0 -0 -0 -2 -0x109c -0x8bc3e720 -256 -256 -1 -0 -0 -5 -0x2c83 -0x99ca10ef -256 -256 -0 -0 -0 -4 -0x3eef -0x3f835152 -256 -256 -1 -0 -0 -2 -0xc500 -0x9af8c550 -256 -256 -0 -0 -0 -10 -0x8e86 -0x17a08bd1 -256 -256 -0 -0 -0 -2 -0x4599 -0x9eb5d21 -256 -256 -1 -0 -0 -6 -0x4171 -0xd3d9c46a -256 -256 -0 -0 -0 -6 -0xe7c5 -0x2a1b2be6 -256 -256 -1 -0 -0 -5 -0x46d -0x6e02281c -256 -256 -1 -0 -0 -10 -0x2370 -0xc22d6bbf -256 -256 -0 -0 -0 -3 -0x4711 -0x35c7b6e8 -256 -256 -1 -0 -0 -9 -0xde2c -0xf7b6d024 -256 -256 -0 -0 -0 -9 -0x54af -0xca1d647 -256 -256 -0 -0 -0 -6 -0x7d27 -0xc5cb8d7e -256 -256 -0 -0 -0 -10 -0xef77 -0x59d349f -256 -256 -1 -0 -0 -7 -0xf533 -0x28061ee1 -256 -256 -0 -0 -0 -10 -0xbb3 -0xb83124cf -256 -256 -1 -0 -0 -7 -0x5206 -0x33802884 -256 -256 -0 -0 -0 -6 -0xaa0f -0xf6809e99 -256 -256 -0 -0 -0 -9 -0x6c6d -0x4b61416e -256 -256 -1 -0 -0 -5 -0xaab2 -0xb75c8d7c -256 -256 -0 -0 -0 -4 -0x3f1a -0x512b245f -256 -256 -1 -0 -0 -1 -0x5102 -0x387eab85 -256 -256 -0 -0 -0 -3 -0xb35f -0x6568089f -256 -256 -0 -0 -0 -8 -0x7aca -0x2ad2c1c7 -256 -256 -1 -0 -0 -5 -0xbb0e -0x4f8da6c5 -256 -256 -1 -0 -0 -3 -0xa048 -0xbe80b14 -256 -256 -0 -0 -0 -6 -0x6962 -0x47d5a0a0 -256 -256 -1 -0 -0 -7 -0xf1c4 -0x3a718c1 -256 -256 -1 -0 -0 -6 -0xa1be -0xced00e15 -256 -256 -1 -0 -0 -4 -0xb2a -0xd9b3251 -256 -256 -1 -0 -0 -7 -0x86e4 -0xe22d4d83 -256 -256 -1 -0 -0 -10 -0x3efd -0xdf06fa10 -256 -256 -0 -0 -0 -8 -0xea6a -0x95db60dc -256 -256 -1 -0 -0 -3 -0x9142 -0x8eb05f0b -256 -256 -0 -0 -0 -5 -0xe513 -0x5005deeb -256 -256 -0 -0 -0 -6 -0xe918 -0x76feb587 -256 -256 -1 -0 -0 -10 -0x42d2 -0x97cb13e -256 -256 -0 -0 -0 -9 -0x3659 -0x79b7f156 -256 -256 -1 -0 -0 -9 -0x3074 -0x238f706f -256 -256 -1 -0 -0 -6 -0xcea4 -0xc452ccdb -256 -256 -1 -0 -0 -4 -0x3fa1 -0x9da437b8 -256 -256 -1 -0 -0 -3 -0xfcbe -0x3ac1da53 -256 -256 -0 -0 -0 -9 -0x8e77 -0x1150e751 -256 -256 -1 -0 -0 -9 -0xa4e4 -0x648896a4 -256 -256 -1 -0 -0 -2 -0x3c3a -0x8b38d8fa -256 -256 -1 -0 -0 -7 -0xaea9 -0xc4aea166 -256 -256 -0 -0 -0 -5 -0xd00c -0xc2e72cce -256 -256 -1 -0 -0 -9 -0xc7f3 -0x729a389e -256 -256 -0 -0 -0 -2 -0xa777 -0x8f97b2cb -256 -256 -0 -0 -0 -1 -0x649d -0x211dd88f -256 -256 -0 -0 -0 -8 -0x60c6 -0x288e5e65 -256 -256 -0 -0 -0 -4 -0x1ec0 -0x8d571442 -256 -256 -1 -0 -0 -1 -0x307b -0x13248bb2 -256 -256 -1 -0 -0 -2 -0xbb29 -0xad6f870 -256 -256 -1 -0 -0 -7 -0x8465 -0xf63f3e8c -256 -256 -1 -0 -0 -9 -0x5666 -0x244d8c2e -256 -256 -0 -0 -0 -1 -0xe750 -0x3c7e5751 -256 -256 -0 -0 -0 -5 -0xcbc -0x318d83d5 -256 -256 -0 -0 -0 -3 -0xaf29 -0x7d77dcce -256 -256 -0 -0 -0 -5 -0x9327 -0xa2a41dba -256 -256 -0 -0 -0 -9 -0x5b44 -0x8d01467d -256 -256 -0 -0 -0 -10 -0x5b15 -0x15cc5c61 -256 -256 -1 -0 -0 -6 -0xf086 -0xbbadfc6b -256 -256 -1 -0 -0 -10 -0xc677 -0xb8e75d05 -256 -256 -1 -0 -0 -6 -0x35a0 -0x7d2a278b -256 -256 -1 -0 -0 -4 -0xa34 -0xc0187b2d -256 -256 -0 -0 -0 -9 -0x2a05 -0x1e56d28e -256 -256 -1 -0 -0 -7 -0x71fa -0x54bb9013 -256 -256 -0 -0 -0 -2 -0xbabc -0x83fc72b -256 -256 -0 -0 -0 -9 -0x6688 -0x32dca18f -256 -256 -0 -0 -0 -5 -0xaf62 -0xdf7f974d -256 -256 -1 -0 -0 -4 -0x2dea -0xaa04d29 -256 -256 -0 -0 -0 -5 -0x373 -0xdf178ec2 -256 -256 -1 -0 -0 -5 -0xd075 -0x354b7afd -256 -256 -1 -0 -0 -2 -0x36a7 -0x514bda71 -256 -256 -1 -0 -0 -4 -0x85d1 -0xc7b9be9d -256 -256 -0 -0 -0 -9 -0xf922 -0x1e2f33f2 -256 -256 -0 -0 -0 -8 -0xdea5 -0xb29a8841 -256 -256 -0 -0 -0 -8 -0x9303 -0x3dc4214d -256 -256 -1 -0 -0 -4 -0x1750 -0x8d1eef07 -256 -256 -1 -0 -0 -8 -0xb9cd -0xe88aab37 -256 -256 -1 -0 -0 -3 -0x8e15 -0x178937a4 -256 -256 -0 -0 -0 -10 -0x417d -0xd50df6a -256 -256 -0 -0 -0 -8 -0x77ac -0x548c8f1d -256 -256 -1 -0 -0 -3 -0x949c -0x77ca54c4 -256 -256 -0 -0 -0 -4 -0x2164 -0xf8f4e3db -256 -256 -0 -0 -0 -9 -0xfb16 -0xdb20fda9 -256 -256 -0 -0 -0 -3 -0x8833 -0x5c2135a -256 -256 -0 -0 -0 -4 -0x41e0 -0xb15fcba2 -256 -256 -0 -0 -0 -1 -0xf1e9 -0x52a899f9 -256 -256 -1 -0 -0 -10 -0x6bb4 -0xe56f8cd8 -256 -256 -1 -0 -0 -1 -0x889d -0xf85d8e24 -256 -256 -0 -0 -0 -4 -0xb925 -0x5bee133d -256 -256 -0 -0 -0 -10 -0x1472 -0xdf3065d0 -256 -256 -1 -0 -0 -1 -0xc4e2 -0xe80e1dd -256 -256 -1 -0 -0 -9 -0x78f6 -0xfaa37c01 -256 -256 -0 -0 -0 -6 -0xb361 -0x634ba905 -256 -256 -0 -0 -0 -2 -0x3056 -0xeddcd21a -256 -256 -0 -0 -0 -4 -0x3b4a -0xbeea44c5 -256 -256 -0 -0 -0 -7 -0x3a95 -0x14abc4e1 -256 -256 -1 -0 -0 -5 -0x354e -0x1de7cf22 -256 -256 -0 -0 -0 -6 -0x50e4 -0x8ec08868 -256 -256 -1 -0 -0 -4 -0xcd8a -0xb7b7a9db -256 -256 -0 -0 -0 -5 -0xe1d1 -0x72057715 -256 -256 -1 -0 -0 -2 -0x4962 -0x5165879c -256 -256 -0 -0 -0 -3 -0x3fc0 -0x6d71808c -256 -256 -1 -0 -0 -10 -0x202b -0x9a662dfa -256 -256 -0 -0 -0 -4 -0xad23 -0xa1106c1b -256 -256 -0 -0 -0 -9 -0xfd1e -0x9f6a135d -256 -256 -0 -0 -0 -8 -0x83b5 -0x58de0948 -256 -256 -0 -0 -0 -4 -0x1c83 -0x58f810ef -256 -256 -1 -0 -0 -2 -0xc03b -0xc730be2f -256 -256 -0 -0 -0 -2 -0x56f4 -0x9040faf4 -256 -256 -0 -0 -0 -4 -0x81b4 -0x4cd784c8 -256 -256 -0 -0 -0 -2 -0xa7e -0xf8240d1c -256 -256 -1 -0 -0 -3 -0x463d -0x1edc9d0d -256 -256 -0 -0 -0 -7 -0x9a28 -0xa5e656d7 -256 -256 -1 -0 -0 -3 -0x63f1 -0xd761355c -256 -256 -1 -0 -0 -2 -0xce70 -0x77f674ac -256 -256 -0 -0 -0 -7 -0xdd3e -0xb12a8dd8 -256 -256 -1 -0 -0 -10 -0x2e14 -0x3e1795aa -256 -256 -0 -0 -0 -10 -0xce24 -0x7f034146 -256 -256 -1 -0 -0 -8 -0x3dd3 -0x4f8c49be -256 -256 -1 -0 -0 -1 -0x7324 -0xd8eabedf -256 -256 -0 -0 -0 -5 -0xd7b0 -0x119c8736 -256 -256 -0 -0 -0 -7 -0xe3f -0x92753c0b -256 -256 -1 -0 -0 -9 -0xcd9a -0x29bed2da -256 -256 -0 -0 -0 -5 -0x4472 -0x8d7d789a -256 -256 -1 -0 -0 -1 -0x369e -0xf9f7e506 -256 -256 -0 -0 -0 -10 -0xb121 -0x76b805f4 -256 -256 -1 -0 -0 -10 -0x69a4 -0x8e20dc25 -256 -256 -1 -0 -0 -3 -0x870a -0x55d6a4df -256 -256 -1 -0 -0 -7 -0xeda -0x3bf7970b -256 -256 -1 -0 -0 -7 -0x8f62 -0x4687da2e -256 -256 -0 -0 -0 -8 -0xade -0x6ec9e4e4 -256 -256 -1 -0 -0 -2 -0x5930 -0x70a2f7c4 -256 -256 -0 -0 -0 -9 -0xa9db -0xdac4409d -256 -256 -0 -0 -0 -3 -0x98bb -0x69a2c580 -256 -256 -1 -0 -0 -9 -0x9729 -0xb368ec28 -256 -256 -1 -0 -0 -4 -0xfda0 -0x5ac29c56 -256 -256 -0 -0 -0 -7 -0xe154 -0xa2d873d8 -256 -256 -0 -0 -0 -8 -0xd370 -0x5d124746 -256 -256 -0 -0 -0 -3 -0xd680 -0xc69ac64f -256 -256 -0 -0 -0 -6 -0x9b39 -0xc6f52db0 -256 -256 -1 -0 -0 -7 -0x26dd -0xa50f07a9 -256 -256 -0 -0 -0 -10 -0xb23e -0x887fe603 -256 -256 -1 -0 -0 -10 -0x36a4 -0x924e275e -256 -256 -0 -0 -0 -1 -0xd3d6 -0xd362cec5 -256 -256 -0 -0 -0 -3 -0xa61f -0x65dcd66 -256 -256 -0 -0 -0 -2 -0x816 -0xe73bea68 -256 -256 -0 -0 -0 -8 -0x9427 -0xea59ddf5 -256 -256 -0 -0 -0 -8 -0xcf0a -0x4ade8d4d -256 -256 -0 -0 -0 -1 -0xc3e5 -0xa1b72f2d -256 -256 -1 -0 -0 -5 -0xd37f -0x995efc11 -256 -256 -1 -0 -0 -2 -0xc6c6 -0xf3205fad -256 -256 -1 -0 -0 -1 -0xe332 -0x25409673 -256 -256 -1 -0 -0 -4 -0x4bfe -0xe70ef3e1 -256 -256 -1 -0 -0 -2 -0x4f32 -0xa096f30b -256 -256 -0 -0 -0 -1 -0x69f4 -0xa2713a17 -256 -256 -1 -0 -0 -3 -0xf59 -0xe838ff64 -256 -256 -0 -0 -0 -9 -0x6de8 -0xb2284429 -256 -256 -0 -0 -0 -2 -0x589d -0xba5c2e25 -256 -256 -1 -0 -0 -9 -0x894 -0x3690971e -256 -256 -1 -0 -0 -2 -0x61cc -0x4a4a674a -256 -256 -0 -0 -0 -9 -0x4bd -0x5ed9a02d -256 -256 -0 -0 -0 -2 -0xb9b1 -0x3e4b3d48 -256 -256 -1 -0 -0 -2 -0x9776 -0x55c6272 -256 -256 -1 -0 -0 -4 -0x2b5c -0x98043c6e -256 -256 -0 -0 -0 -4 -0x941b -0x2244cd31 -256 -256 -0 -0 -0 -3 -0xe388 -0x8b0622f1 -256 -256 -1 -0 -0 -9 -0xce1d -0x3f6f9f37 -256 -256 -1 -0 -0 -3 -0xa5f5 -0x325a1f43 -256 -256 -1 -0 -0 -8 -0x2f7a -0x2e231e0c -256 -256 -1 -0 -0 -3 -0x46e3 -0x7fcadf96 -256 -256 -0 -0 -0 -4 -0x8adc -0x38f74b5f -256 -256 -1 -0 -0 -2 -0x7b9f -0x2e36e76c -256 -256 -0 -0 -0 -8 -0xd919 -0x5c167429 -256 -256 -1 -0 -0 -1 -0x7abe -0x5538aff7 -256 -256 -0 -0 -0 -6 -0x7f85 -0xe10b4780 -256 -256 -0 -0 -0 -9 -0x88da -0x304744ff -256 -256 -0 -0 -0 -9 -0xb907 -0xc5ffd3b -256 -256 -1 -0 -0 -1 -0xeaf9 -0x1eeb3853 -256 -256 -1 -0 -0 -7 -0xe6e1 -0xbd7b5824 -256 -256 -1 -0 -0 -5 -0xa8ee -0xff562222 -256 -256 -1 -0 -0 -7 -0x60b8 -0x7bfca7a6 -256 -256 -1 -0 -0 -3 -0x642e -0x82eca779 -256 -256 -1 -0 -0 -8 -0x2757 -0x3ad8daf1 -256 -256 -0 -0 -0 -6 -0xbfb1 -0x55494962 -256 -256 -0 -0 -0 -9 -0xa13d -0x1dcee607 -256 -256 -0 -0 -0 -5 -0xf17d -0xccf84357 -256 -256 -0 -0 -0 -7 -0x6258 -0xa6188b7e -256 -256 -1 -0 -0 -4 -0x2fd8 -0x41b85ffc -256 -256 -1 -0 -0 -2 -0x3e68 -0xd4ad9630 -256 -256 -0 -0 -0 -10 -0x77e5 -0x35dd3916 -256 -256 -0 -0 -0 -10 -0x1a42 -0xbd136591 -256 -256 -1 -0 -0 -5 -0xc1f2 -0xb1887251 -256 -256 -1 -0 -0 -3 -0x8811 -0x620ce550 -256 -256 -1 -0 -0 -8 -0xb4e -0x593e11e5 -256 -256 -0 -0 -0 -4 -0xaff1 -0xb8c8cf98 -256 -256 -1 -0 -0 -8 -0x6be -0x15e2fd34 -256 -256 -1 -0 -0 -6 -0x1fd0 -0x270e9757 -256 -256 -0 -0 -0 -5 -0xdf1e -0x5db99f3b -256 -256 -1 -0 -0 -6 -0x6ab4 -0x95378843 -256 -256 -1 -0 -0 -5 -0x181 -0x89a6fa59 -256 -256 -1 -0 -0 -1 -0x8122 -0x46574cf4 -256 -256 -0 -0 -0 -9 -0x3a8d -0x1248217d -256 -256 -1 -0 -0 -1 -0xae21 -0xa5c9f181 -256 -256 -1 -0 -0 -6 -0xf30e -0x6806fb9e -256 -256 -0 -0 -0 -1 -0xdf53 -0xb201e81c -256 -256 -1 -0 -0 -8 -0x3b4c -0x518fdb57 -256 -256 -0 -0 -0 -4 -0xc951 -0xa9b45ac3 -256 -256 -0 -0 -0 -9 -0xb432 -0xc1f02140 -256 -256 -0 -0 -0 -6 -0x4e81 -0xa8ef59ed -256 -256 -0 -0 -0 -8 -0xcacb -0x9c3d6124 -256 -256 -0 -0 -0 -5 -0x49b0 -0x26a64e0f -256 -256 -0 -0 -0 -10 -0x8171 -0xe65a9842 -256 -256 -0 -0 -0 -3 -0xa17c -0x3ea23570 -256 -256 -0 -0 -0 -6 -0x3054 -0xc1674835 -256 -256 -0 -0 -0 -9 -0xfb67 -0x8dda7239 -256 -256 -1 -0 -0 -6 -0x6ccf -0x9cce065c -256 -256 -0 -0 -0 -9 -0x6d43 -0xbd3c294 -256 -256 -0 -0 -0 -6 -0xd4b9 -0x204a9c6 -256 -256 -0 -0 -0 -4 -0x48d9 -0xa7c6e317 -256 -256 -0 -0 -0 -5 -0x830b -0xd3055310 -256 -256 -1 -0 -0 -5 -0xa60 -0x4e758053 -256 -256 -1 -0 -0 -2 -0x1a0b -0xa821e2f3 -256 -256 -0 -0 -0 -3 -0xbe4c -0x7dc73e0b -256 -256 -0 -0 -0 -7 -0x3316 -0x77744d9e -256 -256 -0 -0 -0 -5 -0x5d7e -0xc5122a31 -256 -256 -1 -0 -0 -1 -0xd47a -0xcaa8dc2c -256 -256 -1 -0 -0 -2 -0x8f10 -0x4f79992a -256 -256 -0 -0 -0 -4 -0x470c -0x943d7f1b -256 -256 -0 -0 -0 -5 -0x3541 -0x9f8c296f -256 -256 -0 -0 -0 -6 -0x58c4 -0x33fe4766 -256 -256 -1 -0 -0 -8 -0xbb10 -0x58f2b9b0 -256 -256 -0 -0 -0 -3 -0x3f0e -0x313d4cf7 -256 -256 -1 -0 -0 -5 -0x26ec -0x64f99cd2 -256 -256 -1 -0 -0 -4 -0x6ce -0xf1aa2046 -256 -256 -1 -0 -0 -1 -0x8e83 -0x491fea46 -256 -256 -0 -0 -0 -8 -0xd7a7 -0xf4c960a0 -256 -256 -1 -0 -0 -2 -0x60f -0x36b8d3c -256 -256 -1 -0 -0 -10 -0xba2f -0xabf4ee65 -256 -256 -0 -0 -0 -1 -0xad0 -0x84dd795 -256 -256 -1 -0 -0 -8 -0x72da -0x8eec6a20 -256 -256 -0 -0 -0 -9 -0xb520 -0x88e75df2 -256 -256 -0 -0 -0 -7 -0xac13 -0x99f97c3f -256 -256 -0 -0 -0 -9 -0xf73f -0x5fc80663 -256 -256 -1 -0 -0 -8 -0x6f8 -0x98a82a20 -256 -256 -0 -0 -0 -1 -0x5dbf -0x6c96b38b -256 -256 -1 -0 -0 -10 -0x280f -0xff37c838 -256 -256 -1 -0 -0 -3 -0x4dec -0xb05a5f11 -256 -256 -0 -0 -0 -7 -0x7133 -0x3dba588e -256 -256 -0 -0 -0 -7 -0xee19 -0xbe177677 -256 -256 -0 -0 -0 -6 -0x579e -0x18bc482c -256 -256 -1 -0 -0 -10 -0x3bf2 -0xd7c444cc -256 -256 -0 -0 -0 -9 -0x527c -0x180e380a -256 -256 -1 -0 -0 -2 -0x5085 -0x1d561885 -256 -256 -0 -0 -0 -10 -0xaa37 -0x2b6ffd6 -256 -256 -0 -0 -0 -2 -0xecd4 -0xacdd1ea -256 -256 -0 -0 -0 -4 -0x71d4 -0x76a01bed -256 -256 -1 -0 -0 -4 -0x1536 -0xb630ed73 -256 -256 -1 -0 -0 -10 -0x4ef3 -0x740b9e9b -256 -256 -0 -0 -0 -3 -0xe881 -0xc8b41a44 -256 -256 -0 -0 -0 -8 -0x54a9 -0xdc5b4327 -256 -256 -0 -0 -0 -1 -0x19ea -0x5aac5bbf -256 -256 -1 -0 -0 -8 -0x1e6b -0x71649315 -256 -256 -0 -0 -0 -7 -0xf713 -0xf5aff25 -256 -256 -0 -0 -0 -7 -0xdfa3 -0x9616ebdf -256 -256 -1 -0 -0 -10 -0xf325 -0x5f31662f -256 -256 -0 -0 -0 -4 -0x70f7 -0x94fe9d51 -256 -256 -0 -0 -0 -5 -0x8a7e -0x2f3d2711 -256 -256 -0 -0 -0 -4 -0x4955 -0x146bf452 -256 -256 -0 -0 -0 -9 -0x50bc -0x12954c22 -256 -256 -1 -0 -0 -4 -0x7b4e -0xdb0cb0d6 -256 -256 -0 -0 -0 -10 -0xb052 -0x29c2ac80 -256 -256 -0 -0 -0 -7 -0xfea0 -0xe12a4bcf -256 -256 -0 -0 -0 -3 -0xbb99 -0xafa44199 -256 -256 -0 -0 -0 -7 -0x5687 -0xae26ebe1 -256 -256 -1 -0 -0 -8 -0xd173 -0x3c2c30f4 -256 -256 -0 -0 -0 -8 -0xee6e -0x4bd22d41 -256 -256 -1 -0 -0 -5 -0x2ba6 -0x5e1dd8e4 -256 -256 -0 -0 -0 -6 -0xf9fe -0xb5aa886b -256 -256 -1 -0 -0 -5 -0x4a2f -0x5375802f -256 -256 -1 -0 -0 -4 -0x211c -0xbdae29af -256 -256 -1 -0 -0 -1 -0xf50a -0xd2c72998 -256 -256 -1 -0 -0 -8 -0x87c6 -0x34f96771 -256 -256 -1 -0 -0 -6 -0x9baa -0x3655367a -256 -256 -1 -0 -0 -7 -0x7157 -0xf0de0da5 -256 -256 -0 -0 -0 -4 -0x3136 -0x1da41c9c -256 -256 -0 -0 -0 -7 -0x3fd1 -0x86582892 -256 -256 -1 -0 -0 -8 -0x915f -0x8538ed9c -256 -256 -1 -0 -0 -3 -0xccfd -0x67019a45 -256 -256 -1 -0 -0 -10 -0xc71a -0x33ba86f9 -256 -256 -1 -0 -0 -9 -0xf965 -0xb31a689a -256 -256 -1 -0 -0 -10 -0x5b72 -0xdacbb18e -256 -256 -0 -0 -0 -1 -0x8666 -0xfc3b16a9 -256 -256 -1 -0 -0 -4 -0xe942 -0xc8d9a3e4 -256 -256 -0 -0 -0 -5 -0x3f0f -0xe50b144c -256 -256 -0 -0 -0 -4 -0x1918 -0xe84f989f -256 -256 -1 -0 -0 -6 -0x7d3e -0xa65081f -256 -256 -0 -0 -0 -2 -0x9659 -0xeff042d8 -256 -256 -0 -0 -0 -7 -0xccc2 -0xff8c418f -256 -256 -0 -0 -0 -5 -0x61b7 -0xeae2fda1 -256 -256 -0 -0 -0 -7 -0xc174 -0xbcdcf84d -256 -256 -0 -0 -0 -1 -0xd555 -0xe7e39885 -256 -256 -0 -0 -0 -10 -0x24eb -0x2751e1b5 -256 -256 -1 -0 -0 -7 -0x28aa -0xc9c747e3 -256 -256 -0 -0 -0 -8 -0x9c79 -0xbe120dfc -256 -256 -0 -0 -0 -1 -0xbad6 -0x68326c5f -256 -256 -1 -0 -0 -8 -0x6603 -0xc36984e -256 -256 -1 -0 -0 -7 -0xbfa2 -0xf49e09e4 -256 -256 -1 -0 -0 -4 -0xdae4 -0xc78a244f -256 -256 -0 -0 -0 -10 -0xdc11 -0xb86d3522 -256 -256 -1 -0 -0 -4 -0x41db -0xcf3b122c -256 -256 -0 -0 -0 -4 -0xcd5c -0x30a379c7 -256 -256 -1 -0 -0 -1 -0x6ba6 -0xd2b3cab2 -256 -256 -1 -0 -0 -7 -0x1aa5 -0x3d9e38f1 -256 -256 -0 -0 -0 -5 -0x4e4d -0x6499126b -256 -256 -0 -0 -0 -10 -0xcfaa -0xdeb89f9e -256 -256 -0 -0 -0 -5 -0xa85e -0x9eb55fbe -256 -256 -0 -0 -0 -1 -0xda6c -0x635f0097 -256 -256 -1 -0 -0 -6 -0x6a8 -0x9d3a878c -256 -256 -0 -0 -0 -4 -0x42ad -0xaf687154 -256 -256 -1 -0 -0 -2 -0x15fc -0x686da821 -256 -256 -1 -0 -0 -1 -0xd211 -0x8f568e9a -256 -256 -0 -0 -0 -3 -0x136d -0xb95c2385 -256 -256 -1 -0 -0 -4 -0xbbb3 -0x555ce713 -256 -256 -0 -0 -0 -7 -0x15ef -0x3688e840 -256 -256 -0 -0 -0 -3 -0x4003 -0xc990956c -256 -256 -0 -0 -0 -3 -0x22ec -0x44f6a206 -256 -256 -0 -0 -0 -10 -0xbb11 -0xce6828cb -256 -256 -1 -0 -0 -3 -0xc794 -0x92fffe1c -256 -256 -0 -0 -0 -5 -0x57f3 -0x63be5efe -256 -256 -1 -0 -0 -3 -0xf573 -0xb3531e0a -256 -256 -0 -0 -0 -10 -0x41dd -0xbf0dcde2 -256 -256 -1 -0 -0 -3 -0x59fd -0xf6fb8e9d -256 -256 -1 -0 -0 -9 -0x1e21 -0x2f612c37 -256 -256 -1 -0 -0 -4 -0xd742 -0xdd95e187 -256 -256 -0 -0 -0 -6 -0x2e02 -0x1f51017f -256 -256 -1 -0 -0 -6 -0x6896 -0x38cef80 -256 -256 -0 -0 -0 -10 -0xc58f -0x6a6590b0 -256 -256 -1 -0 -0 -2 -0x263a -0xa2bb3795 -256 -256 -1 -0 -0 -3 -0xe36a -0xd72155ac -256 -256 -0 -0 -0 -6 -0xd0f1 -0xb7733e2f -256 -256 -1 -0 -0 -7 -0x2042 -0x99e78aa0 -256 -256 -1 -0 -0 -7 -0x6cbb -0xf565f119 -256 -256 -0 -0 -0 -7 -0x6689 -0x48266d31 -256 -256 -1 -0 -0 -4 -0x17f4 -0xef190c -256 -256 -0 -0 -0 -3 -0x828a -0x236d8c00 -256 -256 -0 -0 -0 -2 -0xced5 -0xd37081c9 -256 -256 -0 -0 -0 -1 -0x8373 -0x17d79209 -256 -256 -0 -0 -0 -3 -0x4c8f -0xe53fd3ad -256 -256 -1 -0 -0 -8 -0x4b66 -0x54131cac -256 -256 -0 -0 -0 -7 -0xf8fc -0x4a2da21 -256 -256 -0 -0 -0 -10 -0xee22 -0x5ca2b4de -256 -256 -0 -0 -0 -8 -0xa609 -0xb86a2f5a -256 -256 -0 -0 -0 -8 -0x8d6 -0xd0804a61 -256 -256 -0 -0 -0 -2 -0x6682 -0x712e2ccb -256 -256 -0 -0 -0 -1 -0x7043 -0x479fd5f4 -256 -256 -0 -0 -0 -3 -0xcf21 -0x56b3c016 -256 -256 -0 -0 -0 -10 -0x98b4 -0xc97d0d48 -256 -256 -0 -0 -0 -4 -0x154e -0xd6477e6a -256 -256 -0 -0 -0 -3 -0x17e7 -0xec269bd -256 -256 -1 -0 -0 -7 -0xe931 -0x609804a5 -256 -256 -0 -0 -0 -9 -0x8078 -0x913dc3e9 -256 -256 -1 -0 -0 -10 -0x2df3 -0x462db0f6 -256 -256 -1 -0 -0 -3 -0xaee1 -0x75367391 -256 -256 -0 -0 -0 -9 -0x329 -0xd730c818 -256 -256 -1 -0 -0 -8 -0xc227 -0x714a0917 -256 -256 -0 -0 -0 -9 -0x83e4 -0x53646ca7 -256 -256 -1 -0 -0 -7 -0xacfc -0x239585f0 -256 -256 -0 -0 -0 -5 -0x7bc8 -0xd8e42340 -256 -256 -0 -0 -0 -4 -0xe4b3 -0xf71e8580 -256 -256 -1 -0 -0 -2 -0x3337 -0x958fec24 -256 -256 -0 -0 -0 -9 -0x5194 -0x8544fe81 -256 -256 -0 -0 -0 -1 -0xabb0 -0x9d7f6358 -256 -256 -0 -0 -0 -3 -0xc4e0 -0x3a53ed8e -256 -256 -0 -0 -0 -6 -0x2527 -0x2d32a0de -256 -256 -1 -0 -0 -6 -0x6413 -0x9fa42631 -256 -256 -0 -0 -0 -2 -0x4432 -0x76df1f43 -256 -256 -1 -0 -0 -3 -0x6440 -0xefc65adb -256 -256 -0 -0 -0 -4 -0x410e -0xd864a813 -256 -256 -0 -0 -0 -1 -0xda51 -0x46e92c0a -256 -256 -1 -0 -0 -9 -0x7acb -0xb2ee3534 -256 -256 -1 -0 -0 -4 -0x917 -0x51e16c76 -256 -256 -0 -0 -0 -2 -0x1820 -0x1a9f3e8c -256 -256 -1 -0 -0 -6 -0xe805 -0xd5dbd5bf -256 -256 -0 -0 -0 -3 -0x45b -0x87bc5268 -256 -256 -1 -0 -0 -2 -0x8eb9 -0x63f72de0 -256 -256 -0 -0 -0 -1 -0xee2c -0x9093dce3 -256 -256 -1 -0 -0 -9 -0xd25 -0x644b288a -256 -256 -1 -0 -0 -2 -0xb1bb -0x450f94d6 -256 -256 -0 -0 -0 -2 -0x934f -0x864ca021 -256 -256 -0 -0 -0 -10 -0xadd0 -0x454ac806 -256 -256 -0 -0 -0 -2 -0x1f66 -0x32536ec7 -256 -256 -1 -0 -0 -5 -0x27fc -0xb1b922fa -256 -256 -1 -0 -0 -6 -0x3de8 -0xbac8693 -256 -256 -0 -0 -0 -9 -0xc167 -0x24fc6825 -256 -256 -0 -0 -0 -10 -0xee48 -0xde2b014d -256 -256 -1 -0 -0 -10 -0x89ac -0xa242b048 -256 -256 -1 -0 -0 -4 -0xa9c9 -0x4f1e3eb6 -256 -256 -0 -0 -0 -5 -0xcc11 -0x607604fe -256 -256 -0 -0 -0 -2 -0x74e0 -0x4e0fb874 -256 -256 -0 -0 -0 -5 -0x7d81 -0x86a3f440 -256 -256 -1 -0 -0 -9 -0x1ff8 -0xb890537c -256 -256 -1 -0 -0 -6 -0xa2c2 -0x978ac811 -256 -256 -0 -0 -0 -2 -0x5832 -0x6bdbfe9a -256 -256 -1 -0 -0 -5 -0xb9a6 -0x5cf07997 -256 -256 -0 -0 -0 -2 -0xdcf9 -0xab860341 -256 -256 -0 -0 -0 -9 -0x13c -0xdfd6b6d6 -256 -256 -1 -0 -0 -5 -0x2fda -0xbde09cdc -256 -256 -1 -0 -0 -7 -0x8970 -0x1420c672 -256 -256 -0 -0 -0 -4 -0x5b4b -0x3122a55f -256 -256 -0 -0 -0 -2 -0xa28f -0x5f690f45 -256 -256 -0 -0 -0 -6 -0xd486 -0x50b23d04 -256 -256 -1 -0 -0 -8 -0x554e -0x40277a2a -256 -256 -1 -0 -0 -2 -0xfbda -0xe47a41cf -256 -256 -0 -0 -0 -10 -0xa04d -0x96b1b624 -256 -256 -1 -0 -0 -1 -0xfb85 -0x1a2509b -256 -256 -0 -0 -0 -2 -0xb90e -0xd4b30809 -256 -256 -0 -0 -0 -9 -0xecaf -0x4d16869e -256 -256 -1 -0 -0 -2 -0xe385 -0xc7a52551 -256 -256 -1 -0 -0 -10 -0xb847 -0x7f1e2e6c -256 -256 -1 -0 -0 -2 -0xe71 -0x3bd6a85a -256 -256 -1 -0 -0 -2 -0x7663 -0xc47b78a4 -256 -256 -0 -0 -0 -5 -0x5225 -0x376ac11f -256 -256 -0 -0 -0 -9 -0x4dba -0x60c2a6d5 -256 -256 -1 -0 -0 -7 -0xe4d5 -0x3e6edecc -256 -256 -0 -0 -0 -1 -0x9b24 -0x91d7b4cf -256 -256 -1 -0 -0 -1 -0x48eb -0xa886a3b3 -256 -256 -0 -0 -0 -4 -0x9cc7 -0x53db6455 -256 -256 -1 -0 -0 -6 -0xfe7 -0xa6a80ae3 -256 -256 -1 -0 -0 -1 -0x1b64 -0xd01532ff -256 -256 -1 -0 -0 -2 -0x5780 -0xae1410ff -256 -256 -1 -0 -0 -10 -0x9943 -0xa85f5711 -256 -256 -1 -0 -0 -6 -0x2a05 -0xc12c7371 -256 -256 -0 -0 -0 -3 -0x12c4 -0xd3683e1b -256 -256 -1 -0 -0 -5 -0xa16e -0x9b6ea00b -256 -256 -1 -0 -0 -3 -0x4ec8 -0x7649db3d -256 -256 -1 -0 -0 -9 -0x3509 -0x7915d4ed -256 -256 -0 -0 -0 -8 -0xa28d -0xe0177de9 -256 -256 -0 -0 -0 -7 -0x579c -0x9b171c1d -256 -256 -0 -0 -0 -10 -0x584d -0x3bb2bab1 -256 -256 -1 -0 -0 -2 -0x1926 -0x48a4e3a3 -256 -256 -1 -0 -0 -6 -0xb71e -0x82f74cdf -256 -256 -0 -0 -0 -6 -0x8009 -0x6b39012e -256 -256 -1 -0 -0 -8 -0x2425 -0x9b5688ee -256 -256 -0 -0 -0 -10 -0xe94c -0x36fbcad2 -256 -256 -1 -0 -0 -8 -0x16bf -0xbd364d1b -256 -256 -0 -0 -0 -3 -0x40a2 -0x8ab987e5 -256 -256 -1 -0 -0 -1 -0xedff -0x9ea7b957 -256 -256 -0 -0 -0 -6 -0x6aaa -0x87b6f514 -256 -256 -0 -0 -0 -4 -0x2f9c -0x152c4678 -256 -256 -1 -0 -0 -2 -0x8634 -0x41999112 -256 -256 -1 -0 -0 -2 -0xc7a2 -0xc5bd8db5 -256 -256 -0 -0 -0 -5 -0xbeda -0xf8cb3d09 -256 -256 -0 -0 -0 -1 -0x18bc -0xe0086d6a -256 -256 -1 -0 -0 -5 -0x1f8f -0x2d05e089 -256 -256 -0 -0 -0 -9 -0xf72e -0x3c85e890 -256 -256 -0 -0 -0 -3 -0xb705 -0x215fc400 -256 -256 -0 -0 -0 -9 -0x6779 -0x33c08b01 -256 -256 -0 -0 -0 -10 -0x19d8 -0x7e8cf6c9 -256 -256 -0 -0 -0 -1 -0x44a7 -0xb5854f49 -256 -256 -1 -0 -0 -9 -0x2219 -0x613f2994 -256 -256 -0 -0 -0 -8 -0x72ef -0x4a229d92 -256 -256 -1 -0 -0 -2 -0xd400 -0x33518a91 -256 -256 -1 -0 -0 -1 -0xc569 -0x837f394a -256 -256 -0 -0 -0 -10 -0x3026 -0x4fbc1719 -256 -256 -0 -0 -0 -1 -0x7106 -0xa31def4d -256 -256 -0 -0 -0 -4 -0x9769 -0x3b5f43c0 -256 -256 -1 -0 -0 -4 -0xde43 -0x1e1a3f4d -256 -256 -1 -0 -0 -6 -0x76d2 -0xc3074e71 -256 -256 -1 -0 -0 -9 -0x79de -0xac52021b -256 -256 -1 -0 -0 -4 -0x992 -0x1c11646a -256 -256 -0 -0 -0 -4 -0x5c41 -0xfe8707d4 -256 -256 -0 -0 -0 -8 -0x1a7c -0x2694ff7f -256 -256 -1 -0 -0 -10 -0x59a5 -0x2f4a5fab -256 -256 -1 -0 -0 -7 -0x4b6a -0xad9c3a12 -256 -256 -0 -0 -0 -6 -0xa141 -0xf866465a -256 -256 -1 -0 -0 -7 -0xb8fd -0x9668a1eb -256 -256 -0 -0 -0 -7 -0x6ae4 -0x50e954c7 -256 -256 -1 -0 -0 -6 -0x364d -0xc6c96721 -256 -256 -1 -0 -0 -3 -0xdbde -0x8e7f7946 -256 -256 -1 -0 -0 -5 -0x1c34 -0x64cc4d95 -256 -256 -0 -0 -0 -5 -0x5599 -0xf5d773d -256 -256 -0 -0 -0 -10 -0xb347 -0x733e25 -256 -256 -1 -0 -0 -9 -0xb27 -0x8a5bf594 -256 -256 -1 -0 -0 -5 -0x8971 -0xc980639f -256 -256 -1 -0 -0 -2 -0x2faf -0x485693ec -256 -256 -0 -0 -0 -4 -0xa460 -0x27956924 -256 -256 -1 -0 -0 -8 -0x5019 -0xb1754954 -256 -256 -0 -0 -0 -6 -0xf8a6 -0xd04562d0 -256 -256 -0 -0 -0 -7 -0x52bf -0x8d188776 -256 -256 -0 -0 -0 -3 -0x3481 -0x85868949 -256 -256 -0 -0 -0 -2 -0x7da1 -0x7b6ae1a9 -256 -256 -1 -0 -0 -10 -0xe3d9 -0x52c22793 -256 -256 -0 -0 -0 -3 -0xacb0 -0xd0307466 -256 -256 -1 -0 -0 -3 -0xb3f6 -0x71d2c050 -256 -256 -0 -0 -0 -5 -0xd5f7 -0x706e9259 -256 -256 -1 -0 -0 -9 -0x711a -0xc6df876a -256 -256 -1 -0 -0 -4 -0xa439 -0xae4e86d7 -256 -256 -0 -0 -0 -7 -0x3818 -0x2a7b1f6e -256 -256 -0 -0 -0 -1 -0x9256 -0xc92c1460 -256 -256 -1 -0 -0 -7 -0xa28e -0x7433fe9d -256 -256 -1 -0 -0 -3 -0x48f8 -0x6d335077 -256 -256 -1 -0 -0 -3 -0x153d -0xf117cb3b -256 -256 -0 -0 -0 -8 -0xdf89 -0xffe2d142 -256 -256 -0 -0 -0 -10 -0xfff0 -0x73341ad0 -256 -256 -1 -0 -0 -2 -0x8a16 -0xffed01c3 -256 -256 -0 -0 -0 -7 -0xfe78 -0x47fa4ccd -256 -256 -0 -0 -0 -4 -0x4f69 -0xd3ecaf50 -256 -256 -1 -0 -0 -1 -0x8180 -0x31256608 -256 -256 -1 -0 -0 -5 -0xb51c -0x258f3906 -256 -256 -0 -0 -0 -8 -0x815 -0xed1ba1da -256 -256 -1 -0 -0 -10 -0xa226 -0x7f4cc73 -256 -256 -1 -0 -0 -3 -0xe310 -0x7d18511f -256 -256 -1 -0 -0 -2 -0x8b55 -0xc7443ff3 -256 -256 -1 -0 -0 -3 -0x96f5 -0xa26b0b9c -256 -256 -1 -0 -0 -6 -0xb583 -0x898c4c51 -256 -256 -0 -0 -0 -10 -0xf63a -0xaf9a6daa -256 -256 -0 -0 -0 -1 -0xb9bc -0xf4322a59 -256 -256 -0 -0 -0 -10 -0xf863 -0xda5f2ea6 -256 -256 -1 -0 -0 -1 -0xbf39 -0x30434953 -256 -256 -1 -0 -0 -8 -0x89a5 -0xd5e6994 -256 -256 -0 -0 -0 -10 -0x8ecc -0x1e04d980 -256 -256 -0 -0 -0 -6 -0x5f28 -0x37dd288c -256 -256 -1 -0 -0 -9 -0xe480 -0x798e5042 -256 -256 -1 -0 -0 -3 -0xdd82 -0x1ff7499 -256 -256 -0 -0 -0 -6 -0xd375 -0x6c3b888f -256 -256 -0 -0 -0 -2 -0x3fe9 -0xc2141716 -256 -256 -1 -0 -0 -10 -0x7151 -0xf4fdac97 -256 -256 -1 -0 -0 -3 -0x89ad -0xee0dab58 -256 -256 -0 -0 -0 -6 -0x70f1 -0x38bcfcd6 -256 -256 -0 -0 -0 -6 -0x2c4 -0x350ca674 -256 -256 -0 -0 -0 -4 -0xd10a -0xfa7d840a -256 -256 -1 -0 -0 -6 -0x20a1 -0x85c65aa1 -256 -256 -1 -0 -0 -5 -0x2505 -0x45199152 -256 -256 -0 -0 -0 -7 -0xc51b -0x134cbc12 -256 -256 -1 -0 -0 -3 -0x474c -0x900f7d24 -256 -256 -0 -0 -0 -7 -0x45b0 -0x4b69eec7 -256 -256 -0 -0 -0 -6 -0xa1dc -0xfb6eaa96 -256 -256 -0 -0 -0 -4 -0x1489 -0x57eb734b -256 -256 -1 -0 -0 -8 -0xf340 -0xac4bcbd5 -256 -256 -1 -0 -0 -9 -0xe7e1 -0x21ad0219 -256 -256 -0 -0 -0 -2 -0xe080 -0xdd1b6bae -256 -256 -0 -0 -0 -8 -0xb311 -0x3d61d9f2 -256 -256 -1 -0 -0 -10 -0x461d -0xc3dc43a -256 -256 -0 -0 -0 -6 -0xe26a -0xc6f328aa -256 -256 -1 -0 -0 -9 -0x7ff3 -0xcd40bac5 -256 -256 -1 -0 -0 -2 -0x60fc -0x9e78bdcd -256 -256 -0 -0 -0 -10 -0x3f02 -0x82fceed7 -256 -256 -1 -0 -0 -7 -0xa183 -0xab1b149d -256 -256 -0 -0 -0 -4 -0xad09 -0xde2a3f5e -256 -256 -0 -0 -0 -8 -0x3d10 -0x6cf334c0 -256 -256 -0 -0 -0 -6 -0x2293 -0xfb2df7ae -256 -256 -1 -0 -0 -9 -0x5617 -0x8b85cd4d -256 -256 -1 -0 -0 -5 -0xea51 -0xf70f1ba9 -256 -256 -0 -0 -0 -6 -0xb144 -0x8509ea2e -256 -256 -1 -0 -0 -5 -0xe292 -0x581f1edb -256 -256 -1 -0 -0 -7 -0xaf6b -0xf9321140 -256 -256 -0 -0 -0 -7 -0x744f -0xc1cc54f8 -256 -256 -0 -0 -0 -7 -0x7d3f -0x334c9ea0 -256 -256 -1 -0 -0 -4 -0x5495 -0x14e6539d -256 -256 -0 -0 -0 -10 -0x1950 -0xbf5d3ba3 -256 -256 -1 -0 -0 -1 -0xe00b -0x6332e394 -256 -256 -0 -0 -0 -4 -0x22a8 -0x417910e -256 -256 -0 -0 -0 -4 -0xbef2 -0xf8c5c73d -256 -256 -1 -0 -0 -3 -0x9db1 -0xd450204d -256 -256 -1 -0 -0 -8 -0x6a2d -0x177ab99a -256 -256 -1 -0 -0 -10 -0x38ab -0xaf265208 -256 -256 -0 -0 -0 -2 -0xbd60 -0x19f345b1 -256 -256 -1 -0 -0 -9 -0x1cf3 -0xad87ad71 -256 -256 -1 -0 -0 -8 -0x94d -0xac345ee5 -256 -256 -1 -0 -0 -10 -0x33d9 -0x58e004d9 -256 -256 -1 -0 -0 -7 -0xc540 -0xcb174e18 -256 -256 -0 -0 -0 -1 -0x2890 -0xb935d8f4 -256 -256 -1 -0 -0 -6 -0x9333 -0xeafef579 -256 -256 -1 -0 -0 -10 -0x544b -0xf4f92083 -256 -256 -1 -0 -0 -6 -0x38f1 -0x7b6ce9e3 -256 -256 -1 -0 -0 -4 -0xac6c -0x9bd4377d -256 -256 -1 -0 -0 -5 -0xb038 -0xc5c42481 -256 -256 -0 -0 -0 -6 -0xbe36 -0xa45cce40 -256 -256 -0 -0 -0 -2 -0x7196 -0x4a49b017 -256 -256 -0 -0 -0 -1 -0x4a6c -0x2d2f2bfc -256 -256 -1 -0 -0 -7 -0x8e26 -0x6337d51e -256 -256 -0 -0 -0 -7 -0xf6e7 -0x579b68c7 -256 -256 -1 -0 -0 -5 -0x7bd5 -0x743fcc0a -256 -256 -1 -0 -0 -7 -0x5a61 -0xbefd92 -256 -256 -0 -0 -0 -2 -0x4c37 -0x3a80dcb4 -256 -256 -1 -0 -0 -3 -0x914e -0x82366ba1 -256 -256 -1 -0 -0 -8 -0xda8 -0x26869e7 -256 -256 -0 -0 -0 -8 -0xe38d -0xf0e0b5f -256 -256 -1 -0 -0 -6 -0x786a -0x4f8ffd8e -256 -256 -0 -0 -0 -2 -0xd0dc -0x9a09811b -256 -256 -1 -0 -0 -3 -0x7dbc -0x8d85cc87 -256 -256 -1 -0 -0 -7 -0xe5cc -0xf969cfe9 -256 -256 -1 -0 -0 -6 -0x8e0f -0x896471a0 -256 -256 -0 -0 -0 -6 -0x9ff5 -0x1eb4bc2b -256 -256 -1 -0 -0 -3 -0x15da -0x2217826f -256 -256 -0 -0 -0 -10 -0xa0bf -0xdd19291d -256 -256 -0 -0 -0 -3 -0x7b49 -0x872f05b9 -256 -256 -0 -0 -0 -9 -0x32ee -0xac5ca406 -256 -256 -1 -0 -0 -5 -0x6f55 -0x7ee9ae0d -256 -256 -0 -0 -0 -5 -0x70e1 -0xcc4dde03 -256 -256 -1 -0 -0 -2 -0xc953 -0x92461949 -256 -256 -0 -0 -0 -2 -0xaaed -0xc3a1ad27 -256 -256 -1 -0 -0 -5 -0x49d5 -0x14c2f1c -256 -256 -0 -0 -0 -4 -0x67ee -0xebdebc3d -256 -256 -1 -0 -0 -8 -0x3263 -0x3e34faad -256 -256 -1 -0 -0 -1 -0x6b82 -0xaa2e7725 -256 -256 -1 -0 -0 -5 -0xaa0d -0xc6a0c617 -256 -256 -0 -0 -0 -2 -0xf098 -0x311c7899 -256 -256 -0 -0 -0 -6 -0xcf60 -0x75951f7a -256 -256 -0 -0 -0 -5 -0xa0e3 -0x6f8fb7af -256 -256 -1 -0 -0 -4 -0x89a7 -0x6b5fdf0f -256 -256 -1 -0 -0 -5 -0x5008 -0x625f8e85 -256 -256 -0 -0 -0 -3 -0xb07f -0x5612ef11 -256 -256 -0 -0 -0 -4 -0x919c -0xf6e54c90 -256 -256 -1 -0 -0 -4 -0x2d83 -0x7e0d22b5 -256 -256 -0 -0 -0 -1 -0x1e8a -0xa17a106 -256 -256 -0 -0 -0 -9 -0x61b4 -0xd1d49822 -256 -256 -1 -0 -0 -1 -0x8bc9 -0xa167323f -256 -256 -0 -0 -0 -1 -0x6f2f -0x6a17bf00 -256 -256 -0 -0 -0 -8 -0xe52f -0x20a51eab -256 -256 -1 -0 -0 -5 -0x25c2 -0x97014396 -256 -256 -1 -0 -0 -9 -0x4763 -0xc60e1206 -256 -256 -1 -0 -0 -7 -0xc78 -0x586a057f -256 -256 -1 -0 -0 -6 -0xe835 -0x7d791134 -256 -256 -0 -0 -0 -9 -0x5118 -0xa2fbb7d5 -256 -256 -0 -0 -0 -2 -0xc2be -0xb24c6b6a -256 -256 -0 -0 -0 -9 -0xf963 -0x6628331a -256 -256 -0 -0 -0 -5 -0x1471 -0xddc10ab1 -256 -256 -1 -0 -0 -6 -0xc299 -0x2420b275 -256 -256 -1 -0 -0 -6 -0x5ce6 -0x619c6885 -256 -256 -0 -0 -0 -5 -0x9304 -0x337873b5 -256 -256 -0 -0 -0 -7 -0x3cb8 -0xb87420c7 -256 -256 -1 -0 -0 -4 -0x7484 -0x4b3d70fb -256 -256 -0 -0 -0 -5 -0xb25a -0xa4f79896 -256 -256 -1 -0 -0 -2 -0xd564 -0xa601173 -256 -256 -1 -0 -0 -7 -0xd0aa -0x3f467a4a -256 -256 -1 -0 -0 -7 -0x216b -0xd1e6e855 -256 -256 -0 -0 -0 -8 -0xd131 -0x31efed88 -256 -256 -0 -0 -0 -2 -0x1006 -0x89b2f931 -256 -256 -1 -0 -0 -7 -0xd6b -0xab701fcb -256 -256 -0 -0 -0 -2 -0x596c -0x949b6c4 -256 -256 -0 -0 -0 -3 -0x4db -0x1a5a8ace -256 -256 -1 -0 -0 -9 -0x2042 -0xa8df9b06 -256 -256 -1 -0 -0 -6 -0x5cd3 -0x6832b9d1 -256 -256 -0 -0 -0 -3 -0xd3d8 -0xa059eaee -256 -256 -1 -0 -0 -2 -0x9773 -0x75d92209 -256 -256 -1 -0 -0 -4 -0x65fa -0x5d5c020c -256 -256 -0 -0 -0 -5 -0x6756 -0xb99716c5 -256 -256 -0 -0 -0 -9 -0x4d02 -0x4dce8105 -256 -256 -1 -0 -0 -1 -0x9545 -0xdeb0ff0e -256 -256 -0 -0 -0 -10 -0xdf1b -0x5d31fcd8 -256 -256 -1 -0 -0 -1 -0xd613 -0xd6003ca1 -256 -256 -0 -0 -0 -9 -0x6bd1 -0x703c6872 -256 -256 -0 -0 -0 -10 -0xfe51 -0xf560daa4 -256 -256 -1 -0 -0 -10 -0x4049 -0x76fce45d -256 -256 -1 -0 -0 -1 -0x26d4 -0x3280461b -256 -256 -1 -0 -0 -1 -0x1d16 -0x636b2b44 -256 -256 -0 -0 -0 -6 -0x3e31 -0xaa7d7b3c -256 -256 -0 -0 -0 -2 -0x782a -0x17a3ee68 -256 -256 -0 -0 -0 -7 -0x9f99 -0x5d347370 -256 -256 -0 -0 -0 -4 -0xd5ae -0x68814486 -256 -256 -1 -0 -0 -5 -0x7c1b -0x35fbf38d -256 -256 -0 -0 -0 -7 -0x9983 -0x1749dfca -256 -256 -0 -0 -0 -1 -0x20b2 -0x5610693e -256 -256 -0 -0 -0 -1 -0xda45 -0x81684bb0 -256 -256 -1 -0 -0 -6 -0xa0b -0x7b5b4934 -256 -256 -0 -0 -0 -2 -0xd97 -0xb7450288 -256 -256 -1 -0 -0 -9 -0xb273 -0x4fe069fe -256 -256 -1 -0 -0 -9 -0x401f -0x9b114afe -256 -256 -1 -0 -0 -10 -0x11ff -0x13a3abb2 -256 -256 -1 -0 -0 -1 -0x7ab3 -0x641f37fa -256 -256 -0 -0 -0 -10 -0x8310 -0xfc725a46 -256 -256 -0 -0 -0 -5 -0x5b8f -0x177b1643 -256 -256 -0 -0 -0 -2 -0xa883 -0x4f4255b6 -256 -256 -1 -0 -0 -10 -0x9633 -0xf3c009d3 -256 -256 -0 -0 -0 -4 -0xc3cb -0x608b26e8 -256 -256 -0 -0 -0 -6 -0xf868 -0xcfaa608 -256 -256 -1 -0 -0 -3 -0xe4b8 -0x7f3a7c3b -256 -256 -0 -0 -0 -5 -0x3609 -0x37525277 -256 -256 -0 -0 -0 -8 -0x43bb -0x1c9b32d1 -256 -256 -0 -0 -0 -10 -0x9ee2 -0xffb13c10 -256 -256 -0 -0 -0 -5 -0x37ce -0x74142651 -256 -256 -1 -0 -0 -10 -0xe917 -0x956703c7 -256 -256 -0 -0 -0 -2 -0xb187 -0xb332f700 -256 -256 -1 -0 -0 -10 -0xfb2a -0x78e654c -256 -256 -1 -0 -0 -4 -0x18ee -0x3e08be1f -256 -256 -1 -0 -0 -6 -0x41d1 -0x98eeb41 -256 -256 -1 -0 -0 -4 -0xb682 -0x6175e9f2 -256 -256 -1 -0 -0 -5 -0x7abb -0x6762a3 -256 -256 -1 -0 -0 -2 -0x992e -0x79abda62 -256 -256 -0 -0 -0 -3 -0xb2af -0xa6f62716 -256 -256 -1 -0 -0 -10 -0x3879 -0x64f22deb -256 -256 -0 -0 -0 -5 -0x8865 -0x9ed195d9 -256 -256 -1 -0 -0 -8 -0x65bd -0x875cabf7 -256 -256 -1 -0 -0 -10 -0x3383 -0x2bdff1be -256 -256 -0 -0 -0 -2 -0x1579 -0xf018a278 -256 -256 -1 -0 -0 -10 -0x278f -0xe0848941 -256 -256 -0 -0 -0 -4 -0x5904 -0x6c62f2bd -256 -256 -0 -0 -0 -7 -0x1292 -0xc312bd33 -256 -256 -0 -0 -0 -7 -0x9af7 -0x499f82aa -256 -256 -0 -0 -0 -9 -0xfeb -0x78f8a25a -256 -256 -1 -0 -0 -4 -0x4d9f -0x3cc38fcb -256 -256 -0 -0 -0 -6 -0x3a2f -0x965f7f4 -256 -256 -0 -0 -0 -2 -0xacb3 -0xd87f6e12 -256 -256 -0 -0 -0 -5 -0x1439 -0x6f6374e4 -256 -256 -0 -0 -0 -6 -0x30ba -0x896e7ee4 -256 -256 -0 -0 -0 -10 -0x4540 -0x6cb66383 -256 -256 -0 -0 -0 -10 -0xa010 -0xeb3a53f3 -256 -256 -1 -0 -0 -9 -0xeaff -0xdbacce24 -256 -256 -0 -0 -0 -4 -0x6817 -0x717f26df -256 -256 -0 -0 -0 -10 -0x6324 -0x91705369 -256 -256 -0 -0 -0 -4 -0x5918 -0xec9a574b -256 -256 -0 -0 -0 -6 -0xb6f9 -0x7cb1afce -256 -256 -1 -0 -0 -1 -0x96ca -0xf1a046d8 -256 -256 -0 -0 -0 -1 -0xd244 -0x3eda1c10 -256 -256 -0 -0 -0 -10 -0x9749 -0x6f9e6c5 -256 -256 -0 -0 -0 -4 -0xfdb4 -0x73db46a2 -256 -256 -1 -0 -0 -10 -0x9c41 -0x4697f0dc -256 -256 -1 -0 -0 -6 -0x42e7 -0xae14e7f9 -256 -256 -1 -0 -0 -7 -0xdd9c -0xecc6b12c -256 -256 -0 -0 -0 -3 -0x4dfd -0x630e20f3 -256 -256 -1 -0 -0 -2 -0xb2eb -0xbe9ddf5d -256 -256 -0 -0 -0 -4 -0x63cd -0xdd65b282 -256 -256 -1 -0 -0 -9 -0x5749 -0x7780564d -256 -256 -1 -0 -0 -9 -0x857 -0x8ec5033a -256 -256 -0 -0 -0 -3 -0x1f55 -0x76f3faa1 -256 -256 -0 -0 -0 -6 -0x8a1a -0x3dc04f18 -256 -256 -1 -0 -0 -10 -0xc26d -0x6a05ec18 -256 -256 -1 -0 -0 -9 -0x5514 -0x8ddf12d5 -256 -256 -0 -0 -0 -1 -0x9e24 -0x67c68304 -256 -256 -1 -0 -0 -6 -0xaf2e -0x871f1b9a -256 -256 -1 -0 -0 -3 -0x4d8a -0x1f108b41 -256 -256 -1 -0 -0 -10 -0x9a19 -0xc0d70a41 -256 -256 -0 -0 -0 -10 -0xf3ac -0xeb1339a2 -256 -256 -0 -0 -0 -4 -0x89ac -0x43cd9982 -256 -256 -1 -0 -0 -2 -0xeba8 -0x7f9df989 -256 -256 -1 -0 -0 -5 -0x34e5 -0x819d5b8f -256 -256 -0 -0 -0 -2 -0xecf5 -0x2396489e -256 -256 -0 -0 -0 -7 -0xb509 -0x41536b2a -256 -256 -1 -0 -0 -7 -0xa74a -0xa87c4d3a -256 -256 -0 -0 -0 -5 -0xf637 -0x45993ab0 -256 -256 -1 -0 -0 -7 -0x99fb -0x9d13b332 -256 -256 -0 -0 -0 -3 -0x31f -0x848e7c8f -256 -256 -0 -0 -0 -3 -0x205d -0x7f36db1 -256 -256 -1 -0 -0 -1 -0x8ff7 -0xbc881a9e -256 -256 -0 -0 -0 -5 -0xf495 -0x4e9eb12c -256 -256 -1 -0 -0 -3 -0xa8dc -0xfbdd82d1 -256 -256 -0 -0 -0 -4 -0xdffc -0xab6ca18f -256 -256 -1 -0 -0 -4 -0x7dd3 -0x16b18ed2 -256 -256 -0 -0 -0 -9 -0x481b -0xdcc94906 -256 -256 -1 -0 -0 -5 -0x1a51 -0x9588c404 -256 -256 -1 -0 -0 -8 -0xa191 -0xe08d80a1 -256 -256 -0 -0 -0 -1 -0x89a0 -0xada28106 -256 -256 -1 -0 -0 -2 -0xadd8 -0x87d0e5bb -256 -256 -0 -0 -0 -4 -0x8637 -0x4ced680e -256 -256 -0 -0 -0 -9 -0x1466 -0x57056882 -256 -256 -0 -0 -0 -7 -0x327e -0x48c24195 -256 -256 -1 -0 -0 -9 -0xe4d1 -0xe9f96bd2 -256 -256 -1 -0 -0 -9 -0xa783 -0xee0773a5 -256 -256 -1 -0 -0 -5 -0x8214 -0x505bc10f -256 -256 -0 -0 -0 -8 -0x7cfa -0x8315bf6e -256 -256 -0 -0 -0 -2 -0x4e5e -0x619e8aa9 -256 -256 -1 -0 -0 -3 -0x419a -0xd7a7e1ab -256 -256 -1 -0 -0 -1 -0xeb72 -0x146f60b9 -256 -256 -0 -0 -0 -8 -0x127c -0xb987b9ce -256 -256 -0 -0 -0 -4 -0x50c5 -0xb8941924 -256 -256 -0 -0 -0 -10 -0xa5e8 -0x6c2f10f -256 -256 -0 -0 -0 -10 -0x1f3c -0xfcfcd37e -256 -256 -1 -0 -0 -4 -0x8f91 -0x1812b99e -256 -256 -1 -0 -0 -9 -0x442d -0x1f2bf932 -256 -256 -0 -0 -0 -3 -0xbc9e -0x8d1ab216 -256 -256 -0 -0 -0 -9 -0xa906 -0x73455da2 -256 -256 -1 -0 -0 -4 -0x5083 -0x2dcbf758 -256 -256 -0 -0 -0 -2 -0x1d11 -0x77fb9744 -256 -256 -0 -0 -0 -10 -0xff21 -0x24f93f35 -256 -256 -1 -0 -0 -5 -0xe01d -0xf015d915 -256 -256 -1 -0 -0 -6 -0x1366 -0x58f8b479 -256 -256 -0 -0 -0 -4 -0x319c -0xf3856645 -256 -256 -1 -0 -0 -5 -0xc3c9 -0xc00289a4 -256 -256 -1 -0 -0 -9 -0xd626 -0x4e5d1287 -256 -256 -0 -0 -0 -5 -0x1451 -0xe638e142 -256 -256 -0 -0 -0 -9 -0x8ea3 -0x64f955f -256 -256 -1 -0 -0 -4 -0x4eeb -0xe1015bf3 -256 -256 -0 -0 -0 -9 -0x7f51 -0xe8232d70 -256 -256 -1 -0 -0 -9 -0x23c2 -0x7e0d370 -256 -256 -0 -0 -0 -10 -0xd901 -0x7c5c0305 -256 -256 -1 -0 -0 -5 -0x200e -0x599b5644 -256 -256 -1 -0 -0 -1 -0x4617 -0xb7bcaa2 -256 -256 -0 -0 -0 -10 -0xed75 -0xee90411c -256 -256 -0 -0 -0 -3 -0x951c -0x29560333 -256 -256 -0 -0 -0 -8 -0xa310 -0x573e3294 -256 -256 -1 -0 -0 -7 -0x1807 -0x6606c234 -256 -256 -1 -0 -0 -4 -0xd886 -0x6a670352 -256 -256 -0 -0 -0 -7 -0x489 -0x655bf1be -256 -256 -1 -0 -0 -6 -0x803f -0xdf11255a -256 -256 -0 -0 -0 -2 -0xbba9 -0x939105ec -256 -256 -0 -0 -0 -10 -0xb1e3 -0xc3cc4fac -256 -256 -0 -0 -0 -5 -0x73a6 -0x5b1c10d8 -256 -256 -1 -0 -0 -9 -0x20ab -0x19635ecf -256 -256 -1 -0 -0 -1 -0x4795 -0x2c6265b0 -256 -256 -0 -0 -0 -1 -0x49bb -0x5278fdf7 -256 -256 -1 -0 -0 -8 -0xd160 -0xee3e6860 -256 -256 -1 -0 -0 -8 -0x2ad7 -0xa626bfed -256 -256 -1 -0 -0 -6 -0x5836 -0xc7573168 -256 -256 -1 -0 -0 -4 -0xab9a -0x1df0167a -256 -256 -1 -0 -0 -9 -0x80d4 -0x5d2ac0f2 -256 -256 -0 -0 -0 -1 -0x40aa -0xa63b4f41 -256 -256 -0 -0 -0 -9 -0xa55c -0x8e60aa27 -256 -256 -0 -0 -0 -10 -0xf8a0 -0xdcf0fe5 -256 -256 -0 -0 -0 -7 -0x5920 -0x35afdfc6 -256 -256 -1 -0 -0 -8 -0xff1c -0x666977ac -256 -256 -0 -0 -0 -2 -0x9995 -0x1f21fd2f -256 -256 -0 -0 -0 -5 -0xfb6a -0xe5cd3577 -256 -256 -1 -0 -0 -4 -0x620f -0xcb8d9e79 -256 -256 -1 -0 -0 -1 -0x72c2 -0x53bcdf6a -256 -256 -1 -0 -0 -5 -0xb6c3 -0xde74ca5a -256 -256 -1 -0 -0 -4 -0x63a5 -0xb41e02ae -256 -256 -0 -0 -0 -2 -0xce3f -0xeb7b612e -256 -256 -1 -0 -0 -8 -0x91e1 -0x2563e255 -256 -256 -1 -0 -0 -5 -0x5fa9 -0x53428242 -256 -256 -0 -0 -0 -1 -0x15b3 -0xfffd6afb -256 -256 -1 -0 -0 -4 -0x624a -0x7bfc8d96 -256 -256 -0 -0 -0 -5 -0xbecf -0xafc1a4b3 -256 -256 -0 -0 -0 -7 -0x2862 -0x30777211 -256 -256 -1 -0 -0 -7 -0x4253 -0x3c5d40aa -256 -256 -0 -0 -0 -8 -0x7b51 -0x652ca32d -256 -256 -1 -0 -0 -9 -0xd2f2 -0x3162c26d -256 -256 -0 -0 -0 -4 -0x105 -0xe6ca3976 -256 -256 -1 -0 -0 -6 -0x4deb -0xc02b05b1 -256 -256 -0 -0 -0 -9 -0x63e6 -0x85f1e721 -256 -256 -1 -0 -0 -5 -0x466b -0x22114d60 -256 -256 -0 -0 -0 -4 -0x584b -0xc83a5f51 -256 -256 -0 -0 -0 -10 -0xa833 -0x7e28697d -256 -256 -1 -0 -0 -5 -0x4f62 -0x8d6aa232 -256 -256 -1 -0 -0 -10 -0x98 -0x8d10cd90 -256 -256 -1 -0 -0 -9 -0x5f4 -0x9440ee78 -256 -256 -0 -0 -0 -7 -0xd169 -0xfb9ab9b -256 -256 -0 -0 -0 -6 -0x627a -0x63b37836 -256 -256 -1 -0 -0 -5 -0xa884 -0x4f2e994c -256 -256 -1 -0 -0 -5 -0xbc3f -0x653e44b0 -256 -256 -1 -0 -0 -4 -0x2a6a -0x8c46caa2 -256 -256 -0 -0 -0 -6 -0x5ba4 -0x58f3ef9b -256 -256 -1 -0 -0 -9 -0xf056 -0xf30f81be -256 -256 -0 -0 -0 -4 -0x2950 -0x2cdeee6d -256 -256 -0 -0 -0 -7 -0xc09 -0x66d2fe9e -256 -256 -0 -0 -0 -9 -0xa0cf -0xa3e6e567 -256 -256 -1 -0 -0 -8 -0xe7f6 -0x534d2462 -256 -256 -0 -0 -0 -9 -0x1c88 -0x2eb66f2a -256 -256 -1 -0 -0 -6 -0x2d9b -0xd56a4fd5 -256 -256 -0 -0 -0 -9 -0xdee2 -0x815063f -256 -256 -1 -0 -0 -4 -0x2bc5 -0x56d7d8dd -256 -256 -0 -0 -0 -6 -0x6c8b -0x43a9a7cb -256 -256 -0 -0 -0 -6 -0x3b48 -0xf7cce844 -256 -256 -0 -0 -0 -10 -0x3357 -0xfa03ea09 -256 -256 -1 -0 -0 -7 -0x846f -0xadd91e0 -256 -256 -0 -0 -0 -6 -0xbd80 -0x4c86506c -256 -256 -1 -0 -0 -2 -0xb93c -0xb02490be -256 -256 -1 -0 -0 -4 -0xb8b5 -0x5e4fe4b7 -256 -256 -1 -0 -0 -7 -0x6e28 -0x7b4ff61e -256 -256 -1 -0 -0 -9 -0x8716 -0x463999cc -256 -256 -0 -0 -0 -8 -0x1928 -0x29fe9626 -256 -256 -1 -0 -0 -7 -0x3a62 -0x6833fe4d -256 -256 -1 -0 -0 -1 -0x684e -0x1c2bcaf9 -256 -256 -1 -0 -0 -2 -0xfbef -0x9eea3dfe -256 -256 -0 -0 -0 -1 -0x5f6 -0x66540a07 -256 -256 -1 -0 -0 -2 -0xd24c -0x5abe1e8 -256 -256 -1 -0 -0 -2 -0x7a1d -0xfb7a07db -256 -256 -1 -0 -0 -7 -0x82c2 -0x4a53a6f4 -256 -256 -0 -0 -0 -5 -0xdb26 -0x823d163d -256 -256 -1 -0 -0 -2 -0x3c83 -0x47246976 -256 -256 -0 -0 -0 -2 -0x1694 -0x4301125c -256 -256 -0 -0 -0 -6 -0x61e4 -0x54715fa6 -256 -256 -0 -0 -0 -1 -0xa401 -0x532e328a -256 -256 -0 -0 -0 -8 -0x1a04 -0x85e1a9d8 -256 -256 -1 -0 -0 -6 -0x7b7e -0xe8aafa5d -256 -256 -0 -0 -0 -6 -0x1ed8 -0xe1fc4578 -256 -256 -0 -0 -0 -8 -0xd251 -0x90cdcac9 -256 -256 -1 -0 -0 -9 -0xa7b -0x21642810 -256 -256 -0 -0 -0 -10 -0xf1e6 -0xb864aaba -256 -256 -1 -0 -0 -8 -0x3bff -0x66e6d -256 -256 -0 -0 -0 -4 -0xe39 -0x6a408a4b -256 -256 -0 -0 -0 -3 -0xa8cc -0x156f343d -256 -256 -1 -0 -0 -10 -0xf0ba -0x57418b43 -256 -256 -0 -0 -0 -4 -0xfc2 -0x32c71513 -256 -256 -0 -0 -0 -2 -0xa865 -0xeea21ca2 -256 -256 -0 -0 -0 -7 -0xf0f0 -0x77de5606 -256 -256 -1 -0 -0 -7 -0x185c -0xf9e6f286 -256 -256 -0 -0 -0 -5 -0x97bb -0x2212cde4 -256 -256 -1 -0 -0 -1 -0x3c19 -0x5c409f1a -256 -256 -0 -0 -0 -5 -0x771d -0x874ce24d -256 -256 -0 -0 -0 -10 -0xa200 -0xcafe1d5d -256 -256 -1 -0 -0 -10 -0xade3 -0x2d3aadc6 -256 -256 -1 -0 -0 -8 -0xe298 -0x93b5af01 -256 -256 -0 -0 -0 -7 -0xbf35 -0xb630dd13 -256 -256 -0 -0 -0 -1 -0x4730 -0x1cef895b -256 -256 -1 -0 -0 -1 -0xcdd9 -0xd3a9cc49 -256 -256 -0 -0 -0 -10 -0x396c -0x797fb14e -256 -256 -1 -0 -0 -9 -0x9103 -0xae67a543 -256 -256 -1 -0 -0 -5 -0x6755 -0x9f0a1f31 -256 -256 -1 -0 -0 -4 -0x4409 -0xd07db7e0 -256 -256 -0 -0 -0 -2 -0x679d -0xe0fe952b -256 -256 -1 -0 -0 -9 -0x7fc0 -0x4c58a19e -256 -256 -0 -0 -0 -6 -0x2704 -0xdb1fd865 -256 -256 -0 -0 -0 -6 -0x2338 -0x175e7be3 -256 -256 -1 -0 -0 -4 -0xc14e -0xe6b82dd8 -256 -256 -0 -0 -0 -10 -0xbd95 -0xe7d6229a -256 -256 -0 -0 -0 -10 -0xbc3b -0xd3ed7c72 -256 -256 -1 -0 -0 -1 -0xbfe -0x453e1a22 -256 -256 -0 -0 -0 -2 -0x9282 -0xba9af284 -256 -256 -1 -0 -0 -8 -0x9b35 -0xb02df3a7 -256 -256 -0 -0 -0 -8 -0x37ba -0x927e008c -256 -256 -0 -0 -0 -2 -0x95f9 -0x160a2c41 -256 -256 -1 -0 -0 -10 -0x384e -0x70113570 -256 -256 -0 -0 -0 -3 -0xd244 -0xe96c2d55 -256 -256 -0 -0 -0 -1 -0x9e9b -0xb2eb7d6 -256 -256 -1 -0 -0 -4 -0xa02a -0xc9e59a48 -256 -256 -1 -0 -0 -2 -0xa154 -0x3ba5cb29 -256 -256 -0 -0 -0 -9 -0x670e -0xee70989a -256 -256 -1 -0 -0 -1 -0x4ccd -0x2caba725 -256 -256 -1 -0 -0 -7 -0x9eb9 -0xa941ae0d -256 -256 -0 -0 -0 -1 -0xb0c4 -0x908578d2 -256 -256 -0 -0 -0 -6 -0xba96 -0x3ff5e28c -256 -256 -0 -0 -0 -10 -0xb532 -0x547d5e9d -256 -256 -1 -0 -0 -9 -0x2cb1 -0xf54c96cb -256 -256 -0 -0 -0 -7 -0x32e4 -0x1622d2b3 -256 -256 -0 -0 -0 -5 -0x5265 -0xab82ff3e -256 -256 -0 -0 -0 -8 -0xb12 -0xb5b4f605 -256 -256 -1 -0 -0 -10 -0x3db5 -0x6646416f -256 -256 -0 -0 -0 -1 -0xb13f -0x3b1e976c -256 -256 -1 -0 -0 -1 -0x93c5 -0xa6aad46c -256 -256 -1 -0 -0 -7 -0x7cac -0xebc07388 -256 -256 -0 -0 -0 -10 -0xff78 -0xf0ff7df1 -256 -256 -0 -0 -0 -6 -0x5a56 -0x52e2612d -256 -256 -0 -0 -0 -9 -0xb1e2 -0x8072d5b2 -256 -256 -0 -0 -0 -2 -0x8607 -0x6a892e4d -256 -256 -1 -0 -0 -2 -0xab28 -0x9c675fe0 -256 -256 -0 -0 -0 -4 -0x15cd -0x3ffe8dbf -256 -256 -0 -0 -0 -2 -0x980a -0xa932bff0 -256 -256 -0 -0 -0 -5 -0x9de4 -0x5bf1aa2 -256 -256 -1 -0 -0 -3 -0x3e2a -0x81862d47 -256 -256 -1 -0 -0 -4 -0xad00 -0xeab63423 -256 -256 -1 -0 -0 -10 -0x9e54 -0x3f30034 -256 -256 -0 -0 -0 -1 -0xd06f -0xa6f5d11 -256 -256 -0 -0 -0 -4 -0xf234 -0xb9acf8b3 -256 -256 -1 -0 -0 -6 -0xd741 -0xcfa8c9b5 -256 -256 -1 -0 -0 -3 -0xf088 -0x4eb93505 -256 -256 -0 -0 -0 -8 -0xbb66 -0x807c4979 -256 -256 -0 -0 -0 -3 -0xacf3 -0xaecc9748 -256 -256 -1 -0 -0 -2 -0x9ea7 -0x7b0c0e2 -256 -256 -1 -0 -0 -5 -0xa79 -0x2e2df925 -256 -256 -0 -0 -0 -8 -0x6a1e -0x747fcc6 -256 -256 -1 -0 -0 -7 -0xa8f5 -0x53a34cd2 -256 -256 -1 -0 -0 -6 -0xa40e -0xd3a346bb -256 -256 -1 -0 -0 -3 -0xf0a8 -0xab236b81 -256 -256 -0 -0 -0 -7 -0xf0f8 -0x245283db -256 -256 -0 -0 -0 -6 -0x9e54 -0x36e2ac24 -256 -256 -0 -0 -0 -3 -0x9c09 -0xcb1413af -256 -256 -1 -0 -0 -10 -0xa77 -0xf2d7dbe4 -256 -256 -1 -0 -0 -8 -0x3623 -0x8abfed5d -256 -256 -1 -0 -0 -10 -0xd75b -0x48859253 -256 -256 -0 -0 -0 -9 -0x16a -0x1156dbf3 -256 -256 -0 -0 -0 -6 -0xcd6e -0x9add6ddf -256 -256 -1 -0 -0 -10 -0x6bcd -0x221d011a -256 -256 -1 -0 -0 -1 -0x25e3 -0x19451f3d -256 -256 -0 -0 -0 -7 -0x2272 -0x6d4b34ef -256 -256 -0 -0 -0 -3 -0x711b -0x701815d7 -256 -256 -0 -0 -0 -3 -0x4184 -0x24effd46 -256 -256 -1 -0 -0 -1 -0x934a -0xfdf053ea -256 -256 -1 -0 -0 -6 -0x642a -0xf9baede0 -256 -256 -1 -0 -0 -10 -0x5c2a -0x25e0ca27 -256 -256 -1 -0 -0 -4 -0x927 -0x2920e09 -256 -256 -0 -0 -0 -10 -0xad78 -0x87ff4b72 -256 -256 -0 -0 -0 -5 -0x8e29 -0x8e219124 -256 -256 -0 -0 -0 -7 -0xd344 -0x28f4f6d7 -256 -256 -1 -0 -0 -8 -0x1d5d -0x77fd1ec3 -256 -256 -1 -0 -0 -2 -0x6539 -0x1b99997 -256 -256 -1 -0 -0 -3 -0xa62 -0xd1e5d5a -256 -256 -1 -0 -0 -9 -0x9557 -0x336d9b34 -256 -256 -0 -0 -0 -4 -0xae6f -0x6240f5c3 -256 -256 -0 -0 -0 -5 -0x5645 -0x48aa41f5 -256 -256 -0 -0 -0 -4 -0x3237 -0x3fd883da -256 -256 -1 -0 -0 -10 -0x8ff9 -0x3715d38a -256 -256 -1 -0 -0 -2 -0x2688 -0xaf89af30 -256 -256 -1 -0 -0 -1 -0x134a -0xb2a5e4fb -256 -256 -0 -0 -0 -5 -0x2a14 -0xc574283e -256 -256 -0 -0 -0 -3 -0xb10a -0x6cd72398 -256 -256 -1 -0 -0 -10 -0x594f -0xe73601d -256 -256 -1 -0 -0 -8 -0xb91a -0xa1732c69 -256 -256 -1 -0 -0 -3 -0x2533 -0x395176f8 -256 -256 -0 -0 -0 -2 -0xfdf3 -0x5c820012 -256 -256 -1 -0 -0 -8 -0x7eb -0xe46b65c7 -256 -256 -1 -0 -0 -6 -0x4ff9 -0xda1caa1c -256 -256 -1 -0 -0 -2 -0x5086 -0xa671c3f5 -256 -256 -0 -0 -0 -9 -0x2172 -0x52f70cb7 -256 -256 -0 -0 -0 -4 -0xe46a -0xa46478e2 -256 -256 -0 -0 -0 -1 -0xe880 -0xc965c4f7 -256 -256 -1 -0 -0 -3 -0x9150 -0x217b36c7 -256 -256 -1 -0 -0 -1 -0x7c19 -0x44742817 -256 -256 -0 -0 -0 -4 -0xb22a -0x775ae56 -256 -256 -0 -0 -0 -7 -0xfb5 -0x128e48a -256 -256 -0 -0 -0 -6 -0x218f -0x813e3697 -256 -256 -0 -0 -0 -5 -0x9048 -0xbf8922a -256 -256 -0 -0 -0 -7 -0x1456 -0xdf121a77 -256 -256 -0 -0 -0 -9 -0xd801 -0x700038d -256 -256 -1 -0 -0 -4 -0xebdd -0xb4de76c8 -256 -256 -0 -0 -0 -2 -0x459c -0xa7afe360 -256 -256 -0 -0 -0 -10 -0x61f6 -0xb58deae3 -256 -256 -1 -0 -0 -10 -0x67d -0x37a83dda -256 -256 -1 -0 -0 -1 -0x6041 -0x6528aa2c -256 -256 -0 -0 -0 -10 -0x45d4 -0x7306fcb2 -256 -256 -0 -0 -0 -8 -0x51bd -0x3add10da -256 -256 -1 -0 -0 -2 -0x9148 -0x8002739a -256 -256 -1 -0 -0 -7 -0x3d27 -0x2adf6dd0 -256 -256 -1 -0 -0 -1 -0xe064 -0x85f9efb5 -256 -256 -1 -0 -0 -5 -0xff1e -0xf95a24da -256 -256 -1 -0 -0 -2 -0x93e9 -0xc04c732b -256 -256 -1 -0 -0 -9 -0x1a97 -0x1d4d9358 -256 -256 -1 -0 -0 -10 -0x3f63 -0x6f99ede9 -256 -256 -1 -0 -0 -4 -0x3245 -0x21fb7c0f -256 -256 -1 -0 -0 -4 -0xcd9f -0x560c81d6 -256 -256 -0 -0 -0 -10 -0x3f10 -0x36183853 -256 -256 -0 -0 -0 -9 -0x4737 -0x255e97c6 -256 -256 -0 -0 -0 -3 -0x2859 -0x62ddea11 -256 -256 -0 -0 -0 -2 -0x95fe -0x15d2abd7 -256 -256 -0 -0 -0 -10 -0x2cda -0x4fc2a2d1 -256 -256 -1 -0 -0 -4 -0x6694 -0xaf0b6e45 -256 -256 -1 -0 -0 -8 -0x30cf -0xd63c5d4 -256 -256 -1 -0 -0 -9 -0x2dd2 -0xc1d7dcb4 -256 -256 -1 -0 -0 -5 -0xaa40 -0x44081dff -256 -256 -0 -0 -0 -6 -0x8124 -0x9e92a5c2 -256 -256 -1 -0 -0 -10 -0x3216 -0xf6b7674d -256 -256 -1 -0 -0 -6 -0xe1b7 -0x5db6dfc7 -256 -256 -0 -0 -0 -10 -0x7c53 -0x1591d2fc -256 -256 -0 -0 -0 -4 -0x50a7 -0x273c2e60 -256 -256 -0 -0 -0 -5 -0x477b -0x39ac89eb -256 -256 -1 -0 -0 -3 -0xb7e6 -0x74d43de9 -256 -256 -0 -0 -0 -2 -0x5f13 -0xd39949b1 -256 -256 -0 -0 -0 -6 -0x62e9 -0xf62ef432 -256 -256 -1 -0 -0 -10 -0xb7a4 -0xc278c19e -256 -256 -0 -0 -0 -2 -0x54d0 -0x97060e1 -256 -256 -0 -0 -0 -5 -0x5a16 -0xae03592b -256 -256 -0 -0 -0 -2 -0x75c3 -0xfe95c0de -256 -256 -1 -0 -0 -3 -0xa5ac -0xa21b6da1 -256 -256 -1 -0 -0 -2 -0xfd46 -0x6b1ac49d -256 -256 -1 -0 -0 -4 -0xacbb -0x70be3268 -256 -256 -0 -0 -0 -6 -0x2b11 -0xb81762c -256 -256 -0 -0 -0 -1 -0xbe16 -0xdfa7aad4 -256 -256 -1 -0 -0 -4 -0xa1cf -0x9816d238 -256 -256 -0 -0 -0 -2 -0x797a -0xfe203704 -256 -256 -0 -0 -0 -9 -0x9c27 -0xd2f4d31d -256 -256 -1 -0 -0 -7 -0x1506 -0xe88c584d -256 -256 -0 -0 -0 -1 -0xd464 -0x94c5754c -256 -256 -0 -0 -0 -1 -0x7592 -0xa2c03ae4 -256 -256 -1 -0 -0 -6 -0x88e3 -0x1f60977f -256 -256 -1 -0 -0 -1 -0xe759 -0x98b83ed2 -256 -256 -1 -0 -0 -2 -0xb70c -0x5152236c -256 -256 -0 -0 -0 -2 -0x8a8b -0x7b20e301 -256 -256 -0 -0 -0 -1 -0xeaaa -0x9b86c035 -256 -256 -0 -0 -0 -2 -0x83fb -0xe0d7ac50 -256 -256 -1 -0 -0 -2 -0x1c25 -0xbb316dff -256 -256 -0 -0 -0 -2 -0x6a6f -0x924015c8 -256 -256 -0 -0 -0 -8 -0xe45d -0xf1461cd7 -256 -256 -0 -0 -0 -7 -0x949e -0x91e70b28 -256 -256 -0 -0 -0 -5 -0xdf7 -0x44f57b4f -256 -256 -1 -0 -0 -8 -0x3f2 -0x77c8bb9a -256 -256 -0 -0 -0 -4 -0xe5c8 -0x6ac4ede1 -256 -256 -1 -0 -0 -2 -0xc09a -0xf36e8d82 -256 -256 -1 -0 -0 -4 -0x6c9c -0x497b5b7f -256 -256 -1 -0 -0 -8 -0x7cfd -0x52800eab -256 -256 -0 -0 -0 -6 -0x48d5 -0x6bc8977e -256 -256 -1 -0 -0 -4 -0x5d39 -0xfcb4c49f -256 -256 -1 -0 -0 -4 -0xb5ee -0xbb7a851e -256 -256 -0 -0 -0 -4 -0xb9a1 -0x59504339 -256 -256 -1 -0 -0 -5 -0x57aa -0x84d9072f -256 -256 -1 -0 -0 -3 -0xef49 -0xd4d822fa -256 -256 -0 -0 -0 -10 -0xbc8c -0x119771e7 -256 -256 -1 -0 -0 -10 -0xfbfa -0x5f761378 -256 -256 -1 -0 -0 -3 -0xc05d -0x332d103d -256 -256 -0 -0 -0 -8 -0x8d4e -0x512cbca2 -256 -256 -1 -0 -0 -10 -0xfc23 -0x5ddb3c5c -256 -256 -1 -0 -0 -2 -0x7d0b -0x5e4b6a31 -256 -256 -1 -0 -0 -2 -0x6b7e -0x1306ca1b -256 -256 -1 -0 -0 -4 -0x3126 -0xceb261de -256 -256 -0 -0 -0 -7 -0xf3c9 -0x5a2a85cf -256 -256 -0 -0 -0 -4 -0xbd58 -0xafa1fd83 -256 -256 -1 -0 -0 -1 -0xdf55 -0xa1a63bdc -256 -256 -1 -0 -0 -6 -0x39df -0x900e43fa -256 -256 -1 -0 -0 -9 -0xf9f6 -0x9761a250 -256 -256 -0 -0 -0 -5 -0x7106 -0x26f1f341 -256 -256 -0 -0 -0 -2 -0x86a7 -0xa2a45fe0 -256 -256 -0 -0 -0 -5 -0x4e62 -0x4a0f9997 -256 -256 -0 -0 -0 -3 -0x27c7 -0xd14f51e4 -256 -256 -1 -0 -0 -7 -0xec7 -0xa309f22c -256 -256 -1 -0 -0 -5 -0x7438 -0xbecbe834 -256 -256 -1 -0 -0 -9 -0x8109 -0x233ae630 -256 -256 -1 -0 -0 -8 -0x9a4a -0xfa1f1d70 -256 -256 -0 -0 -0 -3 -0x52bf -0xea2dd3d3 -256 -256 -0 -0 -0 -7 -0xcd3 -0x7f4ff3df -256 -256 -0 -0 -0 -8 -0x25dd -0xdc96e6e9 -256 -256 -0 -0 -0 -5 -0xfeb6 -0xc94ff3b -256 -256 -1 -0 -0 -6 -0xd9ed -0x80d5aaa6 -256 -256 -1 -0 -0 -7 -0x4d65 -0x3b89b302 -256 -256 -0 -0 -0 -3 -0x70f8 -0x4537a758 -256 -256 -0 -0 -0 -6 -0xe8f4 -0x398b32d8 -256 -256 -1 -0 -0 -9 -0xf179 -0x88aae308 -256 -256 -1 -0 -0 -10 -0xa8d0 -0xacd8b206 -256 -256 -1 -0 -0 -10 -0xf0dd -0xe7dfa104 -256 -256 -0 -0 -0 -5 -0xb4cd -0xf72488f -256 -256 -1 -0 -0 -6 -0xa0c3 -0xa3b97a8a -256 -256 -0 -0 -0 -9 -0x734a -0xf42c2ba6 -256 -256 -0 -0 -0 -7 -0xd454 -0xc6f78013 -256 -256 -0 -0 -0 -6 -0xd107 -0xe6e0d327 -256 -256 -0 -0 -0 -5 -0x7ea8 -0x98819c80 -256 -256 -0 -0 -0 -8 -0xb551 -0x2b09fdec -256 -256 -0 -0 -0 -6 -0xdd6b -0x8b631447 -256 -256 -0 -0 -0 -10 -0xfa13 -0x884aff4f -256 -256 -1 -0 -0 -8 -0xfe08 -0x7e59ea -256 -256 -0 -0 -0 -7 -0xb25f -0x657119c5 -256 -256 -1 -0 -0 -4 -0xb924 -0xe1bcf0bf -256 -256 -0 -0 -0 -8 -0xfa32 -0x6a1c30c2 -256 -256 -1 -0 -0 -9 -0xe892 -0xe71fecd7 -256 -256 -1 -0 -0 -1 -0xaa24 -0x20c0bd9 -256 -256 -1 -0 -0 -10 -0xb510 -0x2b4938de -256 -256 -0 -0 -0 -10 -0x54bd -0x7bc4c8d8 -256 -256 -1 -0 -0 -3 -0x3fc2 -0x38b30585 -256 -256 -0 -0 -0 -6 -0x45d3 -0xcf75f1c3 -256 -256 -0 -0 -0 -7 -0xdd6f -0xe13a9e6b -256 -256 -0 -0 -0 -6 -0xfdf1 -0x6e975f41 -256 -256 -0 -0 -0 -10 -0xe430 -0x119a59cc -256 -256 -0 -0 -0 -3 -0x54e6 -0xcce2b53e -256 -256 -1 -0 -0 -6 -0xf205 -0x84dc9a9a -256 -256 -0 -0 -0 -9 -0x864b -0x5cc0c54f -256 -256 -0 -0 -0 -4 -0x3956 -0x35e2c9c -256 -256 -0 -0 -0 -5 -0x4994 -0x3f73703e -256 -256 -1 -0 -0 -5 -0x9095 -0x25cc26fc -256 -256 -0 -0 -0 -9 -0xeb93 -0x70c7e779 -256 -256 -0 -0 -0 -8 -0x8604 -0xa91c6670 -256 -256 -0 -0 -0 -8 -0x3898 -0x5ad97b80 -256 -256 -1 -0 -0 -2 -0x7643 -0x686fb7c8 -256 -256 -0 -0 -0 -5 -0x9988 -0x8658b3c0 -256 -256 -1 -0 -0 -3 -0xcd2e -0xe4f1b1ba -256 -256 -0 -0 -0 -5 -0x27cd -0xb5778719 -256 -256 -0 -0 -0 -4 -0x37b7 -0x4e6c8f42 -256 -256 -1 -0 -0 -7 -0x69ea -0x4cac9d42 -256 -256 -1 -0 -0 -6 -0xda62 -0x91944283 -256 -256 -1 -0 -0 -1 -0xab48 -0x39072a6f -256 -256 -0 -0 -0 -10 -0x616b -0xea55fa53 -256 -256 -0 -0 -0 -1 -0x24d9 -0x67f2922e -256 -256 -0 -0 -0 -7 -0xc0c0 -0x95a68157 -256 -256 -1 -0 -0 -3 -0xf039 -0x454829cd -256 -256 -1 -0 -0 -7 -0x46ec -0xc5b0dba8 -256 -256 -0 -0 -0 -2 -0x7827 -0x3d18026e -256 -256 -1 -0 -0 -8 -0x1d73 -0xd3a0ed2c -256 -256 -1 -0 -0 -2 -0x9e2a -0xe8ab3671 -256 -256 -1 -0 -0 -7 -0x6d81 -0x66076b17 -256 -256 -0 -0 -0 -1 -0xca06 -0xe1b62e9c -256 -256 -0 -0 -0 -1 -0xe817 -0x2808953f -256 -256 -1 -0 -0 -4 -0x1359 -0xd522c7c5 -256 -256 -0 -0 -0 -3 -0x4864 -0x5f7dcf69 -256 -256 -0 -0 -0 -9 -0x529c -0xd99fb2da -256 -256 -0 -0 -0 -3 -0x5514 -0x7ef0475f -256 -256 -0 -0 -0 -2 -0x830d -0xa9d9bfc6 -256 -256 -1 -0 -0 -8 -0x81b2 -0xe682f35a -256 -256 -0 -0 -0 -10 -0x49dc -0xc9690230 -256 -256 -1 -0 -0 -7 -0x4f84 -0xc6636f65 -256 -256 -1 -0 -0 -6 -0x2e83 -0xa250c790 -256 -256 -1 -0 -0 -2 -0xa6e7 -0x23e0e4f6 -256 -256 -1 -0 -0 -3 -0xe274 -0xcaccb2e -256 -256 -1 -0 -0 -4 -0x5131 -0xe43ced9d -256 -256 -1 -0 -0 -3 -0x4f65 -0xd098be24 -256 -256 -0 -0 -0 -8 -0xdfde -0xed8bfbbd -256 -256 -0 -0 -0 -10 -0xc07b -0x549e616d -256 -256 -0 -0 -0 -8 -0xd79d -0x8dfe0705 -256 -256 -0 -0 -0 -3 -0x8111 -0x19e568e1 -256 -256 -0 -0 -0 -6 -0x482e -0x1e228d7c -256 -256 -1 -0 -0 -1 -0x7dde -0xc691b3b4 -256 -256 -1 -0 -0 -9 -0x8d2 -0xc9da2a09 -256 -256 -0 -0 -0 -6 -0x6bd9 -0xb90c4a88 -256 -256 -1 -0 -0 -2 -0xf7c8 -0x5e88c3e1 -256 -256 -0 -0 -0 -3 -0xc0ee -0xee87add5 -256 -256 -0 -0 -0 -3 -0x5ad3 -0x9024eb52 -256 -256 -0 -0 -0 -8 -0xa32e -0x3b69925d -256 -256 -0 -0 -0 -2 -0x787b -0x31062b08 -256 -256 -0 -0 -0 -6 -0x7713 -0x2332b629 -256 -256 -0 -0 -0 -5 -0x1ff5 -0x7fe80403 -256 -256 -1 -0 -0 -1 -0x3bc4 -0x5c16e3c2 -256 -256 -0 -0 -0 -9 -0x8c40 -0x71100d97 -256 -256 -1 -0 -0 -3 -0x3be7 -0x5f58460b -256 -256 -0 -0 -0 -6 -0x3ee2 -0xbffe598 -256 -256 -0 -0 -0 -9 -0x6f6a -0x19fc3d55 -256 -256 -1 -0 -0 -6 -0x41fd -0x6e676054 -256 -256 -1 -0 -0 -4 -0x7fa -0xc61d424d -256 -256 -1 -0 -0 -5 -0x67b4 -0xd6958e6f -256 -256 -0 -0 -0 -9 -0x7588 -0xfa7ee11d -256 -256 -1 -0 -0 -10 -0xc034 -0x363ac321 -256 -256 -1 -0 -0 -3 -0xc006 -0xb27c64e6 -256 -256 -0 -0 -0 -8 -0x363a -0x716760a1 -256 -256 -0 -0 -0 -4 -0x5deb -0x6b7166d -256 -256 -0 -0 -0 -10 -0x7ee3 -0xd2ce6bdc -256 -256 -0 -0 -0 -2 -0xfb -0x74159aba -256 -256 -0 -0 -0 -9 -0x5771 -0xd1260081 -256 -256 -1 -0 -0 -7 -0xb56e -0xed9121fa -256 -256 -1 -0 -0 -4 -0x8df6 -0x5bf71ffc -256 -256 -0 -0 -0 -9 -0x59c9 -0x37361dfa -256 -256 -1 -0 -0 -3 -0x3d76 -0x1f1a0e9b -256 -256 -1 -0 -0 -5 -0xec62 -0x81e6ad1d -256 -256 -1 -0 -0 -3 -0x35a5 -0xbcadc202 -256 -256 -1 -0 -0 -1 -0x51b0 -0x8ebf92a4 -256 -256 -0 -0 -0 -10 -0xdeb7 -0x128e24e5 -256 -256 -1 -0 -0 -9 -0x84c7 -0x27bbabc4 -256 -256 -0 -0 -0 -4 -0x5059 -0x708b93ac -256 -256 -1 -0 -0 -1 -0x7b36 -0x7942db40 -256 -256 -0 -0 -0 -2 -0xb735 -0x429d2102 -256 -256 -1 -0 -0 -3 -0xb1ba -0xe9db583c -256 -256 -1 -0 -0 -3 -0xef32 -0x3b0e2715 -256 -256 -1 -0 -0 -9 -0xb2ae -0xcf0d7a2 -256 -256 -0 -0 -0 -3 -0x394f -0x4c84410d -256 -256 -0 -0 -0 -6 -0xdb93 -0x4c13ff2d -256 -256 -0 -0 -0 -5 -0xdce7 -0xd8b204cf -256 -256 -0 -0 -0 -1 -0x5e93 -0xe3001893 -256 -256 -0 -0 -0 -10 -0xd183 -0xace9ffc1 -256 -256 -0 -0 -0 -1 -0x438 -0x5bcc0490 -256 -256 -1 -0 -0 -7 -0x34ca -0x144788f -256 -256 -1 -0 -0 -10 -0xe08a -0x593d2a0 -256 -256 -1 -0 -0 -8 -0xb65b -0xd932a0dc -256 -256 -0 -0 -0 -2 -0xa0fd -0xfe4a0308 -256 -256 -1 -0 -0 -3 -0x4039 -0xda91d948 -256 -256 -1 -0 -0 -3 -0xf524 -0x29e9c2a9 -256 -256 -1 -0 -0 -2 -0x7308 -0xb2c27bb5 -256 -256 -1 -0 -0 -3 -0xa301 -0xba75e19d -256 -256 -0 -0 -0 -6 -0xed96 -0xf86f0052 -256 -256 -0 -0 -0 -3 -0x780 -0x5e26d3c8 -256 -256 -1 -0 -0 -3 -0x5610 -0xd7735ed8 -256 -256 -0 -0 -0 -4 -0x7f8b -0xddb6d0a1 -256 -256 -0 -0 -0 -7 -0x16d0 -0xf6111c95 -256 -256 -1 -0 -0 -1 -0xf947 -0x6c9aa000 -256 -256 -0 -0 -0 -1 -0x50b9 -0xfc447539 -256 -256 -0 -0 -0 -6 -0x79f3 -0x68e6769a -256 -256 -1 -0 -0 -3 -0x1bfa -0xfdc0e9e -256 -256 -0 -0 -0 -9 -0x8a12 -0xaf83ec72 -256 -256 -1 -0 -0 -6 -0xe1be -0x256b80ed -256 -256 -0 -0 -0 -10 -0xa894 -0x371116a8 -256 -256 -1 -0 -0 -8 -0x7f27 -0x5b56ba01 -256 -256 -1 -0 -0 -3 -0x8b7d -0xd52ef060 -256 -256 -1 -0 -0 -2 -0xb29e -0x9516cb92 -256 -256 -1 -0 -0 -9 -0x1370 -0x2189a7c0 -256 -256 -1 -0 -0 -5 -0x91e2 -0x15585ea6 -256 -256 -0 -0 -0 -5 -0xf4f -0xa2b1aee2 -256 -256 -0 -0 -0 -7 -0x94be -0x93ae280d -256 -256 -0 -0 -0 -8 -0x2f1b -0x70157520 -256 -256 -0 -0 -0 -1 -0xd02d -0xd4763746 -256 -256 -0 -0 -0 -4 -0x75c3 -0x36b4576c -256 -256 -0 -0 -0 -8 -0xb9b4 -0xde6b54cf -256 -256 -1 -0 -0 -9 -0xb43b -0xa66330 -256 -256 -0 -0 -0 -8 -0x7e7 -0x49a8afaf -256 -256 -1 -0 -0 -7 -0x8a24 -0x1e032dac -256 -256 -0 -0 -0 -1 -0x688f -0x4029b1ef -256 -256 -1 -0 -0 -8 -0x4e6a -0x14c1f806 -256 -256 -0 -0 -0 -4 -0xe4c9 -0xcf621af4 -256 -256 -0 -0 -0 -1 -0xb7e -0xc093a085 -256 -256 -1 -0 -0 -3 -0xda8b -0x7bb7b3d7 -256 -256 -1 -0 -0 -9 -0xb908 -0x20803116 -256 -256 -1 -0 -0 -1 -0x9309 -0x1894e8d9 -256 -256 -1 -0 -0 -8 -0x2c0b -0x90ea3370 -256 -256 -1 -0 -0 -4 -0x6c62 -0xd0be2299 -256 -256 -0 -0 -0 -6 -0x7bb0 -0xfcb39452 -256 -256 -1 -0 -0 -1 -0x682e -0xcf683ada -256 -256 -0 -0 -0 -1 -0x7fe6 -0xda336524 -256 -256 -1 -0 -0 -5 -0x5a6a -0x1d28d135 -256 -256 -0 -0 -0 -6 -0x7ed7 -0xfa6fc290 -256 -256 -1 -0 -0 -10 -0xa2de -0xa73ebe54 -256 -256 -1 -0 -0 -10 -0x56be -0xce76795c -256 -256 -0 -0 -0 -10 -0x66e -0x75cd4f89 -256 -256 -0 -0 -0 -5 -0xc935 -0x65a73f43 -256 -256 -0 -0 -0 -3 -0x5308 -0xa66b6baa -256 -256 -0 -0 -0 -2 -0x49a5 -0x55b5cba9 -256 -256 -1 -0 -0 -1 -0x4360 -0x25b4bd88 -256 -256 -0 -0 -0 -6 -0x7833 -0x6080fd84 -256 -256 -0 -0 -0 -3 -0xca55 -0xbafe7b75 -256 -256 -0 -0 -0 -3 -0x5851 -0x9c0d84ff -256 -256 -1 -0 -0 -5 -0x477d -0xdbc947c4 -256 -256 -0 -0 -0 -7 -0x8deb -0xe8302d84 -256 -256 -1 -0 -0 -8 -0x2ab2 -0xe1077bac -256 -256 -1 -0 -0 -5 -0x7fc2 -0xc053d04c -256 -256 -0 -0 -0 -4 -0x42e3 -0x4f6deff1 -256 -256 -0 -0 -0 -8 -0x524d -0xa8373961 -256 -256 -0 -0 -0 -5 -0xcb61 -0x5960d622 -256 -256 -0 -0 -0 -1 -0x2996 -0x5cdbcb09 -256 -256 -0 -0 -0 -9 -0x23f -0xba20e4c4 -256 -256 -0 -0 -0 -1 -0x82b3 -0xe04238f6 -256 -256 -0 -0 -0 -3 -0x1176 -0x413d6687 -256 -256 -0 -0 -0 -1 -0xfd47 -0x8eaba05b -256 -256 -0 -0 -0 -9 -0xbfa4 -0x3e07eb20 -256 -256 -0 -0 -0 -7 -0x1ce8 -0xe2aceed5 -256 -256 -0 -0 -0 -9 -0x4233 -0x9078f5c9 -256 -256 -1 -0 -0 -8 -0x5cd4 -0x1c0ff4ca -256 -256 -1 -0 -0 -5 -0x6925 -0x76de771a -256 -256 -0 -0 -0 -3 -0x7077 -0xb8b4ff14 -256 -256 -0 -0 -0 -10 -0x49fd -0xe3701a62 -256 -256 -1 -0 -0 -7 -0x57e7 -0x9065f965 -256 -256 -0 -0 -0 -8 -0xd10d -0xb9c19b18 -256 -256 -0 -0 -0 -8 -0x3cb6 -0x978d02f3 -256 -256 -0 -0 -0 -10 -0xc718 -0x328d4731 -256 -256 -0 -0 -0 -10 -0xcf90 -0xb2ef3415 -256 -256 -0 -0 -0 -9 -0x68d9 -0x20020700 -256 -256 -1 -0 -0 -1 -0xb281 -0x12bb6360 -256 -256 -0 -0 -0 -4 -0x3bc7 -0x17451431 -256 -256 -1 -0 -0 -9 -0x11b3 -0x855fb4ef -256 -256 -1 -0 -0 -1 -0xaeae -0x6d68a967 -256 -256 -1 -0 -0 -8 -0x6bc6 -0x81faa1c4 -256 -256 -1 -0 -0 -7 -0x8a1e -0x55d9b00c -256 -256 -1 -0 -0 -6 -0xe5da -0xf827d737 -256 -256 -1 -0 -0 -8 -0xde35 -0x46e39a58 -256 -256 -1 -0 -0 -1 -0x3108 -0xb33f3abe -256 -256 -0 -0 -0 -10 -0xe8ef -0xcc8dd54 -256 -256 -1 -0 -0 -2 -0x6d87 -0x3883629a -256 -256 -1 -0 -0 -7 -0xc895 -0xeb90d289 -256 -256 -1 -0 -0 -4 -0x5dd0 -0xe3dc4645 -256 -256 -0 -0 -0 -1 -0x1480 -0xe23ba274 -256 -256 -1 -0 -0 -2 -0xa7d2 -0xa468d42a -256 -256 -1 -0 -0 -10 -0x4d8 -0xd743ed17 -256 -256 -1 -0 -0 -1 -0x48dd -0xd6896aad -256 -256 -1 -0 -0 -3 -0xa2c1 -0x1626a5b8 -256 -256 -1 -0 -0 -4 -0x1873 -0x2d8f0d0d -256 -256 -1 -0 -0 -3 -0x9bfe -0x9e53694d -256 -256 -1 -0 -0 -9 -0x9141 -0x9fb07cea -256 -256 -1 -0 -0 -8 -0xec7 -0xf9b6550c -256 -256 -1 -0 -0 -3 -0x79d -0xe00d848e -256 -256 -0 -0 -0 -3 -0x1116 -0x32e86c0b -256 -256 -0 -0 -0 -1 -0xaed9 -0x9e653846 -256 -256 -1 -0 -0 -6 -0x3db7 -0xcb95c632 -256 -256 -1 -0 -0 -9 -0xf723 -0xbcd50138 -256 -256 -1 -0 -0 -2 -0x418d -0x77331905 -256 -256 -0 -0 -0 -2 -0x11d0 -0xa479cdc6 -256 -256 -1 -0 -0 -10 -0x1282 -0xce57036a -256 -256 -1 -0 -0 -9 -0x73aa -0xb8c2cc56 -256 -256 -1 -0 -0 -5 -0x33b7 -0xfe1971c0 -256 -256 -0 -0 -0 -5 -0xe742 -0x4c4798dd -256 -256 -0 -0 -0 -8 -0x9317 -0xb8c20cbb -256 -256 -1 -0 -0 -5 -0x38dc -0x96d8b8ad -256 -256 -0 -0 -0 -3 -0xb55 -0x9a24526a -256 -256 -1 -0 -0 -9 -0x7c06 -0x3a4a2aad -256 -256 -0 -0 -0 -4 -0xe3ed -0xf7f69f4d -256 -256 -0 -0 -0 -10 -0xae07 -0x8100a63d -256 -256 -1 -0 -0 -3 -0x3ec8 -0xeb584c94 -256 -256 -1 -0 -0 -6 -0xa5fe -0xd907768f -256 -256 -0 -0 -0 -4 -0xff05 -0x7985fd32 -256 -256 -0 -0 -0 -6 -0x6a67 -0xf9902b5c -256 -256 -1 -0 -0 -10 -0xb2b5 -0x30fc3457 -256 -256 -1 -0 -0 -3 -0x41d2 -0x30cb7cf7 -256 -256 -1 -0 -0 -7 -0xdcbf -0x87282c08 -256 -256 -0 -0 -0 -10 -0xd3dc -0x5c9c923 -256 -256 -1 -0 -0 -3 -0xa141 -0x5ee207fa -256 -256 -1 -0 -0 -1 -0x36ff -0x1934a328 -256 -256 -0 -0 -0 -3 -0xb50e -0xfbc6983e -256 -256 -1 -0 -0 -2 -0xaa46 -0xe6d0e957 -256 -256 -1 -0 -0 -3 -0xb184 -0xb3916867 -256 -256 -0 -0 -0 -9 -0x79f3 -0xf03eeb09 -256 -256 -1 -0 -0 -5 -0xaaa5 -0x3486c46a -256 -256 -1 -0 -0 -1 -0xcf06 -0x88270f8c -256 -256 -1 -0 -0 -8 -0x42c4 -0xaedf9eb7 -256 -256 -1 -0 -0 -6 -0xc057 -0xe5bb4d01 -256 -256 -0 -0 -0 -1 -0x3460 -0xcccb5e78 -256 -256 -0 -0 -0 -7 -0x8f7d -0xbc6e88d4 -256 -256 -0 -0 -0 -4 -0xd289 -0x9d68e6f7 -256 -256 -1 -0 -0 -5 -0x95e9 -0x7368e554 -256 -256 -0 -0 -0 -10 -0x557e -0x648c21b5 -256 -256 -0 -0 -0 -1 -0xe2c0 -0xf7f861aa -256 -256 -1 -0 -0 -6 -0x6965 -0x7248679b -256 -256 -1 -0 -0 -2 -0x65be -0xd39ff68e -256 -256 -1 -0 -0 -8 -0xfabc -0x3688b8ea -256 -256 -0 -0 -0 -7 -0x9c06 -0x367a3784 -256 -256 -0 -0 -0 -6 -0xc6d9 -0xb8a80e82 -256 -256 -1 -0 -0 -10 -0x36e0 -0x76502a8b -256 -256 -0 -0 -0 -2 -0xb4e9 -0x91da6032 -256 -256 -1 -0 -0 -5 -0x90ae -0xd8e2ce79 -256 -256 -1 -0 -0 -1 -0x9ff5 -0xbd7123be -256 -256 -0 -0 -0 -4 -0x51db -0xa32b0dff -256 -256 -0 -0 -0 -2 -0xc73c -0x651bff28 -256 -256 -1 -0 -0 -2 -0xaada -0xede7d878 -256 -256 -1 -0 -0 -1 -0x8b3e -0x262c1d09 -256 -256 -1 -0 -0 -6 -0x7961 -0xd2275717 -256 -256 -0 -0 -0 -5 -0xc3c1 -0x9540897b -256 -256 -0 -0 -0 -8 -0x5f05 -0x6113544a -256 -256 -0 -0 -0 -4 -0x6141 -0xb08af3e1 -256 -256 -1 -0 -0 -10 -0x171d -0x6df67ee7 -256 -256 -0 -0 -0 -3 -0xa413 -0xd60a4887 -256 -256 -0 -0 -0 -7 -0xfa78 -0x20ace239 -256 -256 -0 -0 -0 -6 -0x318a -0xd9e758f6 -256 -256 -1 -0 -0 -6 -0xd76e -0x4199e8f6 -256 -256 -0 -0 -0 -4 -0xc34c -0xe2c09de7 -256 -256 -1 -0 -0 -1 -0x560c -0xcb1c4abb -256 -256 -1 -0 -0 -2 -0x6865 -0x1728106a -256 -256 -1 -0 -0 -8 -0xafad -0xeca2e35b -256 -256 -1 -0 -0 -2 -0x5eb1 -0x2c50925f -256 -256 -0 -0 -0 -9 -0x3787 -0xf0d55762 -256 -256 -0 -0 -0 -8 -0x6ed7 -0x3a3c84fb -256 -256 -1 -0 -0 -10 -0x8e84 -0xc847258b -256 -256 -1 -0 -0 -1 -0x6bdc -0x74133932 -256 -256 -1 -0 -0 -1 -0xd201 -0xd2130ac8 -256 -256 -0 -0 -0 -8 -0x975f -0xf9eba304 -256 -256 -0 -0 -0 -1 -0x849a -0xd1cf6b15 -256 -256 -1 -0 -0 -10 -0x3a77 -0x3023318b -256 -256 -0 -0 -0 -10 -0xded -0xbe25f62e -256 -256 -0 -0 -0 -5 -0x4528 -0x4c2b9232 -256 -256 -0 -0 -0 -5 -0x2711 -0x3c6a1a80 -256 -256 -0 -0 -0 -5 -0xbcd5 -0x7cc5dd44 -256 -256 -1 -0 -0 -6 -0x167f -0x900d659 -256 -256 -0 -0 -0 -8 -0x4102 -0xc07c1638 -256 -256 -0 -0 -0 -4 -0x5768 -0xa840efb -256 -256 -1 -0 -0 -2 -0xe95 -0x68d77a7 -256 -256 -1 -0 -0 -2 -0xed7e -0xed8b5380 -256 -256 -1 -0 -0 -2 -0x76fc -0xddad357d -256 -256 -1 -0 -0 -10 -0xfc3e -0x8dba6c81 -256 -256 -1 -0 -0 -8 -0xc5bb -0x8ab80fa -256 -256 -1 -0 -0 -9 -0x36a -0xa7620a49 -256 -256 -0 -0 -0 -4 -0x42f9 -0x5364f7e8 -256 -256 -1 -0 -0 -2 -0x3d09 -0x1020cf80 -256 -256 -0 -0 -0 -7 -0xff11 -0x7b772e59 -256 -256 -0 -0 -0 -8 -0xd691 -0x7a726feb -256 -256 -1 -0 -0 -8 -0xeccd -0x6724b037 -256 -256 -1 -0 -0 -9 -0xe080 -0x29817dae -256 -256 -1 -0 -0 -10 -0xa7fa -0x2543f5d2 -256 -256 -0 -0 -0 -4 -0xd3f7 -0xa77d8ffc -256 -256 -0 -0 -0 -2 -0xaa37 -0xf64e4db6 -256 -256 -1 -0 -0 -5 -0x6e5e -0x1dd509bf -256 -256 -0 -0 -0 -2 -0xdbf8 -0xbb7710a5 -256 -256 -1 -0 -0 -6 -0x1397 -0x89faabab -256 -256 -0 -0 -0 -5 -0x41a4 -0x1665fa41 -256 -256 -0 -0 -0 -8 -0xebf6 -0x9a39038f -256 -256 -1 -0 -0 -8 -0x6c0d -0x52ac092 -256 -256 -0 -0 -0 -8 -0x3c0f -0x1c340a03 -256 -256 -1 -0 -0 -1 -0x8de9 -0x114069fb -256 -256 -1 -0 -0 -1 -0xbd32 -0x5421ae40 -256 -256 -0 -0 -0 -1 -0xec7 -0xfd990384 -256 -256 -1 -0 -0 -1 -0xb559 -0xb0e1da11 -256 -256 -1 -0 -0 -5 -0x25d2 -0x61d2f0ff -256 -256 -1 -0 -0 -3 -0x1e3e -0x51b6cb95 -256 -256 -0 -0 -0 -10 -0x8825 -0x721c7560 -256 -256 -0 -0 -0 -8 -0x807c -0x708967b6 -256 -256 -0 -0 -0 -6 -0x8d09 -0xfd079ca0 -256 -256 -1 -0 -0 -1 -0x6a4e -0x954e422c -256 -256 -0 -0 -0 -6 -0x4eee -0x15bbe1cb -256 -256 -1 -0 -0 -8 -0xc930 -0x6447c342 -256 -256 -0 -0 -0 -7 -0xa61e -0xd1e019bf -256 -256 -1 -0 -0 -7 -0x4805 -0xe05be6e2 -256 -256 -0 -0 -0 -9 -0xe0a5 -0x8bc3b1fb -256 -256 -1 -0 -0 -1 -0x44a7 -0x35b161d6 -256 -256 -1 -0 -0 -8 -0x22a5 -0xaa7ac57c -256 -256 -0 -0 -0 -8 -0x13c4 -0xf1a6c29e -256 -256 -0 -0 -0 -2 -0x10da -0x80449a9a -256 -256 -1 -0 -0 -5 -0x11a5 -0xa5b61a0a -256 -256 -0 -0 -0 -9 -0x82eb -0x460ebab2 -256 -256 -1 -0 -0 -5 -0x514e -0x2f1c379b -256 -256 -1 -0 -0 -8 -0x4594 -0x9096b6d0 -256 -256 -1 -0 -0 -8 -0xe232 -0x88efd3d7 -256 -256 -1 -0 -0 -1 -0x1d86 -0x9ade178b -256 -256 -1 -0 -0 -8 -0xb5d9 -0xb943f174 -256 -256 -1 -0 -0 -6 -0x690c -0x4d700a42 -256 -256 -0 -0 -0 -8 -0xba6 -0x5879cec9 -256 -256 -1 -0 -0 -2 -0xedf3 -0x72eaa0c4 -256 -256 -0 -0 -0 -2 -0xdf97 -0x93297dce -256 -256 -1 -0 -0 -9 -0x1739 -0x150d3d7a -256 -256 -1 -0 -0 -1 -0xfb21 -0xfb72cfe5 -256 -256 -0 -0 -0 -9 -0x719a -0xa1a00589 -256 -256 -0 -0 -0 -5 -0xffec -0xcbdb0171 -256 -256 -1 -0 -0 -6 -0xe971 -0xba2839bc -256 -256 -0 -0 -0 -2 -0x2ae6 -0xa38509d8 -256 -256 -0 -0 -0 -10 -0xbbea -0x5322aebc -256 -256 -1 -0 -0 -4 -0x50f6 -0xddd8717b -256 -256 -1 -0 -0 -5 -0xb557 -0x1fee6be -256 -256 -1 -0 -0 -4 -0xc83d -0x5932732c -256 -256 -0 -0 -0 -8 -0x20ca -0xb559dcc1 -256 -256 -1 -0 -0 -3 -0xfe11 -0x9cf22746 -256 -256 -1 -0 -0 -9 -0xfe86 -0x5f2e483c -256 -256 -1 -0 -0 -3 -0x3335 -0x6fb53db3 -256 -256 -0 -0 -0 -6 -0xb198 -0xd81a3130 -256 -256 -0 -0 -0 -9 -0x2785 -0xf0d91e33 -256 -256 -1 -0 -0 -2 -0x16e7 -0xa35afa63 -256 -256 -0 -0 -0 -3 -0xe950 -0xdf240b68 -256 -256 -0 -0 -0 -2 -0x7df7 -0x2d9b68c8 -256 -256 -0 -0 -0 -7 -0x7fcf -0xe9d517fa -256 -256 -1 -0 -0 -10 -0x100c -0xf5efb0e -256 -256 -1 -0 -0 -6 -0x3318 -0xbe643271 -256 -256 -1 -0 -0 -9 -0x7df -0x27f355f0 -256 -256 -0 -0 -0 -5 -0x3a0a -0x2d97b0cd -256 -256 -1 -0 -0 -10 -0x1147 -0xd596693e -256 -256 -1 -0 -0 -3 -0x2ffb -0x569c1a00 -256 -256 -1 -0 -0 -8 -0x9505 -0x16d6c957 -256 -256 -0 -0 -0 -5 -0xbdc1 -0xa72f9c42 -256 -256 -0 -0 -0 -3 -0x365a -0x4ffd0015 -256 -256 -1 -0 -0 -7 -0xdaa2 -0xa75a9ef6 -256 -256 -0 -0 -0 -6 -0x5aa3 -0x3dbce0ff -256 -256 -0 -0 -0 -3 -0xbd4e -0xf598462 -256 -256 -1 -0 -0 -4 -0x48fa -0xd9c47477 -256 -256 -0 -0 -0 -9 -0x3b85 -0x3ba332f -256 -256 -0 -0 -0 -8 -0xd566 -0x1db0cf3f -256 -256 -0 -0 -0 -1 -0x1836 -0x1db5eea8 -256 -256 -1 -0 -0 -9 -0xf9a3 -0xff9426f8 -256 -256 -1 -0 -0 -6 -0xa59b -0x80942974 -256 -256 -0 -0 -0 -10 -0x2da8 -0x55666060 -256 -256 -1 -0 -0 -2 -0x9d60 -0x392e616c -256 -256 -0 -0 -0 -3 -0x9a23 -0x6d9ef510 -256 -256 -0 -0 -0 -3 -0x2a55 -0x24635082 -256 -256 -0 -0 -0 -4 -0xea19 -0xc34f40de -256 -256 -1 -0 -0 -3 -0xca54 -0x76b81b92 -256 -256 -1 -0 -0 -8 -0x7e6 -0x99619f7a -256 -256 -0 -0 -0 -2 -0xf49e -0x773afe3b -256 -256 -1 -0 -0 -7 -0x62ba -0x3d7a99c8 -256 -256 -1 -0 -0 -1 -0xd21b -0x2731eaf1 -256 -256 -0 -0 -0 -8 -0xaa86 -0xfa5cbfb5 -256 -256 -1 -0 -0 -4 -0x7ff0 -0xfb8c7af4 -256 -256 -1 -0 -0 -7 -0x68d -0xf934097a -256 -256 -1 -0 -0 -9 -0xa809 -0xd3e715f8 -256 -256 -1 -0 -0 -1 -0x9af -0x86af7814 -256 -256 -0 -0 -0 -5 -0xd19 -0xc24c540e -256 -256 -1 -0 -0 -10 -0x9625 -0xedbfd2fe -256 -256 -0 -0 -0 -5 -0xecda -0xd6be2abc -256 -256 -0 -0 -0 -3 -0xf30a -0xb8ac9ea7 -256 -256 -1 -0 -0 -8 -0xcf11 -0x3c062257 -256 -256 -0 -0 -0 -8 -0x3101 -0x4708a966 -256 -256 -1 -0 -0 -7 -0x12c -0x4fd46c9e -256 -256 -0 -0 -0 -4 -0xdc9a -0xed4ea17b -256 -256 -0 -0 -0 -3 -0xf7ec -0xbe007c2 -256 -256 -0 -0 -0 -6 -0x62c5 -0x4079813b -256 -256 -0 -0 -0 -2 -0x48e2 -0xfc0a25ae -256 -256 -0 -0 -0 -6 -0xb451 -0xdf6f2ee2 -256 -256 -0 -0 -0 -3 -0x473e -0xa91e2f1f -256 -256 -0 -0 -0 -6 -0xc154 -0x8e034b93 -256 -256 -0 -0 -0 -6 -0x12c5 -0xe0e9b5a5 -256 -256 -0 -0 -0 -7 -0x2ee -0x842ffc78 -256 -256 -0 -0 -0 -10 -0x2c87 -0xbab2b2ac -256 -256 -0 -0 -0 -8 -0xd4dc -0xa05dd179 -256 -256 -1 -0 -0 -9 -0x4f39 -0x79ba217d -256 -256 -1 -0 -0 -10 -0xa258 -0x90ff10a3 -256 -256 -0 -0 -0 -1 -0xe7cb -0x27eec6c9 -256 -256 -1 -0 -0 -8 -0xd89f -0xa195e905 -256 -256 -0 -0 -0 -5 -0x9de6 -0x5c4565ac -256 -256 -1 -0 -0 -10 -0xcf5f -0x609df8cc -256 -256 -0 -0 -0 -7 -0x5b58 -0x3fb72278 -256 -256 -1 -0 -0 -7 -0x2122 -0x10aeb399 -256 -256 -0 -0 -0 -1 -0xe5ed -0x774685fd -256 -256 -0 -0 -0 -10 -0xf4f4 -0x83bdea9d -256 -256 -1 -0 -0 -8 -0xe493 -0xc2b8336f -256 -256 -0 -0 -0 -7 -0x18fe -0x3816c222 -256 -256 -1 -0 -0 -4 -0xdb53 -0x9991dd9f -256 -256 -1 -0 -0 -6 -0x90fa -0x7a9e7210 -256 -256 -0 -0 -0 -7 -0x570e -0xaa41561d -256 -256 -1 -0 -0 -6 -0x34a8 -0x64bc7200 -256 -256 -1 -0 -0 -7 -0x7899 -0x5b427824 -256 -256 -1 -0 -0 -9 -0xf2ef -0xf20b5542 -256 -256 -1 -0 -0 -3 -0xe0ce -0x4a2654db -256 -256 -1 -0 -0 -7 -0x1c35 -0x299223a9 -256 -256 -0 -0 -0 -7 -0xbcdd -0xe1512f5f -256 -256 -0 -0 -0 -1 -0xf228 -0x23e0a8ae -256 -256 -0 -0 -0 -5 -0xe066 -0x437dabb9 -256 -256 -0 -0 -0 -6 -0xb370 -0x19a711a1 -256 -256 -1 -0 -0 -3 -0x23a7 -0xa1a2f482 -256 -256 -1 -0 -0 -3 -0x56e4 -0xe3e7c427 -256 -256 -1 -0 -0 -2 -0x2d5a -0x8e2921bb -256 -256 -1 -0 -0 -6 -0xaa6 -0x7acd8c92 -256 -256 -1 -0 -0 -6 -0x50e6 -0x7e110f4b -256 -256 -0 -0 -0 -8 -0xef2 -0xc498f6d4 -256 -256 -1 -0 -0 -10 -0xc04b -0xfef0156a -256 -256 -0 -0 -0 -8 -0x4e36 -0x53773ac5 -256 -256 -0 -0 -0 -9 -0x7fbb -0xaee768b6 -256 -256 -0 -0 -0 -1 -0x49f0 -0x5724d11d -256 -256 -1 -0 -0 -7 -0x2c49 -0x27903aed -256 -256 -0 -0 -0 -8 -0xca31 -0x83773dcf -256 -256 -0 -0 -0 -10 -0xc048 -0x6fafd5d8 -256 -256 -0 -0 -0 -4 -0x586f -0x6692ab0a -256 -256 -0 -0 -0 -10 -0x2ca9 -0xe93b12f1 -256 -256 -0 -0 -0 -10 -0xeb27 -0x1897266 -256 -256 -0 -0 -0 -8 -0x6879 -0xcec2fb47 -256 -256 -0 -0 -0 -3 -0xcd39 -0xd75740c7 -256 -256 -0 -0 -0 -7 -0x3cf -0xfdfbab80 -256 -256 -1 -0 -0 -5 -0x67de -0xd9750e59 -256 -256 -1 -0 -0 -9 -0xf7e8 -0x3fcf863 -256 -256 -1 -0 -0 -8 -0x4585 -0x6fcf6523 -256 -256 -1 -0 -0 -9 -0x487d -0x738332ca -256 -256 -0 -0 -0 -8 -0x5b4b -0x3423b433 -256 -256 -1 -0 -0 -4 -0x557 -0xf84414b0 -256 -256 -1 -0 -0 -9 -0x4d04 -0x44a13398 -256 -256 -0 -0 -0 -8 -0xb1b6 -0xfbcca2e8 -256 -256 -0 -0 -0 -2 -0xe915 -0x4ae0d903 -256 -256 -0 -0 -0 -1 -0x8c83 -0xd298354c -256 -256 -0 -0 -0 -8 -0x1811 -0xcbcee66e -256 -256 -0 -0 -0 -5 -0x8589 -0x3d96ac43 -256 -256 -0 -0 -0 -7 -0xc267 -0x5e544d13 -256 -256 -1 -0 -0 -5 -0x13d -0xf2d46d1f -256 -256 -1 -0 -0 -1 -0x1636 -0x1df37579 -256 -256 -1 -0 -0 -2 -0xf0a4 -0x6c36bf51 -256 -256 -0 -0 -0 -10 -0xe600 -0x39c29f92 -256 -256 -0 -0 -0 -6 -0x9223 -0x37ffff0c -256 -256 -0 -0 -0 -4 -0xab5f -0xfe9b1015 -256 -256 -1 -0 -0 -7 -0xb2ad -0x1c5c6572 -256 -256 -0 -0 -0 -9 -0x4b89 -0xf4b875b3 -256 -256 -0 -0 -0 -6 -0x2261 -0x7a5919d9 -256 -256 -1 -0 -0 -8 -0xbc8 -0x922abf78 -256 -256 -1 -0 -0 -7 -0xdf94 -0xee8e2d1c -256 -256 -0 -0 -0 -10 -0x4565 -0x51fe6acd -256 -256 -0 -0 -0 -1 -0xc09c -0xae645a21 -256 -256 -0 -0 -0 -8 -0x20f2 -0x98855cc -256 -256 -1 -0 -0 -9 -0x98ef -0xad5b2369 -256 -256 -0 -0 -0 -8 -0xbdca -0xd7c73c0c -256 -256 -1 -0 -0 -2 -0x114b -0x79a37396 -256 -256 -1 -0 -0 -10 -0x89b6 -0x302c2d4 -256 -256 -1 -0 -0 -4 -0x189c -0x1ef4dac7 -256 -256 -0 -0 -0 -3 -0x66e5 -0xf8bf0a01 -256 -256 -1 -0 -0 -10 -0x760b -0x9f3069bb -256 -256 -0 -0 -0 -4 -0xd88d -0x8a701434 -256 -256 -1 -0 -0 -9 -0xa04d -0xdb97a3d5 -256 -256 -1 -0 -0 -9 -0xdd37 -0x42f8bda3 -256 -256 -1 -0 -0 -10 -0x8a98 -0xcf600abd -256 -256 -0 -0 -0 -6 -0xecac -0x3fb2daab -256 -256 -1 -0 -0 -3 -0x8898 -0x3e839e80 -256 -256 -1 -0 -0 -9 -0x877f -0x90e41afb -256 -256 -1 -0 -0 -1 -0x235a -0xa4864b6b -256 -256 -1 -0 -0 -8 -0xe97d -0x6d468f2b -256 -256 -1 -0 -0 -9 -0x8067 -0xe229b5bb -256 -256 -1 -0 -0 -10 -0x8e85 -0x7d040e59 -256 -256 -0 -0 -0 -7 -0x3f78 -0x57fcfb43 -256 -256 -1 -0 -0 -1 -0xa249 -0xb81d15a0 -256 -256 -1 -0 -0 -9 -0x251e -0xc1bcc704 -256 -256 -1 -0 -0 -1 -0xd645 -0xb1a9e9da -256 -256 -0 -0 -0 -10 -0xf8a2 -0xe3ab8e54 -256 -256 -0 -0 -0 -8 -0xb891 -0x8c50cf51 -256 -256 -1 -0 -0 -3 -0xc9cc -0x6fc76b7b -256 -256 -1 -0 -0 -5 -0x8697 -0x1c0c76e0 -256 -256 -1 -0 -0 -3 -0x1c88 -0x54f67e1f -256 -256 -1 -0 -0 -6 -0x639d -0x2d1bd96e -256 -256 -1 -0 -0 -10 -0x6d08 -0x6b71f2e9 -256 -256 -0 -0 -0 -10 -0x8a84 -0xb398d336 -256 -256 -1 -0 -0 -3 -0x5936 -0x190899f3 -256 -256 -1 -0 -0 -2 -0xb7cd -0x520035ce -256 -256 -1 -0 -0 -8 -0xa061 -0xe73b9dfd -256 -256 -1 -0 -0 -3 -0x18be -0x5a684c30 -256 -256 -1 -0 -0 -7 -0xca35 -0x6203dbb0 -256 -256 -1 -0 -0 -1 -0xc7d1 -0x1a2391f1 -256 -256 -1 -0 -0 -9 -0xd3cf -0xa1f51310 -256 -256 -0 -0 -0 -2 -0x92cb -0xe734d456 -256 -256 -0 -0 -0 -7 -0x730 -0x32776603 -256 -256 -1 -0 -0 -9 -0x29d9 -0x4a038561 -256 -256 -1 -0 -0 -3 -0x1843 -0x64aef07e -256 -256 -1 -0 -0 -6 -0x7549 -0xbdfbaf98 -256 -256 -1 -0 -0 -9 -0xa66c -0x20e4ed97 -256 -256 -1 -0 -0 -2 -0xd16d -0x652caa10 -256 -256 -1 -0 -0 -5 -0xb9d1 -0x60d6c2f7 -256 -256 -0 -0 -0 -10 -0x85cd -0xc04bc2d4 -256 -256 -0 -0 -0 -1 -0xac1a -0xdbd54c49 -256 -256 -1 -0 -0 -4 -0x4430 -0x1a1827ec -256 -256 -1 -0 -0 -1 -0xf619 -0x7a3b95a0 -256 -256 -0 -0 -0 -1 -0xb8f4 -0x9b33cae1 -256 -256 -0 -0 -0 -9 -0x4599 -0xa5e416b9 -256 -256 -1 -0 -0 -8 -0x35fc -0xcc153efe -256 -256 -1 -0 -0 -1 -0x6e8d -0x9ace5f19 -256 -256 -0 -0 -0 -4 -0x9a54 -0x8c464ffe -256 -256 -1 -0 -0 -9 -0x78bd -0xbcb17dc2 -256 -256 -0 -0 -0 -9 -0x7290 -0x3e18180a -256 -256 -1 -0 -0 -7 -0xcb43 -0x3740e0f3 -256 -256 -0 -0 -0 -5 -0x4af6 -0xbed30888 -256 -256 -1 -0 -0 -4 -0xd060 -0x44a59cc -256 -256 -1 -0 -0 -1 -0x7e54 -0xde235e1f -256 -256 -0 -0 -0 -4 -0xf116 -0xb7e7afdf -256 -256 -1 -0 -0 -8 -0xb6e7 -0xdc509299 -256 -256 -0 -0 -0 -8 -0x9833 -0xda863df9 -256 -256 -0 -0 -0 -10 -0x672 -0x1731f5e6 -256 -256 -1 -0 -0 -3 -0x28a0 -0xaa4199c2 -256 -256 -1 -0 -0 -10 -0x517d -0xc302467a -256 -256 -0 -0 -0 -5 -0x4156 -0xd8744380 -256 -256 -1 -0 -0 -3 -0x5d35 -0x8ef5f528 -256 -256 -1 -0 -0 -9 -0xc3c1 -0x880cda4f -256 -256 -0 -0 -0 -4 -0x2364 -0x2509bec1 -256 -256 -1 -0 -0 -4 -0xc297 -0x96d45a5e -256 -256 -1 -0 -0 -4 -0x7cf1 -0xa0fff1ee -256 -256 -0 -0 -0 -5 -0x990d -0x1435025d -256 -256 -1 -0 -0 -9 -0x91f4 -0xbf28d033 -256 -256 -1 -0 -0 -5 -0x2063 -0x77b49f57 -256 -256 -0 -0 -0 -9 -0x768c -0xd3d901fd -256 -256 -0 -0 -0 -2 -0xb0bd -0x2e3d5433 -256 -256 -0 -0 -0 -4 -0x6ddc -0xf9f222bb -256 -256 -0 -0 -0 -8 -0xcacb -0xad64ba3f -256 -256 -1 -0 -0 -6 -0x97d9 -0xd4c84351 -256 -256 -1 -0 -0 -4 -0xcec5 -0x1e788145 -256 -256 -0 -0 -0 -9 -0x644e -0x7e209645 -256 -256 -1 -0 -0 -9 -0xcfb6 -0x75434562 -256 -256 -0 -0 -0 -10 -0x6f17 -0x4f5e4c3d -256 -256 -0 -0 -0 -4 -0x981f -0x4d8cc37d -256 -256 -1 -0 -0 -6 -0xe046 -0x1cf1303d -256 -256 -0 -0 -0 -6 -0x1ed9 -0x41c60e4e -256 -256 -0 -0 -0 -4 -0x76d3 -0x7fde7f8 -256 -256 -0 -0 -0 -5 -0x5c3 -0xdc4b7eda -256 -256 -0 -0 -0 -10 -0x647d -0x99c7b322 -256 -256 -1 -0 -0 -7 -0x5207 -0x5815d8b8 -256 -256 -1 -0 -0 -9 -0x5193 -0xe87e5f70 -256 -256 -0 -0 -0 -2 -0xa11f -0x70a5094c -256 -256 -1 -0 -0 -1 -0xc66c -0xe36b4f2a -256 -256 -1 -0 -0 -7 -0x5ea5 -0x39fb8e87 -256 -256 -0 -0 -0 -10 -0x18f0 -0xe1025749 -256 -256 -0 -0 -0 -4 -0xf05c -0x9ed23833 -256 -256 -0 -0 -0 -4 -0xd30 -0x29a63a90 -256 -256 -0 -0 -0 -3 -0x12c3 -0xc09daae7 -256 -256 -0 -0 -0 -6 -0xac15 -0xdb78cc2e -256 -256 -1 -0 -0 -1 -0xe3a3 -0x3383590d -256 -256 -1 -0 -0 -5 -0xc381 -0xc4d0065d -256 -256 -0 -0 -0 -10 -0xb8d8 -0xa70b9e02 -256 -256 -0 -0 -0 -4 -0xcaab -0xf5a32eff -256 -256 -1 -0 -0 -10 -0x6375 -0xbcfe23e5 -256 -256 -1 -0 -0 -9 -0xfc2e -0xdac382fe -256 -256 -1 -0 -0 -5 -0xf73b -0x69c08141 -256 -256 -1 -0 -0 -5 -0x3c1b -0x7c18f4cd -256 -256 -1 -0 -0 -2 -0xb719 -0x13828b7e -256 -256 -1 -0 -0 -1 -0xf802 -0x14570920 -256 -256 -1 -0 -0 -7 -0xfe63 -0xb5ec506f -256 -256 -1 -0 -0 -4 -0xf3bf -0x2878ca77 -256 -256 -0 -0 -0 -5 -0x9e22 -0x54180754 -256 -256 -1 -0 -0 -5 -0xd830 -0x3a8fa1e9 -256 -256 -0 -0 -0 -8 -0x2ccc -0x78b7e5b5 -256 -256 -1 -0 -0 -7 -0x43d2 -0x38a66b1b -256 -256 -0 -0 -0 -1 -0xa4a7 -0xa8c225fd -256 -256 -0 -0 -0 -10 -0xeb0a -0x6c2b5f8a -256 -256 -0 -0 -0 -8 -0xb050 -0xa31bc2d1 -256 -256 -0 -0 -0 -2 -0xee8 -0xbc0cc750 -256 -256 -0 -0 -0 -1 -0x44b5 -0x24bacc3e -256 -256 -0 -0 -0 -1 -0xfa47 -0x12f83c29 -256 -256 -0 -0 -0 -4 -0xe841 -0xe50c09f6 -256 -256 -0 -0 -0 -3 -0x87e7 -0x23a27e48 -256 -256 -1 -0 -0 -10 -0x983 -0x4b749d13 -256 -256 -0 -0 -0 -6 -0xdc6c -0x1e026874 -256 -256 -1 -0 -0 -3 -0x7532 -0x3619b63b -256 -256 -0 -0 -0 -4 -0x1bfe -0x19c616fd -256 -256 -0 -0 -0 -10 -0x40cb -0x328af10a -256 -256 -1 -0 -0 -7 -0x2ebc -0x7b84ac61 -256 -256 -0 -0 -0 -6 -0xa966 -0x28525b9b -256 -256 -1 -0 -0 -2 -0x3a38 -0xb22058f8 -256 -256 -1 -0 -0 -5 -0x1e56 -0x15db439b -256 -256 -1 -0 -0 -7 -0x369b -0x8496bdfa -256 -256 -1 -0 -0 -2 -0x8c9 -0xc19083cc -256 -256 -0 -0 -0 -4 -0xf4f4 -0x4741aae3 -256 -256 -1 -0 -0 -7 -0x9515 -0x9c517161 -256 -256 -1 -0 -0 -8 -0xd2b0 -0xcba78e2d -256 -256 -1 -0 -0 -7 -0x8214 -0x7a053a7a -256 -256 -1 -0 -0 -5 -0xca7a -0x135c5ea7 -256 -256 -1 -0 -0 -5 -0x98b9 -0x6ebf6a68 -256 -256 -0 -0 -0 -9 -0x1cbb -0xae32e08b -256 -256 -0 -0 -0 -10 -0xcc3 -0x9c129af0 -256 -256 -1 -0 -0 -1 -0xf608 -0xa2a5ea3f -256 -256 -0 -0 -0 -8 -0x7bf2 -0x8e9e68d3 -256 -256 -1 -0 -0 -6 -0x20bf -0xbf03d8cd -256 -256 -1 -0 -0 -9 -0xce3e -0x202ba2d5 -256 -256 -0 -0 -0 -1 -0x8c79 -0x3f307808 -256 -256 -0 -0 -0 -10 -0x95bb -0xc38ae9c7 -256 -256 -1 -0 -0 -3 -0x6689 -0xf19008fc -256 -256 -1 -0 -0 -3 -0xf7cf -0xf112dfd1 -256 -256 -0 -0 -0 -7 -0x46e0 -0x7ddbb7ef -256 -256 -0 -0 -0 -1 -0xd843 -0xd61bf804 -256 -256 -0 -0 -0 -2 -0x2d64 -0xd8d885a7 -256 -256 -0 -0 -0 -6 -0x22af -0x49a8a847 -256 -256 -0 -0 -0 -10 -0xaca -0x290529ab -256 -256 -0 -0 -0 -1 -0x1bb6 -0xda6a24b0 -256 -256 -1 -0 -0 -8 -0xebc6 -0xa66bece9 -256 -256 -0 -0 -0 -2 -0x8f22 -0x534a5710 -256 -256 -0 -0 -0 -8 -0xcb7 -0x61e6dd57 -256 -256 -1 -0 -0 -6 -0x448 -0x99dd0140 -256 -256 -1 -0 -0 -2 -0x2466 -0x89f9e740 -256 -256 -1 -0 -0 -2 -0x8fe3 -0xbcddc599 -256 -256 -1 -0 -0 -2 -0x5dc1 -0x304519dd -256 -256 -1 -0 -0 -5 -0x1a19 -0x49bc3d3f -256 -256 -1 -0 -0 -4 -0x91ce -0xa77c88f8 -256 -256 -0 -0 -0 -7 -0x8308 -0xdda6707c -256 -256 -0 -0 -0 -6 -0x1a2a -0xc9e17c88 -256 -256 -0 -0 -0 -5 -0xd077 -0x334db925 -256 -256 -1 -0 -0 -6 -0x10bb -0x5a16b8d6 -256 -256 -0 -0 -0 -10 -0x6a31 -0x92c690cb -256 -256 -0 -0 -0 -7 -0x60a -0x46a656a6 -256 -256 -1 -0 -0 -6 -0x86ed -0xce68ac54 -256 -256 -1 -0 -0 -2 -0x1d89 -0xb427451c -256 -256 -1 -0 -0 -5 -0x289c -0x5977ec06 -256 -256 -0 -0 -0 -5 -0x666e -0xd2bc597c -256 -256 -1 -0 -0 -9 -0xdfae -0x1dd23eb1 -256 -256 -0 -0 -0 -7 -0x432e -0xc8f54f3c -256 -256 -1 -0 -0 -9 -0x5dc4 -0x596c5859 -256 -256 -1 -0 -0 -7 -0x3f7f -0x95b0d4fa -256 -256 -1 -0 -0 -2 -0x362d -0x17c536e -256 -256 -1 -0 -0 -8 -0x4ae6 -0x9a1ebeeb -256 -256 -1 -0 -0 -7 -0x5e43 -0x7392ea8c -256 -256 -1 -0 -0 -7 -0xcf9c -0xb0de9ff -256 -256 -1 -0 -0 -1 -0xfa85 -0x81d9a819 -256 -256 -1 -0 -0 -5 -0xa587 -0x985b2695 -256 -256 -1 -0 -0 -5 -0xc01a -0x9659ac57 -256 -256 -1 -0 -0 -2 -0x329b -0x223f4472 -256 -256 -0 -0 -0 -10 -0xbfb9 -0xeb8e64ee -256 -256 -0 -0 -0 -9 -0x316c -0x380ffe5 -256 -256 -0 -0 -0 -9 -0x9360 -0xcdf892ec -256 -256 -1 -0 -0 -5 -0x8cc7 -0xc62d67cd -256 -256 -0 -0 -0 -2 -0x8599 -0x1e12cf4c -256 -256 -0 -0 -0 -8 -0x528f -0xd6bace89 -256 -256 -1 -0 -0 -1 -0x21ab -0xd027f0af -256 -256 -0 -0 -0 -9 -0x9d92 -0xe28ac053 -256 -256 -1 -0 -0 -1 -0x9c4a -0x36629b85 -256 -256 -0 -0 -0 -10 -0xf7b5 -0xd7b5b5af -256 -256 -1 -0 -0 -5 -0x38e8 -0x7bc6ef6e -256 -256 -1 -0 -0 -4 -0xff8 -0x207e6cb0 -256 -256 -1 -0 -0 -8 -0x4dc9 -0xd41e749a -256 -256 -0 -0 -0 -6 -0xcbd7 -0x6e8c72cc -256 -256 -1 -0 -0 -3 -0x3e18 -0xf02306bd -256 -256 -1 -0 -0 -1 -0xe8fc -0xd4dee915 -256 -256 -0 -0 -0 -2 -0x111c -0x77b98ba8 -256 -256 -1 -0 -0 -10 -0xa099 -0x40836b59 -256 -256 -1 -0 -0 -8 -0x6793 -0x451e1d40 -256 -256 -1 -0 -0 -8 -0xba23 -0xd7ed854b -256 -256 -0 -0 -0 -5 -0x8660 -0x6049f0e1 -256 -256 -0 -0 -0 -8 -0x1e6c -0xf09e64a1 -256 -256 -1 -0 -0 -4 -0x458 -0xc68ce88b -256 -256 -1 -0 -0 -2 -0x7314 -0x994eca36 -256 -256 -0 -0 -0 -8 -0x4afa -0xc44623bb -256 -256 -1 -0 -0 -4 -0x7237 -0x26d1d4d5 -256 -256 -1 -0 -0 -2 -0x64c7 -0xc82cc2ec -256 -256 -0 -0 -0 -10 -0x68c5 -0x27a77d91 -256 -256 -1 -0 -0 -1 -0xf932 -0xee46b690 -256 -256 -1 -0 -0 -5 -0xbedb -0xf961cec9 -256 -256 -1 -0 -0 -10 -0x33ab -0xaf79331a -256 -256 -0 -0 -0 -2 -0x8237 -0xeb722e87 -256 -256 -0 -0 -0 -6 -0xa9e -0x5116d294 -256 -256 -0 -0 -0 -5 -0x1e6f -0x70488a5c -256 -256 -0 -0 -0 -6 -0x1786 -0x912d74a6 -256 -256 -1 -0 -0 -9 -0xdfd8 -0x89a8da12 -256 -256 -1 -0 -0 -1 -0xc826 -0x8436d4d2 -256 -256 -1 -0 -0 -1 -0x3d2f -0x998fdaf7 -256 -256 -0 -0 -0 -7 -0x2bf -0x690d97fd -256 -256 -0 -0 -0 -2 -0x84b7 -0x3d9542b4 -256 -256 -1 -0 -0 -3 -0x5cc8 -0x144239e8 -256 -256 -0 -0 -0 -9 -0x9373 -0x39a928ce -256 -256 -1 -0 -0 -1 -0xffe7 -0x73f04dca -256 -256 -1 -0 -0 -4 -0x3ef1 -0xf44fdfb9 -256 -256 -0 -0 -0 -1 -0x97f3 -0xc51adb88 -256 -256 -1 -0 -0 -2 -0xf63b -0xec66f65 -256 -256 -0 -0 -0 -5 -0xf04a -0x9ec3fb80 -256 -256 -0 -0 -0 -9 -0x1aea -0x218c69ca -256 -256 -1 -0 -0 -3 -0x4d18 -0x40096a2f -256 -256 -1 -0 -0 -1 -0xf321 -0x3b13f904 -256 -256 -0 -0 -0 -4 -0xd1b9 -0xdfc1e7be -256 -256 -1 -0 -0 -6 -0x8479 -0xdc8040 -256 -256 -1 -0 -0 -5 -0x4562 -0xb7b61992 -256 -256 -0 -0 -0 -1 -0x9a52 -0x7f123efd -256 -256 -1 -0 -0 -9 -0x937 -0x6d04c10d -256 -256 -1 -0 -0 -3 -0x3c7e -0xddb42387 -256 -256 -0 -0 -0 -3 -0xba47 -0x40022a4e -256 -256 -1 -0 -0 -1 -0x2a8a -0xa92a1e39 -256 -256 -1 -0 -0 -9 -0x1da7 -0xba0020d4 -256 -256 -0 -0 -0 -6 -0x4144 -0xa0c28db7 -256 -256 -0 -0 -0 -4 -0xa7a0 -0x2f293a89 -256 -256 -1 -0 -0 -8 -0x372f -0x6a12f6f8 -256 -256 -0 -0 -0 -4 -0x4526 -0xa49824dd -256 -256 -0 -0 -0 -9 -0x692a -0xee457441 -256 -256 -0 -0 -0 -8 -0x66bf -0x47c0f67 -256 -256 -0 -0 -0 -4 -0x6748 -0xd40e6575 -256 -256 -1 -0 -0 -10 -0x101 -0xfa8d41a8 -256 -256 -1 -0 -0 -9 -0xe524 -0xfdc3bb25 -256 -256 -1 -0 -0 -2 -0xa9fd -0xf219fdd -256 -256 -1 -0 -0 -1 -0xf4c7 -0x1136d235 -256 -256 -1 -0 -0 -9 -0x22b2 -0xd2ff5320 -256 -256 -1 -0 -0 -7 -0xb91 -0x4238ca37 -256 -256 -0 -0 -0 -6 -0xcc6c -0x97f9e0c3 -256 -256 -1 -0 -0 -7 -0xc716 -0x5055d8f5 -256 -256 -1 -0 -0 -4 -0x1577 -0xe789038c -256 -256 -1 -0 -0 -1 -0xf70a -0xee7c1440 -256 -256 -0 -0 -0 -10 -0x4f1d -0xa475176 -256 -256 -1 -0 -0 -10 -0xa0e4 -0x36be8146 -256 -256 -1 -0 -0 -4 -0xfa84 -0xdd490673 -256 -256 -1 -0 -0 -4 -0x8239 -0x51dc1e31 -256 -256 -1 -0 -0 -5 -0x68f7 -0x9da90ae4 -256 -256 -1 -0 -0 -7 -0x99ed -0x262fb5d -256 -256 -1 -0 -0 -10 -0x3688 -0x4cf9470c -256 -256 -1 -0 -0 -9 -0x6382 -0xf8dc1e25 -256 -256 -1 -0 -0 -7 -0x1a94 -0x494fbc81 -256 -256 -1 -0 -0 -10 -0xa155 -0x76d858d7 -256 -256 -1 -0 -0 -9 -0xf0eb -0x776212cd -256 -256 -0 -0 -0 -10 -0x5274 -0x69f7a432 -256 -256 -0 -0 -0 -9 -0xe5dc -0x9658e799 -256 -256 -0 -0 -0 -6 -0x4409 -0x2896f0d0 -256 -256 -1 -0 -0 -5 -0x1a3 -0x38641aeb -256 -256 -0 -0 -0 -7 -0x1dcf -0xda5e20df -256 -256 -1 -0 -0 -4 -0xb480 -0x734c8dcb -256 -256 -1 -0 -0 -9 -0x1b19 -0x1bd09627 -256 -256 -1 -0 -0 -7 -0x77e5 -0xc22886a0 -256 -256 -0 -0 -0 -6 -0x6952 -0xc83454e1 -256 -256 -1 -0 -0 -6 -0x8a4d -0x9708e1f4 -256 -256 -0 -0 -0 -3 -0xf3ee -0xae35c952 -256 -256 -1 -0 -0 -1 -0x6cab -0x881c3e24 -256 -256 -0 -0 -0 -3 -0x36c7 -0xc797d795 -256 -256 -0 -0 -0 -10 -0x9b3c -0xf75d1edb -256 -256 -1 -0 -0 -4 -0x7680 -0xf106ea81 -256 -256 -1 -0 -0 -2 -0xbc88 -0xa8c4554e -256 -256 -1 -0 -0 -5 -0x903a -0x7fa8f533 -256 -256 -1 -0 -0 -10 -0x6fdd -0x9559f100 -256 -256 -1 -0 -0 -1 -0xe33 -0x48852378 -256 -256 -1 -0 -0 -5 -0x7571 -0xa867b42 -256 -256 -0 -0 -0 -7 -0xb1f7 -0x938162e3 -256 -256 -0 -0 -0 -10 -0x7f4c -0xd0ebf99d -256 -256 -0 -0 -0 -7 -0xdcee -0x79a92c83 -256 -256 -1 -0 -0 -5 -0xaaf2 -0x4a40a08 -256 -256 -1 -0 -0 -9 -0xc1f0 -0x4c38b75b -256 -256 -0 -0 -0 -10 -0xdf8 -0x7451438c -256 -256 -0 -0 -0 -1 -0xc080 -0x9c43d4d -256 -256 -0 -0 -0 -7 -0xb632 -0xe6ff0893 -256 -256 -1 -0 -0 -5 -0x2b41 -0x15421bf5 -256 -256 -1 -0 -0 -7 -0x3c01 -0x41622907 -256 -256 -0 -0 -0 -5 -0x8d65 -0xded67302 -256 -256 -1 -0 -0 -6 -0xd7da -0x7026202b -256 -256 -1 -0 -0 -5 -0x607f -0x3d7ef994 -256 -256 -0 -0 -0 -10 -0xd08f -0xc2e9b5b4 -256 -256 -1 -0 -0 -9 -0x72be -0x7657af4 -256 -256 -0 -0 -0 -4 -0xc2dc -0x6e92ad7 -256 -256 -0 -0 -0 -6 -0xa15e -0x37a02c04 -256 -256 -1 -0 -0 -1 -0xa103 -0x5d4814af -256 -256 -1 -0 -0 -4 -0x99bb -0xab5894d7 -256 -256 -1 -0 -0 -2 -0xa8e9 -0x8187a1ee -256 -256 -1 -0 -0 -4 -0x85fd -0xadc1f461 -256 -256 -1 -0 -0 -9 -0xe0ea -0xd94133e5 -256 -256 -0 -0 -0 -7 -0xb3dd -0x6084c4f -256 -256 -1 -0 -0 -1 -0x8350 -0xcc460bf6 -256 -256 -0 -0 -0 -4 -0xf6f -0x152faa86 -256 -256 -1 -0 -0 -7 -0xc936 -0xc44d3803 -256 -256 -0 -0 -0 -3 -0xfce6 -0x342854c4 -256 -256 -0 -0 -0 -7 -0x326f -0xb42ad867 -256 -256 -1 -0 -0 -7 -0xc2e3 -0x7039408b -256 -256 -1 -0 -0 -1 -0x91c7 -0xf5de3fd7 -256 -256 -0 -0 -0 -4 -0xf38d -0x85cf07ba -256 -256 -0 -0 -0 -5 -0x6dbc -0x38b489d5 -256 -256 -0 -0 -0 -1 -0xd071 -0xdf9d2c3e -256 -256 -1 -0 -0 -2 -0xf3e4 -0xe0d128a6 -256 -256 -1 -0 -0 -9 -0xb6c0 -0xca3a8d97 -256 -256 -0 -0 -0 -9 -0x12e6 -0xd1e26d04 -256 -256 -1 -0 -0 -3 -0x910f -0x96199da4 -256 -256 -1 -0 -0 -3 -0x8e62 -0xb16a7350 -256 -256 -0 -0 -0 -3 -0x719d -0x7af2e662 -256 -256 -1 -0 -0 -1 -0xb8a6 -0x728cf581 -256 -256 -1 -0 -0 -4 -0x8aab -0xc820df65 -256 -256 -0 -0 -0 -9 -0x3db3 -0xc7fc9505 -256 -256 -1 -0 -0 -9 -0x596 -0x116136ba -256 -256 -1 -0 -0 -7 -0x72a6 -0xe3c534e2 -256 -256 -0 -0 -0 -7 -0xf1ee -0x8cf546ba -256 -256 -1 -0 -0 -4 -0x6a4c -0x79af072d -256 -256 -0 -0 -0 -6 -0xee84 -0xc71f984f -256 -256 -0 -0 -0 -1 -0xba1b -0x1e6b2a6d -256 -256 -0 -0 -0 -5 -0xf98 -0xf8055093 -256 -256 -1 -0 -0 -1 -0xd5f0 -0x6eb4f90a -256 -256 -0 -0 -0 -1 -0x72d6 -0x83bd9854 -256 -256 -0 -0 -0 -10 -0x7202 -0xc826b024 -256 -256 -1 -0 -0 -1 -0xd9d8 -0x1acdd98e -256 -256 -1 -0 -0 -5 -0x6514 -0x16a94394 -256 -256 -1 -0 -0 -2 -0xa149 -0xaebe7d12 -256 -256 -0 -0 -0 -7 -0x174a -0x87b5a68c -256 -256 -1 -0 -0 -1 -0xabff -0x2210c5d8 -256 -256 -0 -0 -0 -7 -0x6f -0x64ff2485 -256 -256 -0 -0 -0 -4 -0xd585 -0x4be7fe5b -256 -256 -0 -0 -0 -8 -0xbc45 -0x80f7b11b -256 -256 -0 -0 -0 -10 -0xca5a -0xa3702fef -256 -256 -1 -0 -0 -8 -0x2f0 -0x576fc8b4 -256 -256 -0 -0 -0 -9 -0xecba -0x9086794a -256 -256 -1 -0 -0 -3 -0x6cb4 -0x65df6c13 -256 -256 -1 -0 -0 -3 -0x5c41 -0x6e1e212d -256 -256 -1 -0 -0 -2 -0x4d76 -0xd2f552b5 -256 -256 -1 -0 -0 -9 -0x2e55 -0x23c30a9c -256 -256 -1 -0 -0 -1 -0x3e4a -0x34f43a1e -256 -256 -0 -0 -0 -10 -0xe55f -0xd858e167 -256 -256 -1 -0 -0 -10 -0xb29e -0xb2db39a1 -256 -256 -0 -0 -0 -10 -0x797c -0x40059d94 -256 -256 -1 -0 -0 -5 -0x5173 -0x915a269f -256 -256 -0 -0 -0 -6 -0xec64 -0x142ea79 -256 -256 -1 -0 -0 -6 -0xffec -0xdcc25508 -256 -256 -1 -0 -0 -7 -0xe333 -0xcbc1cf04 -256 -256 -0 -0 -0 -7 -0x944f -0xd2dc015c -256 -256 -1 -0 -0 -2 -0x82ac -0x78a3d228 -256 -256 -1 -0 -0 -8 -0xe401 -0xa9c0600d -256 -256 -1 -0 -0 -1 -0xca3f -0x9929fc9f -256 -256 -0 -0 -0 -6 -0x237 -0xce8b20bb -256 -256 -1 -0 -0 -6 -0x8eaf -0x1f44d6e4 -256 -256 -1 -0 -0 -5 -0x9f59 -0x47c0d6c3 -256 -256 -0 -0 -0 -8 -0x8d06 -0xd022b2ac -256 -256 -0 -0 -0 -4 -0xb391 -0x5d80c0e0 -256 -256 -0 -0 -0 -3 -0xc6d7 -0xf220636a -256 -256 -0 -0 -0 -7 -0x438a -0x5ce8833d -256 -256 -0 -0 -0 -10 -0xc2b5 -0xcf29bd20 -256 -256 -0 -0 -0 -2 -0x77e3 -0xd7e36475 -256 -256 -0 -0 -0 -7 -0xe4d4 -0xf12f5bd3 -256 -256 -0 -0 -0 -4 -0xa2ed -0xee914ce3 -256 -256 -0 -0 -0 -1 -0x2dfe -0xbaaee2 -256 -256 -0 -0 -0 -2 -0x254f -0xdf73d90c -256 -256 -0 -0 -0 -9 -0xd4fb -0xa7979fdf -256 -256 -1 -0 -0 -7 -0x9132 -0x12a71b09 -256 -256 -0 -0 -0 -7 -0xb198 -0xcdcb1334 -256 -256 -1 -0 -0 -1 -0x1232 -0xac8035d5 -256 -256 -0 -0 -0 -4 -0xc8f5 -0x54ecd545 -256 -256 -0 -0 -0 -4 -0x3c20 -0xc149d2c6 -256 -256 -1 -0 -0 -1 -0x620b -0x5e82bdcf -256 -256 -1 -0 -0 -8 -0xb2f0 -0xad1bfcf0 -256 -256 -0 -0 -0 -7 -0x8ee0 -0xb35c9b8c -256 -256 -0 -0 -0 -3 -0xeb11 -0x52d90985 -256 -256 -0 -0 -0 -3 -0x1432 -0x77114b8c -256 -256 -1 -0 -0 -2 -0xd85a -0x4c3d3ef2 -256 -256 -0 -0 -0 -8 -0xce7f -0xcd5afa00 -256 -256 -1 -0 -0 -1 -0x6eac -0x566b792b -256 -256 -1 -0 -0 -1 -0xa5ba -0x14ee9b6d -256 -256 -1 -0 -0 -1 -0x592a -0x3e249996 -256 -256 -0 -0 -0 -1 -0x8490 -0xe283d0b3 -256 -256 -0 -0 -0 -4 -0xa44b -0xd80e7982 -256 -256 -1 -0 -0 -1 -0x9d05 -0x450917a7 -256 -256 -0 -0 -0 -6 -0xcc1d -0x517c534b -256 -256 -1 -0 -0 -8 -0xa9e0 -0x5bca9f14 -256 -256 -0 -0 -0 -8 -0x1330 -0xc8ea92da -256 -256 -0 -0 -0 -6 -0x23f -0x7baf0e88 -256 -256 -1 -0 -0 -4 -0xe558 -0x6bf0bc33 -256 -256 -1 -0 -0 -6 -0xad72 -0xbb1e3605 -256 -256 -1 -0 -0 -9 -0x66d1 -0xa55774e9 -256 -256 -0 -0 -0 -2 -0x766 -0x30c4f227 -256 -256 -1 -0 -0 -7 -0x45fe -0x5a47d1 -256 -256 -1 -0 -0 -10 -0xd41d -0xfd9f25f9 -256 -256 -1 -0 -0 -10 -0x69de -0x2281a2ac -256 -256 -0 -0 -0 -5 -0xc645 -0xbd8cf4b5 -256 -256 -0 -0 -0 -5 -0xb31c -0xfbe0d4ae -256 -256 -1 -0 -0 -3 -0x1835 -0xacf55610 -256 -256 -0 -0 -0 -4 -0x2a85 -0x54eb6b90 -256 -256 -0 -0 -0 -6 -0xde5 -0x74fb996d -256 -256 -0 -0 -0 -9 -0x34c0 -0x651f780 -256 -256 -1 -0 -0 -5 -0x2f77 -0xffdf5c1b -256 -256 -0 -0 -0 -7 -0xa1b6 -0xdc71c6a2 -256 -256 -0 -0 -0 -2 -0xc774 -0xcbb6ff0e -256 -256 -1 -0 -0 -1 -0xb2d6 -0x3a0b5a49 -256 -256 -0 -0 -0 -6 -0xb164 -0xb6fb6899 -256 -256 -1 -0 -0 -7 -0x5af -0x30e95f41 -256 -256 -0 -0 -0 -10 -0x680d -0xd00545b7 -256 -256 -0 -0 -0 -9 -0x1e77 -0xc144ed1d -256 -256 -0 -0 -0 -8 -0xba6 -0x4e21b729 -256 -256 -1 -0 -0 -3 -0xf0f9 -0x686432d0 -256 -256 -1 -0 -0 -3 -0x200e -0xfee69134 -256 -256 -0 -0 -0 -5 -0x7cac -0xcf7ed5db -256 -256 -0 -0 -0 -9 -0x83b1 -0xfd6b415f -256 -256 -1 -0 -0 -8 -0x41f3 -0x11e2bc1c -256 -256 -1 -0 -0 -8 -0xf53a -0xe6fca3ea -256 -256 -1 -0 -0 -4 -0x56dc -0x2e4750f4 -256 -256 -1 -0 -0 -5 -0x2617 -0x5dc4bfe1 -256 -256 -0 -0 -0 -3 -0x2805 -0xd086c3d9 -256 -256 -0 -0 -0 -10 -0x7394 -0x2948258f -256 -256 -1 -0 -0 -9 -0x5dc4 -0x6a39b270 -256 -256 -1 -0 -0 -6 -0x8873 -0xf02f1307 -256 -256 -0 -0 -0 -2 -0xd838 -0x553de04e -256 -256 -0 -0 -0 -5 -0x1a45 -0xe40b0af9 -256 -256 -0 -0 -0 -4 -0x9c78 -0x82d414c2 -256 -256 -0 -0 -0 -10 -0x6fa -0x9468f16a -256 -256 -1 -0 -0 -3 -0x2db3 -0x42adcde9 -256 -256 -1 -0 -0 -5 -0x38f5 -0x1af19974 -256 -256 -1 -0 -0 -1 -0xad30 -0xbfff813 -256 -256 -1 -0 -0 -7 -0x78ea -0x6ca90550 -256 -256 -0 -0 -0 -6 -0x70f2 -0x24560be9 -256 -256 -1 -0 -0 -1 -0xa2fa -0xd4181e21 -256 -256 -0 -0 -0 -4 -0x6395 -0xa6d649ec -256 -256 -0 -0 -0 -10 -0x4102 -0x3ce2e672 -256 -256 -0 -0 -0 -4 -0xa257 -0x5697cf28 -256 -256 -1 -0 -0 -3 -0xcf30 -0xc16d3d65 -256 -256 -0 -0 -0 -3 -0xd9f2 -0xaf62a497 -256 -256 -1 -0 -0 -9 -0x3f07 -0xb1fd51c6 -256 -256 -1 -0 -0 -3 -0x33e3 -0x2eabfc40 -256 -256 -1 -0 -0 -2 -0x798 -0x910fa9d4 -256 -256 -0 -0 -0 -5 -0xd93d -0xd78cd0c6 -256 -256 -0 -0 -0 -3 -0xe89c -0x1d3411aa -256 -256 -1 -0 -0 -5 -0xdd72 -0xe832e6e4 -256 -256 -0 -0 -0 -8 -0x3c0d -0xdb56e2e4 -256 -256 -0 -0 -0 -5 -0xc106 -0x63f91e94 -256 -256 -0 -0 -0 -4 -0x7fd1 -0x17e18e01 -256 -256 -0 -0 -0 -7 -0xd0bb -0xd447323d -256 -256 -0 -0 -0 -8 -0x6629 -0xc5589d08 -256 -256 -0 -0 -0 -4 -0xec99 -0x7469d390 -256 -256 -1 -0 -0 -7 -0xc93b -0x5f73707a -256 -256 -1 -0 -0 -5 -0xd1ea -0x6baba129 -256 -256 -1 -0 -0 -7 -0x7ea0 -0x94381e6e -256 -256 -0 -0 -0 -3 -0x17bd -0x9cfaf351 -256 -256 -0 -0 -0 -10 -0x68c5 -0xc03fc3ea -256 -256 -1 -0 -0 -3 -0x3f3 -0x5e8e1063 -256 -256 -0 -0 -0 -7 -0x1139 -0x76caa302 -256 -256 -1 -0 -0 -7 -0x9807 -0x29af560c -256 -256 -0 -0 -0 -4 -0xb183 -0x59c48c9f -256 -256 -1 -0 -0 -9 -0x782d -0x681ff82b -256 -256 -0 -0 -0 -1 -0x134f -0x91a3966a -256 -256 -1 -0 -0 -2 -0xf33 -0x645f6365 -256 -256 -0 -0 -0 -7 -0x9467 -0x9a686abc -256 -256 -1 -0 -0 -3 -0xd451 -0x45d7c49a -256 -256 -1 -0 -0 -10 -0xe594 -0xbcebea58 -256 -256 -0 -0 -0 -8 -0x72a9 -0x7a12a0cf -256 -256 -1 -0 -0 -7 -0x4acb -0xf22bfa9 -256 -256 -0 -0 -0 -2 -0x50a -0xb991d94f -256 -256 -1 -0 -0 -5 -0x8615 -0xc1e8d810 -256 -256 -1 -0 -0 -9 -0x1406 -0x8dd0b957 -256 -256 -1 -0 -0 -6 -0xd417 -0xe664f7c6 -256 -256 -0 -0 -0 -3 -0x9ad5 -0xd14a030f -256 -256 -0 -0 -0 -2 -0x8c9e -0x6da5e1d6 -256 -256 -0 -0 -0 -2 -0x2edd -0xa9edd1c6 -256 -256 -0 -0 -0 -2 -0x91a -0x30fd5aa9 -256 -256 -1 -0 -0 -4 -0xcc6a -0x1f73a889 -256 -256 -1 -0 -0 -10 -0x5805 -0xe9d9c3b1 -256 -256 -0 -0 -0 -6 -0xf55a -0xf488037d -256 -256 -1 -0 -0 -1 -0x427f -0x27d9e2b6 -256 -256 -1 -0 -0 diff --git a/jobs/backend-occamy/man_tiny.txt b/jobs/backend-occamy/man_tiny.txt deleted file mode 100644 index be59177b..00000000 --- a/jobs/backend-occamy/man_tiny.txt +++ /dev/null @@ -1,400000 +0,0 @@ -1 -0x3bfe -0x815ec2e7 -256 -256 -0 -0 -0 -2 -0x2296 -0x28a17d2 -256 -256 -1 -0 -0 -1 -0xf6bd -0x9628bda5 -256 -256 -1 -0 -0 -2 -0x2d83 -0x18eaab9b -256 -256 -0 -0 -0 -4 -0x8a8 -0x7796d8fb -256 -256 -0 -0 -0 -4 -0xd119 -0x49d3033d -256 -256 -0 -0 -0 -4 -0x6c8f -0x8542a1fe -256 -256 -1 -0 -0 -4 -0xc7d8 -0xaed514ee -256 -256 -0 -0 -0 -3 -0xdc72 -0x4a60415d -256 -256 -0 -0 -0 -1 -0x4217 -0xd194d225 -256 -256 -0 -0 -0 -2 -0x2ab5 -0xfce550f1 -256 -256 -1 -0 -0 -4 -0x9912 -0x2a6c1bc8 -256 -256 -0 -0 -0 -1 -0x7be6 -0x92d2284f -256 -256 -0 -0 -0 -4 -0x95b8 -0x5bfac639 -256 -256 -0 -0 -0 -3 -0x2749 -0xf350b722 -256 -256 -1 -0 -0 -1 -0x9974 -0x9085aa26 -256 -256 -0 -0 -0 -1 -0x60e8 -0x6ca3f439 -256 -256 -1 -0 -0 -2 -0xd049 -0x45db20de -256 -256 -1 -0 -0 -3 -0x8a0f -0x90b51231 -256 -256 -1 -0 -0 -3 -0x2b60 -0x18f5cad3 -256 -256 -1 -0 -0 -3 -0xfbea -0x5faf64e3 -256 -256 -0 -0 -0 -2 -0xce1a -0x79fabf95 -256 -256 -0 -0 -0 -2 -0xd43e -0x6fffa911 -256 -256 -0 -0 -0 -4 -0x72da -0x6f237f1d -256 -256 -1 -0 -0 -1 -0x23e4 -0x8d297d7f -256 -256 -1 -0 -0 -4 -0xfe13 -0x8dabd79d -256 -256 -1 -0 -0 -3 -0xe2fd -0x93f035ad -256 -256 -0 -0 -0 -1 -0xde4d -0xd8c3775c -256 -256 -0 -0 -0 -2 -0xf5e9 -0x1695f38a -256 -256 -1 -0 -0 -2 -0xb8b6 -0x4c7ef504 -256 -256 -0 -0 -0 -1 -0xc6a7 -0xb537ed97 -256 -256 -1 -0 -0 -4 -0xa328 -0x5d872e8e -256 -256 -0 -0 -0 -1 -0x4635 -0x8ed3dc9 -256 -256 -1 -0 -0 -1 -0x9e4f -0x75d93aee -256 -256 -0 -0 -0 -3 -0xf145 -0xa1c029f8 -256 -256 -1 -0 -0 -4 -0x1a90 -0xcf2339ba -256 -256 -1 -0 -0 -2 -0x64f9 -0x5c54ce5a -256 -256 -1 -0 -0 -2 -0x259c -0xfd6168d -256 -256 -0 -0 -0 -2 -0x20da -0x73e0cf25 -256 -256 -0 -0 -0 -1 -0x235 -0x955a9406 -256 -256 -1 -0 -0 -4 -0x7173 -0x93d0c93e -256 -256 -0 -0 -0 -2 -0x3b55 -0x2e558ada -256 -256 -1 -0 -0 -4 -0x718a -0xb8b11474 -256 -256 -0 -0 -0 -3 -0xa1c9 -0x1c2ca90b -256 -256 -0 -0 -0 -4 -0x3f3e -0xd2de1d08 -256 -256 -0 -0 -0 -2 -0xb22e -0x9b6de066 -256 -256 -1 -0 -0 -1 -0xb14b -0xc035016 -256 -256 -0 -0 -0 -2 -0xeddc -0xe9c64c57 -256 -256 -1 -0 -0 -4 -0x6352 -0x2618c3fe -256 -256 -1 -0 -0 -4 -0xf5e6 -0xf4551956 -256 -256 -0 -0 -0 -3 -0x8c54 -0x463d7c7e -256 -256 -1 -0 -0 -4 -0x78c3 -0xff87fec2 -256 -256 -1 -0 -0 -2 -0xcb38 -0xd73c1d1e -256 -256 -0 -0 -0 -1 -0x5a8f -0xf189c886 -256 -256 -1 -0 -0 -4 -0xc932 -0x9c951f8d -256 -256 -0 -0 -0 -2 -0x3d -0x99567eb6 -256 -256 -1 -0 -0 -3 -0x9acc -0x7f85520d -256 -256 -0 -0 -0 -1 -0x6fda -0x8bc1c848 -256 -256 -0 -0 -0 -4 -0x9bf5 -0x6a5e268e -256 -256 -0 -0 -0 -1 -0x133a -0x9669eaca -256 -256 -1 -0 -0 -2 -0xb07a -0xf7121f7f -256 -256 -0 -0 -0 -1 -0x262e -0x9afcac5a -256 -256 -0 -0 -0 -3 -0xe018 -0x1f076b17 -256 -256 -1 -0 -0 -1 -0xdb47 -0xa3889a04 -256 -256 -1 -0 -0 -3 -0xe6e4 -0x87539e46 -256 -256 -0 -0 -0 -3 -0xb914 -0x3f2b738 -256 -256 -0 -0 -0 -4 -0x2b54 -0x56d18821 -256 -256 -1 -0 -0 -4 -0x8735 -0x8c14e407 -256 -256 -1 -0 -0 -2 -0x4e66 -0x7bea5843 -256 -256 -0 -0 -0 -4 -0xee29 -0xcfb29e7e -256 -256 -1 -0 -0 -4 -0x6dad -0x9248f65 -256 -256 -0 -0 -0 -4 -0x4ea9 -0x3b8a1187 -256 -256 -1 -0 -0 -3 -0x62de -0x3d127a01 -256 -256 -1 -0 -0 -3 -0xae2b -0x33708a09 -256 -256 -1 -0 -0 -4 -0xb665 -0x747af230 -256 -256 -0 -0 -0 -1 -0x8318 -0x43e994e3 -256 -256 -1 -0 -0 -2 -0xadfc -0xa32940d -256 -256 -0 -0 -0 -3 -0x38f2 -0x2fec84bf -256 -256 -0 -0 -0 -2 -0xfd16 -0xad415d9c -256 -256 -0 -0 -0 -2 -0x5883 -0xdb61e88b -256 -256 -0 -0 -0 -4 -0x5e37 -0xc11800dc -256 -256 -0 -0 -0 -1 -0xae09 -0x51254014 -256 -256 -0 -0 -0 -3 -0x5680 -0xc57bf03a -256 -256 -0 -0 -0 -1 -0x3dd9 -0x37c03cff -256 -256 -0 -0 -0 -1 -0xf78e -0x8c6f064 -256 -256 -1 -0 -0 -3 -0xa588 -0xc886b1c1 -256 -256 -1 -0 -0 -4 -0x85f8 -0xc9dc5190 -256 -256 -0 -0 -0 -3 -0x5074 -0xc7c15ac -256 -256 -1 -0 -0 -4 -0xab07 -0xd1164f97 -256 -256 -0 -0 -0 -1 -0x16b9 -0xc2470c96 -256 -256 -0 -0 -0 -2 -0xcaf9 -0xe9f6dc21 -256 -256 -0 -0 -0 -3 -0x60af -0xec6d7faf -256 -256 -0 -0 -0 -3 -0xc391 -0x390ba0b5 -256 -256 -1 -0 -0 -3 -0xd729 -0xc3cb5a61 -256 -256 -0 -0 -0 -3 -0xc90b -0x381d5c6 -256 -256 -0 -0 -0 -4 -0xa874 -0x460590d5 -256 -256 -1 -0 -0 -4 -0xcdb5 -0x49c2c960 -256 -256 -1 -0 -0 -3 -0xe4a5 -0xd05c8192 -256 -256 -1 -0 -0 -3 -0x9a5d -0x1415ab7d -256 -256 -0 -0 -0 -1 -0x7f23 -0xf6bd7427 -256 -256 -0 -0 -0 -1 -0xad29 -0x409ae252 -256 -256 -0 -0 -0 -1 -0x3d6 -0xa8477589 -256 -256 -1 -0 -0 -4 -0xbfec -0x38383801 -256 -256 -1 -0 -0 -2 -0x2f87 -0xc49bef9d -256 -256 -1 -0 -0 -2 -0x4098 -0x66e82379 -256 -256 -1 -0 -0 -4 -0x25d4 -0xd84196e5 -256 -256 -0 -0 -0 -4 -0x5d06 -0x7662abfa -256 -256 -1 -0 -0 -4 -0xf479 -0x5ef22446 -256 -256 -0 -0 -0 -1 -0xecc0 -0x55bdc4b2 -256 -256 -0 -0 -0 -2 -0x2711 -0x1b6dd886 -256 -256 -0 -0 -0 -4 -0x3f5 -0xc6309fb0 -256 -256 -1 -0 -0 -1 -0x41b2 -0x7ba968e4 -256 -256 -1 -0 -0 -3 -0xfab9 -0x49abb618 -256 -256 -0 -0 -0 -2 -0xbc01 -0x53e7b0f9 -256 -256 -0 -0 -0 -3 -0x8387 -0x3319b3b0 -256 -256 -0 -0 -0 -4 -0xc907 -0x6687b219 -256 -256 -1 -0 -0 -4 -0xe1ae -0x6b589cf5 -256 -256 -0 -0 -0 -4 -0x82a9 -0xb8c243f8 -256 -256 -0 -0 -0 -1 -0x9d9a -0x1646a5ff -256 -256 -1 -0 -0 -2 -0xdad8 -0xce919359 -256 -256 -1 -0 -0 -4 -0xd119 -0xeb9b3718 -256 -256 -1 -0 -0 -2 -0xc337 -0x19d8387c -256 -256 -1 -0 -0 -4 -0x33a8 -0xe2cd6af -256 -256 -0 -0 -0 -4 -0xdca3 -0x7d582eff -256 -256 -0 -0 -0 -3 -0xee14 -0xfbd6166e -256 -256 -0 -0 -0 -2 -0x953 -0x4c0d968a -256 -256 -1 -0 -0 -1 -0xbfcd -0xb22494d2 -256 -256 -1 -0 -0 -2 -0xf94f -0xd1fb2df2 -256 -256 -1 -0 -0 -1 -0xbb70 -0xa9ed2889 -256 -256 -1 -0 -0 -3 -0xec6f -0x78799afe -256 -256 -1 -0 -0 -1 -0x7f11 -0x5daf0051 -256 -256 -0 -0 -0 -4 -0xd204 -0x409f6188 -256 -256 -0 -0 -0 -3 -0x20ec -0x442263c9 -256 -256 -1 -0 -0 -2 -0xeb46 -0x68eba467 -256 -256 -0 -0 -0 -4 -0x2dcb -0x4452d42e -256 -256 -1 -0 -0 -4 -0xd20e -0xe2550218 -256 -256 -1 -0 -0 -3 -0xca0c -0x11442716 -256 -256 -1 -0 -0 -4 -0x3ffe -0x9f5d62cd -256 -256 -0 -0 -0 -3 -0xb2a5 -0x85212fcd -256 -256 -1 -0 -0 -2 -0xe21f -0x94c0e8af -256 -256 -0 -0 -0 -1 -0x7b83 -0x18c60219 -256 -256 -0 -0 -0 -3 -0x8806 -0xcf846b98 -256 -256 -1 -0 -0 -4 -0xc301 -0x8daad970 -256 -256 -1 -0 -0 -2 -0x11c1 -0xf9746489 -256 -256 -0 -0 -0 -4 -0x7f3d -0xe8dbf23d -256 -256 -0 -0 -0 -1 -0x803 -0xcf8301f1 -256 -256 -0 -0 -0 -2 -0xeceb -0xad3cae60 -256 -256 -0 -0 -0 -3 -0xdc04 -0x4338ed7a -256 -256 -1 -0 -0 -2 -0xd4f0 -0xe6fc5033 -256 -256 -1 -0 -0 -4 -0xe2ae -0xf02f5c12 -256 -256 -1 -0 -0 -4 -0xf9bd -0x8fb34ae0 -256 -256 -0 -0 -0 -1 -0x159 -0xaed6346f -256 -256 -0 -0 -0 -1 -0x622e -0x5d603019 -256 -256 -1 -0 -0 -4 -0xf4ef -0x2df1cf2e -256 -256 -1 -0 -0 -2 -0xc6d2 -0xfc46d03d -256 -256 -0 -0 -0 -3 -0x5297 -0xf71cadcf -256 -256 -1 -0 -0 -3 -0xd3c3 -0x789a390d -256 -256 -1 -0 -0 -3 -0x5ba6 -0x5a3c0f6 -256 -256 -0 -0 -0 -2 -0x2d7a -0x877fcaa5 -256 -256 -0 -0 -0 -2 -0x9d5c -0x2e1d0780 -256 -256 -0 -0 -0 -1 -0x411e -0x7b48212b -256 -256 -0 -0 -0 -1 -0xfa5a -0x744986fd -256 -256 -1 -0 -0 -3 -0xf1e2 -0x74132ab4 -256 -256 -1 -0 -0 -1 -0x7b89 -0x8be22631 -256 -256 -1 -0 -0 -1 -0x95 -0x63f32667 -256 -256 -0 -0 -0 -3 -0xae8f -0x88e0a79c -256 -256 -1 -0 -0 -1 -0xb573 -0xe9b62716 -256 -256 -0 -0 -0 -4 -0x696f -0xaa10796c -256 -256 -1 -0 -0 -2 -0x2fc1 -0xffeec5a5 -256 -256 -1 -0 -0 -4 -0x99f7 -0xb70622af -256 -256 -0 -0 -0 -1 -0x9369 -0x5576af75 -256 -256 -1 -0 -0 -3 -0xe6f6 -0x805a8d42 -256 -256 -1 -0 -0 -3 -0x4c62 -0x5d6c5b07 -256 -256 -1 -0 -0 -3 -0xb17a -0x27667f30 -256 -256 -0 -0 -0 -4 -0x13de -0x88f306c9 -256 -256 -0 -0 -0 -2 -0xde03 -0x45239a35 -256 -256 -0 -0 -0 -2 -0x113e -0x70222c2d -256 -256 -0 -0 -0 -3 -0xea09 -0xa03bf3e0 -256 -256 -0 -0 -0 -3 -0x480d -0xf9fbff08 -256 -256 -1 -0 -0 -1 -0x89f4 -0x29b74b6c -256 -256 -0 -0 -0 -1 -0xdecd -0x64c7af4c -256 -256 -1 -0 -0 -1 -0x2350 -0xfe6db2e -256 -256 -0 -0 -0 -1 -0x7d7a -0xf209af97 -256 -256 -0 -0 -0 -3 -0x15b7 -0x3a283ec3 -256 -256 -1 -0 -0 -1 -0xac96 -0xc6479526 -256 -256 -0 -0 -0 -4 -0xb402 -0x7c6bbe22 -256 -256 -1 -0 -0 -2 -0x916c -0xec5ef4ad -256 -256 -0 -0 -0 -4 -0xa259 -0x8654531 -256 -256 -0 -0 -0 -3 -0x8529 -0xabafdfd2 -256 -256 -0 -0 -0 -1 -0xa23a -0x3ad58720 -256 -256 -0 -0 -0 -2 -0x48ee -0xdbcf8baf -256 -256 -1 -0 -0 -2 -0xd924 -0xb7b26a7a -256 -256 -1 -0 -0 -2 -0xc2e8 -0xbdded6a -256 -256 -1 -0 -0 -2 -0xf84a -0xb6c932e7 -256 -256 -1 -0 -0 -3 -0x850d -0x5079a942 -256 -256 -1 -0 -0 -3 -0xf364 -0xd1da485e -256 -256 -0 -0 -0 -1 -0xc3f4 -0x194ec415 -256 -256 -1 -0 -0 -4 -0x7709 -0xfddc5869 -256 -256 -1 -0 -0 -3 -0x788f -0x6f5c770d -256 -256 -1 -0 -0 -1 -0x59e3 -0x9b35481d -256 -256 -1 -0 -0 -1 -0xa33e -0x636a7420 -256 -256 -1 -0 -0 -4 -0xd59d -0x538d141f -256 -256 -0 -0 -0 -2 -0xa718 -0xa556a8d0 -256 -256 -1 -0 -0 -4 -0x6f61 -0xbb723c34 -256 -256 -1 -0 -0 -1 -0x4d7a -0xd6d150ef -256 -256 -1 -0 -0 -1 -0xdb49 -0x10d07987 -256 -256 -0 -0 -0 -3 -0xe810 -0x4a1c53f6 -256 -256 -1 -0 -0 -2 -0x24ca -0x5bb9d695 -256 -256 -0 -0 -0 -2 -0x4e32 -0x40dbc860 -256 -256 -1 -0 -0 -4 -0x705e -0xd0c945f3 -256 -256 -1 -0 -0 -4 -0xc19e -0x90307070 -256 -256 -1 -0 -0 -4 -0x8581 -0x69dbfbf5 -256 -256 -0 -0 -0 -1 -0x10fa -0x1b184aaa -256 -256 -1 -0 -0 -2 -0xf47e -0x15ac03ae -256 -256 -1 -0 -0 -2 -0x9baf -0x332145cb -256 -256 -0 -0 -0 -1 -0x77d4 -0x98c0384 -256 -256 -0 -0 -0 -2 -0x1eaa -0xed744115 -256 -256 -0 -0 -0 -2 -0x5caa -0x6a267205 -256 -256 -1 -0 -0 -4 -0x2ef5 -0x61fe965 -256 -256 -1 -0 -0 -2 -0x538c -0x2e2265cd -256 -256 -1 -0 -0 -3 -0xa0ac -0xb3a36f3 -256 -256 -0 -0 -0 -3 -0xca35 -0x52ccc5f3 -256 -256 -0 -0 -0 -2 -0x409b -0x9bda6b85 -256 -256 -1 -0 -0 -2 -0x7f83 -0x9f6cfa15 -256 -256 -0 -0 -0 -1 -0x4d89 -0x944b473 -256 -256 -0 -0 -0 -2 -0xfaeb -0x246fb573 -256 -256 -0 -0 -0 -2 -0x3849 -0x344e6dda -256 -256 -0 -0 -0 -4 -0x533b -0x78a34e80 -256 -256 -1 -0 -0 -4 -0xa70f -0xb66ea38c -256 -256 -1 -0 -0 -1 -0x4166 -0x349ecbb -256 -256 -0 -0 -0 -3 -0xd1f3 -0x20f95e53 -256 -256 -1 -0 -0 -2 -0x15e4 -0xb026cc8d -256 -256 -1 -0 -0 -1 -0xabec -0xdef69084 -256 -256 -1 -0 -0 -3 -0x6dc7 -0x1fb6ea34 -256 -256 -1 -0 -0 -1 -0x24fd -0x857835c8 -256 -256 -0 -0 -0 -4 -0xe72e -0x305d326f -256 -256 -1 -0 -0 -4 -0x1e39 -0x9494afc6 -256 -256 -1 -0 -0 -2 -0x5ef0 -0x534b8415 -256 -256 -1 -0 -0 -4 -0xbae4 -0xec8d256e -256 -256 -1 -0 -0 -4 -0x19ac -0x803344cd -256 -256 -0 -0 -0 -4 -0x1027 -0xb4faa33b -256 -256 -0 -0 -0 -4 -0x6844 -0x42a1eb26 -256 -256 -1 -0 -0 -2 -0xcfd -0xab2a9513 -256 -256 -0 -0 -0 -1 -0x36df -0x4b7e24e9 -256 -256 -1 -0 -0 -3 -0x5f37 -0xaba1053e -256 -256 -1 -0 -0 -2 -0x86fc -0x5bf547f8 -256 -256 -1 -0 -0 -2 -0x878e -0x3bcd12e8 -256 -256 -1 -0 -0 -4 -0x5531 -0x150428b2 -256 -256 -0 -0 -0 -4 -0x47cd -0x8be3cf51 -256 -256 -0 -0 -0 -3 -0x6218 -0x34ca6647 -256 -256 -1 -0 -0 -3 -0x560c -0x13a1f27a -256 -256 -1 -0 -0 -1 -0xc08c -0xf8a99451 -256 -256 -0 -0 -0 -1 -0x43ca -0x3ec16534 -256 -256 -0 -0 -0 -4 -0xe955 -0xe728917 -256 -256 -1 -0 -0 -2 -0x7842 -0x48e15876 -256 -256 -1 -0 -0 -2 -0x889 -0x9e0f267a -256 -256 -1 -0 -0 -2 -0x8422 -0xa3fdabe8 -256 -256 -0 -0 -0 -2 -0xe03a -0x5b18ce57 -256 -256 -0 -0 -0 -4 -0xc965 -0x51cc52d8 -256 -256 -1 -0 -0 -3 -0x6d93 -0x7daf9907 -256 -256 -0 -0 -0 -1 -0xfb5d -0xe0a09045 -256 -256 -1 -0 -0 -1 -0xff0f -0xd7df0bf0 -256 -256 -1 -0 -0 -3 -0xec29 -0x2384f06e -256 -256 -1 -0 -0 -4 -0xf9ac -0xc94fc72f -256 -256 -1 -0 -0 -3 -0x69fc -0x25a33de9 -256 -256 -1 -0 -0 -2 -0x19a7 -0x4cfbd762 -256 -256 -1 -0 -0 -3 -0xcf05 -0x1c24fd83 -256 -256 -0 -0 -0 -3 -0xbb9c -0x41dac450 -256 -256 -1 -0 -0 -4 -0xe973 -0xf1927c5e -256 -256 -1 -0 -0 -1 -0x4e45 -0xee79134b -256 -256 -0 -0 -0 -4 -0x61f3 -0xceaf2559 -256 -256 -1 -0 -0 -3 -0xd0d4 -0x78b4e30c -256 -256 -0 -0 -0 -2 -0xfffc -0x201b5447 -256 -256 -0 -0 -0 -1 -0xd540 -0x393e00c7 -256 -256 -1 -0 -0 -3 -0x751f -0x74261b8c -256 -256 -1 -0 -0 -3 -0xc2f0 -0xc5330f90 -256 -256 -0 -0 -0 -3 -0xc983 -0x704ce3f7 -256 -256 -0 -0 -0 -1 -0x1f26 -0x4a2f612a -256 -256 -0 -0 -0 -3 -0x3111 -0x9f0bead6 -256 -256 -0 -0 -0 -2 -0x83e1 -0x5d1fbd03 -256 -256 -0 -0 -0 -3 -0xfe36 -0xcf67f6f6 -256 -256 -0 -0 -0 -1 -0x3673 -0xba0362c0 -256 -256 -0 -0 -0 -4 -0xa854 -0x4a88c219 -256 -256 -1 -0 -0 -1 -0x4b67 -0x76f93f7a -256 -256 -0 -0 -0 -2 -0xe6ac -0x1058ec05 -256 -256 -0 -0 -0 -2 -0x34dc -0xfbad6db2 -256 -256 -1 -0 -0 -3 -0x94de -0x46d6aca0 -256 -256 -1 -0 -0 -3 -0x38aa -0x7dec9be3 -256 -256 -0 -0 -0 -4 -0xb93f -0x5543c9ab -256 -256 -1 -0 -0 -4 -0x549d -0x395a4623 -256 -256 -1 -0 -0 -4 -0xf67c -0x6520ab13 -256 -256 -1 -0 -0 -2 -0xc294 -0x89f56a51 -256 -256 -1 -0 -0 -1 -0x9b0c -0x27502f13 -256 -256 -1 -0 -0 -2 -0x2ec -0x54261ae -256 -256 -0 -0 -0 -4 -0x311e -0x778f249e -256 -256 -1 -0 -0 -4 -0x5ecc -0x7ccd604a -256 -256 -0 -0 -0 -3 -0xb7c3 -0x25fcf50c -256 -256 -1 -0 -0 -3 -0x2688 -0x5e726a3 -256 -256 -1 -0 -0 -1 -0x98c9 -0x6bc442c7 -256 -256 -0 -0 -0 -4 -0x5030 -0xa69cfada -256 -256 -1 -0 -0 -1 -0x1a5a -0xc26c28f3 -256 -256 -1 -0 -0 -1 -0x1708 -0xa10acb08 -256 -256 -0 -0 -0 -1 -0x24ba -0xadc54d7d -256 -256 -1 -0 -0 -1 -0xb9d2 -0xab06ad56 -256 -256 -1 -0 -0 -2 -0x298c -0x34d76f6b -256 -256 -1 -0 -0 -1 -0xb9cc -0xe68ac822 -256 -256 -1 -0 -0 -1 -0xdaef -0x7a5b18a9 -256 -256 -0 -0 -0 -1 -0x60d1 -0xc89f8f3a -256 -256 -1 -0 -0 -3 -0x34ba -0xbe3c5c5f -256 -256 -1 -0 -0 -4 -0xfd0 -0x39030cb9 -256 -256 -1 -0 -0 -4 -0xb03c -0xb5f7e935 -256 -256 -1 -0 -0 -1 -0x320 -0x58030331 -256 -256 -1 -0 -0 -4 -0x81c3 -0xeaff88c2 -256 -256 -1 -0 -0 -3 -0x1ea3 -0x984f55a3 -256 -256 -1 -0 -0 -4 -0x2b68 -0xdea00597 -256 -256 -0 -0 -0 -3 -0xacc6 -0xcb37e40d -256 -256 -0 -0 -0 -4 -0xc147 -0x80aa2fc -256 -256 -1 -0 -0 -2 -0x9d38 -0x6fcee3cf -256 -256 -0 -0 -0 -1 -0xb23 -0xb6196795 -256 -256 -1 -0 -0 -2 -0xe282 -0x4df4c234 -256 -256 -0 -0 -0 -2 -0xb5ef -0xcd274ff2 -256 -256 -0 -0 -0 -1 -0x5f7c -0x166371f2 -256 -256 -0 -0 -0 -2 -0x7e15 -0xe87aad92 -256 -256 -0 -0 -0 -1 -0xd84b -0x53178a5f -256 -256 -0 -0 -0 -3 -0x11ec -0xce8d8535 -256 -256 -0 -0 -0 -3 -0x1909 -0xe23d5517 -256 -256 -0 -0 -0 -1 -0x807a -0xc3558793 -256 -256 -0 -0 -0 -3 -0x560b -0x6f690a17 -256 -256 -1 -0 -0 -4 -0xee2f -0xfba9c63f -256 -256 -1 -0 -0 -4 -0x841 -0x4832fd22 -256 -256 -1 -0 -0 -1 -0x54ec -0xd820aa55 -256 -256 -0 -0 -0 -3 -0x5dbd -0x982af2c4 -256 -256 -0 -0 -0 -1 -0xce2 -0x2f143fbe -256 -256 -1 -0 -0 -2 -0xf953 -0x59845330 -256 -256 -0 -0 -0 -3 -0xe1f9 -0x2edc7d64 -256 -256 -1 -0 -0 -1 -0xb57c -0x18b48528 -256 -256 -0 -0 -0 -2 -0x37b9 -0x6daba302 -256 -256 -0 -0 -0 -3 -0x3b19 -0x69fa5f87 -256 -256 -1 -0 -0 -1 -0x25fd -0x7622524f -256 -256 -0 -0 -0 -3 -0x67ff -0x7d3cfe1e -256 -256 -0 -0 -0 -4 -0xa51c -0xf30532b0 -256 -256 -1 -0 -0 -3 -0x1509 -0x5596de1e -256 -256 -0 -0 -0 -2 -0xd0e0 -0x1131fbac -256 -256 -0 -0 -0 -1 -0xbb8a -0x7fa6bc2c -256 -256 -1 -0 -0 -4 -0xa713 -0x8bd1a573 -256 -256 -0 -0 -0 -3 -0x8d08 -0xda41bf5f -256 -256 -0 -0 -0 -2 -0x78d0 -0xb1198c86 -256 -256 -1 -0 -0 -3 -0xdbf6 -0x534205f0 -256 -256 -1 -0 -0 -2 -0xf145 -0xb154b06 -256 -256 -1 -0 -0 -4 -0xb87c -0xc8e42a43 -256 -256 -0 -0 -0 -2 -0xf284 -0xdfa46e77 -256 -256 -0 -0 -0 -1 -0x19cb -0xad28aee0 -256 -256 -1 -0 -0 -1 -0x3084 -0x71edffa3 -256 -256 -1 -0 -0 -1 -0xaf73 -0xa1ccfe7f -256 -256 -0 -0 -0 -3 -0x84d5 -0x3c343729 -256 -256 -0 -0 -0 -3 -0x1bc6 -0xc5b246bb -256 -256 -0 -0 -0 -4 -0x6842 -0x956a41ab -256 -256 -1 -0 -0 -4 -0x4bf7 -0x84fb05f5 -256 -256 -1 -0 -0 -4 -0x5e95 -0xc162e49c -256 -256 -1 -0 -0 -1 -0x2eff -0x23e1b347 -256 -256 -0 -0 -0 -3 -0x46ff -0x9117d1e0 -256 -256 -1 -0 -0 -4 -0x7ec1 -0xe93d34d -256 -256 -1 -0 -0 -3 -0xf332 -0xf2b276a7 -256 -256 -0 -0 -0 -2 -0x3581 -0xcd8ec29a -256 -256 -0 -0 -0 -1 -0xd1e9 -0x430a2d6a -256 -256 -0 -0 -0 -2 -0xa7a9 -0xb9dd7e9a -256 -256 -1 -0 -0 -2 -0xda9f -0x7103e932 -256 -256 -1 -0 -0 -1 -0x65 -0x50059cdf -256 -256 -1 -0 -0 -4 -0x4bca -0x5fdd7734 -256 -256 -0 -0 -0 -3 -0x8f89 -0x9fa2069e -256 -256 -0 -0 -0 -3 -0x6c2f -0xf8ac99a5 -256 -256 -0 -0 -0 -3 -0x6be8 -0x33164ab -256 -256 -1 -0 -0 -3 -0x321f -0xf2179ba2 -256 -256 -1 -0 -0 -2 -0xc461 -0x7bcbf734 -256 -256 -0 -0 -0 -3 -0x4b85 -0xf8dbf64d -256 -256 -1 -0 -0 -3 -0xb2a5 -0x1f8f12b5 -256 -256 -1 -0 -0 -3 -0xd30c -0x7a09fba5 -256 -256 -0 -0 -0 -2 -0x1e18 -0xc0c56f58 -256 -256 -1 -0 -0 -4 -0xf39 -0xeda7eb8a -256 -256 -1 -0 -0 -4 -0xbac -0xc963ebea -256 -256 -0 -0 -0 -2 -0xd7ba -0xe8af24b6 -256 -256 -1 -0 -0 -3 -0x3f91 -0x71a4396c -256 -256 -0 -0 -0 -3 -0xc0 -0xc43ea680 -256 -256 -1 -0 -0 -3 -0x5eae -0x445e1d7a -256 -256 -0 -0 -0 -2 -0xf6c1 -0x7a24a357 -256 -256 -1 -0 -0 -2 -0xccc2 -0x776c8518 -256 -256 -0 -0 -0 -2 -0x2165 -0x140ea568 -256 -256 -1 -0 -0 -2 -0xe172 -0x1f7fb7b8 -256 -256 -0 -0 -0 -1 -0x6b10 -0x1dbaab64 -256 -256 -1 -0 -0 -1 -0x7d35 -0x2609d29 -256 -256 -0 -0 -0 -3 -0x2527 -0xaadc441b -256 -256 -0 -0 -0 -4 -0x9945 -0xe66dd884 -256 -256 -0 -0 -0 -1 -0xe2d9 -0x6bdc6572 -256 -256 -0 -0 -0 -4 -0xc792 -0xf89770bc -256 -256 -1 -0 -0 -2 -0xddba -0x7646e97d -256 -256 -0 -0 -0 -3 -0xd0b3 -0xdc7d458 -256 -256 -1 -0 -0 -3 -0xcdb4 -0xa86a432a -256 -256 -1 -0 -0 -3 -0x5be3 -0x8c7b7f66 -256 -256 -0 -0 -0 -2 -0xba41 -0x8e3e35d4 -256 -256 -1 -0 -0 -4 -0xc490 -0x9099c052 -256 -256 -1 -0 -0 -1 -0xd223 -0x9e62a0be -256 -256 -1 -0 -0 -4 -0xc597 -0x4e5ee4f2 -256 -256 -0 -0 -0 -4 -0x126c -0x831dd130 -256 -256 -1 -0 -0 -1 -0x1b76 -0x60f3feff -256 -256 -1 -0 -0 -2 -0xc8c4 -0x7dcd74e -256 -256 -0 -0 -0 -2 -0xf0bc -0x6405bedc -256 -256 -0 -0 -0 -1 -0x990f -0x696316d7 -256 -256 -0 -0 -0 -4 -0xc784 -0x3b03525e -256 -256 -0 -0 -0 -1 -0x9822 -0x337d7999 -256 -256 -0 -0 -0 -3 -0xf5c8 -0xfda7e7e8 -256 -256 -1 -0 -0 -4 -0xc795 -0xfbdb87da -256 -256 -0 -0 -0 -2 -0x4406 -0x18b83b3b -256 -256 -0 -0 -0 -4 -0x7896 -0xa166898b -256 -256 -1 -0 -0 -1 -0x7761 -0x9e848a52 -256 -256 -0 -0 -0 -1 -0x597b -0xc3d84f31 -256 -256 -0 -0 -0 -4 -0xb57b -0x7d3b1e10 -256 -256 -0 -0 -0 -2 -0xcd9a -0xfe83b21 -256 -256 -0 -0 -0 -1 -0xad9a -0xbaccbe38 -256 -256 -0 -0 -0 -1 -0x3deb -0x59c39f10 -256 -256 -0 -0 -0 -3 -0xcd06 -0x8aacb44e -256 -256 -0 -0 -0 -4 -0xab2d -0xe1f40ad1 -256 -256 -0 -0 -0 -4 -0x2177 -0xf0f09df0 -256 -256 -0 -0 -0 -3 -0x2af2 -0x28103343 -256 -256 -1 -0 -0 -3 -0xad6b -0x7aaebb14 -256 -256 -1 -0 -0 -1 -0x6a47 -0x856563c0 -256 -256 -0 -0 -0 -3 -0xdde4 -0x9c445da7 -256 -256 -0 -0 -0 -3 -0xef65 -0xd2621b70 -256 -256 -0 -0 -0 -3 -0x7515 -0xa3dd5edf -256 -256 -1 -0 -0 -1 -0x4836 -0xa25cc646 -256 -256 -0 -0 -0 -1 -0x9434 -0x6bcb732f -256 -256 -0 -0 -0 -4 -0xa94 -0x1d43b056 -256 -256 -0 -0 -0 -2 -0x40af -0x72d3a6f4 -256 -256 -0 -0 -0 -3 -0x3a01 -0xb92a009d -256 -256 -1 -0 -0 -1 -0xb36 -0xcd3047a5 -256 -256 -1 -0 -0 -4 -0x6ba6 -0xa9fdc46e -256 -256 -0 -0 -0 -2 -0xe3aa -0xc2b352db -256 -256 -1 -0 -0 -4 -0x6d00 -0x92b961ec -256 -256 -1 -0 -0 -1 -0x3ba5 -0x6d439434 -256 -256 -1 -0 -0 -2 -0xe186 -0x270e5dce -256 -256 -0 -0 -0 -1 -0xdf7c -0x7b9e2e50 -256 -256 -0 -0 -0 -4 -0x2976 -0x8389d491 -256 -256 -0 -0 -0 -4 -0x5f13 -0xc63e33a4 -256 -256 -1 -0 -0 -3 -0xf0db -0x3d78f6de -256 -256 -0 -0 -0 -1 -0x1e7d -0xdf7dc5e5 -256 -256 -1 -0 -0 -4 -0xefc2 -0x5540765e -256 -256 -0 -0 -0 -1 -0x5cae -0xc8b28755 -256 -256 -1 -0 -0 -3 -0x7f54 -0xdcb5351e -256 -256 -0 -0 -0 -2 -0x1595 -0x593a542e -256 -256 -0 -0 -0 -3 -0x1c5a -0x3388dcbc -256 -256 -1 -0 -0 -1 -0x3b57 -0xe000b584 -256 -256 -0 -0 -0 -4 -0xa76a -0x2021e0ef -256 -256 -0 -0 -0 -2 -0x8415 -0xdd5ec86e -256 -256 -1 -0 -0 -4 -0xcfcc -0x85521a5e -256 -256 -1 -0 -0 -2 -0x4aa -0xc9615506 -256 -256 -0 -0 -0 -3 -0x3615 -0x8ade397c -256 -256 -1 -0 -0 -2 -0x1f4d -0x538b8a0 -256 -256 -0 -0 -0 -2 -0xfd93 -0x991ce2dd -256 -256 -1 -0 -0 -2 -0x6a5e -0x778ae1fa -256 -256 -1 -0 -0 -3 -0xd832 -0x7e26604a -256 -256 -1 -0 -0 -3 -0x410a -0xe619427a -256 -256 -0 -0 -0 -3 -0xab4f -0xa450a895 -256 -256 -0 -0 -0 -1 -0xddba -0x1d2a0791 -256 -256 -1 -0 -0 -3 -0xdb9 -0xa80f2804 -256 -256 -1 -0 -0 -3 -0x17d5 -0x83a1da5a -256 -256 -0 -0 -0 -2 -0xea41 -0x4c85cced -256 -256 -1 -0 -0 -3 -0x8293 -0xbf6539ce -256 -256 -1 -0 -0 -2 -0x4b1a -0x9e833b65 -256 -256 -1 -0 -0 -3 -0x25bc -0xe223e173 -256 -256 -1 -0 -0 -1 -0x199e -0xf655162a -256 -256 -1 -0 -0 -1 -0xa6fd -0x6c36a58a -256 -256 -0 -0 -0 -2 -0x528a -0x5295c18e -256 -256 -1 -0 -0 -3 -0x6dd6 -0x7902aa7f -256 -256 -0 -0 -0 -2 -0x3c31 -0x1f99e525 -256 -256 -0 -0 -0 -2 -0xf58f -0x3eb8ed33 -256 -256 -0 -0 -0 -2 -0xf9f1 -0x67480af -256 -256 -0 -0 -0 -4 -0x5d34 -0x87f71ae1 -256 -256 -1 -0 -0 -4 -0x69dc -0xd631b804 -256 -256 -1 -0 -0 -3 -0xcd80 -0xfeebb98d -256 -256 -1 -0 -0 -2 -0x40d7 -0xb97521ca -256 -256 -1 -0 -0 -3 -0xc506 -0xc26c0dae -256 -256 -1 -0 -0 -1 -0x89bd -0xec5a24f3 -256 -256 -1 -0 -0 -1 -0x601d -0x9f68189c -256 -256 -0 -0 -0 -2 -0xeba3 -0x1158583e -256 -256 -1 -0 -0 -1 -0xdcac -0x504748d1 -256 -256 -0 -0 -0 -1 -0x70cd -0x2a50cebe -256 -256 -1 -0 -0 -1 -0xa5a9 -0x725f4fbc -256 -256 -1 -0 -0 -4 -0xe0b3 -0xd869182a -256 -256 -1 -0 -0 -1 -0x96df -0x636e95f6 -256 -256 -1 -0 -0 -2 -0xf97 -0x7f9ce0e -256 -256 -1 -0 -0 -1 -0xd23e -0x631e31cc -256 -256 -1 -0 -0 -3 -0x7c57 -0xdf053b75 -256 -256 -0 -0 -0 -4 -0xeedc -0x8b2cee2b -256 -256 -0 -0 -0 -2 -0x8a92 -0x9c51210d -256 -256 -1 -0 -0 -3 -0x18a4 -0x8e0a4380 -256 -256 -1 -0 -0 -1 -0xb163 -0x8d25b9fa -256 -256 -1 -0 -0 -4 -0xecd2 -0x2d36d35f -256 -256 -1 -0 -0 -1 -0x9d89 -0xfe2777d8 -256 -256 -0 -0 -0 -2 -0x7a39 -0x80fba375 -256 -256 -0 -0 -0 -4 -0x87b5 -0x8512d1c5 -256 -256 -0 -0 -0 -1 -0x4fcf -0x2780d0e0 -256 -256 -1 -0 -0 -4 -0xe40f -0x31e9d900 -256 -256 -1 -0 -0 -2 -0xbb1a -0x65055734 -256 -256 -0 -0 -0 -1 -0x404d -0xc30a830d -256 -256 -0 -0 -0 -2 -0xb0f0 -0xbf587e15 -256 -256 -1 -0 -0 -1 -0xd5f5 -0xae37662f -256 -256 -1 -0 -0 -2 -0x17bb -0x2e11094 -256 -256 -1 -0 -0 -2 -0xe2ab -0x734884dd -256 -256 -1 -0 -0 -3 -0x74cf -0x68d90e3d -256 -256 -1 -0 -0 -2 -0x511b -0x67cd4da2 -256 -256 -1 -0 -0 -2 -0x940b -0xdfbc4e78 -256 -256 -0 -0 -0 -1 -0xca3e -0x408f95a2 -256 -256 -0 -0 -0 -3 -0xb855 -0x8cbf3187 -256 -256 -0 -0 -0 -3 -0x428b -0x6f8ff902 -256 -256 -0 -0 -0 -3 -0xc300 -0xb390c9a5 -256 -256 -0 -0 -0 -1 -0xc91c -0xd2135299 -256 -256 -0 -0 -0 -4 -0x752c -0xc0e8abf2 -256 -256 -1 -0 -0 -3 -0x815b -0x8a4091e4 -256 -256 -0 -0 -0 -2 -0x69bf -0xdc98a241 -256 -256 -0 -0 -0 -4 -0xa4dc -0xe4627050 -256 -256 -1 -0 -0 -4 -0x563a -0xa6f7acf3 -256 -256 -0 -0 -0 -2 -0xd6f4 -0x66939d7d -256 -256 -0 -0 -0 -3 -0x975e -0xced5000a -256 -256 -1 -0 -0 -4 -0x6868 -0xb214266 -256 -256 -0 -0 -0 -1 -0xac77 -0xfb732a01 -256 -256 -1 -0 -0 -3 -0xe0a1 -0x5ef514e7 -256 -256 -0 -0 -0 -1 -0x1c6 -0x82fcd055 -256 -256 -1 -0 -0 -1 -0x3569 -0xe7157352 -256 -256 -1 -0 -0 -4 -0x3719 -0xbd93fb97 -256 -256 -0 -0 -0 -4 -0xc5ca -0x35462870 -256 -256 -1 -0 -0 -4 -0x98fd -0x51f85418 -256 -256 -0 -0 -0 -2 -0xb676 -0x79a9afe7 -256 -256 -1 -0 -0 -2 -0xcae2 -0x95fc77ba -256 -256 -0 -0 -0 -3 -0x6c9b -0x3032a86f -256 -256 -1 -0 -0 -3 -0x6b09 -0xf75a85ca -256 -256 -0 -0 -0 -4 -0x865e -0x8680ee10 -256 -256 -1 -0 -0 -4 -0xb78c -0x49ce5822 -256 -256 -1 -0 -0 -3 -0xf51f -0x50d34a27 -256 -256 -0 -0 -0 -4 -0x23ef -0x19469a8b -256 -256 -0 -0 -0 -3 -0x580a -0x39ff171d -256 -256 -1 -0 -0 -2 -0x1560 -0x830f2474 -256 -256 -1 -0 -0 -3 -0x6507 -0xd95dfda0 -256 -256 -1 -0 -0 -1 -0x4de0 -0x1be9d5fc -256 -256 -0 -0 -0 -1 -0x7c56 -0x20c3107d -256 -256 -1 -0 -0 -3 -0xdd9a -0x323677f8 -256 -256 -1 -0 -0 -4 -0x5551 -0x852a12a1 -256 -256 -0 -0 -0 -2 -0x5b3d -0x90fb05a8 -256 -256 -1 -0 -0 -2 -0x8890 -0xbe4b6a78 -256 -256 -1 -0 -0 -4 -0x47e -0x46c678a9 -256 -256 -1 -0 -0 -2 -0x9ed -0x5a1a2250 -256 -256 -1 -0 -0 -2 -0xbae9 -0x78812dc8 -256 -256 -0 -0 -0 -2 -0x21f8 -0x8a4c0e9d -256 -256 -0 -0 -0 -1 -0xa05e -0xf40916de -256 -256 -0 -0 -0 -1 -0x9830 -0x2553477b -256 -256 -0 -0 -0 -1 -0xf977 -0x2ceaa50f -256 -256 -0 -0 -0 -3 -0x6bd6 -0xa359a50e -256 -256 -1 -0 -0 -3 -0xea7c -0x66d3ac90 -256 -256 -1 -0 -0 -1 -0x9baf -0x8c1aa756 -256 -256 -1 -0 -0 -4 -0x9dde -0x20aeadca -256 -256 -1 -0 -0 -2 -0x5eee -0x12f8f558 -256 -256 -0 -0 -0 -4 -0x7d99 -0xfa4b80fc -256 -256 -0 -0 -0 -1 -0x5a47 -0x4c1637c7 -256 -256 -0 -0 -0 -3 -0xe983 -0x4d64c61d -256 -256 -1 -0 -0 -1 -0xf34a -0x34dcbe86 -256 -256 -1 -0 -0 -4 -0x9164 -0x10a3c95f -256 -256 -0 -0 -0 -3 -0xee49 -0xa3fed37 -256 -256 -0 -0 -0 -2 -0x1970 -0x356286c1 -256 -256 -1 -0 -0 -2 -0x77ab -0x509c0487 -256 -256 -1 -0 -0 -1 -0xd418 -0xa598ab28 -256 -256 -0 -0 -0 -3 -0x8fdb -0x56a58d5a -256 -256 -1 -0 -0 -2 -0xb6ce -0x9300f427 -256 -256 -1 -0 -0 -1 -0xad45 -0x855d94e4 -256 -256 -0 -0 -0 -2 -0x373b -0x8570a951 -256 -256 -0 -0 -0 -3 -0xf822 -0x267a1419 -256 -256 -0 -0 -0 -4 -0x738a -0xadbfcc97 -256 -256 -1 -0 -0 -2 -0xc3a9 -0x17bf8f3d -256 -256 -1 -0 -0 -1 -0xa73e -0xb8c4a197 -256 -256 -1 -0 -0 -2 -0xda12 -0x8611d0d3 -256 -256 -0 -0 -0 -3 -0xd95f -0x71a66b6f -256 -256 -1 -0 -0 -2 -0x15f2 -0x7c1707f9 -256 -256 -1 -0 -0 -1 -0x5784 -0x4c8396fb -256 -256 -0 -0 -0 -2 -0xd020 -0x6c092ecb -256 -256 -1 -0 -0 -4 -0x707 -0x23936641 -256 -256 -0 -0 -0 -3 -0xa7a1 -0x9338a7f7 -256 -256 -1 -0 -0 -1 -0x44b9 -0xe0d3609e -256 -256 -0 -0 -0 -4 -0x46f -0xf04e6b75 -256 -256 -0 -0 -0 -1 -0x3a3a -0xd2c36b70 -256 -256 -1 -0 -0 -2 -0xe9fc -0xea99a0de -256 -256 -1 -0 -0 -4 -0xc41 -0x626230f8 -256 -256 -0 -0 -0 -2 -0x8e6 -0xf91ff624 -256 -256 -0 -0 -0 -2 -0xb705 -0x1e700d11 -256 -256 -0 -0 -0 -4 -0x114c -0x4aa8fac8 -256 -256 -0 -0 -0 -4 -0xbd6e -0xd62ef845 -256 -256 -0 -0 -0 -2 -0x246b -0x66cdff23 -256 -256 -0 -0 -0 -1 -0x5ca3 -0xfea142e7 -256 -256 -1 -0 -0 -2 -0x7052 -0x1ad610d3 -256 -256 -1 -0 -0 -3 -0x4146 -0x89a5d589 -256 -256 -0 -0 -0 -2 -0x8af4 -0x39612c95 -256 -256 -0 -0 -0 -4 -0x7e65 -0x75e38cb -256 -256 -0 -0 -0 -3 -0x5bfd -0x9c63cab -256 -256 -1 -0 -0 -3 -0x8720 -0x85bc22d3 -256 -256 -0 -0 -0 -3 -0xd69b -0x70e91719 -256 -256 -0 -0 -0 -2 -0x3074 -0xa8005405 -256 -256 -0 -0 -0 -3 -0xdaea -0xe99f805 -256 -256 -1 -0 -0 -2 -0x5c1c -0xd5f47f1a -256 -256 -1 -0 -0 -2 -0x4bb -0xcee7183c -256 -256 -0 -0 -0 -4 -0xdb6b -0xa65e12fe -256 -256 -1 -0 -0 -2 -0x6fa7 -0xd2fa6eff -256 -256 -1 -0 -0 -1 -0x92f0 -0x323c2186 -256 -256 -0 -0 -0 -3 -0xbba5 -0x8a921227 -256 -256 -0 -0 -0 -1 -0x857e -0xc2629d2b -256 -256 -1 -0 -0 -3 -0xbc42 -0x6b9e0b22 -256 -256 -0 -0 -0 -2 -0x7c67 -0x9146af40 -256 -256 -0 -0 -0 -4 -0x25c2 -0x431b0d78 -256 -256 -0 -0 -0 -1 -0x4f88 -0x45fe1968 -256 -256 -0 -0 -0 -4 -0xe13f -0x4ceddc59 -256 -256 -0 -0 -0 -4 -0x69ea -0x39c63f3 -256 -256 -1 -0 -0 -3 -0xef40 -0xd5f2996a -256 -256 -0 -0 -0 -1 -0x85e7 -0x1779c793 -256 -256 -0 -0 -0 -2 -0xee79 -0xe477fdba -256 -256 -0 -0 -0 -3 -0x6c02 -0xa559fbbf -256 -256 -1 -0 -0 -2 -0x95ba -0xc3b71dc -256 -256 -1 -0 -0 -2 -0xaec4 -0x1bf78cda -256 -256 -0 -0 -0 -2 -0x557 -0xca761bcb -256 -256 -0 -0 -0 -4 -0xc4c9 -0x879a13eb -256 -256 -0 -0 -0 -2 -0xacad -0xc37f17c3 -256 -256 -1 -0 -0 -4 -0x34c7 -0x2d274b0a -256 -256 -1 -0 -0 -3 -0xa065 -0xd33e0a0d -256 -256 -0 -0 -0 -4 -0xf6a1 -0xfca04b41 -256 -256 -1 -0 -0 -4 -0xdf9d -0x1cdec8b6 -256 -256 -1 -0 -0 -2 -0xfcc7 -0xc7c2c77a -256 -256 -0 -0 -0 -2 -0xa3cd -0xd034c2c6 -256 -256 -0 -0 -0 -3 -0x2873 -0xc828526d -256 -256 -0 -0 -0 -4 -0x2f5b -0xbc858d26 -256 -256 -0 -0 -0 -2 -0x6b71 -0x727b17cf -256 -256 -1 -0 -0 -4 -0x2b82 -0x5de74f1a -256 -256 -1 -0 -0 -4 -0xdc54 -0x1a74cb08 -256 -256 -0 -0 -0 -4 -0x6c8f -0x29621ff5 -256 -256 -1 -0 -0 -2 -0xc6e0 -0xdf2b0b9 -256 -256 -0 -0 -0 -1 -0x19f8 -0xbd8cad1f -256 -256 -0 -0 -0 -4 -0x9f29 -0x3cca7152 -256 -256 -0 -0 -0 -2 -0x3e0d -0xb9cc303a -256 -256 -1 -0 -0 -2 -0x40e2 -0xc831b723 -256 -256 -1 -0 -0 -2 -0x9cfd -0xf53d4bce -256 -256 -1 -0 -0 -2 -0xf25e -0xeed3b424 -256 -256 -1 -0 -0 -2 -0xc06b -0x450cb052 -256 -256 -1 -0 -0 -2 -0x389f -0xa5ab0607 -256 -256 -1 -0 -0 -1 -0xe3b9 -0x5b8cc81c -256 -256 -0 -0 -0 -3 -0x9ab9 -0x3ce6c778 -256 -256 -0 -0 -0 -3 -0x63f9 -0xa9efb718 -256 -256 -0 -0 -0 -1 -0x3012 -0x9e01218b -256 -256 -0 -0 -0 -2 -0xe67d -0xdf629b2 -256 -256 -0 -0 -0 -4 -0xc135 -0x32747ffb -256 -256 -1 -0 -0 -4 -0xa83e -0x446f2ccd -256 -256 -1 -0 -0 -4 -0xcb32 -0x92581a10 -256 -256 -1 -0 -0 -3 -0x3b3c -0x30089b7c -256 -256 -1 -0 -0 -1 -0xdfdb -0x23579a39 -256 -256 -1 -0 -0 -3 -0xffd -0xaed521c3 -256 -256 -0 -0 -0 -1 -0xc9d7 -0x136a5932 -256 -256 -1 -0 -0 -3 -0xfc25 -0x668fc92 -256 -256 -0 -0 -0 -3 -0x541 -0x4e6258fb -256 -256 -0 -0 -0 -2 -0x8dcf -0xfa01a6a0 -256 -256 -0 -0 -0 -4 -0xadfb -0xcf90b87e -256 -256 -1 -0 -0 -1 -0x82b1 -0xfede4a04 -256 -256 -0 -0 -0 -2 -0x8939 -0x35601fc2 -256 -256 -0 -0 -0 -2 -0x8dd9 -0x27eb3741 -256 -256 -1 -0 -0 -2 -0x777a -0x817e6783 -256 -256 -1 -0 -0 -1 -0x3994 -0xcee9f701 -256 -256 -1 -0 -0 -4 -0x9b02 -0xd1a8cd46 -256 -256 -1 -0 -0 -3 -0x71d5 -0xf779c66b -256 -256 -1 -0 -0 -3 -0x907f -0xed4744fb -256 -256 -0 -0 -0 -4 -0x3c32 -0x4103512e -256 -256 -0 -0 -0 -3 -0xe182 -0xd16a4218 -256 -256 -1 -0 -0 -4 -0x775d -0x8b0fd02a -256 -256 -0 -0 -0 -1 -0x296d -0x7b1777fb -256 -256 -1 -0 -0 -4 -0x8cf8 -0x7a46e36a -256 -256 -0 -0 -0 -3 -0x13aa -0xcbc8c14 -256 -256 -1 -0 -0 -1 -0xeb00 -0x6760725f -256 -256 -1 -0 -0 -4 -0x66c -0x47455a81 -256 -256 -0 -0 -0 -1 -0x227b -0x514ed773 -256 -256 -1 -0 -0 -1 -0x21ad -0xaf3c3660 -256 -256 -1 -0 -0 -1 -0xb2c9 -0x24944c82 -256 -256 -1 -0 -0 -3 -0x86a9 -0x2251d55c -256 -256 -0 -0 -0 -2 -0x7432 -0x7a7f3bb2 -256 -256 -0 -0 -0 -2 -0xac90 -0x26988070 -256 -256 -1 -0 -0 -2 -0xdd80 -0x198fc572 -256 -256 -1 -0 -0 -4 -0xd3e5 -0x86fefbc9 -256 -256 -0 -0 -0 -4 -0xf55f -0xbec834e -256 -256 -1 -0 -0 -2 -0x3449 -0xe8121ab8 -256 -256 -0 -0 -0 -4 -0x8ab -0x54c60e2b -256 -256 -1 -0 -0 -3 -0xd2c0 -0x7dcb2b24 -256 -256 -0 -0 -0 -4 -0xfd3c -0x4b25fcec -256 -256 -0 -0 -0 -2 -0xcd7b -0x4c31bd60 -256 -256 -1 -0 -0 -2 -0xfc38 -0x3fb4c9b8 -256 -256 -1 -0 -0 -1 -0x852 -0xae892e5e -256 -256 -0 -0 -0 -2 -0x5ffb -0x79d5910d -256 -256 -0 -0 -0 -3 -0x5920 -0x61b71fe6 -256 -256 -0 -0 -0 -3 -0xa342 -0x56a82654 -256 -256 -0 -0 -0 -1 -0x8bbf -0xbfdbffbb -256 -256 -0 -0 -0 -1 -0xa56d -0x61e8f2da -256 -256 -0 -0 -0 -1 -0xd910 -0x77eb98f5 -256 -256 -1 -0 -0 -4 -0xcdd1 -0x3ce742a7 -256 -256 -1 -0 -0 -2 -0x6c19 -0x6f478535 -256 -256 -0 -0 -0 -3 -0x616a -0xf0fb0232 -256 -256 -1 -0 -0 -3 -0xffcf -0x80bf85ee -256 -256 -1 -0 -0 -4 -0x222e -0x189c755d -256 -256 -0 -0 -0 -4 -0x6d4a -0x67513e2f -256 -256 -0 -0 -0 -3 -0x9a7e -0x2c40993c -256 -256 -0 -0 -0 -4 -0x974f -0xf0805e37 -256 -256 -1 -0 -0 -1 -0xe1a3 -0xf594693f -256 -256 -1 -0 -0 -3 -0x7ebc -0xfaaa1950 -256 -256 -0 -0 -0 -3 -0x3027 -0x361c9472 -256 -256 -1 -0 -0 -3 -0x55eb -0xe680d667 -256 -256 -0 -0 -0 -3 -0xa9ee -0xcdafadee -256 -256 -1 -0 -0 -3 -0x1d37 -0x57e3acda -256 -256 -0 -0 -0 -2 -0x89bd -0x982d61fb -256 -256 -1 -0 -0 -2 -0xd502 -0x46fb8bf1 -256 -256 -1 -0 -0 -2 -0xfc3f -0xd9d1df5f -256 -256 -0 -0 -0 -4 -0xb719 -0x7f7d42b3 -256 -256 -0 -0 -0 -2 -0xc42d -0xce55cd06 -256 -256 -0 -0 -0 -4 -0xda88 -0x1b6f5e69 -256 -256 -0 -0 -0 -2 -0x1c9c -0xb64850fd -256 -256 -1 -0 -0 -3 -0xd20e -0x48986d03 -256 -256 -1 -0 -0 -4 -0xc7e7 -0xf182e8c3 -256 -256 -0 -0 -0 -2 -0x334b -0x7a00c9ac -256 -256 -1 -0 -0 -4 -0x4b25 -0xd5301a2 -256 -256 -1 -0 -0 -1 -0x813f -0xa6faa4bc -256 -256 -1 -0 -0 -3 -0x4222 -0xbe342401 -256 -256 -1 -0 -0 -2 -0xd4ad -0x21160991 -256 -256 -0 -0 -0 -2 -0x932f -0x811d23df -256 -256 -0 -0 -0 -2 -0x9d3e -0x617f5254 -256 -256 -1 -0 -0 -4 -0x1320 -0x80036bff -256 -256 -0 -0 -0 -1 -0x93b4 -0x9d907fec -256 -256 -1 -0 -0 -3 -0x78b3 -0xbb158be6 -256 -256 -0 -0 -0 -4 -0x2970 -0x5400930e -256 -256 -0 -0 -0 -2 -0x35dd -0x1c45ba37 -256 -256 -1 -0 -0 -4 -0xf523 -0x4e6be4e6 -256 -256 -0 -0 -0 -1 -0x8b43 -0xd607af24 -256 -256 -1 -0 -0 -2 -0x410d -0x2ed7f894 -256 -256 -1 -0 -0 -2 -0xad80 -0x1a0c274b -256 -256 -1 -0 -0 -4 -0x6a3e -0xe36f2c11 -256 -256 -0 -0 -0 -4 -0xc711 -0x3bee2bca -256 -256 -0 -0 -0 -4 -0xcbd3 -0xe802c240 -256 -256 -1 -0 -0 -3 -0x5eac -0xc6ec7242 -256 -256 -0 -0 -0 -2 -0xc02a -0xbb5a9ee6 -256 -256 -0 -0 -0 -4 -0x6453 -0x6c00d4a3 -256 -256 -0 -0 -0 -1 -0xb2dd -0xba587d36 -256 -256 -0 -0 -0 -1 -0xce8d -0xd017b5f -256 -256 -1 -0 -0 -2 -0xb46 -0x879356a -256 -256 -0 -0 -0 -1 -0x5815 -0x3ee901b8 -256 -256 -0 -0 -0 -1 -0x865e -0x1958b7a -256 -256 -1 -0 -0 -4 -0x8f9f -0xe20ef462 -256 -256 -1 -0 -0 -3 -0x3bd -0x1be86206 -256 -256 -1 -0 -0 -2 -0xcbfb -0x1f3ab6c -256 -256 -0 -0 -0 -4 -0xdbee -0xa442c28a -256 -256 -0 -0 -0 -3 -0xf129 -0x81887cdc -256 -256 -0 -0 -0 -3 -0xbdff -0x76f4cf2 -256 -256 -0 -0 -0 -2 -0x3eae -0xc34be02b -256 -256 -1 -0 -0 -4 -0xeaa2 -0x537a6ece -256 -256 -0 -0 -0 -1 -0x4bd5 -0x808b2be1 -256 -256 -0 -0 -0 -4 -0x894a -0xab3ff78d -256 -256 -0 -0 -0 -1 -0xb1cf -0x25f73c12 -256 -256 -0 -0 -0 -1 -0xca6a -0xb275ceb2 -256 -256 -0 -0 -0 -4 -0x7e3c -0x4365dc6a -256 -256 -1 -0 -0 -2 -0xca09 -0xd8577b2e -256 -256 -0 -0 -0 -3 -0x6b2a -0xa79cc3f0 -256 -256 -1 -0 -0 -4 -0xd64c -0x7e4e1074 -256 -256 -0 -0 -0 -3 -0x4660 -0x3bb72501 -256 -256 -0 -0 -0 -1 -0x90a8 -0xfa033fb8 -256 -256 -1 -0 -0 -2 -0x9408 -0x5eaa4114 -256 -256 -1 -0 -0 -1 -0xfb92 -0x521c6b38 -256 -256 -1 -0 -0 -1 -0x657a -0xf48fea70 -256 -256 -0 -0 -0 -1 -0xcedc -0xbdd6b009 -256 -256 -0 -0 -0 -4 -0x54d8 -0x36bc8aef -256 -256 -0 -0 -0 -1 -0xfd95 -0x3aa403fa -256 -256 -1 -0 -0 -4 -0x8e34 -0x2ee735bc -256 -256 -0 -0 -0 -3 -0xa83e -0x76ae3a7e -256 -256 -1 -0 -0 -3 -0x44b5 -0x44d0e278 -256 -256 -0 -0 -0 -4 -0x20a4 -0xb37f7179 -256 -256 -1 -0 -0 -2 -0x9b04 -0xb2db36dc -256 -256 -1 -0 -0 -3 -0xe672 -0xf629e218 -256 -256 -0 -0 -0 -1 -0x55cf -0x1e4ef138 -256 -256 -1 -0 -0 -1 -0x8395 -0xebdda9c7 -256 -256 -1 -0 -0 -3 -0x21a6 -0x4500d603 -256 -256 -1 -0 -0 -2 -0xe669 -0x7cbda6d0 -256 -256 -0 -0 -0 -2 -0x336b -0xd0c27e46 -256 -256 -1 -0 -0 -1 -0xb090 -0xae1d333b -256 -256 -1 -0 -0 -3 -0x7718 -0x47f11b9c -256 -256 -0 -0 -0 -4 -0x21d6 -0xee60c700 -256 -256 -0 -0 -0 -1 -0x300c -0xc129b0b9 -256 -256 -0 -0 -0 -4 -0x5b1c -0x4d41f04e -256 -256 -1 -0 -0 -2 -0xdf79 -0xa38e474a -256 -256 -0 -0 -0 -4 -0xb529 -0x8adb0369 -256 -256 -1 -0 -0 -2 -0xcfc0 -0x341b5fc -256 -256 -1 -0 -0 -3 -0xc606 -0x7dd00328 -256 -256 -0 -0 -0 -4 -0x7ff5 -0x8cef50f7 -256 -256 -1 -0 -0 -3 -0xcc35 -0xfdc8774d -256 -256 -1 -0 -0 -4 -0x4cbc -0xdbd0f21e -256 -256 -0 -0 -0 -2 -0x6735 -0xeec62932 -256 -256 -0 -0 -0 -3 -0xd9 -0xe94bfd4e -256 -256 -1 -0 -0 -3 -0x29f5 -0x7a2c7a48 -256 -256 -0 -0 -0 -2 -0x5f70 -0xe62a32da -256 -256 -1 -0 -0 -2 -0x2445 -0x5a0d3687 -256 -256 -0 -0 -0 -1 -0x220a -0xd8d28d7a -256 -256 -0 -0 -0 -4 -0xf81b -0x9461f09d -256 -256 -0 -0 -0 -3 -0x9154 -0xa0ff0b82 -256 -256 -1 -0 -0 -3 -0xa0e4 -0x165bc5a1 -256 -256 -1 -0 -0 -3 -0x38a -0xc1f9bbf3 -256 -256 -0 -0 -0 -2 -0x122b -0xc60dbb3c -256 -256 -0 -0 -0 -2 -0x334d -0x7b0815df -256 -256 -0 -0 -0 -3 -0x517a -0x3f79af63 -256 -256 -0 -0 -0 -2 -0x1f48 -0xf7cd6bf9 -256 -256 -0 -0 -0 -4 -0x1909 -0xfdabec7a -256 -256 -0 -0 -0 -1 -0x40f5 -0xbdae3461 -256 -256 -0 -0 -0 -4 -0xaf6d -0x659ff7a -256 -256 -0 -0 -0 -2 -0x6b3b -0x39be084f -256 -256 -1 -0 -0 -2 -0x10f6 -0x88067f7c -256 -256 -1 -0 -0 -1 -0x5fb6 -0xf332b22c -256 -256 -1 -0 -0 -1 -0x3c0c -0x3fee09fb -256 -256 -0 -0 -0 -3 -0x9257 -0x19f08016 -256 -256 -1 -0 -0 -3 -0x4a35 -0x3d28e95e -256 -256 -1 -0 -0 -2 -0x5f3e -0x3e7dbaf4 -256 -256 -0 -0 -0 -1 -0x80f3 -0x983ed6b9 -256 -256 -1 -0 -0 -1 -0x89fe -0x962d23f7 -256 -256 -0 -0 -0 -2 -0xf704 -0xdbafdfcf -256 -256 -1 -0 -0 -1 -0x33ee -0x407d9850 -256 -256 -1 -0 -0 -1 -0x3cca -0xf555e104 -256 -256 -1 -0 -0 -1 -0x9eaf -0x7faeadaf -256 -256 -1 -0 -0 -4 -0x27bb -0xceeaafba -256 -256 -1 -0 -0 -3 -0xc4db -0xc2310be7 -256 -256 -1 -0 -0 -3 -0x1328 -0xd6c4e52a -256 -256 -1 -0 -0 -2 -0xbaab -0xfc20210c -256 -256 -1 -0 -0 -3 -0x9bce -0xda3ff6fe -256 -256 -0 -0 -0 -2 -0x913a -0x71060794 -256 -256 -1 -0 -0 -4 -0x48b8 -0xa3adae50 -256 -256 -1 -0 -0 -1 -0x6e65 -0xffbc264f -256 -256 -0 -0 -0 -3 -0x2c8a -0xd8760fc0 -256 -256 -1 -0 -0 -1 -0xd991 -0x21ebb638 -256 -256 -1 -0 -0 -3 -0x45 -0x14f21d3c -256 -256 -1 -0 -0 -3 -0x5e8 -0x589a53de -256 -256 -0 -0 -0 -2 -0x65b0 -0x60c9eafe -256 -256 -0 -0 -0 -4 -0x74cd -0xe880eba5 -256 -256 -0 -0 -0 -1 -0xd7c1 -0x61518b4d -256 -256 -1 -0 -0 -1 -0xa26c -0xc15b51fd -256 -256 -0 -0 -0 -2 -0x1863 -0xdb31e2a3 -256 -256 -0 -0 -0 -4 -0x9f9f -0xddb131b0 -256 -256 -1 -0 -0 -1 -0x591e -0xc4aba7c6 -256 -256 -0 -0 -0 -1 -0x151f -0x658b31be -256 -256 -0 -0 -0 -1 -0x1aab -0x51eb8612 -256 -256 -1 -0 -0 -3 -0x3aaf -0x34a281ad -256 -256 -0 -0 -0 -2 -0xe011 -0x8fa9cd42 -256 -256 -1 -0 -0 -3 -0xd7a4 -0x7b3ac755 -256 -256 -0 -0 -0 -1 -0x88f6 -0x68fd42a3 -256 -256 -0 -0 -0 -4 -0x1acd -0x724e0ca6 -256 -256 -0 -0 -0 -3 -0xe625 -0x7e47b186 -256 -256 -0 -0 -0 -2 -0x57fb -0xbd6e1bb5 -256 -256 -1 -0 -0 -3 -0xfaa6 -0xb54dcff0 -256 -256 -0 -0 -0 -4 -0x992 -0x755d24c -256 -256 -1 -0 -0 -4 -0x64b4 -0xb7154fa6 -256 -256 -1 -0 -0 -2 -0x94a6 -0x9b8859d6 -256 -256 -1 -0 -0 -2 -0x1f1f -0x3d51d5a4 -256 -256 -0 -0 -0 -3 -0x4cdd -0x19981936 -256 -256 -1 -0 -0 -4 -0x7653 -0x979fdc44 -256 -256 -0 -0 -0 -4 -0xf381 -0x44b0724 -256 -256 -0 -0 -0 -3 -0x5b88 -0x5e6d5a79 -256 -256 -0 -0 -0 -4 -0x99f4 -0x20dcff0b -256 -256 -1 -0 -0 -2 -0x42d4 -0x615e5089 -256 -256 -1 -0 -0 -4 -0x3290 -0x1fee83c2 -256 -256 -0 -0 -0 -1 -0xebf8 -0x449540b -256 -256 -1 -0 -0 -4 -0xe115 -0xa73738c6 -256 -256 -0 -0 -0 -2 -0x1fb0 -0x29276c8c -256 -256 -0 -0 -0 -1 -0x5f79 -0x92cd67b5 -256 -256 -0 -0 -0 -3 -0x120a -0xbabbb595 -256 -256 -1 -0 -0 -2 -0x3f64 -0x552655f3 -256 -256 -1 -0 -0 -2 -0x1700 -0xa44f4b9b -256 -256 -1 -0 -0 -3 -0xec3a -0x2dd447a3 -256 -256 -1 -0 -0 -2 -0x900a -0x3d733410 -256 -256 -1 -0 -0 -3 -0x4e0c -0x13db2c81 -256 -256 -1 -0 -0 -2 -0xd6c -0x9b6a7cdd -256 -256 -1 -0 -0 -2 -0x8eb3 -0xad3f8f69 -256 -256 -1 -0 -0 -1 -0xf79c -0xa778ae61 -256 -256 -0 -0 -0 -2 -0xd23b -0xc40681ab -256 -256 -0 -0 -0 -1 -0x191f -0xca4a8e70 -256 -256 -1 -0 -0 -1 -0xb9a3 -0xd425a988 -256 -256 -0 -0 -0 -3 -0x2160 -0x685ce6c8 -256 -256 -1 -0 -0 -1 -0x207c -0x9f8690b0 -256 -256 -1 -0 -0 -1 -0xfaf8 -0xe194504e -256 -256 -0 -0 -0 -4 -0x8cb4 -0x9e5b1374 -256 -256 -0 -0 -0 -1 -0x13c8 -0x5fdb4210 -256 -256 -1 -0 -0 -1 -0x55db -0x5507a691 -256 -256 -1 -0 -0 -3 -0xbab0 -0xce25f81e -256 -256 -0 -0 -0 -2 -0x659 -0x1db3526a -256 -256 -1 -0 -0 -1 -0xe9db -0x42742f6f -256 -256 -0 -0 -0 -3 -0x5668 -0x5b8cd832 -256 -256 -1 -0 -0 -4 -0xf9e -0xf1f63300 -256 -256 -0 -0 -0 -3 -0x78e -0x764b35a4 -256 -256 -1 -0 -0 -2 -0x95b3 -0x8e339551 -256 -256 -0 -0 -0 -3 -0xca0f -0x1ce3737 -256 -256 -0 -0 -0 -4 -0x1ab3 -0xea11304c -256 -256 -0 -0 -0 -4 -0xf324 -0x192d8727 -256 -256 -1 -0 -0 -1 -0x1fa1 -0x399119ad -256 -256 -0 -0 -0 -3 -0x97ae -0xbb1044d9 -256 -256 -0 -0 -0 -3 -0x4e35 -0x5fe385f0 -256 -256 -0 -0 -0 -1 -0x9447 -0x9199d172 -256 -256 -1 -0 -0 -1 -0x54f0 -0x60ef0587 -256 -256 -0 -0 -0 -4 -0x2021 -0xe10751ee -256 -256 -0 -0 -0 -2 -0x8fbf -0x1fca2a9f -256 -256 -0 -0 -0 -4 -0x6dc6 -0x60e914cb -256 -256 -0 -0 -0 -2 -0x8257 -0x2c111e1b -256 -256 -1 -0 -0 -4 -0xac92 -0x54bf79b6 -256 -256 -0 -0 -0 -1 -0x6bd8 -0xfd2cd2c4 -256 -256 -1 -0 -0 -4 -0x2293 -0x70fbd611 -256 -256 -0 -0 -0 -1 -0x80f -0xb40dc331 -256 -256 -0 -0 -0 -2 -0x8e3d -0xcede82d0 -256 -256 -1 -0 -0 -4 -0x651e -0x1336abd3 -256 -256 -1 -0 -0 -3 -0x62af -0xb9ba514a -256 -256 -1 -0 -0 -2 -0xa851 -0x80920854 -256 -256 -1 -0 -0 -3 -0x1ec7 -0xc3e3c744 -256 -256 -1 -0 -0 -2 -0xa509 -0x782ff9b5 -256 -256 -1 -0 -0 -4 -0xc4a2 -0xae6e589f -256 -256 -0 -0 -0 -4 -0xbc1f -0x9d66b970 -256 -256 -1 -0 -0 -4 -0xc4dc -0x987bc0f2 -256 -256 -1 -0 -0 -4 -0x5af2 -0x423df689 -256 -256 -1 -0 -0 -3 -0x5ac5 -0xb01d20b5 -256 -256 -0 -0 -0 -4 -0x8a78 -0x199b5985 -256 -256 -0 -0 -0 -2 -0xdc0 -0xf3bb2dd9 -256 -256 -0 -0 -0 -1 -0x1ef9 -0x4ddc9c75 -256 -256 -0 -0 -0 -3 -0x6cd2 -0x440d2b55 -256 -256 -0 -0 -0 -4 -0x3c84 -0xde293a32 -256 -256 -1 -0 -0 -4 -0x75b2 -0xc188b08d -256 -256 -1 -0 -0 -4 -0x6be7 -0xdd1fe386 -256 -256 -0 -0 -0 -1 -0x9683 -0x9d16e27a -256 -256 -1 -0 -0 -2 -0x917 -0xa0d9df3d -256 -256 -1 -0 -0 -1 -0xcdea -0x2a2501b0 -256 -256 -1 -0 -0 -4 -0xebf9 -0x2c7cf428 -256 -256 -0 -0 -0 -2 -0x11ca -0x196c4e9 -256 -256 -0 -0 -0 -3 -0xc000 -0x1debc855 -256 -256 -1 -0 -0 -1 -0x3cc9 -0x8fa8b5eb -256 -256 -0 -0 -0 -3 -0x19b2 -0xbcadd5cb -256 -256 -0 -0 -0 -1 -0xcad6 -0x1a719d41 -256 -256 -1 -0 -0 -2 -0x58dd -0x42f27df4 -256 -256 -0 -0 -0 -4 -0x3759 -0xaeb92207 -256 -256 -0 -0 -0 -2 -0xc7a5 -0xe2b1c0a4 -256 -256 -0 -0 -0 -1 -0xb945 -0x952c8b45 -256 -256 -0 -0 -0 -2 -0x9714 -0x64e6b3d0 -256 -256 -1 -0 -0 -3 -0x624c -0x8458676f -256 -256 -0 -0 -0 -3 -0x4649 -0x8b6dd611 -256 -256 -0 -0 -0 -4 -0xff8 -0xdd490800 -256 -256 -1 -0 -0 -1 -0xb382 -0xe25c1d25 -256 -256 -0 -0 -0 -1 -0x4fc3 -0xe70bc7a7 -256 -256 -0 -0 -0 -3 -0x8c95 -0x5bb9da22 -256 -256 -1 -0 -0 -4 -0x9d48 -0xe644f240 -256 -256 -0 -0 -0 -3 -0xf6ea -0x701c3f16 -256 -256 -1 -0 -0 -1 -0x835a -0x9b33e6ac -256 -256 -1 -0 -0 -2 -0xe891 -0x65696c35 -256 -256 -1 -0 -0 -3 -0x49a7 -0x71870188 -256 -256 -0 -0 -0 -1 -0xdab8 -0xfb32e815 -256 -256 -1 -0 -0 -4 -0xe772 -0x13374449 -256 -256 -1 -0 -0 -4 -0xbfb9 -0xca4c672a -256 -256 -0 -0 -0 -3 -0x954c -0x99c3b42d -256 -256 -1 -0 -0 -4 -0xd56f -0x61e4cc3f -256 -256 -1 -0 -0 -3 -0xbadd -0xd398531f -256 -256 -1 -0 -0 -4 -0xd0a9 -0xb208fb82 -256 -256 -1 -0 -0 -4 -0x8d38 -0x4a9b26ef -256 -256 -1 -0 -0 -2 -0x775e -0xcbdb6883 -256 -256 -0 -0 -0 -3 -0x950f -0xf57b6f85 -256 -256 -0 -0 -0 -3 -0xe3f0 -0x24d2cbec -256 -256 -0 -0 -0 -1 -0x8163 -0x9430049a -256 -256 -1 -0 -0 -4 -0xa948 -0x5b237be -256 -256 -1 -0 -0 -4 -0x67cf -0x1ed2b6fe -256 -256 -0 -0 -0 -3 -0x28eb -0x3a78cdfe -256 -256 -1 -0 -0 -2 -0xfedd -0xb6d7840f -256 -256 -0 -0 -0 -4 -0x4997 -0x4926156a -256 -256 -1 -0 -0 -1 -0xd858 -0x445ddd57 -256 -256 -1 -0 -0 -4 -0xfc55 -0x571af20c -256 -256 -0 -0 -0 -1 -0x7c7d -0x70f6717d -256 -256 -0 -0 -0 -3 -0xec42 -0xaaf54990 -256 -256 -1 -0 -0 -4 -0x7b80 -0x4c77b57f -256 -256 -0 -0 -0 -2 -0xa390 -0x5655ce15 -256 -256 -0 -0 -0 -2 -0xaa26 -0xfd20a4ab -256 -256 -0 -0 -0 -3 -0x6305 -0x885a17d4 -256 -256 -1 -0 -0 -2 -0xc38d -0x404a9b84 -256 -256 -0 -0 -0 -4 -0xa2a6 -0xbbf2571d -256 -256 -0 -0 -0 -1 -0x6a8 -0xca7f568f -256 -256 -1 -0 -0 -1 -0x2fd1 -0x51b260bc -256 -256 -1 -0 -0 -1 -0x8290 -0x40a3bb9e -256 -256 -0 -0 -0 -2 -0x3a0c -0xe3135de6 -256 -256 -0 -0 -0 -2 -0x369 -0x40659ce1 -256 -256 -0 -0 -0 -2 -0xe948 -0xe3227053 -256 -256 -0 -0 -0 -4 -0x5b5a -0x2539238e -256 -256 -0 -0 -0 -3 -0x7c0a -0xaa73e288 -256 -256 -0 -0 -0 -4 -0xaf41 -0x4164d25 -256 -256 -1 -0 -0 -3 -0xdba9 -0x63a07c35 -256 -256 -0 -0 -0 -1 -0x41d2 -0x1735e80e -256 -256 -1 -0 -0 -3 -0xb18e -0x52bd4267 -256 -256 -0 -0 -0 -1 -0x7295 -0x55261c1f -256 -256 -1 -0 -0 -4 -0xf2a6 -0xe17ce3f5 -256 -256 -1 -0 -0 -2 -0x2b20 -0x81653ef2 -256 -256 -0 -0 -0 -3 -0x8172 -0x390b928f -256 -256 -0 -0 -0 -2 -0x82aa -0xf5de92bd -256 -256 -1 -0 -0 -3 -0x2553 -0x5a9665ed -256 -256 -0 -0 -0 -3 -0x7371 -0x9c700d7f -256 -256 -1 -0 -0 -3 -0xd0bf -0xb13c3ee9 -256 -256 -0 -0 -0 -4 -0xd54f -0x217c3d0a -256 -256 -0 -0 -0 -1 -0xc2ee -0x3546d2e7 -256 -256 -1 -0 -0 -1 -0x1d97 -0x7a7b49e3 -256 -256 -1 -0 -0 -2 -0x5fdd -0x48e46838 -256 -256 -1 -0 -0 -2 -0x27e4 -0xb37ade19 -256 -256 -1 -0 -0 -3 -0x503b -0xda104083 -256 -256 -1 -0 -0 -3 -0xb3bd -0x891f65f -256 -256 -1 -0 -0 -2 -0x4ff2 -0x8c1bb14e -256 -256 -0 -0 -0 -3 -0xa008 -0x87ed0e9d -256 -256 -1 -0 -0 -1 -0x27bd -0x902de08d -256 -256 -0 -0 -0 -4 -0x809f -0xa0a73e96 -256 -256 -1 -0 -0 -2 -0x84cc -0x63e2f19c -256 -256 -0 -0 -0 -4 -0x58cf -0xfcb3fb03 -256 -256 -0 -0 -0 -4 -0xfc93 -0x95a3c5c -256 -256 -0 -0 -0 -2 -0x3d5e -0xbbbd8907 -256 -256 -0 -0 -0 -3 -0x54d2 -0xba03711e -256 -256 -0 -0 -0 -1 -0x25ac -0xb0e59d10 -256 -256 -0 -0 -0 -4 -0xb53d -0xb850253d -256 -256 -1 -0 -0 -2 -0xfef5 -0xc415e89c -256 -256 -0 -0 -0 -4 -0x8625 -0x50097c1 -256 -256 -1 -0 -0 -4 -0x852 -0xbade292 -256 -256 -1 -0 -0 -1 -0xfcc0 -0xc92e0d91 -256 -256 -1 -0 -0 -2 -0x9a1a -0x646c21e6 -256 -256 -0 -0 -0 -4 -0x1b51 -0x9afdc199 -256 -256 -0 -0 -0 -2 -0x9fa9 -0x8af2a72c -256 -256 -1 -0 -0 -1 -0xaca8 -0x254dad90 -256 -256 -1 -0 -0 -3 -0x2229 -0x4a8d5118 -256 -256 -0 -0 -0 -1 -0xb44e -0x7d450b94 -256 -256 -1 -0 -0 -2 -0xa401 -0x3d3ca9e3 -256 -256 -1 -0 -0 -4 -0xf497 -0xd72605a6 -256 -256 -0 -0 -0 -2 -0xb5a0 -0x7daf175f -256 -256 -0 -0 -0 -1 -0x6d6c -0x5de73d8e -256 -256 -1 -0 -0 -2 -0x77a2 -0xceff2357 -256 -256 -1 -0 -0 -4 -0x269c -0xab128914 -256 -256 -0 -0 -0 -4 -0xe2e -0xd94b7258 -256 -256 -1 -0 -0 -4 -0xbbb6 -0xf1b0683b -256 -256 -0 -0 -0 -2 -0x3d95 -0xc670d93e -256 -256 -0 -0 -0 -2 -0xcacd -0x2e2f34de -256 -256 -0 -0 -0 -2 -0x8de9 -0xa54b6465 -256 -256 -1 -0 -0 -4 -0xb3f3 -0xc1759a7c -256 -256 -0 -0 -0 -4 -0x3141 -0xbc83e6f8 -256 -256 -0 -0 -0 -2 -0xb225 -0x660d81ef -256 -256 -1 -0 -0 -4 -0x62db -0x7f5c9ad3 -256 -256 -1 -0 -0 -1 -0x1e8 -0xbfffd92c -256 -256 -0 -0 -0 -4 -0x570f -0x87071b3c -256 -256 -1 -0 -0 -2 -0x8b75 -0x2ed36cda -256 -256 -1 -0 -0 -2 -0x8c4 -0xafcf158d -256 -256 -1 -0 -0 -1 -0x69dc -0x2c3f05a3 -256 -256 -1 -0 -0 -4 -0x5110 -0x2c3ace73 -256 -256 -1 -0 -0 -3 -0x4951 -0x773032a7 -256 -256 -0 -0 -0 -3 -0x7ed2 -0x18356bca -256 -256 -1 -0 -0 -1 -0xbfb3 -0xa4b89702 -256 -256 -1 -0 -0 -2 -0xfe98 -0xc91ef072 -256 -256 -1 -0 -0 -3 -0x398 -0x846ce2d -256 -256 -0 -0 -0 -3 -0x21e9 -0x85f24ba7 -256 -256 -1 -0 -0 -3 -0xfbc8 -0xe24c936d -256 -256 -0 -0 -0 -2 -0x1d9d -0x7bc4420 -256 -256 -0 -0 -0 -4 -0x47b4 -0xc278eaf4 -256 -256 -0 -0 -0 -2 -0x8946 -0xece3b373 -256 -256 -0 -0 -0 -2 -0x24ec -0x54056498 -256 -256 -0 -0 -0 -2 -0x6cd5 -0xf2205664 -256 -256 -1 -0 -0 -2 -0x9f92 -0x53932eca -256 -256 -1 -0 -0 -3 -0x6662 -0xaa2458c9 -256 -256 -1 -0 -0 -2 -0xc68a -0x610a494c -256 -256 -1 -0 -0 -1 -0x707b -0x33d86ece -256 -256 -1 -0 -0 -1 -0x19b1 -0xc335715 -256 -256 -1 -0 -0 -2 -0xb165 -0xe5a73433 -256 -256 -0 -0 -0 -4 -0x6680 -0x7051cf81 -256 -256 -0 -0 -0 -2 -0x37e9 -0x947a149a -256 -256 -0 -0 -0 -3 -0xfb38 -0xbecbbec -256 -256 -0 -0 -0 -3 -0xf0d5 -0x419525c8 -256 -256 -0 -0 -0 -4 -0x1d2f -0x2a503120 -256 -256 -0 -0 -0 -3 -0xddb -0x3e85a02a -256 -256 -1 -0 -0 -4 -0x391a -0xf34b82a2 -256 -256 -0 -0 -0 -2 -0x3300 -0x7a505c8c -256 -256 -1 -0 -0 -3 -0x363f -0x221ebdb0 -256 -256 -1 -0 -0 -2 -0xf16b -0x37c8d6a7 -256 -256 -0 -0 -0 -3 -0x577 -0x501289c -256 -256 -0 -0 -0 -3 -0x5c5f -0xd50b26d9 -256 -256 -1 -0 -0 -2 -0x3201 -0x9d75fc81 -256 -256 -0 -0 -0 -2 -0x2d82 -0xfc9c7d32 -256 -256 -0 -0 -0 -1 -0x66fd -0xf2536aa2 -256 -256 -1 -0 -0 -2 -0x5b23 -0x285981e9 -256 -256 -1 -0 -0 -3 -0x758b -0xca33fa8d -256 -256 -0 -0 -0 -2 -0xee92 -0xc9bc2ee7 -256 -256 -0 -0 -0 -1 -0xd4ac -0xfd01d347 -256 -256 -1 -0 -0 -1 -0xafd5 -0xc00cd5be -256 -256 -1 -0 -0 -2 -0x5b38 -0x1a0e3eeb -256 -256 -0 -0 -0 -2 -0x8c60 -0xaf460e75 -256 -256 -0 -0 -0 -3 -0x66eb -0x89ead3b -256 -256 -1 -0 -0 -4 -0x6be8 -0x64186d07 -256 -256 -0 -0 -0 -2 -0x112f -0x9d9f6378 -256 -256 -0 -0 -0 -1 -0x36eb -0x96836b07 -256 -256 -0 -0 -0 -2 -0xd63d -0xfcf55f7 -256 -256 -1 -0 -0 -4 -0xbd95 -0xb8880fed -256 -256 -0 -0 -0 -3 -0xb9bf -0xa3edd5c9 -256 -256 -1 -0 -0 -4 -0xc081 -0xdb14e68c -256 -256 -0 -0 -0 -2 -0x9266 -0x66eeca2c -256 -256 -1 -0 -0 -3 -0x940c -0xc59e91cf -256 -256 -1 -0 -0 -3 -0xd780 -0x80e47eaa -256 -256 -0 -0 -0 -1 -0x3f18 -0x8794106c -256 -256 -1 -0 -0 -1 -0x100c -0xf2db04c7 -256 -256 -0 -0 -0 -2 -0xef57 -0x74d85a42 -256 -256 -1 -0 -0 -2 -0xa27a -0xb7e1a6e8 -256 -256 -0 -0 -0 -4 -0x21b -0x67dc426a -256 -256 -1 -0 -0 -1 -0x5b29 -0x8d3ac7c8 -256 -256 -0 -0 -0 -4 -0x447e -0x3bb4fcb1 -256 -256 -0 -0 -0 -4 -0x573a -0xd55f8f25 -256 -256 -0 -0 -0 -4 -0x7008 -0xb9f68ec4 -256 -256 -1 -0 -0 -4 -0x5295 -0x35221872 -256 -256 -1 -0 -0 -4 -0xf13a -0x80cc0fab -256 -256 -0 -0 -0 -4 -0x36d8 -0x5defb2d6 -256 -256 -0 -0 -0 -3 -0x910c -0x8a093ec3 -256 -256 -0 -0 -0 -4 -0x217f -0x33bbeaa3 -256 -256 -0 -0 -0 -4 -0xfa85 -0xdd325a9a -256 -256 -1 -0 -0 -2 -0xa5c1 -0x232b9155 -256 -256 -1 -0 -0 -2 -0xeb7f -0x6b5b0bb -256 -256 -1 -0 -0 -3 -0x42b4 -0x280c3b61 -256 -256 -0 -0 -0 -4 -0xdc14 -0x71c0b8fd -256 -256 -1 -0 -0 -3 -0x42fc -0x6d4e209b -256 -256 -1 -0 -0 -1 -0xb3cd -0x94e07e33 -256 -256 -0 -0 -0 -1 -0x939b -0xaa969e9 -256 -256 -1 -0 -0 -4 -0x1d1d -0x6119f4b9 -256 -256 -0 -0 -0 -4 -0x43af -0xaa5637f0 -256 -256 -0 -0 -0 -3 -0x950a -0xfff0985b -256 -256 -0 -0 -0 -1 -0x7107 -0xa0eba178 -256 -256 -1 -0 -0 -4 -0xc780 -0xa1d3b229 -256 -256 -1 -0 -0 -3 -0x7978 -0x3ecc2273 -256 -256 -1 -0 -0 -4 -0x8096 -0x816846e4 -256 -256 -0 -0 -0 -1 -0x2963 -0xe8acb2d7 -256 -256 -0 -0 -0 -2 -0x4e82 -0xf48fc569 -256 -256 -1 -0 -0 -1 -0x47ab -0xe1fb30e9 -256 -256 -0 -0 -0 -4 -0xeb8e -0x31436ad9 -256 -256 -0 -0 -0 -1 -0xeb8f -0x469c39a3 -256 -256 -1 -0 -0 -3 -0x2c21 -0x4be2381f -256 -256 -1 -0 -0 -3 -0x8186 -0xd0fb712c -256 -256 -1 -0 -0 -3 -0xabb -0x9a7969f0 -256 -256 -0 -0 -0 -2 -0x2be3 -0xb2b45ab6 -256 -256 -1 -0 -0 -2 -0xfae4 -0x793c1bfd -256 -256 -0 -0 -0 -3 -0x8459 -0x407611d6 -256 -256 -1 -0 -0 -4 -0xc2b8 -0x68b02485 -256 -256 -0 -0 -0 -2 -0xc541 -0x91205b47 -256 -256 -0 -0 -0 -2 -0x8685 -0xfb7d80bb -256 -256 -1 -0 -0 -3 -0x314c -0x5dd951f9 -256 -256 -0 -0 -0 -4 -0x254a -0xf61558f4 -256 -256 -1 -0 -0 -2 -0xc9f7 -0xde6831b1 -256 -256 -0 -0 -0 -4 -0x3af1 -0x8de24b83 -256 -256 -1 -0 -0 -1 -0x9393 -0xabaf657e -256 -256 -1 -0 -0 -4 -0xcd8d -0x27c66a7b -256 -256 -1 -0 -0 -4 -0x1a56 -0x491510ce -256 -256 -0 -0 -0 -1 -0x38fe -0x1735d145 -256 -256 -1 -0 -0 -3 -0x80f0 -0xbb4f1ba2 -256 -256 -1 -0 -0 -4 -0xdc9d -0xa52e1013 -256 -256 -0 -0 -0 -3 -0x904d -0x582f5b5a -256 -256 -0 -0 -0 -4 -0x6d24 -0xc3d58d6b -256 -256 -1 -0 -0 -2 -0xdbf8 -0x6ec2ca4 -256 -256 -1 -0 -0 -1 -0x9066 -0xf095d129 -256 -256 -0 -0 -0 -3 -0xa843 -0x5d5a2676 -256 -256 -0 -0 -0 -2 -0x8d87 -0x55f6d974 -256 -256 -1 -0 -0 -4 -0x4358 -0x806b22ca -256 -256 -0 -0 -0 -2 -0x87d6 -0x40002b45 -256 -256 -1 -0 -0 -3 -0xfb58 -0x1dcffc73 -256 -256 -0 -0 -0 -1 -0x629c -0xeec209fc -256 -256 -0 -0 -0 -2 -0xfd79 -0x1389176c -256 -256 -1 -0 -0 -2 -0xf7d -0x705b5b01 -256 -256 -1 -0 -0 -1 -0xab6b -0x51d70a7b -256 -256 -1 -0 -0 -2 -0x83cf -0xb8ec3807 -256 -256 -1 -0 -0 -3 -0xc231 -0xecb432a2 -256 -256 -1 -0 -0 -1 -0x97e4 -0x977e6d79 -256 -256 -1 -0 -0 -2 -0xaf76 -0x4a5d4d07 -256 -256 -1 -0 -0 -1 -0xf237 -0x17a909a9 -256 -256 -0 -0 -0 -4 -0x91bd -0xf0ca0116 -256 -256 -0 -0 -0 -1 -0x5d8b -0x3e684020 -256 -256 -1 -0 -0 -2 -0xcb6d -0xd32678d9 -256 -256 -0 -0 -0 -3 -0x3a14 -0x512d7648 -256 -256 -1 -0 -0 -1 -0xbcad -0xe80fc9a2 -256 -256 -0 -0 -0 -3 -0x5690 -0x670413ab -256 -256 -1 -0 -0 -2 -0xf429 -0x3877b4e6 -256 -256 -0 -0 -0 -2 -0x4431 -0x86caa830 -256 -256 -1 -0 -0 -2 -0x799c -0xb7b050a2 -256 -256 -1 -0 -0 -1 -0x42f5 -0x25dccc65 -256 -256 -0 -0 -0 -2 -0xf2a2 -0xba912816 -256 -256 -0 -0 -0 -3 -0x44df -0xf12a3e16 -256 -256 -0 -0 -0 -3 -0xdfd5 -0x398708e5 -256 -256 -0 -0 -0 -2 -0xa679 -0xe8f5cf1 -256 -256 -1 -0 -0 -2 -0x6ba7 -0xd0b635e4 -256 -256 -1 -0 -0 -1 -0x5971 -0xa857100 -256 -256 -1 -0 -0 -4 -0xfc8b -0x5ad17052 -256 -256 -1 -0 -0 -1 -0x10bf -0xb9eef585 -256 -256 -0 -0 -0 -4 -0x6d5b -0x9fa4374e -256 -256 -1 -0 -0 -1 -0x2d00 -0xc807c3e5 -256 -256 -0 -0 -0 -4 -0xfbaf -0x6d52be1e -256 -256 -1 -0 -0 -2 -0x22e3 -0xb1a997c3 -256 -256 -0 -0 -0 -4 -0xb5c2 -0x6cf5836f -256 -256 -1 -0 -0 -2 -0x269d -0x6c4ba7f9 -256 -256 -0 -0 -0 -4 -0x2dd6 -0xf72ce4e7 -256 -256 -0 -0 -0 -4 -0xace3 -0x717e7c72 -256 -256 -0 -0 -0 -1 -0xb698 -0xc4b0cdb4 -256 -256 -1 -0 -0 -3 -0x887b -0x2d93eeee -256 -256 -0 -0 -0 -1 -0xa544 -0xefd13c95 -256 -256 -1 -0 -0 -3 -0xfc76 -0x94912020 -256 -256 -0 -0 -0 -1 -0xede8 -0x20b7bc16 -256 -256 -1 -0 -0 -4 -0xbc4d -0x130767f8 -256 -256 -0 -0 -0 -1 -0x8cfd -0x628ee15 -256 -256 -1 -0 -0 -3 -0xd211 -0x6b99c12b -256 -256 -1 -0 -0 -1 -0x3475 -0x4aa1b113 -256 -256 -1 -0 -0 -2 -0x1308 -0x42ac3a2b -256 -256 -0 -0 -0 -3 -0x9d29 -0x2c58b141 -256 -256 -0 -0 -0 -4 -0xd65a -0x57600dda -256 -256 -0 -0 -0 -1 -0x3249 -0x991cadc3 -256 -256 -0 -0 -0 -4 -0xe6a0 -0x9b37cbf7 -256 -256 -1 -0 -0 -4 -0x98c -0x3ad0c26b -256 -256 -0 -0 -0 -3 -0xad73 -0x5dc5a949 -256 -256 -0 -0 -0 -4 -0x5098 -0x50e6f068 -256 -256 -0 -0 -0 -2 -0xa711 -0x78d836c5 -256 -256 -0 -0 -0 -4 -0xd68d -0x450117d6 -256 -256 -0 -0 -0 -2 -0x50b1 -0xe630fdf8 -256 -256 -1 -0 -0 -3 -0x5a01 -0x42509756 -256 -256 -1 -0 -0 -2 -0xe028 -0x8f95ea36 -256 -256 -1 -0 -0 -4 -0xc2e0 -0x9892c45f -256 -256 -1 -0 -0 -2 -0xfc -0x173bb64f -256 -256 -1 -0 -0 -3 -0xff31 -0xb7eec62 -256 -256 -0 -0 -0 -4 -0xf94e -0x4af027bf -256 -256 -0 -0 -0 -3 -0x5383 -0xf940991e -256 -256 -1 -0 -0 -4 -0x3930 -0x12254c9b -256 -256 -1 -0 -0 -3 -0x6aa -0xf554ca37 -256 -256 -0 -0 -0 -1 -0xd88a -0xff491672 -256 -256 -0 -0 -0 -1 -0xf246 -0x1c094c2d -256 -256 -0 -0 -0 -1 -0x9526 -0x88dccf92 -256 -256 -1 -0 -0 -1 -0xbc23 -0xb43bc04c -256 -256 -0 -0 -0 -3 -0xec70 -0xba6a0f3c -256 -256 -1 -0 -0 -1 -0x9ced -0x5c5734a8 -256 -256 -1 -0 -0 -2 -0xa762 -0xe4690920 -256 -256 -0 -0 -0 -2 -0xeca2 -0xb31cf5d8 -256 -256 -0 -0 -0 -2 -0x9d5c -0x48805cb1 -256 -256 -0 -0 -0 -1 -0x94d8 -0x1abba2b8 -256 -256 -1 -0 -0 -1 -0x2254 -0x872f1e8c -256 -256 -0 -0 -0 -2 -0x9060 -0x99816c8e -256 -256 -1 -0 -0 -3 -0x94a8 -0x35989585 -256 -256 -0 -0 -0 -3 -0xcfa8 -0xad93c729 -256 -256 -1 -0 -0 -2 -0x36ce -0xb959435a -256 -256 -0 -0 -0 -3 -0x3263 -0x7027dca -256 -256 -0 -0 -0 -1 -0x673b -0xd7b5c24d -256 -256 -0 -0 -0 -1 -0xbb3a -0xed24abd2 -256 -256 -1 -0 -0 -3 -0x8e57 -0xf722862b -256 -256 -1 -0 -0 -4 -0xfd85 -0xd1845403 -256 -256 -0 -0 -0 -2 -0xd480 -0xdc935af6 -256 -256 -0 -0 -0 -1 -0x6fc5 -0xdf0a6148 -256 -256 -0 -0 -0 -4 -0x47 -0xaf6ac348 -256 -256 -0 -0 -0 -2 -0x1b8e -0x5850c8e4 -256 -256 -1 -0 -0 -4 -0x486e -0xc36aa11b -256 -256 -1 -0 -0 -1 -0x7a18 -0x8fd04a78 -256 -256 -0 -0 -0 -3 -0x53be -0xf4c53f89 -256 -256 -0 -0 -0 -2 -0x206b -0xb6c4e14b -256 -256 -1 -0 -0 -3 -0x77a2 -0xf09bdbad -256 -256 -0 -0 -0 -2 -0xf668 -0x9e1e6269 -256 -256 -0 -0 -0 -3 -0x7f33 -0xfc5237c5 -256 -256 -0 -0 -0 -3 -0x7f91 -0xf44f31e -256 -256 -1 -0 -0 -1 -0xa11 -0xbafae242 -256 -256 -1 -0 -0 -3 -0xe5b2 -0xb18d15ff -256 -256 -0 -0 -0 -2 -0x61a3 -0x79153c44 -256 -256 -0 -0 -0 -1 -0x864 -0x1428bda5 -256 -256 -1 -0 -0 -3 -0x1447 -0x861984fd -256 -256 -1 -0 -0 -1 -0x7d88 -0x5d60146c -256 -256 -1 -0 -0 -2 -0xf67b -0x568a78a3 -256 -256 -0 -0 -0 -3 -0x47e2 -0x3cd4b6fe -256 -256 -1 -0 -0 -2 -0x6c85 -0xf2769856 -256 -256 -1 -0 -0 -4 -0x4ce1 -0x86d51c1b -256 -256 -0 -0 -0 -4 -0x9471 -0x1c0f07b4 -256 -256 -1 -0 -0 -1 -0x7753 -0x3eb2a23d -256 -256 -0 -0 -0 -3 -0x383c -0x44f5dffb -256 -256 -1 -0 -0 -2 -0xcd17 -0x830e2eb2 -256 -256 -0 -0 -0 -2 -0x9a00 -0x1af3c906 -256 -256 -0 -0 -0 -4 -0xe09d -0x94e828cb -256 -256 -0 -0 -0 -4 -0x361b -0xd8a37d11 -256 -256 -0 -0 -0 -4 -0x19d5 -0xf326c93e -256 -256 -1 -0 -0 -2 -0x6100 -0xf20ddc66 -256 -256 -1 -0 -0 -1 -0x5a0 -0x50cd2a8b -256 -256 -1 -0 -0 -3 -0x6f1d -0x4c6c2171 -256 -256 -0 -0 -0 -3 -0xeaa6 -0x176b415f -256 -256 -0 -0 -0 -1 -0xacec -0x855e4d3 -256 -256 -1 -0 -0 -3 -0xe6ab -0x5e18103b -256 -256 -0 -0 -0 -1 -0x47c4 -0x3aaac0b2 -256 -256 -0 -0 -0 -1 -0xdf24 -0xbd69240a -256 -256 -1 -0 -0 -2 -0x5773 -0x332a7066 -256 -256 -0 -0 -0 -4 -0x2d7d -0xe2004110 -256 -256 -0 -0 -0 -3 -0x5303 -0x32862e27 -256 -256 -0 -0 -0 -3 -0xaefa -0x45a74ad6 -256 -256 -0 -0 -0 -3 -0x4cdf -0xb7c7c1f8 -256 -256 -0 -0 -0 -1 -0x26b4 -0x2cf97eb0 -256 -256 -0 -0 -0 -3 -0x1aeb -0x2520a2a3 -256 -256 -1 -0 -0 -4 -0xd3d6 -0xb002e0df -256 -256 -1 -0 -0 -4 -0x88af -0x5783a91b -256 -256 -0 -0 -0 -2 -0x59e3 -0x7eeae5d9 -256 -256 -1 -0 -0 -2 -0xbf39 -0xab8aeb -256 -256 -1 -0 -0 -1 -0x86e7 -0xec6d1e99 -256 -256 -1 -0 -0 -1 -0x65ce -0xbaa6ea13 -256 -256 -1 -0 -0 -3 -0xa1bf -0x28686000 -256 -256 -0 -0 -0 -3 -0xe5ce -0x5031597e -256 -256 -0 -0 -0 -4 -0xe259 -0x72d4838f -256 -256 -0 -0 -0 -1 -0xa6f9 -0x9577ad03 -256 -256 -0 -0 -0 -2 -0xcaba -0x9a56dc7a -256 -256 -0 -0 -0 -3 -0xcdcb -0x168162ea -256 -256 -0 -0 -0 -1 -0x3264 -0xd0a49675 -256 -256 -1 -0 -0 -3 -0x92fc -0x682d76f2 -256 -256 -1 -0 -0 -4 -0xb525 -0x1452f7ce -256 -256 -0 -0 -0 -2 -0x6b78 -0x58bf31a2 -256 -256 -0 -0 -0 -1 -0xfe15 -0x98d0d969 -256 -256 -0 -0 -0 -1 -0xca6f -0x3e2a58f5 -256 -256 -1 -0 -0 -3 -0xe1b -0x6e56a50c -256 -256 -1 -0 -0 -2 -0x4cf1 -0xf94da468 -256 -256 -0 -0 -0 -2 -0xc56c -0xae2209c4 -256 -256 -1 -0 -0 -1 -0x9ae0 -0x949230c3 -256 -256 -0 -0 -0 -4 -0xc191 -0x4b943403 -256 -256 -1 -0 -0 -4 -0x24a8 -0xf797ff67 -256 -256 -1 -0 -0 -4 -0x7772 -0x46c53c73 -256 -256 -1 -0 -0 -4 -0xad7a -0x2ff1fb12 -256 -256 -0 -0 -0 -1 -0x1b07 -0xb0d76ce6 -256 -256 -0 -0 -0 -2 -0x75b5 -0xf948f8b1 -256 -256 -1 -0 -0 -3 -0xc91b -0x7d3ac61a -256 -256 -0 -0 -0 -2 -0x8555 -0xec9dfc3 -256 -256 -0 -0 -0 -2 -0x8455 -0xd8cc356e -256 -256 -0 -0 -0 -1 -0x6010 -0xd5d4f01e -256 -256 -0 -0 -0 -4 -0x7c1a -0x7d15797 -256 -256 -0 -0 -0 -1 -0x3785 -0x1affff4a -256 -256 -1 -0 -0 -1 -0xb8a4 -0x729c3e89 -256 -256 -0 -0 -0 -4 -0xf988 -0xcbe25685 -256 -256 -1 -0 -0 -4 -0xcb2 -0x34da0ad7 -256 -256 -0 -0 -0 -3 -0xd955 -0xfb6decce -256 -256 -0 -0 -0 -2 -0x2172 -0x5e31039e -256 -256 -1 -0 -0 -4 -0xa1b2 -0x740f884a -256 -256 -0 -0 -0 -3 -0xa31f -0xb683381b -256 -256 -0 -0 -0 -3 -0xd7b8 -0x5be2cd7b -256 -256 -0 -0 -0 -2 -0x9d08 -0xcbaeff93 -256 -256 -1 -0 -0 -2 -0x91d8 -0x368f855e -256 -256 -0 -0 -0 -2 -0xc165 -0xee57723e -256 -256 -1 -0 -0 -1 -0x27c0 -0x385c0c16 -256 -256 -0 -0 -0 -3 -0xbc9d -0xd8cb0fd -256 -256 -1 -0 -0 -1 -0xf1e5 -0xb71749af -256 -256 -0 -0 -0 -3 -0xf26e -0x179d442f -256 -256 -1 -0 -0 -3 -0x6988 -0x5b01dc90 -256 -256 -0 -0 -0 -1 -0xceeb -0xe9f3705b -256 -256 -0 -0 -0 -1 -0x1994 -0x72fcbf35 -256 -256 -0 -0 -0 -2 -0x4833 -0xc6f9ea11 -256 -256 -1 -0 -0 -1 -0x2f26 -0x565c70d4 -256 -256 -1 -0 -0 -2 -0x9a09 -0x82a0a401 -256 -256 -0 -0 -0 -3 -0x3731 -0x2130e338 -256 -256 -0 -0 -0 -1 -0x19db -0xbb001e93 -256 -256 -0 -0 -0 -1 -0x43ea -0x75fb31ca -256 -256 -0 -0 -0 -3 -0xb28e -0x3de7c6ff -256 -256 -0 -0 -0 -1 -0x3047 -0xf31611cc -256 -256 -0 -0 -0 -1 -0xf465 -0x1dc7123a -256 -256 -1 -0 -0 -2 -0xc38 -0xc5cc1d2d -256 -256 -1 -0 -0 -1 -0xca76 -0x929e042c -256 -256 -0 -0 -0 -2 -0xe891 -0x6ef4b5c3 -256 -256 -1 -0 -0 -4 -0x3e20 -0xd49a06e5 -256 -256 -1 -0 -0 -4 -0x2ea7 -0x9d0e6953 -256 -256 -0 -0 -0 -1 -0xf78 -0x73c7e2c9 -256 -256 -1 -0 -0 -3 -0x2222 -0xb6cb75fa -256 -256 -1 -0 -0 -1 -0xbbc9 -0xbe275e79 -256 -256 -0 -0 -0 -3 -0xd55f -0x3b8b7a50 -256 -256 -1 -0 -0 -2 -0xc3e3 -0x334ab7fc -256 -256 -1 -0 -0 -2 -0x299a -0x445d4aa8 -256 -256 -1 -0 -0 -1 -0x97bf -0x333464d1 -256 -256 -0 -0 -0 -3 -0x34ae -0x50a6d28b -256 -256 -1 -0 -0 -4 -0x1843 -0xd478a6c8 -256 -256 -1 -0 -0 -2 -0xeb5f -0x8bc16bab -256 -256 -1 -0 -0 -1 -0xd389 -0x653e1db4 -256 -256 -1 -0 -0 -2 -0x69b0 -0x7d714782 -256 -256 -0 -0 -0 -2 -0x512a -0xba5263ae -256 -256 -0 -0 -0 -1 -0xb9e0 -0xc8900012 -256 -256 -1 -0 -0 -4 -0x3ccf -0xcd836d10 -256 -256 -1 -0 -0 -4 -0xa39e -0x562b10a5 -256 -256 -0 -0 -0 -1 -0x890c -0xc2b27b1a -256 -256 -0 -0 -0 -2 -0x668c -0x3a6abff9 -256 -256 -1 -0 -0 -4 -0x3992 -0x2b280e7b -256 -256 -0 -0 -0 -4 -0xdace -0x43509735 -256 -256 -0 -0 -0 -3 -0xc931 -0x262a0d63 -256 -256 -0 -0 -0 -1 -0x42db -0xc9848c90 -256 -256 -1 -0 -0 -2 -0x8a0f -0xf86bcfff -256 -256 -1 -0 -0 -4 -0x308f -0x38979b00 -256 -256 -1 -0 -0 -2 -0x43b0 -0x58df5337 -256 -256 -0 -0 -0 -1 -0xef22 -0x262babea -256 -256 -0 -0 -0 -4 -0x9c4a -0xad842bb4 -256 -256 -0 -0 -0 -3 -0xd314 -0x2897d139 -256 -256 -0 -0 -0 -3 -0xd88f -0x4578f9cb -256 -256 -1 -0 -0 -4 -0xa4ab -0x275f3984 -256 -256 -1 -0 -0 -4 -0xd799 -0x21d1994a -256 -256 -1 -0 -0 -2 -0xbd1a -0x25525e96 -256 -256 -0 -0 -0 -3 -0xde5a -0xd5a2af33 -256 -256 -0 -0 -0 -4 -0xf000 -0xff75ee45 -256 -256 -1 -0 -0 -3 -0xe62d -0x9a144494 -256 -256 -0 -0 -0 -1 -0x9a28 -0xba7770ef -256 -256 -1 -0 -0 -3 -0xbbca -0xb2b35397 -256 -256 -1 -0 -0 -2 -0x1c85 -0x67ee58c6 -256 -256 -0 -0 -0 -1 -0x56e6 -0x25d5a5cb -256 -256 -0 -0 -0 -3 -0x90ac -0x685a340f -256 -256 -1 -0 -0 -1 -0xb7b -0xf20eece5 -256 -256 -1 -0 -0 -1 -0xc85c -0x56c06a18 -256 -256 -0 -0 -0 -1 -0x25d2 -0x11407ea5 -256 -256 -0 -0 -0 -4 -0x43d5 -0x506c13ad -256 -256 -0 -0 -0 -4 -0x6403 -0xa65ca21a -256 -256 -1 -0 -0 -4 -0x58af -0x2f0362b2 -256 -256 -1 -0 -0 -1 -0x3b0c -0xb37a3f61 -256 -256 -1 -0 -0 -2 -0x20d7 -0x67d5a8f0 -256 -256 -0 -0 -0 -4 -0x10ce -0x9e05054a -256 -256 -0 -0 -0 -1 -0x8de0 -0xe772b15a -256 -256 -0 -0 -0 -3 -0x22a0 -0x805115cf -256 -256 -1 -0 -0 -2 -0xd537 -0x7a395fa3 -256 -256 -1 -0 -0 -3 -0xf35d -0x7d9d1e3c -256 -256 -1 -0 -0 -4 -0x6a29 -0xd4650cb -256 -256 -1 -0 -0 -3 -0x1431 -0xee346315 -256 -256 -0 -0 -0 -1 -0xc72 -0xf3933c9d -256 -256 -1 -0 -0 -2 -0x24a1 -0x31eeba3d -256 -256 -0 -0 -0 -3 -0x52e3 -0xe5490204 -256 -256 -1 -0 -0 -4 -0xa97 -0xec5f3db0 -256 -256 -0 -0 -0 -4 -0x805d -0xaf6312ee -256 -256 -1 -0 -0 -2 -0x88b4 -0x52413272 -256 -256 -0 -0 -0 -4 -0xb8e7 -0xe9e12dcd -256 -256 -1 -0 -0 -3 -0xe290 -0xa2f30db2 -256 -256 -0 -0 -0 -2 -0xbf9c -0x23f0bb1e -256 -256 -1 -0 -0 -1 -0xf2e5 -0xd64bbd5c -256 -256 -1 -0 -0 -2 -0xbfc0 -0x6b66cc37 -256 -256 -1 -0 -0 -4 -0x7ea1 -0xd9336f5d -256 -256 -0 -0 -0 -3 -0x8a05 -0x4c027311 -256 -256 -0 -0 -0 -1 -0xcf89 -0x16762920 -256 -256 -1 -0 -0 -2 -0x4bea -0x6d31a69a -256 -256 -1 -0 -0 -2 -0x72b8 -0x4afcf7ae -256 -256 -1 -0 -0 -4 -0x2e4 -0x516e0ce9 -256 -256 -0 -0 -0 -1 -0xed40 -0x81f6c77f -256 -256 -1 -0 -0 -1 -0x93a5 -0x1a6a24b3 -256 -256 -1 -0 -0 -2 -0xc256 -0x43d22d60 -256 -256 -1 -0 -0 -3 -0x3897 -0x811bf12b -256 -256 -1 -0 -0 -4 -0x2eca -0x8b952a2d -256 -256 -1 -0 -0 -3 -0xf2ed -0xeac7e7f1 -256 -256 -0 -0 -0 -1 -0xcf6d -0xe17b0871 -256 -256 -0 -0 -0 -4 -0x6271 -0xaf6fe7c -256 -256 -1 -0 -0 -4 -0x6f39 -0x9854da26 -256 -256 -1 -0 -0 -4 -0xb902 -0x7b67dc08 -256 -256 -0 -0 -0 -1 -0xc9a3 -0x7a2d48a4 -256 -256 -0 -0 -0 -2 -0x7100 -0x710ee5d9 -256 -256 -1 -0 -0 -3 -0xb97a -0x9206ac7d -256 -256 -1 -0 -0 -2 -0xe8ae -0xd08fdc14 -256 -256 -1 -0 -0 -4 -0x1855 -0xb2ab03e5 -256 -256 -1 -0 -0 -1 -0xa -0xb60f48d8 -256 -256 -1 -0 -0 -4 -0x4ce5 -0xdb202676 -256 -256 -1 -0 -0 -4 -0x6426 -0xbf84d703 -256 -256 -1 -0 -0 -2 -0x30f6 -0x61d01f0b -256 -256 -0 -0 -0 -3 -0xd996 -0x51eaad12 -256 -256 -0 -0 -0 -4 -0x39e8 -0xf857b081 -256 -256 -0 -0 -0 -2 -0x49e3 -0xc275c199 -256 -256 -0 -0 -0 -4 -0xfa7b -0xc7d0ce81 -256 -256 -0 -0 -0 -3 -0x22d8 -0xff8435e1 -256 -256 -0 -0 -0 -4 -0x45ee -0x5081c54d -256 -256 -0 -0 -0 -4 -0xabfc -0xf776e980 -256 -256 -0 -0 -0 -1 -0x3b0d -0xd30ccaee -256 -256 -0 -0 -0 -1 -0xeb34 -0xbdaf0b4d -256 -256 -1 -0 -0 -2 -0x73a5 -0x65649961 -256 -256 -0 -0 -0 -2 -0x8073 -0x3297a00f -256 -256 -1 -0 -0 -2 -0x17e3 -0x2bbbcf40 -256 -256 -0 -0 -0 -3 -0x3d43 -0xf49e7e77 -256 -256 -1 -0 -0 -2 -0xc477 -0xc7b318a1 -256 -256 -0 -0 -0 -1 -0x794f -0x695579da -256 -256 -1 -0 -0 -1 -0xfc7c -0x6105df5c -256 -256 -1 -0 -0 -3 -0xe301 -0x4c936c75 -256 -256 -0 -0 -0 -4 -0x945f -0x554505fd -256 -256 -1 -0 -0 -3 -0x82dd -0x2d0435c2 -256 -256 -0 -0 -0 -3 -0xe96b -0xd8d4793f -256 -256 -0 -0 -0 -2 -0xd243 -0x67e4da72 -256 -256 -0 -0 -0 -1 -0xa837 -0x68c3e66f -256 -256 -0 -0 -0 -1 -0x4969 -0x8f7dfcd8 -256 -256 -0 -0 -0 -1 -0x4303 -0x9a1202d2 -256 -256 -0 -0 -0 -3 -0xedc7 -0x1d84b875 -256 -256 -1 -0 -0 -2 -0xf8d9 -0xbeae5400 -256 -256 -1 -0 -0 -3 -0x16b1 -0x77087c17 -256 -256 -1 -0 -0 -3 -0xe122 -0xac3031ef -256 -256 -1 -0 -0 -4 -0x7e39 -0xa8face9d -256 -256 -0 -0 -0 -3 -0x837d -0x8dc018bb -256 -256 -0 -0 -0 -4 -0x316 -0xb7b3953e -256 -256 -1 -0 -0 -1 -0xa7fe -0xe29a546e -256 -256 -1 -0 -0 -3 -0xe7ff -0x8b071ea1 -256 -256 -1 -0 -0 -1 -0xc074 -0x6635ae8c -256 -256 -1 -0 -0 -4 -0xeada -0xe2a01e57 -256 -256 -0 -0 -0 -4 -0x9450 -0x9c087ae8 -256 -256 -0 -0 -0 -1 -0x139 -0xcb50b76 -256 -256 -1 -0 -0 -4 -0x8200 -0xd9c14fe3 -256 -256 -0 -0 -0 -4 -0x1aab -0x2291cbfa -256 -256 -0 -0 -0 -2 -0x1b59 -0x8cafbda8 -256 -256 -1 -0 -0 -4 -0xa969 -0xf462618d -256 -256 -0 -0 -0 -2 -0x1152 -0xfbe88ca -256 -256 -0 -0 -0 -1 -0xf623 -0xd299ca3a -256 -256 -0 -0 -0 -1 -0x77dc -0x85f79969 -256 -256 -1 -0 -0 -3 -0x5c1f -0xc6ad3299 -256 -256 -0 -0 -0 -1 -0x918f -0x680a4a3e -256 -256 -1 -0 -0 -3 -0x3fa7 -0x1ba7dcbc -256 -256 -1 -0 -0 -2 -0x52cf -0x5187f7f3 -256 -256 -1 -0 -0 -1 -0xd554 -0x573878fa -256 -256 -0 -0 -0 -1 -0xf860 -0x978b1004 -256 -256 -0 -0 -0 -4 -0x7cc3 -0x4af9e721 -256 -256 -0 -0 -0 -1 -0x864e -0x91186ad4 -256 -256 -1 -0 -0 -3 -0x91f7 -0xd9737109 -256 -256 -0 -0 -0 -3 -0xc5ff -0x399c5528 -256 -256 -0 -0 -0 -4 -0x74ee -0x40a4736e -256 -256 -1 -0 -0 -4 -0x2424 -0x60f15da7 -256 -256 -0 -0 -0 -4 -0xb796 -0xb0f3244c -256 -256 -0 -0 -0 -4 -0xc865 -0x3f94598c -256 -256 -1 -0 -0 -1 -0x7c68 -0xe2630313 -256 -256 -1 -0 -0 -4 -0xa691 -0xf1ef48fd -256 -256 -1 -0 -0 -4 -0x1b5f -0xc5c4439e -256 -256 -0 -0 -0 -3 -0x69 -0x1c03c5bb -256 -256 -1 -0 -0 -4 -0xec -0x35e10f01 -256 -256 -1 -0 -0 -1 -0xa8a0 -0x89bcd020 -256 -256 -0 -0 -0 -1 -0xa2a4 -0xa070bf0a -256 -256 -1 -0 -0 -4 -0x7937 -0x87ce5d89 -256 -256 -1 -0 -0 -1 -0xddd4 -0xf4a585f6 -256 -256 -0 -0 -0 -1 -0xa049 -0x99806ab5 -256 -256 -1 -0 -0 -3 -0xe35c -0x29c52769 -256 -256 -0 -0 -0 -4 -0x83ed -0x34bb5dfa -256 -256 -0 -0 -0 -2 -0x347e -0x294299a0 -256 -256 -1 -0 -0 -2 -0xf1e1 -0x91d4135b -256 -256 -1 -0 -0 -3 -0x7a1 -0x2236b749 -256 -256 -1 -0 -0 -1 -0x9e05 -0xc19504eb -256 -256 -1 -0 -0 -3 -0x8c34 -0xf01705d3 -256 -256 -0 -0 -0 -4 -0xf525 -0x8a38e6ff -256 -256 -0 -0 -0 -1 -0x5607 -0x793ed20e -256 -256 -0 -0 -0 -1 -0x1a35 -0xb9434034 -256 -256 -1 -0 -0 -1 -0x294f -0x45facb88 -256 -256 -0 -0 -0 -3 -0x893a -0x499148d8 -256 -256 -0 -0 -0 -4 -0xb292 -0x4f8371a7 -256 -256 -0 -0 -0 -1 -0xbe5a -0x8051914b -256 -256 -1 -0 -0 -1 -0xd9d0 -0xbc06e733 -256 -256 -1 -0 -0 -3 -0x1b71 -0xc50e38b0 -256 -256 -1 -0 -0 -4 -0x6443 -0x6005a0f -256 -256 -0 -0 -0 -4 -0xc747 -0x13f7ab5 -256 -256 -0 -0 -0 -1 -0xc9f2 -0x2d6e310c -256 -256 -1 -0 -0 -3 -0x1911 -0x22792fe7 -256 -256 -0 -0 -0 -1 -0xad14 -0xce42baf -256 -256 -1 -0 -0 -2 -0x68b6 -0xb0b30e04 -256 -256 -0 -0 -0 -3 -0xa486 -0x7f67de14 -256 -256 -1 -0 -0 -3 -0x2e85 -0x6fb553e5 -256 -256 -0 -0 -0 -3 -0x9cf3 -0xff8cad76 -256 -256 -1 -0 -0 -1 -0x7424 -0xca8d35c2 -256 -256 -1 -0 -0 -3 -0x8b4a -0xfb8e3778 -256 -256 -1 -0 -0 -2 -0xdcee -0xbb375c58 -256 -256 -0 -0 -0 -1 -0x99ab -0x8c211820 -256 -256 -1 -0 -0 -3 -0x6930 -0xf9e95b7f -256 -256 -0 -0 -0 -1 -0x9c1 -0x5c4b1dbe -256 -256 -0 -0 -0 -1 -0x20b7 -0x68d15917 -256 -256 -0 -0 -0 -1 -0xbd2c -0x81f34456 -256 -256 -1 -0 -0 -2 -0xa583 -0xd2788ef1 -256 -256 -0 -0 -0 -2 -0x183 -0xbfef1efb -256 -256 -1 -0 -0 -1 -0x2872 -0x8030489b -256 -256 -0 -0 -0 -1 -0x82bf -0xc73093d5 -256 -256 -1 -0 -0 -2 -0x33ce -0xe6963629 -256 -256 -0 -0 -0 -2 -0xf7ac -0x27405f34 -256 -256 -1 -0 -0 -3 -0x3b11 -0x652feb50 -256 -256 -0 -0 -0 -1 -0x9dbf -0xb53b3760 -256 -256 -1 -0 -0 -4 -0x6c5 -0x6e58e0f7 -256 -256 -1 -0 -0 -4 -0x564e -0x6b8cf8cb -256 -256 -0 -0 -0 -3 -0xa80a -0x767ac1f -256 -256 -0 -0 -0 -2 -0xf0a5 -0x907180dc -256 -256 -1 -0 -0 -1 -0x1953 -0xd27ebc98 -256 -256 -1 -0 -0 -1 -0x4420 -0xf4fac0d5 -256 -256 -0 -0 -0 -2 -0x5e8e -0x5038982 -256 -256 -0 -0 -0 -3 -0xa044 -0x210606e9 -256 -256 -0 -0 -0 -1 -0x8a8b -0x8fea1efe -256 -256 -1 -0 -0 -1 -0x7d57 -0xeea72496 -256 -256 -0 -0 -0 -4 -0xb4a0 -0xa2fa3a67 -256 -256 -1 -0 -0 -2 -0x12df -0xfb087f80 -256 -256 -0 -0 -0 -1 -0xed09 -0x4129efb7 -256 -256 -1 -0 -0 -3 -0x84fd -0x27906ba7 -256 -256 -1 -0 -0 -2 -0x932c -0xa1b00f26 -256 -256 -0 -0 -0 -4 -0xd5b -0x34517e3a -256 -256 -1 -0 -0 -2 -0x7281 -0x2b5d2a93 -256 -256 -1 -0 -0 -4 -0x402 -0xdc86c1f1 -256 -256 -1 -0 -0 -1 -0xc788 -0x3c4c85ec -256 -256 -1 -0 -0 -3 -0xc159 -0x3629605d -256 -256 -0 -0 -0 -4 -0x2fb5 -0x47030e4 -256 -256 -0 -0 -0 -4 -0xf116 -0xaec76ba7 -256 -256 -0 -0 -0 -4 -0xc69f -0x5bfa454d -256 -256 -1 -0 -0 -3 -0xd7b4 -0xee59374d -256 -256 -1 -0 -0 -4 -0xe3ac -0xdf9a64a9 -256 -256 -1 -0 -0 -3 -0x3fe5 -0x205b1f27 -256 -256 -1 -0 -0 -3 -0x73fb -0xa816916a -256 -256 -0 -0 -0 -3 -0xb069 -0xd215cf54 -256 -256 -0 -0 -0 -3 -0xe47c -0x2e8cc1f5 -256 -256 -0 -0 -0 -2 -0x2396 -0xccfe1726 -256 -256 -0 -0 -0 -2 -0xc14 -0x224bb39c -256 -256 -1 -0 -0 -3 -0x6d66 -0xa201c45e -256 -256 -0 -0 -0 -4 -0x77bd -0xdad4b1c6 -256 -256 -1 -0 -0 -1 -0xc8f6 -0xacae8053 -256 -256 -0 -0 -0 -4 -0x269c -0xc521207c -256 -256 -0 -0 -0 -2 -0x5559 -0x3a9d5f9f -256 -256 -0 -0 -0 -2 -0xc82e -0xbd15b61e -256 -256 -0 -0 -0 -4 -0x3ea0 -0x5ae74aed -256 -256 -0 -0 -0 -4 -0xe5f0 -0x1b02f42c -256 -256 -1 -0 -0 -2 -0xc746 -0x2f37d238 -256 -256 -1 -0 -0 -1 -0x63c9 -0x5f1bccac -256 -256 -0 -0 -0 -1 -0xc0ab -0x1ea7d72b -256 -256 -0 -0 -0 -2 -0x904d -0xe965a86d -256 -256 -0 -0 -0 -2 -0xac87 -0xc328e603 -256 -256 -1 -0 -0 -1 -0xb39 -0xe856d21f -256 -256 -0 -0 -0 -2 -0x601f -0x95b36ab8 -256 -256 -0 -0 -0 -3 -0x656a -0x5d664aec -256 -256 -1 -0 -0 -4 -0xf557 -0xfe68498c -256 -256 -0 -0 -0 -1 -0xdf29 -0xb71ab587 -256 -256 -0 -0 -0 -3 -0xba1d -0x3c998837 -256 -256 -0 -0 -0 -4 -0xaf03 -0x8b197b19 -256 -256 -1 -0 -0 -2 -0xbcb5 -0x38cc157a -256 -256 -0 -0 -0 -2 -0xde7d -0x87cfc107 -256 -256 -1 -0 -0 -1 -0xbb4b -0x721d66c2 -256 -256 -1 -0 -0 -2 -0x53b -0x401d96bf -256 -256 -1 -0 -0 -2 -0xbb4b -0xd725b0db -256 -256 -1 -0 -0 -4 -0x6356 -0xff08dbd9 -256 -256 -0 -0 -0 -4 -0xafd1 -0xe479752d -256 -256 -0 -0 -0 -4 -0xad19 -0xdfd4edb3 -256 -256 -1 -0 -0 -3 -0x12d9 -0xcc8fe5dc -256 -256 -0 -0 -0 -3 -0xba78 -0x965c56a5 -256 -256 -1 -0 -0 -2 -0x64e0 -0xa64b2fdf -256 -256 -0 -0 -0 -1 -0xd31d -0xb0775d6f -256 -256 -1 -0 -0 -2 -0x6964 -0x7743746 -256 -256 -0 -0 -0 -1 -0x5220 -0xe3105118 -256 -256 -1 -0 -0 -2 -0x818a -0xd0008e95 -256 -256 -0 -0 -0 -4 -0x6380 -0xe44b39b0 -256 -256 -0 -0 -0 -2 -0x23f6 -0x1254a683 -256 -256 -0 -0 -0 -4 -0x1f10 -0x98322001 -256 -256 -1 -0 -0 -1 -0x5cf8 -0x597d5902 -256 -256 -0 -0 -0 -4 -0xb57d -0x47d7b1e5 -256 -256 -0 -0 -0 -4 -0x187f -0x3716d61e -256 -256 -0 -0 -0 -3 -0x3e47 -0x615281 -256 -256 -0 -0 -0 -3 -0x2888 -0x8fc70587 -256 -256 -0 -0 -0 -2 -0xdb9c -0x2000c02e -256 -256 -1 -0 -0 -2 -0x6fb8 -0x39fc8506 -256 -256 -1 -0 -0 -3 -0x91bc -0x8e5ec59b -256 -256 -0 -0 -0 -2 -0xaaeb -0xa48daa36 -256 -256 -1 -0 -0 -3 -0x2e61 -0xc5839ada -256 -256 -0 -0 -0 -4 -0xf7fc -0x61c4fafa -256 -256 -0 -0 -0 -3 -0x85f5 -0xb243b600 -256 -256 -0 -0 -0 -1 -0xdf6f -0xe8ade6e1 -256 -256 -0 -0 -0 -2 -0x3a38 -0x87448b57 -256 -256 -0 -0 -0 -3 -0x547e -0xfb3c15b7 -256 -256 -1 -0 -0 -2 -0x4b63 -0xd8ed5fa2 -256 -256 -0 -0 -0 -2 -0xeaa6 -0xe7f59de0 -256 -256 -1 -0 -0 -2 -0xda25 -0xe29e5bd1 -256 -256 -0 -0 -0 -3 -0xd77f -0xfe0dbf1a -256 -256 -1 -0 -0 -4 -0xe409 -0x5124dd76 -256 -256 -1 -0 -0 -2 -0xab84 -0x23059b05 -256 -256 -0 -0 -0 -2 -0x14d3 -0xdd5ecf2d -256 -256 -0 -0 -0 -3 -0x27b4 -0xd605833b -256 -256 -1 -0 -0 -3 -0x312 -0xa6619893 -256 -256 -1 -0 -0 -4 -0x45f5 -0xf1194f20 -256 -256 -1 -0 -0 -2 -0xfa7a -0x6c32864d -256 -256 -1 -0 -0 -4 -0xf316 -0x135ed536 -256 -256 -1 -0 -0 -1 -0x103e -0xd0d3921e -256 -256 -1 -0 -0 -1 -0x17a2 -0x43d578cb -256 -256 -0 -0 -0 -2 -0xdbd2 -0x76a8d79e -256 -256 -0 -0 -0 -1 -0x9f64 -0xaaf3a994 -256 -256 -0 -0 -0 -4 -0xd4eb -0x38660b41 -256 -256 -1 -0 -0 -4 -0x1b9c -0x70b3f801 -256 -256 -1 -0 -0 -4 -0x10c6 -0xc8e4d89a -256 -256 -0 -0 -0 -4 -0xd81 -0xdeccf661 -256 -256 -0 -0 -0 -1 -0xef99 -0x8261685f -256 -256 -1 -0 -0 -3 -0xccd8 -0xedef3a36 -256 -256 -0 -0 -0 -2 -0xa83a -0xbe165fb1 -256 -256 -0 -0 -0 -2 -0xbd64 -0x2f53dfc2 -256 -256 -1 -0 -0 -3 -0xe36f -0x660966fd -256 -256 -1 -0 -0 -3 -0x48be -0xb6e6155d -256 -256 -1 -0 -0 -4 -0x7b1a -0xc85f92cf -256 -256 -1 -0 -0 -2 -0x6e0d -0x30c30847 -256 -256 -1 -0 -0 -1 -0xdf9c -0xbdbdc2dc -256 -256 -0 -0 -0 -4 -0x87ca -0xa2b4f355 -256 -256 -0 -0 -0 -1 -0xf961 -0xdaf2a93f -256 -256 -1 -0 -0 -2 -0x4e6c -0x96fe56fd -256 -256 -0 -0 -0 -2 -0x540d -0xcb16eeb5 -256 -256 -1 -0 -0 -3 -0xf2eb -0x4ce13a32 -256 -256 -0 -0 -0 -2 -0xb0b5 -0xd53d1f08 -256 -256 -1 -0 -0 -1 -0x9000 -0xfb0646aa -256 -256 -1 -0 -0 -3 -0x322d -0x4781d4fd -256 -256 -0 -0 -0 -1 -0xabc1 -0xc78cac49 -256 -256 -0 -0 -0 -2 -0x73ab -0xc3e1f0a7 -256 -256 -1 -0 -0 -2 -0x5671 -0x74b697de -256 -256 -0 -0 -0 -2 -0x97a3 -0xda39ba3a -256 -256 -0 -0 -0 -3 -0xc4e4 -0x2334d124 -256 -256 -0 -0 -0 -2 -0x994a -0x508bc536 -256 -256 -1 -0 -0 -4 -0x8c6a -0x5c6b4fc3 -256 -256 -0 -0 -0 -2 -0x7336 -0xe7f1e596 -256 -256 -1 -0 -0 -1 -0xaf3 -0xfed1a6 -256 -256 -1 -0 -0 -2 -0x7f7c -0xafab0236 -256 -256 -1 -0 -0 -2 -0x140a -0xcc4b79a -256 -256 -0 -0 -0 -2 -0x6475 -0x9b049f0d -256 -256 -1 -0 -0 -4 -0xb3b0 -0xf1b99761 -256 -256 -1 -0 -0 -2 -0xfc1b -0xf534966a -256 -256 -1 -0 -0 -4 -0xbdb -0x585e0d8a -256 -256 -0 -0 -0 -1 -0x7493 -0x53b98755 -256 -256 -0 -0 -0 -1 -0x81ea -0x9f9a77b6 -256 -256 -1 -0 -0 -2 -0xbd05 -0xfddb6dea -256 -256 -0 -0 -0 -2 -0x3fea -0xcd8b834 -256 -256 -1 -0 -0 -1 -0xb22c -0xaded7050 -256 -256 -0 -0 -0 -4 -0x15b6 -0x568e4240 -256 -256 -1 -0 -0 -2 -0xb21c -0xd2f4f3b5 -256 -256 -0 -0 -0 -2 -0x8ed -0x6adae059 -256 -256 -0 -0 -0 -3 -0x502f -0x9b40c444 -256 -256 -1 -0 -0 -4 -0xe859 -0xa3f503cd -256 -256 -1 -0 -0 -4 -0x8b2c -0x7bb0a3fb -256 -256 -1 -0 -0 -3 -0xf3ea -0x5412ba13 -256 -256 -0 -0 -0 -2 -0x220f -0xd25a1d3e -256 -256 -0 -0 -0 -3 -0x62c7 -0xa3d64c52 -256 -256 -0 -0 -0 -2 -0xf9ff -0xc5c04a71 -256 -256 -0 -0 -0 -4 -0x9448 -0x7bceb337 -256 -256 -0 -0 -0 -1 -0x9a61 -0x274f1af6 -256 -256 -0 -0 -0 -1 -0x1a84 -0xaf39f5c6 -256 -256 -0 -0 -0 -3 -0x95b1 -0x1a4892db -256 -256 -1 -0 -0 -1 -0x2df5 -0xeba763d7 -256 -256 -1 -0 -0 -4 -0x5e73 -0xf2b9c1f7 -256 -256 -0 -0 -0 -4 -0xd20 -0xb7b2c2b7 -256 -256 -1 -0 -0 -2 -0x7de0 -0xc3a944d2 -256 -256 -1 -0 -0 -4 -0x800c -0xa873d33a -256 -256 -0 -0 -0 -3 -0x2d0d -0x100601d5 -256 -256 -0 -0 -0 -1 -0x4290 -0xf27d751 -256 -256 -1 -0 -0 -2 -0x5ea7 -0xb82bc7a9 -256 -256 -1 -0 -0 -4 -0x6d95 -0x56877cab -256 -256 -1 -0 -0 -3 -0x3609 -0xe39b2104 -256 -256 -0 -0 -0 -1 -0x89c1 -0x4a1bd3e9 -256 -256 -1 -0 -0 -1 -0x4a2c -0x266f1bed -256 -256 -1 -0 -0 -4 -0x6fc1 -0xbd8307b5 -256 -256 -1 -0 -0 -4 -0xe8f2 -0x7ac8161c -256 -256 -1 -0 -0 -2 -0xa315 -0x69180d1c -256 -256 -1 -0 -0 -4 -0x870e -0xcd61c180 -256 -256 -1 -0 -0 -4 -0x62e4 -0xbd0b282d -256 -256 -0 -0 -0 -3 -0xdbd6 -0x7f76b666 -256 -256 -1 -0 -0 -2 -0xc110 -0x7a628516 -256 -256 -1 -0 -0 -3 -0xff0b -0x5cbf5bc2 -256 -256 -1 -0 -0 -1 -0x3832 -0x4d94bb47 -256 -256 -1 -0 -0 -1 -0x1aa1 -0xa004ba8c -256 -256 -0 -0 -0 -1 -0x6f06 -0x79fd8094 -256 -256 -1 -0 -0 -3 -0x5d40 -0x4575fe7 -256 -256 -0 -0 -0 -3 -0xa19 -0xff2f3dbc -256 -256 -1 -0 -0 -1 -0x2471 -0x1bb39d75 -256 -256 -1 -0 -0 -4 -0x8ad5 -0x5ac9d161 -256 -256 -1 -0 -0 -2 -0x3de5 -0x3b403aed -256 -256 -0 -0 -0 -3 -0xc9cd -0xf8818730 -256 -256 -0 -0 -0 -3 -0xeb39 -0x493f5cf1 -256 -256 -0 -0 -0 -3 -0x1d6 -0xd47784b6 -256 -256 -1 -0 -0 -1 -0x1175 -0xd36817bb -256 -256 -1 -0 -0 -4 -0xce75 -0x43cb4f90 -256 -256 -0 -0 -0 -1 -0x8fe5 -0xf7299981 -256 -256 -1 -0 -0 -4 -0x2eab -0x2843f1a3 -256 -256 -1 -0 -0 -1 -0x9399 -0x603401a7 -256 -256 -0 -0 -0 -2 -0xaa17 -0xf0e1a982 -256 -256 -1 -0 -0 -2 -0x52dc -0x7a8016aa -256 -256 -1 -0 -0 -4 -0xab38 -0x9cf5b80c -256 -256 -0 -0 -0 -3 -0x137f -0x7b90d3b9 -256 -256 -1 -0 -0 -3 -0xd239 -0xace64154 -256 -256 -1 -0 -0 -4 -0xf46 -0x2cfbef20 -256 -256 -0 -0 -0 -1 -0xf5f8 -0x14376d8e -256 -256 -0 -0 -0 -2 -0xbc69 -0x5e81daf -256 -256 -0 -0 -0 -2 -0xd657 -0xce0fbae3 -256 -256 -1 -0 -0 -4 -0xe72a -0xf14afeea -256 -256 -0 -0 -0 -4 -0x720e -0xf8f81122 -256 -256 -0 -0 -0 -2 -0x171 -0xa39e5fe4 -256 -256 -0 -0 -0 -3 -0x8bb9 -0x2f3b1c0f -256 -256 -1 -0 -0 -2 -0xa722 -0xaca2068e -256 -256 -1 -0 -0 -1 -0x59fe -0x990141b8 -256 -256 -0 -0 -0 -2 -0x4b02 -0x1a1ffe88 -256 -256 -1 -0 -0 -1 -0xcd06 -0xe7f61d5d -256 -256 -1 -0 -0 -2 -0x962f -0xb465d9a0 -256 -256 -1 -0 -0 -3 -0xa8b9 -0x4e43a3f7 -256 -256 -1 -0 -0 -2 -0x5dcf -0xe13bf9fe -256 -256 -0 -0 -0 -3 -0x1f48 -0x9f947f87 -256 -256 -1 -0 -0 -2 -0x8bfe -0x1de51459 -256 -256 -0 -0 -0 -1 -0xf31b -0xbd402165 -256 -256 -1 -0 -0 -3 -0x5fe7 -0x6e2db9f1 -256 -256 -1 -0 -0 -4 -0xc725 -0xd5a11e53 -256 -256 -1 -0 -0 -1 -0xfbe5 -0xf9108e12 -256 -256 -0 -0 -0 -4 -0xaa72 -0xa963b568 -256 -256 -0 -0 -0 -4 -0x1a06 -0x42e314aa -256 -256 -0 -0 -0 -1 -0x54d -0x7bc106ea -256 -256 -0 -0 -0 -1 -0xad73 -0x299ade8e -256 -256 -1 -0 -0 -4 -0x1261 -0x65f5b952 -256 -256 -1 -0 -0 -4 -0xe71a -0xd8031a35 -256 -256 -0 -0 -0 -2 -0x93ab -0x4f04d548 -256 -256 -0 -0 -0 -3 -0x47e2 -0xa12f61be -256 -256 -1 -0 -0 -4 -0xe109 -0xdc27d1ea -256 -256 -1 -0 -0 -3 -0xefa6 -0x31f123bc -256 -256 -0 -0 -0 -4 -0xd9f3 -0x3e8e2f47 -256 -256 -0 -0 -0 -1 -0x35f4 -0x35c6d6e2 -256 -256 -0 -0 -0 -4 -0x5af1 -0x99920e84 -256 -256 -1 -0 -0 -4 -0xde1c -0x3a6b591b -256 -256 -1 -0 -0 -3 -0xd7df -0x592614f -256 -256 -1 -0 -0 -3 -0xcc35 -0x78d513c -256 -256 -1 -0 -0 -1 -0x7b41 -0x6dace481 -256 -256 -1 -0 -0 -3 -0xb988 -0xaec895e8 -256 -256 -0 -0 -0 -3 -0x9097 -0x58ee99df -256 -256 -1 -0 -0 -3 -0x5b57 -0xfc9d6170 -256 -256 -1 -0 -0 -4 -0xea6 -0x700620c3 -256 -256 -1 -0 -0 -1 -0xedb2 -0xb70eee80 -256 -256 -1 -0 -0 -1 -0xd88c -0x6bd256bb -256 -256 -1 -0 -0 -3 -0x7ead -0xf3f52514 -256 -256 -0 -0 -0 -2 -0x871 -0x9a0431a5 -256 -256 -0 -0 -0 -4 -0x977b -0x86e821c -256 -256 -1 -0 -0 -2 -0xa195 -0x34bacb0f -256 -256 -0 -0 -0 -1 -0xe4f5 -0xe7901e60 -256 -256 -0 -0 -0 -4 -0x691a -0x4872cc50 -256 -256 -1 -0 -0 -3 -0xf851 -0xa8399b80 -256 -256 -1 -0 -0 -4 -0x957f -0x486d4d94 -256 -256 -0 -0 -0 -2 -0x4c07 -0x820f1ae5 -256 -256 -0 -0 -0 -2 -0xad9b -0xcafe9eff -256 -256 -0 -0 -0 -4 -0xdddd -0xefca58c6 -256 -256 -0 -0 -0 -2 -0x38c7 -0xbfed0bad -256 -256 -0 -0 -0 -4 -0x6b3d -0x5828cdba -256 -256 -1 -0 -0 -3 -0xa067 -0xd6636407 -256 -256 -0 -0 -0 -4 -0xea54 -0x43043da0 -256 -256 -0 -0 -0 -3 -0x114d -0x53ee3e1c -256 -256 -1 -0 -0 -3 -0xd430 -0xb7a2132e -256 -256 -1 -0 -0 -4 -0xb2ad -0xdc916105 -256 -256 -1 -0 -0 -4 -0x3a58 -0x5ccd3853 -256 -256 -1 -0 -0 -4 -0x2023 -0xac0e507e -256 -256 -1 -0 -0 -2 -0xc1c6 -0xd860b88e -256 -256 -1 -0 -0 -3 -0x8e34 -0x82a81548 -256 -256 -1 -0 -0 -4 -0x443 -0x36be2e92 -256 -256 -0 -0 -0 -3 -0x80cc -0xfe9d38e0 -256 -256 -0 -0 -0 -2 -0xb1b5 -0x5723699b -256 -256 -0 -0 -0 -1 -0xfb9 -0xb4fc7774 -256 -256 -1 -0 -0 -4 -0x2a17 -0x707bd251 -256 -256 -1 -0 -0 -1 -0x5430 -0x6aeca8b3 -256 -256 -0 -0 -0 -4 -0xe9da -0x20f04724 -256 -256 -1 -0 -0 -2 -0xb7a6 -0xe17d82c0 -256 -256 -0 -0 -0 -3 -0x53bc -0x78d7b16d -256 -256 -0 -0 -0 -4 -0xb697 -0x321cedca -256 -256 -1 -0 -0 -4 -0x2daa -0xd556d917 -256 -256 -0 -0 -0 -2 -0xe54 -0x8fd1c41b -256 -256 -0 -0 -0 -3 -0xa137 -0xf365c5a0 -256 -256 -0 -0 -0 -1 -0x8d5d -0x2f8582ff -256 -256 -1 -0 -0 -2 -0xda7f -0x93a0edba -256 -256 -0 -0 -0 -1 -0xa422 -0xf54a267c -256 -256 -1 -0 -0 -4 -0xdc68 -0x9d3865ac -256 -256 -0 -0 -0 -4 -0xcbd7 -0xb05c080f -256 -256 -1 -0 -0 -4 -0xc352 -0x8bf8dd33 -256 -256 -0 -0 -0 -4 -0xac06 -0x40fedb3 -256 -256 -1 -0 -0 -3 -0x667c -0x3446b113 -256 -256 -1 -0 -0 -1 -0xe14e -0xb95473d8 -256 -256 -1 -0 -0 -4 -0x4f1a -0xd13473e4 -256 -256 -0 -0 -0 -4 -0x13e4 -0x573cb145 -256 -256 -1 -0 -0 -4 -0x30f8 -0xb5a74ff2 -256 -256 -1 -0 -0 -1 -0xfca5 -0xfad69a28 -256 -256 -0 -0 -0 -1 -0xf123 -0x59fba061 -256 -256 -0 -0 -0 -4 -0x41d4 -0x92f0eef2 -256 -256 -1 -0 -0 -4 -0xf710 -0xe564c51a -256 -256 -1 -0 -0 -3 -0x51da -0x5c0fdb98 -256 -256 -1 -0 -0 -3 -0xc5c0 -0x418e2c7e -256 -256 -1 -0 -0 -3 -0x1289 -0x3cc73fdc -256 -256 -0 -0 -0 -1 -0x1ab5 -0xc9e01641 -256 -256 -1 -0 -0 -3 -0x5513 -0x59b03d51 -256 -256 -0 -0 -0 -4 -0x9658 -0xff83894 -256 -256 -1 -0 -0 -2 -0xd9d8 -0x9534dfbd -256 -256 -1 -0 -0 -3 -0xbfac -0x94daaddb -256 -256 -1 -0 -0 -3 -0x4749 -0x520eb693 -256 -256 -1 -0 -0 -4 -0x3679 -0x29fa7b75 -256 -256 -1 -0 -0 -2 -0xf12f -0x57c54da8 -256 -256 -0 -0 -0 -4 -0x7972 -0xce4d1ac9 -256 -256 -0 -0 -0 -4 -0xfa36 -0xb3a76b18 -256 -256 -0 -0 -0 -1 -0xc02e -0x38c3dfa2 -256 -256 -0 -0 -0 -3 -0xe7e9 -0xcc6b4e6c -256 -256 -1 -0 -0 -4 -0x17c8 -0x541f4c63 -256 -256 -0 -0 -0 -1 -0xeb29 -0x4f880cc -256 -256 -1 -0 -0 -1 -0x2594 -0xab6828e3 -256 -256 -1 -0 -0 -4 -0x2de5 -0x3d4b39f6 -256 -256 -1 -0 -0 -3 -0xdd0f -0x91d7c13b -256 -256 -0 -0 -0 -4 -0x7166 -0x8f071d00 -256 -256 -1 -0 -0 -2 -0xf27b -0x318d4319 -256 -256 -1 -0 -0 -1 -0x4b97 -0x95d816bd -256 -256 -0 -0 -0 -1 -0xf5 -0x56995bfe -256 -256 -0 -0 -0 -4 -0xf4a6 -0xd50f027c -256 -256 -0 -0 -0 -1 -0xcc27 -0xd1c93c31 -256 -256 -0 -0 -0 -4 -0xfa3d -0x5b0586c3 -256 -256 -1 -0 -0 -4 -0xc693 -0x1cacfdf -256 -256 -0 -0 -0 -4 -0x49f6 -0x78a2bc5e -256 -256 -0 -0 -0 -4 -0x9667 -0x9110b0ea -256 -256 -1 -0 -0 -2 -0x2f2e -0xfca4fd73 -256 -256 -1 -0 -0 -3 -0xf9ba -0xb020f3a6 -256 -256 -1 -0 -0 -1 -0x19d0 -0x1b03bdbd -256 -256 -1 -0 -0 -1 -0x10ed -0xeb4e25ac -256 -256 -0 -0 -0 -2 -0x1837 -0x68a0d86d -256 -256 -1 -0 -0 -1 -0xef53 -0xc10f8ca4 -256 -256 -0 -0 -0 -3 -0x5abb -0x845d5f61 -256 -256 -0 -0 -0 -2 -0x3f4d -0x727dd634 -256 -256 -0 -0 -0 -1 -0x2fb9 -0x860228d6 -256 -256 -0 -0 -0 -3 -0x92a -0x3a5e391d -256 -256 -1 -0 -0 -2 -0x8f41 -0x77b94180 -256 -256 -0 -0 -0 -3 -0x5c51 -0x60c0f7b4 -256 -256 -1 -0 -0 -4 -0xb8ad -0xa3d9fac1 -256 -256 -1 -0 -0 -3 -0xcba3 -0xe9de6ccd -256 -256 -0 -0 -0 -2 -0xad5a -0xb0ffebca -256 -256 -0 -0 -0 -1 -0x8b24 -0x48b8e2d6 -256 -256 -1 -0 -0 -4 -0x3481 -0x4bd0de7d -256 -256 -0 -0 -0 -4 -0x58fb -0x1f62755d -256 -256 -1 -0 -0 -3 -0xa3ce -0x4743b77d -256 -256 -1 -0 -0 -1 -0x5f9b -0xfdf8e3d5 -256 -256 -1 -0 -0 -1 -0x8988 -0x962cb0f5 -256 -256 -1 -0 -0 -4 -0xff15 -0x4f972600 -256 -256 -1 -0 -0 -4 -0x6256 -0x8a3d881 -256 -256 -0 -0 -0 -4 -0x1166 -0x970f7d2b -256 -256 -0 -0 -0 -3 -0x79c2 -0x31e1824f -256 -256 -1 -0 -0 -1 -0xa64a -0xec9db9f7 -256 -256 -1 -0 -0 -2 -0xbaf6 -0xa17285fa -256 -256 -0 -0 -0 -1 -0x8646 -0xdf2b92dc -256 -256 -0 -0 -0 -2 -0xa160 -0x33d86d28 -256 -256 -1 -0 -0 -2 -0x9ec9 -0x228ecce9 -256 -256 -1 -0 -0 -2 -0x750 -0xa4eed5a3 -256 -256 -0 -0 -0 -2 -0x9012 -0xdc5c1578 -256 -256 -0 -0 -0 -4 -0xba2c -0x32ae6b42 -256 -256 -1 -0 -0 -3 -0xa6da -0x5dd7aa70 -256 -256 -1 -0 -0 -3 -0xcd54 -0xd12eed60 -256 -256 -1 -0 -0 -2 -0x8bf7 -0x3c41eb72 -256 -256 -1 -0 -0 -4 -0xb8 -0xa228ba4f -256 -256 -0 -0 -0 -4 -0x9dd0 -0x3b891cc -256 -256 -1 -0 -0 -1 -0xfa05 -0x8e4c2ed4 -256 -256 -1 -0 -0 -1 -0xc5c8 -0x6a5d23fa -256 -256 -1 -0 -0 -1 -0x190b -0x97b5e79f -256 -256 -0 -0 -0 -3 -0x6544 -0x66dc1bf5 -256 -256 -1 -0 -0 -2 -0x63be -0x9445c468 -256 -256 -1 -0 -0 -3 -0xd14c -0xf4e94e1 -256 -256 -1 -0 -0 -4 -0xf31e -0x40f858af -256 -256 -0 -0 -0 -1 -0xeb2c -0x3948f4d9 -256 -256 -0 -0 -0 -2 -0x4326 -0x7a3d90f -256 -256 -0 -0 -0 -3 -0xfe1 -0x15447dc -256 -256 -0 -0 -0 -2 -0x1858 -0xdcb3f8df -256 -256 -0 -0 -0 -3 -0x2fca -0x948862f4 -256 -256 -0 -0 -0 -4 -0x10ce -0x9b476e2 -256 -256 -0 -0 -0 -1 -0xbfa -0x367ed679 -256 -256 -1 -0 -0 -3 -0x938 -0x110485b9 -256 -256 -1 -0 -0 -2 -0x1b71 -0x66f6f4e0 -256 -256 -0 -0 -0 -1 -0xecfb -0xbf45c70b -256 -256 -1 -0 -0 -1 -0xd84b -0x3a276164 -256 -256 -1 -0 -0 -2 -0x4427 -0xba0eabb6 -256 -256 -1 -0 -0 -1 -0xc477 -0x7d7d114b -256 -256 -0 -0 -0 -2 -0xc6f3 -0xb06480b8 -256 -256 -0 -0 -0 -3 -0x889b -0x42dc7b3 -256 -256 -1 -0 -0 -4 -0xc36d -0x60c69690 -256 -256 -1 -0 -0 -1 -0xd9f6 -0x39f20b4 -256 -256 -0 -0 -0 -3 -0xf329 -0x2a51739a -256 -256 -0 -0 -0 -1 -0xb584 -0xb74072f3 -256 -256 -0 -0 -0 -2 -0x253e -0x901a34a4 -256 -256 -0 -0 -0 -1 -0x75a9 -0xb2599185 -256 -256 -0 -0 -0 -2 -0x954b -0x8f18da9a -256 -256 -1 -0 -0 -4 -0xa48c -0x4a3f395e -256 -256 -0 -0 -0 -3 -0x96b5 -0x924fe31f -256 -256 -0 -0 -0 -2 -0x2eac -0x3057190f -256 -256 -0 -0 -0 -2 -0x1a79 -0x7bf40360 -256 -256 -1 -0 -0 -3 -0x3d2 -0x97224547 -256 -256 -1 -0 -0 -3 -0x18dc -0x17b7e0bf -256 -256 -1 -0 -0 -1 -0x930b -0x46ff18fc -256 -256 -0 -0 -0 -4 -0x699c -0x4c7c29e0 -256 -256 -0 -0 -0 -1 -0x8f82 -0xacdbbfeb -256 -256 -1 -0 -0 -2 -0xa876 -0x62d50b3b -256 -256 -1 -0 -0 -4 -0xbf32 -0xfaa675ff -256 -256 -0 -0 -0 -2 -0x1702 -0xb8a1f3e5 -256 -256 -0 -0 -0 -3 -0xc592 -0x747c4331 -256 -256 -0 -0 -0 -1 -0xdfb8 -0xe2097da8 -256 -256 -0 -0 -0 -3 -0xde0 -0x3f4a883b -256 -256 -1 -0 -0 -1 -0x8597 -0xe0e86e35 -256 -256 -1 -0 -0 -2 -0xc1b4 -0x4b1e585f -256 -256 -1 -0 -0 -4 -0xa3f3 -0x74189f39 -256 -256 -1 -0 -0 -4 -0xe9e8 -0x85af5e5b -256 -256 -1 -0 -0 -4 -0xafeb -0x19d4dd15 -256 -256 -1 -0 -0 -1 -0x4d2 -0x7ba30048 -256 -256 -0 -0 -0 -3 -0x7e00 -0x55b01696 -256 -256 -0 -0 -0 -4 -0x2433 -0x3d84e85e -256 -256 -0 -0 -0 -1 -0xb3e6 -0x8a8ea558 -256 -256 -1 -0 -0 -4 -0x9f17 -0xeef614b5 -256 -256 -0 -0 -0 -2 -0xc042 -0xafbed77b -256 -256 -1 -0 -0 -1 -0x2376 -0x9c7b72d -256 -256 -0 -0 -0 -1 -0x5e73 -0xee6ae227 -256 -256 -1 -0 -0 -3 -0xae78 -0x5d4103f9 -256 -256 -0 -0 -0 -2 -0xda2d -0x58293c90 -256 -256 -1 -0 -0 -1 -0xd19 -0xa087518 -256 -256 -1 -0 -0 -2 -0x2745 -0x2a806799 -256 -256 -0 -0 -0 -2 -0x11b9 -0x8b56fb7 -256 -256 -0 -0 -0 -3 -0x448c -0x82ed6e1d -256 -256 -0 -0 -0 -2 -0x91fa -0x6b4a764f -256 -256 -0 -0 -0 -2 -0x6891 -0x632f8357 -256 -256 -0 -0 -0 -3 -0x119f -0xd1e8ab48 -256 -256 -0 -0 -0 -2 -0x3bd1 -0x4701d3e2 -256 -256 -1 -0 -0 -2 -0x8687 -0xf6a78340 -256 -256 -0 -0 -0 -1 -0x72d4 -0xdd77330b -256 -256 -1 -0 -0 -3 -0x8e84 -0xa4274eaa -256 -256 -1 -0 -0 -2 -0x5e59 -0x53251d12 -256 -256 -1 -0 -0 -3 -0x177d -0x788f4823 -256 -256 -0 -0 -0 -4 -0x6acb -0xc69a89c3 -256 -256 -1 -0 -0 -3 -0x4a79 -0x7b567e0a -256 -256 -0 -0 -0 -1 -0x90a9 -0x2045dc9a -256 -256 -1 -0 -0 -4 -0xf3f4 -0x32c0daa5 -256 -256 -0 -0 -0 -1 -0x37ab -0x2b68fc48 -256 -256 -1 -0 -0 -2 -0xd57f -0x5892862f -256 -256 -0 -0 -0 -2 -0x7ba -0xa9e60537 -256 -256 -0 -0 -0 -3 -0xe333 -0x5e0e0cd4 -256 -256 -1 -0 -0 -2 -0x51da -0xed498ebb -256 -256 -0 -0 -0 -2 -0x7770 -0xb68f1617 -256 -256 -1 -0 -0 -3 -0xf578 -0xe744a548 -256 -256 -1 -0 -0 -4 -0xad41 -0xbfe7594d -256 -256 -1 -0 -0 -2 -0x664a -0x227b92cf -256 -256 -1 -0 -0 -1 -0x63e4 -0x62f6adc -256 -256 -1 -0 -0 -2 -0xb630 -0x991a10c9 -256 -256 -1 -0 -0 -4 -0xf40c -0x534d93a -256 -256 -1 -0 -0 -3 -0xe231 -0x3ddd7356 -256 -256 -0 -0 -0 -4 -0x5e49 -0xe31749c -256 -256 -0 -0 -0 -1 -0xde69 -0xf2e63cc7 -256 -256 -1 -0 -0 -1 -0x54cc -0x89f1d94f -256 -256 -0 -0 -0 -1 -0xc7f0 -0x49d0ce19 -256 -256 -0 -0 -0 -4 -0x6d12 -0x196b5e6a -256 -256 -0 -0 -0 -2 -0xb938 -0xdc40e16c -256 -256 -0 -0 -0 -2 -0xea6a -0x6807f8d -256 -256 -0 -0 -0 -1 -0xa194 -0xd7e2c4b1 -256 -256 -0 -0 -0 -4 -0x855f -0x491896f2 -256 -256 -0 -0 -0 -2 -0x9882 -0xa4f64666 -256 -256 -1 -0 -0 -2 -0xa6d5 -0xab2a34eb -256 -256 -1 -0 -0 -3 -0xec24 -0x7db4fcc6 -256 -256 -0 -0 -0 -3 -0x324e -0x50981564 -256 -256 -1 -0 -0 -4 -0xdbfa -0xbfd97aa8 -256 -256 -0 -0 -0 -3 -0x10bf -0x1acb19e3 -256 -256 -1 -0 -0 -3 -0xcb40 -0xad726c40 -256 -256 -1 -0 -0 -2 -0x7cb2 -0x8b64d080 -256 -256 -0 -0 -0 -3 -0x71d8 -0x49b91ac5 -256 -256 -0 -0 -0 -1 -0xc1e -0x776059aa -256 -256 -0 -0 -0 -1 -0x35d4 -0x1a6fcddd -256 -256 -1 -0 -0 -2 -0xdb0c -0xce229626 -256 -256 -1 -0 -0 -4 -0x3cd -0x3ae6256c -256 -256 -0 -0 -0 -4 -0xf5cb -0xacf6c24b -256 -256 -1 -0 -0 -2 -0xb354 -0x9d7b3a6d -256 -256 -1 -0 -0 -2 -0x8d95 -0x6eb86da2 -256 -256 -1 -0 -0 -4 -0xea18 -0xeca7fae2 -256 -256 -0 -0 -0 -2 -0x20fe -0x41166f15 -256 -256 -1 -0 -0 -4 -0xf1c7 -0x39dd5e7e -256 -256 -0 -0 -0 -4 -0x592e -0xdaf412f1 -256 -256 -0 -0 -0 -3 -0x90f2 -0xf53602da -256 -256 -1 -0 -0 -2 -0x6de0 -0xc41e3a7e -256 -256 -1 -0 -0 -2 -0x2d9d -0xa3bd6e86 -256 -256 -1 -0 -0 -2 -0x31c6 -0xfbf60a58 -256 -256 -0 -0 -0 -4 -0x28e8 -0xa313272c -256 -256 -1 -0 -0 -1 -0xadd8 -0x6647517b -256 -256 -0 -0 -0 -3 -0xdb4d -0x93461dc -256 -256 -1 -0 -0 -4 -0xc23b -0x5cec424c -256 -256 -1 -0 -0 -1 -0x8895 -0x3ae4a4b1 -256 -256 -1 -0 -0 -4 -0x8bf -0x39f40fb4 -256 -256 -1 -0 -0 -3 -0x32a9 -0x8972ad9a -256 -256 -0 -0 -0 -1 -0x1f90 -0xc07dfe00 -256 -256 -1 -0 -0 -2 -0x748e -0x229108e2 -256 -256 -0 -0 -0 -3 -0x30ac -0x7eba5cb1 -256 -256 -1 -0 -0 -4 -0x50da -0x2bc38e9d -256 -256 -1 -0 -0 -4 -0x11 -0x471f5a07 -256 -256 -0 -0 -0 -4 -0xc877 -0x25a9d7dc -256 -256 -0 -0 -0 -4 -0x51b0 -0xe2523234 -256 -256 -1 -0 -0 -4 -0x442 -0xb1bd09e0 -256 -256 -0 -0 -0 -1 -0x36e6 -0xea7154c8 -256 -256 -1 -0 -0 -1 -0x8f05 -0x57549aa0 -256 -256 -0 -0 -0 -1 -0x252a -0x93037954 -256 -256 -0 -0 -0 -2 -0x7035 -0x888d0fb0 -256 -256 -0 -0 -0 -4 -0xa367 -0x68a24995 -256 -256 -1 -0 -0 -3 -0xe30c -0x9f4b1810 -256 -256 -0 -0 -0 -1 -0x7aa6 -0x5e1ae95 -256 -256 -1 -0 -0 -4 -0x9b34 -0xb71cf3a -256 -256 -0 -0 -0 -2 -0xafdc -0x6d39849e -256 -256 -1 -0 -0 -1 -0xcd2a -0x24bf8803 -256 -256 -0 -0 -0 -3 -0x34ea -0xe5ba2cf5 -256 -256 -1 -0 -0 -4 -0x756f -0x1ea77730 -256 -256 -0 -0 -0 -1 -0x10cd -0xd3e2a0b8 -256 -256 -0 -0 -0 -4 -0xa5bd -0x6ebdbc5f -256 -256 -1 -0 -0 -4 -0xfcca -0x655ed034 -256 -256 -0 -0 -0 -2 -0x435 -0x848531b0 -256 -256 -0 -0 -0 -3 -0xa17e -0x37985058 -256 -256 -0 -0 -0 -1 -0x1176 -0x6f621de -256 -256 -0 -0 -0 -1 -0xe302 -0x9144db29 -256 -256 -1 -0 -0 -4 -0x8b82 -0xd08b3caf -256 -256 -0 -0 -0 -4 -0xc4f8 -0x55e3a201 -256 -256 -0 -0 -0 -2 -0x1898 -0xcf641b85 -256 -256 -1 -0 -0 -3 -0x8e11 -0x123f4d84 -256 -256 -1 -0 -0 -2 -0xd900 -0x22927e6c -256 -256 -1 -0 -0 -1 -0xf1b9 -0xaac901b -256 -256 -1 -0 -0 -4 -0x38ae -0x3490a8c -256 -256 -0 -0 -0 -4 -0x7ad0 -0xbd5bf0ec -256 -256 -0 -0 -0 -4 -0xaf43 -0x299defd4 -256 -256 -1 -0 -0 -1 -0x10be -0x6715bcaf -256 -256 -1 -0 -0 -1 -0xa09f -0x798d6a41 -256 -256 -1 -0 -0 -3 -0x789e -0x87b160a -256 -256 -1 -0 -0 -2 -0x8540 -0x3e778aa2 -256 -256 -0 -0 -0 -3 -0xa00d -0xbadfdfc9 -256 -256 -0 -0 -0 -4 -0x9adc -0x9869b69a -256 -256 -1 -0 -0 -1 -0x1ee0 -0x91215f6 -256 -256 -0 -0 -0 -1 -0x2457 -0x7b12d4ce -256 -256 -1 -0 -0 -3 -0x65b5 -0xf465f796 -256 -256 -1 -0 -0 -4 -0xd2ee -0xfb29be71 -256 -256 -1 -0 -0 -4 -0x34b5 -0x4d17c86a -256 -256 -0 -0 -0 -2 -0x75b7 -0xc47b8bc8 -256 -256 -1 -0 -0 -1 -0x4a7f -0xa47b9265 -256 -256 -1 -0 -0 -1 -0x88d -0x2b96cf4d -256 -256 -0 -0 -0 -4 -0x546d -0xeb3be3e3 -256 -256 -0 -0 -0 -3 -0x885b -0xc6d407a4 -256 -256 -0 -0 -0 -1 -0x3cdd -0xe93dc219 -256 -256 -0 -0 -0 -1 -0xc7fa -0xdd6cc7bd -256 -256 -1 -0 -0 -1 -0x33d0 -0xb483537a -256 -256 -1 -0 -0 -2 -0xc3c4 -0x5ecd6d82 -256 -256 -1 -0 -0 -2 -0xb838 -0x7251c8f0 -256 -256 -0 -0 -0 -2 -0x2d0a -0xde510462 -256 -256 -0 -0 -0 -1 -0x3a56 -0xdb40d124 -256 -256 -0 -0 -0 -1 -0x4ed9 -0xc15df0ab -256 -256 -1 -0 -0 -3 -0x79e5 -0xfa754bc3 -256 -256 -1 -0 -0 -3 -0xb56e -0xb2bf4b11 -256 -256 -0 -0 -0 -4 -0x5432 -0x306238b8 -256 -256 -1 -0 -0 -1 -0x7556 -0x15807b12 -256 -256 -0 -0 -0 -1 -0x2e8f -0xce3acd04 -256 -256 -1 -0 -0 -1 -0x9a9 -0x7258bc69 -256 -256 -0 -0 -0 -3 -0x1f6f -0xd79da925 -256 -256 -0 -0 -0 -2 -0xdc96 -0xf7083e7 -256 -256 -0 -0 -0 -4 -0xcd49 -0xb9eb0d2b -256 -256 -1 -0 -0 -2 -0xa458 -0xacfbd59e -256 -256 -0 -0 -0 -1 -0x4145 -0x9651e7a5 -256 -256 -0 -0 -0 -4 -0x4cea -0xa5b87963 -256 -256 -1 -0 -0 -3 -0x4713 -0xc6bea0ec -256 -256 -1 -0 -0 -1 -0xafdb -0xb3dea0d1 -256 -256 -0 -0 -0 -4 -0x47eb -0x162c8ef4 -256 -256 -1 -0 -0 -2 -0x7151 -0xa47b1c78 -256 -256 -1 -0 -0 -1 -0xcd52 -0xfd22b3c6 -256 -256 -1 -0 -0 -4 -0x1cf1 -0x4dca7a20 -256 -256 -0 -0 -0 -4 -0x96df -0x925e41c7 -256 -256 -0 -0 -0 -3 -0x2783 -0x178825c3 -256 -256 -1 -0 -0 -2 -0xfc0 -0x9b823ac3 -256 -256 -0 -0 -0 -2 -0x1a83 -0x1e865b3a -256 -256 -0 -0 -0 -3 -0xe2f1 -0xa1dc344d -256 -256 -1 -0 -0 -2 -0x355c -0x51545f23 -256 -256 -0 -0 -0 -3 -0x6a10 -0xb9efc8b5 -256 -256 -0 -0 -0 -2 -0xdcf9 -0x9ae20004 -256 -256 -1 -0 -0 -4 -0x5d73 -0xaec2e33f -256 -256 -1 -0 -0 -1 -0x6c10 -0x73115138 -256 -256 -0 -0 -0 -4 -0x853e -0x13040c98 -256 -256 -1 -0 -0 -4 -0x7417 -0xb3844d45 -256 -256 -1 -0 -0 -3 -0xa73a -0xab78ca69 -256 -256 -1 -0 -0 -2 -0x8dfe -0x575b9daf -256 -256 -1 -0 -0 -1 -0x31f3 -0xdd34b2cb -256 -256 -0 -0 -0 -2 -0xf351 -0xfcfaf44f -256 -256 -1 -0 -0 -2 -0xda22 -0xee326df5 -256 -256 -1 -0 -0 -3 -0x57f8 -0xa8b1db1d -256 -256 -0 -0 -0 -4 -0xe12d -0xfea01df9 -256 -256 -0 -0 -0 -1 -0x784d -0x7ff8e7a8 -256 -256 -1 -0 -0 -1 -0x37df -0x617bbafa -256 -256 -0 -0 -0 -2 -0xe424 -0x86378a1e -256 -256 -1 -0 -0 -3 -0x8165 -0xee020c2d -256 -256 -1 -0 -0 -2 -0x16d1 -0xe80268df -256 -256 -1 -0 -0 -1 -0x39b1 -0x82f3db92 -256 -256 -0 -0 -0 -3 -0x24c3 -0xc77ead57 -256 -256 -1 -0 -0 -2 -0xa61f -0x452f8874 -256 -256 -1 -0 -0 -3 -0xb904 -0x9a4f8fda -256 -256 -1 -0 -0 -2 -0x675f -0xdedc2ad2 -256 -256 -1 -0 -0 -2 -0x9b73 -0x1fb777de -256 -256 -0 -0 -0 -1 -0xf0db -0x8b9c76e8 -256 -256 -0 -0 -0 -4 -0x7717 -0xb9272980 -256 -256 -0 -0 -0 -3 -0xfa7f -0xa2ba3932 -256 -256 -1 -0 -0 -3 -0xc71c -0x99ff684b -256 -256 -0 -0 -0 -4 -0x88fc -0x3956dd78 -256 -256 -0 -0 -0 -4 -0x44a1 -0x9df9c302 -256 -256 -0 -0 -0 -3 -0x8576 -0xcece72de -256 -256 -0 -0 -0 -2 -0xbd8c -0xb324ba36 -256 -256 -1 -0 -0 -1 -0x351c -0x68193aac -256 -256 -1 -0 -0 -2 -0xa9f3 -0xcd2d8721 -256 -256 -0 -0 -0 -1 -0xbc36 -0xdaceeb7e -256 -256 -1 -0 -0 -1 -0xbc37 -0x62cbc446 -256 -256 -0 -0 -0 -1 -0xb10c -0xd5d1a900 -256 -256 -0 -0 -0 -2 -0xcf50 -0x3d2b9943 -256 -256 -1 -0 -0 -2 -0x82b0 -0xe81511e8 -256 -256 -0 -0 -0 -3 -0x2999 -0x3403163f -256 -256 -1 -0 -0 -4 -0xa7a9 -0x7a5c62ca -256 -256 -1 -0 -0 -2 -0xc7b7 -0x8e3dfd57 -256 -256 -1 -0 -0 -1 -0xfb3b -0xe07d5dbf -256 -256 -0 -0 -0 -4 -0x5f10 -0x6060d9ba -256 -256 -1 -0 -0 -3 -0x84ff -0xdd055866 -256 -256 -1 -0 -0 -2 -0x11b6 -0x4b6923b5 -256 -256 -0 -0 -0 -1 -0x9ea2 -0x3fa107d0 -256 -256 -1 -0 -0 -3 -0xc359 -0x8abbff4e -256 -256 -0 -0 -0 -2 -0x67b8 -0x5baa6b15 -256 -256 -0 -0 -0 -3 -0x69d -0x86d8e0ab -256 -256 -0 -0 -0 -3 -0x85da -0xca7f69f8 -256 -256 -1 -0 -0 -3 -0xd87 -0x4999a6da -256 -256 -0 -0 -0 -2 -0xaa03 -0x4d62094c -256 -256 -0 -0 -0 -4 -0x9780 -0x4afff072 -256 -256 -1 -0 -0 -2 -0x272b -0x50afbe73 -256 -256 -1 -0 -0 -2 -0xbfa2 -0x961c10d2 -256 -256 -1 -0 -0 -1 -0x2611 -0x8dbb9e9e -256 -256 -1 -0 -0 -1 -0x3bcd -0x5b646145 -256 -256 -1 -0 -0 -4 -0x15c2 -0x6e5aa516 -256 -256 -1 -0 -0 -3 -0xa05f -0x5c6f558f -256 -256 -1 -0 -0 -1 -0x8c54 -0xaaaee4d8 -256 -256 -1 -0 -0 -3 -0x34ea -0x6a6a5914 -256 -256 -1 -0 -0 -3 -0x7ac6 -0x3153189e -256 -256 -0 -0 -0 -4 -0x5acf -0xe912f5c8 -256 -256 -0 -0 -0 -1 -0x5661 -0xcb0ac925 -256 -256 -1 -0 -0 -3 -0x710b -0x573ef71a -256 -256 -1 -0 -0 -1 -0xebf4 -0x3bd5b3ce -256 -256 -1 -0 -0 -1 -0xb08c -0x3eb60cf3 -256 -256 -1 -0 -0 -2 -0x7890 -0x79a95778 -256 -256 -1 -0 -0 -3 -0xc5a2 -0x9940eb65 -256 -256 -1 -0 -0 -2 -0xbc4a -0x5eea3e4d -256 -256 -0 -0 -0 -2 -0x86c8 -0x5d8fac7 -256 -256 -1 -0 -0 -4 -0x4938 -0xdc7e37dc -256 -256 -1 -0 -0 -1 -0x52c -0x56cf2da7 -256 -256 -1 -0 -0 -1 -0xb265 -0x757008be -256 -256 -1 -0 -0 -1 -0xbf1c -0x1ad74c82 -256 -256 -0 -0 -0 -1 -0x12bb -0x38dbcf94 -256 -256 -0 -0 -0 -2 -0xe332 -0xb7e053b1 -256 -256 -0 -0 -0 -4 -0xca6d -0xc76aa720 -256 -256 -0 -0 -0 -4 -0xa1b7 -0xdc6226fc -256 -256 -0 -0 -0 -3 -0xab5 -0xa7fc857a -256 -256 -0 -0 -0 -3 -0x9c60 -0xe12e4ade -256 -256 -0 -0 -0 -1 -0x5da5 -0x8b2833dd -256 -256 -0 -0 -0 -4 -0x794d -0x39cdf05c -256 -256 -0 -0 -0 -2 -0x77a8 -0x728ddc39 -256 -256 -1 -0 -0 -1 -0x59ee -0x3e017848 -256 -256 -0 -0 -0 -2 -0xf3a0 -0x23947c11 -256 -256 -0 -0 -0 -3 -0x510e -0x70b7a73a -256 -256 -0 -0 -0 -3 -0x1f9 -0x91305051 -256 -256 -1 -0 -0 -1 -0x8064 -0xf7f1e5ad -256 -256 -1 -0 -0 -3 -0x2baf -0xac2f0d97 -256 -256 -1 -0 -0 -2 -0x345e -0x4dce4706 -256 -256 -1 -0 -0 -1 -0x8104 -0xf6fcb547 -256 -256 -0 -0 -0 -2 -0xfaa7 -0x5c41f458 -256 -256 -0 -0 -0 -3 -0x67e7 -0x9b7bb4f5 -256 -256 -0 -0 -0 -2 -0xd505 -0x7f6a89fd -256 -256 -1 -0 -0 -4 -0x2b67 -0x2a79b5f5 -256 -256 -1 -0 -0 -2 -0x714b -0xbe2166c9 -256 -256 -1 -0 -0 -2 -0x68 -0xe03fa3b4 -256 -256 -1 -0 -0 -1 -0xd18f -0x553ee30e -256 -256 -1 -0 -0 -4 -0x4de2 -0xb5ac7a69 -256 -256 -1 -0 -0 -3 -0x217c -0x9b0ab528 -256 -256 -0 -0 -0 -1 -0x98cc -0x73c95681 -256 -256 -0 -0 -0 -3 -0x5626 -0x84288e58 -256 -256 -1 -0 -0 -3 -0xf400 -0xf85a68a6 -256 -256 -1 -0 -0 -3 -0xe1d7 -0x8088278f -256 -256 -0 -0 -0 -1 -0x9301 -0x98117c11 -256 -256 -0 -0 -0 -2 -0xd67 -0xce9dc4d -256 -256 -0 -0 -0 -2 -0xa16d -0x78768f37 -256 -256 -1 -0 -0 -3 -0x334d -0xf6c0d617 -256 -256 -0 -0 -0 -4 -0xca55 -0x5a7cca03 -256 -256 -1 -0 -0 -1 -0x48f4 -0xc2d008a7 -256 -256 -1 -0 -0 -1 -0x7bfc -0xcef3ea4c -256 -256 -0 -0 -0 -3 -0xe88f -0x265a193d -256 -256 -0 -0 -0 -1 -0x87d1 -0x31e05034 -256 -256 -1 -0 -0 -3 -0xc72c -0x44aede4c -256 -256 -1 -0 -0 -4 -0x3c6e -0x6f9ea39a -256 -256 -0 -0 -0 -2 -0xc159 -0x8ea75bd1 -256 -256 -0 -0 -0 -3 -0x91dc -0x23e6936e -256 -256 -0 -0 -0 -2 -0x6c08 -0x9f741ddd -256 -256 -1 -0 -0 -4 -0x576 -0xd666a68d -256 -256 -1 -0 -0 -1 -0x7fa4 -0x627c52c3 -256 -256 -0 -0 -0 -3 -0x2f33 -0x2eca3ec6 -256 -256 -0 -0 -0 -2 -0xc102 -0x71886c07 -256 -256 -0 -0 -0 -4 -0xfe9e -0x413e956d -256 -256 -1 -0 -0 -1 -0x89e4 -0x94b09ab8 -256 -256 -1 -0 -0 -1 -0xf69f -0xe9d1868f -256 -256 -0 -0 -0 -4 -0xd9d8 -0x91dc3e2b -256 -256 -0 -0 -0 -1 -0xf7a1 -0x65f375fe -256 -256 -0 -0 -0 -1 -0x8b53 -0x5856c67d -256 -256 -0 -0 -0 -1 -0x567d -0x59a55cda -256 -256 -1 -0 -0 -3 -0x52d2 -0x482e82ae -256 -256 -0 -0 -0 -3 -0x549a -0x508c2505 -256 -256 -0 -0 -0 -3 -0x4647 -0xa7f30e24 -256 -256 -0 -0 -0 -3 -0xac5 -0x6d691a4b -256 -256 -0 -0 -0 -3 -0x9bdd -0x1ac2f4bd -256 -256 -0 -0 -0 -2 -0x577c -0xe35dc0ac -256 -256 -0 -0 -0 -2 -0x182a -0xd1f9391c -256 -256 -1 -0 -0 -1 -0x1e5e -0xfb6e8342 -256 -256 -0 -0 -0 -3 -0xf97c -0xe14207cb -256 -256 -0 -0 -0 -4 -0xb3b4 -0x1449275f -256 -256 -1 -0 -0 -2 -0xa459 -0xf6ebed01 -256 -256 -1 -0 -0 -2 -0xfe70 -0xe6fbf8e5 -256 -256 -0 -0 -0 -2 -0x9f51 -0xdc17e9cb -256 -256 -0 -0 -0 -3 -0xce06 -0x1a28368b -256 -256 -1 -0 -0 -4 -0xbc93 -0xf0bdeed2 -256 -256 -0 -0 -0 -2 -0xa6b5 -0xd566808 -256 -256 -0 -0 -0 -3 -0xff21 -0x75df57dd -256 -256 -0 -0 -0 -3 -0xbb69 -0xf447c5 -256 -256 -0 -0 -0 -2 -0x44eb -0x4c454af5 -256 -256 -0 -0 -0 -1 -0xcceb -0xc527f5ad -256 -256 -1 -0 -0 -3 -0x78c -0x9fdfa889 -256 -256 -1 -0 -0 -2 -0xcab4 -0x18002b3e -256 -256 -1 -0 -0 -1 -0xf0c -0xf19adba3 -256 -256 -0 -0 -0 -1 -0x946f -0x6f6239c3 -256 -256 -0 -0 -0 -1 -0xf5ec -0xa02f341a -256 -256 -0 -0 -0 -2 -0x2085 -0x541b3013 -256 -256 -1 -0 -0 -4 -0xe076 -0x902be2c8 -256 -256 -1 -0 -0 -1 -0x691 -0x8c4771bc -256 -256 -1 -0 -0 -4 -0xb2bd -0x4e4e9872 -256 -256 -1 -0 -0 -3 -0x2a72 -0x5af4d26 -256 -256 -1 -0 -0 -3 -0x859e -0x9f1ca04e -256 -256 -0 -0 -0 -2 -0xcb96 -0x45079307 -256 -256 -0 -0 -0 -3 -0x1392 -0x15e7113c -256 -256 -0 -0 -0 -4 -0x92bf -0xd3fc8784 -256 -256 -1 -0 -0 -2 -0x333d -0xfe6aafc4 -256 -256 -0 -0 -0 -3 -0xb20b -0xd6a107fb -256 -256 -1 -0 -0 -3 -0x3a4f -0x517e9fff -256 -256 -0 -0 -0 -2 -0xa3ee -0x5dae00ca -256 -256 -1 -0 -0 -3 -0x96e -0xc8e91a9a -256 -256 -0 -0 -0 -3 -0xce86 -0xf5b9e867 -256 -256 -0 -0 -0 -1 -0x8c71 -0xfa90b2b8 -256 -256 -0 -0 -0 -1 -0x314d -0x8737fabf -256 -256 -1 -0 -0 -1 -0xae2d -0x5465db41 -256 -256 -1 -0 -0 -3 -0xe0a1 -0x5c5291d9 -256 -256 -0 -0 -0 -2 -0x849b -0xad00fc39 -256 -256 -1 -0 -0 -3 -0x9870 -0x538f8dd3 -256 -256 -1 -0 -0 -2 -0x992f -0x3ac4fb7c -256 -256 -0 -0 -0 -2 -0xe7e3 -0x8d93f288 -256 -256 -1 -0 -0 -2 -0x79d4 -0x5d4ac529 -256 -256 -1 -0 -0 -3 -0x50ab -0x1d1441ce -256 -256 -0 -0 -0 -2 -0x196e -0x6a226857 -256 -256 -0 -0 -0 -1 -0x67cc -0xebdf081e -256 -256 -0 -0 -0 -2 -0x2a24 -0x3d8b66c2 -256 -256 -1 -0 -0 -4 -0x93f1 -0x843acfd7 -256 -256 -1 -0 -0 -1 -0xa8d9 -0xc0a4aeae -256 -256 -1 -0 -0 -4 -0xeb4c -0x601f623a -256 -256 -0 -0 -0 -1 -0xd51a -0x117d469 -256 -256 -0 -0 -0 -1 -0x9846 -0xdc1dd04d -256 -256 -0 -0 -0 -2 -0x6c9c -0x4a453f23 -256 -256 -1 -0 -0 -2 -0x93db -0x6a979b5d -256 -256 -1 -0 -0 -3 -0xa9f3 -0x789b4742 -256 -256 -1 -0 -0 -4 -0x5cfb -0xc0b1019a -256 -256 -1 -0 -0 -4 -0x5470 -0x927084e4 -256 -256 -0 -0 -0 -3 -0x2091 -0x46d70e23 -256 -256 -0 -0 -0 -2 -0x5994 -0xd932eab -256 -256 -0 -0 -0 -1 -0x1f1f -0x2866761e -256 -256 -1 -0 -0 -4 -0xf4a3 -0x5e01f7 -256 -256 -0 -0 -0 -4 -0x2a79 -0xa6c6153b -256 -256 -1 -0 -0 -2 -0x3f1a -0xc64e9b7d -256 -256 -1 -0 -0 -3 -0x8954 -0xf558db50 -256 -256 -0 -0 -0 -2 -0xf898 -0xa297186d -256 -256 -1 -0 -0 -4 -0x4581 -0xdde94e4b -256 -256 -1 -0 -0 -2 -0xe7b2 -0x5dc13711 -256 -256 -1 -0 -0 -3 -0x6fea -0x7ecafb68 -256 -256 -0 -0 -0 -4 -0x224f -0x7ec9245a -256 -256 -1 -0 -0 -1 -0xa71d -0x687115a -256 -256 -0 -0 -0 -1 -0xc5d4 -0xfef0ffc5 -256 -256 -1 -0 -0 -3 -0x5f62 -0xb49b65e0 -256 -256 -0 -0 -0 -1 -0x8237 -0x78eec8a4 -256 -256 -1 -0 -0 -4 -0xb14f -0xa9d4395e -256 -256 -0 -0 -0 -4 -0x63a3 -0x7b661d9e -256 -256 -1 -0 -0 -1 -0x9877 -0xd1e8046 -256 -256 -1 -0 -0 -1 -0xcd05 -0x4f86596b -256 -256 -0 -0 -0 -3 -0x9725 -0x2d6cf391 -256 -256 -0 -0 -0 -4 -0xd65a -0x7b1712a0 -256 -256 -1 -0 -0 -2 -0x2627 -0xf3871428 -256 -256 -0 -0 -0 -4 -0xb8d8 -0x702aecf1 -256 -256 -0 -0 -0 -1 -0x325 -0x3bfc792c -256 -256 -0 -0 -0 -4 -0x234b -0x167a27c9 -256 -256 -1 -0 -0 -2 -0xcbcc -0x756c73c4 -256 -256 -1 -0 -0 -2 -0xf828 -0x5cf83676 -256 -256 -0 -0 -0 -3 -0x81f3 -0x358d6ddd -256 -256 -1 -0 -0 -4 -0x438a -0x142cd9ff -256 -256 -0 -0 -0 -1 -0xe364 -0x3ee4dc8 -256 -256 -0 -0 -0 -3 -0xad01 -0x23e18c3d -256 -256 -1 -0 -0 -3 -0x7964 -0x7f0f06c1 -256 -256 -0 -0 -0 -2 -0x2c68 -0x40ccd359 -256 -256 -1 -0 -0 -3 -0x6806 -0xf989ef6c -256 -256 -0 -0 -0 -1 -0x48fc -0x549a68cd -256 -256 -1 -0 -0 -2 -0xc8c7 -0x67ea270d -256 -256 -1 -0 -0 -1 -0x46bc -0xb485b79d -256 -256 -0 -0 -0 -4 -0x815a -0x8ed2c532 -256 -256 -1 -0 -0 -2 -0x3ad8 -0x21e41b41 -256 -256 -0 -0 -0 -3 -0x4542 -0xc940c219 -256 -256 -1 -0 -0 -4 -0x8bb0 -0xcad8f542 -256 -256 -1 -0 -0 -3 -0x6fba -0xe29e6065 -256 -256 -0 -0 -0 -1 -0x5c40 -0x992fbc86 -256 -256 -0 -0 -0 -4 -0xcbbd -0x971b06ee -256 -256 -1 -0 -0 -3 -0x2fb0 -0xd42bf3ee -256 -256 -1 -0 -0 -3 -0xaf24 -0x7e69077e -256 -256 -0 -0 -0 -4 -0x9782 -0x17fd1fe -256 -256 -0 -0 -0 -2 -0x9f86 -0x492b509 -256 -256 -0 -0 -0 -1 -0x2180 -0x8f6bb205 -256 -256 -1 -0 -0 -2 -0x6118 -0x746e940d -256 -256 -0 -0 -0 -3 -0xeb0a -0x5226a8e3 -256 -256 -1 -0 -0 -1 -0xe4f7 -0x7c7ae5cc -256 -256 -0 -0 -0 -1 -0xae93 -0x8ae9d298 -256 -256 -0 -0 -0 -2 -0xe1c3 -0x20d1d26f -256 -256 -0 -0 -0 -1 -0xb927 -0x3670f3f1 -256 -256 -0 -0 -0 -3 -0x6408 -0x6e8706c7 -256 -256 -1 -0 -0 -4 -0xfefd -0x87253ed1 -256 -256 -1 -0 -0 -2 -0x7a2e -0xcb6e30a2 -256 -256 -1 -0 -0 -3 -0x7dbe -0xb9dfb779 -256 -256 -0 -0 -0 -2 -0xf60e -0x308bcd35 -256 -256 -1 -0 -0 -3 -0x52dd -0x19549303 -256 -256 -1 -0 -0 -1 -0xd1e3 -0x27e245df -256 -256 -0 -0 -0 -1 -0xfb02 -0x2fa90a21 -256 -256 -1 -0 -0 -4 -0x4d13 -0xe98ff729 -256 -256 -0 -0 -0 -2 -0xba47 -0xe00f9726 -256 -256 -1 -0 -0 -2 -0x94ba -0x8b3c14e5 -256 -256 -1 -0 -0 -3 -0x1394 -0xa677b4ae -256 -256 -1 -0 -0 -1 -0xf23a -0xd866b49f -256 -256 -0 -0 -0 -1 -0x5c9f -0x28b1779d -256 -256 -1 -0 -0 -4 -0xc88f -0x57a5c38d -256 -256 -1 -0 -0 -4 -0xfeb0 -0xbf84c394 -256 -256 -0 -0 -0 -1 -0xf4b8 -0x74bceb87 -256 -256 -0 -0 -0 -1 -0x98ff -0xfd215b28 -256 -256 -0 -0 -0 -2 -0xd30a -0xdaacb82c -256 -256 -1 -0 -0 -4 -0xded -0x8832087f -256 -256 -0 -0 -0 -2 -0xf604 -0x97278d4e -256 -256 -0 -0 -0 -1 -0x391e -0x79d05f4a -256 -256 -0 -0 -0 -3 -0x3e6e -0x9be020cb -256 -256 -0 -0 -0 -2 -0x50c0 -0x361e35b3 -256 -256 -1 -0 -0 -1 -0x4b63 -0xd983b941 -256 -256 -0 -0 -0 -1 -0x6547 -0x5e459058 -256 -256 -1 -0 -0 -3 -0xf64b -0x955b2ed4 -256 -256 -1 -0 -0 -1 -0x3f95 -0xe51db3f -256 -256 -0 -0 -0 -3 -0x133a -0x6dd994a5 -256 -256 -0 -0 -0 -3 -0x3fc4 -0x60cd49ad -256 -256 -0 -0 -0 -4 -0x40ca -0x6f12be82 -256 -256 -0 -0 -0 -3 -0xcf7c -0x8f5cb71b -256 -256 -1 -0 -0 -3 -0x8799 -0xaae00125 -256 -256 -1 -0 -0 -3 -0x486e -0x85a17b22 -256 -256 -1 -0 -0 -4 -0xf432 -0xb898bde4 -256 -256 -1 -0 -0 -3 -0x5dbc -0xeb05141c -256 -256 -1 -0 -0 -2 -0xc69d -0xeba4fd4e -256 -256 -1 -0 -0 -3 -0xecb9 -0x13710e5e -256 -256 -0 -0 -0 -1 -0xfe67 -0x48dad31f -256 -256 -0 -0 -0 -2 -0x1273 -0x20829ad5 -256 -256 -1 -0 -0 -3 -0xbf7c -0x357325ff -256 -256 -0 -0 -0 -2 -0x1c0e -0xf64fa673 -256 -256 -0 -0 -0 -2 -0x3d4b -0x8e9f7bc9 -256 -256 -0 -0 -0 -1 -0xd46f -0xecc83bfb -256 -256 -1 -0 -0 -4 -0x1fc8 -0x9c7ce71d -256 -256 -0 -0 -0 -4 -0x475e -0x755a1c9a -256 -256 -1 -0 -0 -4 -0x9d70 -0xed395425 -256 -256 -1 -0 -0 -4 -0x93e2 -0x412de3aa -256 -256 -1 -0 -0 -4 -0x5f7e -0x3fbfea31 -256 -256 -1 -0 -0 -1 -0xd96e -0x708f852c -256 -256 -0 -0 -0 -1 -0x33c1 -0xb0a908ca -256 -256 -0 -0 -0 -4 -0xd68f -0x3ccc37ca -256 -256 -0 -0 -0 -2 -0x5137 -0x39bea915 -256 -256 -0 -0 -0 -1 -0xa292 -0xbdd7f2b7 -256 -256 -1 -0 -0 -3 -0x58cf -0xf1b249e -256 -256 -1 -0 -0 -3 -0xa8e9 -0x5cd1aba4 -256 -256 -1 -0 -0 -1 -0x795c -0x284a86d -256 -256 -1 -0 -0 -2 -0x2241 -0x4e1ff95f -256 -256 -1 -0 -0 -2 -0x596c -0xb4374619 -256 -256 -1 -0 -0 -4 -0x8b10 -0x558233a4 -256 -256 -1 -0 -0 -3 -0x1cd1 -0x61fccfd5 -256 -256 -0 -0 -0 -1 -0x1985 -0x8d3cadfa -256 -256 -0 -0 -0 -4 -0x28be -0x64689e55 -256 -256 -0 -0 -0 -3 -0xd634 -0x2be8a648 -256 -256 -0 -0 -0 -1 -0x29c5 -0xa1808562 -256 -256 -1 -0 -0 -1 -0xc410 -0xf5b95a2c -256 -256 -0 -0 -0 -3 -0xd22b -0x8866b78b -256 -256 -1 -0 -0 -4 -0xbdee -0xa6a0288 -256 -256 -0 -0 -0 -1 -0x4263 -0x12cfd8c4 -256 -256 -0 -0 -0 -2 -0xb658 -0xca6ab6d0 -256 -256 -0 -0 -0 -1 -0x958c -0x69568daf -256 -256 -0 -0 -0 -2 -0xbeac -0xa0e11867 -256 -256 -1 -0 -0 -1 -0x6310 -0x73a6739b -256 -256 -1 -0 -0 -3 -0xedba -0xe399fa86 -256 -256 -1 -0 -0 -4 -0xe8e8 -0x3c399c02 -256 -256 -0 -0 -0 -4 -0x4df5 -0xa0eeeb57 -256 -256 -1 -0 -0 -1 -0x26e9 -0x636a1a8d -256 -256 -0 -0 -0 -2 -0xf93f -0xd3d5bb80 -256 -256 -1 -0 -0 -2 -0xcc1d -0xd7cc5b47 -256 -256 -1 -0 -0 -4 -0x23a7 -0xa11032b -256 -256 -1 -0 -0 -4 -0x3eab -0x80c01705 -256 -256 -1 -0 -0 -1 -0xc729 -0xe78f3342 -256 -256 -1 -0 -0 -2 -0xab23 -0xc91ec067 -256 -256 -1 -0 -0 -1 -0xc055 -0xe9561194 -256 -256 -1 -0 -0 -1 -0xc6d2 -0xd97bf04b -256 -256 -1 -0 -0 -4 -0xac7c -0xe5778bef -256 -256 -1 -0 -0 -4 -0x8362 -0xebbc37db -256 -256 -1 -0 -0 -4 -0x28c0 -0x8ca029b5 -256 -256 -0 -0 -0 -2 -0x6a0c -0x6f01a68d -256 -256 -1 -0 -0 -1 -0x9be0 -0x6ea5ff8f -256 -256 -1 -0 -0 -1 -0xae35 -0x6c1281fc -256 -256 -1 -0 -0 -2 -0xb55c -0x8e025d12 -256 -256 -0 -0 -0 -3 -0x1604 -0xdd841921 -256 -256 -1 -0 -0 -2 -0x3381 -0x35435bf6 -256 -256 -0 -0 -0 -1 -0x7fd3 -0xc3efd918 -256 -256 -1 -0 -0 -1 -0x20ae -0x23af44dd -256 -256 -1 -0 -0 -4 -0xbc16 -0x80a06245 -256 -256 -1 -0 -0 -1 -0xb02f -0x3d7b211 -256 -256 -0 -0 -0 -4 -0x5310 -0xfd7015cd -256 -256 -0 -0 -0 -1 -0xda0 -0x289b447a -256 -256 -1 -0 -0 -2 -0xa669 -0x9d736619 -256 -256 -0 -0 -0 -4 -0x69f1 -0x778490e -256 -256 -0 -0 -0 -3 -0xa19b -0x2e7efb0 -256 -256 -1 -0 -0 -3 -0xd652 -0x6526c4ef -256 -256 -1 -0 -0 -3 -0x6099 -0xfca7ff5b -256 -256 -1 -0 -0 -2 -0xef3f -0x25115adf -256 -256 -0 -0 -0 -3 -0xeec5 -0x1036f6cb -256 -256 -0 -0 -0 -1 -0xeec4 -0x6d80ffc0 -256 -256 -0 -0 -0 -1 -0xf3cb -0xb0cf8902 -256 -256 -0 -0 -0 -1 -0xac76 -0x822d140c -256 -256 -0 -0 -0 -2 -0x4b88 -0x5140a9b8 -256 -256 -0 -0 -0 -3 -0x6fc1 -0x94913b5e -256 -256 -1 -0 -0 -2 -0x3d38 -0x5e7dc712 -256 -256 -0 -0 -0 -4 -0xf0fc -0xb83b05d2 -256 -256 -1 -0 -0 -3 -0x9557 -0xb565b15c -256 -256 -0 -0 -0 -2 -0x9735 -0x27cf9936 -256 -256 -1 -0 -0 -3 -0xc14c -0xc56f1ad1 -256 -256 -0 -0 -0 -3 -0x2d74 -0x3b42feff -256 -256 -1 -0 -0 -3 -0xa06 -0x6b10b6f4 -256 -256 -1 -0 -0 -1 -0x2297 -0x9a66b7b5 -256 -256 -0 -0 -0 -4 -0x3cd -0x4d7e66e -256 -256 -1 -0 -0 -3 -0xf2c5 -0xe141ed45 -256 -256 -1 -0 -0 -4 -0x4ff9 -0x23a392f3 -256 -256 -0 -0 -0 -3 -0xe7d8 -0x3247078d -256 -256 -0 -0 -0 -4 -0xfc1c -0x4fc736db -256 -256 -1 -0 -0 -3 -0x7012 -0x8f8c66bb -256 -256 -0 -0 -0 -2 -0xc0d6 -0xb8ebc6f -256 -256 -1 -0 -0 -4 -0x7b78 -0x5dba2d86 -256 -256 -1 -0 -0 -4 -0x4c1b -0x3c8257b5 -256 -256 -1 -0 -0 -4 -0xe657 -0x220e9e2a -256 -256 -0 -0 -0 -3 -0x195a -0xe130fa33 -256 -256 -0 -0 -0 -1 -0xa2c -0x398de59c -256 -256 -0 -0 -0 -3 -0x1da1 -0xacb538bd -256 -256 -0 -0 -0 -2 -0x437f -0xe819b727 -256 -256 -0 -0 -0 -4 -0xa54c -0x2b359221 -256 -256 -0 -0 -0 -3 -0x32e3 -0x2adf4e5f -256 -256 -0 -0 -0 -4 -0x3492 -0x6a953c21 -256 -256 -0 -0 -0 -2 -0x63b5 -0x2ef8fa68 -256 -256 -0 -0 -0 -1 -0xec5 -0x14f13a03 -256 -256 -1 -0 -0 -1 -0xe433 -0x6b856ecc -256 -256 -1 -0 -0 -3 -0x34b -0x378b037 -256 -256 -1 -0 -0 -1 -0x16c -0x5b1aab37 -256 -256 -0 -0 -0 -3 -0x5067 -0x6eee4ad5 -256 -256 -1 -0 -0 -4 -0x377c -0x758d9d2c -256 -256 -1 -0 -0 -4 -0xdd1f -0x3d187076 -256 -256 -0 -0 -0 -3 -0xd7b7 -0xa46b9924 -256 -256 -0 -0 -0 -3 -0x182c -0xb7fe8581 -256 -256 -0 -0 -0 -1 -0xbd14 -0xd56a245b -256 -256 -0 -0 -0 -3 -0x17b -0x859376e -256 -256 -1 -0 -0 -4 -0xd93d -0x9d1e5acf -256 -256 -0 -0 -0 -3 -0xe159 -0x594c0cfa -256 -256 -0 -0 -0 -2 -0x128e -0x3e6aeecb -256 -256 -1 -0 -0 -4 -0xee7a -0xb1214019 -256 -256 -1 -0 -0 -1 -0x288a -0x57c3bf63 -256 -256 -0 -0 -0 -1 -0x477a -0xae966e88 -256 -256 -1 -0 -0 -3 -0x1f86 -0x9e85e7a2 -256 -256 -0 -0 -0 -1 -0xb8fe -0xfe72985 -256 -256 -0 -0 -0 -2 -0x6038 -0x6d1e20ee -256 -256 -0 -0 -0 -3 -0xeab3 -0x9311d554 -256 -256 -1 -0 -0 -1 -0xe5c6 -0x26fc4cdb -256 -256 -1 -0 -0 -3 -0x8e5f -0xcbdc6fa4 -256 -256 -0 -0 -0 -1 -0xf4c2 -0x60b29192 -256 -256 -0 -0 -0 -3 -0xe3f -0x1b62197a -256 -256 -0 -0 -0 -2 -0x9321 -0x586e1d02 -256 -256 -1 -0 -0 -3 -0x1fc8 -0x4cc5998d -256 -256 -1 -0 -0 -2 -0xa4cb -0x51a494b1 -256 -256 -1 -0 -0 -4 -0xf10c -0x2854649c -256 -256 -0 -0 -0 -3 -0x39a0 -0x658861d1 -256 -256 -0 -0 -0 -2 -0x39e1 -0x5ba4a634 -256 -256 -0 -0 -0 -4 -0x288c -0x60fd9690 -256 -256 -1 -0 -0 -3 -0xebee -0xc42036a2 -256 -256 -0 -0 -0 -3 -0x4d9e -0x50e8566c -256 -256 -0 -0 -0 -1 -0xc50a -0x110a50a9 -256 -256 -1 -0 -0 -2 -0xdc4e -0x307cabcb -256 -256 -0 -0 -0 -2 -0x4563 -0xe604f2a0 -256 -256 -1 -0 -0 -1 -0xe924 -0xc7912d89 -256 -256 -0 -0 -0 -1 -0x1330 -0xea3949d7 -256 -256 -1 -0 -0 -3 -0x60a8 -0x125a8dde -256 -256 -0 -0 -0 -1 -0xc109 -0xb5b3423f -256 -256 -0 -0 -0 -3 -0x2bb1 -0x18f2a6fa -256 -256 -0 -0 -0 -4 -0x43fa -0xc8155ae4 -256 -256 -1 -0 -0 -4 -0xc487 -0x9262e1bc -256 -256 -1 -0 -0 -2 -0x7afa -0xecf36742 -256 -256 -1 -0 -0 -2 -0xe98e -0x412eadd3 -256 -256 -1 -0 -0 -3 -0x9484 -0x7ac4cbe4 -256 -256 -0 -0 -0 -4 -0x7a3f -0x823c7c3e -256 -256 -1 -0 -0 -2 -0x8ab2 -0x9c308434 -256 -256 -0 -0 -0 -3 -0x1f0 -0x1d80956a -256 -256 -1 -0 -0 -2 -0x66f4 -0x7990761a -256 -256 -1 -0 -0 -1 -0x304f -0x377f37c4 -256 -256 -1 -0 -0 -4 -0x421b -0x998eb501 -256 -256 -1 -0 -0 -4 -0x5a5b -0xa35356e8 -256 -256 -1 -0 -0 -4 -0x27f7 -0x5df370a5 -256 -256 -0 -0 -0 -4 -0x6f89 -0x876b5625 -256 -256 -1 -0 -0 -1 -0x3b33 -0x9559b0fa -256 -256 -0 -0 -0 -3 -0x2793 -0xfa65543 -256 -256 -1 -0 -0 -4 -0xd061 -0xef1e0b54 -256 -256 -1 -0 -0 -4 -0x141f -0x46633cdb -256 -256 -0 -0 -0 -3 -0x9bd5 -0x239e4adc -256 -256 -1 -0 -0 -1 -0x6463 -0x1291c24b -256 -256 -0 -0 -0 -1 -0x3a7e -0xe912f25e -256 -256 -1 -0 -0 -3 -0xd21c -0x7211cc52 -256 -256 -0 -0 -0 -3 -0x84aa -0xae9adc57 -256 -256 -0 -0 -0 -3 -0xf55d -0x12ca7421 -256 -256 -1 -0 -0 -4 -0x9681 -0x2ff9c671 -256 -256 -1 -0 -0 -1 -0xbce -0x70f369e8 -256 -256 -0 -0 -0 -4 -0xa3fc -0xee9930e2 -256 -256 -1 -0 -0 -1 -0x3ead -0xccfe3b28 -256 -256 -0 -0 -0 -3 -0x3143 -0x84d0c5a4 -256 -256 -1 -0 -0 -1 -0xb8b2 -0xc3d2cd8 -256 -256 -0 -0 -0 -3 -0xf47c -0xc263e4aa -256 -256 -0 -0 -0 -1 -0xd1b9 -0xd8ee92f7 -256 -256 -0 -0 -0 -3 -0x84a -0x5612bca4 -256 -256 -1 -0 -0 -4 -0x8 -0x975a0b64 -256 -256 -1 -0 -0 -3 -0x1e74 -0x68aeb4ff -256 -256 -1 -0 -0 -3 -0xc348 -0xa927a0c3 -256 -256 -1 -0 -0 -1 -0x6815 -0x7c688b82 -256 -256 -1 -0 -0 -4 -0xb0b8 -0xb233617 -256 -256 -0 -0 -0 -3 -0x8bb1 -0xba4d8acd -256 -256 -0 -0 -0 -4 -0x844b -0x37761229 -256 -256 -1 -0 -0 -2 -0x2303 -0xe9ba013a -256 -256 -0 -0 -0 -2 -0x2986 -0xf3af19ed -256 -256 -1 -0 -0 -4 -0xb884 -0x2f444a75 -256 -256 -1 -0 -0 -1 -0x9b97 -0xfe82bb86 -256 -256 -0 -0 -0 -4 -0x1c2f -0xa264deb4 -256 -256 -1 -0 -0 -4 -0xcad5 -0x6a5cf169 -256 -256 -0 -0 -0 -3 -0xd0ff -0x611ed075 -256 -256 -0 -0 -0 -4 -0x84f2 -0x1bcffd4a -256 -256 -1 -0 -0 -4 -0x7f4d -0x4086222b -256 -256 -0 -0 -0 -1 -0x6cae -0xa5aac24e -256 -256 -0 -0 -0 -4 -0x5ad6 -0xa1bc89ee -256 -256 -0 -0 -0 -3 -0x3f18 -0xbe1e8b08 -256 -256 -1 -0 -0 -3 -0x4a33 -0xf7fbff37 -256 -256 -1 -0 -0 -4 -0x42a5 -0xc1514a07 -256 -256 -0 -0 -0 -2 -0xeb26 -0x7f37184b -256 -256 -0 -0 -0 -4 -0x625f -0xad10ee2b -256 -256 -1 -0 -0 -3 -0x260d -0xc61e588 -256 -256 -1 -0 -0 -3 -0xa28 -0x75bdc610 -256 -256 -0 -0 -0 -4 -0xbe83 -0x6fee081a -256 -256 -1 -0 -0 -1 -0x400d -0x1395de05 -256 -256 -0 -0 -0 -2 -0xa8dc -0xb8803a58 -256 -256 -1 -0 -0 -2 -0x45ea -0x14ea4327 -256 -256 -1 -0 -0 -2 -0xb971 -0x9eb0e0be -256 -256 -0 -0 -0 -4 -0xbea3 -0x8feedf20 -256 -256 -1 -0 -0 -1 -0x8905 -0x49d0150e -256 -256 -0 -0 -0 -2 -0x828a -0x6652636 -256 -256 -0 -0 -0 -4 -0x1733 -0x7f141403 -256 -256 -1 -0 -0 -3 -0x1ee1 -0xb62e31e7 -256 -256 -0 -0 -0 -3 -0x92dd -0xca0bc474 -256 -256 -0 -0 -0 -2 -0xdb5a -0x6d6f8286 -256 -256 -1 -0 -0 -1 -0x7a7 -0x52e4e057 -256 -256 -1 -0 -0 -1 -0x68fe -0xea3cf39e -256 -256 -0 -0 -0 -4 -0x82c8 -0x2e2779b4 -256 -256 -0 -0 -0 -3 -0xee2a -0x3a4c8cbc -256 -256 -0 -0 -0 -4 -0xd5b9 -0x6f0063b5 -256 -256 -0 -0 -0 -1 -0xf0a0 -0xa171f3ec -256 -256 -0 -0 -0 -2 -0x9d97 -0xfea18e19 -256 -256 -0 -0 -0 -3 -0x2712 -0x8ff1efa1 -256 -256 -0 -0 -0 -2 -0x8747 -0x8a4b0e7d -256 -256 -0 -0 -0 -4 -0x7e1d -0x1481bb38 -256 -256 -0 -0 -0 -3 -0xcb2d -0xfccc9fd0 -256 -256 -1 -0 -0 -3 -0xcbd3 -0xb30e948f -256 -256 -1 -0 -0 -3 -0xea77 -0x9e513b30 -256 -256 -0 -0 -0 -3 -0x2ddf -0x2639510f -256 -256 -0 -0 -0 -4 -0xfbf3 -0xf3d25a4 -256 -256 -0 -0 -0 -1 -0xc360 -0xe11301de -256 -256 -1 -0 -0 -2 -0x84cf -0x7289f963 -256 -256 -1 -0 -0 -3 -0x2183 -0x6d379721 -256 -256 -0 -0 -0 -4 -0x893a -0x5f10002b -256 -256 -0 -0 -0 -2 -0x5d68 -0x8004be46 -256 -256 -0 -0 -0 -2 -0xdd67 -0xede559a9 -256 -256 -1 -0 -0 -3 -0xce0b -0x5800cec1 -256 -256 -1 -0 -0 -2 -0xf471 -0x96fa46a7 -256 -256 -1 -0 -0 -2 -0xa759 -0x3d4b2763 -256 -256 -0 -0 -0 -1 -0xac9d -0x605e9613 -256 -256 -1 -0 -0 -4 -0x370 -0x3f0fa40d -256 -256 -0 -0 -0 -1 -0x266a -0xbb7750f8 -256 -256 -0 -0 -0 -1 -0x18eb -0xd3ef3fc -256 -256 -1 -0 -0 -2 -0xd59 -0x339810c9 -256 -256 -0 -0 -0 -2 -0xcd53 -0x34a48857 -256 -256 -1 -0 -0 -4 -0xaf7c -0x4461c0dd -256 -256 -1 -0 -0 -1 -0xc02 -0x5f1eb93b -256 -256 -0 -0 -0 -2 -0x5b1f -0xeba05802 -256 -256 -0 -0 -0 -1 -0x6a70 -0xa68a139c -256 -256 -1 -0 -0 -1 -0x399d -0x88eadca3 -256 -256 -1 -0 -0 -2 -0x5417 -0xbc98a1c0 -256 -256 -1 -0 -0 -1 -0x3633 -0x762592b2 -256 -256 -0 -0 -0 -1 -0x7eaa -0xdc78138c -256 -256 -0 -0 -0 -3 -0xb7f1 -0x6a7f813 -256 -256 -1 -0 -0 -2 -0x126c -0x6a626334 -256 -256 -0 -0 -0 -4 -0xc43 -0xfbbd57b7 -256 -256 -1 -0 -0 -1 -0x4c03 -0x23548ece -256 -256 -1 -0 -0 -1 -0xe348 -0x3faa5328 -256 -256 -0 -0 -0 -1 -0xdad9 -0x2ae336c1 -256 -256 -1 -0 -0 -2 -0x5317 -0xddb9d9ca -256 -256 -1 -0 -0 -4 -0x2595 -0xbce45eb3 -256 -256 -0 -0 -0 -4 -0x6a0 -0x531d7fd -256 -256 -1 -0 -0 -2 -0x4ff3 -0xef05b713 -256 -256 -1 -0 -0 -1 -0xba22 -0x6d344268 -256 -256 -1 -0 -0 -4 -0x9be4 -0x3c702b69 -256 -256 -0 -0 -0 -1 -0x9325 -0x881343b -256 -256 -1 -0 -0 -4 -0x84db -0xb4808618 -256 -256 -1 -0 -0 -2 -0x9c39 -0xca8de294 -256 -256 -0 -0 -0 -1 -0x8104 -0xa985e048 -256 -256 -0 -0 -0 -1 -0x1515 -0xa7c149b7 -256 -256 -0 -0 -0 -4 -0x5de2 -0xb4221a07 -256 -256 -1 -0 -0 -3 -0x29d2 -0xed013b89 -256 -256 -1 -0 -0 -3 -0xe70d -0xb14a4b8c -256 -256 -1 -0 -0 -3 -0x1c4b -0xbe869efa -256 -256 -0 -0 -0 -2 -0x7900 -0x53dc7ba6 -256 -256 -1 -0 -0 -4 -0x25f5 -0x51575ce6 -256 -256 -0 -0 -0 -1 -0xfb07 -0x1517272f -256 -256 -0 -0 -0 -3 -0xe5f5 -0x8c14a053 -256 -256 -1 -0 -0 -2 -0xf10a -0x49719ff4 -256 -256 -0 -0 -0 -3 -0x1887 -0x49333f6c -256 -256 -1 -0 -0 -4 -0xbd27 -0x4a1a1f30 -256 -256 -0 -0 -0 -3 -0x3f8a -0x36b9b9d3 -256 -256 -1 -0 -0 -1 -0x957b -0x7f6776d3 -256 -256 -0 -0 -0 -4 -0x3a3f -0x2ab0d9c0 -256 -256 -1 -0 -0 -1 -0xbccc -0x6955de20 -256 -256 -1 -0 -0 -2 -0x1d3b -0x7befc98d -256 -256 -1 -0 -0 -2 -0xdd2 -0xfe206f76 -256 -256 -1 -0 -0 -1 -0x8364 -0xf00696ba -256 -256 -1 -0 -0 -2 -0xb46f -0x7facd850 -256 -256 -0 -0 -0 -4 -0xabc -0xea1e3ec1 -256 -256 -0 -0 -0 -3 -0xd91 -0xe676f800 -256 -256 -0 -0 -0 -1 -0x3f94 -0x38b6ef87 -256 -256 -1 -0 -0 -2 -0x85e1 -0x36caee26 -256 -256 -1 -0 -0 -2 -0x334c -0x979b747a -256 -256 -0 -0 -0 -4 -0x234 -0xcd763648 -256 -256 -0 -0 -0 -2 -0xd041 -0x1d166896 -256 -256 -0 -0 -0 -4 -0x5d0e -0x35509442 -256 -256 -1 -0 -0 -2 -0x5247 -0x558c345b -256 -256 -0 -0 -0 -1 -0xf253 -0xa813c2a7 -256 -256 -1 -0 -0 -4 -0x7a42 -0xd6364733 -256 -256 -0 -0 -0 -2 -0xf17 -0x4f090e7e -256 -256 -1 -0 -0 -1 -0xf58d -0x23d4323a -256 -256 -1 -0 -0 -1 -0x6574 -0xbf18b089 -256 -256 -0 -0 -0 -3 -0x7719 -0xab199ca6 -256 -256 -0 -0 -0 -4 -0xb2d1 -0xefb797c9 -256 -256 -0 -0 -0 -2 -0x172f -0xc6af82c5 -256 -256 -1 -0 -0 -3 -0x2f90 -0x3f2806b -256 -256 -1 -0 -0 -2 -0x2959 -0x4f303a9c -256 -256 -1 -0 -0 -4 -0x251b -0x6c6661ee -256 -256 -0 -0 -0 -2 -0xbd90 -0xb5246ce6 -256 -256 -0 -0 -0 -2 -0xd6f5 -0x5c38821a -256 -256 -0 -0 -0 -3 -0x615b -0x7051e5e8 -256 -256 -0 -0 -0 -3 -0x9dbf -0x983924a2 -256 -256 -1 -0 -0 -4 -0xb37b -0xc1640d82 -256 -256 -1 -0 -0 -4 -0x2c72 -0x2c175b1d -256 -256 -0 -0 -0 -1 -0x375a -0xdb0e3c09 -256 -256 -0 -0 -0 -2 -0x454e -0xfba033d -256 -256 -0 -0 -0 -1 -0x163b -0xec9c9410 -256 -256 -1 -0 -0 -2 -0xce26 -0xd76d26d9 -256 -256 -1 -0 -0 -4 -0x4f6d -0x9cb0beee -256 -256 -1 -0 -0 -2 -0x7f64 -0xce9ab5df -256 -256 -1 -0 -0 -4 -0xdaad -0x888d7026 -256 -256 -1 -0 -0 -4 -0x5e88 -0xdd50b471 -256 -256 -0 -0 -0 -3 -0x4c4 -0xc8f988ed -256 -256 -1 -0 -0 -2 -0x7278 -0x95a70020 -256 -256 -1 -0 -0 -4 -0x82ce -0x38b25e7 -256 -256 -0 -0 -0 -2 -0x4a81 -0x84968612 -256 -256 -1 -0 -0 -1 -0xce69 -0xfced771f -256 -256 -1 -0 -0 -2 -0xaeac -0xada5a947 -256 -256 -1 -0 -0 -2 -0x1823 -0x196ed215 -256 -256 -0 -0 -0 -3 -0x80a9 -0x35db037c -256 -256 -0 -0 -0 -1 -0xfab2 -0x3434a506 -256 -256 -0 -0 -0 -3 -0x261b -0x7becd3e4 -256 -256 -0 -0 -0 -3 -0xe8ce -0x8a89299b -256 -256 -0 -0 -0 -2 -0x3739 -0xf7c1c6e8 -256 -256 -0 -0 -0 -3 -0x4328 -0x622c7c92 -256 -256 -0 -0 -0 -4 -0x68c9 -0x4454e6c5 -256 -256 -0 -0 -0 -2 -0xfb89 -0xbbbd0211 -256 -256 -1 -0 -0 -2 -0xe518 -0xb0e7115f -256 -256 -1 -0 -0 -2 -0xa21e -0x9f67cd14 -256 -256 -1 -0 -0 -2 -0x147f -0x84e41069 -256 -256 -0 -0 -0 -4 -0xcb1 -0xfeef8403 -256 -256 -0 -0 -0 -2 -0xa5d2 -0x6b89302d -256 -256 -0 -0 -0 -3 -0x10de -0x9392278a -256 -256 -1 -0 -0 -2 -0x7509 -0x33d9aea6 -256 -256 -0 -0 -0 -1 -0xa7f7 -0xd1142ecb -256 -256 -0 -0 -0 -4 -0x7cf6 -0xbc15462e -256 -256 -1 -0 -0 -3 -0x2d1c -0xe8258632 -256 -256 -1 -0 -0 -2 -0x2e3b -0xa482043b -256 -256 -1 -0 -0 -1 -0xe6dd -0x3be79e68 -256 -256 -0 -0 -0 -2 -0x710a -0xe599a8c8 -256 -256 -0 -0 -0 -2 -0x40fd -0xf28b6245 -256 -256 -0 -0 -0 -3 -0x3bf -0x4087ad4 -256 -256 -0 -0 -0 -4 -0x697a -0x6f2d8c10 -256 -256 -1 -0 -0 -3 -0xd23a -0xfe1dbbc6 -256 -256 -0 -0 -0 -3 -0xb516 -0xa7cecbd4 -256 -256 -0 -0 -0 -1 -0xb21a -0xd2c2795e -256 -256 -0 -0 -0 -4 -0xa8d1 -0x4571413 -256 -256 -1 -0 -0 -3 -0xf4d6 -0x2ff6ace5 -256 -256 -1 -0 -0 -4 -0xd7a2 -0x6ce80d6 -256 -256 -0 -0 -0 -2 -0x2910 -0xdb09d0d7 -256 -256 -0 -0 -0 -4 -0xec46 -0x2567a5b1 -256 -256 -0 -0 -0 -3 -0x1448 -0xd911683b -256 -256 -1 -0 -0 -4 -0x1f50 -0x9c712ed7 -256 -256 -1 -0 -0 -4 -0xa92 -0xd0d3ee30 -256 -256 -1 -0 -0 -1 -0x80d6 -0xe8cc929e -256 -256 -0 -0 -0 -4 -0xfce1 -0x7ed3a43a -256 -256 -0 -0 -0 -3 -0x80a9 -0xbe230674 -256 -256 -0 -0 -0 -1 -0x5957 -0x829fd90a -256 -256 -0 -0 -0 -1 -0x642 -0xb4b65e00 -256 -256 -0 -0 -0 -4 -0xb39c -0xddf2733c -256 -256 -1 -0 -0 -3 -0x4038 -0x33e75ecc -256 -256 -1 -0 -0 -4 -0x914c -0xcb40fd5f -256 -256 -0 -0 -0 -1 -0xe2de -0x2db5c850 -256 -256 -1 -0 -0 -1 -0x916d -0xaebe0f35 -256 -256 -1 -0 -0 -4 -0xc9d5 -0x97469774 -256 -256 -0 -0 -0 -1 -0x67df -0xc83de00 -256 -256 -0 -0 -0 -1 -0xdf6b -0xd2b1885f -256 -256 -0 -0 -0 -3 -0xdf2d -0x720a920b -256 -256 -0 -0 -0 -1 -0x5430 -0xb9aca3b1 -256 -256 -1 -0 -0 -2 -0xb9c9 -0x1cb6bc2 -256 -256 -0 -0 -0 -4 -0x5a20 -0xf872b386 -256 -256 -0 -0 -0 -4 -0x34fa -0xdb9836c5 -256 -256 -0 -0 -0 -1 -0x686c -0x833c8610 -256 -256 -1 -0 -0 -3 -0x86cf -0xc4a48635 -256 -256 -1 -0 -0 -3 -0xfa3a -0xc277757b -256 -256 -0 -0 -0 -1 -0xd3b1 -0x7c6bfe3b -256 -256 -1 -0 -0 -4 -0x96c -0x30df890 -256 -256 -0 -0 -0 -4 -0x32ca -0x5fa75fee -256 -256 -0 -0 -0 -2 -0xa261 -0xde98e9ae -256 -256 -1 -0 -0 -1 -0x4408 -0xb7820bcb -256 -256 -0 -0 -0 -3 -0xa1d1 -0x3fabb4a6 -256 -256 -0 -0 -0 -2 -0x6d07 -0xf0a89881 -256 -256 -1 -0 -0 -3 -0x6ae0 -0x97bd4770 -256 -256 -1 -0 -0 -2 -0xb54f -0x16d98833 -256 -256 -0 -0 -0 -3 -0x2d79 -0x7b14148c -256 -256 -0 -0 -0 -2 -0x8668 -0x6eece69f -256 -256 -1 -0 -0 -1 -0xea24 -0xfd27df2e -256 -256 -0 -0 -0 -3 -0xac77 -0xdeee482a -256 -256 -0 -0 -0 -1 -0xe37e -0xfbeeda4b -256 -256 -1 -0 -0 -1 -0xba0 -0xbe62454d -256 -256 -1 -0 -0 -3 -0xf8bf -0xbdc41074 -256 -256 -0 -0 -0 -2 -0x5612 -0xe1134a74 -256 -256 -0 -0 -0 -3 -0xe67 -0x8a89397d -256 -256 -1 -0 -0 -3 -0x9e46 -0x906ae40a -256 -256 -1 -0 -0 -3 -0x66fd -0x515e937b -256 -256 -1 -0 -0 -3 -0x8a49 -0xbb60c458 -256 -256 -0 -0 -0 -1 -0x7bde -0x92751e5a -256 -256 -1 -0 -0 -1 -0xcf95 -0x1923bf6f -256 -256 -0 -0 -0 -3 -0x67e1 -0x6beb56e8 -256 -256 -1 -0 -0 -2 -0xa739 -0x6953d0d3 -256 -256 -0 -0 -0 -4 -0xa4ca -0xf9715fa0 -256 -256 -0 -0 -0 -4 -0x70bf -0x48755d8a -256 -256 -1 -0 -0 -4 -0xf319 -0x155b0e1b -256 -256 -0 -0 -0 -2 -0x9bda -0x1ac5ddc6 -256 -256 -0 -0 -0 -2 -0xcea0 -0x577ce983 -256 -256 -1 -0 -0 -3 -0xf074 -0x3d5e740e -256 -256 -0 -0 -0 -1 -0xd270 -0x9e7a24b6 -256 -256 -0 -0 -0 -3 -0x2c69 -0x485bd5e0 -256 -256 -0 -0 -0 -2 -0x61e6 -0xbf1e53c9 -256 -256 -0 -0 -0 -3 -0x5aaa -0x8fb7b9f9 -256 -256 -1 -0 -0 -2 -0xb610 -0x3d1d05ca -256 -256 -0 -0 -0 -2 -0x3f5 -0x827d3e2d -256 -256 -0 -0 -0 -4 -0xa9cf -0x9e938470 -256 -256 -0 -0 -0 -3 -0x8985 -0x90aacfa8 -256 -256 -0 -0 -0 -1 -0xfaf9 -0xab298195 -256 -256 -0 -0 -0 -1 -0xf0a -0xeaded477 -256 -256 -0 -0 -0 -2 -0x16c0 -0xf5f7ab83 -256 -256 -0 -0 -0 -3 -0x80e7 -0x22c512eb -256 -256 -1 -0 -0 -1 -0x64ef -0xc6a3a5e7 -256 -256 -1 -0 -0 -4 -0xeefa -0x2ce1951a -256 -256 -1 -0 -0 -3 -0x3116 -0x7993a0f1 -256 -256 -0 -0 -0 -2 -0xb397 -0x1311bfc5 -256 -256 -0 -0 -0 -4 -0x4a2d -0xee9df497 -256 -256 -0 -0 -0 -3 -0xead9 -0xfc65eba1 -256 -256 -1 -0 -0 -3 -0x1281 -0x24dcc980 -256 -256 -0 -0 -0 -4 -0x4c9c -0xae63283 -256 -256 -1 -0 -0 -2 -0x450f -0x8a61816 -256 -256 -1 -0 -0 -3 -0x9b98 -0x437e56bc -256 -256 -0 -0 -0 -1 -0x5d4a -0xccb30811 -256 -256 -1 -0 -0 -1 -0x377f -0xb9ecd828 -256 -256 -0 -0 -0 -1 -0xfc46 -0xe5305db6 -256 -256 -0 -0 -0 -4 -0x4291 -0x99dab7d9 -256 -256 -0 -0 -0 -4 -0x739c -0x9d2ae3af -256 -256 -1 -0 -0 -3 -0xeb3 -0xf5a9f1c3 -256 -256 -1 -0 -0 -4 -0xe6b4 -0xeb944890 -256 -256 -1 -0 -0 -2 -0x1834 -0xba45cb28 -256 -256 -0 -0 -0 -2 -0x36e5 -0xad089c9d -256 -256 -0 -0 -0 -1 -0x86e4 -0x160b1370 -256 -256 -1 -0 -0 -4 -0xfcff -0xf84e23ef -256 -256 -1 -0 -0 -3 -0x5ac7 -0xcd8f5550 -256 -256 -0 -0 -0 -3 -0xd83c -0xb32e9f7a -256 -256 -0 -0 -0 -4 -0xe3d5 -0x78265255 -256 -256 -1 -0 -0 -1 -0x2889 -0x6d71b9fa -256 -256 -0 -0 -0 -1 -0x9f23 -0x19a7a841 -256 -256 -0 -0 -0 -3 -0x8f65 -0xae09a7f6 -256 -256 -0 -0 -0 -4 -0x742e -0xf294cc90 -256 -256 -0 -0 -0 -3 -0x5f27 -0xd5d59887 -256 -256 -0 -0 -0 -2 -0xb877 -0x6d9701fc -256 -256 -1 -0 -0 -2 -0xd188 -0x4f31a0db -256 -256 -0 -0 -0 -2 -0xbbd8 -0x5f0e809d -256 -256 -1 -0 -0 -4 -0xc57f -0xbf4a0453 -256 -256 -1 -0 -0 -4 -0x131a -0xf0809b60 -256 -256 -1 -0 -0 -2 -0x2e94 -0xd90cd7c9 -256 -256 -0 -0 -0 -2 -0x8a19 -0x79d7d6ae -256 -256 -0 -0 -0 -1 -0xb988 -0x18624873 -256 -256 -0 -0 -0 -1 -0x9bc7 -0xe22dc48e -256 -256 -1 -0 -0 -1 -0xda0 -0xbdea8a2e -256 -256 -1 -0 -0 -2 -0xabcb -0x7402ebb4 -256 -256 -1 -0 -0 -4 -0x44b5 -0x94f93dd8 -256 -256 -1 -0 -0 -3 -0x648b -0x5cfa5e18 -256 -256 -0 -0 -0 -2 -0x1f8c -0x9f425780 -256 -256 -1 -0 -0 -3 -0x9a41 -0x1ab878f9 -256 -256 -1 -0 -0 -4 -0xc1fe -0xd2d3a11e -256 -256 -0 -0 -0 -2 -0x2f61 -0xaf5c67ac -256 -256 -0 -0 -0 -3 -0x722d -0x2ee436fb -256 -256 -0 -0 -0 -3 -0x846e -0xf2af2529 -256 -256 -0 -0 -0 -1 -0x1270 -0x9a2beb4e -256 -256 -1 -0 -0 -1 -0xf6bf -0xd9963a3e -256 -256 -0 -0 -0 -2 -0x26c6 -0x2c181cce -256 -256 -0 -0 -0 -1 -0xd3c0 -0xf4eb2fba -256 -256 -1 -0 -0 -1 -0xd12a -0x874f5de7 -256 -256 -1 -0 -0 -3 -0x7cdb -0x86369e21 -256 -256 -1 -0 -0 -1 -0x44dc -0x2ae2528f -256 -256 -1 -0 -0 -2 -0x648 -0x11b2d35b -256 -256 -1 -0 -0 -3 -0x6687 -0xc24f0ee2 -256 -256 -1 -0 -0 -4 -0x19fa -0x5fcc692f -256 -256 -0 -0 -0 -1 -0xd7c9 -0x92c74332 -256 -256 -1 -0 -0 -2 -0xf0ba -0xb7156e2a -256 -256 -0 -0 -0 -4 -0xaf14 -0x4210de6e -256 -256 -1 -0 -0 -1 -0x9514 -0x1a68173b -256 -256 -0 -0 -0 -1 -0xa0e9 -0xbcb6b922 -256 -256 -0 -0 -0 -4 -0x57b4 -0x405b88e -256 -256 -1 -0 -0 -4 -0x7ed5 -0xf69833d1 -256 -256 -1 -0 -0 -2 -0x58a5 -0xf7906e0f -256 -256 -0 -0 -0 -2 -0x7c40 -0x17beffe7 -256 -256 -0 -0 -0 -3 -0x2315 -0x8b864ea -256 -256 -0 -0 -0 -2 -0xd4a7 -0xbcf6a16f -256 -256 -1 -0 -0 -3 -0x810e -0x4cbd82b6 -256 -256 -1 -0 -0 -4 -0x9de4 -0x84fac8c3 -256 -256 -1 -0 -0 -4 -0xbb96 -0x6868a34a -256 -256 -0 -0 -0 -1 -0xdb1b -0xa4fe08f9 -256 -256 -1 -0 -0 -2 -0x3c96 -0x327c3669 -256 -256 -0 -0 -0 -4 -0xd1b3 -0x588c875f -256 -256 -1 -0 -0 -4 -0xfb90 -0xa87e1a12 -256 -256 -0 -0 -0 -3 -0x82eb -0x9b708d3 -256 -256 -0 -0 -0 -3 -0x1115 -0xf6e37300 -256 -256 -1 -0 -0 -2 -0x8e2a -0x2d9ac3fe -256 -256 -0 -0 -0 -2 -0x933d -0x1e2d07c9 -256 -256 -0 -0 -0 -3 -0x2658 -0x4349ce0e -256 -256 -0 -0 -0 -4 -0xdda0 -0x970dd29a -256 -256 -0 -0 -0 -3 -0xe57a -0x2d8d5dbc -256 -256 -1 -0 -0 -3 -0xc1f0 -0x3fc72222 -256 -256 -0 -0 -0 -1 -0x13a8 -0xc5832a32 -256 -256 -1 -0 -0 -3 -0xd2fe -0xeeb56e5d -256 -256 -1 -0 -0 -1 -0x71a4 -0xdd48c4c1 -256 -256 -0 -0 -0 -1 -0xef70 -0x5796a3fc -256 -256 -0 -0 -0 -2 -0x100d -0x7fd14fe9 -256 -256 -1 -0 -0 -2 -0x620a -0x23aeeb34 -256 -256 -0 -0 -0 -3 -0x3b3d -0xbbc88885 -256 -256 -0 -0 -0 -2 -0x8b79 -0x136acc60 -256 -256 -0 -0 -0 -1 -0xb6d3 -0xb99a2fe3 -256 -256 -1 -0 -0 -3 -0x5578 -0x47f4b58d -256 -256 -0 -0 -0 -1 -0x17c5 -0xbaa6ad7e -256 -256 -1 -0 -0 -3 -0xc9ff -0xe5720b5c -256 -256 -1 -0 -0 -3 -0xfbff -0xd70bab5 -256 -256 -0 -0 -0 -1 -0x311 -0x4957ccdb -256 -256 -0 -0 -0 -4 -0x1b0a -0x6e495dfa -256 -256 -1 -0 -0 -1 -0xd0c1 -0x5f09c053 -256 -256 -1 -0 -0 -2 -0x5141 -0x40e1fb48 -256 -256 -0 -0 -0 -2 -0xf6ed -0xe78a774c -256 -256 -0 -0 -0 -3 -0xcd2 -0x1b6efb85 -256 -256 -1 -0 -0 -3 -0x9f7d -0x16316d77 -256 -256 -1 -0 -0 -4 -0x914e -0xf1c9cb56 -256 -256 -0 -0 -0 -1 -0xee24 -0x8a16358 -256 -256 -1 -0 -0 -2 -0x918 -0xc0295e82 -256 -256 -1 -0 -0 -1 -0xe4c5 -0xc4ea74fc -256 -256 -0 -0 -0 -4 -0x7955 -0xa79daa7c -256 -256 -1 -0 -0 -2 -0x8e5a -0x936e8189 -256 -256 -1 -0 -0 -2 -0x288 -0x3fe3b42 -256 -256 -1 -0 -0 -3 -0x2117 -0x82a9fc85 -256 -256 -1 -0 -0 -4 -0xaf60 -0x92426d52 -256 -256 -1 -0 -0 -3 -0x3b60 -0x22a51b77 -256 -256 -0 -0 -0 -2 -0xa99a -0x350786bd -256 -256 -0 -0 -0 -2 -0xb411 -0xb3354eac -256 -256 -0 -0 -0 -3 -0xdf3c -0xfb54d209 -256 -256 -1 -0 -0 -2 -0x52c2 -0x9938d616 -256 -256 -0 -0 -0 -2 -0x7c1c -0x95ef441d -256 -256 -0 -0 -0 -3 -0x77f4 -0xd58c7497 -256 -256 -0 -0 -0 -3 -0xf3ef -0x770f9f5d -256 -256 -0 -0 -0 -1 -0xef0c -0x1a97b490 -256 -256 -0 -0 -0 -2 -0x13df -0x2153e418 -256 -256 -1 -0 -0 -3 -0x310d -0xfce2ccf3 -256 -256 -1 -0 -0 -4 -0x9b3d -0xf5de5d6f -256 -256 -0 -0 -0 -1 -0x9913 -0xc67e1ccc -256 -256 -1 -0 -0 -2 -0x40b -0xd8ee5f51 -256 -256 -1 -0 -0 -1 -0xc179 -0x525a06b0 -256 -256 -0 -0 -0 -1 -0x5923 -0xa262e0e6 -256 -256 -0 -0 -0 -1 -0x76fb -0x17e8e3c6 -256 -256 -0 -0 -0 -4 -0x8009 -0xf7056311 -256 -256 -0 -0 -0 -4 -0x5cd9 -0x79f70a50 -256 -256 -1 -0 -0 -3 -0x7a57 -0x5278928d -256 -256 -0 -0 -0 -3 -0xaad0 -0x39f95564 -256 -256 -0 -0 -0 -1 -0x793b -0x97d674cf -256 -256 -1 -0 -0 -4 -0x71a1 -0x90e83401 -256 -256 -1 -0 -0 -1 -0x5930 -0x168e6881 -256 -256 -1 -0 -0 -2 -0xdc0b -0xa36bb6fe -256 -256 -0 -0 -0 -4 -0x51a9 -0x58cf015 -256 -256 -0 -0 -0 -1 -0xc621 -0x784f6187 -256 -256 -1 -0 -0 -3 -0x588b -0x2cdf71b -256 -256 -0 -0 -0 -2 -0x5a5d -0x25af822c -256 -256 -1 -0 -0 -1 -0x2268 -0xda2e5c34 -256 -256 -1 -0 -0 -3 -0x362b -0x8c13fc32 -256 -256 -0 -0 -0 -3 -0x6b40 -0x53bd6f50 -256 -256 -0 -0 -0 -4 -0xf722 -0x4e625a2a -256 -256 -0 -0 -0 -1 -0x828c -0xfee52a40 -256 -256 -1 -0 -0 -3 -0xd68c -0xe7a30224 -256 -256 -0 -0 -0 -3 -0x5517 -0x7e3e27c4 -256 -256 -0 -0 -0 -1 -0xc6a2 -0x183bba12 -256 -256 -1 -0 -0 -2 -0xfbf5 -0x723e8ad3 -256 -256 -1 -0 -0 -2 -0xea22 -0xf2a62659 -256 -256 -0 -0 -0 -4 -0x8ba -0x77fe51fc -256 -256 -1 -0 -0 -1 -0x3326 -0x32cc4309 -256 -256 -0 -0 -0 -3 -0x9ebd -0xfb7cd9da -256 -256 -0 -0 -0 -2 -0xd5fd -0x88e709d -256 -256 -0 -0 -0 -1 -0x1a4d -0x7af41a74 -256 -256 -1 -0 -0 -4 -0x9f44 -0x5c1ab30b -256 -256 -0 -0 -0 -1 -0x8b3d -0x94c50808 -256 -256 -1 -0 -0 -4 -0x79eb -0x2273c919 -256 -256 -0 -0 -0 -2 -0x95ac -0xd734a060 -256 -256 -1 -0 -0 -4 -0x198b -0xeb1cbfed -256 -256 -1 -0 -0 -3 -0x9441 -0xece475aa -256 -256 -1 -0 -0 -2 -0x2af8 -0x28a0e5cf -256 -256 -0 -0 -0 -3 -0xb55f -0x745d18e0 -256 -256 -0 -0 -0 -3 -0xe5bb -0xf9a29099 -256 -256 -1 -0 -0 -2 -0xf934 -0xb0665c68 -256 -256 -1 -0 -0 -4 -0xb98 -0xc94a8c45 -256 -256 -1 -0 -0 -1 -0x84bf -0xe4817ff5 -256 -256 -1 -0 -0 -3 -0x13ef -0x643208a -256 -256 -0 -0 -0 -3 -0xf454 -0xbd31a071 -256 -256 -1 -0 -0 -4 -0x7faf -0x54fdad09 -256 -256 -1 -0 -0 -4 -0x4297 -0x3a46054f -256 -256 -0 -0 -0 -3 -0xd224 -0xea42b890 -256 -256 -0 -0 -0 -1 -0xd524 -0xfc262055 -256 -256 -1 -0 -0 -4 -0x5b74 -0x3087abd7 -256 -256 -1 -0 -0 -1 -0x9803 -0x18d2e83c -256 -256 -0 -0 -0 -4 -0xde95 -0xcc95335a -256 -256 -1 -0 -0 -3 -0xb6fe -0xb4fc0a61 -256 -256 -0 -0 -0 -2 -0x92d2 -0xf48ba05c -256 -256 -0 -0 -0 -3 -0x1479 -0x555b245f -256 -256 -0 -0 -0 -2 -0x4870 -0xaae3d1f2 -256 -256 -0 -0 -0 -2 -0x1987 -0x7af857da -256 -256 -1 -0 -0 -1 -0x2829 -0x74b25fe2 -256 -256 -1 -0 -0 -3 -0x7b9b -0x8f43d373 -256 -256 -1 -0 -0 -2 -0x559c -0xb5f332ee -256 -256 -1 -0 -0 -1 -0xa5a3 -0x43f8b086 -256 -256 -0 -0 -0 -2 -0xcce5 -0x8c2aa4b3 -256 -256 -0 -0 -0 -4 -0x2739 -0xaf202595 -256 -256 -1 -0 -0 -3 -0xa528 -0x29faeb35 -256 -256 -0 -0 -0 -3 -0xbdaf -0xd0e3da78 -256 -256 -1 -0 -0 -1 -0x9c97 -0x78a4b942 -256 -256 -0 -0 -0 -3 -0x3ca8 -0x450e6a42 -256 -256 -1 -0 -0 -3 -0xf7b9 -0x38bb37c7 -256 -256 -1 -0 -0 -1 -0xcf39 -0x34f26488 -256 -256 -1 -0 -0 -4 -0x2ed -0xea93346b -256 -256 -1 -0 -0 -2 -0x9ee8 -0x4ed51be8 -256 -256 -0 -0 -0 -4 -0x886a -0x1da0b671 -256 -256 -1 -0 -0 -2 -0x8cee -0xe94edb28 -256 -256 -1 -0 -0 -2 -0xf647 -0xac6bdb02 -256 -256 -0 -0 -0 -4 -0x828c -0x5f69d9fc -256 -256 -0 -0 -0 -2 -0x2b3b -0x40175ed8 -256 -256 -1 -0 -0 -4 -0xab38 -0x6a1a5708 -256 -256 -0 -0 -0 -1 -0xdfcf -0xf09eb150 -256 -256 -1 -0 -0 -2 -0x9b81 -0x2a6fb760 -256 -256 -1 -0 -0 -3 -0x4223 -0xb81ef2e7 -256 -256 -0 -0 -0 -4 -0xca35 -0x27885366 -256 -256 -0 -0 -0 -3 -0xe139 -0xf237d1f3 -256 -256 -1 -0 -0 -2 -0xbd31 -0x59b30011 -256 -256 -1 -0 -0 -4 -0xbf7d -0x923dd0b6 -256 -256 -0 -0 -0 -4 -0x4218 -0xaea07ded -256 -256 -1 -0 -0 -2 -0x3340 -0x544d9374 -256 -256 -0 -0 -0 -2 -0xe4c7 -0xa51b27bd -256 -256 -1 -0 -0 -4 -0x68e6 -0x6576f511 -256 -256 -1 -0 -0 -2 -0x19de -0x793cd45f -256 -256 -0 -0 -0 -2 -0x3137 -0x10dc7e4e -256 -256 -1 -0 -0 -3 -0x78b6 -0x7eb04ea2 -256 -256 -0 -0 -0 -1 -0x103b -0x689de2fc -256 -256 -1 -0 -0 -3 -0x8752 -0x624b8e2b -256 -256 -0 -0 -0 -3 -0xca13 -0x8355d6d1 -256 -256 -0 -0 -0 -1 -0x1863 -0x96e6fb13 -256 -256 -0 -0 -0 -3 -0x7bb9 -0x56d6e7e -256 -256 -1 -0 -0 -2 -0x9aa2 -0xab03dbe6 -256 -256 -0 -0 -0 -2 -0x6c40 -0x988a0542 -256 -256 -1 -0 -0 -4 -0x9a2e -0x80b31e32 -256 -256 -1 -0 -0 -1 -0x2afd -0x558e4b6c -256 -256 -1 -0 -0 -3 -0x4bbe -0x975a1997 -256 -256 -0 -0 -0 -3 -0x671b -0x65a60b77 -256 -256 -1 -0 -0 -1 -0x772c -0x5d4010a8 -256 -256 -0 -0 -0 -1 -0xbf96 -0x60583230 -256 -256 -1 -0 -0 -3 -0x2690 -0x36e3138c -256 -256 -1 -0 -0 -4 -0x1e78 -0x81d10133 -256 -256 -1 -0 -0 -4 -0x8098 -0x1104b636 -256 -256 -0 -0 -0 -1 -0x6a7d -0xd8bdf8de -256 -256 -0 -0 -0 -3 -0x42c1 -0xc3f73ff7 -256 -256 -1 -0 -0 -1 -0xe622 -0x23146b6b -256 -256 -0 -0 -0 -1 -0x19e4 -0x81304bb6 -256 -256 -1 -0 -0 -3 -0xfa31 -0x4b41682e -256 -256 -1 -0 -0 -4 -0x2c9b -0x20134bd5 -256 -256 -1 -0 -0 -3 -0x21e3 -0xba0929f2 -256 -256 -0 -0 -0 -2 -0x542d -0x9283e3e0 -256 -256 -1 -0 -0 -3 -0xe4d7 -0x3dae682 -256 -256 -1 -0 -0 -1 -0x5436 -0x731d7f56 -256 -256 -1 -0 -0 -3 -0x1006 -0x38e7d05b -256 -256 -1 -0 -0 -2 -0x1cdc -0x1e5b7b4 -256 -256 -0 -0 -0 -1 -0x3506 -0x539468dc -256 -256 -1 -0 -0 -4 -0xb701 -0xa93253e4 -256 -256 -0 -0 -0 -3 -0x211 -0xe0fcea0f -256 -256 -0 -0 -0 -3 -0x300a -0x4ed9a86d -256 -256 -0 -0 -0 -2 -0x5b3e -0x9a998948 -256 -256 -1 -0 -0 -3 -0x39d0 -0xd9de4f8d -256 -256 -1 -0 -0 -4 -0x6e5e -0x370c1066 -256 -256 -0 -0 -0 -1 -0x4090 -0x86b81ec0 -256 -256 -0 -0 -0 -2 -0xd0e0 -0x46c2c91c -256 -256 -0 -0 -0 -4 -0x4294 -0xab51454c -256 -256 -0 -0 -0 -3 -0x1fb9 -0xe5b11928 -256 -256 -1 -0 -0 -3 -0xc0cd -0x6a8733dd -256 -256 -1 -0 -0 -4 -0x9aaf -0x593a4518 -256 -256 -1 -0 -0 -3 -0xff7f -0x6f05c584 -256 -256 -0 -0 -0 -1 -0xed85 -0x14d018e9 -256 -256 -1 -0 -0 -2 -0xc26 -0x68f3090a -256 -256 -0 -0 -0 -1 -0x2075 -0x3e5d13f8 -256 -256 -1 -0 -0 -4 -0xaff0 -0xeffbeb34 -256 -256 -1 -0 -0 -1 -0x418b -0x39ea5f29 -256 -256 -1 -0 -0 -4 -0xb9ec -0xaafa5725 -256 -256 -0 -0 -0 -3 -0x1e79 -0xf1ba0ba7 -256 -256 -0 -0 -0 -2 -0x6c04 -0xd9f0ede3 -256 -256 -1 -0 -0 -3 -0xa14e -0xc7a181c0 -256 -256 -1 -0 -0 -4 -0x8f4f -0xe8a2e01 -256 -256 -1 -0 -0 -2 -0x5060 -0x62c5671d -256 -256 -0 -0 -0 -3 -0xe567 -0x666bd72 -256 -256 -0 -0 -0 -1 -0x34a8 -0x3296afa1 -256 -256 -1 -0 -0 -1 -0x1dd6 -0xbee73865 -256 -256 -0 -0 -0 -1 -0x7b0 -0xc379723a -256 -256 -1 -0 -0 -1 -0xf534 -0x8eabd505 -256 -256 -1 -0 -0 -1 -0xaaf9 -0x5ae7564c -256 -256 -0 -0 -0 -1 -0xe6fb -0x648deaa4 -256 -256 -1 -0 -0 -2 -0xce48 -0xf5aba724 -256 -256 -1 -0 -0 -2 -0xb68 -0xe75634e7 -256 -256 -1 -0 -0 -1 -0x3389 -0xd134b438 -256 -256 -1 -0 -0 -4 -0x7b9f -0x578cdb4c -256 -256 -1 -0 -0 -1 -0x8032 -0xf66db84e -256 -256 -1 -0 -0 -2 -0xf2ce -0x1b3ea4c0 -256 -256 -0 -0 -0 -4 -0x7ffd -0x9ef879be -256 -256 -0 -0 -0 -3 -0x195e -0xcd0b2ce0 -256 -256 -0 -0 -0 -1 -0xce6a -0x8dfdb826 -256 -256 -0 -0 -0 -2 -0x4b54 -0xedeaf621 -256 -256 -1 -0 -0 -2 -0x7f3b -0x6cfee26b -256 -256 -1 -0 -0 -4 -0xc8b0 -0x220191bd -256 -256 -0 -0 -0 -2 -0x9eb7 -0x17bccf6 -256 -256 -0 -0 -0 -3 -0x9895 -0xa42785cc -256 -256 -1 -0 -0 -3 -0x3a3a -0x549040b9 -256 -256 -0 -0 -0 -2 -0x2fee -0x59cf2a1d -256 -256 -1 -0 -0 -3 -0xb5cc -0x58b3289f -256 -256 -1 -0 -0 -4 -0xd2a5 -0xe569b1d6 -256 -256 -0 -0 -0 -3 -0xacf4 -0x6e0a431e -256 -256 -1 -0 -0 -2 -0xee54 -0x697e4175 -256 -256 -0 -0 -0 -4 -0xbe70 -0xe4e46dfb -256 -256 -0 -0 -0 -3 -0xc944 -0x38c23023 -256 -256 -0 -0 -0 -4 -0xa7ab -0xb7c2575f -256 -256 -0 -0 -0 -1 -0xda40 -0x9a5e5e4c -256 -256 -1 -0 -0 -1 -0x2a3a -0x8b461fc -256 -256 -0 -0 -0 -2 -0x65a8 -0xb19b3c18 -256 -256 -1 -0 -0 -3 -0xaef3 -0xe00ee314 -256 -256 -0 -0 -0 -2 -0x7078 -0x5a01f663 -256 -256 -0 -0 -0 -3 -0x4754 -0x4300ddff -256 -256 -1 -0 -0 -2 -0x6c12 -0xe1fda8 -256 -256 -1 -0 -0 -2 -0xbd08 -0xeccec38 -256 -256 -0 -0 -0 -1 -0x4127 -0x6bd21478 -256 -256 -0 -0 -0 -1 -0x70c4 -0x5493df46 -256 -256 -0 -0 -0 -1 -0xc3aa -0x67a70aa5 -256 -256 -1 -0 -0 -1 -0x6b7c -0x36637151 -256 -256 -0 -0 -0 -4 -0x1da6 -0x3648c49e -256 -256 -0 -0 -0 -2 -0x35bd -0xdc32acb0 -256 -256 -1 -0 -0 -1 -0xc1b1 -0xbe462419 -256 -256 -1 -0 -0 -3 -0x92d8 -0xba2689b9 -256 -256 -1 -0 -0 -2 -0xf052 -0x69c565f8 -256 -256 -0 -0 -0 -4 -0x3091 -0xb01326c4 -256 -256 -1 -0 -0 -1 -0xd8af -0x39cdb354 -256 -256 -1 -0 -0 -2 -0x5995 -0x3fb993b0 -256 -256 -0 -0 -0 -3 -0x36d -0x4658060f -256 -256 -0 -0 -0 -3 -0xda7a -0xdb6b16b5 -256 -256 -0 -0 -0 -2 -0x4554 -0x328084cc -256 -256 -1 -0 -0 -4 -0xccbd -0xa451f6af -256 -256 -0 -0 -0 -2 -0x55bd -0xe85903d5 -256 -256 -1 -0 -0 -4 -0xc38d -0x1b94e1e9 -256 -256 -1 -0 -0 -3 -0x9ae4 -0x311a96a2 -256 -256 -1 -0 -0 -4 -0x2e6c -0x43d0968e -256 -256 -1 -0 -0 -2 -0x8675 -0x8a2be3b0 -256 -256 -1 -0 -0 -2 -0xa39e -0xadf168e8 -256 -256 -1 -0 -0 -4 -0x1137 -0x5075f27c -256 -256 -0 -0 -0 -2 -0xa30b -0xc5b8c2df -256 -256 -0 -0 -0 -4 -0x8750 -0xfa8f87d1 -256 -256 -0 -0 -0 -2 -0x52d7 -0x69df011b -256 -256 -0 -0 -0 -4 -0x2a72 -0x3de8c9e4 -256 -256 -0 -0 -0 -2 -0x55c7 -0x27de73f -256 -256 -1 -0 -0 -1 -0x2657 -0x9ab4a325 -256 -256 -1 -0 -0 -3 -0x8706 -0x45ee2298 -256 -256 -0 -0 -0 -2 -0x27fb -0xf210ae90 -256 -256 -1 -0 -0 -3 -0xfc32 -0xfb35e9a1 -256 -256 -1 -0 -0 -1 -0xc0f5 -0x46c1db95 -256 -256 -0 -0 -0 -2 -0xf492 -0xf271e83b -256 -256 -0 -0 -0 -4 -0xb554 -0xa8f558c3 -256 -256 -1 -0 -0 -3 -0xce3d -0x78806b56 -256 -256 -0 -0 -0 -2 -0xc0b9 -0xb72d0db7 -256 -256 -0 -0 -0 -2 -0x8c8a -0x115b0bc8 -256 -256 -1 -0 -0 -2 -0xe585 -0x7db69c2b -256 -256 -1 -0 -0 -1 -0xb2fd -0x26a084ea -256 -256 -1 -0 -0 -4 -0x5db3 -0x42fe4793 -256 -256 -0 -0 -0 -3 -0x7fd -0x24efb785 -256 -256 -0 -0 -0 -1 -0x83a0 -0xe0e3cabc -256 -256 -1 -0 -0 -1 -0x258e -0xd8853588 -256 -256 -0 -0 -0 -2 -0xa672 -0x5410749b -256 -256 -0 -0 -0 -4 -0x6a53 -0xbdc6aa84 -256 -256 -1 -0 -0 -3 -0x1ce1 -0xfeffcc5d -256 -256 -0 -0 -0 -1 -0xc4cf -0x172163ed -256 -256 -0 -0 -0 -2 -0xe07a -0xbb786a2e -256 -256 -0 -0 -0 -4 -0x6b35 -0xb09f8252 -256 -256 -1 -0 -0 -1 -0x63ad -0x4d0be2e3 -256 -256 -0 -0 -0 -1 -0x9c12 -0x8e6001bf -256 -256 -0 -0 -0 -1 -0x150c -0x35776068 -256 -256 -0 -0 -0 -3 -0x7d8e -0xbf53c7ad -256 -256 -1 -0 -0 -2 -0xa5b1 -0xf54b38e5 -256 -256 -0 -0 -0 -4 -0xae67 -0x6b18e293 -256 -256 -0 -0 -0 -2 -0x50f5 -0x64cfd8bd -256 -256 -1 -0 -0 -4 -0xb4e8 -0xb7e7b91 -256 -256 -1 -0 -0 -1 -0xcc1c -0x664efe1b -256 -256 -1 -0 -0 -3 -0xc265 -0x31e51526 -256 -256 -1 -0 -0 -3 -0x1c5e -0xe05858ce -256 -256 -0 -0 -0 -1 -0x7327 -0xb4291ee2 -256 -256 -0 -0 -0 -4 -0xff50 -0x9314341d -256 -256 -0 -0 -0 -2 -0xcc56 -0xe98edaf6 -256 -256 -1 -0 -0 -3 -0x8439 -0x1fb1b0da -256 -256 -0 -0 -0 -4 -0x2a46 -0xbeba8de4 -256 -256 -1 -0 -0 -4 -0x2606 -0xc951b37a -256 -256 -1 -0 -0 -2 -0x4b93 -0x406eb9ce -256 -256 -1 -0 -0 -1 -0x9e10 -0x790905ff -256 -256 -0 -0 -0 -3 -0xaeaf -0x57d9d687 -256 -256 -0 -0 -0 -2 -0x4771 -0x3b5884b9 -256 -256 -1 -0 -0 -3 -0x2af1 -0x1af17f57 -256 -256 -1 -0 -0 -4 -0x26fd -0xc22d8ade -256 -256 -0 -0 -0 -1 -0xfded -0x25b78141 -256 -256 -0 -0 -0 -4 -0xa9ac -0x65a6668f -256 -256 -1 -0 -0 -1 -0x5652 -0x9e288876 -256 -256 -0 -0 -0 -3 -0x9ce3 -0x3c752c89 -256 -256 -0 -0 -0 -4 -0x2708 -0xfbcae372 -256 -256 -1 -0 -0 -3 -0x893c -0xac1438e5 -256 -256 -1 -0 -0 -3 -0x3cc8 -0xb55e4c7e -256 -256 -1 -0 -0 -4 -0x4d55 -0x7e0be2bc -256 -256 -0 -0 -0 -2 -0x4f5b -0x8bd220d3 -256 -256 -1 -0 -0 -2 -0x3114 -0xc28dc5ac -256 -256 -1 -0 -0 -4 -0xb65a -0xdc9ae12f -256 -256 -1 -0 -0 -4 -0x2637 -0x3302931f -256 -256 -1 -0 -0 -3 -0xbe26 -0x78e8a6a8 -256 -256 -1 -0 -0 -4 -0xc2d8 -0x9a7ad10e -256 -256 -1 -0 -0 -4 -0x3819 -0x8cf6d2af -256 -256 -0 -0 -0 -3 -0xdac -0xf12618b9 -256 -256 -1 -0 -0 -3 -0x186c -0x53c81c54 -256 -256 -0 -0 -0 -3 -0xae45 -0x9c44b42d -256 -256 -1 -0 -0 -2 -0x7e77 -0x95796b8e -256 -256 -0 -0 -0 -2 -0x6761 -0x8f5d2fad -256 -256 -1 -0 -0 -3 -0xe3b8 -0x19c44980 -256 -256 -1 -0 -0 -3 -0xa479 -0x37c871a1 -256 -256 -1 -0 -0 -1 -0xb78b -0xd98bbbc3 -256 -256 -0 -0 -0 -4 -0x150a -0xfe8f5f7 -256 -256 -1 -0 -0 -2 -0xc44a -0x594e2227 -256 -256 -1 -0 -0 -2 -0x29ab -0xa5fc7eb5 -256 -256 -0 -0 -0 -4 -0xcba9 -0x9490a15c -256 -256 -1 -0 -0 -1 -0xb01b -0x13c75d08 -256 -256 -0 -0 -0 -4 -0x975d -0xb136091c -256 -256 -1 -0 -0 -1 -0xaff5 -0xf315cbd -256 -256 -0 -0 -0 -2 -0x46bb -0xa4a4e44 -256 -256 -0 -0 -0 -2 -0x3f6a -0x32beb547 -256 -256 -0 -0 -0 -3 -0x420a -0x110e43e8 -256 -256 -0 -0 -0 -3 -0x3da0 -0xb3941eac -256 -256 -0 -0 -0 -1 -0xbc13 -0x5a811d40 -256 -256 -1 -0 -0 -4 -0xd7d6 -0x1351f863 -256 -256 -1 -0 -0 -2 -0x28da -0xca99048c -256 -256 -0 -0 -0 -3 -0xe479 -0xfa83f54 -256 -256 -0 -0 -0 -4 -0xa16e -0xcd98e622 -256 -256 -0 -0 -0 -3 -0x107 -0x4d0bb5cb -256 -256 -0 -0 -0 -4 -0x187c -0x67a25b06 -256 -256 -0 -0 -0 -1 -0xa52a -0x7e3f270 -256 -256 -0 -0 -0 -3 -0x70cc -0x4174cfd9 -256 -256 -0 -0 -0 -3 -0xb844 -0xe8ad8c5c -256 -256 -0 -0 -0 -4 -0x6a82 -0xdf88833c -256 -256 -1 -0 -0 -1 -0x8d74 -0x91e7702c -256 -256 -1 -0 -0 -3 -0x3cb0 -0xe1f7bbae -256 -256 -1 -0 -0 -1 -0xe35 -0x5ee38570 -256 -256 -0 -0 -0 -3 -0xdd29 -0x45f52e4e -256 -256 -0 -0 -0 -2 -0x7e4e -0x5d4b8452 -256 -256 -1 -0 -0 -4 -0x27cc -0xce3bfe6f -256 -256 -0 -0 -0 -3 -0x95d -0x99f85828 -256 -256 -0 -0 -0 -4 -0xd25c -0x3e201f24 -256 -256 -0 -0 -0 -4 -0x2223 -0xb0afaf27 -256 -256 -0 -0 -0 -1 -0xc1f2 -0x11b0935e -256 -256 -1 -0 -0 -4 -0x9a5c -0x90046fed -256 -256 -1 -0 -0 -1 -0xb0fa -0xc13e6a4 -256 -256 -1 -0 -0 -4 -0xef50 -0x9af4adf6 -256 -256 -0 -0 -0 -2 -0x3a64 -0x1fc335a0 -256 -256 -1 -0 -0 -3 -0xf87a -0x5ce17ba6 -256 -256 -1 -0 -0 -1 -0x4734 -0xf4b8b765 -256 -256 -1 -0 -0 -4 -0xb4bf -0xba9353a9 -256 -256 -1 -0 -0 -3 -0x41f9 -0x89ac163d -256 -256 -0 -0 -0 -3 -0xf478 -0x90124cdc -256 -256 -1 -0 -0 -1 -0x5091 -0x73a52396 -256 -256 -1 -0 -0 -1 -0x2753 -0xe6df401b -256 -256 -1 -0 -0 -3 -0x63bf -0x51190ca9 -256 -256 -1 -0 -0 -1 -0x36d9 -0x36e9b480 -256 -256 -1 -0 -0 -3 -0x8809 -0x764c3ec7 -256 -256 -0 -0 -0 -4 -0x573a -0xa5e92a21 -256 -256 -0 -0 -0 -3 -0xb20b -0x5771a2ca -256 -256 -1 -0 -0 -2 -0xa873 -0xb6373e68 -256 -256 -1 -0 -0 -1 -0x23b -0x9f2e1823 -256 -256 -1 -0 -0 -1 -0x3cd3 -0xe7974c8d -256 -256 -0 -0 -0 -3 -0x85f -0x9dad5f36 -256 -256 -1 -0 -0 -4 -0x94b2 -0x37d97d70 -256 -256 -1 -0 -0 -2 -0x9533 -0x204f26d3 -256 -256 -1 -0 -0 -4 -0x8cae -0x7a83128e -256 -256 -1 -0 -0 -1 -0x9eb4 -0xfd80b4fc -256 -256 -0 -0 -0 -2 -0x1025 -0x9fab7a4 -256 -256 -1 -0 -0 -2 -0xd38d -0x2bb217ce -256 -256 -0 -0 -0 -3 -0x5e16 -0x60e3112f -256 -256 -0 -0 -0 -1 -0x66e1 -0xd923a176 -256 -256 -0 -0 -0 -2 -0x692 -0xd2f81b67 -256 -256 -0 -0 -0 -3 -0x72c1 -0x7b8e36db -256 -256 -0 -0 -0 -4 -0x2ec2 -0x870bb61b -256 -256 -0 -0 -0 -1 -0x967c -0x70aaff78 -256 -256 -1 -0 -0 -2 -0x9825 -0x8a9e2074 -256 -256 -0 -0 -0 -3 -0x395a -0x7315295a -256 -256 -1 -0 -0 -4 -0x57c4 -0xcbc79a4d -256 -256 -1 -0 -0 -2 -0x80e8 -0xb3bd6b16 -256 -256 -0 -0 -0 -3 -0xc59c -0xdb0b894a -256 -256 -1 -0 -0 -2 -0xeace -0xe2e41b42 -256 -256 -0 -0 -0 -3 -0x7f59 -0xcc2359fb -256 -256 -1 -0 -0 -1 -0xc3b7 -0xc63587e6 -256 -256 -1 -0 -0 -4 -0x41d9 -0x76646a9b -256 -256 -1 -0 -0 -3 -0x3395 -0x96c7b039 -256 -256 -0 -0 -0 -4 -0xf674 -0x3348b39c -256 -256 -0 -0 -0 -4 -0x7467 -0x85078f6f -256 -256 -0 -0 -0 -2 -0x5a30 -0x9f1c2a71 -256 -256 -1 -0 -0 -1 -0x8823 -0xef9d2851 -256 -256 -1 -0 -0 -4 -0x9919 -0xbfe6999c -256 -256 -0 -0 -0 -2 -0xad57 -0x88654e4 -256 -256 -1 -0 -0 -2 -0xf26d -0xe036f0e3 -256 -256 -0 -0 -0 -4 -0x8851 -0x11678746 -256 -256 -0 -0 -0 -3 -0x8e97 -0x55422081 -256 -256 -1 -0 -0 -4 -0x5d6e -0x3d83ba17 -256 -256 -1 -0 -0 -2 -0xc110 -0x169f61f1 -256 -256 -1 -0 -0 -2 -0x6455 -0xd833a987 -256 -256 -1 -0 -0 -4 -0xd5b9 -0x77c6467a -256 -256 -1 -0 -0 -3 -0x9e1b -0x2cb0b36c -256 -256 -1 -0 -0 -3 -0x2a41 -0xbbb1d5ab -256 -256 -0 -0 -0 -1 -0x720f -0xcd109e04 -256 -256 -0 -0 -0 -1 -0xb23f -0x5d4986f4 -256 -256 -1 -0 -0 -2 -0xe6c9 -0x15685aed -256 -256 -0 -0 -0 -4 -0xa7eb -0xbdb3215e -256 -256 -1 -0 -0 -1 -0xd16b -0x813cd3d9 -256 -256 -1 -0 -0 -1 -0x261a -0x78db3229 -256 -256 -0 -0 -0 -3 -0x918a -0x6177037c -256 -256 -1 -0 -0 -3 -0x48a5 -0xbc638700 -256 -256 -0 -0 -0 -2 -0x7d3b -0x599b5662 -256 -256 -0 -0 -0 -2 -0xe5aa -0x67cc3a51 -256 -256 -0 -0 -0 -4 -0x646c -0xf1c58714 -256 -256 -0 -0 -0 -4 -0x9632 -0xd3cceb4f -256 -256 -1 -0 -0 -3 -0x1355 -0x9d3d61e5 -256 -256 -1 -0 -0 -3 -0xb115 -0xe24df9d4 -256 -256 -1 -0 -0 -1 -0xdc71 -0x818baab6 -256 -256 -1 -0 -0 -4 -0xc600 -0x1f12c2a7 -256 -256 -0 -0 -0 -3 -0x6925 -0x46b1aa78 -256 -256 -0 -0 -0 -1 -0x43c5 -0x957d3d16 -256 -256 -1 -0 -0 -1 -0xbf32 -0x79e18bf0 -256 -256 -0 -0 -0 -1 -0x487e -0xbfebf95 -256 -256 -1 -0 -0 -4 -0x5b79 -0x32aa743 -256 -256 -0 -0 -0 -1 -0x50c5 -0x574f29e0 -256 -256 -1 -0 -0 -4 -0x421c -0xf7b71793 -256 -256 -1 -0 -0 -2 -0x830f -0xa48e3434 -256 -256 -0 -0 -0 -2 -0x48cb -0xc308f5ed -256 -256 -0 -0 -0 -2 -0x1fb4 -0x7d12ebfe -256 -256 -1 -0 -0 -4 -0xc74a -0x82a95435 -256 -256 -1 -0 -0 -1 -0x8553 -0xf05c03b6 -256 -256 -0 -0 -0 -1 -0xed01 -0x74d295d -256 -256 -0 -0 -0 -3 -0xf271 -0x2e693fd5 -256 -256 -0 -0 -0 -4 -0x37d3 -0xb72a0402 -256 -256 -1 -0 -0 -3 -0x9e92 -0xa77c410c -256 -256 -1 -0 -0 -4 -0xfbc5 -0x62734118 -256 -256 -0 -0 -0 -4 -0xe508 -0xb3d638d6 -256 -256 -1 -0 -0 -4 -0x46db -0x1e0807d2 -256 -256 -0 -0 -0 -4 -0x15e5 -0xb87c15f7 -256 -256 -1 -0 -0 -4 -0xe44d -0x77e5de9c -256 -256 -0 -0 -0 -1 -0x7f42 -0xb03bbef9 -256 -256 -1 -0 -0 -1 -0xd0f -0x75af6093 -256 -256 -0 -0 -0 -1 -0x1edc -0xc4d2e5fa -256 -256 -1 -0 -0 -4 -0xe565 -0x87fb2c4d -256 -256 -0 -0 -0 -4 -0xb1b6 -0xd479911a -256 -256 -1 -0 -0 -3 -0xbdfa -0x4d199ff0 -256 -256 -0 -0 -0 -4 -0xe411 -0x31b2b2e0 -256 -256 -1 -0 -0 -2 -0xe82e -0x52321bff -256 -256 -0 -0 -0 -2 -0xb28f -0x9db01be9 -256 -256 -0 -0 -0 -4 -0x49db -0x6837373d -256 -256 -0 -0 -0 -2 -0xb7c5 -0x3262abf9 -256 -256 -1 -0 -0 -1 -0xf69c -0x9c098bb4 -256 -256 -1 -0 -0 -2 -0xbd6f -0xb7aa6649 -256 -256 -0 -0 -0 -3 -0xf071 -0xfb594cbc -256 -256 -0 -0 -0 -1 -0x5423 -0x13c28bd0 -256 -256 -1 -0 -0 -4 -0x8280 -0x99043898 -256 -256 -0 -0 -0 -2 -0x78ff -0xb6b82060 -256 -256 -0 -0 -0 -4 -0xdffc -0xa360facf -256 -256 -0 -0 -0 -3 -0x3930 -0x1066e01b -256 -256 -1 -0 -0 -4 -0xbb3e -0x3e72ae7f -256 -256 -1 -0 -0 -2 -0x247a -0x7536108 -256 -256 -1 -0 -0 -2 -0x37e4 -0xbcb8c4c9 -256 -256 -0 -0 -0 -3 -0x737d -0x47a8cb9f -256 -256 -1 -0 -0 -1 -0x3d46 -0xa9c01fc -256 -256 -0 -0 -0 -4 -0x2402 -0xc4b7cce3 -256 -256 -0 -0 -0 -4 -0xeea6 -0xc30194 -256 -256 -1 -0 -0 -3 -0xbd22 -0x8cd78ba5 -256 -256 -1 -0 -0 -2 -0x6e5a -0x7c32fb2f -256 -256 -1 -0 -0 -3 -0x3a32 -0x75599c4e -256 -256 -1 -0 -0 -3 -0x98d7 -0xe45e39de -256 -256 -0 -0 -0 -2 -0x57e6 -0x389935f3 -256 -256 -0 -0 -0 -2 -0x6200 -0xa471d436 -256 -256 -0 -0 -0 -3 -0x746a -0x50cfbe46 -256 -256 -1 -0 -0 -4 -0xa74b -0x95fa0df9 -256 -256 -0 -0 -0 -1 -0x1be7 -0xcc6a452d -256 -256 -0 -0 -0 -1 -0x4d42 -0xe091cde -256 -256 -0 -0 -0 -1 -0xd037 -0xc764df6e -256 -256 -0 -0 -0 -3 -0x51ed -0x4b328a49 -256 -256 -0 -0 -0 -1 -0xb443 -0xcc68afb1 -256 -256 -1 -0 -0 -1 -0x34b1 -0xe4ae315b -256 -256 -0 -0 -0 -1 -0x5ae -0xec49d0f8 -256 -256 -1 -0 -0 -2 -0xbc3 -0x90df0a86 -256 -256 -1 -0 -0 -3 -0xa1d -0xce20cf1f -256 -256 -1 -0 -0 -3 -0xcf47 -0x8b54adc5 -256 -256 -1 -0 -0 -3 -0xe819 -0xb0fe2473 -256 -256 -1 -0 -0 -1 -0x40ac -0xe8ac3dd -256 -256 -1 -0 -0 -4 -0xab5b -0x79389e43 -256 -256 -1 -0 -0 -3 -0xe604 -0x71e01d74 -256 -256 -1 -0 -0 -2 -0xd4f7 -0xf5072fbf -256 -256 -1 -0 -0 -4 -0x2dea -0x37f4f1c5 -256 -256 -1 -0 -0 -1 -0x104d -0x93700554 -256 -256 -1 -0 -0 -4 -0x5de9 -0xb7ac91e0 -256 -256 -1 -0 -0 -2 -0xf06 -0x16b3e660 -256 -256 -1 -0 -0 -1 -0xe790 -0x5ec2e477 -256 -256 -1 -0 -0 -3 -0xab57 -0x3306a290 -256 -256 -0 -0 -0 -3 -0xfe39 -0xc5d48144 -256 -256 -0 -0 -0 -3 -0xea57 -0xb3016c44 -256 -256 -1 -0 -0 -1 -0xad13 -0x53fc6482 -256 -256 -1 -0 -0 -3 -0x1c99 -0xfdea2b18 -256 -256 -0 -0 -0 -4 -0xc7f6 -0x408d27a4 -256 -256 -0 -0 -0 -1 -0x6e4 -0xc6d811d3 -256 -256 -1 -0 -0 -4 -0x1c49 -0x3649eca -256 -256 -0 -0 -0 -1 -0x9fa8 -0x1f3a4fb4 -256 -256 -0 -0 -0 -3 -0xe8e0 -0x7f614827 -256 -256 -1 -0 -0 -4 -0x1727 -0xf9cf22cd -256 -256 -0 -0 -0 -4 -0x8c12 -0x8d767bae -256 -256 -1 -0 -0 -1 -0xe8e -0xf515d47d -256 -256 -0 -0 -0 -1 -0xc38c -0xce966b4f -256 -256 -0 -0 -0 -2 -0x617 -0xf2bc54aa -256 -256 -0 -0 -0 -1 -0x2dcf -0x3b7e39e3 -256 -256 -0 -0 -0 -1 -0xdd96 -0x2ed6b221 -256 -256 -1 -0 -0 -3 -0x3e6b -0xa2b940f0 -256 -256 -0 -0 -0 -3 -0xe6eb -0x9b3b27b3 -256 -256 -0 -0 -0 -4 -0x4135 -0x4a20a13e -256 -256 -0 -0 -0 -1 -0xf3f0 -0xc61e41da -256 -256 -0 -0 -0 -4 -0x4cb2 -0xdf457013 -256 -256 -1 -0 -0 -3 -0x2d7a -0xe6391ea8 -256 -256 -0 -0 -0 -4 -0x61ea -0x71c8938 -256 -256 -0 -0 -0 -2 -0xe0cc -0xf0830910 -256 -256 -0 -0 -0 -1 -0x3d50 -0xc251ab0a -256 -256 -1 -0 -0 -4 -0x1b6f -0xb96f6987 -256 -256 -1 -0 -0 -4 -0x72bb -0xc615ae03 -256 -256 -0 -0 -0 -4 -0x6d31 -0x706cc64 -256 -256 -0 -0 -0 -1 -0xd9d2 -0xfec429db -256 -256 -1 -0 -0 -2 -0x6194 -0x70e81800 -256 -256 -1 -0 -0 -1 -0xeb3b -0xcab31a82 -256 -256 -1 -0 -0 -1 -0x6032 -0x2a3a00df -256 -256 -1 -0 -0 -3 -0x8c5d -0x71347e9f -256 -256 -1 -0 -0 -2 -0xa445 -0x2b82a748 -256 -256 -1 -0 -0 -3 -0xd0c6 -0x56c0c09f -256 -256 -0 -0 -0 -1 -0x72a5 -0xe29ce765 -256 -256 -1 -0 -0 -1 -0x47b1 -0x9587d1e6 -256 -256 -0 -0 -0 -3 -0x1665 -0x9e4a7bf7 -256 -256 -1 -0 -0 -4 -0xe294 -0x28c22f17 -256 -256 -0 -0 -0 -1 -0xdf7a -0x1423f1a4 -256 -256 -1 -0 -0 -1 -0xd179 -0x843fa7a6 -256 -256 -1 -0 -0 -2 -0x6437 -0xdd184c0a -256 -256 -1 -0 -0 -2 -0x91d1 -0x853b38dd -256 -256 -1 -0 -0 -3 -0x8d21 -0xd4a60956 -256 -256 -0 -0 -0 -3 -0x9ca6 -0xca7d8d81 -256 -256 -1 -0 -0 -3 -0xac95 -0x234347f6 -256 -256 -0 -0 -0 -3 -0x3d3a -0xe58b1523 -256 -256 -0 -0 -0 -4 -0xcb8 -0x68ee3f7e -256 -256 -0 -0 -0 -2 -0x5781 -0x559eaf64 -256 -256 -0 -0 -0 -2 -0x7ed -0xd810adb8 -256 -256 -0 -0 -0 -2 -0x8d26 -0x87c2cb1c -256 -256 -0 -0 -0 -1 -0xc71 -0xe9aaf02e -256 -256 -1 -0 -0 -3 -0xf843 -0xfbbe9e05 -256 -256 -1 -0 -0 -2 -0xf20f -0xed94cef0 -256 -256 -1 -0 -0 -2 -0x32de -0x882efd89 -256 -256 -0 -0 -0 -3 -0x6833 -0xd751aeca -256 -256 -1 -0 -0 -2 -0x427 -0xe0d441d3 -256 -256 -0 -0 -0 -1 -0x7da0 -0x46c67ff9 -256 -256 -1 -0 -0 -1 -0xb520 -0xfa0671aa -256 -256 -0 -0 -0 -3 -0xc006 -0x16f650e6 -256 -256 -0 -0 -0 -4 -0x4b0e -0x87df7f92 -256 -256 -1 -0 -0 -1 -0x300f -0x9abf2fff -256 -256 -1 -0 -0 -1 -0x3838 -0x7dc0c6ba -256 -256 -1 -0 -0 -4 -0x2b89 -0xbf534075 -256 -256 -1 -0 -0 -2 -0x141 -0xe8c04edc -256 -256 -0 -0 -0 -2 -0xddac -0xd318e018 -256 -256 -1 -0 -0 -4 -0x2a0c -0x5f4f568c -256 -256 -1 -0 -0 -3 -0xe669 -0x4ed29488 -256 -256 -1 -0 -0 -3 -0xddeb -0x8d962db7 -256 -256 -1 -0 -0 -2 -0x3515 -0x43940f8e -256 -256 -0 -0 -0 -1 -0xa730 -0x66049683 -256 -256 -1 -0 -0 -1 -0x6861 -0x1b1271b -256 -256 -1 -0 -0 -4 -0x9bb5 -0x40dc9e62 -256 -256 -1 -0 -0 -1 -0x1b94 -0xea7074cc -256 -256 -0 -0 -0 -1 -0xd4f8 -0x6c81b7bc -256 -256 -1 -0 -0 -2 -0xa0b -0x7ec20a33 -256 -256 -1 -0 -0 -1 -0xff23 -0xd8d1e8eb -256 -256 -1 -0 -0 -3 -0x6288 -0xaa91535e -256 -256 -1 -0 -0 -4 -0x8721 -0x92d00c52 -256 -256 -0 -0 -0 -4 -0xf361 -0x7888189b -256 -256 -1 -0 -0 -2 -0x75f1 -0xb1efd33b -256 -256 -0 -0 -0 -1 -0xd6ec -0x8c8b72d2 -256 -256 -0 -0 -0 -1 -0x49b7 -0x69abc76a -256 -256 -0 -0 -0 -3 -0xce54 -0xb3cc3641 -256 -256 -0 -0 -0 -2 -0x4d40 -0x79005985 -256 -256 -0 -0 -0 -2 -0x5104 -0x32917a74 -256 -256 -1 -0 -0 -3 -0xf999 -0xa4ce9d45 -256 -256 -1 -0 -0 -2 -0x7e2 -0x33dd092d -256 -256 -0 -0 -0 -4 -0xd623 -0x87827cb0 -256 -256 -0 -0 -0 -2 -0x53eb -0x48db67a5 -256 -256 -0 -0 -0 -3 -0xc677 -0x556a5863 -256 -256 -0 -0 -0 -4 -0x7a3c -0x238fab58 -256 -256 -1 -0 -0 -2 -0x522f -0x4826d2ca -256 -256 -1 -0 -0 -2 -0xc1b2 -0xfd3c8fd5 -256 -256 -1 -0 -0 -4 -0x4287 -0xcc238b43 -256 -256 -0 -0 -0 -2 -0x8645 -0xd92debf7 -256 -256 -1 -0 -0 -3 -0x9c16 -0xa52f35c3 -256 -256 -1 -0 -0 -4 -0xa9b1 -0x10f111af -256 -256 -1 -0 -0 -2 -0x5f30 -0x54f44389 -256 -256 -1 -0 -0 -2 -0x1dbe -0x6c1e1b3d -256 -256 -0 -0 -0 -2 -0xc151 -0x4c9a6c1a -256 -256 -1 -0 -0 -4 -0x2e77 -0x6162614 -256 -256 -1 -0 -0 -2 -0x986d -0xd66f897e -256 -256 -0 -0 -0 -3 -0x7d64 -0x591cd40e -256 -256 -0 -0 -0 -3 -0x5bd1 -0xead1a112 -256 -256 -1 -0 -0 -1 -0x7dfa -0x1db6186c -256 -256 -0 -0 -0 -1 -0xf294 -0xceee6c32 -256 -256 -0 -0 -0 -4 -0x5593 -0x9fa6b887 -256 -256 -1 -0 -0 -4 -0x1547 -0xc0827624 -256 -256 -1 -0 -0 -4 -0x751f -0x600f6d13 -256 -256 -0 -0 -0 -4 -0x566a -0x24529bee -256 -256 -0 -0 -0 -1 -0x813d -0x182f55de -256 -256 -0 -0 -0 -2 -0xb5b0 -0xf0630f6d -256 -256 -1 -0 -0 -3 -0xe163 -0x446055a3 -256 -256 -1 -0 -0 -1 -0xb560 -0xa495bb22 -256 -256 -0 -0 -0 -3 -0x2d1f -0xfeb1147d -256 -256 -1 -0 -0 -2 -0x5ab1 -0x53d67de -256 -256 -0 -0 -0 -4 -0xedb2 -0x314bfd71 -256 -256 -1 -0 -0 -4 -0xb5da -0x18231e39 -256 -256 -0 -0 -0 -4 -0x1544 -0xcfefbb61 -256 -256 -0 -0 -0 -3 -0x128c -0x8cd50586 -256 -256 -1 -0 -0 -1 -0xd8a5 -0xb822df7f -256 -256 -0 -0 -0 -1 -0x5db1 -0x12a2c693 -256 -256 -1 -0 -0 -1 -0x8f04 -0x5e514100 -256 -256 -1 -0 -0 -1 -0x1e2f -0xa218612 -256 -256 -0 -0 -0 -1 -0xb41 -0xcfd3f406 -256 -256 -1 -0 -0 -3 -0x6098 -0x5f04b4fb -256 -256 -0 -0 -0 -4 -0xca65 -0xa990c631 -256 -256 -1 -0 -0 -3 -0x6356 -0x51842499 -256 -256 -0 -0 -0 -1 -0x2ed2 -0x14df2ebc -256 -256 -1 -0 -0 -1 -0xf32c -0xa197d89 -256 -256 -0 -0 -0 -1 -0xf4e8 -0x452bc29 -256 -256 -0 -0 -0 -3 -0x31e6 -0x408f7eec -256 -256 -1 -0 -0 -2 -0x6aae -0xfbcad044 -256 -256 -1 -0 -0 -1 -0x65e2 -0x108fb9d5 -256 -256 -1 -0 -0 -4 -0x8f9c -0x1f5d1293 -256 -256 -1 -0 -0 -4 -0x5cfe -0x1b4cb3b1 -256 -256 -0 -0 -0 -1 -0x1bfb -0xdf58381 -256 -256 -1 -0 -0 -1 -0xfe68 -0x4c597b23 -256 -256 -1 -0 -0 -4 -0x37c8 -0xded0d107 -256 -256 -1 -0 -0 -4 -0xd049 -0xc364008d -256 -256 -0 -0 -0 -3 -0x8591 -0xce36fab9 -256 -256 -0 -0 -0 -2 -0x7bca -0xe26c3d03 -256 -256 -1 -0 -0 -1 -0xbee6 -0xc68a3ebe -256 -256 -0 -0 -0 -1 -0xa74c -0x235ea0ee -256 -256 -1 -0 -0 -1 -0xda50 -0x8f83c66e -256 -256 -1 -0 -0 -4 -0xc465 -0x541c835b -256 -256 -1 -0 -0 -3 -0x6655 -0xa7b4f5a6 -256 -256 -0 -0 -0 -3 -0xb62b -0x6841a5e5 -256 -256 -0 -0 -0 -2 -0x40b1 -0xa2e6a5b -256 -256 -1 -0 -0 -4 -0x5eb7 -0xbbebf087 -256 -256 -0 -0 -0 -3 -0xc30f -0xa46c9e68 -256 -256 -0 -0 -0 -4 -0xa2d6 -0xe666691c -256 -256 -1 -0 -0 -3 -0xafb9 -0x62c7074f -256 -256 -0 -0 -0 -1 -0xbf78 -0xade3f34e -256 -256 -0 -0 -0 -2 -0xab71 -0xf407a532 -256 -256 -1 -0 -0 -4 -0xb8f4 -0x83b861be -256 -256 -1 -0 -0 -3 -0xfc80 -0x20d880ff -256 -256 -1 -0 -0 -4 -0x78d5 -0xe1c94e39 -256 -256 -1 -0 -0 -2 -0x6cf9 -0x94262bb4 -256 -256 -0 -0 -0 -2 -0xccb1 -0x1cce08b1 -256 -256 -0 -0 -0 -2 -0x5f5e -0xa0549eab -256 -256 -1 -0 -0 -1 -0xd32e -0x53afaf0b -256 -256 -1 -0 -0 -4 -0xb25a -0x1a7ebba -256 -256 -0 -0 -0 -3 -0xc940 -0x8b0eaa9f -256 -256 -0 -0 -0 -2 -0x9ad9 -0x526ba55c -256 -256 -1 -0 -0 -4 -0xc0ce -0x8ca26dc9 -256 -256 -1 -0 -0 -3 -0x4c2a -0xf45f7d5a -256 -256 -0 -0 -0 -3 -0xe984 -0xd350f8d0 -256 -256 -1 -0 -0 -2 -0x76fe -0x66ce6d85 -256 -256 -0 -0 -0 -4 -0x9ff2 -0xeb0e6cd8 -256 -256 -1 -0 -0 -1 -0x49d9 -0x410deff3 -256 -256 -0 -0 -0 -1 -0xb863 -0xe455e762 -256 -256 -0 -0 -0 -3 -0x9331 -0x3ec35235 -256 -256 -0 -0 -0 -4 -0xa223 -0x4a63b879 -256 -256 -1 -0 -0 -2 -0x4441 -0x946bbe95 -256 -256 -1 -0 -0 -1 -0xffbb -0x84447751 -256 -256 -0 -0 -0 -1 -0x8124 -0x61adca5d -256 -256 -0 -0 -0 -4 -0x235b -0x4bb187bf -256 -256 -0 -0 -0 -2 -0xd43f -0x793e46b0 -256 -256 -1 -0 -0 -2 -0x1eaa -0x37154d68 -256 -256 -1 -0 -0 -3 -0x10ed -0x638ca9ac -256 -256 -1 -0 -0 -4 -0xa2e0 -0xd6c0c1dc -256 -256 -1 -0 -0 -3 -0x95ed -0xbaefcd61 -256 -256 -0 -0 -0 -2 -0x1ef7 -0x2ff6055c -256 -256 -1 -0 -0 -3 -0x8dcf -0xd0a1ec3 -256 -256 -0 -0 -0 -4 -0xa496 -0x59f7f1c3 -256 -256 -0 -0 -0 -1 -0x74d5 -0x99ff5100 -256 -256 -1 -0 -0 -1 -0xc3de -0xd9f81ae5 -256 -256 -0 -0 -0 -1 -0x5194 -0x86272a64 -256 -256 -1 -0 -0 -4 -0xd559 -0x299802dd -256 -256 -1 -0 -0 -3 -0xee3d -0xc516ba83 -256 -256 -0 -0 -0 -4 -0xccc5 -0x811c506f -256 -256 -0 -0 -0 -4 -0x2b47 -0x3c7fffaa -256 -256 -0 -0 -0 -2 -0xa274 -0xd24426b3 -256 -256 -0 -0 -0 -2 -0xa17 -0x92c184e6 -256 -256 -1 -0 -0 -4 -0x800c -0xae1f81c2 -256 -256 -1 -0 -0 -2 -0x4d0a -0x6e705117 -256 -256 -0 -0 -0 -1 -0xf824 -0x84e30b27 -256 -256 -0 -0 -0 -2 -0xea37 -0x8828679d -256 -256 -1 -0 -0 -1 -0xf204 -0x6c8dcba2 -256 -256 -0 -0 -0 -4 -0xed8 -0xf4b19084 -256 -256 -0 -0 -0 -4 -0xf9a2 -0x33d518ba -256 -256 -1 -0 -0 -3 -0xac37 -0x14de9bb0 -256 -256 -0 -0 -0 -3 -0xb5a8 -0xaa6542a4 -256 -256 -0 -0 -0 -4 -0x8794 -0x15777002 -256 -256 -1 -0 -0 -4 -0xb911 -0x7723db72 -256 -256 -0 -0 -0 -4 -0x8df8 -0xa3253ecc -256 -256 -0 -0 -0 -2 -0x554a -0x5de43c0c -256 -256 -1 -0 -0 -2 -0x3b9d -0x9e490780 -256 -256 -1 -0 -0 -3 -0x8c0d -0x53502a8f -256 -256 -1 -0 -0 -4 -0x7ea0 -0xfc287e9d -256 -256 -0 -0 -0 -1 -0x2e0b -0x915fb0c7 -256 -256 -1 -0 -0 -3 -0x6622 -0xc209e2f2 -256 -256 -1 -0 -0 -3 -0x4d52 -0x5627b0d -256 -256 -1 -0 -0 -3 -0xf4f8 -0x223d2efd -256 -256 -1 -0 -0 -4 -0x8a59 -0xa54332b -256 -256 -1 -0 -0 -4 -0xa080 -0x3a5ddaac -256 -256 -0 -0 -0 -3 -0xf3e4 -0x9f5d5af7 -256 -256 -1 -0 -0 -2 -0xc6df -0x9b5af946 -256 -256 -0 -0 -0 -2 -0x4c77 -0x54fadcc1 -256 -256 -1 -0 -0 -2 -0x53ae -0xc3c84596 -256 -256 -1 -0 -0 -2 -0x7edc -0x8c0ed02e -256 -256 -1 -0 -0 -3 -0xe88d -0x4838ee26 -256 -256 -1 -0 -0 -1 -0xeff0 -0x61f44ac4 -256 -256 -1 -0 -0 -3 -0xa164 -0xdc478740 -256 -256 -0 -0 -0 -1 -0x7560 -0xe197ef2 -256 -256 -0 -0 -0 -2 -0xefdc -0x463d3f2b -256 -256 -0 -0 -0 -2 -0x90f4 -0x9fccf910 -256 -256 -0 -0 -0 -2 -0x5c2b -0x3cf5d607 -256 -256 -0 -0 -0 -4 -0x731c -0xf307df1d -256 -256 -0 -0 -0 -3 -0xca5d -0xef14e3bd -256 -256 -0 -0 -0 -3 -0x5fb1 -0xdb46cd9a -256 -256 -1 -0 -0 -3 -0xec09 -0x9122f9b6 -256 -256 -1 -0 -0 -2 -0x355d -0xdb0886f -256 -256 -0 -0 -0 -2 -0x2489 -0x5638f00 -256 -256 -1 -0 -0 -3 -0xa816 -0x39d79a6d -256 -256 -1 -0 -0 -1 -0x274a -0x12ddb4cb -256 -256 -0 -0 -0 -2 -0xc1be -0x4bcddaa1 -256 -256 -1 -0 -0 -3 -0xbab8 -0x6b9a29dc -256 -256 -1 -0 -0 -1 -0xe4e -0xae77a047 -256 -256 -0 -0 -0 -2 -0xd6dc -0x4282279c -256 -256 -1 -0 -0 -4 -0x4726 -0xf1a30c0e -256 -256 -0 -0 -0 -1 -0x82 -0xd89b56ad -256 -256 -0 -0 -0 -4 -0x756e -0x63aab88 -256 -256 -0 -0 -0 -2 -0x74bc -0x359aa353 -256 -256 -1 -0 -0 -3 -0x78bd -0xfe6bddc5 -256 -256 -0 -0 -0 -2 -0x9859 -0xc25f75af -256 -256 -1 -0 -0 -4 -0xaa6d -0xef25cef1 -256 -256 -0 -0 -0 -1 -0x215b -0xaed72a5b -256 -256 -0 -0 -0 -2 -0x2d78 -0x19f247c -256 -256 -1 -0 -0 -1 -0x17df -0x74d7709d -256 -256 -1 -0 -0 -2 -0x639e -0x9ea6437d -256 -256 -1 -0 -0 -4 -0x3d9e -0xa0d0e1bd -256 -256 -1 -0 -0 -3 -0xf869 -0x1fefca88 -256 -256 -1 -0 -0 -1 -0xb3b0 -0x2a4c06d4 -256 -256 -1 -0 -0 -4 -0x7bc6 -0xacf21af8 -256 -256 -1 -0 -0 -3 -0x9659 -0x7e89c79c -256 -256 -0 -0 -0 -1 -0xff70 -0x77c12ee5 -256 -256 -1 -0 -0 -2 -0x671f -0xb8c17a36 -256 -256 -0 -0 -0 -3 -0xf796 -0xe8125d1f -256 -256 -1 -0 -0 -1 -0xad53 -0xf537bc48 -256 -256 -1 -0 -0 -4 -0x2df3 -0x99ac4fd7 -256 -256 -0 -0 -0 -4 -0xdf9d -0x11d7153d -256 -256 -0 -0 -0 -3 -0x4927 -0xb5ccdc55 -256 -256 -0 -0 -0 -4 -0x2482 -0xfcef5811 -256 -256 -1 -0 -0 -1 -0xd7c -0x10f28bc4 -256 -256 -0 -0 -0 -3 -0x1316 -0xe374300c -256 -256 -0 -0 -0 -4 -0xd0d1 -0x2ffba0d4 -256 -256 -0 -0 -0 -1 -0xf446 -0x73b1e62e -256 -256 -0 -0 -0 -2 -0xacaf -0x7e292e99 -256 -256 -1 -0 -0 -1 -0x4d5f -0xdf22393b -256 -256 -1 -0 -0 -4 -0x2205 -0x83b726dd -256 -256 -1 -0 -0 -2 -0x5b5e -0xea51af31 -256 -256 -1 -0 -0 -4 -0x806c -0x1a510a2c -256 -256 -0 -0 -0 -1 -0x68b8 -0x3c743b0e -256 -256 -0 -0 -0 -1 -0xc137 -0xcbd6055d -256 -256 -1 -0 -0 -3 -0x56d6 -0xdfbf6ce4 -256 -256 -1 -0 -0 -2 -0xfd9d -0xf9cad9ba -256 -256 -0 -0 -0 -2 -0x14bd -0x4fa215ed -256 -256 -1 -0 -0 -4 -0xbc99 -0xd8d85e74 -256 -256 -0 -0 -0 -2 -0x45d -0xa74cd906 -256 -256 -1 -0 -0 -4 -0x5e2f -0xa24fde41 -256 -256 -1 -0 -0 -3 -0xe1b1 -0x152e43d5 -256 -256 -1 -0 -0 -4 -0xf56 -0x269415b6 -256 -256 -1 -0 -0 -3 -0x6dc6 -0xb7ebe5b5 -256 -256 -1 -0 -0 -3 -0xe3f7 -0xdbe024bd -256 -256 -0 -0 -0 -3 -0x1cc6 -0x146fcfb8 -256 -256 -1 -0 -0 -2 -0x2791 -0xa826ddd1 -256 -256 -1 -0 -0 -2 -0xff9a -0x42eeb68d -256 -256 -1 -0 -0 -2 -0xcbae -0xb0142d86 -256 -256 -0 -0 -0 -1 -0xffac -0xbe5c4099 -256 -256 -0 -0 -0 -2 -0x8f4c -0x8ffbf560 -256 -256 -1 -0 -0 -2 -0x5e77 -0xa1ee9fea -256 -256 -0 -0 -0 -1 -0x7fff -0x34ced039 -256 -256 -0 -0 -0 -1 -0xf7cf -0x5ae433f5 -256 -256 -1 -0 -0 -3 -0x1c28 -0xf116f3a2 -256 -256 -0 -0 -0 -3 -0xa018 -0x24be60e1 -256 -256 -0 -0 -0 -2 -0xa140 -0x5434f65b -256 -256 -0 -0 -0 -2 -0xe476 -0xfe845b6b -256 -256 -1 -0 -0 -2 -0x5863 -0x8d96d67 -256 -256 -0 -0 -0 -3 -0xc8b8 -0x6a661db5 -256 -256 -1 -0 -0 -2 -0xf446 -0x922cb04e -256 -256 -1 -0 -0 -3 -0xb3df -0x77ae477 -256 -256 -1 -0 -0 -2 -0xad3b -0x9d8a688b -256 -256 -0 -0 -0 -4 -0xe474 -0x3657edf0 -256 -256 -1 -0 -0 -2 -0x84f7 -0xcd97a4df -256 -256 -0 -0 -0 -1 -0x12a5 -0xa562b7ea -256 -256 -1 -0 -0 -1 -0x149f -0xe4f1c752 -256 -256 -0 -0 -0 -4 -0x9bb8 -0x4ece9630 -256 -256 -0 -0 -0 -4 -0x699f -0x565677af -256 -256 -0 -0 -0 -2 -0x2920 -0x87bbb427 -256 -256 -0 -0 -0 -1 -0x73c1 -0xc6756203 -256 -256 -0 -0 -0 -4 -0x2936 -0xfe1333ef -256 -256 -0 -0 -0 -4 -0x7302 -0x790cb96b -256 -256 -1 -0 -0 -1 -0xf421 -0xe2d11e5e -256 -256 -0 -0 -0 -3 -0x3e96 -0x46a0e077 -256 -256 -1 -0 -0 -2 -0x6a38 -0xee927952 -256 -256 -1 -0 -0 -1 -0x97a -0x75c277e9 -256 -256 -1 -0 -0 -3 -0xaefe -0x6c3a37ee -256 -256 -0 -0 -0 -3 -0xb0ac -0x4df0e179 -256 -256 -1 -0 -0 -2 -0x3328 -0x4bcd7b07 -256 -256 -1 -0 -0 -4 -0xc4cb -0xf353ab9f -256 -256 -0 -0 -0 -1 -0xe962 -0x9c820de8 -256 -256 -1 -0 -0 -1 -0xd97e -0x81b86644 -256 -256 -1 -0 -0 -1 -0xca18 -0x2f97e98a -256 -256 -1 -0 -0 -3 -0x3bf6 -0xe3e0e00f -256 -256 -0 -0 -0 -1 -0x1886 -0xb6583d47 -256 -256 -0 -0 -0 -3 -0x40f9 -0xa558756d -256 -256 -1 -0 -0 -4 -0x553e -0xc356c72a -256 -256 -1 -0 -0 -4 -0xe72b -0xed4b4eaf -256 -256 -1 -0 -0 -3 -0x424d -0x5940f55b -256 -256 -1 -0 -0 -4 -0x6be7 -0x8c44b315 -256 -256 -1 -0 -0 -1 -0xc19e -0xc83a506e -256 -256 -0 -0 -0 -3 -0xaf51 -0xab13d25b -256 -256 -1 -0 -0 -4 -0x84f3 -0xdaf31fec -256 -256 -1 -0 -0 -2 -0x2aea -0xdc36b1ba -256 -256 -1 -0 -0 -1 -0x7547 -0x8ef6e9da -256 -256 -0 -0 -0 -3 -0x8882 -0x13220ea -256 -256 -0 -0 -0 -1 -0x90a3 -0xb425f022 -256 -256 -1 -0 -0 -2 -0x40e -0xa243e3d0 -256 -256 -0 -0 -0 -3 -0xb2ec -0x95170c4d -256 -256 -0 -0 -0 -1 -0xbba6 -0xdf044145 -256 -256 -1 -0 -0 -3 -0x6398 -0x3076e993 -256 -256 -0 -0 -0 -1 -0x28d2 -0xd9ae34ff -256 -256 -0 -0 -0 -2 -0xcb77 -0x55151245 -256 -256 -1 -0 -0 -4 -0xce68 -0x7d4bf231 -256 -256 -0 -0 -0 -4 -0x9f74 -0x94a74ba9 -256 -256 -0 -0 -0 -4 -0x88f6 -0xc44b0c50 -256 -256 -1 -0 -0 -2 -0x561d -0xa571a622 -256 -256 -1 -0 -0 -2 -0x1bc9 -0x3471d314 -256 -256 -0 -0 -0 -1 -0x2db3 -0x355fdc86 -256 -256 -0 -0 -0 -2 -0x89d9 -0x1e6306d8 -256 -256 -1 -0 -0 -3 -0x1473 -0x72759843 -256 -256 -1 -0 -0 -2 -0x5626 -0x84ebc293 -256 -256 -1 -0 -0 -2 -0xd2be -0x464a0ae3 -256 -256 -1 -0 -0 -2 -0x9bc1 -0x3462d770 -256 -256 -1 -0 -0 -1 -0xe3c9 -0x129a38e4 -256 -256 -0 -0 -0 -4 -0xed98 -0x94e9f70f -256 -256 -0 -0 -0 -3 -0x5336 -0xa81de6e -256 -256 -1 -0 -0 -1 -0xb00a -0x21aee69c -256 -256 -0 -0 -0 -3 -0x4049 -0xd8ff3175 -256 -256 -1 -0 -0 -3 -0x5623 -0x435e79c8 -256 -256 -0 -0 -0 -1 -0xb224 -0x23579775 -256 -256 -1 -0 -0 -4 -0x449c -0x23f5a779 -256 -256 -0 -0 -0 -4 -0xfa35 -0x37437864 -256 -256 -1 -0 -0 -2 -0x9346 -0x6181f9d -256 -256 -0 -0 -0 -1 -0x1857 -0xf891aa7e -256 -256 -1 -0 -0 -4 -0xe33c -0x750b46f9 -256 -256 -0 -0 -0 -3 -0xcddf -0x70983587 -256 -256 -0 -0 -0 -1 -0xa84f -0x9697fdfd -256 -256 -1 -0 -0 -2 -0xe859 -0x28d54c30 -256 -256 -0 -0 -0 -4 -0x204 -0xc404e881 -256 -256 -1 -0 -0 -2 -0x713e -0xd1bb541f -256 -256 -1 -0 -0 -2 -0x65e -0xd1ff38ec -256 -256 -0 -0 -0 -1 -0x463e -0xfc6bf663 -256 -256 -1 -0 -0 -4 -0xae77 -0x8a00600b -256 -256 -1 -0 -0 -4 -0xeea9 -0xab5b361d -256 -256 -0 -0 -0 -1 -0xea1c -0xd28c8b84 -256 -256 -1 -0 -0 -2 -0x12ed -0xfec617d5 -256 -256 -1 -0 -0 -2 -0x5ef3 -0x468e1755 -256 -256 -0 -0 -0 -3 -0xa954 -0xb5823eaf -256 -256 -0 -0 -0 -2 -0x932e -0xc3b01a67 -256 -256 -0 -0 -0 -4 -0x2d7c -0x277758a5 -256 -256 -1 -0 -0 -3 -0xc4 -0xc2841d40 -256 -256 -0 -0 -0 -2 -0xafa1 -0x7d3eb83 -256 -256 -1 -0 -0 -1 -0x1075 -0x32084ea4 -256 -256 -0 -0 -0 -1 -0x4cf3 -0xe18972f7 -256 -256 -1 -0 -0 -1 -0x2f8a -0xf7393e62 -256 -256 -0 -0 -0 -1 -0xda50 -0xa3388176 -256 -256 -0 -0 -0 -3 -0xb0db -0xafad30dd -256 -256 -0 -0 -0 -3 -0x259e -0x8c34cbd3 -256 -256 -1 -0 -0 -2 -0xceef -0xda09c10d -256 -256 -0 -0 -0 -4 -0xd248 -0x229a0e76 -256 -256 -0 -0 -0 -3 -0x9b94 -0xad9b8442 -256 -256 -1 -0 -0 -1 -0x43a2 -0x704cca9e -256 -256 -1 -0 -0 -4 -0x3ecd -0xf56c2024 -256 -256 -0 -0 -0 -2 -0xf646 -0x61ae89ee -256 -256 -0 -0 -0 -2 -0x6209 -0xf1e3ed77 -256 -256 -1 -0 -0 -2 -0x284 -0x5d6bac9 -256 -256 -1 -0 -0 -4 -0xfccd -0xfdf54c5 -256 -256 -1 -0 -0 -1 -0x1bfa -0xb9ed8b12 -256 -256 -1 -0 -0 -3 -0xb41a -0x715aa21d -256 -256 -0 -0 -0 -4 -0x7e05 -0x52d6b2fb -256 -256 -1 -0 -0 -4 -0x8f88 -0xea5da965 -256 -256 -1 -0 -0 -4 -0x6d9 -0xdcda8d70 -256 -256 -0 -0 -0 -3 -0x4705 -0x6dc8294a -256 -256 -1 -0 -0 -4 -0xe142 -0x8df57c48 -256 -256 -0 -0 -0 -3 -0xed1b -0x47d59ed8 -256 -256 -1 -0 -0 -2 -0xb85e -0xc834a013 -256 -256 -1 -0 -0 -3 -0x7902 -0x17192761 -256 -256 -0 -0 -0 -1 -0xa5df -0x9f3ed1b0 -256 -256 -1 -0 -0 -3 -0x4cae -0xea8e1e34 -256 -256 -0 -0 -0 -2 -0x7520 -0xb1b9e72b -256 -256 -1 -0 -0 -2 -0xe29c -0xf99f884b -256 -256 -1 -0 -0 -4 -0xf82b -0x4b9bf49b -256 -256 -0 -0 -0 -1 -0x1d86 -0xf099374f -256 -256 -0 -0 -0 -4 -0x6b1e -0x62ad33c5 -256 -256 -0 -0 -0 -1 -0xb01d -0xc3601bf3 -256 -256 -1 -0 -0 -2 -0x680 -0x41e02719 -256 -256 -1 -0 -0 -2 -0x3fe0 -0x238249a -256 -256 -0 -0 -0 -4 -0xb36a -0x2e048c68 -256 -256 -1 -0 -0 -4 -0x189a -0xba8dcb4a -256 -256 -0 -0 -0 -3 -0x7f8d -0xf5637938 -256 -256 -0 -0 -0 -2 -0xf66b -0xc895ae9b -256 -256 -0 -0 -0 -1 -0xb8c6 -0xb0b30600 -256 -256 -0 -0 -0 -2 -0xba3b -0x7025a7bb -256 -256 -0 -0 -0 -4 -0xf017 -0xa6d44ace -256 -256 -1 -0 -0 -2 -0x2f35 -0x19c0c0de -256 -256 -1 -0 -0 -3 -0x26ec -0x81625428 -256 -256 -0 -0 -0 -1 -0x65d4 -0x71337c7 -256 -256 -1 -0 -0 -2 -0xa857 -0x961dd19b -256 -256 -1 -0 -0 -1 -0x783 -0x30952de2 -256 -256 -0 -0 -0 -2 -0x21e5 -0x76db907f -256 -256 -0 -0 -0 -2 -0x7bea -0x39bdc1b4 -256 -256 -1 -0 -0 -1 -0x234 -0x7a934eef -256 -256 -1 -0 -0 -2 -0x7e7f -0x8acc4fac -256 -256 -0 -0 -0 -4 -0x8e80 -0x5accdf83 -256 -256 -1 -0 -0 -1 -0x7ad2 -0x9e0f152e -256 -256 -0 -0 -0 -1 -0xada2 -0xefb02437 -256 -256 -1 -0 -0 -1 -0x790a -0xfff20101 -256 -256 -1 -0 -0 -1 -0x477c -0x831f7044 -256 -256 -1 -0 -0 -1 -0xe2fd -0x948b0ce9 -256 -256 -0 -0 -0 -2 -0x1dae -0x1afafe8b -256 -256 -0 -0 -0 -1 -0x6c75 -0x2201261e -256 -256 -0 -0 -0 -3 -0xb43c -0xa2b293d5 -256 -256 -0 -0 -0 -2 -0x2cc9 -0xa64ba733 -256 -256 -0 -0 -0 -4 -0xb2dc -0xe62f19c3 -256 -256 -0 -0 -0 -3 -0xb0e4 -0x22d9d25c -256 -256 -0 -0 -0 -1 -0x52ea -0x44dc947a -256 -256 -0 -0 -0 -1 -0x2ebc -0xac51b83a -256 -256 -1 -0 -0 -4 -0x10ba -0x5aec240c -256 -256 -1 -0 -0 -2 -0x9a20 -0xf32333e7 -256 -256 -1 -0 -0 -1 -0x6e5d -0x2e96411b -256 -256 -1 -0 -0 -4 -0x76f -0x55c8f8a1 -256 -256 -0 -0 -0 -1 -0xaad8 -0x6cc7d92b -256 -256 -0 -0 -0 -3 -0x7caf -0xf430df09 -256 -256 -0 -0 -0 -2 -0x71b9 -0x62ba3e4f -256 -256 -0 -0 -0 -3 -0x5309 -0x28fd955c -256 -256 -1 -0 -0 -1 -0x14e1 -0x91f64a60 -256 -256 -1 -0 -0 -2 -0x3a34 -0xdacc5ef -256 -256 -0 -0 -0 -1 -0xef4 -0x97ee4b23 -256 -256 -1 -0 -0 -1 -0x8460 -0xd2b70319 -256 -256 -1 -0 -0 -4 -0x102e -0x4fa19eee -256 -256 -0 -0 -0 -3 -0xb8cc -0xf4e66dc9 -256 -256 -0 -0 -0 -1 -0x3821 -0x94dddf8b -256 -256 -1 -0 -0 -1 -0xdf11 -0x3b1c2609 -256 -256 -1 -0 -0 -4 -0xa544 -0xbcf45078 -256 -256 -0 -0 -0 -2 -0x7bc -0xe82c8777 -256 -256 -0 -0 -0 -4 -0x6438 -0xe9d04f2d -256 -256 -0 -0 -0 -2 -0x46d7 -0xe99ac9f6 -256 -256 -1 -0 -0 -2 -0xe829 -0x18230341 -256 -256 -1 -0 -0 -4 -0x92e7 -0xbb85b826 -256 -256 -0 -0 -0 -2 -0xc9ad -0x32aa654b -256 -256 -1 -0 -0 -2 -0x5795 -0x126e1ef6 -256 -256 -0 -0 -0 -1 -0x7e82 -0x77993d2e -256 -256 -0 -0 -0 -3 -0x6c60 -0xf896eb84 -256 -256 -1 -0 -0 -1 -0xa5b8 -0xc1f41234 -256 -256 -1 -0 -0 -1 -0x9366 -0xf7d38561 -256 -256 -1 -0 -0 -2 -0x9afc -0x4fafd599 -256 -256 -1 -0 -0 -3 -0xfa70 -0xcabcf074 -256 -256 -1 -0 -0 -3 -0x6879 -0x4faedf03 -256 -256 -0 -0 -0 -2 -0x83ed -0x5bafd971 -256 -256 -0 -0 -0 -4 -0xb3fe -0xd3e41518 -256 -256 -1 -0 -0 -3 -0x20e4 -0xcc78883 -256 -256 -0 -0 -0 -3 -0xb8c5 -0x3f5dbdfa -256 -256 -1 -0 -0 -4 -0x2355 -0x4e5d3476 -256 -256 -1 -0 -0 -4 -0xe057 -0xeb73051f -256 -256 -1 -0 -0 -1 -0xa23 -0x5b5e8532 -256 -256 -0 -0 -0 -4 -0xf799 -0x3fd0a050 -256 -256 -1 -0 -0 -1 -0xc523 -0x4604175c -256 -256 -1 -0 -0 -2 -0x40a2 -0x95968a58 -256 -256 -1 -0 -0 -4 -0xefdd -0x8aa7f84e -256 -256 -1 -0 -0 -2 -0x6928 -0xd6854186 -256 -256 -0 -0 -0 -4 -0x586f -0xcdd144e -256 -256 -0 -0 -0 -1 -0x65a0 -0x47856610 -256 -256 -1 -0 -0 -2 -0x4885 -0x5632203 -256 -256 -1 -0 -0 -4 -0x515f -0x29df0dd8 -256 -256 -0 -0 -0 -2 -0x6c86 -0x33299fbb -256 -256 -0 -0 -0 -2 -0xdd4b -0xf6ef8f2c -256 -256 -1 -0 -0 -2 -0x731b -0x902201ed -256 -256 -1 -0 -0 -1 -0xf0e0 -0x1005c445 -256 -256 -1 -0 -0 -1 -0x4fbb -0xa87d3045 -256 -256 -0 -0 -0 -3 -0x9b1b -0x9983a093 -256 -256 -0 -0 -0 -1 -0x53c8 -0x368d7fd9 -256 -256 -0 -0 -0 -2 -0x5bf5 -0xb2047f1b -256 -256 -1 -0 -0 -4 -0x1885 -0x7e19dd38 -256 -256 -0 -0 -0 -1 -0xf262 -0x7734a10c -256 -256 -1 -0 -0 -1 -0xe3ac -0x66328e40 -256 -256 -0 -0 -0 -2 -0x422 -0x43a7c6c1 -256 -256 -0 -0 -0 -4 -0x15ab -0x5c405973 -256 -256 -0 -0 -0 -4 -0xd238 -0x57b025d1 -256 -256 -1 -0 -0 -2 -0x4fff -0x80ab5778 -256 -256 -1 -0 -0 -1 -0x1754 -0x5327dcd6 -256 -256 -0 -0 -0 -2 -0xfde4 -0x616d37c0 -256 -256 -1 -0 -0 -1 -0x2348 -0x7595f528 -256 -256 -1 -0 -0 -3 -0x62af -0x4a902290 -256 -256 -0 -0 -0 -4 -0xfd56 -0x297bd753 -256 -256 -1 -0 -0 -2 -0xfc60 -0xbdf37d9f -256 -256 -1 -0 -0 -4 -0x95b2 -0x3c8ae141 -256 -256 -0 -0 -0 -3 -0xbe -0x3b8e8a33 -256 -256 -1 -0 -0 -2 -0x629b -0x38b23d0c -256 -256 -0 -0 -0 -3 -0xc124 -0x7b53e106 -256 -256 -0 -0 -0 -4 -0x3e10 -0xf32c2e57 -256 -256 -1 -0 -0 -2 -0xd48 -0x3cdf3a0c -256 -256 -1 -0 -0 -2 -0xa46 -0xa69c44cd -256 -256 -1 -0 -0 -4 -0x1dd -0xf33eab40 -256 -256 -0 -0 -0 -3 -0xf65c -0x81c2d58a -256 -256 -0 -0 -0 -3 -0xde2d -0x1a11a41d -256 -256 -0 -0 -0 -4 -0xc91 -0xd73bbb13 -256 -256 -1 -0 -0 -2 -0x33c3 -0x49582860 -256 -256 -1 -0 -0 -3 -0x969f -0xd45297ec -256 -256 -1 -0 -0 -2 -0xfd02 -0xbd13c790 -256 -256 -0 -0 -0 -2 -0x9237 -0x84b068fe -256 -256 -1 -0 -0 -4 -0xca9a -0xd6461e24 -256 -256 -0 -0 -0 -2 -0xc5a -0x1d239f2a -256 -256 -1 -0 -0 -4 -0x2816 -0xbbd9ae7a -256 -256 -0 -0 -0 -1 -0x41ac -0x1c86544c -256 -256 -0 -0 -0 -4 -0x8dd3 -0x22921ef1 -256 -256 -0 -0 -0 -3 -0xd0b4 -0x87c48701 -256 -256 -0 -0 -0 -3 -0xedac -0x5bcc4a40 -256 -256 -0 -0 -0 -1 -0x5541 -0xd99934de -256 -256 -1 -0 -0 -2 -0x4934 -0xb73493e1 -256 -256 -1 -0 -0 -2 -0x9e1d -0xd798b33d -256 -256 -1 -0 -0 -4 -0x432b -0xb1c88983 -256 -256 -0 -0 -0 -2 -0x53cb -0x2d0dd6f4 -256 -256 -0 -0 -0 -3 -0x9e2 -0xe5b2349a -256 -256 -0 -0 -0 -2 -0x4297 -0xa3f2b976 -256 -256 -0 -0 -0 -2 -0x1960 -0xc98ae1ea -256 -256 -1 -0 -0 -2 -0x2b3d -0x3962aaef -256 -256 -0 -0 -0 -1 -0xa8fb -0x399a87a9 -256 -256 -0 -0 -0 -2 -0xd9e8 -0x8fbf7c9b -256 -256 -1 -0 -0 -2 -0xf7a6 -0xafe70134 -256 -256 -1 -0 -0 -1 -0x2282 -0xdb60277c -256 -256 -1 -0 -0 -2 -0xda3f -0x3fb34ec6 -256 -256 -1 -0 -0 -3 -0xfa82 -0xdf89889e -256 -256 -0 -0 -0 -2 -0x3523 -0xf7784b51 -256 -256 -0 -0 -0 -3 -0x97b7 -0x2bce850d -256 -256 -0 -0 -0 -4 -0x9b -0x866bf750 -256 -256 -0 -0 -0 -2 -0x272b -0x5a587eab -256 -256 -1 -0 -0 -2 -0x1318 -0xcc5293ab -256 -256 -1 -0 -0 -1 -0x6b9a -0x8c7f6b2d -256 -256 -0 -0 -0 -2 -0xa9de -0x1a21e3cf -256 -256 -1 -0 -0 -1 -0xe7ba -0xd534c6d1 -256 -256 -0 -0 -0 -4 -0x4ef0 -0x15c48e9c -256 -256 -1 -0 -0 -3 -0x478e -0xb5bac8da -256 -256 -0 -0 -0 -3 -0x6405 -0x36c5aa34 -256 -256 -0 -0 -0 -3 -0xd268 -0xb3737859 -256 -256 -1 -0 -0 -1 -0xedd4 -0x591d7e13 -256 -256 -0 -0 -0 -4 -0x5cbe -0xd7f7134f -256 -256 -1 -0 -0 -4 -0x2367 -0x41102add -256 -256 -0 -0 -0 -2 -0x313b -0x35ebcf31 -256 -256 -0 -0 -0 -4 -0x9a4d -0x7e3bb5f9 -256 -256 -1 -0 -0 -3 -0xaaab -0xa24e9770 -256 -256 -0 -0 -0 -3 -0x8054 -0x12cae28f -256 -256 -1 -0 -0 -1 -0x2530 -0xdf150ee5 -256 -256 -1 -0 -0 -4 -0xdf16 -0xf4c60e30 -256 -256 -0 -0 -0 -2 -0x2bf4 -0xd83106b0 -256 -256 -0 -0 -0 -1 -0x7c5 -0x1f6e483c -256 -256 -0 -0 -0 -2 -0x3c36 -0xf010022d -256 -256 -0 -0 -0 -4 -0x9192 -0x4ae2115e -256 -256 -0 -0 -0 -4 -0xeefb -0x8ca4a69 -256 -256 -0 -0 -0 -3 -0xe407 -0xa3c770d -256 -256 -0 -0 -0 -4 -0xc479 -0x7632b290 -256 -256 -0 -0 -0 -4 -0xac1e -0xdc8014d5 -256 -256 -0 -0 -0 -3 -0x45f5 -0xc7fe65f0 -256 -256 -1 -0 -0 -2 -0xc672 -0xb187d842 -256 -256 -0 -0 -0 -3 -0xc040 -0x820a72d5 -256 -256 -0 -0 -0 -4 -0xffcf -0x70a37274 -256 -256 -0 -0 -0 -3 -0xfe3d -0xbfec4c4a -256 -256 -0 -0 -0 -1 -0x49bf -0x548b580d -256 -256 -1 -0 -0 -3 -0x7d0 -0x376e2211 -256 -256 -1 -0 -0 -3 -0x3013 -0x99bc6f4b -256 -256 -0 -0 -0 -3 -0xb628 -0xdcadfc2c -256 -256 -0 -0 -0 -2 -0x44ce -0xb655934e -256 -256 -1 -0 -0 -1 -0x6d52 -0x5f2da829 -256 -256 -0 -0 -0 -4 -0x327d -0x56097181 -256 -256 -1 -0 -0 -1 -0x271c -0x9ce9da18 -256 -256 -0 -0 -0 -1 -0xb979 -0x5607a811 -256 -256 -1 -0 -0 -2 -0xde09 -0x7b420183 -256 -256 -0 -0 -0 -1 -0xfccb -0xb9c10334 -256 -256 -0 -0 -0 -2 -0x4bac -0x1cb434cb -256 -256 -1 -0 -0 -1 -0x40f5 -0x320bdf83 -256 -256 -0 -0 -0 -3 -0x9ccf -0x99da2299 -256 -256 -1 -0 -0 -4 -0xb23f -0x3942cc6 -256 -256 -1 -0 -0 -1 -0xfc62 -0x3819dcd4 -256 -256 -1 -0 -0 -1 -0x7a8b -0x6116f1cb -256 -256 -0 -0 -0 -2 -0x39ef -0x6f75f70c -256 -256 -1 -0 -0 -2 -0x826f -0x947286af -256 -256 -1 -0 -0 -1 -0xa5c8 -0x16f83a40 -256 -256 -1 -0 -0 -3 -0x6fda -0x9c1f4504 -256 -256 -0 -0 -0 -1 -0xf0bf -0x6291f496 -256 -256 -1 -0 -0 -3 -0x4799 -0xe8b7aa7d -256 -256 -1 -0 -0 -4 -0x1981 -0xab2e47dc -256 -256 -0 -0 -0 -1 -0x94f4 -0x4e080f0d -256 -256 -0 -0 -0 -1 -0xc954 -0xc3d96940 -256 -256 -1 -0 -0 -4 -0x9b36 -0x40eda1f8 -256 -256 -1 -0 -0 -4 -0xc868 -0xa8794eaf -256 -256 -1 -0 -0 -2 -0xce61 -0x76d9aed6 -256 -256 -0 -0 -0 -4 -0xba95 -0x95768bd3 -256 -256 -1 -0 -0 -2 -0x1ab3 -0x344a665e -256 -256 -0 -0 -0 -2 -0x337e -0x133388b5 -256 -256 -0 -0 -0 -3 -0x8577 -0x5c32b68e -256 -256 -0 -0 -0 -4 -0xd27e -0xc9424d14 -256 -256 -1 -0 -0 -1 -0xec0 -0x1b536d1 -256 -256 -0 -0 -0 -4 -0xa8c4 -0xd562ccc9 -256 -256 -0 -0 -0 -4 -0xb98f -0x9a682ff9 -256 -256 -1 -0 -0 -3 -0xef01 -0x639faf7b -256 -256 -1 -0 -0 -1 -0x60a6 -0xa868d072 -256 -256 -0 -0 -0 -4 -0x3f49 -0xc703fc5 -256 -256 -0 -0 -0 -4 -0xc8ef -0xb4e51d7d -256 -256 -1 -0 -0 -3 -0x19fc -0x1302632 -256 -256 -1 -0 -0 -3 -0xb577 -0xa83be42d -256 -256 -0 -0 -0 -4 -0xb1c4 -0x333f71e4 -256 -256 -0 -0 -0 -1 -0x14a9 -0xfdcbbc43 -256 -256 -0 -0 -0 -3 -0xd704 -0x3963fad -256 -256 -1 -0 -0 -1 -0xb647 -0x43f99911 -256 -256 -1 -0 -0 -3 -0xea40 -0x3439e042 -256 -256 -1 -0 -0 -2 -0x44f7 -0xd9dc15fc -256 -256 -1 -0 -0 -2 -0x5b98 -0xd430c001 -256 -256 -1 -0 -0 -1 -0x23f2 -0xfb302709 -256 -256 -0 -0 -0 -1 -0x6925 -0x2eb0a662 -256 -256 -0 -0 -0 -1 -0x758d -0x59806f96 -256 -256 -1 -0 -0 -1 -0x1f6e -0x8b839980 -256 -256 -1 -0 -0 -1 -0x48cd -0x4cabc23b -256 -256 -1 -0 -0 -1 -0xa118 -0xec828ff5 -256 -256 -1 -0 -0 -1 -0x4d7 -0xcf114c3d -256 -256 -0 -0 -0 -4 -0x7c18 -0xa0b3041e -256 -256 -0 -0 -0 -1 -0xdb66 -0x67415d3c -256 -256 -0 -0 -0 -4 -0x295c -0xff3a7e30 -256 -256 -0 -0 -0 -2 -0x867f -0xfe888e15 -256 -256 -0 -0 -0 -3 -0x5d45 -0x23e481a4 -256 -256 -0 -0 -0 -3 -0x817 -0x29bca5db -256 -256 -1 -0 -0 -1 -0x39b6 -0xce57ff90 -256 -256 -0 -0 -0 -3 -0xd43f -0x83d0150f -256 -256 -1 -0 -0 -4 -0x51f6 -0x17785fd6 -256 -256 -0 -0 -0 -1 -0x7ea5 -0x27464bca -256 -256 -1 -0 -0 -2 -0x53e0 -0x67279aae -256 -256 -0 -0 -0 -2 -0x460 -0x1428f9db -256 -256 -1 -0 -0 -3 -0x2ae5 -0x215dc308 -256 -256 -1 -0 -0 -1 -0xf3e3 -0xe322ef39 -256 -256 -1 -0 -0 -1 -0x50fc -0x226af9 -256 -256 -1 -0 -0 -2 -0x93f9 -0x513e5035 -256 -256 -0 -0 -0 -1 -0xc7fc -0x458107c0 -256 -256 -1 -0 -0 -3 -0x2854 -0xb6ef08af -256 -256 -0 -0 -0 -4 -0xfd13 -0xd657d874 -256 -256 -1 -0 -0 -3 -0x1f36 -0xa9960de7 -256 -256 -1 -0 -0 -1 -0x520e -0xedaa62b6 -256 -256 -1 -0 -0 -4 -0x86de -0x47e1274d -256 -256 -1 -0 -0 -3 -0x43c8 -0x6ee9610c -256 -256 -0 -0 -0 -2 -0xc4da -0x76b56c36 -256 -256 -1 -0 -0 -4 -0x76e6 -0xd0813480 -256 -256 -0 -0 -0 -3 -0x7a47 -0x27372dd9 -256 -256 -0 -0 -0 -4 -0x9cd6 -0x6d245a1f -256 -256 -0 -0 -0 -1 -0xd166 -0x5b2f0d56 -256 -256 -1 -0 -0 -4 -0x6964 -0x62950ed -256 -256 -0 -0 -0 -1 -0x6169 -0xa25f6ee1 -256 -256 -1 -0 -0 -3 -0xeac8 -0x62d048ec -256 -256 -0 -0 -0 -3 -0x9813 -0x13091b2c -256 -256 -1 -0 -0 -1 -0x5c20 -0x7d3b1c7d -256 -256 -1 -0 -0 -1 -0xcfba -0xd8380257 -256 -256 -1 -0 -0 -4 -0x4313 -0x671b1c1a -256 -256 -0 -0 -0 -2 -0xdaa0 -0xad9a9a76 -256 -256 -1 -0 -0 -3 -0xd3d2 -0x3e4e8916 -256 -256 -1 -0 -0 -1 -0x457e -0xaa8aaccc -256 -256 -0 -0 -0 -1 -0x4dc3 -0x31175629 -256 -256 -1 -0 -0 -1 -0xfde4 -0x108afe85 -256 -256 -0 -0 -0 -4 -0xe33c -0xb4e87cc3 -256 -256 -0 -0 -0 -2 -0xbaf6 -0x71239447 -256 -256 -1 -0 -0 -3 -0x4fe2 -0x405569f4 -256 -256 -1 -0 -0 -4 -0x66bb -0x3fb21a1f -256 -256 -0 -0 -0 -4 -0xf096 -0x4d11620c -256 -256 -1 -0 -0 -1 -0x7dfe -0x2407c1e7 -256 -256 -0 -0 -0 -4 -0xaef7 -0x6107619c -256 -256 -1 -0 -0 -3 -0x4923 -0x904ab626 -256 -256 -1 -0 -0 -2 -0xc70a -0xc036e4e5 -256 -256 -1 -0 -0 -2 -0xd00 -0x7a6bb513 -256 -256 -1 -0 -0 -1 -0xacf1 -0x492203c2 -256 -256 -0 -0 -0 -4 -0xbc1a -0x2e6f6bcd -256 -256 -0 -0 -0 -1 -0x718e -0xb987a97d -256 -256 -0 -0 -0 -2 -0x894a -0x7ca12cf3 -256 -256 -1 -0 -0 -4 -0x2b97 -0x4abc308c -256 -256 -1 -0 -0 -2 -0x230b -0x8c0c2592 -256 -256 -0 -0 -0 -4 -0xdc95 -0x4ab84b9e -256 -256 -1 -0 -0 -1 -0x9288 -0xf85b83fe -256 -256 -0 -0 -0 -2 -0xcd74 -0xaa77dbc1 -256 -256 -1 -0 -0 -1 -0x842 -0x51a4ed4d -256 -256 -1 -0 -0 -4 -0xc846 -0x59ad3357 -256 -256 -0 -0 -0 -1 -0x9009 -0xdd9801b7 -256 -256 -0 -0 -0 -2 -0x5e60 -0x66d9e57f -256 -256 -1 -0 -0 -1 -0x1ec1 -0x277f22b8 -256 -256 -1 -0 -0 -4 -0x7e2d -0x8959cc99 -256 -256 -1 -0 -0 -2 -0xa94 -0xc14cb03d -256 -256 -0 -0 -0 -2 -0x7a81 -0x9750051 -256 -256 -0 -0 -0 -4 -0x852e -0xe953e971 -256 -256 -1 -0 -0 -3 -0xaf8f -0x70971f06 -256 -256 -1 -0 -0 -2 -0x49e2 -0xea5c18cd -256 -256 -1 -0 -0 -2 -0x8bd7 -0xa1954425 -256 -256 -1 -0 -0 -2 -0x6e53 -0x2856804b -256 -256 -1 -0 -0 -1 -0x2ede -0x3777f32d -256 -256 -1 -0 -0 -4 -0xd6eb -0x21d85880 -256 -256 -0 -0 -0 -3 -0x662c -0x26ffa020 -256 -256 -0 -0 -0 -3 -0xe806 -0xb034b8fa -256 -256 -0 -0 -0 -2 -0xdac5 -0x8bd855fd -256 -256 -0 -0 -0 -4 -0x6dde -0xf29e76c6 -256 -256 -1 -0 -0 -2 -0xe4c7 -0x8f708d02 -256 -256 -0 -0 -0 -1 -0x64a6 -0x27486a5a -256 -256 -1 -0 -0 -4 -0x346c -0x410720d4 -256 -256 -1 -0 -0 -4 -0x89e8 -0xa30e0bf1 -256 -256 -0 -0 -0 -1 -0x5da3 -0x386414f1 -256 -256 -0 -0 -0 -3 -0xd660 -0x44b81f5c -256 -256 -0 -0 -0 -2 -0xd1d3 -0x607ab8dd -256 -256 -0 -0 -0 -4 -0xbd83 -0x2d1df226 -256 -256 -0 -0 -0 -1 -0x9f2f -0xe8aa13c3 -256 -256 -0 -0 -0 -3 -0x17fb -0x8f38ae65 -256 -256 -1 -0 -0 -2 -0x50bb -0x5cc8f325 -256 -256 -0 -0 -0 -1 -0x219e -0x823481f5 -256 -256 -1 -0 -0 -1 -0x18ee -0x5bb7841e -256 -256 -0 -0 -0 -4 -0xcaf5 -0xd6c6651f -256 -256 -0 -0 -0 -2 -0x4723 -0x3947b8e3 -256 -256 -1 -0 -0 -4 -0x3c2 -0x64627787 -256 -256 -0 -0 -0 -2 -0x7bd9 -0xabd13f3d -256 -256 -0 -0 -0 -2 -0x2bb5 -0x4bbb825b -256 -256 -0 -0 -0 -1 -0x8977 -0x6a410903 -256 -256 -0 -0 -0 -3 -0x41ee -0xc5fafe53 -256 -256 -1 -0 -0 -2 -0x589f -0xafce9486 -256 -256 -1 -0 -0 -1 -0x134d -0xdb40b43e -256 -256 -1 -0 -0 -3 -0x6dce -0x732e7bc0 -256 -256 -1 -0 -0 -1 -0x6f51 -0xe5818b69 -256 -256 -1 -0 -0 -4 -0xae44 -0x7e8bddd9 -256 -256 -0 -0 -0 -2 -0x2367 -0xe18a51c6 -256 -256 -1 -0 -0 -3 -0x3dbb -0xd037d25 -256 -256 -1 -0 -0 -2 -0x2d3f -0xce13244a -256 -256 -0 -0 -0 -3 -0x6aa9 -0xe0935af0 -256 -256 -1 -0 -0 -2 -0x2a56 -0xbfa03858 -256 -256 -0 -0 -0 -4 -0xf3a7 -0x39dda40 -256 -256 -0 -0 -0 -2 -0x60d5 -0xe68fb274 -256 -256 -1 -0 -0 -3 -0xb199 -0xcf56a3c9 -256 -256 -0 -0 -0 -2 -0xca8b -0x21aa97d8 -256 -256 -1 -0 -0 -2 -0x9f15 -0xf90a2c90 -256 -256 -1 -0 -0 -3 -0x9936 -0x3c835786 -256 -256 -1 -0 -0 -3 -0xeabb -0xc09dbcd -256 -256 -0 -0 -0 -2 -0xeb06 -0x2c25a4e -256 -256 -0 -0 -0 -4 -0xcf9d -0x94fe5f2 -256 -256 -0 -0 -0 -2 -0xc916 -0xd26c4353 -256 -256 -1 -0 -0 -2 -0xf71f -0x60783892 -256 -256 -0 -0 -0 -2 -0xe60e -0x201cdc72 -256 -256 -1 -0 -0 -4 -0xcf51 -0x57d2b055 -256 -256 -0 -0 -0 -3 -0x4da8 -0x455d8607 -256 -256 -1 -0 -0 -4 -0x493d -0x6984ce9d -256 -256 -1 -0 -0 -1 -0x51f3 -0x9f71059a -256 -256 -0 -0 -0 -3 -0xc7e5 -0x55e64703 -256 -256 -1 -0 -0 -2 -0x8016 -0xf0203d66 -256 -256 -0 -0 -0 -4 -0x3bb6 -0x1353db77 -256 -256 -0 -0 -0 -4 -0xca50 -0x393cf1ae -256 -256 -1 -0 -0 -1 -0xc768 -0xd8992438 -256 -256 -0 -0 -0 -1 -0xda2b -0xe46eb2c5 -256 -256 -1 -0 -0 -4 -0x84a -0xb2e26b17 -256 -256 -0 -0 -0 -4 -0x191b -0x15546cb4 -256 -256 -0 -0 -0 -3 -0x692f -0x23be9aee -256 -256 -0 -0 -0 -3 -0x5809 -0x8188f65d -256 -256 -0 -0 -0 -3 -0x89ea -0x78e774b3 -256 -256 -1 -0 -0 -2 -0xd46 -0x10901de9 -256 -256 -1 -0 -0 -4 -0xc112 -0x20b85dd4 -256 -256 -1 -0 -0 -4 -0xe68e -0xd2098950 -256 -256 -0 -0 -0 -4 -0xab49 -0xd346e99b -256 -256 -1 -0 -0 -1 -0x4d2a -0x119b6dad -256 -256 -0 -0 -0 -2 -0x79d8 -0xac48a989 -256 -256 -1 -0 -0 -4 -0x5e94 -0x5331ab3b -256 -256 -1 -0 -0 -1 -0x24ff -0xd26ad60d -256 -256 -0 -0 -0 -1 -0xb0b -0xfc23935 -256 -256 -1 -0 -0 -4 -0xda05 -0xb37081e2 -256 -256 -0 -0 -0 -1 -0x995f -0xa4b54f62 -256 -256 -1 -0 -0 -1 -0xc1f -0x5f164d94 -256 -256 -1 -0 -0 -3 -0xe073 -0x152f0c2b -256 -256 -1 -0 -0 -2 -0x6cc4 -0x8cf44673 -256 -256 -0 -0 -0 -3 -0x7af4 -0xc36f6dca -256 -256 -1 -0 -0 -2 -0x8e46 -0x3a8fbdb1 -256 -256 -1 -0 -0 -4 -0xa0b0 -0x785930cd -256 -256 -1 -0 -0 -4 -0xd8f7 -0xd804a227 -256 -256 -1 -0 -0 -3 -0x7e74 -0xd620a2ba -256 -256 -1 -0 -0 -1 -0x4c1f -0x737307fd -256 -256 -1 -0 -0 -3 -0xe549 -0xf42bf20f -256 -256 -0 -0 -0 -4 -0xd078 -0x5250ac95 -256 -256 -0 -0 -0 -2 -0x9b3b -0xb9803799 -256 -256 -0 -0 -0 -4 -0xdfc1 -0xaea29d1e -256 -256 -0 -0 -0 -2 -0x6ea1 -0x68d9baf0 -256 -256 -1 -0 -0 -2 -0x84f8 -0xfaffd176 -256 -256 -0 -0 -0 -3 -0x535d -0x928af360 -256 -256 -0 -0 -0 -1 -0xcf19 -0x5a4a7ac5 -256 -256 -1 -0 -0 -1 -0xad03 -0x6044f54a -256 -256 -1 -0 -0 -2 -0x15d9 -0xf8430a7c -256 -256 -0 -0 -0 -4 -0x224 -0xdcc124ea -256 -256 -0 -0 -0 -4 -0xc1bf -0x6bee648c -256 -256 -0 -0 -0 -3 -0x2795 -0x4d169196 -256 -256 -0 -0 -0 -2 -0x4a54 -0xa49e7368 -256 -256 -1 -0 -0 -3 -0x440c -0x232d30da -256 -256 -0 -0 -0 -2 -0x3ace -0xd4c26344 -256 -256 -0 -0 -0 -1 -0xcebc -0x91578b87 -256 -256 -1 -0 -0 -2 -0x695e -0x8725132e -256 -256 -0 -0 -0 -3 -0x86b4 -0xf6d5ec30 -256 -256 -1 -0 -0 -2 -0xe043 -0xd687888a -256 -256 -0 -0 -0 -3 -0xea36 -0xb4750e4b -256 -256 -1 -0 -0 -2 -0x1ba4 -0x995542c8 -256 -256 -1 -0 -0 -1 -0x969f -0x50dde497 -256 -256 -1 -0 -0 -3 -0x109c -0xd7e12467 -256 -256 -0 -0 -0 -1 -0x20e9 -0x56289a23 -256 -256 -1 -0 -0 -1 -0x373b -0x709b8748 -256 -256 -0 -0 -0 -3 -0x6fec -0x5be3c9de -256 -256 -0 -0 -0 -2 -0x6283 -0x18565265 -256 -256 -0 -0 -0 -3 -0x23c4 -0xffe9b3eb -256 -256 -1 -0 -0 -3 -0xfe00 -0x88731b34 -256 -256 -0 -0 -0 -2 -0x50a -0xfe77db06 -256 -256 -0 -0 -0 -4 -0x7f48 -0xc2baa9f -256 -256 -1 -0 -0 -2 -0x5ca4 -0xc7c95dbb -256 -256 -1 -0 -0 -3 -0x1a3c -0x95d66a83 -256 -256 -0 -0 -0 -2 -0x8e05 -0x6d72b915 -256 -256 -0 -0 -0 -1 -0xfaf5 -0xcef17997 -256 -256 -0 -0 -0 -4 -0x5b5e -0xa432c9a7 -256 -256 -0 -0 -0 -1 -0xdc84 -0x67ef789c -256 -256 -1 -0 -0 -2 -0x971c -0xa2efcc85 -256 -256 -0 -0 -0 -2 -0x8158 -0xbddb4d69 -256 -256 -1 -0 -0 -3 -0xc12 -0x1dec21f8 -256 -256 -1 -0 -0 -3 -0xc05b -0x2a759d99 -256 -256 -1 -0 -0 -3 -0x1978 -0x4cfe032d -256 -256 -0 -0 -0 -2 -0x6274 -0xc102aaa -256 -256 -0 -0 -0 -2 -0xdb8f -0x267ee9e7 -256 -256 -0 -0 -0 -1 -0xd810 -0xfb158373 -256 -256 -0 -0 -0 -1 -0x2160 -0x7230b236 -256 -256 -0 -0 -0 -2 -0x9583 -0x7b40e631 -256 -256 -1 -0 -0 -4 -0x4d6b -0x5de700c9 -256 -256 -0 -0 -0 -2 -0xb6c7 -0x8cad4086 -256 -256 -0 -0 -0 -1 -0xec8c -0xcb97fabf -256 -256 -0 -0 -0 -1 -0xd8f8 -0xaec2ef56 -256 -256 -1 -0 -0 -1 -0x823c -0x9064dcf2 -256 -256 -1 -0 -0 -4 -0x647d -0xbf861e75 -256 -256 -0 -0 -0 -2 -0xf219 -0xe3620832 -256 -256 -0 -0 -0 -4 -0x225e -0x88e6a6 -256 -256 -1 -0 -0 -3 -0xac79 -0x2b0dbe74 -256 -256 -0 -0 -0 -2 -0x7ed2 -0xf373adc -256 -256 -1 -0 -0 -3 -0xfdb8 -0x4f221e60 -256 -256 -1 -0 -0 -4 -0xa2b7 -0x1fb090fc -256 -256 -0 -0 -0 -2 -0x73b0 -0x7975a9fb -256 -256 -1 -0 -0 -3 -0x7092 -0x878d84c7 -256 -256 -0 -0 -0 -4 -0x79a8 -0x12dcb10d -256 -256 -1 -0 -0 -1 -0x5dbd -0xf54d0858 -256 -256 -1 -0 -0 -4 -0x5ce5 -0x96f3a80a -256 -256 -1 -0 -0 -1 -0xc033 -0x93048975 -256 -256 -0 -0 -0 -3 -0xb4fd -0x734d8591 -256 -256 -0 -0 -0 -1 -0x6339 -0x1fab7f09 -256 -256 -1 -0 -0 -3 -0x7ebc -0xf88a4a31 -256 -256 -0 -0 -0 -1 -0x7d70 -0x549469a1 -256 -256 -1 -0 -0 -2 -0xfa38 -0x2c946971 -256 -256 -1 -0 -0 -3 -0x8080 -0xa201c5f6 -256 -256 -1 -0 -0 -4 -0xe31d -0xa53a2dc -256 -256 -1 -0 -0 -1 -0xf87e -0x68f0e45b -256 -256 -1 -0 -0 -2 -0x8806 -0x18463e7b -256 -256 -1 -0 -0 -3 -0x35d3 -0x4c76efa5 -256 -256 -0 -0 -0 -2 -0xd53f -0xd1eebf86 -256 -256 -0 -0 -0 -3 -0x3277 -0x2fb59859 -256 -256 -1 -0 -0 -4 -0x7030 -0x5130062f -256 -256 -1 -0 -0 -1 -0xe750 -0xe82b9312 -256 -256 -1 -0 -0 -1 -0x87f5 -0x200cdaf4 -256 -256 -1 -0 -0 -2 -0x1bb9 -0x33dc29fd -256 -256 -0 -0 -0 -2 -0x7e37 -0x1aa09481 -256 -256 -0 -0 -0 -3 -0xfc34 -0xc025cc4c -256 -256 -1 -0 -0 -2 -0xa04 -0x746c4197 -256 -256 -1 -0 -0 -4 -0x1af1 -0x42bab0fc -256 -256 -0 -0 -0 -2 -0x4cf0 -0x8a550b20 -256 -256 -0 -0 -0 -2 -0xe62c -0x959946dc -256 -256 -0 -0 -0 -4 -0xa2ce -0xe4f86c9c -256 -256 -1 -0 -0 -2 -0x4524 -0x84b709ae -256 -256 -1 -0 -0 -1 -0xf794 -0xd61d6995 -256 -256 -0 -0 -0 -3 -0x8f13 -0xf7f271b -256 -256 -1 -0 -0 -4 -0xd792 -0xffaacc39 -256 -256 -0 -0 -0 -2 -0x4c73 -0xfb4251c4 -256 -256 -1 -0 -0 -1 -0x915f -0xf6217b7d -256 -256 -1 -0 -0 -2 -0x3f50 -0xf10564c8 -256 -256 -0 -0 -0 -2 -0xe287 -0x1cef96a7 -256 -256 -1 -0 -0 -3 -0xbe04 -0xb7b9f8fb -256 -256 -0 -0 -0 -3 -0xc841 -0x68ae8498 -256 -256 -1 -0 -0 -4 -0x195f -0x3074fe61 -256 -256 -0 -0 -0 -4 -0xf4df -0x7f0f1970 -256 -256 -0 -0 -0 -4 -0xa6f9 -0x8d762da5 -256 -256 -1 -0 -0 -1 -0xe079 -0xb300e75b -256 -256 -1 -0 -0 -1 -0xb5a4 -0x3a83e844 -256 -256 -1 -0 -0 -4 -0xf1f4 -0xb0f02425 -256 -256 -0 -0 -0 -2 -0x1c56 -0x144766d2 -256 -256 -1 -0 -0 -4 -0x532d -0xac1a15e6 -256 -256 -1 -0 -0 -1 -0x9d4e -0x9e91e33c -256 -256 -0 -0 -0 -3 -0xf435 -0x5e827d23 -256 -256 -0 -0 -0 -3 -0xb22a -0x12a13d1d -256 -256 -1 -0 -0 -3 -0x65e3 -0xac5b9cd6 -256 -256 -0 -0 -0 -1 -0x70e9 -0x499a5918 -256 -256 -1 -0 -0 -2 -0x8b97 -0x7074e95b -256 -256 -1 -0 -0 -4 -0xc498 -0xbc323b6e -256 -256 -1 -0 -0 -3 -0xfbcd -0x26607c35 -256 -256 -0 -0 -0 -4 -0x1b0d -0x84fb4d -256 -256 -1 -0 -0 -1 -0xf0f6 -0x26ffc189 -256 -256 -1 -0 -0 -2 -0x1784 -0xf4edd943 -256 -256 -0 -0 -0 -2 -0xae91 -0x6ca89139 -256 -256 -1 -0 -0 -2 -0xac04 -0xb0f20eb0 -256 -256 -0 -0 -0 -1 -0x90f6 -0x859e13ab -256 -256 -0 -0 -0 -1 -0xd3d -0x75957c3b -256 -256 -1 -0 -0 -2 -0x431f -0xb88bed2f -256 -256 -1 -0 -0 -1 -0x84e3 -0x9c0985f9 -256 -256 -0 -0 -0 -4 -0xb859 -0x2a7e9a4e -256 -256 -0 -0 -0 -2 -0x953f -0x3dfcced6 -256 -256 -1 -0 -0 -1 -0xc2fa -0x8548b8d5 -256 -256 -1 -0 -0 -1 -0xab4 -0xb581e750 -256 -256 -1 -0 -0 -1 -0xa8cb -0x2f44db72 -256 -256 -1 -0 -0 -4 -0xe3de -0x89de9560 -256 -256 -1 -0 -0 -3 -0xea28 -0x7efc19f0 -256 -256 -0 -0 -0 -1 -0x5b03 -0x414fe05a -256 -256 -1 -0 -0 -1 -0x2b8b -0x9450284c -256 -256 -0 -0 -0 -4 -0x2c40 -0xd77af4d8 -256 -256 -1 -0 -0 -1 -0xa8 -0x3f462e25 -256 -256 -0 -0 -0 -3 -0x1c28 -0x218dc5aa -256 -256 -0 -0 -0 -3 -0x7e4c -0x9ac555f7 -256 -256 -0 -0 -0 -1 -0x465 -0x914bf088 -256 -256 -0 -0 -0 -2 -0xee4e -0x63452a81 -256 -256 -0 -0 -0 -3 -0x8dad -0xc8e9efdf -256 -256 -1 -0 -0 -2 -0x6941 -0xb1e682fe -256 -256 -1 -0 -0 -4 -0x469b -0x2a722b31 -256 -256 -0 -0 -0 -4 -0x9df1 -0x46de0d39 -256 -256 -1 -0 -0 -2 -0xa8f1 -0x70dbc9e0 -256 -256 -0 -0 -0 -2 -0x4c36 -0x847935a4 -256 -256 -1 -0 -0 -4 -0x4786 -0xfba56f5 -256 -256 -0 -0 -0 -4 -0x7f12 -0x93b46b9e -256 -256 -0 -0 -0 -1 -0x63f4 -0x130f476f -256 -256 -0 -0 -0 -4 -0x9523 -0xef04ca22 -256 -256 -1 -0 -0 -1 -0xb5b5 -0x2b1cfe63 -256 -256 -1 -0 -0 -3 -0x6c51 -0x57b14b75 -256 -256 -0 -0 -0 -2 -0xe3e8 -0xc0188272 -256 -256 -0 -0 -0 -3 -0xec7c -0x5f5e1432 -256 -256 -1 -0 -0 -1 -0x2e92 -0x42d4f555 -256 -256 -1 -0 -0 -3 -0x99c1 -0x1506134f -256 -256 -1 -0 -0 -4 -0x3fe3 -0xcb8affb6 -256 -256 -1 -0 -0 -2 -0xc549 -0x8a87789b -256 -256 -1 -0 -0 -4 -0x2cc0 -0x9078c298 -256 -256 -1 -0 -0 -1 -0x480f -0x9af4ab53 -256 -256 -1 -0 -0 -1 -0xde84 -0xef9b3722 -256 -256 -1 -0 -0 -2 -0x36fa -0x846ac716 -256 -256 -1 -0 -0 -2 -0x397 -0x5e20e410 -256 -256 -0 -0 -0 -3 -0x574 -0xc5ceec05 -256 -256 -1 -0 -0 -3 -0x5909 -0x6f0f76fb -256 -256 -0 -0 -0 -2 -0xdb0e -0xd7d4cf0d -256 -256 -1 -0 -0 -4 -0xd7ca -0xa7deb869 -256 -256 -0 -0 -0 -4 -0x922c -0x3d9bc81e -256 -256 -1 -0 -0 -1 -0xc597 -0xa72a010a -256 -256 -1 -0 -0 -1 -0x1bdc -0x1fd9051c -256 -256 -1 -0 -0 -2 -0x8f26 -0x3eb3b492 -256 -256 -0 -0 -0 -1 -0xa664 -0x1cfd2339 -256 -256 -0 -0 -0 -3 -0x6ab4 -0x3ffcb001 -256 -256 -0 -0 -0 -3 -0x3951 -0x1a807d82 -256 -256 -1 -0 -0 -2 -0x9520 -0x9b1442a3 -256 -256 -1 -0 -0 -2 -0x7b33 -0x901dcd3b -256 -256 -1 -0 -0 -2 -0x5ae9 -0x9a43fb5f -256 -256 -0 -0 -0 -4 -0x6407 -0x50371b1a -256 -256 -1 -0 -0 -2 -0xdf94 -0x1090ded2 -256 -256 -1 -0 -0 -4 -0xe56a -0x403f28f4 -256 -256 -1 -0 -0 -3 -0x2473 -0x677e06c6 -256 -256 -1 -0 -0 -3 -0x9c3c -0x14bde276 -256 -256 -1 -0 -0 -2 -0x9ec7 -0x7057f79 -256 -256 -0 -0 -0 -3 -0xbc98 -0xc534ce94 -256 -256 -1 -0 -0 -2 -0x5285 -0xf185f9e2 -256 -256 -0 -0 -0 -1 -0xbe87 -0x25fdf80 -256 -256 -0 -0 -0 -3 -0x711e -0xf73eab75 -256 -256 -1 -0 -0 -2 -0x1c8a -0xac4af069 -256 -256 -0 -0 -0 -1 -0x9236 -0x942ecc5f -256 -256 -0 -0 -0 -3 -0x8b46 -0xf660d7cc -256 -256 -0 -0 -0 -3 -0x926c -0x14f2ca3d -256 -256 -1 -0 -0 -2 -0xc107 -0x4f6cc947 -256 -256 -0 -0 -0 -2 -0x9e34 -0x7fb4deb7 -256 -256 -1 -0 -0 -2 -0xbed9 -0x5dffefed -256 -256 -0 -0 -0 -2 -0x610b -0x7dbf1989 -256 -256 -1 -0 -0 -4 -0x5347 -0x5b33bd21 -256 -256 -0 -0 -0 -1 -0xde2a -0x40b5f874 -256 -256 -1 -0 -0 -1 -0x8353 -0x25e689e6 -256 -256 -1 -0 -0 -3 -0xa6b9 -0x61d8891e -256 -256 -0 -0 -0 -3 -0xcf1e -0x9210f9fd -256 -256 -1 -0 -0 -2 -0xae7d -0x7661179e -256 -256 -1 -0 -0 -4 -0x3e6 -0xcc91c90 -256 -256 -0 -0 -0 -3 -0xd75a -0x1497cdca -256 -256 -0 -0 -0 -2 -0x100 -0x4af704de -256 -256 -1 -0 -0 -3 -0x2659 -0xf73d5291 -256 -256 -1 -0 -0 -3 -0x7a6c -0x8bcedc0e -256 -256 -0 -0 -0 -1 -0xad11 -0x93c9f6ee -256 -256 -0 -0 -0 -4 -0x4d16 -0x16252e3e -256 -256 -0 -0 -0 -3 -0xb96a -0xe2208565 -256 -256 -0 -0 -0 -4 -0xc9f9 -0xcd020db6 -256 -256 -1 -0 -0 -1 -0x4c6e -0x6462aaac -256 -256 -1 -0 -0 -2 -0x3085 -0x693187b0 -256 -256 -1 -0 -0 -4 -0x560f -0xe1d1e108 -256 -256 -1 -0 -0 -3 -0x40c8 -0x10e05747 -256 -256 -0 -0 -0 -1 -0xd6c6 -0x509b2ba6 -256 -256 -1 -0 -0 -4 -0x4cb -0x8981ba01 -256 -256 -1 -0 -0 -4 -0xf122 -0xd675a2ff -256 -256 -1 -0 -0 -1 -0x3197 -0x915fa7af -256 -256 -1 -0 -0 -1 -0xbf44 -0x26af1bd8 -256 -256 -0 -0 -0 -1 -0x3899 -0xc57e8623 -256 -256 -0 -0 -0 -1 -0xa93f -0xea950540 -256 -256 -1 -0 -0 -1 -0x30a2 -0xe93314e6 -256 -256 -0 -0 -0 -4 -0x502c -0x50fe22c7 -256 -256 -0 -0 -0 -2 -0x2a1d -0x1b20fb3c -256 -256 -1 -0 -0 -2 -0x75f0 -0x17a8f735 -256 -256 -0 -0 -0 -2 -0xc0f5 -0xe0b88d -256 -256 -1 -0 -0 -2 -0x6414 -0x40153a8a -256 -256 -0 -0 -0 -3 -0xee2 -0x692433f8 -256 -256 -0 -0 -0 -3 -0x6560 -0xf44385de -256 -256 -1 -0 -0 -2 -0xdf48 -0x823dc87e -256 -256 -1 -0 -0 -3 -0x706c -0x79bc3595 -256 -256 -0 -0 -0 -2 -0xf21e -0x3955e386 -256 -256 -0 -0 -0 -2 -0x66a1 -0x296b90cc -256 -256 -0 -0 -0 -1 -0xfcfb -0xa82ecb18 -256 -256 -0 -0 -0 -3 -0x482f -0x8629c00d -256 -256 -1 -0 -0 -4 -0x59c1 -0xaf055119 -256 -256 -0 -0 -0 -3 -0x19b5 -0x9f3af294 -256 -256 -0 -0 -0 -4 -0x2905 -0xf9d0d482 -256 -256 -1 -0 -0 -3 -0x4c9c -0x65df58d9 -256 -256 -1 -0 -0 -4 -0x7f2a -0x8371da6b -256 -256 -0 -0 -0 -3 -0x4b56 -0x8d8a74d6 -256 -256 -1 -0 -0 -3 -0x3c9d -0xb654edc2 -256 -256 -1 -0 -0 -3 -0x5469 -0xa1e42456 -256 -256 -1 -0 -0 -1 -0x4477 -0x8e1e3d2e -256 -256 -1 -0 -0 -4 -0x839e -0xdda7747c -256 -256 -0 -0 -0 -3 -0x17cc -0x433f7379 -256 -256 -0 -0 -0 -1 -0x3b8d -0x650d4d2f -256 -256 -1 -0 -0 -3 -0x2480 -0x1063cc2e -256 -256 -1 -0 -0 -2 -0xdc91 -0x432fc7a8 -256 -256 -1 -0 -0 -4 -0xb691 -0xdd5035c8 -256 -256 -0 -0 -0 -3 -0x3cdd -0x1229c7ae -256 -256 -1 -0 -0 -2 -0x8596 -0x9297de48 -256 -256 -0 -0 -0 -1 -0x43fa -0xe6515848 -256 -256 -1 -0 -0 -3 -0x9751 -0xb4925bb2 -256 -256 -1 -0 -0 -1 -0x38aa -0xc35e0244 -256 -256 -1 -0 -0 -4 -0x5382 -0x21e37e26 -256 -256 -1 -0 -0 -2 -0xcd81 -0x940ee1cf -256 -256 -1 -0 -0 -2 -0x445a -0xe8716695 -256 -256 -0 -0 -0 -3 -0x71dc -0x5727b93a -256 -256 -1 -0 -0 -3 -0xebaa -0xc32608 -256 -256 -0 -0 -0 -3 -0x6d00 -0x378b51b9 -256 -256 -1 -0 -0 -3 -0xd9c7 -0x42fdbf9 -256 -256 -1 -0 -0 -2 -0x94a9 -0xb792ec2e -256 -256 -1 -0 -0 -4 -0x70de -0x23bf4248 -256 -256 -0 -0 -0 -1 -0x32d8 -0xb6d0ebd0 -256 -256 -1 -0 -0 -4 -0x969b -0x14948931 -256 -256 -0 -0 -0 -2 -0x18c2 -0x3e63611f -256 -256 -0 -0 -0 -1 -0xa5a3 -0x61987ccb -256 -256 -0 -0 -0 -4 -0x587d -0xfb0a7113 -256 -256 -1 -0 -0 -1 -0x670e -0x68661167 -256 -256 -1 -0 -0 -4 -0x4577 -0x47b59d1f -256 -256 -0 -0 -0 -4 -0xce12 -0x17eff4a5 -256 -256 -1 -0 -0 -1 -0xb45 -0xd089e50b -256 -256 -1 -0 -0 -4 -0x7b21 -0xa8e3e1f3 -256 -256 -0 -0 -0 -1 -0x287c -0x6bf3d4cf -256 -256 -1 -0 -0 -1 -0x31ee -0x854d71da -256 -256 -0 -0 -0 -2 -0xf555 -0x88dc746e -256 -256 -0 -0 -0 -1 -0x33e3 -0xb5722232 -256 -256 -1 -0 -0 -4 -0x3b35 -0x548351a7 -256 -256 -1 -0 -0 -4 -0x9cf3 -0x6a22037d -256 -256 -0 -0 -0 -3 -0x272 -0x676772d4 -256 -256 -1 -0 -0 -2 -0xe4a9 -0x761e6da9 -256 -256 -0 -0 -0 -4 -0x7f97 -0x7cc07809 -256 -256 -0 -0 -0 -1 -0x9e92 -0xb6d39150 -256 -256 -0 -0 -0 -2 -0xfc8c -0x922b5a42 -256 -256 -0 -0 -0 -2 -0xde0e -0xb1437e0 -256 -256 -1 -0 -0 -2 -0xc458 -0x7ebaf11c -256 -256 -1 -0 -0 -4 -0xa746 -0x7d12b227 -256 -256 -1 -0 -0 -3 -0xe974 -0x89facaeb -256 -256 -0 -0 -0 -4 -0x72ac -0x363659c3 -256 -256 -1 -0 -0 -3 -0x20d3 -0x5683cb96 -256 -256 -0 -0 -0 -1 -0x8863 -0xdcbb64bc -256 -256 -0 -0 -0 -3 -0x88a9 -0xdaa2bd23 -256 -256 -1 -0 -0 -3 -0x1954 -0x36457a2 -256 -256 -1 -0 -0 -1 -0x6087 -0x3a9c7a22 -256 -256 -1 -0 -0 -1 -0xfa36 -0x345a9a7 -256 -256 -1 -0 -0 -3 -0x60ab -0x88bc0370 -256 -256 -1 -0 -0 -2 -0xe0ae -0x46932df1 -256 -256 -1 -0 -0 -3 -0xcf3a -0xcdc70f4c -256 -256 -0 -0 -0 -4 -0xd28e -0x7f0d9676 -256 -256 -0 -0 -0 -4 -0x2770 -0x8cc3e6d1 -256 -256 -1 -0 -0 -2 -0xe8f3 -0xebf56e47 -256 -256 -0 -0 -0 -2 -0x86c8 -0x3b72031 -256 -256 -0 -0 -0 -3 -0x6697 -0x2a6d5c71 -256 -256 -1 -0 -0 -3 -0x7e5 -0xded0d73e -256 -256 -1 -0 -0 -3 -0x7b25 -0x6b291cd4 -256 -256 -1 -0 -0 -3 -0xbb05 -0x3de97f3b -256 -256 -0 -0 -0 -4 -0x68d9 -0x351703d2 -256 -256 -0 -0 -0 -1 -0xc8cd -0x8c3413 -256 -256 -1 -0 -0 -3 -0x40ff -0xa8dcbfab -256 -256 -0 -0 -0 -2 -0x6c94 -0x59b9a9d -256 -256 -1 -0 -0 -1 -0xfc9f -0x179891a5 -256 -256 -0 -0 -0 -3 -0x514d -0x4332e2d0 -256 -256 -0 -0 -0 -3 -0x345c -0xfad04311 -256 -256 -0 -0 -0 -1 -0x2302 -0xbc61b25a -256 -256 -0 -0 -0 -3 -0xcd90 -0x20ccda77 -256 -256 -1 -0 -0 -3 -0xc2f8 -0x3f732000 -256 -256 -1 -0 -0 -2 -0x5df6 -0x8ea106c9 -256 -256 -0 -0 -0 -4 -0x2060 -0xb5a8e742 -256 -256 -0 -0 -0 -4 -0x84e6 -0x3b25ab2f -256 -256 -1 -0 -0 -1 -0x2dab -0x67ab03c -256 -256 -0 -0 -0 -4 -0x9e52 -0x108e9347 -256 -256 -0 -0 -0 -2 -0x9400 -0x6d2bb2c0 -256 -256 -1 -0 -0 -1 -0x673b -0x373de67f -256 -256 -1 -0 -0 -4 -0xa54a -0x578b71d3 -256 -256 -1 -0 -0 -4 -0x93d6 -0x553a8657 -256 -256 -1 -0 -0 -3 -0x686c -0xb69b2bad -256 -256 -0 -0 -0 -2 -0x4609 -0xf9d3f1c6 -256 -256 -0 -0 -0 -2 -0x2d8 -0x16e4229d -256 -256 -1 -0 -0 -3 -0xd5a7 -0x7fe1b43b -256 -256 -1 -0 -0 -3 -0x85f9 -0x401c4076 -256 -256 -0 -0 -0 -4 -0x5dcf -0x9ff005e5 -256 -256 -1 -0 -0 -3 -0xdbbf -0x44ea172f -256 -256 -1 -0 -0 -1 -0xf753 -0xeaa1f52 -256 -256 -1 -0 -0 -1 -0x321b -0x6220b86b -256 -256 -1 -0 -0 -1 -0x1230 -0x3038e0b0 -256 -256 -0 -0 -0 -2 -0x261d -0x84aaf79d -256 -256 -1 -0 -0 -4 -0x532e -0xfa825e0e -256 -256 -1 -0 -0 -2 -0x9891 -0x7b20534e -256 -256 -0 -0 -0 -2 -0x2f37 -0xc66fdde8 -256 -256 -0 -0 -0 -2 -0x8a4c -0x433e1036 -256 -256 -1 -0 -0 -1 -0xc7e2 -0xd053af1 -256 -256 -0 -0 -0 -2 -0x83c1 -0x59aec321 -256 -256 -1 -0 -0 -1 -0x3dcd -0xd719a380 -256 -256 -0 -0 -0 -2 -0x2067 -0x348550cd -256 -256 -1 -0 -0 -3 -0x2235 -0x3f31b501 -256 -256 -1 -0 -0 -4 -0xd9f0 -0x654b72a6 -256 -256 -1 -0 -0 -3 -0x3030 -0xb1c6b33 -256 -256 -0 -0 -0 -2 -0x209 -0x1ebf1bf6 -256 -256 -1 -0 -0 -2 -0xf1fd -0x1949bc9e -256 -256 -1 -0 -0 -4 -0xa600 -0xf5e13f39 -256 -256 -0 -0 -0 -1 -0xa21f -0x7fac890b -256 -256 -1 -0 -0 -2 -0xb9c9 -0x2786ccae -256 -256 -0 -0 -0 -2 -0x3692 -0x83b1c21c -256 -256 -1 -0 -0 -2 -0x118e -0xf06b3653 -256 -256 -0 -0 -0 -1 -0x5999 -0x4bc06b88 -256 -256 -1 -0 -0 -4 -0x4183 -0xbed7bd80 -256 -256 -0 -0 -0 -1 -0xab53 -0xc1239d5e -256 -256 -0 -0 -0 -1 -0x48a6 -0x90b0858c -256 -256 -1 -0 -0 -1 -0x1b81 -0x9d1b9b63 -256 -256 -0 -0 -0 -3 -0x7c37 -0x43bc8b01 -256 -256 -1 -0 -0 -1 -0x74a5 -0x6e0e4439 -256 -256 -0 -0 -0 -3 -0x92fe -0x326ddce3 -256 -256 -1 -0 -0 -2 -0x907c -0xa324b485 -256 -256 -1 -0 -0 -3 -0xb5f6 -0xdb7b013 -256 -256 -0 -0 -0 -2 -0x969c -0x9b8621ee -256 -256 -1 -0 -0 -2 -0xf7f1 -0x5dd7b2e3 -256 -256 -0 -0 -0 -2 -0xdfdb -0xb84e4293 -256 -256 -0 -0 -0 -2 -0xd448 -0x99df53e1 -256 -256 -1 -0 -0 -2 -0x2c99 -0x2856a189 -256 -256 -0 -0 -0 -2 -0x7b13 -0xcc736591 -256 -256 -1 -0 -0 -2 -0x6a4d -0xeee8f8c9 -256 -256 -0 -0 -0 -2 -0x666f -0xe62fa28b -256 -256 -1 -0 -0 -4 -0x9646 -0x1632da4f -256 -256 -0 -0 -0 -2 -0x49ec -0x2f02e402 -256 -256 -1 -0 -0 -4 -0x8977 -0xaede07a4 -256 -256 -1 -0 -0 -1 -0x99fc -0x2a4b4457 -256 -256 -1 -0 -0 -3 -0x110e -0xb7aaa92e -256 -256 -1 -0 -0 -3 -0x6d62 -0xfe136686 -256 -256 -1 -0 -0 -1 -0x35b6 -0x9b26e33d -256 -256 -0 -0 -0 -3 -0x2a8a -0xff94ef99 -256 -256 -0 -0 -0 -1 -0xbfb3 -0x4a7636ae -256 -256 -0 -0 -0 -2 -0xd4a4 -0x824b0e60 -256 -256 -0 -0 -0 -2 -0x60b5 -0x1202f24e -256 -256 -0 -0 -0 -3 -0x861a -0x6d24885 -256 -256 -1 -0 -0 -4 -0xd95c -0xd6250e00 -256 -256 -1 -0 -0 -3 -0xa231 -0xdfcc5731 -256 -256 -0 -0 -0 -1 -0x9e66 -0x3361ca74 -256 -256 -1 -0 -0 -3 -0x8e42 -0x36f422c9 -256 -256 -1 -0 -0 -4 -0x26e2 -0xc85a5433 -256 -256 -1 -0 -0 -1 -0x41a -0x2b15caa7 -256 -256 -1 -0 -0 -2 -0x65ac -0x961a0a62 -256 -256 -1 -0 -0 -2 -0x4166 -0xbaa6a85a -256 -256 -1 -0 -0 -4 -0xf417 -0x498e38ce -256 -256 -0 -0 -0 -2 -0x798d -0x6e271af6 -256 -256 -0 -0 -0 -2 -0x585f -0x85a88d77 -256 -256 -0 -0 -0 -1 -0x94dd -0x5dea1ed9 -256 -256 -1 -0 -0 -1 -0xdb6d -0x437d5d03 -256 -256 -0 -0 -0 -3 -0xd2e8 -0xc848ca8 -256 -256 -1 -0 -0 -4 -0xc3d2 -0xba349940 -256 -256 -1 -0 -0 -1 -0x8754 -0xa7607c43 -256 -256 -1 -0 -0 -1 -0xfa20 -0x2dbecbe2 -256 -256 -0 -0 -0 -2 -0xe10e -0x99190181 -256 -256 -1 -0 -0 -4 -0xd36f -0x1df2c394 -256 -256 -0 -0 -0 -2 -0xed5b -0x7e68429e -256 -256 -0 -0 -0 -1 -0x892a -0x2658099f -256 -256 -0 -0 -0 -4 -0x55a5 -0xfef7e176 -256 -256 -1 -0 -0 -3 -0x6ade -0x1fd754c3 -256 -256 -1 -0 -0 -4 -0xaa95 -0x5c75c13f -256 -256 -1 -0 -0 -3 -0xae54 -0xe87925d0 -256 -256 -1 -0 -0 -1 -0xc532 -0x45d70e38 -256 -256 -0 -0 -0 -4 -0x3cf6 -0x22904f7c -256 -256 -1 -0 -0 -2 -0xc81a -0x6c36935c -256 -256 -1 -0 -0 -2 -0xfce3 -0x29d731d9 -256 -256 -0 -0 -0 -1 -0x46fa -0x7b4cf807 -256 -256 -0 -0 -0 -3 -0x9d62 -0x9dc922ca -256 -256 -0 -0 -0 -2 -0x3b99 -0x54f15518 -256 -256 -0 -0 -0 -2 -0x4c28 -0x43b23f94 -256 -256 -1 -0 -0 -4 -0xf9b7 -0xf5235413 -256 -256 -1 -0 -0 -2 -0xe88b -0x8ee8a4f5 -256 -256 -1 -0 -0 -3 -0xc422 -0x3e21a43e -256 -256 -0 -0 -0 -3 -0xb224 -0xb0131e88 -256 -256 -0 -0 -0 -2 -0xcd2b -0x6d524503 -256 -256 -0 -0 -0 -2 -0xede3 -0xd9853a89 -256 -256 -1 -0 -0 -1 -0x6670 -0x1a8a5e6 -256 -256 -1 -0 -0 -3 -0x26e1 -0x9dda4794 -256 -256 -1 -0 -0 -2 -0xcf5e -0x85a81b8c -256 -256 -0 -0 -0 -2 -0xa79f -0xc41af3a7 -256 -256 -0 -0 -0 -3 -0xb3a5 -0x30a6c096 -256 -256 -1 -0 -0 -2 -0x7b22 -0xe1b5a7c6 -256 -256 -0 -0 -0 -1 -0x6f00 -0x2406882f -256 -256 -0 -0 -0 -1 -0x6b59 -0x2c4ccbc1 -256 -256 -1 -0 -0 -4 -0x433a -0x97d8e66f -256 -256 -1 -0 -0 -4 -0xb8a5 -0x575edebc -256 -256 -1 -0 -0 -1 -0x30fa -0x9a887a3e -256 -256 -0 -0 -0 -4 -0x33d1 -0x73da6c26 -256 -256 -0 -0 -0 -1 -0x4d6f -0x88ff32c2 -256 -256 -0 -0 -0 -1 -0x7fed -0x30cc311 -256 -256 -0 -0 -0 -2 -0x9a41 -0x267e5d21 -256 -256 -0 -0 -0 -4 -0x30b2 -0x50c222de -256 -256 -0 -0 -0 -4 -0xc94f -0x6b5d181c -256 -256 -1 -0 -0 -1 -0x27bc -0xd185ea49 -256 -256 -1 -0 -0 -4 -0x7c95 -0xf7d0e588 -256 -256 -0 -0 -0 -4 -0xc6a2 -0xe1ace788 -256 -256 -0 -0 -0 -3 -0x9edc -0x35630798 -256 -256 -0 -0 -0 -4 -0x62ed -0x495d6a84 -256 -256 -1 -0 -0 -4 -0x83d3 -0xbb62264f -256 -256 -1 -0 -0 -2 -0x41fb -0x3f08683d -256 -256 -1 -0 -0 -4 -0xbcba -0xa46dd450 -256 -256 -0 -0 -0 -2 -0xf4b -0xcd68fede -256 -256 -1 -0 -0 -3 -0x40f2 -0xc8cba62e -256 -256 -1 -0 -0 -4 -0x2fd4 -0x72be6c16 -256 -256 -1 -0 -0 -4 -0x5d4f -0x1f93685e -256 -256 -0 -0 -0 -2 -0x1fe9 -0x8dac4cfd -256 -256 -0 -0 -0 -3 -0x3601 -0xcd8e0a13 -256 -256 -0 -0 -0 -2 -0x6194 -0xc6296450 -256 -256 -1 -0 -0 -1 -0x7b3c -0x884362c7 -256 -256 -0 -0 -0 -1 -0xda75 -0xbd9dba97 -256 -256 -0 -0 -0 -1 -0x3cfc -0x8ed38a6d -256 -256 -0 -0 -0 -3 -0xb8e8 -0x8d3c621c -256 -256 -1 -0 -0 -4 -0x277f -0x2df80730 -256 -256 -0 -0 -0 -2 -0xcc43 -0x213ef4b8 -256 -256 -1 -0 -0 -4 -0xdd0a -0xd9080acc -256 -256 -0 -0 -0 -2 -0x6e7 -0xacb5dc79 -256 -256 -0 -0 -0 -4 -0xc026 -0x56a9bdc0 -256 -256 -0 -0 -0 -4 -0xdef4 -0x5f94df0b -256 -256 -1 -0 -0 -4 -0x96a4 -0xae3db577 -256 -256 -1 -0 -0 -2 -0x20a3 -0xae4b4062 -256 -256 -1 -0 -0 -2 -0xf70 -0x9ff96174 -256 -256 -1 -0 -0 -1 -0x19d6 -0x894d51e6 -256 -256 -0 -0 -0 -4 -0xe251 -0xc97c48a6 -256 -256 -1 -0 -0 -2 -0x222f -0x211badf7 -256 -256 -1 -0 -0 -4 -0x8f41 -0xb64e3ba6 -256 -256 -0 -0 -0 -1 -0x1c2c -0xd0f2ad2a -256 -256 -0 -0 -0 -3 -0xc5f7 -0x441149e6 -256 -256 -0 -0 -0 -1 -0xba4 -0xd64a950a -256 -256 -0 -0 -0 -2 -0x8d08 -0xa05faa69 -256 -256 -0 -0 -0 -2 -0x8b61 -0x2876f1d2 -256 -256 -0 -0 -0 -4 -0xbd34 -0x43534206 -256 -256 -1 -0 -0 -3 -0x9279 -0x7e185384 -256 -256 -0 -0 -0 -4 -0x2502 -0x3ea83977 -256 -256 -1 -0 -0 -2 -0x1293 -0x4d586386 -256 -256 -0 -0 -0 -2 -0xc844 -0xa62e8f40 -256 -256 -0 -0 -0 -2 -0xe504 -0x7b80290d -256 -256 -1 -0 -0 -3 -0xadf -0xab6d374 -256 -256 -1 -0 -0 -2 -0xee63 -0x18d7c786 -256 -256 -0 -0 -0 -3 -0x6846 -0x5ef0743b -256 -256 -0 -0 -0 -1 -0x2c53 -0x8027c332 -256 -256 -0 -0 -0 -1 -0x948e -0x49b79da2 -256 -256 -1 -0 -0 -2 -0x3c03 -0x9ac69692 -256 -256 -1 -0 -0 -3 -0xb97f -0x1cdffc6a -256 -256 -1 -0 -0 -4 -0x7baf -0x9b61621 -256 -256 -1 -0 -0 -2 -0x580b -0xee3b1851 -256 -256 -1 -0 -0 -3 -0xf8e0 -0xafcc82c3 -256 -256 -0 -0 -0 -1 -0xa03a -0xbae04240 -256 -256 -1 -0 -0 -1 -0x3442 -0xb1f227dd -256 -256 -1 -0 -0 -4 -0xaf38 -0xa9fdfc43 -256 -256 -1 -0 -0 -2 -0x8b0c -0x9f68a397 -256 -256 -0 -0 -0 -4 -0x502 -0xfb29b1b8 -256 -256 -1 -0 -0 -3 -0x7e28 -0xa3fb8656 -256 -256 -0 -0 -0 -2 -0x11ee -0xc7217c3a -256 -256 -1 -0 -0 -2 -0x6b9f -0xd548390b -256 -256 -0 -0 -0 -2 -0xcd5e -0xae31718e -256 -256 -1 -0 -0 -4 -0x34ba -0xf2d3100f -256 -256 -0 -0 -0 -2 -0x42dd -0x658074aa -256 -256 -1 -0 -0 -4 -0xc58b -0x8a13b8a -256 -256 -1 -0 -0 -1 -0xecaf -0xb655d392 -256 -256 -0 -0 -0 -1 -0x2156 -0xf9fd44c5 -256 -256 -0 -0 -0 -1 -0x4e19 -0x995a64e2 -256 -256 -1 -0 -0 -1 -0x8c96 -0x34ecb06d -256 -256 -0 -0 -0 -4 -0xa3fd -0xe8330141 -256 -256 -0 -0 -0 -4 -0xa269 -0xe9a3f42 -256 -256 -1 -0 -0 -2 -0xf269 -0x4bf7f8e -256 -256 -1 -0 -0 -4 -0x9fec -0x7af1bffa -256 -256 -0 -0 -0 -2 -0xa99e -0xef26dd86 -256 -256 -1 -0 -0 -4 -0x4376 -0x62cb0d65 -256 -256 -1 -0 -0 -2 -0xa8e9 -0xafc20fa9 -256 -256 -1 -0 -0 -2 -0xc79e -0x2f361155 -256 -256 -0 -0 -0 -4 -0xa598 -0x60e54e36 -256 -256 -0 -0 -0 -3 -0x10b3 -0x5e56b40f -256 -256 -0 -0 -0 -3 -0x34a0 -0xf52d53ff -256 -256 -0 -0 -0 -1 -0x9971 -0x483fec0f -256 -256 -0 -0 -0 -1 -0x3d8 -0xd1b2479b -256 -256 -0 -0 -0 -1 -0xceaf -0xb84026bf -256 -256 -0 -0 -0 -3 -0xf409 -0x79ad31cb -256 -256 -1 -0 -0 -2 -0x1f7c -0x6292d92b -256 -256 -1 -0 -0 -2 -0x2f7f -0xd4a93b59 -256 -256 -0 -0 -0 -3 -0x6716 -0xc2733e6 -256 -256 -0 -0 -0 -2 -0x308a -0x5004ed4 -256 -256 -0 -0 -0 -2 -0xbc1e -0x2c361a00 -256 -256 -0 -0 -0 -2 -0xc351 -0x6219601c -256 -256 -1 -0 -0 -3 -0xe53b -0x6c797290 -256 -256 -0 -0 -0 -1 -0x73b8 -0x835f7369 -256 -256 -0 -0 -0 -1 -0xfab -0xc995652 -256 -256 -0 -0 -0 -1 -0xa7fc -0x364baf5f -256 -256 -1 -0 -0 -4 -0xbab3 -0xace8727a -256 -256 -1 -0 -0 -1 -0xfb -0xcaa5a76b -256 -256 -0 -0 -0 -2 -0x328 -0x58a4cb9f -256 -256 -1 -0 -0 -3 -0xe8da -0xd5166dec -256 -256 -0 -0 -0 -1 -0xbb6d -0x5330178c -256 -256 -0 -0 -0 -1 -0x1c6b -0xb95802e7 -256 -256 -0 -0 -0 -1 -0xd73b -0xed857b7c -256 -256 -0 -0 -0 -4 -0x966e -0x1ebc7d9c -256 -256 -1 -0 -0 -3 -0x3b6b -0xb0709fd7 -256 -256 -0 -0 -0 -3 -0x9f06 -0x56ef8807 -256 -256 -1 -0 -0 -3 -0x61a4 -0x65468635 -256 -256 -0 -0 -0 -1 -0x6e15 -0x75810d02 -256 -256 -1 -0 -0 -1 -0x15fe -0x754f1abb -256 -256 -0 -0 -0 -4 -0x3466 -0xb7f6f5ad -256 -256 -1 -0 -0 -4 -0x275 -0x3b3b4a5f -256 -256 -0 -0 -0 -1 -0x547f -0x4706976 -256 -256 -0 -0 -0 -1 -0x114c -0x4dfaf43f -256 -256 -1 -0 -0 -2 -0xb303 -0x8c7ce87b -256 -256 -1 -0 -0 -3 -0xdfba -0x15efa6b4 -256 -256 -0 -0 -0 -4 -0x8257 -0x9a14e513 -256 -256 -1 -0 -0 -3 -0x5364 -0x836bb86a -256 -256 -0 -0 -0 -3 -0x924a -0x579a64ed -256 -256 -0 -0 -0 -1 -0x4341 -0xd50af3a8 -256 -256 -0 -0 -0 -2 -0xda89 -0xfc414e67 -256 -256 -0 -0 -0 -2 -0x6983 -0x6a3997dc -256 -256 -0 -0 -0 -1 -0x86bc -0xdfbd553f -256 -256 -0 -0 -0 -3 -0xf06b -0x824f17bf -256 -256 -1 -0 -0 -2 -0xd642 -0x9ac5f30f -256 -256 -1 -0 -0 -2 -0x7bfc -0x125fac98 -256 -256 -1 -0 -0 -2 -0x5612 -0x4f8d787c -256 -256 -1 -0 -0 -3 -0xb264 -0x324dd593 -256 -256 -0 -0 -0 -3 -0x13c2 -0xfcdac82c -256 -256 -0 -0 -0 -4 -0x922a -0xd03d0f08 -256 -256 -1 -0 -0 -3 -0x8fb3 -0xf7057971 -256 -256 -0 -0 -0 -2 -0x837 -0x858505 -256 -256 -1 -0 -0 -2 -0x6738 -0x59685c65 -256 -256 -0 -0 -0 -1 -0x63d -0xfa2d3017 -256 -256 -1 -0 -0 -4 -0x77ab -0xfbfabce -256 -256 -0 -0 -0 -1 -0x414d -0xbcfcb71b -256 -256 -0 -0 -0 -1 -0x3d72 -0xb8720540 -256 -256 -1 -0 -0 -4 -0x1bd9 -0xd8cd3a1f -256 -256 -0 -0 -0 -2 -0x7998 -0x466737ad -256 -256 -1 -0 -0 -4 -0x5963 -0x9618c9f -256 -256 -1 -0 -0 -1 -0xe97a -0xaf48440d -256 -256 -1 -0 -0 -3 -0xc88d -0x37f1ca2 -256 -256 -1 -0 -0 -3 -0xe7cf -0xc84819e -256 -256 -1 -0 -0 -1 -0x35b1 -0xd39c6aff -256 -256 -1 -0 -0 -3 -0xf502 -0xd9e444f9 -256 -256 -0 -0 -0 -1 -0x684a -0x1a0b81d1 -256 -256 -0 -0 -0 -3 -0x34fb -0x704ae454 -256 -256 -0 -0 -0 -1 -0xeeef -0x8847aad1 -256 -256 -0 -0 -0 -3 -0xb9a8 -0xd312dc98 -256 -256 -0 -0 -0 -4 -0x6d6a -0x9ffe97a9 -256 -256 -0 -0 -0 -4 -0x373e -0x8a201e04 -256 -256 -0 -0 -0 -2 -0xc809 -0x9cef1b34 -256 -256 -1 -0 -0 -2 -0xe446 -0x88ed0dd5 -256 -256 -0 -0 -0 -3 -0x27ba -0x766383e7 -256 -256 -0 -0 -0 -1 -0x3e1e -0x764ab5c9 -256 -256 -0 -0 -0 -2 -0xa0a7 -0x14f1dc68 -256 -256 -0 -0 -0 -2 -0x8d68 -0x5b448767 -256 -256 -0 -0 -0 -2 -0x4ca1 -0x8ec3f315 -256 -256 -0 -0 -0 -1 -0x8dd7 -0x904a265e -256 -256 -0 -0 -0 -1 -0x97f6 -0x1de0177b -256 -256 -0 -0 -0 -1 -0x80d -0x91647193 -256 -256 -1 -0 -0 -2 -0x2bfc -0x8263961a -256 -256 -0 -0 -0 -3 -0x2aea -0xd433aade -256 -256 -0 -0 -0 -2 -0x15c3 -0xede9ed91 -256 -256 -0 -0 -0 -1 -0xdfb8 -0x19a7f758 -256 -256 -1 -0 -0 -1 -0xa488 -0x6fe292de -256 -256 -0 -0 -0 -2 -0x47e6 -0xe676b9c2 -256 -256 -0 -0 -0 -2 -0xf905 -0xe8adaf1c -256 -256 -1 -0 -0 -2 -0xc114 -0xf14868d5 -256 -256 -1 -0 -0 -3 -0x5c53 -0x9edb1fe4 -256 -256 -1 -0 -0 -3 -0x664a -0x8765822d -256 -256 -0 -0 -0 -3 -0x48d2 -0x211b7128 -256 -256 -0 -0 -0 -1 -0x3fda -0x7e3d5e36 -256 -256 -0 -0 -0 -4 -0x24bb -0xbb0b69f -256 -256 -0 -0 -0 -1 -0x76fa -0xf03bba25 -256 -256 -0 -0 -0 -1 -0xb825 -0x544b6909 -256 -256 -0 -0 -0 -4 -0xcae2 -0x21d6ebf5 -256 -256 -0 -0 -0 -2 -0x6c0a -0xf2cf6b2f -256 -256 -0 -0 -0 -2 -0xb0bf -0x72558032 -256 -256 -1 -0 -0 -3 -0xe882 -0x926db7c8 -256 -256 -1 -0 -0 -3 -0x8cce -0x24a265c4 -256 -256 -1 -0 -0 -4 -0xbc2a -0x23c557df -256 -256 -0 -0 -0 -2 -0x98b2 -0x222b800 -256 -256 -0 -0 -0 -2 -0x37a4 -0x7f4c2179 -256 -256 -1 -0 -0 -3 -0xd47 -0x373e8248 -256 -256 -0 -0 -0 -2 -0x58d8 -0x3d9dd451 -256 -256 -1 -0 -0 -1 -0x91ca -0x62adc32a -256 -256 -0 -0 -0 -2 -0xb66f -0xa4ce5cf5 -256 -256 -0 -0 -0 -3 -0xe913 -0xd461d096 -256 -256 -1 -0 -0 -2 -0x885e -0x59de952f -256 -256 -0 -0 -0 -1 -0x15c8 -0xa14b42e2 -256 -256 -0 -0 -0 -1 -0x9de3 -0x17a3aa5 -256 -256 -0 -0 -0 -4 -0xb99c -0xeaa5b732 -256 -256 -1 -0 -0 -1 -0x93ee -0xaf227577 -256 -256 -0 -0 -0 -2 -0x310a -0x44e04433 -256 -256 -1 -0 -0 -4 -0x419c -0xa36bb23 -256 -256 -0 -0 -0 -3 -0x9d5 -0x8b0370e9 -256 -256 -1 -0 -0 -3 -0xe4a7 -0xce3a826f -256 -256 -0 -0 -0 -2 -0x1897 -0x3c9d4b4e -256 -256 -0 -0 -0 -4 -0x2abd -0x808d9b43 -256 -256 -0 -0 -0 -4 -0x5d0d -0xb1ef566e -256 -256 -0 -0 -0 -2 -0x9bcf -0xe2025d08 -256 -256 -0 -0 -0 -3 -0xdd5c -0xe03700cb -256 -256 -1 -0 -0 -1 -0x92f7 -0xd07181b1 -256 -256 -1 -0 -0 -4 -0x3913 -0x621701b1 -256 -256 -0 -0 -0 -2 -0xc82b -0x9889a008 -256 -256 -1 -0 -0 -4 -0xa391 -0xe8ce7164 -256 -256 -0 -0 -0 -1 -0xf4a5 -0xa0502e2b -256 -256 -0 -0 -0 -4 -0x13c3 -0x467f48fd -256 -256 -1 -0 -0 -3 -0x5455 -0xc7731242 -256 -256 -1 -0 -0 -3 -0x6bf -0x168fdc8a -256 -256 -1 -0 -0 -2 -0x1676 -0x1b7a909d -256 -256 -0 -0 -0 -3 -0xe1d5 -0x99b6dd2c -256 -256 -0 -0 -0 -3 -0x1ec2 -0xc7d5f26e -256 -256 -0 -0 -0 -2 -0x666 -0xacb21d9b -256 -256 -0 -0 -0 -4 -0xbb29 -0xae405198 -256 -256 -1 -0 -0 -3 -0x9ed7 -0x930da039 -256 -256 -1 -0 -0 -4 -0x96cb -0xb95a1d6f -256 -256 -0 -0 -0 -4 -0xc5a8 -0x6bcccc0f -256 -256 -1 -0 -0 -2 -0x883b -0xf261a633 -256 -256 -0 -0 -0 -1 -0xeca1 -0x3cbbaecb -256 -256 -0 -0 -0 -3 -0x1e83 -0x13aaa7a6 -256 -256 -0 -0 -0 -4 -0x7938 -0x89947115 -256 -256 -0 -0 -0 -2 -0x7ba7 -0xaa80cd03 -256 -256 -0 -0 -0 -2 -0x7761 -0x65ef1ae0 -256 -256 -1 -0 -0 -2 -0x78d2 -0xb3fdf2fa -256 -256 -0 -0 -0 -3 -0x88df -0x1e0ef260 -256 -256 -1 -0 -0 -2 -0x99f0 -0x7b32aee6 -256 -256 -1 -0 -0 -3 -0x2ce1 -0x8b5a78d9 -256 -256 -1 -0 -0 -3 -0x9cda -0xee02d609 -256 -256 -1 -0 -0 -3 -0x351e -0xf7451c6b -256 -256 -1 -0 -0 -3 -0x917c -0xc18e279a -256 -256 -1 -0 -0 -2 -0xeb32 -0xa50ada16 -256 -256 -1 -0 -0 -1 -0xc01d -0x50563f11 -256 -256 -1 -0 -0 -3 -0xc0d0 -0x61c30e26 -256 -256 -1 -0 -0 -4 -0xd4a5 -0xc0f68db1 -256 -256 -0 -0 -0 -2 -0xc00e -0x73a76ea8 -256 -256 -1 -0 -0 -3 -0xb31c -0x17779e8a -256 -256 -1 -0 -0 -2 -0x28bb -0xff4d4555 -256 -256 -1 -0 -0 -2 -0xde50 -0xb68f6570 -256 -256 -1 -0 -0 -3 -0x4aaa -0x8ba5dbc6 -256 -256 -0 -0 -0 -1 -0xbc4b -0x15c8cdff -256 -256 -0 -0 -0 -3 -0x30f7 -0x1dea0cdd -256 -256 -0 -0 -0 -1 -0x57ad -0xdb537f05 -256 -256 -0 -0 -0 -1 -0x634c -0x21265cb7 -256 -256 -1 -0 -0 -3 -0x174 -0x2b979aa0 -256 -256 -1 -0 -0 -2 -0xafb0 -0x4665b5b7 -256 -256 -1 -0 -0 -2 -0xa629 -0x90a22593 -256 -256 -0 -0 -0 -4 -0x309d -0x56360b6d -256 -256 -0 -0 -0 -3 -0x192f -0xb75453ac -256 -256 -0 -0 -0 -3 -0x25e6 -0x3c8c9a90 -256 -256 -1 -0 -0 -3 -0xc73c -0xdcdc8ac7 -256 -256 -1 -0 -0 -3 -0x2dda -0x1d80ae71 -256 -256 -0 -0 -0 -2 -0xec50 -0xc9aee137 -256 -256 -1 -0 -0 -1 -0x785f -0x311bc0ca -256 -256 -0 -0 -0 -2 -0xbc78 -0x99bb6c2f -256 -256 -1 -0 -0 -2 -0x8bea -0x2dd702ef -256 -256 -1 -0 -0 -4 -0xbf44 -0xe9c01498 -256 -256 -1 -0 -0 -2 -0x2c55 -0x88ea0dab -256 -256 -1 -0 -0 -2 -0x7ec8 -0x438baad4 -256 -256 -0 -0 -0 -4 -0x1d19 -0x68ccd35d -256 -256 -0 -0 -0 -3 -0xb25e -0x7e858574 -256 -256 -1 -0 -0 -1 -0x1c85 -0x26916431 -256 -256 -1 -0 -0 -1 -0xb2e6 -0xbef9ebf0 -256 -256 -1 -0 -0 -1 -0xcd4 -0x10a1bf05 -256 -256 -1 -0 -0 -1 -0xee83 -0x771e06cc -256 -256 -1 -0 -0 -2 -0xd604 -0xf978b6d -256 -256 -0 -0 -0 -2 -0xbb08 -0xec1ccce5 -256 -256 -0 -0 -0 -1 -0xa6e2 -0xb36b8cbc -256 -256 -1 -0 -0 -2 -0x1dc9 -0x742cb0cd -256 -256 -0 -0 -0 -3 -0x9cba -0xa6ccb6e7 -256 -256 -0 -0 -0 -1 -0xcd35 -0x447873b0 -256 -256 -0 -0 -0 -2 -0x2db9 -0xaf1c2a67 -256 -256 -0 -0 -0 -1 -0x412 -0x606f10ba -256 -256 -1 -0 -0 -2 -0x794 -0xb2f422d0 -256 -256 -1 -0 -0 -2 -0xfc04 -0x5a079a43 -256 -256 -1 -0 -0 -1 -0x5100 -0xd211b74a -256 -256 -0 -0 -0 -2 -0x7482 -0x2f223df2 -256 -256 -1 -0 -0 -1 -0xf739 -0x2fcaa543 -256 -256 -1 -0 -0 -2 -0x4ccb -0x7d4bcf0 -256 -256 -0 -0 -0 -4 -0xaeeb -0xe0e6aac5 -256 -256 -1 -0 -0 -2 -0x7a10 -0xe4f4763d -256 -256 -0 -0 -0 -3 -0x20a5 -0x76c0e285 -256 -256 -1 -0 -0 -2 -0x67b9 -0xfcc5bee -256 -256 -0 -0 -0 -3 -0x667f -0x8a80b989 -256 -256 -1 -0 -0 -4 -0xc360 -0x30bd9af1 -256 -256 -0 -0 -0 -2 -0x29e4 -0xf2019d85 -256 -256 -1 -0 -0 -2 -0x22f0 -0x524edda0 -256 -256 -0 -0 -0 -2 -0x39c0 -0x1ad7e251 -256 -256 -1 -0 -0 -1 -0x2df2 -0x7539460d -256 -256 -1 -0 -0 -2 -0x5d6d -0xa800c8f7 -256 -256 -0 -0 -0 -3 -0xbbe6 -0x88c069ca -256 -256 -1 -0 -0 -3 -0x13bf -0x70d62a3a -256 -256 -0 -0 -0 -4 -0x2188 -0x7e793bb2 -256 -256 -1 -0 -0 -4 -0x8e56 -0xd9e33cb2 -256 -256 -1 -0 -0 -3 -0x5132 -0x7cc4cee3 -256 -256 -0 -0 -0 -2 -0x7fe1 -0xb1987e23 -256 -256 -1 -0 -0 -2 -0x4d -0x6c714833 -256 -256 -0 -0 -0 -1 -0xf3a8 -0x1c5aa8ba -256 -256 -0 -0 -0 -4 -0x5703 -0xad1e3673 -256 -256 -0 -0 -0 -2 -0xb7cc -0xcd6c5056 -256 -256 -0 -0 -0 -4 -0xe9c3 -0x9b0d4fd5 -256 -256 -1 -0 -0 -3 -0xcf88 -0xf62f979a -256 -256 -1 -0 -0 -2 -0x5932 -0x2c376aab -256 -256 -1 -0 -0 -4 -0x6fac -0x1d04fc3b -256 -256 -1 -0 -0 -3 -0x95ff -0xdb54dda3 -256 -256 -1 -0 -0 -3 -0x8d0d -0x91cd3081 -256 -256 -1 -0 -0 -3 -0x8395 -0x236f88e6 -256 -256 -1 -0 -0 -3 -0x9ff7 -0xa69aa96c -256 -256 -0 -0 -0 -1 -0x841d -0xc34197f3 -256 -256 -0 -0 -0 -2 -0x59c2 -0x811df82d -256 -256 -0 -0 -0 -3 -0xa8a5 -0x8adf5277 -256 -256 -1 -0 -0 -3 -0xbf78 -0xc0d4173a -256 -256 -1 -0 -0 -1 -0xc0f3 -0xd2dacd45 -256 -256 -0 -0 -0 -3 -0x7a48 -0xb199b9c1 -256 -256 -0 -0 -0 -1 -0xf7f7 -0xad19ceae -256 -256 -0 -0 -0 -1 -0x6e41 -0x25d7d471 -256 -256 -0 -0 -0 -4 -0x4c94 -0x38988077 -256 -256 -0 -0 -0 -1 -0x9b82 -0x83c0937 -256 -256 -1 -0 -0 -2 -0xcb68 -0x1dfddbf2 -256 -256 -0 -0 -0 -1 -0xe76b -0xd08e34f2 -256 -256 -1 -0 -0 -4 -0xe46d -0xaa39e8a7 -256 -256 -0 -0 -0 -3 -0x3737 -0xc09d435a -256 -256 -1 -0 -0 -3 -0xf349 -0x2195b039 -256 -256 -1 -0 -0 -3 -0xf5d5 -0x359d8dbd -256 -256 -0 -0 -0 -2 -0xe6de -0xdc92126 -256 -256 -0 -0 -0 -1 -0xd32b -0x21028548 -256 -256 -1 -0 -0 -4 -0x8172 -0x778888de -256 -256 -0 -0 -0 -2 -0xf5e6 -0x3ffa78dd -256 -256 -1 -0 -0 -1 -0x391b -0x1b6952e4 -256 -256 -1 -0 -0 -4 -0xf5d4 -0xa220c8c9 -256 -256 -1 -0 -0 -3 -0x3139 -0x3e6f2ac5 -256 -256 -1 -0 -0 -3 -0xbbcc -0x3c8176b7 -256 -256 -0 -0 -0 -4 -0xbd22 -0x318836a9 -256 -256 -1 -0 -0 -4 -0x9f20 -0x96b5af9d -256 -256 -0 -0 -0 -4 -0x9065 -0x2587862a -256 -256 -0 -0 -0 -2 -0xf324 -0x35c78a0f -256 -256 -0 -0 -0 -1 -0x9e33 -0xb537451a -256 -256 -0 -0 -0 -2 -0x8a4a -0x20595bb3 -256 -256 -1 -0 -0 -4 -0xeb5f -0xa9ce6c12 -256 -256 -1 -0 -0 -1 -0x9ca0 -0xcdea5e68 -256 -256 -0 -0 -0 -1 -0x8167 -0xd30865ff -256 -256 -0 -0 -0 -3 -0x9539 -0x97848868 -256 -256 -1 -0 -0 -2 -0xf9bb -0xd499bca4 -256 -256 -1 -0 -0 -4 -0xf6af -0x9f05738f -256 -256 -0 -0 -0 -2 -0x3a60 -0xaaffee25 -256 -256 -0 -0 -0 -3 -0x49d2 -0x3f9b7f2f -256 -256 -0 -0 -0 -4 -0x8284 -0x39294dba -256 -256 -1 -0 -0 -4 -0xcbcf -0xfb27ba54 -256 -256 -1 -0 -0 -3 -0xb34e -0x91e17ab2 -256 -256 -1 -0 -0 -3 -0xd2c2 -0x475ce0d6 -256 -256 -1 -0 -0 -3 -0x82e8 -0xd369621a -256 -256 -0 -0 -0 -2 -0x6072 -0x71c30d35 -256 -256 -0 -0 -0 -2 -0x8b0e -0x3677b6a7 -256 -256 -1 -0 -0 -3 -0x1d68 -0xb9375883 -256 -256 -0 -0 -0 -3 -0x4c6b -0xc288d4ce -256 -256 -0 -0 -0 -3 -0xafa1 -0x4f4786f -256 -256 -1 -0 -0 -2 -0x40d -0x5629b26c -256 -256 -0 -0 -0 -2 -0x4515 -0xa501d21f -256 -256 -1 -0 -0 -4 -0xb86 -0x51038ec9 -256 -256 -1 -0 -0 -3 -0x421b -0x15af6ea1 -256 -256 -0 -0 -0 -3 -0x395f -0x9d303955 -256 -256 -1 -0 -0 -1 -0x2fb4 -0xe231a286 -256 -256 -0 -0 -0 -3 -0xd4 -0x1e68d2be -256 -256 -0 -0 -0 -3 -0x90a3 -0x3a4aecd0 -256 -256 -1 -0 -0 -4 -0x1dd8 -0x56d968c4 -256 -256 -1 -0 -0 -3 -0x2bca -0xf6c53594 -256 -256 -0 -0 -0 -2 -0x76b5 -0x8be11a4b -256 -256 -1 -0 -0 -1 -0x5311 -0xcc8cc514 -256 -256 -1 -0 -0 -2 -0xd2e4 -0xcfd39c37 -256 -256 -1 -0 -0 -4 -0xf5b5 -0x9520a719 -256 -256 -0 -0 -0 -4 -0x2adb -0xcda2cdf6 -256 -256 -1 -0 -0 -2 -0xe06a -0x3964a57e -256 -256 -1 -0 -0 -1 -0x7fe6 -0x686769e4 -256 -256 -1 -0 -0 -4 -0x3ed2 -0x783d7fcf -256 -256 -1 -0 -0 -3 -0x7322 -0xa64d3c2b -256 -256 -0 -0 -0 -3 -0x229f -0xddcc808a -256 -256 -0 -0 -0 -4 -0x2231 -0x50540fe5 -256 -256 -0 -0 -0 -3 -0xeb0b -0x3c0f0738 -256 -256 -1 -0 -0 -1 -0xd7ca -0x7f7a9bb9 -256 -256 -0 -0 -0 -3 -0x3652 -0x2d3b585d -256 -256 -1 -0 -0 -4 -0xb1 -0x9f9ef4d3 -256 -256 -1 -0 -0 -4 -0x3d0 -0x18f29586 -256 -256 -0 -0 -0 -3 -0x3e6d -0x1574024b -256 -256 -1 -0 -0 -1 -0x31c1 -0xa2a71559 -256 -256 -0 -0 -0 -1 -0x72f -0x2a341142 -256 -256 -1 -0 -0 -1 -0x6e2a -0x4bd25276 -256 -256 -1 -0 -0 -1 -0x4308 -0x5983cb3d -256 -256 -0 -0 -0 -2 -0x2b6f -0xb011c72e -256 -256 -0 -0 -0 -2 -0xe0be -0x83c3181b -256 -256 -1 -0 -0 -3 -0x5822 -0x4b2d303c -256 -256 -1 -0 -0 -3 -0xdf25 -0xf1820b2f -256 -256 -0 -0 -0 -2 -0x478 -0x7ffe8f6e -256 -256 -0 -0 -0 -2 -0x2223 -0x9634203 -256 -256 -0 -0 -0 -1 -0x4811 -0x4d5dbdc5 -256 -256 -0 -0 -0 -1 -0x50b9 -0x82c018f -256 -256 -0 -0 -0 -2 -0x55c2 -0xecbb888f -256 -256 -0 -0 -0 -1 -0x2911 -0xb927df0f -256 -256 -1 -0 -0 -2 -0xb3e6 -0x9f2db647 -256 -256 -1 -0 -0 -1 -0x29c7 -0x9d9ef11f -256 -256 -1 -0 -0 -4 -0xcb60 -0xdf6f23c9 -256 -256 -1 -0 -0 -3 -0x2066 -0xc89be934 -256 -256 -1 -0 -0 -4 -0x2074 -0x9ad7742f -256 -256 -0 -0 -0 -3 -0x7fcd -0xb62c73ce -256 -256 -1 -0 -0 -3 -0xd422 -0xc4874391 -256 -256 -0 -0 -0 -2 -0x7058 -0x638e2403 -256 -256 -0 -0 -0 -3 -0x5584 -0xc0c69b -256 -256 -1 -0 -0 -2 -0xfcb5 -0xff81a7dd -256 -256 -0 -0 -0 -2 -0x80ed -0x797913a0 -256 -256 -0 -0 -0 -1 -0x5cf -0xa054d1f0 -256 -256 -1 -0 -0 -1 -0xa819 -0x5b614f01 -256 -256 -1 -0 -0 -4 -0xc44b -0xe2326365 -256 -256 -0 -0 -0 -4 -0x60d1 -0x510bd36 -256 -256 -0 -0 -0 -3 -0xecd -0xc5da0f27 -256 -256 -0 -0 -0 -3 -0x1f6e -0xfa5febfb -256 -256 -0 -0 -0 -3 -0x5fb9 -0xd6cb2adc -256 -256 -1 -0 -0 -3 -0xb85d -0xcb1d23 -256 -256 -0 -0 -0 -1 -0x20ce -0x63b853b7 -256 -256 -1 -0 -0 -1 -0xf87 -0xeb0941db -256 -256 -1 -0 -0 -4 -0xd69b -0x208f0acc -256 -256 -0 -0 -0 -4 -0x66f4 -0xbd616ab -256 -256 -1 -0 -0 -3 -0x39df -0x56553d34 -256 -256 -0 -0 -0 -2 -0x6c70 -0x5426aa91 -256 -256 -1 -0 -0 -2 -0xc022 -0xe2e2d8f7 -256 -256 -1 -0 -0 -2 -0xe225 -0xe1c79126 -256 -256 -1 -0 -0 -1 -0xa404 -0x64ac356c -256 -256 -1 -0 -0 -3 -0x5ec5 -0x2e6a4129 -256 -256 -1 -0 -0 -4 -0xed4e -0x34b58c8e -256 -256 -0 -0 -0 -1 -0xaddf -0x27243f64 -256 -256 -0 -0 -0 -1 -0x3689 -0xf80051b -256 -256 -1 -0 -0 -1 -0x2601 -0x2d8ad7e6 -256 -256 -1 -0 -0 -3 -0xf6a1 -0xe754295b -256 -256 -0 -0 -0 -3 -0x7640 -0xe77521fb -256 -256 -1 -0 -0 -1 -0x6443 -0x7039d3f5 -256 -256 -1 -0 -0 -4 -0xf831 -0xd8e30141 -256 -256 -1 -0 -0 -4 -0x4793 -0xeda5bd4a -256 -256 -1 -0 -0 -3 -0xbd45 -0x5bf1d12d -256 -256 -0 -0 -0 -3 -0x79cc -0x72cdbaae -256 -256 -0 -0 -0 -4 -0x1082 -0x83c65879 -256 -256 -0 -0 -0 -4 -0x61ef -0x682071ed -256 -256 -0 -0 -0 -2 -0xd93b -0x2fc17c91 -256 -256 -1 -0 -0 -4 -0x5fa2 -0x57db673c -256 -256 -0 -0 -0 -3 -0xcc02 -0x285efb46 -256 -256 -1 -0 -0 -4 -0x1f3b -0x22bc8652 -256 -256 -0 -0 -0 -1 -0xcde6 -0x47f16222 -256 -256 -0 -0 -0 -3 -0xc45f -0xf14a1d7b -256 -256 -1 -0 -0 -3 -0x7209 -0xbcc80736 -256 -256 -1 -0 -0 -2 -0x1ca7 -0x5a6c643d -256 -256 -1 -0 -0 -2 -0x38f9 -0x6687b06a -256 -256 -1 -0 -0 -3 -0xd2b4 -0x763f965e -256 -256 -1 -0 -0 -4 -0xf28 -0x26747196 -256 -256 -1 -0 -0 -4 -0xa326 -0xdaaedcea -256 -256 -0 -0 -0 -4 -0x252f -0x9e59e3d4 -256 -256 -0 -0 -0 -1 -0xb926 -0x97481573 -256 -256 -1 -0 -0 -1 -0x97e1 -0xa9bc24e7 -256 -256 -1 -0 -0 -3 -0x6113 -0x33bd2f4f -256 -256 -0 -0 -0 -2 -0xd70b -0x42b8a183 -256 -256 -1 -0 -0 -2 -0x1348 -0xdcfd6d3d -256 -256 -1 -0 -0 -2 -0xdc05 -0x2c47731a -256 -256 -1 -0 -0 -1 -0x2088 -0xfc311de8 -256 -256 -0 -0 -0 -3 -0xd0ea -0x828fb6b7 -256 -256 -0 -0 -0 -3 -0x2d2 -0xf446fba4 -256 -256 -1 -0 -0 -2 -0x47d7 -0xa7544d1a -256 -256 -0 -0 -0 -3 -0xee1b -0x7b6f3ced -256 -256 -1 -0 -0 -2 -0x9a16 -0x5b23cca1 -256 -256 -1 -0 -0 -3 -0xf080 -0x6795064f -256 -256 -1 -0 -0 -2 -0x22fa -0x905b9890 -256 -256 -1 -0 -0 -1 -0xcb5d -0x9610f42a -256 -256 -1 -0 -0 -2 -0x3480 -0x1cf8ecc1 -256 -256 -0 -0 -0 -2 -0x5648 -0x36e69a7b -256 -256 -1 -0 -0 -4 -0x9b1f -0xf4d6279f -256 -256 -1 -0 -0 -4 -0xb8d7 -0x550c093b -256 -256 -1 -0 -0 -1 -0x76d8 -0x79ba11c1 -256 -256 -1 -0 -0 -2 -0xe078 -0x773e03d2 -256 -256 -1 -0 -0 -3 -0xe16b -0x2af1f790 -256 -256 -0 -0 -0 -1 -0xce3d -0xf9e05771 -256 -256 -0 -0 -0 -4 -0x8929 -0xc474bfa4 -256 -256 -0 -0 -0 -4 -0x55b9 -0x74ca108c -256 -256 -0 -0 -0 -4 -0x16df -0xcff7a079 -256 -256 -0 -0 -0 -2 -0x2033 -0x665878aa -256 -256 -1 -0 -0 -3 -0x7b7a -0xbdeb2165 -256 -256 -0 -0 -0 -3 -0xb15a -0x99a44bf3 -256 -256 -1 -0 -0 -3 -0x1d96 -0x2ba88e3f -256 -256 -1 -0 -0 -2 -0xb33e -0x1fbdbd70 -256 -256 -0 -0 -0 -3 -0x76e0 -0x6dc60e08 -256 -256 -0 -0 -0 -2 -0x8656 -0x865b8f5b -256 -256 -1 -0 -0 -4 -0x23a7 -0xf6933813 -256 -256 -0 -0 -0 -2 -0xddfb -0x88f46dc4 -256 -256 -1 -0 -0 -2 -0xbba1 -0xbaa83e6a -256 -256 -1 -0 -0 -2 -0x7d7d -0x83fe6353 -256 -256 -1 -0 -0 -3 -0x14ab -0x3564ce33 -256 -256 -1 -0 -0 -4 -0xa77a -0x482f9432 -256 -256 -1 -0 -0 -3 -0xcba -0xa1186452 -256 -256 -0 -0 -0 -2 -0x5e0a -0x1ebf9005 -256 -256 -0 -0 -0 -4 -0x3eb4 -0x770e1611 -256 -256 -1 -0 -0 -2 -0xb89a -0xc37ce0e4 -256 -256 -0 -0 -0 -4 -0xfea2 -0xefa8569d -256 -256 -1 -0 -0 -3 -0xe78 -0x58d26e2d -256 -256 -0 -0 -0 -1 -0x52c9 -0x8a28705a -256 -256 -0 -0 -0 -2 -0x602a -0xe40087b -256 -256 -0 -0 -0 -4 -0x4c5c -0xe8a73d29 -256 -256 -1 -0 -0 -1 -0xdcc7 -0x4a42cf2c -256 -256 -1 -0 -0 -3 -0xff62 -0xf7c84ccb -256 -256 -1 -0 -0 -2 -0x684f -0x48315d34 -256 -256 -1 -0 -0 -2 -0xefb5 -0xadde4982 -256 -256 -1 -0 -0 -2 -0x13d -0x37c9e7cf -256 -256 -0 -0 -0 -4 -0x34ba -0x1fca162d -256 -256 -1 -0 -0 -3 -0x5adf -0x19b2ba6a -256 -256 -0 -0 -0 -2 -0xc2b3 -0x18aab1b2 -256 -256 -0 -0 -0 -3 -0xedf4 -0x25dbc46e -256 -256 -1 -0 -0 -3 -0x740f -0xdf3f4843 -256 -256 -1 -0 -0 -1 -0x9a28 -0x6678f191 -256 -256 -1 -0 -0 -3 -0x35bf -0xd595a874 -256 -256 -0 -0 -0 -2 -0xe7c5 -0x53b6af5d -256 -256 -0 -0 -0 -2 -0x3b98 -0x162b4229 -256 -256 -0 -0 -0 -3 -0xa476 -0x6de90704 -256 -256 -0 -0 -0 -1 -0x5d7a -0x45cf1654 -256 -256 -0 -0 -0 -2 -0x18f1 -0x6cf740f9 -256 -256 -1 -0 -0 -3 -0x9ee2 -0x6abda391 -256 -256 -0 -0 -0 -1 -0x7a58 -0xe9539683 -256 -256 -1 -0 -0 -2 -0x7649 -0x5688e739 -256 -256 -0 -0 -0 -4 -0x9256 -0x244c7e7b -256 -256 -0 -0 -0 -1 -0x923c -0xf873c071 -256 -256 -0 -0 -0 -4 -0xd196 -0x5875b571 -256 -256 -0 -0 -0 -4 -0xf6c8 -0xa8f1191 -256 -256 -1 -0 -0 -1 -0xa269 -0x17975ad0 -256 -256 -1 -0 -0 -3 -0x6650 -0xb8af0dda -256 -256 -0 -0 -0 -3 -0xd788 -0x8c296c5e -256 -256 -1 -0 -0 -4 -0x587d -0x96507449 -256 -256 -0 -0 -0 -4 -0x4348 -0x96c61f9c -256 -256 -0 -0 -0 -2 -0x16f7 -0x4c219677 -256 -256 -1 -0 -0 -4 -0x7266 -0x9bbc64ac -256 -256 -0 -0 -0 -4 -0x4417 -0xe7c5cbdb -256 -256 -1 -0 -0 -4 -0xbb83 -0x2abef631 -256 -256 -1 -0 -0 -2 -0x870f -0x346d2785 -256 -256 -1 -0 -0 -2 -0x1d67 -0x3f8221d8 -256 -256 -1 -0 -0 -1 -0x2954 -0x7211a7f8 -256 -256 -1 -0 -0 -1 -0x117e -0x4234b451 -256 -256 -1 -0 -0 -3 -0xe48a -0x91355c1 -256 -256 -1 -0 -0 -4 -0x8b8e -0xb84008b3 -256 -256 -1 -0 -0 -3 -0xad2a -0xa67bbc38 -256 -256 -1 -0 -0 -1 -0x809e -0xaf7d44de -256 -256 -1 -0 -0 -2 -0x206f -0x1574ab95 -256 -256 -1 -0 -0 -2 -0x90a8 -0x48f4a938 -256 -256 -0 -0 -0 -2 -0x1f12 -0xd27b9174 -256 -256 -1 -0 -0 -3 -0xeed2 -0x1c998402 -256 -256 -1 -0 -0 -2 -0x9e81 -0x3ebb967c -256 -256 -0 -0 -0 -3 -0x8466 -0x443a466c -256 -256 -1 -0 -0 -3 -0xe86e -0x8c0079cb -256 -256 -1 -0 -0 -1 -0xcc82 -0x7ed70afb -256 -256 -0 -0 -0 -3 -0x6ae2 -0xc5aa5e84 -256 -256 -0 -0 -0 -1 -0xe655 -0xd1ea4cdd -256 -256 -0 -0 -0 -2 -0xbd7c -0xb31175ab -256 -256 -1 -0 -0 -3 -0x753e -0x69727837 -256 -256 -0 -0 -0 -2 -0x5d00 -0xef7d01ce -256 -256 -1 -0 -0 -3 -0x14e7 -0x333971b0 -256 -256 -0 -0 -0 -1 -0xeefd -0x2ad0ded0 -256 -256 -0 -0 -0 -1 -0x2a7b -0xa309bdae -256 -256 -1 -0 -0 -1 -0x78cd -0x522aa3e6 -256 -256 -1 -0 -0 -2 -0xa1fd -0x164010de -256 -256 -1 -0 -0 -2 -0x7c0 -0xecde4c04 -256 -256 -0 -0 -0 -3 -0xe9c6 -0xfecde062 -256 -256 -0 -0 -0 -1 -0x1a6b -0xfd063d77 -256 -256 -0 -0 -0 -3 -0x2acc -0xdf3d3afc -256 -256 -0 -0 -0 -3 -0xe45a -0xe97d5425 -256 -256 -1 -0 -0 -3 -0x35f3 -0xf5f2e79 -256 -256 -0 -0 -0 -3 -0x198f -0x3375c624 -256 -256 -1 -0 -0 -2 -0xc3fa -0xceb825ad -256 -256 -1 -0 -0 -4 -0x41df -0x24f6fab5 -256 -256 -1 -0 -0 -2 -0x57bc -0x4ee19be4 -256 -256 -1 -0 -0 -2 -0xc90d -0x5a0c9d20 -256 -256 -1 -0 -0 -1 -0xc819 -0x8d53dcad -256 -256 -1 -0 -0 -4 -0xfba9 -0xcbf50a89 -256 -256 -1 -0 -0 -2 -0xcd28 -0x66470688 -256 -256 -1 -0 -0 -2 -0x6427 -0x2dc49727 -256 -256 -1 -0 -0 -1 -0xd913 -0x4c6ddb29 -256 -256 -0 -0 -0 -4 -0xa684 -0x851fe7de -256 -256 -1 -0 -0 -4 -0xa912 -0x2d4c9d81 -256 -256 -0 -0 -0 -3 -0xde73 -0x1eeb268f -256 -256 -1 -0 -0 -3 -0x827f -0x23c0b808 -256 -256 -1 -0 -0 -2 -0xde2a -0xab120a17 -256 -256 -1 -0 -0 -1 -0xda88 -0x44ef38e1 -256 -256 -0 -0 -0 -3 -0x2178 -0x7103e79e -256 -256 -1 -0 -0 -4 -0x329 -0xfa767c5a -256 -256 -0 -0 -0 -3 -0x8bbd -0xfee506ae -256 -256 -1 -0 -0 -3 -0x31a5 -0x75c0850c -256 -256 -0 -0 -0 -3 -0x81da -0xa10546 -256 -256 -0 -0 -0 -3 -0x1d2a -0x947ece07 -256 -256 -0 -0 -0 -4 -0x6721 -0xa7862e4a -256 -256 -0 -0 -0 -2 -0x8c15 -0x1ef9a47e -256 -256 -1 -0 -0 -3 -0xe606 -0x2cb9558 -256 -256 -1 -0 -0 -4 -0x9ce4 -0x8219ec36 -256 -256 -0 -0 -0 -1 -0x14e2 -0x593d79e8 -256 -256 -0 -0 -0 -2 -0xfe69 -0xb8e5dada -256 -256 -0 -0 -0 -1 -0xa235 -0xf2b9bfa4 -256 -256 -0 -0 -0 -2 -0x7fa0 -0x9f0fa277 -256 -256 -1 -0 -0 -2 -0xe2d5 -0x12a495b0 -256 -256 -0 -0 -0 -3 -0x19d0 -0x79007789 -256 -256 -1 -0 -0 -2 -0x783d -0x10f0695 -256 -256 -0 -0 -0 -3 -0xe21e -0x5c26f90 -256 -256 -1 -0 -0 -2 -0x6ef4 -0xc9d5d19b -256 -256 -1 -0 -0 -4 -0xff24 -0xd0c482ad -256 -256 -0 -0 -0 -1 -0xbedf -0x4cdb83e1 -256 -256 -1 -0 -0 -4 -0x815c -0xd7613b92 -256 -256 -1 -0 -0 -2 -0x817f -0xe459ce7 -256 -256 -0 -0 -0 -2 -0x99f4 -0x2b0343ef -256 -256 -1 -0 -0 -1 -0x7118 -0xd2244a04 -256 -256 -0 -0 -0 -3 -0x8211 -0x7e6e3eb3 -256 -256 -0 -0 -0 -4 -0xc8 -0x289dbc12 -256 -256 -0 -0 -0 -3 -0x3013 -0xeef8bee3 -256 -256 -0 -0 -0 -2 -0xbfb9 -0xf1ee84f8 -256 -256 -0 -0 -0 -4 -0xd085 -0xd4ed4543 -256 -256 -1 -0 -0 -2 -0x1ff4 -0xc16d1980 -256 -256 -1 -0 -0 -4 -0x9d5b -0x1dcb3c4c -256 -256 -0 -0 -0 -4 -0x1984 -0x64ffcd42 -256 -256 -0 -0 -0 -3 -0x66d8 -0xb51fa4e3 -256 -256 -0 -0 -0 -2 -0x328d -0x3655ba04 -256 -256 -1 -0 -0 -1 -0xf5d9 -0x35ad73eb -256 -256 -0 -0 -0 -3 -0x6f61 -0xb4f1318 -256 -256 -1 -0 -0 -3 -0xab0a -0xc10a900 -256 -256 -0 -0 -0 -3 -0xc803 -0x9831ff5a -256 -256 -0 -0 -0 -3 -0xdbdf -0x6b36cd50 -256 -256 -1 -0 -0 -2 -0x2b47 -0xa2d7149f -256 -256 -1 -0 -0 -3 -0x3676 -0x971a0f0d -256 -256 -1 -0 -0 -3 -0x9586 -0x72946623 -256 -256 -0 -0 -0 -1 -0x20d3 -0x30878f46 -256 -256 -1 -0 -0 -1 -0x1ee3 -0xb1028521 -256 -256 -1 -0 -0 -2 -0x454f -0x359145e -256 -256 -0 -0 -0 -4 -0x1179 -0x9a506760 -256 -256 -0 -0 -0 -1 -0x9811 -0x2b90dadf -256 -256 -0 -0 -0 -3 -0xeec3 -0xcca3e558 -256 -256 -1 -0 -0 -2 -0x43f8 -0xcf833040 -256 -256 -1 -0 -0 -1 -0x453 -0x2189c300 -256 -256 -0 -0 -0 -3 -0xf6a9 -0xca1e224a -256 -256 -0 -0 -0 -2 -0xad3a -0x46b0ccb3 -256 -256 -1 -0 -0 -1 -0x353d -0x72649749 -256 -256 -1 -0 -0 -4 -0x875b -0x55a9ae54 -256 -256 -1 -0 -0 -1 -0x1ce3 -0xf6405b5f -256 -256 -0 -0 -0 -3 -0x2eaa -0x658dc0a9 -256 -256 -0 -0 -0 -4 -0x8628 -0xb5a558d6 -256 -256 -1 -0 -0 -4 -0xade7 -0xb587c131 -256 -256 -0 -0 -0 -1 -0xce28 -0x52bb3293 -256 -256 -1 -0 -0 -3 -0xcfa6 -0x7133f8d8 -256 -256 -1 -0 -0 -2 -0x2a81 -0x1e1f152e -256 -256 -1 -0 -0 -4 -0xe06b -0xa40a3571 -256 -256 -0 -0 -0 -3 -0x5d9a -0x8597ded1 -256 -256 -1 -0 -0 -1 -0xe2be -0x13d5358b -256 -256 -1 -0 -0 -1 -0x6442 -0x35b21f01 -256 -256 -0 -0 -0 -2 -0xe27f -0xc3d236d7 -256 -256 -1 -0 -0 -1 -0x74c1 -0xb8e10fd6 -256 -256 -1 -0 -0 -3 -0x6149 -0x3404697a -256 -256 -0 -0 -0 -2 -0x8530 -0x4d0b8b04 -256 -256 -1 -0 -0 -1 -0x714e -0xa7546a61 -256 -256 -1 -0 -0 -2 -0xbf57 -0x887cd245 -256 -256 -1 -0 -0 -1 -0xaf50 -0xa8eda0a2 -256 -256 -1 -0 -0 -4 -0x47a5 -0xa1fb13aa -256 -256 -1 -0 -0 -1 -0x66ba -0x36a90ccd -256 -256 -1 -0 -0 -2 -0x9670 -0x2dc44d2e -256 -256 -0 -0 -0 -3 -0xfd94 -0x2d459ada -256 -256 -1 -0 -0 -1 -0xc138 -0xaa89521a -256 -256 -0 -0 -0 -4 -0x1999 -0x3672d2c9 -256 -256 -0 -0 -0 -4 -0x54d -0xab4c56c5 -256 -256 -0 -0 -0 -3 -0x1b7b -0x17d87400 -256 -256 -1 -0 -0 -4 -0x5c9 -0xd6a1f14c -256 -256 -1 -0 -0 -3 -0xd336 -0x31275a3e -256 -256 -0 -0 -0 -3 -0x8019 -0x1e2662df -256 -256 -0 -0 -0 -4 -0x4cb8 -0x2f5a231e -256 -256 -1 -0 -0 -3 -0xa4ab -0xb51e64e2 -256 -256 -1 -0 -0 -1 -0x4f86 -0x246a0bce -256 -256 -1 -0 -0 -1 -0xe84f -0x4e8b898e -256 -256 -0 -0 -0 -2 -0x1d4a -0x1af00075 -256 -256 -1 -0 -0 -4 -0x6ece -0x947f7534 -256 -256 -1 -0 -0 -1 -0x8b41 -0xf47019c -256 -256 -1 -0 -0 -1 -0xd7a2 -0xd81f13ec -256 -256 -0 -0 -0 -1 -0x1b71 -0x3d422649 -256 -256 -1 -0 -0 -1 -0x260a -0xd96f6a09 -256 -256 -0 -0 -0 -4 -0xd597 -0x3e8083e7 -256 -256 -0 -0 -0 -3 -0x1abe -0xa7b81ee6 -256 -256 -1 -0 -0 -1 -0x97b3 -0xfef76c93 -256 -256 -0 -0 -0 -1 -0xdca -0x456892d7 -256 -256 -0 -0 -0 -3 -0x980b -0x324581d0 -256 -256 -0 -0 -0 -3 -0x115b -0xea86c90 -256 -256 -1 -0 -0 -4 -0x1924 -0x43e7b284 -256 -256 -1 -0 -0 -2 -0x4d53 -0x4cf418f -256 -256 -1 -0 -0 -2 -0x5bc9 -0x15289039 -256 -256 -0 -0 -0 -3 -0xf292 -0xde3220ed -256 -256 -1 -0 -0 -1 -0x4bdf -0x69eee90c -256 -256 -0 -0 -0 -3 -0xf90b -0xc6f2b734 -256 -256 -0 -0 -0 -1 -0xd2c8 -0x1fcc6293 -256 -256 -0 -0 -0 -2 -0x64fa -0x5a38d3aa -256 -256 -1 -0 -0 -4 -0x5350 -0x4e84e4a8 -256 -256 -0 -0 -0 -4 -0xc623 -0xdd2b844e -256 -256 -1 -0 -0 -2 -0xab01 -0xb5921e13 -256 -256 -0 -0 -0 -3 -0x70c -0x19d15546 -256 -256 -1 -0 -0 -2 -0xa6c7 -0x7574ce31 -256 -256 -0 -0 -0 -2 -0x34d1 -0x3148dc7e -256 -256 -0 -0 -0 -4 -0x577 -0xcf46cc73 -256 -256 -1 -0 -0 -1 -0xca8d -0xfcb0f9a0 -256 -256 -0 -0 -0 -4 -0xe2f2 -0x8f5bd925 -256 -256 -0 -0 -0 -1 -0x852b -0xb48c3bed -256 -256 -1 -0 -0 -4 -0x469d -0x8992a9dc -256 -256 -1 -0 -0 -1 -0xb860 -0xb2550dc7 -256 -256 -0 -0 -0 -4 -0xc1f7 -0x57999435 -256 -256 -0 -0 -0 -2 -0xc2c -0xf4c5281 -256 -256 -0 -0 -0 -4 -0xc746 -0xe6f981d -256 -256 -0 -0 -0 -2 -0xc692 -0x8627379b -256 -256 -0 -0 -0 -1 -0xc1a5 -0x6227a30d -256 -256 -0 -0 -0 -4 -0x5ebe -0x68f25a38 -256 -256 -0 -0 -0 -4 -0xb876 -0x92530152 -256 -256 -1 -0 -0 -3 -0xff04 -0x6f8f72af -256 -256 -0 -0 -0 -4 -0xaea3 -0x89a058d2 -256 -256 -0 -0 -0 -4 -0xdeed -0xc9e0192c -256 -256 -0 -0 -0 -2 -0x895 -0xae1fd698 -256 -256 -0 -0 -0 -1 -0xf64b -0x62ab9171 -256 -256 -0 -0 -0 -3 -0x48f0 -0xea7a1d0e -256 -256 -1 -0 -0 -2 -0x5c67 -0xb01ddc4 -256 -256 -1 -0 -0 -4 -0x5548 -0xc83dbd54 -256 -256 -0 -0 -0 -3 -0x12f0 -0x736f47f2 -256 -256 -1 -0 -0 -1 -0xa7b7 -0xde0c10ee -256 -256 -0 -0 -0 -4 -0xd36d -0x74c5a8a1 -256 -256 -1 -0 -0 -3 -0x2a82 -0xf02d5fae -256 -256 -1 -0 -0 -2 -0x3fe4 -0xb1efd22d -256 -256 -0 -0 -0 -1 -0xa419 -0x1792267 -256 -256 -1 -0 -0 -1 -0x7471 -0x6383f878 -256 -256 -1 -0 -0 -2 -0x3bc5 -0xf000220e -256 -256 -1 -0 -0 -2 -0xecba -0x64401b04 -256 -256 -1 -0 -0 -1 -0x6fd0 -0xa77c9ece -256 -256 -1 -0 -0 -4 -0x287b -0x9401055e -256 -256 -0 -0 -0 -3 -0x457b -0x47aa492 -256 -256 -1 -0 -0 -4 -0x861e -0xcc3beba4 -256 -256 -0 -0 -0 -3 -0x289e -0x33f7bb73 -256 -256 -1 -0 -0 -2 -0x75f5 -0xb4b8be9d -256 -256 -0 -0 -0 -2 -0xb065 -0x3b227465 -256 -256 -0 -0 -0 -4 -0xca08 -0x9e9f7c3d -256 -256 -0 -0 -0 -1 -0xd89e -0xa7777e4c -256 -256 -0 -0 -0 -1 -0xc18e -0x9e7e9f08 -256 -256 -1 -0 -0 -1 -0xcb29 -0xbf858739 -256 -256 -1 -0 -0 -4 -0x33ae -0x7dc7997 -256 -256 -1 -0 -0 -3 -0xe211 -0xcf8670b5 -256 -256 -1 -0 -0 -1 -0x9bed -0x5e7dc9b3 -256 -256 -0 -0 -0 -2 -0xf9c0 -0xd99e36bc -256 -256 -1 -0 -0 -3 -0xe5f8 -0xc8d71c61 -256 -256 -1 -0 -0 -1 -0x88b1 -0x227519d8 -256 -256 -0 -0 -0 -1 -0xf82e -0xfdae422f -256 -256 -0 -0 -0 -4 -0x3f4d -0x2489048c -256 -256 -1 -0 -0 -1 -0x2746 -0xd57a36a2 -256 -256 -0 -0 -0 -4 -0x39a1 -0x56cb851f -256 -256 -0 -0 -0 -4 -0x1133 -0xe696eccf -256 -256 -0 -0 -0 -4 -0x550e -0xf39c60d6 -256 -256 -0 -0 -0 -2 -0x59e1 -0xf050ee01 -256 -256 -0 -0 -0 -3 -0xbfd4 -0x19e456fa -256 -256 -0 -0 -0 -2 -0x70b9 -0x9644fc2e -256 -256 -0 -0 -0 -2 -0x4643 -0x3e4db99d -256 -256 -0 -0 -0 -1 -0x43fa -0xe2897161 -256 -256 -0 -0 -0 -2 -0x1851 -0x6071a3f -256 -256 -1 -0 -0 -3 -0x6ca3 -0x724944c1 -256 -256 -1 -0 -0 -1 -0x3b6d -0xa6c6442d -256 -256 -1 -0 -0 -1 -0x5d95 -0xe10a4fdf -256 -256 -0 -0 -0 -2 -0xca4f -0xb392bfb4 -256 -256 -1 -0 -0 -2 -0x316d -0xbcd7beda -256 -256 -1 -0 -0 -1 -0x2b1b -0x3349d18a -256 -256 -1 -0 -0 -2 -0x76df -0x9837e1a8 -256 -256 -1 -0 -0 -1 -0x3d0e -0x519f62a4 -256 -256 -1 -0 -0 -3 -0x5da -0x3a50951a -256 -256 -0 -0 -0 -3 -0xd3e7 -0xede4d4d8 -256 -256 -1 -0 -0 -3 -0xa95c -0x7e3b8064 -256 -256 -0 -0 -0 -1 -0x696b -0xd8b04a8d -256 -256 -1 -0 -0 -3 -0x7070 -0x73d6bd5f -256 -256 -0 -0 -0 -3 -0x392b -0x88bd81c3 -256 -256 -1 -0 -0 -2 -0x81e8 -0x1b1615b8 -256 -256 -0 -0 -0 -1 -0x4c5c -0xd21e820a -256 -256 -0 -0 -0 -2 -0x71f8 -0x3adf1a71 -256 -256 -1 -0 -0 -2 -0x546c -0xeea75594 -256 -256 -0 -0 -0 -3 -0x1b23 -0x7b514b4b -256 -256 -1 -0 -0 -1 -0x19e6 -0x8c165ec7 -256 -256 -0 -0 -0 -4 -0xdae7 -0x6b7198bd -256 -256 -0 -0 -0 -2 -0x47f6 -0x8ffc6f76 -256 -256 -0 -0 -0 -4 -0xcc13 -0x81f08e39 -256 -256 -1 -0 -0 -2 -0x4d29 -0x118848a9 -256 -256 -0 -0 -0 -1 -0x1079 -0x977379f0 -256 -256 -0 -0 -0 -4 -0x10cf -0xad81ca92 -256 -256 -0 -0 -0 -2 -0x1ed4 -0xfef660bc -256 -256 -0 -0 -0 -1 -0x694f -0xd4e4d599 -256 -256 -1 -0 -0 -4 -0x28f8 -0x961016e8 -256 -256 -0 -0 -0 -1 -0xd8e3 -0x491687ea -256 -256 -0 -0 -0 -4 -0x7844 -0x3581715a -256 -256 -1 -0 -0 -4 -0x6eb8 -0xba2252aa -256 -256 -0 -0 -0 -2 -0x1db5 -0x19aaeca1 -256 -256 -0 -0 -0 -4 -0xb5d8 -0xbc2172c1 -256 -256 -1 -0 -0 -2 -0xc681 -0xbb79ef6b -256 -256 -1 -0 -0 -4 -0xf88f -0x34e48439 -256 -256 -0 -0 -0 -2 -0x7713 -0x5b926270 -256 -256 -0 -0 -0 -2 -0x9052 -0x8d86b414 -256 -256 -1 -0 -0 -2 -0xfbd3 -0x5960210 -256 -256 -1 -0 -0 -2 -0x97fc -0x99a89a53 -256 -256 -0 -0 -0 -3 -0x5930 -0xc862bd55 -256 -256 -1 -0 -0 -4 -0x4796 -0xaa175ffb -256 -256 -1 -0 -0 -2 -0x26fb -0xbf5a6bd9 -256 -256 -0 -0 -0 -3 -0x80f8 -0x3680483 -256 -256 -1 -0 -0 -1 -0x25c4 -0x35ecf109 -256 -256 -1 -0 -0 -1 -0xd856 -0x1991cc56 -256 -256 -1 -0 -0 -1 -0x7973 -0xee8f96a5 -256 -256 -0 -0 -0 -1 -0xabf9 -0xca43a108 -256 -256 -0 -0 -0 -2 -0x7b2f -0x7ebd0848 -256 -256 -0 -0 -0 -3 -0x4c24 -0x42a084db -256 -256 -0 -0 -0 -1 -0x661f -0x8af8adda -256 -256 -0 -0 -0 -3 -0x743b -0x1bd62bfc -256 -256 -0 -0 -0 -3 -0xf052 -0x3917c706 -256 -256 -1 -0 -0 -3 -0x52b1 -0x7a0f6a94 -256 -256 -1 -0 -0 -1 -0x9a18 -0x8ec04a36 -256 -256 -0 -0 -0 -3 -0x5664 -0xb643da25 -256 -256 -1 -0 -0 -4 -0xf854 -0x92ee9bf6 -256 -256 -0 -0 -0 -3 -0x9c11 -0x6d4e7ec3 -256 -256 -1 -0 -0 -4 -0xe1ca -0xa39ff4af -256 -256 -1 -0 -0 -1 -0x48e5 -0x4c7f4184 -256 -256 -1 -0 -0 -1 -0x5a2f -0x9545d19f -256 -256 -1 -0 -0 -1 -0xb0ef -0x528cf682 -256 -256 -1 -0 -0 -1 -0xd88 -0x48a3bae9 -256 -256 -0 -0 -0 -4 -0xc4f2 -0xc58412c1 -256 -256 -1 -0 -0 -4 -0xa68a -0xfaf9bbf5 -256 -256 -1 -0 -0 -3 -0xf34c -0x969df762 -256 -256 -1 -0 -0 -3 -0x97f -0x60b235d7 -256 -256 -0 -0 -0 -3 -0xc055 -0x563add0d -256 -256 -0 -0 -0 -3 -0x6a5c -0x7a52a336 -256 -256 -1 -0 -0 -1 -0x6157 -0x85b55ff -256 -256 -1 -0 -0 -4 -0x2cdd -0xae5f613f -256 -256 -1 -0 -0 -1 -0xa46f -0xebbd972a -256 -256 -0 -0 -0 -4 -0x24f2 -0xb4943c8c -256 -256 -1 -0 -0 -2 -0x2d47 -0x67c3f942 -256 -256 -0 -0 -0 -1 -0xab06 -0x15694b3e -256 -256 -0 -0 -0 -4 -0x65a6 -0x363ea4f4 -256 -256 -0 -0 -0 -2 -0x5515 -0xff470e38 -256 -256 -1 -0 -0 -3 -0x27b6 -0x643ef94a -256 -256 -1 -0 -0 -4 -0x9960 -0x55502dd9 -256 -256 -1 -0 -0 -4 -0xcd5f -0x2be142a2 -256 -256 -0 -0 -0 -4 -0x8a7b -0x2b5842a8 -256 -256 -0 -0 -0 -2 -0xf90b -0xead23ff2 -256 -256 -1 -0 -0 -2 -0xf439 -0x4e357544 -256 -256 -1 -0 -0 -4 -0x15f -0x268b01cd -256 -256 -1 -0 -0 -3 -0xd341 -0x849649f3 -256 -256 -1 -0 -0 -4 -0xbea0 -0x64170775 -256 -256 -0 -0 -0 -4 -0x4a46 -0x9a2f766 -256 -256 -0 -0 -0 -4 -0x81fb -0xed047f81 -256 -256 -0 -0 -0 -4 -0x387f -0x85583bec -256 -256 -1 -0 -0 -2 -0x9832 -0x6685fc97 -256 -256 -1 -0 -0 -3 -0xeab0 -0xab94cf17 -256 -256 -1 -0 -0 -1 -0xb1b1 -0xc7e4d844 -256 -256 -0 -0 -0 -2 -0xf36d -0x1ca236bc -256 -256 -1 -0 -0 -4 -0xe07b -0x45010e49 -256 -256 -0 -0 -0 -4 -0x7006 -0x490be07f -256 -256 -1 -0 -0 -3 -0x19bf -0xadbe90a8 -256 -256 -1 -0 -0 -3 -0xdcd0 -0x1218bc21 -256 -256 -1 -0 -0 -4 -0x47ce -0xf00d0456 -256 -256 -0 -0 -0 -4 -0x73c5 -0x11cc76a1 -256 -256 -0 -0 -0 -2 -0x7c87 -0xcd139788 -256 -256 -0 -0 -0 -2 -0xf7b5 -0x1bc96bb2 -256 -256 -0 -0 -0 -1 -0xe71f -0xb9557c08 -256 -256 -0 -0 -0 -3 -0x6450 -0x5a68847f -256 -256 -0 -0 -0 -4 -0xfd7a -0xb91d5a38 -256 -256 -1 -0 -0 -4 -0xca12 -0x165aba90 -256 -256 -1 -0 -0 -4 -0x9e78 -0x6aefdc9e -256 -256 -1 -0 -0 -4 -0x4ce -0x50764649 -256 -256 -0 -0 -0 -4 -0x2b8f -0x69bcc46f -256 -256 -1 -0 -0 -4 -0x3ca8 -0x7cf9d782 -256 -256 -0 -0 -0 -1 -0xa399 -0x8de2d89d -256 -256 -0 -0 -0 -1 -0x5291 -0xee8276ae -256 -256 -1 -0 -0 -2 -0xd1bc -0x38f5a074 -256 -256 -0 -0 -0 -4 -0x4f9a -0x5884f501 -256 -256 -1 -0 -0 -3 -0xf6a4 -0x1f58fc50 -256 -256 -0 -0 -0 -4 -0xdefd -0xf78873c1 -256 -256 -1 -0 -0 -3 -0x188c -0x94e2713c -256 -256 -1 -0 -0 -4 -0x2e0b -0xab0b24dc -256 -256 -1 -0 -0 -3 -0x1855 -0xb8cc91f -256 -256 -0 -0 -0 -2 -0xec2b -0x4aebddcb -256 -256 -0 -0 -0 -1 -0xaf27 -0xece8bfc5 -256 -256 -1 -0 -0 -3 -0xa2b8 -0x2029b4c4 -256 -256 -1 -0 -0 -3 -0x9dce -0xcc8626d0 -256 -256 -0 -0 -0 -4 -0x90b3 -0x901cfe76 -256 -256 -1 -0 -0 -2 -0x5db1 -0xe28269e7 -256 -256 -1 -0 -0 -4 -0xe03e -0xf88ee00e -256 -256 -0 -0 -0 -1 -0x3ce9 -0x60e92bd2 -256 -256 -0 -0 -0 -2 -0x22c5 -0x524e5971 -256 -256 -0 -0 -0 -1 -0x8911 -0x3c4b1efe -256 -256 -1 -0 -0 -4 -0x50ac -0x8ad8848 -256 -256 -0 -0 -0 -2 -0x618d -0x803230d0 -256 -256 -0 -0 -0 -4 -0xc0b2 -0xb010b992 -256 -256 -1 -0 -0 -3 -0xbac -0xb7d9bb3e -256 -256 -0 -0 -0 -1 -0xa006 -0xf2b41610 -256 -256 -0 -0 -0 -4 -0xc6f -0x76a2292b -256 -256 -0 -0 -0 -2 -0x282e -0xd14472ae -256 -256 -0 -0 -0 -4 -0x46bf -0x7ba8802d -256 -256 -0 -0 -0 -4 -0x524b -0x706da385 -256 -256 -0 -0 -0 -4 -0xb3a8 -0x6eb05fb7 -256 -256 -1 -0 -0 -3 -0xe307 -0xbacddf35 -256 -256 -1 -0 -0 -2 -0x182 -0xcdd7b533 -256 -256 -1 -0 -0 -2 -0xc23d -0x7cecde57 -256 -256 -1 -0 -0 -1 -0xf25e -0x86060be2 -256 -256 -1 -0 -0 -3 -0xe8b1 -0x3bfc2434 -256 -256 -0 -0 -0 -1 -0xa4c4 -0x65373f43 -256 -256 -1 -0 -0 -1 -0x72d6 -0xb17bdea8 -256 -256 -1 -0 -0 -4 -0xa7b4 -0x947ba7d1 -256 -256 -1 -0 -0 -2 -0xa260 -0x18fe0124 -256 -256 -1 -0 -0 -1 -0xf089 -0x66895259 -256 -256 -0 -0 -0 -1 -0x2ed -0x231883e9 -256 -256 -0 -0 -0 -1 -0xb7b5 -0x9c0916b2 -256 -256 -0 -0 -0 -3 -0xe082 -0x342d2644 -256 -256 -0 -0 -0 -1 -0x8d9c -0x52bd1ade -256 -256 -1 -0 -0 -4 -0xb0ae -0x3c7befc -256 -256 -1 -0 -0 -4 -0x389 -0xb4cddda0 -256 -256 -0 -0 -0 -2 -0x53ae -0xa5e16620 -256 -256 -1 -0 -0 -3 -0x8f5f -0x8a83e089 -256 -256 -1 -0 -0 -1 -0xbe16 -0x51211f45 -256 -256 -1 -0 -0 -4 -0xc68 -0x370eb507 -256 -256 -1 -0 -0 -1 -0xc299 -0xed53eefc -256 -256 -1 -0 -0 -4 -0x7522 -0x2f284a52 -256 -256 -0 -0 -0 -2 -0x37f3 -0xef78a34a -256 -256 -1 -0 -0 -3 -0xb1ad -0xb4fde443 -256 -256 -0 -0 -0 -4 -0x360a -0x4471c867 -256 -256 -1 -0 -0 -1 -0x280d -0x77b52023 -256 -256 -1 -0 -0 -4 -0x816c -0xda883a78 -256 -256 -1 -0 -0 -3 -0xfd47 -0x884e4621 -256 -256 -0 -0 -0 -3 -0x8260 -0xc24890a5 -256 -256 -1 -0 -0 -3 -0x7846 -0xf8aeb086 -256 -256 -0 -0 -0 -1 -0x9224 -0x21e16a90 -256 -256 -0 -0 -0 -3 -0x9e86 -0xa627956c -256 -256 -0 -0 -0 -3 -0xd3a -0x31abc48d -256 -256 -1 -0 -0 -3 -0x5667 -0xed197cea -256 -256 -1 -0 -0 -3 -0x88a4 -0x62d212b9 -256 -256 -1 -0 -0 -4 -0x856c -0x457f7dee -256 -256 -1 -0 -0 -1 -0xd0fc -0xa994a83e -256 -256 -1 -0 -0 -2 -0x4262 -0x5a03999d -256 -256 -1 -0 -0 -4 -0x107b -0xe81c4cec -256 -256 -0 -0 -0 -3 -0x4f1e -0x709651f2 -256 -256 -0 -0 -0 -3 -0xe67f -0xdea2aa84 -256 -256 -0 -0 -0 -1 -0xc414 -0xc2351803 -256 -256 -0 -0 -0 -4 -0x452c -0x7f33a57e -256 -256 -1 -0 -0 -1 -0xd66a -0x80d5da95 -256 -256 -1 -0 -0 -1 -0x7e78 -0xd00da36b -256 -256 -1 -0 -0 -1 -0x182e -0x3198e57f -256 -256 -1 -0 -0 -4 -0x28cb -0x8d2c1a35 -256 -256 -1 -0 -0 -4 -0x3506 -0xa5c51c5e -256 -256 -0 -0 -0 -4 -0x1543 -0xef712b78 -256 -256 -1 -0 -0 -4 -0x15df -0x630d4dc6 -256 -256 -0 -0 -0 -3 -0xf200 -0xd092dd72 -256 -256 -0 -0 -0 -1 -0x937f -0x1c075c73 -256 -256 -1 -0 -0 -1 -0x5603 -0x260661a9 -256 -256 -1 -0 -0 -4 -0x6d8f -0xbccb511b -256 -256 -0 -0 -0 -2 -0xd45c -0x3f45b649 -256 -256 -1 -0 -0 -2 -0x9cd1 -0xe5d3f1a4 -256 -256 -0 -0 -0 -1 -0x5651 -0x4fb29c96 -256 -256 -1 -0 -0 -2 -0x1f20 -0x4960366c -256 -256 -1 -0 -0 -4 -0xcb48 -0x24e926ab -256 -256 -0 -0 -0 -2 -0x754f -0x674083f5 -256 -256 -0 -0 -0 -4 -0x95d2 -0xf9d34b64 -256 -256 -1 -0 -0 -2 -0x876c -0x5ea48b0c -256 -256 -1 -0 -0 -1 -0x57b4 -0xebf52698 -256 -256 -1 -0 -0 -4 -0x3de5 -0xd6ecc468 -256 -256 -1 -0 -0 -3 -0x7f5b -0xf293c444 -256 -256 -0 -0 -0 -2 -0x8e99 -0xf05d9dae -256 -256 -1 -0 -0 -2 -0x69f1 -0x16467347 -256 -256 -1 -0 -0 -1 -0xacff -0xe6ae410a -256 -256 -0 -0 -0 -1 -0xe58e -0x32b8fadb -256 -256 -0 -0 -0 -3 -0x40be -0x6dc073c3 -256 -256 -1 -0 -0 -2 -0xcef5 -0x64c999ca -256 -256 -0 -0 -0 -3 -0xa28 -0xf0cbe24d -256 -256 -1 -0 -0 -4 -0x7d3d -0xb788b69b -256 -256 -1 -0 -0 -2 -0x2675 -0xe6785db1 -256 -256 -1 -0 -0 -4 -0x8025 -0x3e39df6a -256 -256 -0 -0 -0 -3 -0x33a2 -0xca93fb -256 -256 -0 -0 -0 -1 -0xba7a -0x827c264 -256 -256 -0 -0 -0 -4 -0xc2a3 -0x5742e6c3 -256 -256 -1 -0 -0 -2 -0x665b -0x5c60193 -256 -256 -1 -0 -0 -3 -0x5c10 -0xbf1aeb9 -256 -256 -1 -0 -0 -3 -0xf606 -0xee90b37 -256 -256 -1 -0 -0 -3 -0xec01 -0xca26fab6 -256 -256 -0 -0 -0 -2 -0x4ad5 -0x6d5653e7 -256 -256 -0 -0 -0 -4 -0x53cb -0x23c7a12d -256 -256 -1 -0 -0 -1 -0x48db -0x30135dd9 -256 -256 -0 -0 -0 -1 -0x476d -0x253186ba -256 -256 -0 -0 -0 -1 -0x9d84 -0xb92a3ba1 -256 -256 -0 -0 -0 -3 -0xde39 -0x317dc6ed -256 -256 -0 -0 -0 -4 -0xdef0 -0x87f7641b -256 -256 -0 -0 -0 -2 -0x1224 -0xc0794e88 -256 -256 -0 -0 -0 -4 -0x703 -0xf23d5a28 -256 -256 -0 -0 -0 -3 -0xb20b -0x77fb6766 -256 -256 -1 -0 -0 -4 -0x9636 -0x83da70b9 -256 -256 -0 -0 -0 -4 -0x78f4 -0xf76e5e3e -256 -256 -1 -0 -0 -4 -0x7f20 -0x379e6c42 -256 -256 -0 -0 -0 -4 -0xa806 -0xb5286a4 -256 -256 -0 -0 -0 -1 -0xc7b9 -0xda55c4f9 -256 -256 -0 -0 -0 -4 -0x9f58 -0xc1b049d0 -256 -256 -0 -0 -0 -2 -0xb62 -0xe679b4c1 -256 -256 -0 -0 -0 -2 -0x5376 -0xeb6bab01 -256 -256 -1 -0 -0 -4 -0x6c8e -0x9bc3bdbe -256 -256 -1 -0 -0 -2 -0xb56d -0x37afdde6 -256 -256 -0 -0 -0 -4 -0xa34c -0x9899c8f9 -256 -256 -1 -0 -0 -3 -0xb8d -0x654b72dc -256 -256 -0 -0 -0 -2 -0x6650 -0x9761856 -256 -256 -1 -0 -0 -2 -0x4d1e -0x83f5286d -256 -256 -1 -0 -0 -3 -0x4262 -0xcf3ef3c5 -256 -256 -1 -0 -0 -3 -0xcf81 -0xa278eea -256 -256 -1 -0 -0 -1 -0x7890 -0x73594a17 -256 -256 -1 -0 -0 -2 -0x70b7 -0xef900a78 -256 -256 -1 -0 -0 -3 -0x5337 -0x44720df7 -256 -256 -0 -0 -0 -2 -0x4b3e -0xde13d046 -256 -256 -1 -0 -0 -1 -0x1c96 -0x85e4a951 -256 -256 -1 -0 -0 -2 -0xa0ee -0x4863a7c -256 -256 -0 -0 -0 -3 -0x8000 -0xff30e8c7 -256 -256 -0 -0 -0 -1 -0xdd40 -0x2cb1f77a -256 -256 -1 -0 -0 -2 -0xbdfb -0x62467cc6 -256 -256 -1 -0 -0 -1 -0x6295 -0x16b41e77 -256 -256 -0 -0 -0 -1 -0x778e -0xbc7cea09 -256 -256 -1 -0 -0 -1 -0x1c9d -0x4c38ee7d -256 -256 -1 -0 -0 -1 -0xd32 -0xac4e7ae5 -256 -256 -1 -0 -0 -1 -0x1355 -0xd8aa0bf7 -256 -256 -0 -0 -0 -2 -0xbbaa -0x6182ad6c -256 -256 -0 -0 -0 -3 -0x2d4e -0xe485c9d7 -256 -256 -1 -0 -0 -4 -0xcd8d -0xcda40aa4 -256 -256 -1 -0 -0 -3 -0x46ec -0x3af84103 -256 -256 -1 -0 -0 -2 -0x60ec -0x5144212c -256 -256 -1 -0 -0 -4 -0x3500 -0xff268e59 -256 -256 -0 -0 -0 -2 -0x6d6f -0xfe145c37 -256 -256 -0 -0 -0 -4 -0xa5d8 -0xb4549c50 -256 -256 -0 -0 -0 -4 -0x68a6 -0x21c5fbe9 -256 -256 -0 -0 -0 -4 -0x3946 -0x8497606c -256 -256 -0 -0 -0 -1 -0xc525 -0x20a162ed -256 -256 -0 -0 -0 -3 -0x76a6 -0x8cede5f0 -256 -256 -1 -0 -0 -1 -0x7942 -0x75dfa8a7 -256 -256 -0 -0 -0 -1 -0xc3f2 -0xe9f8d005 -256 -256 -0 -0 -0 -1 -0xcfa2 -0x3f9278e3 -256 -256 -1 -0 -0 -2 -0x4ffe -0x11c58477 -256 -256 -0 -0 -0 -1 -0x4265 -0xa4e2b0d4 -256 -256 -0 -0 -0 -2 -0xf923 -0xba5f6e63 -256 -256 -0 -0 -0 -1 -0xaa34 -0xeed33a54 -256 -256 -0 -0 -0 -4 -0x8d2 -0xac23bde2 -256 -256 -1 -0 -0 -2 -0xfda9 -0x984ea3ef -256 -256 -0 -0 -0 -4 -0xd65 -0x2425f860 -256 -256 -0 -0 -0 -4 -0x5bc2 -0x4b8c2bed -256 -256 -0 -0 -0 -2 -0x1e4d -0xd4f364fd -256 -256 -0 -0 -0 -1 -0xa69f -0x5eda2237 -256 -256 -1 -0 -0 -1 -0xdf60 -0x255ced7 -256 -256 -1 -0 -0 -4 -0xba42 -0x607a64b2 -256 -256 -1 -0 -0 -3 -0x901c -0xa5b8e420 -256 -256 -0 -0 -0 -2 -0xddcf -0xdc3040e1 -256 -256 -0 -0 -0 -2 -0x4de7 -0x87a66f37 -256 -256 -1 -0 -0 -4 -0xc242 -0x83d1d50e -256 -256 -1 -0 -0 -1 -0xfd95 -0xd1b83998 -256 -256 -0 -0 -0 -4 -0xd716 -0x29d6f6ec -256 -256 -0 -0 -0 -2 -0x9119 -0x43a09ad -256 -256 -1 -0 -0 -3 -0x4487 -0x79ee1dc0 -256 -256 -0 -0 -0 -1 -0x185a -0x817c2bf3 -256 -256 -1 -0 -0 -3 -0x5135 -0xdffc55ce -256 -256 -0 -0 -0 -4 -0xfa7 -0xad2e0cfd -256 -256 -0 -0 -0 -3 -0xfc97 -0x1a377265 -256 -256 -0 -0 -0 -3 -0xf217 -0x1e0d2514 -256 -256 -0 -0 -0 -1 -0x7696 -0x4cda6252 -256 -256 -1 -0 -0 -3 -0x9fa4 -0x365d5205 -256 -256 -1 -0 -0 -3 -0x60b2 -0x72cc005 -256 -256 -1 -0 -0 -2 -0x3c40 -0x9ca68d3 -256 -256 -1 -0 -0 -2 -0x82d1 -0xc90b7a3f -256 -256 -1 -0 -0 -4 -0x9e33 -0xa980bd18 -256 -256 -0 -0 -0 -4 -0x34bf -0xd5ecaae8 -256 -256 -1 -0 -0 -1 -0x38c6 -0x856aa5f -256 -256 -1 -0 -0 -4 -0xf699 -0xa3b954d2 -256 -256 -1 -0 -0 -2 -0x1525 -0x78738ff5 -256 -256 -0 -0 -0 -4 -0x51b3 -0x3b8280e -256 -256 -1 -0 -0 -2 -0x468f -0x50e3a821 -256 -256 -0 -0 -0 -3 -0x333c -0x55b13cf0 -256 -256 -0 -0 -0 -4 -0xda22 -0x266560e6 -256 -256 -0 -0 -0 -4 -0xf0d2 -0x8e5d360 -256 -256 -1 -0 -0 -3 -0x18d -0x4e0d7c83 -256 -256 -1 -0 -0 -1 -0x8478 -0xb67992ad -256 -256 -0 -0 -0 -3 -0xb7dc -0xa68acaa -256 -256 -0 -0 -0 -3 -0x142d -0x98da40fb -256 -256 -0 -0 -0 -4 -0xac29 -0x26ed5442 -256 -256 -0 -0 -0 -4 -0xdf1b -0x91795501 -256 -256 -1 -0 -0 -2 -0xb7ad -0x72940ea3 -256 -256 -0 -0 -0 -2 -0xd1b1 -0xe37370f5 -256 -256 -0 -0 -0 -2 -0xb0e7 -0xbe7bddd6 -256 -256 -0 -0 -0 -4 -0x2b91 -0xa5b0ce13 -256 -256 -0 -0 -0 -4 -0xfe05 -0x908c7599 -256 -256 -1 -0 -0 -4 -0xdce2 -0x5d42341b -256 -256 -1 -0 -0 -4 -0x108c -0x4ab7dba2 -256 -256 -1 -0 -0 -3 -0xccd7 -0xbf948036 -256 -256 -1 -0 -0 -3 -0xc39f -0x24c92169 -256 -256 -0 -0 -0 -2 -0xbbe4 -0xc718c480 -256 -256 -1 -0 -0 -3 -0x8ee -0xbaa29d25 -256 -256 -0 -0 -0 -4 -0xaa1b -0xc37f2996 -256 -256 -0 -0 -0 -3 -0x6b04 -0x9aaff9db -256 -256 -1 -0 -0 -2 -0x5fc2 -0xb5881765 -256 -256 -0 -0 -0 -3 -0x373e -0x59aa98ce -256 -256 -1 -0 -0 -1 -0x8ff6 -0x5dd9c0ee -256 -256 -1 -0 -0 -3 -0x1080 -0xd320a70d -256 -256 -1 -0 -0 -4 -0xbef3 -0xf0253a64 -256 -256 -0 -0 -0 -4 -0x50bd -0x67f19c0c -256 -256 -0 -0 -0 -3 -0x3a12 -0x4055b689 -256 -256 -1 -0 -0 -1 -0xc981 -0x151b2180 -256 -256 -0 -0 -0 -3 -0x56ca -0xf6516862 -256 -256 -0 -0 -0 -1 -0xb833 -0xb4583288 -256 -256 -1 -0 -0 -3 -0x3ff3 -0xb2eaddaf -256 -256 -1 -0 -0 -2 -0x2b94 -0x598a0b37 -256 -256 -0 -0 -0 -1 -0x77a1 -0x2236c689 -256 -256 -0 -0 -0 -3 -0x364d -0x7582eee4 -256 -256 -0 -0 -0 -1 -0x6555 -0x187d8243 -256 -256 -0 -0 -0 -4 -0x7aa3 -0x831ae901 -256 -256 -0 -0 -0 -3 -0xcd21 -0xed38589f -256 -256 -0 -0 -0 -2 -0x3710 -0xc0362db7 -256 -256 -0 -0 -0 -4 -0x5e02 -0x8bc6cc92 -256 -256 -0 -0 -0 -2 -0xfa34 -0x70e59d93 -256 -256 -0 -0 -0 -4 -0xd61e -0xe23ea544 -256 -256 -0 -0 -0 -4 -0x388f -0xf3a9ad4d -256 -256 -1 -0 -0 -1 -0x3337 -0xb73a5a8f -256 -256 -1 -0 -0 -1 -0xe903 -0x16214202 -256 -256 -1 -0 -0 -2 -0xaf81 -0xc645bcd5 -256 -256 -0 -0 -0 -2 -0xdba8 -0x971c39a7 -256 -256 -1 -0 -0 -1 -0xb2f8 -0x8cddc63b -256 -256 -1 -0 -0 -2 -0xed3f -0x70d562b8 -256 -256 -0 -0 -0 -1 -0x49df -0x16841f09 -256 -256 -0 -0 -0 -2 -0x2535 -0x9ce28f9a -256 -256 -0 -0 -0 -3 -0x1945 -0x582e0bc7 -256 -256 -0 -0 -0 -4 -0xbb6d -0xeb6e39e6 -256 -256 -0 -0 -0 -4 -0xec63 -0xe21280b -256 -256 -0 -0 -0 -4 -0x6a5e -0x9d78c624 -256 -256 -0 -0 -0 -1 -0xbb27 -0x9bbf357f -256 -256 -0 -0 -0 -2 -0x2647 -0x95b261bc -256 -256 -0 -0 -0 -2 -0x3e25 -0xe6aa9ae8 -256 -256 -1 -0 -0 -3 -0xac4c -0x7ca7b00 -256 -256 -0 -0 -0 -3 -0xdc97 -0xef46c1ee -256 -256 -1 -0 -0 -4 -0xed4b -0xf651373b -256 -256 -1 -0 -0 -4 -0xe7c5 -0xa2f790f8 -256 -256 -0 -0 -0 -4 -0x9ac8 -0xfee08647 -256 -256 -0 -0 -0 -3 -0x7919 -0xc5931a6c -256 -256 -1 -0 -0 -1 -0x6f7b -0x9fb0a078 -256 -256 -0 -0 -0 -1 -0xf66a -0x3a7c5799 -256 -256 -1 -0 -0 -1 -0xff4b -0xd7621514 -256 -256 -0 -0 -0 -2 -0x80e3 -0x826b0b8 -256 -256 -1 -0 -0 -2 -0x86d8 -0xafbbb9de -256 -256 -1 -0 -0 -3 -0xe2c8 -0x3ef3c2db -256 -256 -0 -0 -0 -3 -0xdd48 -0x78ec1917 -256 -256 -0 -0 -0 -4 -0x405a -0x145f7fde -256 -256 -0 -0 -0 -4 -0x72ad -0x5fc9d818 -256 -256 -1 -0 -0 -1 -0xa595 -0xf11fbe0a -256 -256 -1 -0 -0 -3 -0x5fed -0xdcdbd57b -256 -256 -0 -0 -0 -4 -0x8508 -0x974bfc00 -256 -256 -1 -0 -0 -3 -0xdfc3 -0x7a5fd7d6 -256 -256 -1 -0 -0 -2 -0x540a -0xbbc08c38 -256 -256 -0 -0 -0 -2 -0x8184 -0x697892ad -256 -256 -0 -0 -0 -1 -0xb1b8 -0x3e2b6661 -256 -256 -0 -0 -0 -2 -0xd068 -0xe9c2b1d8 -256 -256 -0 -0 -0 -2 -0x28df -0xbc65ec5f -256 -256 -1 -0 -0 -1 -0xdf78 -0x2bf22c2b -256 -256 -0 -0 -0 -1 -0xb2de -0x2c5e5bec -256 -256 -1 -0 -0 -1 -0x3b22 -0xdad105b9 -256 -256 -0 -0 -0 -3 -0x5eea -0x885998ef -256 -256 -0 -0 -0 -4 -0xca17 -0xe52e440e -256 -256 -1 -0 -0 -1 -0xac66 -0xc6cfa0be -256 -256 -0 -0 -0 -1 -0xcd5e -0x94dc32d0 -256 -256 -1 -0 -0 -4 -0xf4f4 -0xcf314d29 -256 -256 -1 -0 -0 -1 -0xf2c8 -0xaaf602d4 -256 -256 -0 -0 -0 -1 -0xcc64 -0xa6bf00f3 -256 -256 -1 -0 -0 -4 -0x60e5 -0xa2e6189 -256 -256 -1 -0 -0 -1 -0x863c -0x7def36fb -256 -256 -1 -0 -0 -2 -0x2d05 -0x259d45f9 -256 -256 -0 -0 -0 -1 -0x751f -0xcf296368 -256 -256 -0 -0 -0 -3 -0x80f2 -0xbafaf9b4 -256 -256 -1 -0 -0 -2 -0xab8d -0x3af2cc04 -256 -256 -1 -0 -0 -4 -0x4420 -0xf38de248 -256 -256 -1 -0 -0 -1 -0x730a -0x7a86e8d1 -256 -256 -0 -0 -0 -2 -0xd4f5 -0xb33ff0a -256 -256 -1 -0 -0 -3 -0x2ef8 -0x928552d -256 -256 -1 -0 -0 -4 -0x482f -0x457a3b48 -256 -256 -0 -0 -0 -3 -0xe435 -0x538177c2 -256 -256 -0 -0 -0 -2 -0x3362 -0xd99213cb -256 -256 -1 -0 -0 -3 -0xb095 -0xef46595c -256 -256 -1 -0 -0 -3 -0x43a8 -0xbcd250f2 -256 -256 -0 -0 -0 -1 -0xf238 -0x331327d1 -256 -256 -1 -0 -0 -3 -0x5ff9 -0xa54d477e -256 -256 -0 -0 -0 -2 -0x560 -0x3ef03d74 -256 -256 -1 -0 -0 -2 -0xe5e8 -0x8a43839d -256 -256 -0 -0 -0 -2 -0x288c -0x7b34372c -256 -256 -1 -0 -0 -2 -0x458c -0xb7221f5 -256 -256 -0 -0 -0 -1 -0x61fb -0xb4084a85 -256 -256 -1 -0 -0 -2 -0x42e7 -0xeaeb5f5d -256 -256 -0 -0 -0 -1 -0xdab5 -0x77106bb5 -256 -256 -0 -0 -0 -1 -0x6a7a -0xe1b52481 -256 -256 -1 -0 -0 -4 -0x96b5 -0x2a1015b5 -256 -256 -0 -0 -0 -1 -0x422c -0x7f0aef1e -256 -256 -0 -0 -0 -2 -0x3a2b -0xc6d1dd4e -256 -256 -1 -0 -0 -3 -0xf633 -0x6cd17d4b -256 -256 -1 -0 -0 -3 -0xc9f6 -0x334e5a16 -256 -256 -1 -0 -0 -2 -0xa6fa -0x98acb8ae -256 -256 -1 -0 -0 -4 -0x4876 -0xce8b03a3 -256 -256 -1 -0 -0 -4 -0xdffc -0x7ea3e72d -256 -256 -1 -0 -0 -3 -0xd8f6 -0x36194fd0 -256 -256 -0 -0 -0 -2 -0xc3bf -0xdf26874e -256 -256 -0 -0 -0 -1 -0x1e95 -0x6ee48bd0 -256 -256 -1 -0 -0 -4 -0x80c1 -0xfe972236 -256 -256 -0 -0 -0 -2 -0xcaa4 -0x9403bb90 -256 -256 -0 -0 -0 -1 -0x6841 -0x1df052e -256 -256 -1 -0 -0 -2 -0xd483 -0x82dd1c9a -256 -256 -0 -0 -0 -2 -0x2de4 -0x67f9af2e -256 -256 -1 -0 -0 -1 -0xc0e9 -0xe159399d -256 -256 -1 -0 -0 -2 -0xd7dc -0x692f5e91 -256 -256 -0 -0 -0 -3 -0x96fc -0xba2bfcab -256 -256 -0 -0 -0 -3 -0x488e -0xa67e1eab -256 -256 -1 -0 -0 -1 -0x1c24 -0x4fbe8a73 -256 -256 -1 -0 -0 -4 -0x5489 -0xcfc6900b -256 -256 -0 -0 -0 -3 -0x5853 -0x7311bb4f -256 -256 -1 -0 -0 -2 -0xc006 -0x812c9502 -256 -256 -1 -0 -0 -3 -0x8eb1 -0x559f2f1c -256 -256 -1 -0 -0 -1 -0xe2c6 -0x28aec110 -256 -256 -1 -0 -0 -4 -0xfb4e -0x95365d5e -256 -256 -0 -0 -0 -2 -0xad67 -0xe5b8c4e3 -256 -256 -0 -0 -0 -1 -0xa6af -0xf029e63e -256 -256 -1 -0 -0 -2 -0xe1b6 -0x1f6763ff -256 -256 -0 -0 -0 -4 -0x382f -0x7ea4ca98 -256 -256 -1 -0 -0 -4 -0xc42e -0x72ff2f2b -256 -256 -0 -0 -0 -2 -0xfde0 -0xe6f0c9a1 -256 -256 -0 -0 -0 -1 -0x1a3f -0x904b4b08 -256 -256 -0 -0 -0 -3 -0xeb5b -0x7d2fd3a5 -256 -256 -1 -0 -0 -2 -0x9b91 -0x95d77a78 -256 -256 -1 -0 -0 -2 -0xc9f3 -0xeb7aa92d -256 -256 -0 -0 -0 -2 -0x6e9a -0xb817d8fe -256 -256 -0 -0 -0 -3 -0xfe20 -0x78fd3599 -256 -256 -0 -0 -0 -3 -0xcc8b -0xa526390f -256 -256 -1 -0 -0 -3 -0x163d -0x151a4acd -256 -256 -1 -0 -0 -2 -0xc755 -0x1ef863c8 -256 -256 -0 -0 -0 -3 -0x2837 -0x8b671a5e -256 -256 -0 -0 -0 -4 -0x1df4 -0xcfea6d15 -256 -256 -1 -0 -0 -2 -0x1d1d -0x8e36b856 -256 -256 -0 -0 -0 -1 -0x6a73 -0xb26c0126 -256 -256 -0 -0 -0 -3 -0x2f3 -0x7055a65b -256 -256 -0 -0 -0 -4 -0xa313 -0x57dd69c9 -256 -256 -0 -0 -0 -1 -0x3b45 -0x5bf03c3c -256 -256 -1 -0 -0 -1 -0x7efb -0x1b66be9a -256 -256 -0 -0 -0 -2 -0x5769 -0x30a15379 -256 -256 -1 -0 -0 -4 -0x6b05 -0xe70a1296 -256 -256 -0 -0 -0 -2 -0x2f84 -0x261c866d -256 -256 -1 -0 -0 -3 -0x4ccd -0xcbe751d1 -256 -256 -0 -0 -0 -4 -0x42d8 -0x2eb2d1be -256 -256 -0 -0 -0 -1 -0x8496 -0xd8e374eb -256 -256 -0 -0 -0 -4 -0x2f69 -0xfb575a94 -256 -256 -1 -0 -0 -2 -0xce12 -0x363c4a44 -256 -256 -1 -0 -0 -2 -0xe0b8 -0x3b79217a -256 -256 -0 -0 -0 -1 -0xcd01 -0x3bb3eb40 -256 -256 -1 -0 -0 -3 -0x799b -0xb9ae9618 -256 -256 -1 -0 -0 -3 -0x9262 -0x5be536c5 -256 -256 -0 -0 -0 -1 -0xdfbb -0x74b21b43 -256 -256 -1 -0 -0 -3 -0x7b2 -0x282dc851 -256 -256 -0 -0 -0 -4 -0x267c -0xb33bc7ea -256 -256 -0 -0 -0 -2 -0x8a63 -0xe028aab0 -256 -256 -1 -0 -0 -4 -0x62f4 -0x23732d73 -256 -256 -1 -0 -0 -2 -0x61df -0x7e94c025 -256 -256 -1 -0 -0 -4 -0xf433 -0x9fb6849f -256 -256 -0 -0 -0 -2 -0x4a2c -0x129d7523 -256 -256 -0 -0 -0 -4 -0x8fc4 -0xc33419dc -256 -256 -1 -0 -0 -3 -0xe2a3 -0x7cdc01ff -256 -256 -0 -0 -0 -3 -0x9296 -0x82ba7bde -256 -256 -1 -0 -0 -3 -0x281c -0x62383d14 -256 -256 -1 -0 -0 -2 -0xae76 -0x37f5bd53 -256 -256 -1 -0 -0 -4 -0x3fd8 -0x6a34fee0 -256 -256 -0 -0 -0 -4 -0xaeaa -0x8c828206 -256 -256 -0 -0 -0 -2 -0x43b8 -0x4b52338a -256 -256 -1 -0 -0 -4 -0xe15 -0x5bfa9276 -256 -256 -0 -0 -0 -4 -0xa68f -0x8bfdbd18 -256 -256 -1 -0 -0 -2 -0x1bf5 -0x76bf28b5 -256 -256 -0 -0 -0 -4 -0xe3c2 -0x6ebde5e8 -256 -256 -1 -0 -0 -2 -0x8d25 -0x4978acc9 -256 -256 -0 -0 -0 -3 -0x1b67 -0x717f45a0 -256 -256 -0 -0 -0 -4 -0xc842 -0x1275ece4 -256 -256 -0 -0 -0 -1 -0x15eb -0xd4b6e5c8 -256 -256 -0 -0 -0 -4 -0x9cec -0x2cc749ad -256 -256 -0 -0 -0 -3 -0x3d72 -0x39cbe073 -256 -256 -1 -0 -0 -3 -0xf9f1 -0xe5f91ac9 -256 -256 -0 -0 -0 -1 -0x9a90 -0xc77e151 -256 -256 -0 -0 -0 -1 -0x1b2b -0x104e5556 -256 -256 -0 -0 -0 -3 -0xc9f7 -0xfe975472 -256 -256 -0 -0 -0 -4 -0x173b -0xdf9ebf8f -256 -256 -1 -0 -0 -4 -0x98db -0xa1f05b63 -256 -256 -0 -0 -0 -4 -0xaffb -0x89ae6a93 -256 -256 -0 -0 -0 -3 -0xe0d -0x491f0271 -256 -256 -0 -0 -0 -1 -0xe2da -0x8cd3db40 -256 -256 -1 -0 -0 -3 -0x7beb -0x23aa5f22 -256 -256 -0 -0 -0 -2 -0x7649 -0xf44161aa -256 -256 -1 -0 -0 -3 -0x9d17 -0x6be39785 -256 -256 -1 -0 -0 -1 -0x145a -0x711d706a -256 -256 -1 -0 -0 -2 -0xe8d5 -0xa94649b4 -256 -256 -0 -0 -0 -2 -0x94ad -0x87f2ed7 -256 -256 -0 -0 -0 -3 -0xb74d -0x1054928 -256 -256 -1 -0 -0 -4 -0xe726 -0x4df3fbc -256 -256 -0 -0 -0 -3 -0xc531 -0x91f2a4df -256 -256 -1 -0 -0 -3 -0xcd79 -0xe59bb61e -256 -256 -1 -0 -0 -2 -0x52de -0x1bbc451e -256 -256 -0 -0 -0 -1 -0xb431 -0xead8e8f7 -256 -256 -1 -0 -0 -3 -0x2f92 -0x8368e466 -256 -256 -1 -0 -0 -4 -0x30d7 -0xdfb91717 -256 -256 -1 -0 -0 -2 -0x7324 -0x9bda8664 -256 -256 -0 -0 -0 -2 -0xa92f -0xa3e3e1ca -256 -256 -1 -0 -0 -3 -0xb6e7 -0xdfeda09d -256 -256 -1 -0 -0 -3 -0xb5f -0x46db89aa -256 -256 -0 -0 -0 -2 -0x422d -0x8d89e748 -256 -256 -0 -0 -0 -3 -0x6892 -0x7f15296b -256 -256 -1 -0 -0 -2 -0x6346 -0xe35dc2fe -256 -256 -1 -0 -0 -2 -0x51d9 -0x48b0b443 -256 -256 -0 -0 -0 -2 -0x4a5f -0x57e351ba -256 -256 -0 -0 -0 -2 -0x9053 -0x7d88696b -256 -256 -0 -0 -0 -4 -0xd0c5 -0x85c3219d -256 -256 -0 -0 -0 -1 -0xe812 -0xbac7d1a7 -256 -256 -0 -0 -0 -3 -0x681c -0x87dd7753 -256 -256 -0 -0 -0 -2 -0x3fc0 -0x24306ed -256 -256 -1 -0 -0 -2 -0xfad7 -0x846e0fe7 -256 -256 -1 -0 -0 -3 -0x7df7 -0x8c2d922f -256 -256 -0 -0 -0 -4 -0x7dc5 -0x60b25bd0 -256 -256 -0 -0 -0 -3 -0xb3c9 -0x68403f8d -256 -256 -0 -0 -0 -1 -0xe5dc -0xd4d2d596 -256 -256 -1 -0 -0 -2 -0xc7c3 -0x95dfca6a -256 -256 -0 -0 -0 -1 -0x8253 -0x5c827547 -256 -256 -1 -0 -0 -1 -0x8873 -0x599474a5 -256 -256 -1 -0 -0 -2 -0xebae -0x1c9daf81 -256 -256 -1 -0 -0 -2 -0x71f -0x94e7c15a -256 -256 -1 -0 -0 -1 -0x6db7 -0x633e93fd -256 -256 -1 -0 -0 -2 -0x484c -0x6c66b585 -256 -256 -1 -0 -0 -3 -0x7b25 -0x4526cf84 -256 -256 -1 -0 -0 -4 -0x508d -0x3c91000 -256 -256 -0 -0 -0 -4 -0x91dd -0x42e61e63 -256 -256 -1 -0 -0 -1 -0x1aa9 -0x8fc658af -256 -256 -1 -0 -0 -3 -0x469f -0x56d0a32d -256 -256 -1 -0 -0 -4 -0xcb0 -0x8378a2b1 -256 -256 -1 -0 -0 -4 -0x8399 -0x4b133fe5 -256 -256 -1 -0 -0 -4 -0x95e5 -0x21ebb972 -256 -256 -0 -0 -0 -1 -0xa3e7 -0x61cf71f7 -256 -256 -0 -0 -0 -1 -0xb361 -0x620d587d -256 -256 -1 -0 -0 -4 -0x5a61 -0xeff60568 -256 -256 -0 -0 -0 -3 -0xe372 -0xc0fddf21 -256 -256 -0 -0 -0 -1 -0xa11c -0xc355d00b -256 -256 -0 -0 -0 -3 -0xe216 -0xf8a08d95 -256 -256 -1 -0 -0 -4 -0x4dd6 -0x5c2651d1 -256 -256 -0 -0 -0 -4 -0x83d0 -0x505822b5 -256 -256 -1 -0 -0 -2 -0xafd5 -0x20b1ca70 -256 -256 -0 -0 -0 -1 -0x8948 -0x1079ed55 -256 -256 -1 -0 -0 -3 -0x8df6 -0x9ff482eb -256 -256 -0 -0 -0 -2 -0xb3e -0xc989b3c2 -256 -256 -1 -0 -0 -1 -0x86f7 -0x2169cea9 -256 -256 -0 -0 -0 -1 -0xc7f2 -0xf6ddf05b -256 -256 -0 -0 -0 -3 -0x2193 -0x91c39d5 -256 -256 -0 -0 -0 -3 -0x7831 -0xdd5761a6 -256 -256 -1 -0 -0 -4 -0xf443 -0xf7ccd79b -256 -256 -0 -0 -0 -2 -0xcf68 -0xae3f873 -256 -256 -1 -0 -0 -4 -0x545a -0xd419a5e -256 -256 -1 -0 -0 -4 -0xb04f -0xd944822b -256 -256 -1 -0 -0 -2 -0x87e4 -0x209cf987 -256 -256 -1 -0 -0 -1 -0x9ba2 -0x7b1fe481 -256 -256 -0 -0 -0 -3 -0x7f9e -0x2b6e5124 -256 -256 -0 -0 -0 -4 -0x67a2 -0x98ed094a -256 -256 -1 -0 -0 -3 -0xad2d -0xca024c9f -256 -256 -0 -0 -0 -1 -0x555b -0xee2877a8 -256 -256 -1 -0 -0 -3 -0xcfbf -0x62fc8753 -256 -256 -1 -0 -0 -4 -0x1d7b -0xc0d64a51 -256 -256 -0 -0 -0 -4 -0xa896 -0x9e47803f -256 -256 -1 -0 -0 -4 -0x32e1 -0x56f0b0a -256 -256 -1 -0 -0 -3 -0x950a -0x243fc80f -256 -256 -1 -0 -0 -4 -0x5665 -0x20d14a88 -256 -256 -1 -0 -0 -3 -0x83bf -0x92e2cff7 -256 -256 -1 -0 -0 -3 -0x6215 -0x1724c22c -256 -256 -1 -0 -0 -3 -0x7bce -0xe795e08b -256 -256 -1 -0 -0 -3 -0x15fd -0xd6706440 -256 -256 -0 -0 -0 -4 -0x5162 -0x39e4f077 -256 -256 -1 -0 -0 -4 -0xe055 -0x4d20cd8f -256 -256 -0 -0 -0 -3 -0x8c66 -0x610efb19 -256 -256 -0 -0 -0 -1 -0xc3a1 -0xf76a1957 -256 -256 -0 -0 -0 -2 -0xfba9 -0xfedbc6f4 -256 -256 -0 -0 -0 -4 -0xf7cd -0x3088948d -256 -256 -1 -0 -0 -4 -0xddb2 -0xcfc9083a -256 -256 -1 -0 -0 -4 -0x1461 -0x4f736246 -256 -256 -0 -0 -0 -4 -0xd470 -0xaceb1fe0 -256 -256 -1 -0 -0 -2 -0xa5f5 -0x4a0181fc -256 -256 -1 -0 -0 -3 -0x4814 -0x23b1bf3c -256 -256 -1 -0 -0 -4 -0x27aa -0x7323f470 -256 -256 -1 -0 -0 -3 -0x4b40 -0x8a0d4221 -256 -256 -1 -0 -0 -2 -0x79b3 -0xfa4c1b47 -256 -256 -0 -0 -0 -1 -0xfebf -0x29dc674a -256 -256 -0 -0 -0 -1 -0xb9fd -0x7abc9659 -256 -256 -0 -0 -0 -1 -0xca7d -0x4376ea21 -256 -256 -0 -0 -0 -1 -0x21bc -0xc995aa42 -256 -256 -0 -0 -0 -2 -0xc147 -0x8d61bfff -256 -256 -0 -0 -0 -3 -0x91f2 -0x2891d41b -256 -256 -1 -0 -0 -4 -0xdecf -0x3162ec61 -256 -256 -0 -0 -0 -4 -0x493a -0x56d010f9 -256 -256 -0 -0 -0 -1 -0xa0d -0x540dd74 -256 -256 -0 -0 -0 -1 -0x2e1d -0xd5671335 -256 -256 -0 -0 -0 -1 -0x5d8 -0x50f505b2 -256 -256 -1 -0 -0 -4 -0x47a3 -0x8fb184b -256 -256 -1 -0 -0 -3 -0x975 -0xa125528f -256 -256 -1 -0 -0 -3 -0x3285 -0xd47c89b -256 -256 -1 -0 -0 -2 -0x4d5d -0xc043ee64 -256 -256 -0 -0 -0 -1 -0xd6b2 -0x812cdf58 -256 -256 -0 -0 -0 -2 -0x8191 -0x7db013ae -256 -256 -1 -0 -0 -2 -0x6742 -0x7820b33c -256 -256 -0 -0 -0 -4 -0x978e -0xa4ca0983 -256 -256 -0 -0 -0 -3 -0x465f -0xa9431d8d -256 -256 -0 -0 -0 -3 -0x2e31 -0xa39b264 -256 -256 -0 -0 -0 -1 -0x4ef9 -0x20db2db5 -256 -256 -1 -0 -0 -4 -0x161f -0x1b16457d -256 -256 -0 -0 -0 -4 -0xca89 -0x67ab0e91 -256 -256 -0 -0 -0 -4 -0xf5eb -0x13cbe00d -256 -256 -0 -0 -0 -4 -0xb00f -0xaba3c084 -256 -256 -0 -0 -0 -2 -0xfff3 -0xa75ecffc -256 -256 -1 -0 -0 -4 -0x43bb -0x9743447d -256 -256 -0 -0 -0 -1 -0x3979 -0xf0efc36 -256 -256 -0 -0 -0 -2 -0x7d5b -0xe48592af -256 -256 -1 -0 -0 -1 -0x2852 -0x499b51f4 -256 -256 -0 -0 -0 -2 -0x4e51 -0xffce9c9e -256 -256 -0 -0 -0 -3 -0x8a9d -0xc0a3c399 -256 -256 -0 -0 -0 -1 -0xd1ff -0xc8f0303e -256 -256 -1 -0 -0 -2 -0x3d49 -0x916c3a59 -256 -256 -1 -0 -0 -2 -0x88e6 -0xb6efb679 -256 -256 -1 -0 -0 -3 -0xb7cd -0xfb51b2d6 -256 -256 -1 -0 -0 -2 -0x70b7 -0xf4e7e396 -256 -256 -0 -0 -0 -3 -0x3fc6 -0x44b60021 -256 -256 -0 -0 -0 -3 -0x8552 -0x47895890 -256 -256 -0 -0 -0 -1 -0xcb6c -0x57c94c06 -256 -256 -1 -0 -0 -1 -0x73ee -0x9bf98c7c -256 -256 -1 -0 -0 -1 -0x6046 -0x31ce4b4e -256 -256 -0 -0 -0 -2 -0x775a -0x90a1de74 -256 -256 -1 -0 -0 -3 -0x4dbd -0x9b29a149 -256 -256 -0 -0 -0 -1 -0x8012 -0x7a950037 -256 -256 -1 -0 -0 -1 -0xdd00 -0x1531aa89 -256 -256 -1 -0 -0 -1 -0x4233 -0x14040c9f -256 -256 -1 -0 -0 -3 -0x96c3 -0xbaa87723 -256 -256 -1 -0 -0 -4 -0x930 -0xc6e6571a -256 -256 -1 -0 -0 -3 -0x3bea -0xeab2052a -256 -256 -1 -0 -0 -1 -0x219f -0x2bd62749 -256 -256 -1 -0 -0 -4 -0xb35 -0x796814c4 -256 -256 -0 -0 -0 -4 -0x3a56 -0xef1b1f10 -256 -256 -1 -0 -0 -4 -0x3b54 -0xb3ae699a -256 -256 -0 -0 -0 -1 -0xce9b -0x9321eae -256 -256 -1 -0 -0 -1 -0x36a4 -0x2d5ac7a2 -256 -256 -0 -0 -0 -4 -0x1079 -0x4ae433e2 -256 -256 -0 -0 -0 -1 -0x79ee -0x67187232 -256 -256 -0 -0 -0 -4 -0x19d9 -0x34a12088 -256 -256 -1 -0 -0 -4 -0x9d0e -0x8b00b16e -256 -256 -0 -0 -0 -3 -0xd7cd -0xfa5e2a71 -256 -256 -0 -0 -0 -4 -0x6ca7 -0x374e922b -256 -256 -0 -0 -0 -1 -0x9bfa -0x9515b0b1 -256 -256 -1 -0 -0 -1 -0x97f9 -0xf2e8f6be -256 -256 -0 -0 -0 -1 -0x9615 -0x721ac3d9 -256 -256 -1 -0 -0 -1 -0xa85f -0xfb4a4390 -256 -256 -0 -0 -0 -3 -0xf585 -0xb804b3d5 -256 -256 -0 -0 -0 -1 -0x889f -0x18b27b68 -256 -256 -1 -0 -0 -1 -0x45b2 -0xae4294dc -256 -256 -0 -0 -0 -3 -0x8d2e -0x236d9305 -256 -256 -0 -0 -0 -2 -0x42bd -0x605c19fc -256 -256 -1 -0 -0 -2 -0x1600 -0x48a77dd5 -256 -256 -1 -0 -0 -3 -0xcd99 -0x2f591a29 -256 -256 -1 -0 -0 -2 -0x20d4 -0xfdd567d4 -256 -256 -0 -0 -0 -3 -0x1249 -0xa0aeccce -256 -256 -1 -0 -0 -1 -0xe504 -0xfde523e8 -256 -256 -0 -0 -0 -3 -0x7d13 -0x4d19fc77 -256 -256 -1 -0 -0 -4 -0xad8f -0x29291060 -256 -256 -1 -0 -0 -1 -0x32a1 -0x473c6628 -256 -256 -0 -0 -0 -4 -0x88f1 -0x1b7b2d37 -256 -256 -1 -0 -0 -4 -0xd1b -0xd6b1491c -256 -256 -0 -0 -0 -3 -0x8525 -0x38d40635 -256 -256 -0 -0 -0 -2 -0xc09a -0xf87ee05a -256 -256 -0 -0 -0 -1 -0xeef1 -0x3f206650 -256 -256 -1 -0 -0 -2 -0x9923 -0x3daf3b43 -256 -256 -1 -0 -0 -1 -0x6be8 -0xbcb43bf5 -256 -256 -0 -0 -0 -2 -0x58be -0x905bf48f -256 -256 -1 -0 -0 -3 -0xfa18 -0x8993b6bb -256 -256 -1 -0 -0 -1 -0x6bc8 -0x4f8b8332 -256 -256 -1 -0 -0 -1 -0x1d02 -0x9728b0ce -256 -256 -1 -0 -0 -3 -0xb14c -0xe75bd1af -256 -256 -1 -0 -0 -4 -0x7506 -0x2d40ba97 -256 -256 -1 -0 -0 -3 -0x89bc -0x869d3cbe -256 -256 -1 -0 -0 -4 -0xbc20 -0x7a15a4fe -256 -256 -1 -0 -0 -2 -0x9bc9 -0xb5c715da -256 -256 -1 -0 -0 -1 -0x1e2d -0x3e6e41b4 -256 -256 -1 -0 -0 -4 -0x47fc -0x2b252dfb -256 -256 -1 -0 -0 -2 -0x6380 -0xea957b50 -256 -256 -0 -0 -0 -1 -0xcfdd -0xa9b45acf -256 -256 -1 -0 -0 -2 -0xb83d -0x9aad809f -256 -256 -1 -0 -0 -1 -0xd3b8 -0xb6fb0f45 -256 -256 -1 -0 -0 -4 -0xe099 -0xc5892661 -256 -256 -0 -0 -0 -2 -0xd86a -0x853dd977 -256 -256 -1 -0 -0 -3 -0x8edb -0xd7adfb6a -256 -256 -0 -0 -0 -4 -0x94fa -0xf16f74d0 -256 -256 -1 -0 -0 -2 -0x705c -0x9a3310da -256 -256 -0 -0 -0 -2 -0x8999 -0x9b24fba1 -256 -256 -1 -0 -0 -4 -0xfd63 -0xc7be2320 -256 -256 -1 -0 -0 -1 -0x1ca4 -0xfb4eb5a -256 -256 -1 -0 -0 -2 -0x453e -0x44f2e266 -256 -256 -1 -0 -0 -4 -0xd11d -0xff9059ab -256 -256 -0 -0 -0 -1 -0xc941 -0xe974283e -256 -256 -1 -0 -0 -1 -0xf3a -0x30a8e25e -256 -256 -0 -0 -0 -4 -0xafed -0x65d5b006 -256 -256 -0 -0 -0 -3 -0xa9ec -0xf92292d6 -256 -256 -1 -0 -0 -4 -0xd0cf -0x128bf8ad -256 -256 -0 -0 -0 -2 -0x3369 -0x8de61d80 -256 -256 -0 -0 -0 -3 -0x9611 -0xc1d84729 -256 -256 -1 -0 -0 -1 -0xd5bc -0x220f86ca -256 -256 -0 -0 -0 -2 -0x36ac -0x80b5eb1c -256 -256 -1 -0 -0 -2 -0xe591 -0x3219c0f6 -256 -256 -0 -0 -0 -4 -0xff8b -0x1f97388 -256 -256 -0 -0 -0 -2 -0xfedf -0xf8696fcd -256 -256 -1 -0 -0 -2 -0x3b74 -0x8fd78384 -256 -256 -0 -0 -0 -4 -0x5f87 -0xd1713e9a -256 -256 -1 -0 -0 -4 -0x6625 -0x95c769cc -256 -256 -1 -0 -0 -3 -0xa099 -0xb108f7f9 -256 -256 -1 -0 -0 -1 -0x7f3a -0xf41d0c53 -256 -256 -1 -0 -0 -3 -0x2651 -0x7d57223f -256 -256 -1 -0 -0 -4 -0x9470 -0xf9c367f7 -256 -256 -0 -0 -0 -1 -0x6de4 -0x3f30cda6 -256 -256 -0 -0 -0 -1 -0x5c1c -0x938c3d8b -256 -256 -0 -0 -0 -2 -0xcac -0xca91d11a -256 -256 -0 -0 -0 -3 -0x5912 -0x7bc97928 -256 -256 -0 -0 -0 -4 -0x29bc -0x569f4bc5 -256 -256 -1 -0 -0 -1 -0x98cf -0x8212b392 -256 -256 -0 -0 -0 -4 -0xaa17 -0x4abd0d5c -256 -256 -0 -0 -0 -3 -0xde2 -0x1f5bb3cd -256 -256 -0 -0 -0 -4 -0x14a -0x7e39f883 -256 -256 -1 -0 -0 -2 -0xd4e4 -0x9f937129 -256 -256 -1 -0 -0 -4 -0x1dbd -0x27b15cf4 -256 -256 -1 -0 -0 -2 -0x2daa -0x7adc9656 -256 -256 -0 -0 -0 -1 -0x354f -0x190f0b68 -256 -256 -1 -0 -0 -4 -0x8ccc -0x2412a01c -256 -256 -0 -0 -0 -4 -0x7924 -0x542a72b1 -256 -256 -0 -0 -0 -2 -0x1881 -0xb179f4c3 -256 -256 -1 -0 -0 -3 -0xb5e2 -0x23e3cbea -256 -256 -0 -0 -0 -4 -0xafc6 -0xb04d4e69 -256 -256 -0 -0 -0 -2 -0xcc4c -0x9f958f3 -256 -256 -0 -0 -0 -1 -0xe941 -0x4b51c4a0 -256 -256 -1 -0 -0 -4 -0xbe31 -0x8076adf7 -256 -256 -1 -0 -0 -2 -0x740d -0x584b3a3a -256 -256 -1 -0 -0 -1 -0x3cb7 -0x9a504fab -256 -256 -0 -0 -0 -3 -0x5a45 -0xe1117ac4 -256 -256 -0 -0 -0 -1 -0xe47c -0x2359aa60 -256 -256 -0 -0 -0 -3 -0xfe62 -0xd30f7687 -256 -256 -1 -0 -0 -1 -0x4652 -0x2eb71b04 -256 -256 -0 -0 -0 -2 -0x5dec -0xc909e9c8 -256 -256 -0 -0 -0 -2 -0xddfa -0x771a00f1 -256 -256 -0 -0 -0 -3 -0x6261 -0x8731d718 -256 -256 -1 -0 -0 -2 -0xf5c2 -0x1b4cd78b -256 -256 -0 -0 -0 -4 -0x1743 -0x4850bef4 -256 -256 -0 -0 -0 -2 -0x2712 -0x1574f7ac -256 -256 -0 -0 -0 -3 -0xbf5d -0x1fcd4842 -256 -256 -0 -0 -0 -4 -0xb74c -0xaa61681d -256 -256 -0 -0 -0 -2 -0xc067 -0x9029442b -256 -256 -1 -0 -0 -1 -0xb03 -0xd72d0fce -256 -256 -0 -0 -0 -3 -0xc45c -0xbbdc42da -256 -256 -0 -0 -0 -2 -0xdb82 -0x9b2666bd -256 -256 -1 -0 -0 -3 -0x6663 -0x12373886 -256 -256 -0 -0 -0 -4 -0x917c -0xf67d205c -256 -256 -1 -0 -0 -3 -0x5ce7 -0xca1c5123 -256 -256 -0 -0 -0 -2 -0x61ef -0x395b7ceb -256 -256 -1 -0 -0 -4 -0xf2f4 -0x8e3ea489 -256 -256 -0 -0 -0 -2 -0x688 -0x8a5fed96 -256 -256 -0 -0 -0 -2 -0x56cb -0xd27eb64b -256 -256 -0 -0 -0 -2 -0xc36b -0x246dfaa3 -256 -256 -1 -0 -0 -3 -0xa5a4 -0xfe966108 -256 -256 -0 -0 -0 -3 -0xf53 -0x4e7bb292 -256 -256 -0 -0 -0 -1 -0x3d5b -0x76ca078c -256 -256 -0 -0 -0 -3 -0x1323 -0x26dcec8a -256 -256 -0 -0 -0 -3 -0xa0e7 -0xd40a037f -256 -256 -1 -0 -0 -3 -0x4bb6 -0x5dcd3cdd -256 -256 -0 -0 -0 -3 -0x57b6 -0xf2f363b -256 -256 -1 -0 -0 -3 -0xfcb0 -0x37f360b0 -256 -256 -1 -0 -0 -4 -0x7a09 -0xfbc2e204 -256 -256 -1 -0 -0 -2 -0x5a98 -0xc661e230 -256 -256 -0 -0 -0 -1 -0xb5e4 -0xf53ef326 -256 -256 -0 -0 -0 -3 -0xa6a8 -0x7c0c3ad3 -256 -256 -0 -0 -0 -1 -0x997b -0x4c1fb9a1 -256 -256 -0 -0 -0 -3 -0x520e -0x78007f4 -256 -256 -1 -0 -0 -1 -0x4cc -0x54e2c3dc -256 -256 -1 -0 -0 -3 -0x5b74 -0xd2158001 -256 -256 -0 -0 -0 -4 -0xe046 -0x49738f00 -256 -256 -1 -0 -0 -4 -0xc9b4 -0xc6fe4989 -256 -256 -1 -0 -0 -2 -0x62cb -0x8af719dd -256 -256 -1 -0 -0 -4 -0x8e0c -0x9b324c5 -256 -256 -0 -0 -0 -4 -0x317a -0xa09238a8 -256 -256 -0 -0 -0 -1 -0x397b -0x972938a9 -256 -256 -0 -0 -0 -4 -0xa9 -0x5a0ef463 -256 -256 -0 -0 -0 -2 -0x767b -0xbfa0e0e3 -256 -256 -1 -0 -0 -2 -0xacde -0x45408b32 -256 -256 -1 -0 -0 -1 -0xda5f -0xf34eb49d -256 -256 -0 -0 -0 -1 -0xdc3c -0xa539d02d -256 -256 -1 -0 -0 -3 -0xea2 -0x49e4baef -256 -256 -0 -0 -0 -2 -0xd0d6 -0x57dd21e4 -256 -256 -1 -0 -0 -4 -0x39e3 -0x8ced0cde -256 -256 -0 -0 -0 -2 -0x8978 -0xad7b509d -256 -256 -1 -0 -0 -1 -0x1571 -0xf156ae92 -256 -256 -0 -0 -0 -4 -0xfca9 -0x16f02a56 -256 -256 -0 -0 -0 -3 -0x1ed6 -0x8111fc4b -256 -256 -1 -0 -0 -2 -0xd7df -0x95b241aa -256 -256 -0 -0 -0 -1 -0x111c -0x816df677 -256 -256 -0 -0 -0 -3 -0x4002 -0x12a8075a -256 -256 -1 -0 -0 -3 -0x333b -0xdb84161f -256 -256 -1 -0 -0 -3 -0xec35 -0xa7c94a51 -256 -256 -0 -0 -0 -4 -0x8962 -0xeed9b5b4 -256 -256 -1 -0 -0 -2 -0x88f8 -0xc789b94b -256 -256 -1 -0 -0 -2 -0xcae3 -0x6cd5664d -256 -256 -1 -0 -0 -4 -0x53b7 -0xcbf02f5b -256 -256 -1 -0 -0 -3 -0xabeb -0x8ac2b666 -256 -256 -0 -0 -0 -1 -0x2458 -0x4232e2a1 -256 -256 -1 -0 -0 -4 -0x3ff6 -0xbcab0318 -256 -256 -1 -0 -0 -2 -0xa07c -0xea0420a1 -256 -256 -1 -0 -0 -1 -0x8243 -0x36b1e6a8 -256 -256 -0 -0 -0 -3 -0x3e5 -0xf6dce465 -256 -256 -1 -0 -0 -1 -0x352 -0xb77084c4 -256 -256 -1 -0 -0 -2 -0x4e97 -0xa1030ca6 -256 -256 -1 -0 -0 -1 -0x5427 -0x37807402 -256 -256 -1 -0 -0 -3 -0x5cac -0x704f5c58 -256 -256 -1 -0 -0 -4 -0x9545 -0x23f3febb -256 -256 -0 -0 -0 -2 -0xd0a9 -0xeb2ef6b1 -256 -256 -1 -0 -0 -4 -0xa717 -0x694a0065 -256 -256 -1 -0 -0 -2 -0xaec8 -0x91b819d0 -256 -256 -0 -0 -0 -2 -0x6b32 -0x35fdce46 -256 -256 -0 -0 -0 -3 -0x9b39 -0xd27e8869 -256 -256 -1 -0 -0 -1 -0xec50 -0xc3faa4b8 -256 -256 -1 -0 -0 -1 -0xa638 -0xac3db0a5 -256 -256 -1 -0 -0 -3 -0x8d43 -0xd31d49a7 -256 -256 -1 -0 -0 -2 -0x50f5 -0xc94fa25b -256 -256 -0 -0 -0 -1 -0xb5a8 -0x53fa4a47 -256 -256 -1 -0 -0 -3 -0x3ba -0xac74f87a -256 -256 -1 -0 -0 -3 -0x7267 -0x8e566270 -256 -256 -0 -0 -0 -4 -0xb0a -0x1a363c5 -256 -256 -0 -0 -0 -2 -0x75ce -0x66b6d3dd -256 -256 -1 -0 -0 -2 -0xf8a3 -0x9dd3d993 -256 -256 -0 -0 -0 -3 -0xadfd -0xfb48b4a -256 -256 -1 -0 -0 -1 -0x5d07 -0x1af2faa7 -256 -256 -1 -0 -0 -1 -0x5379 -0xef61e892 -256 -256 -0 -0 -0 -2 -0x2ec2 -0x36e4fd04 -256 -256 -1 -0 -0 -1 -0xf141 -0x669dc3e8 -256 -256 -1 -0 -0 -3 -0x7e6 -0x62cb96db -256 -256 -1 -0 -0 -3 -0x80a -0x7f48585c -256 -256 -0 -0 -0 -3 -0x3868 -0xbc64ff7e -256 -256 -1 -0 -0 -3 -0x4521 -0xc6277e1c -256 -256 -1 -0 -0 -1 -0x1dee -0x60ff1bf0 -256 -256 -0 -0 -0 -1 -0xbbdd -0x49e46700 -256 -256 -1 -0 -0 -2 -0xfe94 -0x20b41e15 -256 -256 -0 -0 -0 -2 -0xecf -0xcf12c54a -256 -256 -0 -0 -0 -2 -0xc241 -0x29a8799 -256 -256 -0 -0 -0 -3 -0xe3c8 -0x47a84ef8 -256 -256 -1 -0 -0 -2 -0x18be -0x81d0bd19 -256 -256 -0 -0 -0 -4 -0xa5b0 -0xa141d9b3 -256 -256 -1 -0 -0 -1 -0x9ea1 -0x167bb9ef -256 -256 -1 -0 -0 -3 -0xd33b -0xb708f53f -256 -256 -0 -0 -0 -2 -0xc870 -0xae0cc0bc -256 -256 -0 -0 -0 -1 -0x471 -0xbfdcb664 -256 -256 -1 -0 -0 -3 -0xff80 -0xb8f1060e -256 -256 -1 -0 -0 -3 -0x6703 -0xcfd75f5a -256 -256 -1 -0 -0 -3 -0x4fd7 -0x119596a7 -256 -256 -0 -0 -0 -1 -0x11ac -0x7a8039c9 -256 -256 -0 -0 -0 -1 -0xa14c -0xae26549a -256 -256 -1 -0 -0 -4 -0x7634 -0x61e4a51 -256 -256 -1 -0 -0 -2 -0x795d -0x84889fcd -256 -256 -0 -0 -0 -4 -0x1ebd -0xfdea4bc4 -256 -256 -0 -0 -0 -2 -0xd164 -0x6030a454 -256 -256 -1 -0 -0 -3 -0xea5b -0xe7adc41d -256 -256 -0 -0 -0 -3 -0xf2a6 -0x35a82778 -256 -256 -1 -0 -0 -4 -0x96a0 -0xa9658d5b -256 -256 -0 -0 -0 -3 -0x2f71 -0x48227da5 -256 -256 -0 -0 -0 -3 -0x4e15 -0x4fd69c02 -256 -256 -1 -0 -0 -2 -0x1c7d -0xb2c6ad54 -256 -256 -0 -0 -0 -2 -0x2522 -0x788d8eaf -256 -256 -1 -0 -0 -2 -0x27d1 -0x6610bca0 -256 -256 -1 -0 -0 -2 -0x4bdb -0x16ba9d21 -256 -256 -0 -0 -0 -3 -0x19c -0xdc00b8cc -256 -256 -0 -0 -0 -3 -0x9227 -0x3ece47af -256 -256 -0 -0 -0 -2 -0x8399 -0x5571fe26 -256 -256 -0 -0 -0 -1 -0xfe92 -0x3d0c6195 -256 -256 -0 -0 -0 -2 -0xe6c5 -0x330be146 -256 -256 -0 -0 -0 -4 -0xb239 -0xd10540cb -256 -256 -0 -0 -0 -4 -0x905d -0x262441a9 -256 -256 -0 -0 -0 -2 -0x2091 -0x8b08a9ad -256 -256 -1 -0 -0 -4 -0x18df -0xa7dab592 -256 -256 -0 -0 -0 -4 -0x75ee -0xb3a26718 -256 -256 -1 -0 -0 -2 -0x7e2c -0x2aa07ea1 -256 -256 -1 -0 -0 -3 -0xdc4a -0xb321272d -256 -256 -1 -0 -0 -3 -0x3645 -0xcc051815 -256 -256 -0 -0 -0 -4 -0x71cf -0xa5eb7e29 -256 -256 -1 -0 -0 -4 -0x1121 -0x3246bdd5 -256 -256 -1 -0 -0 -1 -0xeb07 -0x5b2615d6 -256 -256 -0 -0 -0 -4 -0x777e -0x3ed33128 -256 -256 -0 -0 -0 -4 -0x934e -0xf2b4c6b1 -256 -256 -0 -0 -0 -2 -0x3980 -0x1f2d2c0b -256 -256 -1 -0 -0 -4 -0xa3e0 -0x360dc678 -256 -256 -1 -0 -0 -2 -0x136d -0x658d2a58 -256 -256 -0 -0 -0 -3 -0x25e1 -0xb70c52e1 -256 -256 -0 -0 -0 -4 -0x8a08 -0x9e428b19 -256 -256 -0 -0 -0 -1 -0xaa9c -0x416d29ad -256 -256 -1 -0 -0 -4 -0x296f -0xf15af1fb -256 -256 -0 -0 -0 -1 -0x7511 -0xb8c9da3 -256 -256 -1 -0 -0 -3 -0x956 -0x7d95a11 -256 -256 -0 -0 -0 -1 -0xbf61 -0x69a3a3d0 -256 -256 -0 -0 -0 -1 -0xbf48 -0x30c6b212 -256 -256 -1 -0 -0 -2 -0x9dec -0x73d4e3b2 -256 -256 -1 -0 -0 -1 -0xbe9f -0x85aa3bc9 -256 -256 -0 -0 -0 -4 -0xf981 -0x10d6c4ff -256 -256 -0 -0 -0 -2 -0x9a7d -0x7a4523f7 -256 -256 -0 -0 -0 -3 -0x6655 -0x54e24a7f -256 -256 -0 -0 -0 -2 -0xf6cc -0x98a8e2ca -256 -256 -0 -0 -0 -4 -0xf2a1 -0xdb332799 -256 -256 -1 -0 -0 -4 -0x3ec4 -0xeb684502 -256 -256 -0 -0 -0 -3 -0xe615 -0x8706b3dd -256 -256 -1 -0 -0 -2 -0x47fd -0xaf8522da -256 -256 -1 -0 -0 -2 -0x604f -0xacdfed76 -256 -256 -1 -0 -0 -3 -0xa0b5 -0x6a21e04a -256 -256 -0 -0 -0 -4 -0x12ae -0x3bbcd647 -256 -256 -0 -0 -0 -3 -0x5e44 -0x924e3e89 -256 -256 -0 -0 -0 -4 -0x918a -0x58882c0d -256 -256 -1 -0 -0 -1 -0xcb16 -0x5a86e236 -256 -256 -0 -0 -0 -4 -0x58dd -0x3807ffb6 -256 -256 -0 -0 -0 -2 -0x55e1 -0x97d58a86 -256 -256 -1 -0 -0 -2 -0x3f17 -0x678d5d75 -256 -256 -1 -0 -0 -2 -0xe99c -0x50978b7a -256 -256 -0 -0 -0 -3 -0xc27 -0xaf9e1e36 -256 -256 -0 -0 -0 -1 -0x2920 -0x870eaa0f -256 -256 -0 -0 -0 -1 -0xa74c -0xd4d87fc5 -256 -256 -0 -0 -0 -3 -0xdc6b -0xcb3a859a -256 -256 -1 -0 -0 -1 -0x8da7 -0x6c67739f -256 -256 -1 -0 -0 -2 -0x99e8 -0xc9b42e86 -256 -256 -1 -0 -0 -3 -0xa053 -0x21f15a7a -256 -256 -0 -0 -0 -4 -0x6b24 -0xf439983 -256 -256 -1 -0 -0 -2 -0xb844 -0x3df6dfa3 -256 -256 -1 -0 -0 -1 -0x51f6 -0x39b5dc60 -256 -256 -1 -0 -0 -2 -0x9987 -0x6a5f2f43 -256 -256 -1 -0 -0 -4 -0xb76 -0xb6c6828b -256 -256 -0 -0 -0 -3 -0xf51b -0x953c7ffe -256 -256 -0 -0 -0 -4 -0xffd3 -0xcd425fd4 -256 -256 -0 -0 -0 -1 -0xfc62 -0x51e9275a -256 -256 -0 -0 -0 -1 -0x3b3c -0x32e0ab98 -256 -256 -0 -0 -0 -2 -0xed3e -0x3cf7bc47 -256 -256 -1 -0 -0 -3 -0x3e2d -0x56fbb9f2 -256 -256 -1 -0 -0 -1 -0xeda4 -0xe155e69e -256 -256 -0 -0 -0 -3 -0x1be2 -0x25369dd0 -256 -256 -0 -0 -0 -1 -0xb93a -0xfadba4db -256 -256 -1 -0 -0 -2 -0x839d -0x184bd92a -256 -256 -1 -0 -0 -4 -0x1697 -0xd60eee3c -256 -256 -0 -0 -0 -1 -0x3d5a -0xce6ef05d -256 -256 -1 -0 -0 -1 -0x5816 -0x31a5745c -256 -256 -1 -0 -0 -4 -0xedfd -0x3eb7bef -256 -256 -0 -0 -0 -3 -0x1e57 -0x563ff7a -256 -256 -1 -0 -0 -2 -0x40c9 -0xc41bda76 -256 -256 -0 -0 -0 -3 -0x2f1d -0x6b2b0b52 -256 -256 -0 -0 -0 -2 -0x70dd -0x28bfceff -256 -256 -0 -0 -0 -4 -0x6c5f -0x1c4d888d -256 -256 -1 -0 -0 -1 -0xa406 -0x93807548 -256 -256 -0 -0 -0 -2 -0xb209 -0x6b31297a -256 -256 -0 -0 -0 -4 -0x3fde -0x517ad41f -256 -256 -1 -0 -0 -4 -0x506d -0x4b4e2a31 -256 -256 -1 -0 -0 -4 -0x884c -0xe6c982 -256 -256 -0 -0 -0 -2 -0xbd60 -0xac001b1e -256 -256 -0 -0 -0 -4 -0xfa62 -0xecd95d8e -256 -256 -1 -0 -0 -4 -0xc484 -0x19d27ebb -256 -256 -1 -0 -0 -4 -0x37cd -0xd6f123ba -256 -256 -0 -0 -0 -1 -0xc644 -0xa8195210 -256 -256 -0 -0 -0 -1 -0x6df5 -0xcdedfb0a -256 -256 -0 -0 -0 -3 -0x2a4a -0x3121ba78 -256 -256 -0 -0 -0 -3 -0xa8d6 -0xedf5a6d -256 -256 -0 -0 -0 -1 -0xaa93 -0x45456c26 -256 -256 -0 -0 -0 -2 -0x1b56 -0xdb483675 -256 -256 -1 -0 -0 -2 -0xb272 -0x44e8a89e -256 -256 -0 -0 -0 -1 -0x9e95 -0x83e1b7e6 -256 -256 -1 -0 -0 -4 -0xa84b -0xece3e61f -256 -256 -0 -0 -0 -2 -0x4ea2 -0x9c2aa7cb -256 -256 -1 -0 -0 -1 -0x8134 -0xa81c98ab -256 -256 -0 -0 -0 -1 -0x2248 -0xb7b8640b -256 -256 -0 -0 -0 -2 -0xc0f7 -0x8d555647 -256 -256 -1 -0 -0 -1 -0x75f4 -0xde7fbbe1 -256 -256 -0 -0 -0 -3 -0xc382 -0x7ca23a48 -256 -256 -0 -0 -0 -1 -0x99bf -0xa8738efb -256 -256 -0 -0 -0 -2 -0x3b43 -0x961bcd8c -256 -256 -1 -0 -0 -3 -0x427e -0x3465b6ad -256 -256 -1 -0 -0 -3 -0x5d98 -0xc9f9d09a -256 -256 -1 -0 -0 -1 -0xe868 -0x2d47cdd0 -256 -256 -0 -0 -0 -1 -0x6f9a -0x1b6f1ce8 -256 -256 -1 -0 -0 -4 -0xed97 -0x2daef124 -256 -256 -1 -0 -0 -4 -0xcd7 -0x3905d4f3 -256 -256 -0 -0 -0 -4 -0x8118 -0x97bef8b8 -256 -256 -1 -0 -0 -1 -0x60a2 -0xefa6fc7f -256 -256 -0 -0 -0 -2 -0x89f4 -0xc0945749 -256 -256 -0 -0 -0 -4 -0x1432 -0x23d53ef7 -256 -256 -0 -0 -0 -4 -0x974 -0x1e06aaff -256 -256 -0 -0 -0 -2 -0x81d8 -0xdd4e0201 -256 -256 -0 -0 -0 -4 -0x9971 -0x42ce504 -256 -256 -0 -0 -0 -1 -0xf91 -0x80adcd4b -256 -256 -0 -0 -0 -3 -0xdab2 -0xae94aa6c -256 -256 -1 -0 -0 -1 -0x3cad -0xcd86c3c6 -256 -256 -0 -0 -0 -3 -0xeb6a -0x95349468 -256 -256 -1 -0 -0 -1 -0xea27 -0xf8b7c74e -256 -256 -1 -0 -0 -3 -0x29d9 -0xdedbb9f4 -256 -256 -1 -0 -0 -4 -0xb79 -0x5fd31982 -256 -256 -0 -0 -0 -3 -0x2385 -0x19bfe21a -256 -256 -0 -0 -0 -3 -0x9efc -0x8a1e7799 -256 -256 -1 -0 -0 -2 -0x858f -0xf352d764 -256 -256 -1 -0 -0 -2 -0xdf47 -0xbae0f602 -256 -256 -1 -0 -0 -1 -0x62f7 -0x3d85850c -256 -256 -0 -0 -0 -4 -0x4cf8 -0x5c642e3 -256 -256 -0 -0 -0 -3 -0x6d57 -0xc6daa26f -256 -256 -0 -0 -0 -4 -0x9255 -0x5fb43e82 -256 -256 -1 -0 -0 -1 -0x3cb6 -0x4fd2af3 -256 -256 -0 -0 -0 -2 -0x553c -0x4e759ed8 -256 -256 -0 -0 -0 -2 -0x4a33 -0x2111794b -256 -256 -1 -0 -0 -4 -0x5b3e -0xe58b9cce -256 -256 -1 -0 -0 -2 -0xed46 -0xbb80547a -256 -256 -0 -0 -0 -2 -0x240c -0x41008e4c -256 -256 -0 -0 -0 -3 -0x8ac5 -0x12daedce -256 -256 -0 -0 -0 -4 -0x113d -0x625474f8 -256 -256 -1 -0 -0 -4 -0xc42d -0x296fa2e5 -256 -256 -0 -0 -0 -4 -0x18d8 -0xbd31fd69 -256 -256 -0 -0 -0 -2 -0x6018 -0x89244444 -256 -256 -0 -0 -0 -4 -0xbe91 -0x4c9f19ef -256 -256 -1 -0 -0 -1 -0x2075 -0xedd77043 -256 -256 -0 -0 -0 -2 -0xed74 -0x79a26553 -256 -256 -1 -0 -0 -4 -0x3e93 -0x5ca79276 -256 -256 -0 -0 -0 -4 -0x2991 -0xdea39297 -256 -256 -1 -0 -0 -3 -0x9deb -0x87de0b1b -256 -256 -0 -0 -0 -1 -0x536 -0x9e81f2d6 -256 -256 -0 -0 -0 -4 -0x683b -0x376492a5 -256 -256 -1 -0 -0 -4 -0x43db -0x4ca3752c -256 -256 -1 -0 -0 -4 -0xef9c -0x4d1b0340 -256 -256 -1 -0 -0 -2 -0xe7a6 -0xa3d87a40 -256 -256 -1 -0 -0 -1 -0xdd87 -0x8473283b -256 -256 -0 -0 -0 -4 -0x475 -0x30fb2013 -256 -256 -1 -0 -0 -2 -0xe8ed -0x3450fb0e -256 -256 -0 -0 -0 -4 -0x9dc8 -0x963b771f -256 -256 -0 -0 -0 -1 -0xe20f -0xf63ebde6 -256 -256 -1 -0 -0 -4 -0xe969 -0xf8acdcaf -256 -256 -0 -0 -0 -1 -0xd64e -0xc83233b1 -256 -256 -0 -0 -0 -4 -0x62d -0xd36d6f4d -256 -256 -1 -0 -0 -1 -0x1711 -0xa050b6ad -256 -256 -0 -0 -0 -1 -0x224c -0x59fa85a7 -256 -256 -0 -0 -0 -3 -0xbfcc -0x78e83dcf -256 -256 -1 -0 -0 -4 -0x3d10 -0xc0517eb4 -256 -256 -0 -0 -0 -1 -0x7c20 -0xe301af1f -256 -256 -0 -0 -0 -3 -0x3fad -0xab1bc066 -256 -256 -0 -0 -0 -2 -0xc668 -0x5be70689 -256 -256 -0 -0 -0 -4 -0xdc8f -0x540bdc30 -256 -256 -1 -0 -0 -3 -0x4ddc -0x5ed30baa -256 -256 -0 -0 -0 -4 -0x622e -0xb0de51c3 -256 -256 -1 -0 -0 -2 -0x6155 -0x3c59b789 -256 -256 -0 -0 -0 -4 -0x8e05 -0xb2392209 -256 -256 -1 -0 -0 -2 -0x978d -0xf04528fa -256 -256 -0 -0 -0 -4 -0xbde9 -0xc6da1ef0 -256 -256 -0 -0 -0 -1 -0xe4ef -0x77a127cf -256 -256 -0 -0 -0 -3 -0x452d -0x6f309023 -256 -256 -1 -0 -0 -4 -0x87b -0x78ef2c44 -256 -256 -0 -0 -0 -4 -0xe09f -0xf6e32fe -256 -256 -0 -0 -0 -1 -0x2c62 -0xb0e9eb6b -256 -256 -1 -0 -0 -4 -0x17d8 -0xba6f1553 -256 -256 -0 -0 -0 -2 -0xd96b -0x15b99ca3 -256 -256 -1 -0 -0 -1 -0xd331 -0x26ee3f62 -256 -256 -0 -0 -0 -2 -0x29c4 -0x46c22fa -256 -256 -1 -0 -0 -2 -0x241d -0x301dc649 -256 -256 -0 -0 -0 -3 -0x9849 -0xf79ff3ba -256 -256 -0 -0 -0 -4 -0xba3b -0xcd03dc84 -256 -256 -1 -0 -0 -4 -0x2417 -0xc4d90498 -256 -256 -1 -0 -0 -4 -0x8f03 -0x371ab75b -256 -256 -1 -0 -0 -3 -0x67ba -0x5ac15598 -256 -256 -0 -0 -0 -2 -0xfa62 -0xf5d7daa6 -256 -256 -1 -0 -0 -3 -0x22de -0xf83b939d -256 -256 -1 -0 -0 -1 -0x8741 -0x8d4eed67 -256 -256 -0 -0 -0 -4 -0xd9ef -0xe53fbc81 -256 -256 -1 -0 -0 -3 -0xc167 -0x674e6be9 -256 -256 -0 -0 -0 -1 -0x5bf5 -0x5cd66f4a -256 -256 -0 -0 -0 -2 -0x2b8b -0x175a0dc6 -256 -256 -0 -0 -0 -3 -0x6fb9 -0xe441db7f -256 -256 -0 -0 -0 -4 -0xdaf9 -0xfa68ad48 -256 -256 -1 -0 -0 -4 -0xbc95 -0xb306f232 -256 -256 -1 -0 -0 -2 -0x2851 -0xaba74c03 -256 -256 -0 -0 -0 -1 -0xa893 -0xa1d3fe7f -256 -256 -1 -0 -0 -2 -0x9572 -0x13dda0f3 -256 -256 -0 -0 -0 -2 -0x8c25 -0x7371d555 -256 -256 -0 -0 -0 -3 -0x3666 -0xc88b4c57 -256 -256 -1 -0 -0 -2 -0x89ac -0xf9744dbf -256 -256 -1 -0 -0 -3 -0xcf59 -0x7dedc2c3 -256 -256 -1 -0 -0 -3 -0x57e6 -0xd7a2de33 -256 -256 -0 -0 -0 -4 -0x84f5 -0x64b64593 -256 -256 -0 -0 -0 -2 -0x6327 -0x5ebda75c -256 -256 -1 -0 -0 -1 -0x71d5 -0x56b1f58c -256 -256 -0 -0 -0 -3 -0x2269 -0xc6062881 -256 -256 -1 -0 -0 -1 -0xe295 -0x67d2d090 -256 -256 -0 -0 -0 -3 -0xd804 -0x8c184547 -256 -256 -1 -0 -0 -3 -0x19c6 -0x3290522b -256 -256 -0 -0 -0 -3 -0xf0db -0xa335439 -256 -256 -1 -0 -0 -3 -0x39be -0xd856782b -256 -256 -0 -0 -0 -3 -0xbbae -0xa06fb876 -256 -256 -0 -0 -0 -4 -0x2573 -0x54bb05ff -256 -256 -1 -0 -0 -1 -0xbf81 -0xe3ce91a6 -256 -256 -0 -0 -0 -4 -0x6729 -0x905ed9e6 -256 -256 -0 -0 -0 -1 -0xd702 -0x7f1fe52f -256 -256 -0 -0 -0 -1 -0x74d3 -0xd5a503ed -256 -256 -1 -0 -0 -4 -0x68bb -0x871b4497 -256 -256 -0 -0 -0 -4 -0xb423 -0xf131aa7f -256 -256 -1 -0 -0 -2 -0xfdd7 -0x8180b8c3 -256 -256 -1 -0 -0 -4 -0xa8a7 -0xa31bd547 -256 -256 -0 -0 -0 -3 -0x9bde -0xd352a92c -256 -256 -1 -0 -0 -3 -0xa93c -0xb35d91c7 -256 -256 -0 -0 -0 -1 -0xafe5 -0x535f3441 -256 -256 -0 -0 -0 -1 -0x9bc6 -0x2c8b447 -256 -256 -0 -0 -0 -3 -0x8f49 -0xbb68d7d -256 -256 -1 -0 -0 -1 -0x1d42 -0xbbb6a69e -256 -256 -1 -0 -0 -3 -0x45ae -0x25dcf34d -256 -256 -1 -0 -0 -2 -0xc8b0 -0xb2ddaa0a -256 -256 -1 -0 -0 -4 -0x3a8d -0xb1ad2c8e -256 -256 -0 -0 -0 -2 -0x4d81 -0x9d0c489a -256 -256 -0 -0 -0 -1 -0xc95b -0xf5d3d4ce -256 -256 -0 -0 -0 -4 -0x9707 -0x5ce1ea32 -256 -256 -0 -0 -0 -4 -0x2dfc -0x49e9ce1a -256 -256 -0 -0 -0 -4 -0x684d -0x550857b6 -256 -256 -0 -0 -0 -3 -0x38cd -0x254ab58e -256 -256 -1 -0 -0 -4 -0x9e92 -0x6c3142f3 -256 -256 -0 -0 -0 -1 -0xa173 -0xdb359406 -256 -256 -1 -0 -0 -2 -0x81cb -0xe52a336f -256 -256 -1 -0 -0 -2 -0x7c0e -0x28a0730b -256 -256 -0 -0 -0 -3 -0x172f -0xb94f90a5 -256 -256 -1 -0 -0 -3 -0xf6dc -0x3efb1d5e -256 -256 -0 -0 -0 -3 -0xa9e6 -0x1349b5ee -256 -256 -1 -0 -0 -3 -0x2363 -0xb999c4b8 -256 -256 -0 -0 -0 -3 -0xc24e -0xbe23256b -256 -256 -1 -0 -0 -1 -0x5086 -0x3b2cb545 -256 -256 -1 -0 -0 -4 -0x2be0 -0xdc9d842a -256 -256 -0 -0 -0 -3 -0x5c7d -0xbc65808c -256 -256 -0 -0 -0 -2 -0xf0ee -0x83576f94 -256 -256 -0 -0 -0 -4 -0x3c61 -0x9cfb8cae -256 -256 -0 -0 -0 -1 -0x384a -0x50f67719 -256 -256 -1 -0 -0 -4 -0xeadc -0xb8f43cfa -256 -256 -1 -0 -0 -4 -0xceb8 -0x832a5da6 -256 -256 -0 -0 -0 -3 -0x5006 -0xcdb761af -256 -256 -1 -0 -0 -3 -0x65c5 -0x506fdd07 -256 -256 -1 -0 -0 -2 -0x289c -0xcc708191 -256 -256 -0 -0 -0 -2 -0x6b02 -0xe2f2dc9a -256 -256 -0 -0 -0 -4 -0xa866 -0xdd02da7f -256 -256 -1 -0 -0 -2 -0x816f -0xe986b308 -256 -256 -0 -0 -0 -2 -0x9d98 -0xe568cbbd -256 -256 -1 -0 -0 -2 -0xf2ca -0x66e083ca -256 -256 -1 -0 -0 -1 -0xda94 -0xe790c369 -256 -256 -1 -0 -0 -2 -0xa9d2 -0x5cbc2bf6 -256 -256 -0 -0 -0 -4 -0x6c83 -0xcf98cf7b -256 -256 -1 -0 -0 -3 -0xc9c4 -0xf862ec6e -256 -256 -1 -0 -0 -4 -0x68ba -0x2e8dba3c -256 -256 -1 -0 -0 -2 -0xb10f -0x44173798 -256 -256 -0 -0 -0 -1 -0xa34b -0xf52327d5 -256 -256 -1 -0 -0 -2 -0x23cd -0x2fc11ce1 -256 -256 -1 -0 -0 -2 -0x67bf -0xfa7c742c -256 -256 -0 -0 -0 -1 -0x7f2e -0xc0dac89d -256 -256 -1 -0 -0 -4 -0x6c7f -0xb8c77dfc -256 -256 -0 -0 -0 -3 -0x39e5 -0xc802e03d -256 -256 -1 -0 -0 -2 -0x3ff2 -0x68551715 -256 -256 -1 -0 -0 -2 -0xbde9 -0xb401d351 -256 -256 -0 -0 -0 -3 -0xa24d -0x2bddde84 -256 -256 -1 -0 -0 -2 -0x49ab -0x71d22b72 -256 -256 -0 -0 -0 -1 -0xd2ed -0x56b315d6 -256 -256 -0 -0 -0 -3 -0x3d8b -0x4c3a6267 -256 -256 -1 -0 -0 -2 -0x82a2 -0x4a2975a5 -256 -256 -0 -0 -0 -4 -0x17 -0x9a7215fa -256 -256 -0 -0 -0 -1 -0x6d54 -0x888b9e93 -256 -256 -0 -0 -0 -2 -0x8f2f -0x93d42060 -256 -256 -1 -0 -0 -1 -0x5e7a -0x124414a6 -256 -256 -0 -0 -0 -2 -0xbad5 -0x498f410e -256 -256 -1 -0 -0 -1 -0x1b0c -0x9cac27b2 -256 -256 -1 -0 -0 -1 -0x9a62 -0x3abb2361 -256 -256 -1 -0 -0 -3 -0x4b2 -0xa08fbfe4 -256 -256 -0 -0 -0 -3 -0x31c2 -0x3c7f2970 -256 -256 -1 -0 -0 -4 -0xab2b -0xdd347db5 -256 -256 -0 -0 -0 -4 -0x2cc3 -0xf121bb92 -256 -256 -1 -0 -0 -4 -0x6dae -0x3b04ad4a -256 -256 -0 -0 -0 -1 -0xa9b3 -0x5533d7d0 -256 -256 -0 -0 -0 -4 -0xb2a -0xc8aee15f -256 -256 -1 -0 -0 -4 -0x9fcc -0xb4fcf99a -256 -256 -0 -0 -0 -3 -0xf5b -0x9614dbbe -256 -256 -0 -0 -0 -1 -0xdb9b -0x13967201 -256 -256 -1 -0 -0 -2 -0xcf54 -0x197d49a -256 -256 -1 -0 -0 -4 -0x1b92 -0x7da5c460 -256 -256 -0 -0 -0 -2 -0xc1bd -0xb808e4b1 -256 -256 -0 -0 -0 -2 -0x82cf -0xaaad7615 -256 -256 -1 -0 -0 -2 -0x3fa -0x881611ad -256 -256 -0 -0 -0 -4 -0xac13 -0x3b8bdcfb -256 -256 -1 -0 -0 -4 -0x81ad -0xce03cde6 -256 -256 -1 -0 -0 -4 -0x2e6e -0xe67a28bf -256 -256 -0 -0 -0 -1 -0xc3bb -0xdb8efd1c -256 -256 -0 -0 -0 -4 -0x4e0e -0x72ce64e7 -256 -256 -1 -0 -0 -4 -0xeede -0x9f521489 -256 -256 -1 -0 -0 -1 -0xa86 -0xb8b5e2b6 -256 -256 -1 -0 -0 -2 -0x48e4 -0xff9e2941 -256 -256 -1 -0 -0 -3 -0x9eab -0x6912c44b -256 -256 -0 -0 -0 -1 -0x79f5 -0xf6c1e3db -256 -256 -1 -0 -0 -3 -0x499d -0xef0d769e -256 -256 -1 -0 -0 -4 -0x8d3f -0x5004054d -256 -256 -1 -0 -0 -3 -0xb761 -0x8639c290 -256 -256 -0 -0 -0 -4 -0x8016 -0xfcf4405f -256 -256 -1 -0 -0 -1 -0x7a93 -0xe684511e -256 -256 -1 -0 -0 -1 -0xb29c -0x518c4225 -256 -256 -0 -0 -0 -4 -0x9d24 -0x2eb035e5 -256 -256 -1 -0 -0 -1 -0x2953 -0xd98e0df8 -256 -256 -1 -0 -0 -1 -0x9580 -0xf387cf43 -256 -256 -0 -0 -0 -2 -0xc6d4 -0xdd06bf6 -256 -256 -1 -0 -0 -4 -0x2676 -0x37213651 -256 -256 -1 -0 -0 -4 -0x3e70 -0xac99f902 -256 -256 -0 -0 -0 -4 -0xb0d -0x7de1f766 -256 -256 -0 -0 -0 -4 -0xaf8a -0xb97d22e5 -256 -256 -0 -0 -0 -1 -0x60ed -0xd59465b6 -256 -256 -0 -0 -0 -3 -0x85ea -0x89069f34 -256 -256 -0 -0 -0 -4 -0xe1a -0x1b00645 -256 -256 -1 -0 -0 -1 -0xf9f4 -0x6ad577c2 -256 -256 -1 -0 -0 -3 -0x7a98 -0xa69b97e7 -256 -256 -1 -0 -0 -1 -0x3df9 -0xa492292 -256 -256 -0 -0 -0 -1 -0x8196 -0x8955828a -256 -256 -1 -0 -0 -3 -0x9c0c -0xda0b7181 -256 -256 -1 -0 -0 -2 -0x90d2 -0x6dc0c4b8 -256 -256 -0 -0 -0 -1 -0x54d -0x182b97d2 -256 -256 -1 -0 -0 -1 -0x66cb -0x25ee674e -256 -256 -1 -0 -0 -2 -0xbcdd -0xf66c172f -256 -256 -1 -0 -0 -1 -0xea34 -0xd35e24cf -256 -256 -0 -0 -0 -1 -0xfe7b -0xb9f7f5a3 -256 -256 -1 -0 -0 -4 -0x4b31 -0x2612bb5e -256 -256 -1 -0 -0 -1 -0x2db -0x32af45aa -256 -256 -1 -0 -0 -4 -0x819b -0xb1c82b6f -256 -256 -1 -0 -0 -3 -0xe43f -0x245ece0 -256 -256 -1 -0 -0 -1 -0x8398 -0x6f29a4e3 -256 -256 -1 -0 -0 -2 -0x15ce -0x801ccf57 -256 -256 -1 -0 -0 -3 -0x1b99 -0x145c8227 -256 -256 -0 -0 -0 -2 -0x5e09 -0xf509b9a8 -256 -256 -1 -0 -0 -2 -0x3ef4 -0x2778354e -256 -256 -0 -0 -0 -3 -0x217b -0x52908e89 -256 -256 -1 -0 -0 -1 -0xde0f -0x19e5e452 -256 -256 -0 -0 -0 -2 -0x4318 -0x7a813590 -256 -256 -1 -0 -0 -4 -0x2177 -0x9f89a7d -256 -256 -0 -0 -0 -4 -0x54f9 -0x362c30b0 -256 -256 -1 -0 -0 -4 -0x5a09 -0x57ae83b7 -256 -256 -0 -0 -0 -4 -0x3037 -0xef07384b -256 -256 -1 -0 -0 -4 -0x314f -0x1124ad71 -256 -256 -0 -0 -0 -1 -0xc363 -0x36e1a1cb -256 -256 -0 -0 -0 -3 -0x5157 -0xc5e41583 -256 -256 -0 -0 -0 -3 -0x3a83 -0x7018928c -256 -256 -0 -0 -0 -1 -0xc22e -0x8ade87a1 -256 -256 -0 -0 -0 -1 -0xdeaf -0x5535d839 -256 -256 -1 -0 -0 -2 -0x9a97 -0x137c00db -256 -256 -1 -0 -0 -3 -0xf1b7 -0x892bfed -256 -256 -1 -0 -0 -4 -0x6bf4 -0x3f43b1aa -256 -256 -0 -0 -0 -1 -0xc6b4 -0xd6a1a095 -256 -256 -0 -0 -0 -2 -0xe1c5 -0xb5dfc43a -256 -256 -1 -0 -0 -1 -0xd42f -0x3a955910 -256 -256 -1 -0 -0 -3 -0x67da -0x6ec7caed -256 -256 -0 -0 -0 -2 -0x7217 -0x31126645 -256 -256 -0 -0 -0 -2 -0xa653 -0x7165de88 -256 -256 -0 -0 -0 -1 -0x571d -0x49941ece -256 -256 -0 -0 -0 -3 -0xd560 -0x4294e10d -256 -256 -0 -0 -0 -4 -0x21d5 -0x712dd147 -256 -256 -1 -0 -0 -4 -0xef0f -0x9ed22212 -256 -256 -1 -0 -0 -3 -0xd677 -0xa97367b5 -256 -256 -0 -0 -0 -1 -0x822b -0xab41833c -256 -256 -0 -0 -0 -4 -0x46e5 -0x72a50381 -256 -256 -0 -0 -0 -1 -0x1df4 -0xa902550b -256 -256 -0 -0 -0 -2 -0x30c1 -0x8ddac24d -256 -256 -1 -0 -0 -4 -0xee38 -0x2372028e -256 -256 -0 -0 -0 -3 -0x3da2 -0x3b3efa80 -256 -256 -0 -0 -0 -4 -0x8c4 -0xcf65df77 -256 -256 -1 -0 -0 -1 -0x3ecc -0x38080631 -256 -256 -0 -0 -0 -4 -0x68e -0x1ed8d94f -256 -256 -0 -0 -0 -2 -0xf7f3 -0x742475f -256 -256 -0 -0 -0 -2 -0x845 -0x4ea30562 -256 -256 -1 -0 -0 -1 -0x6447 -0xee049107 -256 -256 -1 -0 -0 -3 -0x14dd -0x85bf5118 -256 -256 -0 -0 -0 -3 -0x928 -0x3639e831 -256 -256 -1 -0 -0 -1 -0xfae5 -0x30a13757 -256 -256 -0 -0 -0 -1 -0x61d9 -0x4f1ceba4 -256 -256 -1 -0 -0 -2 -0x5b9c -0x8e271d4d -256 -256 -0 -0 -0 -4 -0x8c13 -0x9890b68a -256 -256 -0 -0 -0 -3 -0x9e91 -0x9bc5f92b -256 -256 -0 -0 -0 -2 -0x3c45 -0xeb8edead -256 -256 -0 -0 -0 -4 -0x206f -0x1b3f2810 -256 -256 -0 -0 -0 -1 -0x1315 -0x17bfa30 -256 -256 -1 -0 -0 -1 -0x76a4 -0xd4d2bf6d -256 -256 -0 -0 -0 -1 -0x99f8 -0xe1436e4e -256 -256 -1 -0 -0 -2 -0x76c2 -0x6f3cc1c -256 -256 -1 -0 -0 -3 -0x6abb -0xf39370ea -256 -256 -1 -0 -0 -4 -0x1f95 -0xa3d8e179 -256 -256 -0 -0 -0 -4 -0xfe39 -0x6997c62c -256 -256 -1 -0 -0 -3 -0x29b6 -0x3e48c6ce -256 -256 -0 -0 -0 -4 -0x6ad9 -0xf31547d -256 -256 -0 -0 -0 -3 -0xdf5b -0x929f1d38 -256 -256 -0 -0 -0 -2 -0x31da -0x5b009424 -256 -256 -1 -0 -0 -3 -0xcac6 -0xea727985 -256 -256 -0 -0 -0 -2 -0x4c2e -0xdbd4590d -256 -256 -0 -0 -0 -3 -0xa17c -0x649fb555 -256 -256 -0 -0 -0 -1 -0x887e -0x2b5e196d -256 -256 -1 -0 -0 -1 -0xd33 -0x22e716c5 -256 -256 -0 -0 -0 -3 -0x7861 -0xd2f2812c -256 -256 -0 -0 -0 -1 -0x45c1 -0x3864e2fe -256 -256 -1 -0 -0 -2 -0x65ba -0xa9c93961 -256 -256 -0 -0 -0 -3 -0x7d52 -0x76f3ca3b -256 -256 -0 -0 -0 -3 -0xf934 -0x90f4cfec -256 -256 -1 -0 -0 -3 -0xb29f -0x2a40631b -256 -256 -0 -0 -0 -1 -0x744 -0x214cc3d5 -256 -256 -1 -0 -0 -2 -0x5310 -0xce320c07 -256 -256 -0 -0 -0 -3 -0x551a -0xfbaf8377 -256 -256 -1 -0 -0 -3 -0x230d -0x19a37f25 -256 -256 -1 -0 -0 -1 -0x8aec -0x67c9da96 -256 -256 -1 -0 -0 -1 -0x4365 -0x73ef98d6 -256 -256 -0 -0 -0 -3 -0x82de -0x10b8038e -256 -256 -0 -0 -0 -2 -0x44f0 -0x8e9bc502 -256 -256 -1 -0 -0 -4 -0x870b -0x98709d7c -256 -256 -0 -0 -0 -3 -0xccda -0xfd40b5b8 -256 -256 -0 -0 -0 -2 -0x3779 -0xffd1af10 -256 -256 -0 -0 -0 -2 -0xb6cf -0x76caf845 -256 -256 -1 -0 -0 -1 -0xa54d -0x3d6faa5c -256 -256 -1 -0 -0 -3 -0xfad4 -0x3c49be41 -256 -256 -1 -0 -0 -4 -0x9b84 -0x708ceaec -256 -256 -0 -0 -0 -3 -0x2824 -0xfa10675e -256 -256 -0 -0 -0 -2 -0xf57 -0x7fbb48ed -256 -256 -1 -0 -0 -4 -0x8051 -0x285b38d9 -256 -256 -1 -0 -0 -4 -0xe5d7 -0x8e3a2bcb -256 -256 -1 -0 -0 -2 -0x4e30 -0xa5b12a7a -256 -256 -1 -0 -0 -2 -0x5893 -0x2713b6b5 -256 -256 -1 -0 -0 -1 -0xd2be -0x6c1c317b -256 -256 -0 -0 -0 -1 -0x2a53 -0x9bcb52a7 -256 -256 -0 -0 -0 -1 -0x9fcc -0xf4061d17 -256 -256 -1 -0 -0 -4 -0x96a7 -0xe5ebade2 -256 -256 -0 -0 -0 -2 -0x852a -0xedd7d21a -256 -256 -1 -0 -0 -1 -0xd6d -0xa24cf0c5 -256 -256 -1 -0 -0 -3 -0xe2fc -0xa2413f10 -256 -256 -1 -0 -0 -1 -0x5c11 -0x31ac698b -256 -256 -0 -0 -0 -3 -0xcccf -0x7127f5ad -256 -256 -1 -0 -0 -3 -0x7eb5 -0x88759a80 -256 -256 -1 -0 -0 -1 -0x5801 -0xddcf6bf -256 -256 -1 -0 -0 -4 -0x280a -0x7bd9a5a6 -256 -256 -0 -0 -0 -1 -0xe288 -0xac61932f -256 -256 -1 -0 -0 -4 -0x7150 -0x4a3428ef -256 -256 -1 -0 -0 -3 -0x73b2 -0xa3b881d2 -256 -256 -0 -0 -0 -4 -0x6175 -0xa8a3f4fb -256 -256 -0 -0 -0 -2 -0x38c2 -0xf6de0930 -256 -256 -0 -0 -0 -1 -0x4ece -0xd3b67bb0 -256 -256 -1 -0 -0 -4 -0x945 -0xf9c40e71 -256 -256 -0 -0 -0 -4 -0xed2 -0x9fe48ad4 -256 -256 -1 -0 -0 -3 -0x6320 -0x49fb0ddb -256 -256 -1 -0 -0 -4 -0xfd3d -0x8d0686da -256 -256 -1 -0 -0 -2 -0x8e8c -0x6e0e0c53 -256 -256 -1 -0 -0 -4 -0x36d6 -0x79e91aeb -256 -256 -0 -0 -0 -3 -0x2322 -0x91794ba5 -256 -256 -1 -0 -0 -4 -0x7d37 -0x407cb8c2 -256 -256 -1 -0 -0 -3 -0x8cfa -0x50b02f46 -256 -256 -1 -0 -0 -2 -0xee7f -0xcc3452a5 -256 -256 -1 -0 -0 -2 -0x9964 -0xf34336db -256 -256 -0 -0 -0 -2 -0x8fcc -0x95ef6301 -256 -256 -0 -0 -0 -3 -0x3295 -0x820a3044 -256 -256 -0 -0 -0 -4 -0xede4 -0x57404b00 -256 -256 -1 -0 -0 -2 -0x812a -0x931cf7f5 -256 -256 -1 -0 -0 -2 -0x8c75 -0xa3a2f300 -256 -256 -0 -0 -0 -2 -0x43fa -0xddbe6835 -256 -256 -1 -0 -0 -2 -0x9311 -0xafda12e6 -256 -256 -0 -0 -0 -3 -0x466e -0x311cf254 -256 -256 -1 -0 -0 -4 -0xb3f7 -0x9c8a6978 -256 -256 -0 -0 -0 -3 -0x24f7 -0xfaee2004 -256 -256 -1 -0 -0 -4 -0x4789 -0x823b84d9 -256 -256 -0 -0 -0 -3 -0x6ce6 -0x1380b7c3 -256 -256 -1 -0 -0 -1 -0x1f88 -0xa6decdde -256 -256 -0 -0 -0 -1 -0x82e6 -0xd823ac7f -256 -256 -1 -0 -0 -1 -0x5b18 -0x5a821150 -256 -256 -1 -0 -0 -4 -0x394d -0x68b0c789 -256 -256 -1 -0 -0 -1 -0xf415 -0x7ca80f3e -256 -256 -1 -0 -0 -1 -0x5c2 -0x64e37341 -256 -256 -0 -0 -0 -3 -0x3262 -0x2c277f5b -256 -256 -1 -0 -0 -4 -0x4b4d -0x6e960b4b -256 -256 -0 -0 -0 -1 -0x46fb -0x52e84b69 -256 -256 -1 -0 -0 -3 -0x7b5b -0xf24c593e -256 -256 -1 -0 -0 -3 -0x4730 -0x42cd44b8 -256 -256 -0 -0 -0 -2 -0x2fcb -0x82089c83 -256 -256 -1 -0 -0 -4 -0x5d87 -0x345cea22 -256 -256 -1 -0 -0 -3 -0x862a -0x177b955 -256 -256 -0 -0 -0 -4 -0xbe80 -0x9e1142b9 -256 -256 -1 -0 -0 -4 -0x40f9 -0x4e2ee682 -256 -256 -0 -0 -0 -2 -0x172b -0x7a0aa3c2 -256 -256 -1 -0 -0 -2 -0x207c -0x91ac599 -256 -256 -0 -0 -0 -1 -0xebc3 -0x9fbd93e0 -256 -256 -0 -0 -0 -4 -0xf81d -0x90cb9e26 -256 -256 -1 -0 -0 -2 -0xa6ef -0xe4700660 -256 -256 -0 -0 -0 -4 -0x33ed -0x14a0776f -256 -256 -0 -0 -0 -4 -0xe5cf -0xc86d7cc8 -256 -256 -1 -0 -0 -1 -0xa59e -0xa7aa4c84 -256 -256 -0 -0 -0 -2 -0xde72 -0xbdfed2f9 -256 -256 -1 -0 -0 -2 -0xeb3e -0xbd7622a9 -256 -256 -0 -0 -0 -1 -0xfedf -0xacc4080 -256 -256 -1 -0 -0 -2 -0x429e -0x531d518 -256 -256 -0 -0 -0 -4 -0xda10 -0x5a50cee9 -256 -256 -0 -0 -0 -1 -0xd5dc -0xe1bf4ebe -256 -256 -1 -0 -0 -4 -0x515d -0xccd89331 -256 -256 -0 -0 -0 -4 -0x6a44 -0x9405b5b1 -256 -256 -1 -0 -0 -3 -0x7d55 -0x553bce80 -256 -256 -1 -0 -0 -2 -0x7493 -0x87e28e9d -256 -256 -1 -0 -0 -2 -0x866a -0x6d79c3b9 -256 -256 -0 -0 -0 -3 -0xcd28 -0xf1b119a4 -256 -256 -1 -0 -0 -1 -0x8989 -0x576000d7 -256 -256 -1 -0 -0 -4 -0xe1c0 -0x9ec44982 -256 -256 -0 -0 -0 -3 -0x33de -0xece0346a -256 -256 -1 -0 -0 -2 -0x9a00 -0x148311bf -256 -256 -0 -0 -0 -4 -0xd259 -0x2b52dd60 -256 -256 -0 -0 -0 -3 -0x59f8 -0x5b936c70 -256 -256 -0 -0 -0 -1 -0x8ab6 -0x6a0a4d33 -256 -256 -0 -0 -0 -2 -0x7063 -0x6cfbacaf -256 -256 -0 -0 -0 -2 -0xab0e -0x2082dad1 -256 -256 -1 -0 -0 -1 -0x5f8f -0xcb0739bf -256 -256 -1 -0 -0 -2 -0xac1f -0x9edc3876 -256 -256 -1 -0 -0 -3 -0x758f -0x9a1b3ac1 -256 -256 -0 -0 -0 -3 -0x40cb -0xbc3d8ca -256 -256 -0 -0 -0 -3 -0xf970 -0x4f2c22f4 -256 -256 -1 -0 -0 -4 -0xf981 -0x846c130f -256 -256 -0 -0 -0 -2 -0x998 -0x85d8092c -256 -256 -1 -0 -0 -3 -0xfa1b -0xdfbf824b -256 -256 -0 -0 -0 -3 -0x54a0 -0x1e4c1ff9 -256 -256 -1 -0 -0 -3 -0x4e55 -0x788e6c31 -256 -256 -1 -0 -0 -2 -0xaa3e -0x4b028b1d -256 -256 -0 -0 -0 -1 -0x24cb -0x3459188e -256 -256 -0 -0 -0 -4 -0x966d -0xd418aabb -256 -256 -0 -0 -0 -2 -0xb0f8 -0x5ffe8f15 -256 -256 -0 -0 -0 -2 -0xc14b -0xa32e3251 -256 -256 -0 -0 -0 -1 -0x5287 -0xd794784b -256 -256 -1 -0 -0 -4 -0xb4f2 -0x527ebd77 -256 -256 -0 -0 -0 -2 -0x47b6 -0x59c5fba3 -256 -256 -1 -0 -0 -1 -0xcce6 -0x6ff6d33e -256 -256 -1 -0 -0 -4 -0x6609 -0x63fa32fd -256 -256 -1 -0 -0 -3 -0xb94b -0x882f7654 -256 -256 -0 -0 -0 -3 -0x44fe -0x60749dad -256 -256 -1 -0 -0 -3 -0x2300 -0xf786a5f4 -256 -256 -0 -0 -0 -4 -0x320e -0x24b0c7d6 -256 -256 -1 -0 -0 -2 -0x2d35 -0xff05607a -256 -256 -0 -0 -0 -1 -0xf5bb -0xe32982eb -256 -256 -1 -0 -0 -4 -0xf4ef -0x6f869148 -256 -256 -0 -0 -0 -4 -0xc312 -0x73bcf427 -256 -256 -1 -0 -0 -2 -0xf692 -0x70a0c797 -256 -256 -0 -0 -0 -2 -0xf161 -0x3ed9e188 -256 -256 -1 -0 -0 -1 -0xf66 -0x10037dc7 -256 -256 -0 -0 -0 -3 -0x2032 -0x5ed8d77e -256 -256 -1 -0 -0 -3 -0xabcf -0x6836c754 -256 -256 -0 -0 -0 -3 -0x1574 -0xe0526bb2 -256 -256 -1 -0 -0 -4 -0xa7b -0x625a38a2 -256 -256 -0 -0 -0 -3 -0x9b54 -0x2e3c99ea -256 -256 -1 -0 -0 -1 -0xb0b8 -0xbe28260e -256 -256 -1 -0 -0 -2 -0xabe3 -0xd3d72ca9 -256 -256 -1 -0 -0 -3 -0x1727 -0x5c4d41de -256 -256 -1 -0 -0 -4 -0x992c -0x7e9379d5 -256 -256 -1 -0 -0 -1 -0x632a -0xd921d900 -256 -256 -0 -0 -0 -4 -0x872d -0x785d9c98 -256 -256 -1 -0 -0 -3 -0xf9dc -0xa25cf733 -256 -256 -0 -0 -0 -4 -0xf847 -0x99403f7d -256 -256 -0 -0 -0 -4 -0x1ca5 -0x284832c6 -256 -256 -0 -0 -0 -2 -0xba27 -0xca9ec9e1 -256 -256 -0 -0 -0 -2 -0x6a73 -0x1df87f85 -256 -256 -1 -0 -0 -3 -0xe539 -0xd8fb727 -256 -256 -1 -0 -0 -2 -0xb25c -0xbf3efd5a -256 -256 -1 -0 -0 -2 -0xc466 -0x64a7330 -256 -256 -0 -0 -0 -1 -0x763b -0xd117ca2e -256 -256 -1 -0 -0 -2 -0x664b -0x7d6e362b -256 -256 -0 -0 -0 -2 -0xed8e -0xfff9b4ab -256 -256 -0 -0 -0 -2 -0x6992 -0xb9817e4c -256 -256 -1 -0 -0 -2 -0xc773 -0xc434fdb0 -256 -256 -0 -0 -0 -4 -0xef03 -0x8a62a840 -256 -256 -1 -0 -0 -3 -0x2998 -0x9e6f4d6a -256 -256 -1 -0 -0 -1 -0x187b -0x458bc83d -256 -256 -0 -0 -0 -4 -0x1b81 -0xa0ac876d -256 -256 -1 -0 -0 -1 -0xd14f -0x3cae846b -256 -256 -1 -0 -0 -4 -0xe38 -0x8b65e015 -256 -256 -1 -0 -0 -3 -0xe02 -0x920814e6 -256 -256 -1 -0 -0 -2 -0xa917 -0x289bd840 -256 -256 -0 -0 -0 -1 -0x256e -0x97fd3842 -256 -256 -0 -0 -0 -1 -0x3df4 -0x2006874e -256 -256 -1 -0 -0 -2 -0x87d3 -0xd944b5a6 -256 -256 -0 -0 -0 -1 -0x4cc1 -0x4ad06742 -256 -256 -0 -0 -0 -3 -0x1a76 -0xbc11d208 -256 -256 -0 -0 -0 -3 -0x7ce9 -0xdc9089a3 -256 -256 -1 -0 -0 -1 -0x676d -0xc9553d69 -256 -256 -0 -0 -0 -3 -0x637b -0xead17b13 -256 -256 -0 -0 -0 -3 -0x302d -0x52913ca2 -256 -256 -0 -0 -0 -3 -0xe4d4 -0x1ac1e920 -256 -256 -1 -0 -0 -2 -0xe75 -0x6f51fe0 -256 -256 -1 -0 -0 -1 -0xe9b5 -0xc0bc084 -256 -256 -0 -0 -0 -2 -0xb86c -0x88651aae -256 -256 -1 -0 -0 -1 -0xe3dd -0xe3d312d2 -256 -256 -0 -0 -0 -1 -0x9106 -0x9d9939be -256 -256 -0 -0 -0 -4 -0xb5ae -0xca6147e0 -256 -256 -1 -0 -0 -3 -0x9f7a -0x231433b8 -256 -256 -0 -0 -0 -4 -0xc7cb -0x9951ef57 -256 -256 -0 -0 -0 -4 -0x619a -0xe85e4f93 -256 -256 -0 -0 -0 -2 -0x4c9d -0x5cebb939 -256 -256 -0 -0 -0 -2 -0xf338 -0xa81cca70 -256 -256 -1 -0 -0 -2 -0x312 -0xf0fa0168 -256 -256 -1 -0 -0 -3 -0x3b02 -0xc7f591d5 -256 -256 -1 -0 -0 -1 -0x86ff -0x72494010 -256 -256 -0 -0 -0 -3 -0x6185 -0xc051bfc -256 -256 -1 -0 -0 -1 -0x398a -0x32325c0d -256 -256 -0 -0 -0 -3 -0xf3b8 -0x2afd552f -256 -256 -1 -0 -0 -3 -0xe189 -0x2d29850a -256 -256 -1 -0 -0 -4 -0x2557 -0xd326e047 -256 -256 -1 -0 -0 -4 -0x5fb5 -0x2fb4e177 -256 -256 -1 -0 -0 -2 -0x72ae -0xa29c5051 -256 -256 -1 -0 -0 -4 -0x4bc0 -0xd545fcb -256 -256 -0 -0 -0 -1 -0xfb8e -0xf3a65596 -256 -256 -1 -0 -0 -2 -0xab7a -0x5973ce2b -256 -256 -0 -0 -0 -4 -0x1215 -0xb2b9918e -256 -256 -0 -0 -0 -2 -0xfecb -0x32a224ea -256 -256 -0 -0 -0 -3 -0xb5f -0xa9fb67b2 -256 -256 -0 -0 -0 -3 -0x35e9 -0x581dc30a -256 -256 -1 -0 -0 -2 -0xa2f7 -0x36feeb63 -256 -256 -0 -0 -0 -4 -0x9897 -0x181beed1 -256 -256 -0 -0 -0 -3 -0x5c7d -0x4263096f -256 -256 -1 -0 -0 -1 -0xd0a8 -0xf5f1c51f -256 -256 -0 -0 -0 -2 -0xd3c1 -0x7e039e20 -256 -256 -0 -0 -0 -2 -0x8bf8 -0xac4814f8 -256 -256 -0 -0 -0 -4 -0x55ee -0x9823b5d3 -256 -256 -0 -0 -0 -3 -0xf3a4 -0x528e093e -256 -256 -0 -0 -0 -1 -0xfbd5 -0xfa6ed180 -256 -256 -1 -0 -0 -1 -0x3000 -0xb583f288 -256 -256 -0 -0 -0 -4 -0xa900 -0x4c0c4f24 -256 -256 -0 -0 -0 -3 -0xf56c -0xe0ec37b5 -256 -256 -1 -0 -0 -4 -0xb914 -0x510b7cd4 -256 -256 -1 -0 -0 -1 -0x8108 -0x1b139fcc -256 -256 -1 -0 -0 -1 -0xfdf9 -0x59a0e6c7 -256 -256 -0 -0 -0 -1 -0x6121 -0xc1b0bcd9 -256 -256 -0 -0 -0 -3 -0x7bf0 -0x9f2f08d1 -256 -256 -1 -0 -0 -1 -0xb8ff -0x1736191e -256 -256 -1 -0 -0 -2 -0xbd56 -0xa2982b05 -256 -256 -0 -0 -0 -2 -0xddf2 -0x59ffc404 -256 -256 -1 -0 -0 -3 -0xe6f5 -0x3982f814 -256 -256 -1 -0 -0 -1 -0xd67b -0xc8ac8d8c -256 -256 -0 -0 -0 -4 -0xcd8f -0x352cd66c -256 -256 -1 -0 -0 -4 -0x9879 -0x99d1b69b -256 -256 -1 -0 -0 -2 -0x9722 -0xcce76576 -256 -256 -0 -0 -0 -2 -0x13 -0xec97f98a -256 -256 -0 -0 -0 -4 -0x71de -0xe42f6d92 -256 -256 -0 -0 -0 -4 -0x3acf -0xc0dc05e -256 -256 -1 -0 -0 -1 -0x871e -0xc2b12101 -256 -256 -0 -0 -0 -3 -0x219a -0xa776d1c2 -256 -256 -0 -0 -0 -1 -0x325e -0x5331cbb4 -256 -256 -0 -0 -0 -3 -0x3680 -0xb59d8088 -256 -256 -1 -0 -0 -2 -0xae77 -0x48d1acab -256 -256 -0 -0 -0 -3 -0x6cb9 -0x2b7d1dca -256 -256 -0 -0 -0 -2 -0xeef4 -0xa6030515 -256 -256 -1 -0 -0 -3 -0x21c2 -0x9592eb70 -256 -256 -1 -0 -0 -3 -0xfa40 -0xb878c044 -256 -256 -1 -0 -0 -1 -0x8b1e -0x36ea476c -256 -256 -0 -0 -0 -3 -0xe4b8 -0xffcddd94 -256 -256 -1 -0 -0 -3 -0xfe62 -0x83036eba -256 -256 -1 -0 -0 -2 -0x4f83 -0xa3561383 -256 -256 -1 -0 -0 -2 -0x9e2a -0x8d1bac7d -256 -256 -0 -0 -0 -1 -0xd059 -0xae284339 -256 -256 -0 -0 -0 -1 -0x60e2 -0x31e82213 -256 -256 -0 -0 -0 -3 -0x3803 -0x2cac12d8 -256 -256 -1 -0 -0 -4 -0x6d5a -0x100276e2 -256 -256 -1 -0 -0 -1 -0xcc2b -0xd72f52b9 -256 -256 -1 -0 -0 -4 -0x883a -0x79cb8b9f -256 -256 -1 -0 -0 -2 -0x6a0b -0x75ffad23 -256 -256 -1 -0 -0 -1 -0xca68 -0x34dd5e2d -256 -256 -1 -0 -0 -4 -0xc4 -0x29e7579e -256 -256 -1 -0 -0 -4 -0xeb8e -0x232c3590 -256 -256 -0 -0 -0 -1 -0xe58b -0xe8dd279a -256 -256 -0 -0 -0 -2 -0xbf4 -0x2cc15326 -256 -256 -1 -0 -0 -2 -0x231c -0xe2d5bd67 -256 -256 -0 -0 -0 -3 -0xc945 -0x616ee2d4 -256 -256 -0 -0 -0 -1 -0x1c7f -0x60bab3f3 -256 -256 -1 -0 -0 -3 -0xee9d -0x832e6ebb -256 -256 -1 -0 -0 -3 -0xb5a4 -0x137e6996 -256 -256 -0 -0 -0 -1 -0x2304 -0x22bc287b -256 -256 -0 -0 -0 -1 -0x8661 -0x12e7e770 -256 -256 -0 -0 -0 -1 -0x3c98 -0x12077d52 -256 -256 -0 -0 -0 -2 -0x72f3 -0x50a1355 -256 -256 -0 -0 -0 -3 -0x722e -0x2270bee3 -256 -256 -0 -0 -0 -1 -0x10b9 -0xf155980c -256 -256 -1 -0 -0 -1 -0x4750 -0x90d4f5a9 -256 -256 -0 -0 -0 -3 -0x10d -0xfe8926d -256 -256 -0 -0 -0 -2 -0xb90 -0x7f697bed -256 -256 -0 -0 -0 -3 -0x532 -0x4de9e301 -256 -256 -1 -0 -0 -4 -0xe061 -0x5811a21c -256 -256 -0 -0 -0 -2 -0xec8f -0x600d74ce -256 -256 -1 -0 -0 -2 -0x9fe3 -0x47fcb97c -256 -256 -0 -0 -0 -3 -0xef59 -0x6aa245a6 -256 -256 -1 -0 -0 -2 -0xb75b -0xe7a40fd0 -256 -256 -1 -0 -0 -2 -0x8f7f -0xb46d506 -256 -256 -1 -0 -0 -1 -0x71ba -0x866d56e6 -256 -256 -0 -0 -0 -4 -0xc0a0 -0xa40cad30 -256 -256 -0 -0 -0 -2 -0xec42 -0x710fe678 -256 -256 -0 -0 -0 -1 -0x9e1a -0xc5252bf1 -256 -256 -0 -0 -0 -3 -0x7401 -0x4627e741 -256 -256 -0 -0 -0 -3 -0x42c7 -0xb5038128 -256 -256 -1 -0 -0 -1 -0x88fb -0x2b7ce4 -256 -256 -0 -0 -0 -4 -0x18f1 -0xec61d40f -256 -256 -1 -0 -0 -3 -0x72a3 -0xefe4f5c7 -256 -256 -0 -0 -0 -2 -0xc49f -0xb9c911fc -256 -256 -0 -0 -0 -3 -0x45e9 -0xc1af7ddc -256 -256 -0 -0 -0 -2 -0x93c3 -0xb090735a -256 -256 -0 -0 -0 -3 -0x2a04 -0xcdecb846 -256 -256 -1 -0 -0 -1 -0xc816 -0x76f4b016 -256 -256 -1 -0 -0 -3 -0x8711 -0x342c8506 -256 -256 -0 -0 -0 -4 -0xbcc7 -0x5259e82d -256 -256 -0 -0 -0 -1 -0x61e1 -0x2c999879 -256 -256 -1 -0 -0 -4 -0x5fa1 -0x7a8bee9a -256 -256 -1 -0 -0 -1 -0xec7d -0x9e37d336 -256 -256 -0 -0 -0 -4 -0x445d -0x307c7eaf -256 -256 -1 -0 -0 -2 -0x9f19 -0x1a93ec73 -256 -256 -1 -0 -0 -4 -0x475f -0xd94ef779 -256 -256 -1 -0 -0 -4 -0xad66 -0x10c01413 -256 -256 -0 -0 -0 -4 -0x70b4 -0x75884274 -256 -256 -1 -0 -0 -2 -0x76a9 -0x7961cb59 -256 -256 -1 -0 -0 -3 -0xd111 -0x4c4d0e39 -256 -256 -0 -0 -0 -3 -0xa21a -0xc5087fff -256 -256 -0 -0 -0 -1 -0xf28 -0x3e2198e4 -256 -256 -1 -0 -0 -1 -0x1e35 -0xff33f957 -256 -256 -1 -0 -0 -1 -0x4ec4 -0x9980bebf -256 -256 -0 -0 -0 -3 -0x1f25 -0x2664cf4f -256 -256 -1 -0 -0 -4 -0xfdda -0x518db2dd -256 -256 -1 -0 -0 -3 -0x2f12 -0x6df677ce -256 -256 -1 -0 -0 -4 -0xf114 -0xbf336b2 -256 -256 -0 -0 -0 -1 -0xad08 -0xfdbe0f2 -256 -256 -0 -0 -0 -4 -0xab83 -0xcb29a574 -256 -256 -0 -0 -0 -4 -0x76dc -0x5791263f -256 -256 -0 -0 -0 -4 -0x2596 -0x55f9faed -256 -256 -0 -0 -0 -1 -0x3ea7 -0x7c413632 -256 -256 -0 -0 -0 -2 -0xcb59 -0x6f650c0a -256 -256 -0 -0 -0 -1 -0xa24f -0x2a0040b0 -256 -256 -1 -0 -0 -4 -0x571a -0xde6d4430 -256 -256 -1 -0 -0 -1 -0xcf72 -0x2bab597d -256 -256 -1 -0 -0 -2 -0xd463 -0x885620c5 -256 -256 -0 -0 -0 -4 -0xcf5a -0xd2cfc0dc -256 -256 -1 -0 -0 -3 -0x4271 -0xa9b34fa4 -256 -256 -0 -0 -0 -4 -0xfedf -0xd49489e2 -256 -256 -0 -0 -0 -3 -0x4f50 -0x4cfb92a -256 -256 -0 -0 -0 -4 -0x9d8c -0xd3fbf473 -256 -256 -0 -0 -0 -3 -0xd152 -0x481c3c2e -256 -256 -0 -0 -0 -2 -0xf23b -0x636df7fa -256 -256 -1 -0 -0 -3 -0x2ec3 -0x703271fe -256 -256 -1 -0 -0 -2 -0x4157 -0xc3a5f88c -256 -256 -1 -0 -0 -1 -0xedc7 -0x6d426f25 -256 -256 -1 -0 -0 -3 -0x9afe -0xabbe5296 -256 -256 -0 -0 -0 -1 -0xd54b -0x18401e94 -256 -256 -1 -0 -0 -2 -0x6806 -0x4c508671 -256 -256 -0 -0 -0 -3 -0xe293 -0x61e5ba72 -256 -256 -1 -0 -0 -1 -0x2d18 -0x34d15505 -256 -256 -1 -0 -0 -4 -0xd127 -0x98e778ae -256 -256 -1 -0 -0 -3 -0x695c -0xb2492f33 -256 -256 -0 -0 -0 -2 -0x9da3 -0xe5972c3a -256 -256 -0 -0 -0 -1 -0x5a5c -0xcfe452e5 -256 -256 -0 -0 -0 -4 -0xc745 -0xa085cb4a -256 -256 -1 -0 -0 -2 -0x9081 -0xc3d9ca8b -256 -256 -1 -0 -0 -2 -0x2973 -0x161ab8dd -256 -256 -0 -0 -0 -3 -0xdf35 -0xa936ca5d -256 -256 -1 -0 -0 -3 -0x1d65 -0xa6ab04e4 -256 -256 -1 -0 -0 -4 -0xad54 -0xc37a008b -256 -256 -0 -0 -0 -2 -0xbc26 -0x110a67f2 -256 -256 -0 -0 -0 -4 -0x35b3 -0x7a2814d -256 -256 -1 -0 -0 -4 -0xe0e0 -0x6694620f -256 -256 -1 -0 -0 -2 -0xf492 -0xfaa470b2 -256 -256 -0 -0 -0 -2 -0xf3b5 -0x32e6090a -256 -256 -0 -0 -0 -1 -0xbc1 -0x4baa6104 -256 -256 -1 -0 -0 -4 -0x6968 -0xa8dc65cf -256 -256 -1 -0 -0 -3 -0xdb9c -0x508270e1 -256 -256 -0 -0 -0 -3 -0x48e -0x67d32d29 -256 -256 -0 -0 -0 -1 -0x8c56 -0x8a4f3987 -256 -256 -0 -0 -0 -2 -0x3d82 -0xcf0df29e -256 -256 -0 -0 -0 -3 -0x7774 -0x5a39eb0 -256 -256 -0 -0 -0 -2 -0x848a -0x685ba1db -256 -256 -1 -0 -0 -2 -0xf039 -0x876a367d -256 -256 -0 -0 -0 -3 -0x47ae -0x3778a40f -256 -256 -0 -0 -0 -3 -0xcf10 -0xf9362e9 -256 -256 -1 -0 -0 -2 -0x3f10 -0xb4048f7f -256 -256 -1 -0 -0 -3 -0xdd70 -0x3c672da1 -256 -256 -1 -0 -0 -3 -0x9b10 -0x9922517c -256 -256 -1 -0 -0 -1 -0x713a -0x3f5a9325 -256 -256 -0 -0 -0 -1 -0x466 -0x3e298ebb -256 -256 -1 -0 -0 -2 -0x8d68 -0x511959f1 -256 -256 -0 -0 -0 -2 -0x9fab -0x13e6346c -256 -256 -0 -0 -0 -4 -0x78c4 -0xc9788e03 -256 -256 -0 -0 -0 -4 -0x898f -0xaa8eecd1 -256 -256 -1 -0 -0 -1 -0xe721 -0x55bc652b -256 -256 -0 -0 -0 -3 -0x6b2a -0x9a83e51e -256 -256 -0 -0 -0 -1 -0x7282 -0xcaa6ce9 -256 -256 -0 -0 -0 -4 -0x6983 -0xa72a375b -256 -256 -1 -0 -0 -2 -0xfbe4 -0xaecb372 -256 -256 -0 -0 -0 -2 -0x2402 -0x4f0fbd9b -256 -256 -0 -0 -0 -3 -0x396f -0x7e27d31 -256 -256 -1 -0 -0 -1 -0x92f9 -0x34b08c0a -256 -256 -0 -0 -0 -1 -0xeb0f -0x84874956 -256 -256 -0 -0 -0 -3 -0x7a7d -0x22334fd6 -256 -256 -0 -0 -0 -1 -0x6f87 -0xd86f31ea -256 -256 -0 -0 -0 -1 -0xf8d6 -0x1519f400 -256 -256 -1 -0 -0 -4 -0x83dd -0xe369b5cd -256 -256 -1 -0 -0 -3 -0x42df -0xfee1ae83 -256 -256 -1 -0 -0 -4 -0xe566 -0x3f3f684 -256 -256 -1 -0 -0 -3 -0xe2e4 -0x5d85bf3 -256 -256 -1 -0 -0 -1 -0xb0f9 -0xe2bc1165 -256 -256 -0 -0 -0 -3 -0x24bf -0x88b7c421 -256 -256 -0 -0 -0 -1 -0xaf45 -0x3ad4a755 -256 -256 -1 -0 -0 -2 -0x9326 -0xfda44f7d -256 -256 -1 -0 -0 -2 -0x1461 -0x3b9ebc0d -256 -256 -1 -0 -0 -2 -0x31a6 -0xbb77edf8 -256 -256 -0 -0 -0 -1 -0x3bb6 -0xc0e1c58c -256 -256 -1 -0 -0 -3 -0x622a -0x3cf4f535 -256 -256 -1 -0 -0 -3 -0x6338 -0x47dcb811 -256 -256 -0 -0 -0 -3 -0x8814 -0x30dab2e7 -256 -256 -0 -0 -0 -1 -0x5b7f -0xb4f16e1b -256 -256 -1 -0 -0 -3 -0xde70 -0x7dc33c81 -256 -256 -0 -0 -0 -3 -0x2d6e -0xe2ffefb3 -256 -256 -0 -0 -0 -2 -0x760b -0xeeecd1c4 -256 -256 -1 -0 -0 -1 -0x9db -0x44492862 -256 -256 -0 -0 -0 -3 -0x7f09 -0x6e3a6a9b -256 -256 -0 -0 -0 -1 -0x633a -0xa26f132b -256 -256 -0 -0 -0 -2 -0x72cc -0x9a2b4b3f -256 -256 -0 -0 -0 -2 -0x6a77 -0x2d95469 -256 -256 -1 -0 -0 -4 -0x1e5c -0xc403de83 -256 -256 -1 -0 -0 -3 -0x207c -0x1d915478 -256 -256 -1 -0 -0 -1 -0x7b19 -0xf8f7390a -256 -256 -0 -0 -0 -4 -0x1322 -0x7943d951 -256 -256 -0 -0 -0 -3 -0x76b2 -0xafb1117 -256 -256 -1 -0 -0 -3 -0x36c7 -0xf5de9ea7 -256 -256 -1 -0 -0 -3 -0x127e -0xdd592a86 -256 -256 -1 -0 -0 -3 -0xcd65 -0x6c7444b0 -256 -256 -1 -0 -0 -2 -0xa2c4 -0x6128096b -256 -256 -0 -0 -0 -4 -0xf143 -0x89401c39 -256 -256 -0 -0 -0 -1 -0x856c -0xdea83022 -256 -256 -1 -0 -0 -1 -0x5d50 -0x5361f748 -256 -256 -1 -0 -0 -3 -0x8ea -0xc205d588 -256 -256 -0 -0 -0 -3 -0x2c78 -0x61644ab5 -256 -256 -1 -0 -0 -1 -0x326a -0xdca3bb0d -256 -256 -1 -0 -0 -3 -0x7c60 -0x5d7972e -256 -256 -1 -0 -0 -3 -0x2d4f -0xd095f574 -256 -256 -0 -0 -0 -4 -0x5ad2 -0x4c60d5ad -256 -256 -0 -0 -0 -2 -0xfac2 -0xcb93101f -256 -256 -1 -0 -0 -2 -0x1af9 -0x9fb8be24 -256 -256 -0 -0 -0 -2 -0x49bc -0x76e2fdc1 -256 -256 -0 -0 -0 -2 -0xa9b5 -0x83693cc6 -256 -256 -1 -0 -0 -3 -0x28b -0xea9f56d5 -256 -256 -1 -0 -0 -3 -0xa111 -0x3b038508 -256 -256 -1 -0 -0 -1 -0xa45a -0x50d1290e -256 -256 -0 -0 -0 -2 -0x121e -0xd29a9643 -256 -256 -0 -0 -0 -4 -0x8fb2 -0xc6868778 -256 -256 -0 -0 -0 -4 -0x3b38 -0x6a255804 -256 -256 -0 -0 -0 -3 -0xe120 -0xb458f041 -256 -256 -1 -0 -0 -1 -0xe543 -0x6fb94a12 -256 -256 -1 -0 -0 -3 -0x4649 -0x690218f8 -256 -256 -0 -0 -0 -2 -0xb32a -0x7cb54bf0 -256 -256 -0 -0 -0 -4 -0xe1f6 -0xd359af3d -256 -256 -0 -0 -0 -2 -0x8d1c -0x699d705e -256 -256 -0 -0 -0 -4 -0x4b35 -0xba57b33b -256 -256 -0 -0 -0 -2 -0xf7ae -0x55688565 -256 -256 -0 -0 -0 -2 -0xa119 -0x7ce3e289 -256 -256 -0 -0 -0 -3 -0x63ea -0x574d4745 -256 -256 -1 -0 -0 -4 -0x9fe5 -0xca878d9e -256 -256 -0 -0 -0 -2 -0xeb98 -0x94620114 -256 -256 -1 -0 -0 -1 -0x467d -0x10a3f942 -256 -256 -1 -0 -0 -4 -0x7907 -0x77086171 -256 -256 -1 -0 -0 -3 -0x75bd -0x75a9653c -256 -256 -1 -0 -0 -1 -0xd230 -0xb8f7ca60 -256 -256 -0 -0 -0 -1 -0xa87c -0x48757f8b -256 -256 -0 -0 -0 -1 -0x4216 -0xc317685 -256 -256 -0 -0 -0 -1 -0xa0b0 -0x66d068d5 -256 -256 -0 -0 -0 -4 -0xbae0 -0x3bda02c5 -256 -256 -1 -0 -0 -2 -0x564 -0xb6431d4c -256 -256 -0 -0 -0 -3 -0xeb9f -0x782ca845 -256 -256 -0 -0 -0 -2 -0xf06c -0x2bf188c1 -256 -256 -1 -0 -0 -4 -0x3d45 -0xae240bc5 -256 -256 -1 -0 -0 -3 -0x1cf -0x1c1c1d50 -256 -256 -1 -0 -0 -4 -0xafff -0x53429795 -256 -256 -1 -0 -0 -1 -0x2bcd -0xfba03fcf -256 -256 -1 -0 -0 -4 -0xc1f6 -0xa9a1729f -256 -256 -1 -0 -0 -1 -0x6de6 -0xc5dd7a2 -256 -256 -0 -0 -0 -3 -0x92fa -0x289e0544 -256 -256 -0 -0 -0 -3 -0x80f9 -0x45a9df58 -256 -256 -1 -0 -0 -2 -0x8dc2 -0xd0c684db -256 -256 -0 -0 -0 -2 -0x87de -0x7b49008e -256 -256 -0 -0 -0 -2 -0xad55 -0x22ac648b -256 -256 -0 -0 -0 -3 -0x1a6f -0x70089bc0 -256 -256 -0 -0 -0 -2 -0x8e69 -0x52554583 -256 -256 -1 -0 -0 -2 -0x2945 -0x7daa143 -256 -256 -0 -0 -0 -1 -0x3416 -0x50fa33c0 -256 -256 -0 -0 -0 -4 -0x9b22 -0xf19064fe -256 -256 -0 -0 -0 -4 -0x2ba3 -0xefa5130 -256 -256 -1 -0 -0 -2 -0xe26e -0x49624451 -256 -256 -1 -0 -0 -3 -0x35ee -0x59d27bb -256 -256 -0 -0 -0 -4 -0x6be3 -0x8042bcd9 -256 -256 -0 -0 -0 -1 -0x80f -0x3ecd9fdf -256 -256 -0 -0 -0 -1 -0x5936 -0x855f530d -256 -256 -0 -0 -0 -3 -0xa47f -0xb016843d -256 -256 -0 -0 -0 -4 -0x7b8b -0x509bf97 -256 -256 -1 -0 -0 -1 -0xdc4f -0xdcfe2f0e -256 -256 -0 -0 -0 -3 -0xbb5e -0x4be1d759 -256 -256 -1 -0 -0 -4 -0x954c -0xf8fa2502 -256 -256 -1 -0 -0 -2 -0x2168 -0x286d89a1 -256 -256 -0 -0 -0 -4 -0x8635 -0x3c1d67 -256 -256 -1 -0 -0 -1 -0x89a9 -0xb56a1ebf -256 -256 -0 -0 -0 -3 -0xbbf0 -0xd6adf2e -256 -256 -0 -0 -0 -3 -0x4a70 -0x2de910e1 -256 -256 -0 -0 -0 -1 -0x49c3 -0x9fe8bc1 -256 -256 -1 -0 -0 -4 -0xbff3 -0xdb9182a1 -256 -256 -0 -0 -0 -1 -0xd9ba -0x908132a4 -256 -256 -1 -0 -0 -2 -0x4341 -0x626ca68 -256 -256 -1 -0 -0 -2 -0xbc5c -0x3459cdf7 -256 -256 -0 -0 -0 -3 -0x5205 -0x12887277 -256 -256 -1 -0 -0 -2 -0x3790 -0x7a485372 -256 -256 -0 -0 -0 -3 -0xf4be -0x3856e0bf -256 -256 -1 -0 -0 -1 -0xe1bd -0x2abcd99e -256 -256 -0 -0 -0 -4 -0x9b09 -0xf840608c -256 -256 -0 -0 -0 -1 -0x205c -0xab564eb0 -256 -256 -1 -0 -0 -4 -0x553d -0x2dc6acc1 -256 -256 -0 -0 -0 -3 -0x891 -0x928d1036 -256 -256 -1 -0 -0 -2 -0xdbb3 -0xdce50c40 -256 -256 -1 -0 -0 -3 -0x19a7 -0x67e2cae0 -256 -256 -0 -0 -0 -4 -0xd039 -0x5a6cd871 -256 -256 -0 -0 -0 -4 -0xb267 -0x60d243b4 -256 -256 -0 -0 -0 -4 -0x29d5 -0x413c16ee -256 -256 -1 -0 -0 -2 -0xb414 -0xcb78af6 -256 -256 -0 -0 -0 -4 -0x7833 -0xf08cb5ce -256 -256 -0 -0 -0 -3 -0x38e2 -0x630598b2 -256 -256 -0 -0 -0 -3 -0x86d -0xf5568779 -256 -256 -1 -0 -0 -4 -0x373d -0x341fb453 -256 -256 -1 -0 -0 -3 -0xf9ad -0x64d81148 -256 -256 -0 -0 -0 -1 -0xcf97 -0xdf391105 -256 -256 -0 -0 -0 -2 -0x9cde -0x99516980 -256 -256 -1 -0 -0 -2 -0xe461 -0xa330bc04 -256 -256 -0 -0 -0 -2 -0x7c3c -0xc979942c -256 -256 -0 -0 -0 -3 -0xcdb9 -0x8284c995 -256 -256 -1 -0 -0 -1 -0x1881 -0x5d5bdc42 -256 -256 -0 -0 -0 -4 -0xea02 -0xc584f99c -256 -256 -1 -0 -0 -3 -0xda9f -0x9653f138 -256 -256 -0 -0 -0 -4 -0x8a8d -0xbf365e27 -256 -256 -1 -0 -0 -4 -0xffae -0x59045c59 -256 -256 -0 -0 -0 -1 -0x4586 -0xeed7bb0f -256 -256 -0 -0 -0 -3 -0x6744 -0xedf96c61 -256 -256 -1 -0 -0 -1 -0xe9c7 -0x63f6f1aa -256 -256 -1 -0 -0 -4 -0x2aeb -0xefa4180c -256 -256 -0 -0 -0 -4 -0x51ef -0x244ca8bc -256 -256 -1 -0 -0 -1 -0x53fe -0xf20958f6 -256 -256 -0 -0 -0 -2 -0xd50f -0xe80408b4 -256 -256 -1 -0 -0 -2 -0x23b -0xcc2d59ff -256 -256 -1 -0 -0 -3 -0x5342 -0xbae32eff -256 -256 -1 -0 -0 -2 -0x41ff -0xc936ef83 -256 -256 -1 -0 -0 -4 -0xe484 -0xb2ce1c3a -256 -256 -0 -0 -0 -3 -0x48fd -0x3448a0dc -256 -256 -1 -0 -0 -2 -0x5473 -0xc44929e -256 -256 -1 -0 -0 -4 -0x343d -0x22fd0cb6 -256 -256 -1 -0 -0 -3 -0xd66b -0x385c76d9 -256 -256 -0 -0 -0 -1 -0xa415 -0x56eb4404 -256 -256 -1 -0 -0 -3 -0xdb2a -0x883deac9 -256 -256 -1 -0 -0 -3 -0xf41c -0xe38adf8b -256 -256 -0 -0 -0 -4 -0x3a5f -0x3582eac4 -256 -256 -0 -0 -0 -2 -0x77ab -0x9157ad0 -256 -256 -1 -0 -0 -1 -0xa66f -0xf38dcdcd -256 -256 -0 -0 -0 -2 -0x8e80 -0xe09536d4 -256 -256 -0 -0 -0 -3 -0x8d84 -0xc9ecd242 -256 -256 -0 -0 -0 -4 -0xdf9a -0x5ea0950c -256 -256 -0 -0 -0 -1 -0x94a1 -0x3ec6a8e1 -256 -256 -1 -0 -0 -4 -0x3478 -0x1d141611 -256 -256 -0 -0 -0 -4 -0x7f9c -0x7b65d339 -256 -256 -0 -0 -0 -2 -0x55d5 -0xd79613f9 -256 -256 -0 -0 -0 -2 -0x9d5 -0x8e286f7e -256 -256 -1 -0 -0 -2 -0x60e4 -0x4ce72265 -256 -256 -0 -0 -0 -3 -0x6f1e -0x11b25db1 -256 -256 -1 -0 -0 -3 -0xdab6 -0xd26db604 -256 -256 -1 -0 -0 -4 -0xa74f -0x860932fb -256 -256 -1 -0 -0 -1 -0xac62 -0x28bb83d6 -256 -256 -0 -0 -0 -3 -0xce9d -0x29737f02 -256 -256 -0 -0 -0 -2 -0x18c6 -0x9fcef682 -256 -256 -1 -0 -0 -1 -0x4955 -0x83e86ea2 -256 -256 -1 -0 -0 -4 -0x289a -0x528fb3c2 -256 -256 -1 -0 -0 -1 -0x17ad -0x5e8e83cd -256 -256 -1 -0 -0 -1 -0x245e -0xb7114c65 -256 -256 -0 -0 -0 -3 -0xdec2 -0x3b2dd91f -256 -256 -0 -0 -0 -1 -0xc6ee -0x422d7311 -256 -256 -1 -0 -0 -3 -0x9e65 -0xb29e9ee3 -256 -256 -1 -0 -0 -2 -0xbbfb -0xf9650778 -256 -256 -0 -0 -0 -4 -0xad72 -0x28a2e8fc -256 -256 -0 -0 -0 -1 -0x4e54 -0x1520f105 -256 -256 -1 -0 -0 -4 -0x3a59 -0xb7dce5ec -256 -256 -0 -0 -0 -4 -0x6392 -0xc21bd7a -256 -256 -1 -0 -0 -1 -0xc0a2 -0x62b60de6 -256 -256 -1 -0 -0 -2 -0x5783 -0xca67369a -256 -256 -0 -0 -0 -3 -0x2f3c -0x60b60371 -256 -256 -0 -0 -0 -1 -0x7c23 -0x878e437e -256 -256 -1 -0 -0 -3 -0x36cb -0x9e368421 -256 -256 -1 -0 -0 -4 -0x4ea9 -0x18a667d1 -256 -256 -0 -0 -0 -3 -0xea32 -0x17d838d1 -256 -256 -0 -0 -0 -1 -0x713f -0x7bfc6dfe -256 -256 -1 -0 -0 -4 -0x215c -0x9d856cbe -256 -256 -0 -0 -0 -4 -0x330 -0xe3fa02a6 -256 -256 -0 -0 -0 -2 -0x1acd -0x1151a64d -256 -256 -0 -0 -0 -4 -0xe72a -0xfd3aa439 -256 -256 -1 -0 -0 -2 -0x2390 -0x50a31e0f -256 -256 -0 -0 -0 -2 -0x5d8b -0x6f80fe0c -256 -256 -0 -0 -0 -3 -0x2c0e -0x74a761d6 -256 -256 -0 -0 -0 -1 -0x7e5d -0x61b8d97 -256 -256 -1 -0 -0 -2 -0xcbab -0x4c04851f -256 -256 -0 -0 -0 -1 -0x61db -0x9dd0976b -256 -256 -0 -0 -0 -1 -0x9730 -0x8377570f -256 -256 -0 -0 -0 -3 -0x53 -0xf7b462c6 -256 -256 -0 -0 -0 -3 -0x73c2 -0x412b3a55 -256 -256 -1 -0 -0 -1 -0x4497 -0x1667d42 -256 -256 -1 -0 -0 -3 -0x3599 -0x9578b592 -256 -256 -0 -0 -0 -4 -0xf794 -0xa5d23a94 -256 -256 -1 -0 -0 -3 -0x7884 -0xc232d8c6 -256 -256 -0 -0 -0 -2 -0x4c5b -0x89bd7cb9 -256 -256 -0 -0 -0 -2 -0x6f61 -0x9fd43492 -256 -256 -0 -0 -0 -4 -0xd3ed -0x64d2bc15 -256 -256 -0 -0 -0 -2 -0x3eac -0x105aeb10 -256 -256 -1 -0 -0 -2 -0xd009 -0x282c36c0 -256 -256 -1 -0 -0 -2 -0x5e90 -0xa33ff5cb -256 -256 -1 -0 -0 -3 -0x8cf9 -0xc4e5293a -256 -256 -0 -0 -0 -3 -0x26fd -0x79ac6644 -256 -256 -0 -0 -0 -2 -0x6927 -0x4557d676 -256 -256 -1 -0 -0 -1 -0x1446 -0x584a9048 -256 -256 -1 -0 -0 -3 -0x75ac -0x2d44a03e -256 -256 -1 -0 -0 -2 -0x5e65 -0x8ddc0532 -256 -256 -0 -0 -0 -3 -0xada9 -0xcc3c01d1 -256 -256 -1 -0 -0 -4 -0x69ab -0xbf558283 -256 -256 -1 -0 -0 -2 -0xe3cd -0xc64a5b4b -256 -256 -0 -0 -0 -2 -0xc99e -0x2307e225 -256 -256 -0 -0 -0 -2 -0xbff9 -0xb01ead67 -256 -256 -0 -0 -0 -3 -0x3b3d -0x276fb5b9 -256 -256 -1 -0 -0 -4 -0xdf24 -0x60980512 -256 -256 -0 -0 -0 -4 -0x1c12 -0xca6b6de8 -256 -256 -1 -0 -0 -2 -0x7fe4 -0xbe1edeba -256 -256 -0 -0 -0 -1 -0xb8f5 -0x5df5e39f -256 -256 -1 -0 -0 -3 -0x7252 -0xc3e15a15 -256 -256 -0 -0 -0 -1 -0xb2eb -0xe179596b -256 -256 -0 -0 -0 -1 -0xdf6c -0x95d61799 -256 -256 -0 -0 -0 -2 -0xd662 -0x172a4842 -256 -256 -0 -0 -0 -1 -0x132a -0x32837e1c -256 -256 -0 -0 -0 -3 -0x4f6c -0x7ce5570f -256 -256 -0 -0 -0 -3 -0x4c13 -0xc4fec281 -256 -256 -0 -0 -0 -2 -0x447f -0x8a2540f1 -256 -256 -1 -0 -0 -2 -0x9951 -0x13cbe0e5 -256 -256 -0 -0 -0 -3 -0x1fc8 -0x704ef35f -256 -256 -1 -0 -0 -1 -0xa222 -0x9aed5690 -256 -256 -0 -0 -0 -2 -0x5ce6 -0x58ce0863 -256 -256 -0 -0 -0 -1 -0xae04 -0x7e1d143f -256 -256 -1 -0 -0 -2 -0x2d64 -0xae1e7e5b -256 -256 -1 -0 -0 -3 -0xdaca -0x3204a1f2 -256 -256 -1 -0 -0 -2 -0x8fe0 -0x9513dc38 -256 -256 -1 -0 -0 -4 -0x5f28 -0xd9753fbd -256 -256 -1 -0 -0 -3 -0x8889 -0x42f14331 -256 -256 -0 -0 -0 -2 -0x424b -0x309167c9 -256 -256 -0 -0 -0 -4 -0xe248 -0xa6f6706b -256 -256 -1 -0 -0 -2 -0x4505 -0x57776d96 -256 -256 -0 -0 -0 -1 -0x2a8e -0xa3e40781 -256 -256 -0 -0 -0 -1 -0x99c3 -0xd27c96b7 -256 -256 -0 -0 -0 -2 -0xf2f3 -0xf14e660b -256 -256 -1 -0 -0 -1 -0x10b7 -0x70c6bca0 -256 -256 -1 -0 -0 -4 -0xa615 -0x8f2ef22e -256 -256 -1 -0 -0 -3 -0xe0ae -0x1728ad67 -256 -256 -0 -0 -0 -4 -0x88d4 -0xa588cc52 -256 -256 -1 -0 -0 -2 -0xde69 -0xb1d302f1 -256 -256 -0 -0 -0 -2 -0xe291 -0x4ebd8dc3 -256 -256 -0 -0 -0 -4 -0xd9cd -0x598a5f6e -256 -256 -1 -0 -0 -3 -0xf197 -0x1ee5a1a1 -256 -256 -1 -0 -0 -1 -0xe147 -0x68010bde -256 -256 -1 -0 -0 -2 -0xe0f5 -0x2a73ae65 -256 -256 -1 -0 -0 -3 -0xba3a -0xf3aad6c -256 -256 -1 -0 -0 -1 -0x61b2 -0xe86a24b -256 -256 -1 -0 -0 -2 -0xb59e -0x37573547 -256 -256 -1 -0 -0 -3 -0xca50 -0xfeb6c441 -256 -256 -0 -0 -0 -4 -0xcfc0 -0x6a0f3dd8 -256 -256 -1 -0 -0 -2 -0x10a6 -0x89661c2 -256 -256 -1 -0 -0 -4 -0xcd04 -0x3cc8f4f -256 -256 -0 -0 -0 -3 -0xcd2e -0x7c50ce01 -256 -256 -0 -0 -0 -4 -0xcdf6 -0xf66d33e -256 -256 -1 -0 -0 -3 -0xbed9 -0xf55e45ba -256 -256 -0 -0 -0 -4 -0x926c -0xb9379670 -256 -256 -1 -0 -0 -3 -0x16f3 -0x63eb4016 -256 -256 -0 -0 -0 -3 -0x8b5a -0xdd59592d -256 -256 -1 -0 -0 -4 -0xad74 -0xe2be7856 -256 -256 -0 -0 -0 -3 -0x8b3 -0x6a16730c -256 -256 -0 -0 -0 -2 -0xfe2 -0x1107f61d -256 -256 -0 -0 -0 -2 -0xc9a8 -0x405daa1c -256 -256 -0 -0 -0 -1 -0xfdfd -0x2eeaf411 -256 -256 -0 -0 -0 -4 -0xc26a -0x863f23e8 -256 -256 -1 -0 -0 -1 -0x85cc -0x93e48ed -256 -256 -1 -0 -0 -4 -0xaaff -0xf4b059f3 -256 -256 -1 -0 -0 -2 -0x5289 -0x7f10d379 -256 -256 -1 -0 -0 -3 -0x86fa -0x1d2338e8 -256 -256 -0 -0 -0 -3 -0x6ab -0xb52967ce -256 -256 -0 -0 -0 -3 -0x1c4b -0x45a6b004 -256 -256 -1 -0 -0 -3 -0xde54 -0x27ce7889 -256 -256 -0 -0 -0 -3 -0xb76b -0x61d85d9f -256 -256 -1 -0 -0 -4 -0x5e26 -0x7c4066f2 -256 -256 -1 -0 -0 -1 -0xb5a4 -0x4fa59fd6 -256 -256 -0 -0 -0 -3 -0x6ebe -0x6a8f5f2c -256 -256 -1 -0 -0 -4 -0xb182 -0x11a6a431 -256 -256 -0 -0 -0 -3 -0xb153 -0x31dc12f2 -256 -256 -1 -0 -0 -2 -0xfd17 -0x2a88a494 -256 -256 -0 -0 -0 -2 -0xce4f -0xa08ddf60 -256 -256 -1 -0 -0 -4 -0x566f -0xebd1020b -256 -256 -1 -0 -0 -3 -0x2c42 -0x37943188 -256 -256 -1 -0 -0 -2 -0xb60e -0x93638bb9 -256 -256 -1 -0 -0 -3 -0x502e -0x5841bd07 -256 -256 -1 -0 -0 -4 -0xfe36 -0xc2fa0c45 -256 -256 -0 -0 -0 -4 -0x16c4 -0xb8e64c26 -256 -256 -0 -0 -0 -1 -0x5509 -0x6010d853 -256 -256 -0 -0 -0 -2 -0x63db -0x2abe4b34 -256 -256 -1 -0 -0 -3 -0x5326 -0xe39e1134 -256 -256 -1 -0 -0 -1 -0x4f70 -0xddec1440 -256 -256 -1 -0 -0 -4 -0x2d75 -0xb5c9beec -256 -256 -0 -0 -0 -1 -0xbb5b -0x3c63bbbc -256 -256 -1 -0 -0 -4 -0xe3b3 -0xa2313eca -256 -256 -1 -0 -0 -4 -0x8ecb -0x60a12f5e -256 -256 -0 -0 -0 -1 -0x5639 -0x6ad2d06d -256 -256 -1 -0 -0 -1 -0xc9b9 -0xb09bb45 -256 -256 -0 -0 -0 -3 -0x776c -0x57b81636 -256 -256 -0 -0 -0 -4 -0xd313 -0x8fef28a1 -256 -256 -1 -0 -0 -1 -0x7d20 -0x96da0db3 -256 -256 -1 -0 -0 -4 -0x73ec -0x5d5c16d7 -256 -256 -0 -0 -0 -3 -0x7d22 -0xb1d32782 -256 -256 -1 -0 -0 -2 -0x8016 -0xeb14f16f -256 -256 -1 -0 -0 -4 -0x74d7 -0x88348646 -256 -256 -1 -0 -0 -3 -0x2df5 -0x9c84e363 -256 -256 -1 -0 -0 -3 -0x36f8 -0x1d283205 -256 -256 -1 -0 -0 -3 -0x53e8 -0xa4fa55dc -256 -256 -0 -0 -0 -2 -0xc443 -0x5e89bb24 -256 -256 -1 -0 -0 -3 -0xa7ec -0x830aaed7 -256 -256 -0 -0 -0 -2 -0xa6f2 -0x11bd48d7 -256 -256 -1 -0 -0 -2 -0x755b -0x1050def6 -256 -256 -0 -0 -0 -4 -0xa353 -0x125b950e -256 -256 -0 -0 -0 -2 -0x15bf -0x8c045924 -256 -256 -0 -0 -0 -1 -0x97e8 -0x5144d475 -256 -256 -1 -0 -0 -1 -0xf935 -0x108d84e9 -256 -256 -1 -0 -0 -3 -0xb7a1 -0x7a91dc94 -256 -256 -0 -0 -0 -1 -0xffb4 -0x4260b0e4 -256 -256 -1 -0 -0 -4 -0x8b3d -0xeb024d8e -256 -256 -0 -0 -0 -4 -0xf699 -0xbad7adaa -256 -256 -0 -0 -0 -4 -0xdc41 -0x2937d303 -256 -256 -1 -0 -0 -2 -0xdd0e -0x87461975 -256 -256 -0 -0 -0 -3 -0xb3a0 -0xf6067cec -256 -256 -0 -0 -0 -2 -0x1a64 -0x268682e7 -256 -256 -0 -0 -0 -4 -0xb383 -0x29b6327a -256 -256 -1 -0 -0 -2 -0xa168 -0x6f143473 -256 -256 -0 -0 -0 -1 -0x66b -0xd817c689 -256 -256 -1 -0 -0 -4 -0x4443 -0x243205e7 -256 -256 -0 -0 -0 -3 -0x623b -0x1155ece7 -256 -256 -1 -0 -0 -4 -0x52ee -0xfa025a4d -256 -256 -0 -0 -0 -4 -0x3745 -0x482cb6eb -256 -256 -0 -0 -0 -2 -0xe229 -0xa5fb00d9 -256 -256 -0 -0 -0 -1 -0xe4d1 -0xf26f2d9a -256 -256 -0 -0 -0 -3 -0xfce3 -0x4eb03e75 -256 -256 -0 -0 -0 -1 -0x8281 -0xe6c4c67e -256 -256 -0 -0 -0 -2 -0x6eac -0x523e7c53 -256 -256 -0 -0 -0 -2 -0xfb41 -0x4f069032 -256 -256 -0 -0 -0 -3 -0x3ff2 -0xdeadfe9c -256 -256 -1 -0 -0 -4 -0x402f -0xbf497427 -256 -256 -0 -0 -0 -1 -0x751e -0x50efa4b8 -256 -256 -0 -0 -0 -1 -0x3e08 -0x785333ed -256 -256 -1 -0 -0 -4 -0x2eee -0xff68f13 -256 -256 -1 -0 -0 -3 -0x41bc -0x19fe42df -256 -256 -0 -0 -0 -3 -0x2f50 -0x8078cd35 -256 -256 -1 -0 -0 -2 -0x438b -0x443a6e7c -256 -256 -1 -0 -0 -3 -0x8323 -0xe2eb5d0b -256 -256 -1 -0 -0 -2 -0x7a71 -0x89a4ce73 -256 -256 -0 -0 -0 -1 -0x8c93 -0x710211da -256 -256 -0 -0 -0 -2 -0x8ca6 -0xf0b8ee86 -256 -256 -0 -0 -0 -2 -0x2efb -0x50190abf -256 -256 -0 -0 -0 -3 -0xe12d -0xca519913 -256 -256 -0 -0 -0 -4 -0xd8e3 -0xc143adc5 -256 -256 -1 -0 -0 -1 -0x41b0 -0x402ecc18 -256 -256 -1 -0 -0 -4 -0x68b -0xa9e5758 -256 -256 -1 -0 -0 -1 -0xddc9 -0x6bc0324f -256 -256 -1 -0 -0 -4 -0x5533 -0x77642d18 -256 -256 -1 -0 -0 -2 -0x975c -0xf8db9334 -256 -256 -0 -0 -0 -1 -0x811a -0x744d189f -256 -256 -1 -0 -0 -3 -0xaf6d -0x88a4461 -256 -256 -0 -0 -0 -2 -0x2856 -0xab048489 -256 -256 -0 -0 -0 -2 -0x5bb2 -0x505a2079 -256 -256 -1 -0 -0 -2 -0x776 -0xdfa7ee5a -256 -256 -0 -0 -0 -2 -0x6bbe -0xfef11b6e -256 -256 -0 -0 -0 -1 -0xbac8 -0xe6b7ccee -256 -256 -0 -0 -0 -1 -0x3c91 -0x9fb453c6 -256 -256 -1 -0 -0 -3 -0xe199 -0xd2534576 -256 -256 -0 -0 -0 -3 -0xe59a -0x2e5da8b2 -256 -256 -1 -0 -0 -4 -0x2054 -0x134b9dde -256 -256 -0 -0 -0 -2 -0xdb0b -0xac494255 -256 -256 -1 -0 -0 -4 -0xde1e -0xb590f4d2 -256 -256 -0 -0 -0 -3 -0x3ab -0xe58ede94 -256 -256 -0 -0 -0 -1 -0xf046 -0x8659d1b3 -256 -256 -1 -0 -0 -3 -0xa8da -0xfedd0c21 -256 -256 -1 -0 -0 -4 -0xb9b9 -0x787b3fba -256 -256 -1 -0 -0 -3 -0xdfd3 -0x978a4091 -256 -256 -1 -0 -0 -3 -0x7263 -0xb99ff8cf -256 -256 -1 -0 -0 -3 -0x5499 -0x8e2fb479 -256 -256 -0 -0 -0 -2 -0xc699 -0xf0a52ff9 -256 -256 -1 -0 -0 -3 -0xc97c -0x79ba29ec -256 -256 -1 -0 -0 -3 -0xcdaf -0xe7cf671c -256 -256 -0 -0 -0 -3 -0xbcd2 -0x4090e3f8 -256 -256 -1 -0 -0 -1 -0x65f3 -0x6494d1dc -256 -256 -1 -0 -0 -4 -0xe312 -0x376f5868 -256 -256 -1 -0 -0 -3 -0xabb6 -0x8a7867af -256 -256 -0 -0 -0 -1 -0x539b -0xc831dc60 -256 -256 -0 -0 -0 -1 -0xb6f6 -0x62faa50e -256 -256 -0 -0 -0 -2 -0xc26b -0xacac61d -256 -256 -1 -0 -0 -1 -0x1fb8 -0x7e26a9af -256 -256 -0 -0 -0 -1 -0x1947 -0x6e77abeb -256 -256 -1 -0 -0 -3 -0x1c21 -0x9990b47b -256 -256 -0 -0 -0 -3 -0x2370 -0x516d385d -256 -256 -0 -0 -0 -3 -0x8118 -0xeb13536a -256 -256 -1 -0 -0 -4 -0x5b18 -0x1b1d2056 -256 -256 -1 -0 -0 -2 -0x2c32 -0xde4487cb -256 -256 -0 -0 -0 -1 -0x9d33 -0xb753c2e3 -256 -256 -1 -0 -0 -2 -0xba24 -0x9f7bceb2 -256 -256 -0 -0 -0 -2 -0x7d49 -0x1bcebc20 -256 -256 -0 -0 -0 -3 -0x8def -0x20d7a2f5 -256 -256 -1 -0 -0 -2 -0x640e -0xb47d16b0 -256 -256 -1 -0 -0 -1 -0x11cc -0x80125b1d -256 -256 -1 -0 -0 -3 -0xb22e -0xc06933f2 -256 -256 -1 -0 -0 -1 -0x8fe1 -0xf15dd502 -256 -256 -0 -0 -0 -2 -0xd737 -0xf5208e87 -256 -256 -1 -0 -0 -3 -0x887c -0x3b43003 -256 -256 -1 -0 -0 -2 -0x217f -0xfeb34ed4 -256 -256 -1 -0 -0 -1 -0x88b -0xb8a3b7b3 -256 -256 -1 -0 -0 -1 -0x9cde -0xdcda7b9a -256 -256 -0 -0 -0 -1 -0x6cdf -0xdbc2abaf -256 -256 -0 -0 -0 -3 -0x5fdf -0x923b5ff0 -256 -256 -1 -0 -0 -4 -0x4a73 -0xfae25316 -256 -256 -0 -0 -0 -3 -0xd531 -0x1b28ca4a -256 -256 -1 -0 -0 -4 -0x592a -0x95c8494a -256 -256 -0 -0 -0 -3 -0x85f6 -0xe1b41a4d -256 -256 -1 -0 -0 -3 -0xe6af -0x546d5f32 -256 -256 -1 -0 -0 -2 -0x5f1a -0xca03fd6d -256 -256 -0 -0 -0 -1 -0xb2d9 -0x91d20a2a -256 -256 -1 -0 -0 -3 -0x7cf4 -0xc4465a0b -256 -256 -0 -0 -0 -1 -0x76ac -0xb4632409 -256 -256 -0 -0 -0 -2 -0x9fbb -0x4cbe41d0 -256 -256 -0 -0 -0 -3 -0x8b5a -0x6aef4353 -256 -256 -0 -0 -0 -3 -0x7d12 -0x2863c385 -256 -256 -1 -0 -0 -2 -0xb008 -0x355bd452 -256 -256 -0 -0 -0 -1 -0xc18f -0x22fafef6 -256 -256 -0 -0 -0 -4 -0x1a06 -0xc8aa2390 -256 -256 -0 -0 -0 -1 -0x82e7 -0xd8c4779f -256 -256 -1 -0 -0 -3 -0x99 -0xf738132e -256 -256 -1 -0 -0 -1 -0x57bf -0xae2fae94 -256 -256 -0 -0 -0 -4 -0xe704 -0xda73e8a8 -256 -256 -0 -0 -0 -4 -0x7ceb -0x4d85c836 -256 -256 -0 -0 -0 -4 -0x88ed -0xd4c415a2 -256 -256 -1 -0 -0 -2 -0x8b58 -0xedee3121 -256 -256 -0 -0 -0 -4 -0xb1a7 -0x1304ae78 -256 -256 -1 -0 -0 -3 -0xa92c -0x9b31ba25 -256 -256 -1 -0 -0 -4 -0x1d8c -0x5893a400 -256 -256 -0 -0 -0 -1 -0xe1ca -0xa645d80f -256 -256 -1 -0 -0 -1 -0x35fd -0x6aa7132a -256 -256 -0 -0 -0 -3 -0x6232 -0xa6e64d65 -256 -256 -0 -0 -0 -4 -0xc987 -0xc6fa056 -256 -256 -0 -0 -0 -2 -0x1149 -0xc1012bf5 -256 -256 -0 -0 -0 -2 -0xb6ac -0x2b835e14 -256 -256 -1 -0 -0 -3 -0x66bc -0xae36aea1 -256 -256 -0 -0 -0 -1 -0x5e85 -0x78a851fa -256 -256 -0 -0 -0 -3 -0xcba3 -0x3335ab84 -256 -256 -1 -0 -0 -1 -0xe6bf -0x65e37c5d -256 -256 -0 -0 -0 -3 -0x41f8 -0x89e766c9 -256 -256 -1 -0 -0 -1 -0x12b0 -0xeadc67e8 -256 -256 -1 -0 -0 -3 -0xde8a -0x9f28bbaa -256 -256 -1 -0 -0 -4 -0x8f6f -0xa2a3d272 -256 -256 -0 -0 -0 -3 -0x61b -0x3b03f57b -256 -256 -0 -0 -0 -4 -0xa61b -0xebfca9d7 -256 -256 -1 -0 -0 -4 -0x33aa -0x82b23486 -256 -256 -1 -0 -0 -3 -0x4b2d -0xd9de1598 -256 -256 -0 -0 -0 -1 -0xc85a -0x21c441a4 -256 -256 -1 -0 -0 -1 -0x66cc -0xb42f14db -256 -256 -0 -0 -0 -3 -0x6d31 -0x698c230c -256 -256 -1 -0 -0 -2 -0x1068 -0x4d635e70 -256 -256 -1 -0 -0 -3 -0xfa03 -0x425b74ab -256 -256 -1 -0 -0 -4 -0x3da6 -0xbc35741e -256 -256 -0 -0 -0 -4 -0x5795 -0x6d191f -256 -256 -0 -0 -0 -2 -0x1919 -0xb2168061 -256 -256 -0 -0 -0 -1 -0x6f54 -0x31e835b1 -256 -256 -1 -0 -0 -3 -0x1b04 -0x1d84d3ef -256 -256 -0 -0 -0 -3 -0x86b4 -0xff425cf9 -256 -256 -1 -0 -0 -4 -0x7736 -0x923aac64 -256 -256 -0 -0 -0 -2 -0x16f3 -0xe95e0905 -256 -256 -1 -0 -0 -2 -0xd624 -0x61d2c56b -256 -256 -0 -0 -0 -4 -0x6749 -0xab72e5aa -256 -256 -1 -0 -0 -1 -0x13bb -0x621b4efc -256 -256 -0 -0 -0 -2 -0x9be -0x383a57ba -256 -256 -0 -0 -0 -4 -0x8b0c -0x3cfe4e1a -256 -256 -0 -0 -0 -3 -0xaa6f -0xb176455c -256 -256 -0 -0 -0 -3 -0xa968 -0xc0d470b -256 -256 -1 -0 -0 -2 -0xa2c0 -0xa764dd2e -256 -256 -0 -0 -0 -2 -0x3de6 -0x927e2474 -256 -256 -0 -0 -0 -3 -0x1c5c -0x577e1ac2 -256 -256 -1 -0 -0 -1 -0x9d6 -0x4921cbba -256 -256 -0 -0 -0 -3 -0x53c3 -0xbfd1efc9 -256 -256 -0 -0 -0 -1 -0xcd8a -0x32b12459 -256 -256 -1 -0 -0 -4 -0x1b57 -0x73b4038 -256 -256 -1 -0 -0 -3 -0xe7c -0x37a2a4fc -256 -256 -0 -0 -0 -4 -0xda0c -0x9b055889 -256 -256 -1 -0 -0 -1 -0x9676 -0xd3d04f92 -256 -256 -1 -0 -0 -4 -0x5b2b -0x21c4c8f8 -256 -256 -1 -0 -0 -3 -0x5923 -0x7905e84c -256 -256 -0 -0 -0 -1 -0x39d2 -0x21a12590 -256 -256 -1 -0 -0 -4 -0xdc4f -0x393d4d5f -256 -256 -0 -0 -0 -1 -0xd589 -0x513f68f3 -256 -256 -1 -0 -0 -4 -0x8da2 -0x4908ba9a -256 -256 -0 -0 -0 -1 -0xfe50 -0x1c1cd70c -256 -256 -0 -0 -0 -3 -0x7f2 -0x9e855ee9 -256 -256 -1 -0 -0 -1 -0xa974 -0x8bba0840 -256 -256 -0 -0 -0 -1 -0xb5df -0x853497e7 -256 -256 -1 -0 -0 -3 -0x2cdd -0x97e4c7d4 -256 -256 -1 -0 -0 -1 -0x1743 -0x3b99e584 -256 -256 -0 -0 -0 -2 -0xd04d -0x3ca142c6 -256 -256 -1 -0 -0 -3 -0xc7d7 -0x5a657540 -256 -256 -0 -0 -0 -4 -0x9e75 -0xab155a33 -256 -256 -1 -0 -0 -2 -0xb32d -0x1357ae9c -256 -256 -1 -0 -0 -3 -0xad96 -0x2ed14f66 -256 -256 -0 -0 -0 -3 -0x6ab -0xc52da993 -256 -256 -1 -0 -0 -2 -0xbc1d -0x479f215f -256 -256 -1 -0 -0 -3 -0x7e46 -0xe2c2c6fc -256 -256 -1 -0 -0 -3 -0xf71f -0xb9321048 -256 -256 -1 -0 -0 -4 -0xc6bd -0xe2a8b84e -256 -256 -1 -0 -0 -2 -0x1c54 -0x35d79e38 -256 -256 -0 -0 -0 -4 -0xad57 -0x800368ce -256 -256 -0 -0 -0 -3 -0x9925 -0x86c3168f -256 -256 -0 -0 -0 -3 -0xa6ac -0x36780c01 -256 -256 -0 -0 -0 -3 -0xc934 -0x69929255 -256 -256 -1 -0 -0 -3 -0x7d5 -0xca48bd9f -256 -256 -0 -0 -0 -4 -0xaf63 -0xe6669d86 -256 -256 -1 -0 -0 -4 -0x1f2e -0xdbe50ac9 -256 -256 -0 -0 -0 -4 -0x4660 -0x88e8eff3 -256 -256 -0 -0 -0 -2 -0x644c -0x81d0593b -256 -256 -0 -0 -0 -2 -0xe818 -0x7ec48ed7 -256 -256 -1 -0 -0 -4 -0x5519 -0xc3e23a7 -256 -256 -1 -0 -0 -2 -0x3e38 -0xceeda513 -256 -256 -1 -0 -0 -2 -0xd19c -0xa1418616 -256 -256 -1 -0 -0 -4 -0xd999 -0x22debc65 -256 -256 -0 -0 -0 -3 -0x5d09 -0x72be8da4 -256 -256 -0 -0 -0 -2 -0x3510 -0x7ce2a91b -256 -256 -0 -0 -0 -2 -0x7918 -0x2affeeba -256 -256 -1 -0 -0 -2 -0x52b6 -0x68ed689e -256 -256 -1 -0 -0 -2 -0x1081 -0xae89e983 -256 -256 -1 -0 -0 -3 -0x543f -0xbca5faf2 -256 -256 -0 -0 -0 -4 -0x48d7 -0x7e8ae93f -256 -256 -1 -0 -0 -1 -0xa394 -0x807e2af0 -256 -256 -1 -0 -0 -2 -0xde81 -0xba8dbd6c -256 -256 -0 -0 -0 -3 -0xcbde -0xe69b8c2f -256 -256 -0 -0 -0 -2 -0xdfd3 -0x9f42a86c -256 -256 -0 -0 -0 -2 -0x100 -0x676396ed -256 -256 -1 -0 -0 -4 -0xc13a -0xc2ed522e -256 -256 -1 -0 -0 -1 -0x8011 -0x3d355313 -256 -256 -0 -0 -0 -1 -0x28d5 -0x2fe660d7 -256 -256 -1 -0 -0 -1 -0xc2ff -0x55c6fbfc -256 -256 -0 -0 -0 -4 -0x34a3 -0xbe973cc7 -256 -256 -0 -0 -0 -3 -0xa67c -0x70a193ba -256 -256 -1 -0 -0 -4 -0x56ac -0x749b3ef -256 -256 -1 -0 -0 -4 -0x7985 -0x1c5c1305 -256 -256 -0 -0 -0 -2 -0x1ab3 -0x499581e -256 -256 -0 -0 -0 -4 -0x9b16 -0x8f4dbafa -256 -256 -0 -0 -0 -4 -0x6d90 -0x952b188e -256 -256 -1 -0 -0 -4 -0x6349 -0x30cb0384 -256 -256 -1 -0 -0 -3 -0x3226 -0x687e52be -256 -256 -1 -0 -0 -2 -0x61cf -0xe0282113 -256 -256 -1 -0 -0 -2 -0xb66e -0x2b9a3a89 -256 -256 -1 -0 -0 -2 -0x9980 -0x13158b61 -256 -256 -0 -0 -0 -2 -0x61fd -0x7e22ad89 -256 -256 -0 -0 -0 -1 -0x9325 -0x9bf66446 -256 -256 -1 -0 -0 -2 -0xf455 -0x3a2f7b9d -256 -256 -1 -0 -0 -3 -0xed94 -0xdce46c95 -256 -256 -0 -0 -0 -3 -0x332c -0xc382656d -256 -256 -1 -0 -0 -4 -0xcf5b -0x4d8f6d5c -256 -256 -1 -0 -0 -1 -0x5fd9 -0x84c73614 -256 -256 -1 -0 -0 -2 -0x4d4c -0x33c4566f -256 -256 -1 -0 -0 -4 -0xd0c6 -0x1faddfb4 -256 -256 -1 -0 -0 -1 -0xfa9d -0xd8d6bff -256 -256 -0 -0 -0 -1 -0xd175 -0x342518d7 -256 -256 -0 -0 -0 -1 -0xb93a -0xb5941820 -256 -256 -0 -0 -0 -3 -0x8cc4 -0x8ae6ec82 -256 -256 -0 -0 -0 -4 -0x6faa -0x4d1928c0 -256 -256 -1 -0 -0 -3 -0x9481 -0xb74f8cf2 -256 -256 -0 -0 -0 -2 -0x8cfb -0x11f46b45 -256 -256 -0 -0 -0 -1 -0x6951 -0x4974fa8b -256 -256 -0 -0 -0 -2 -0x489c -0x6fd2cb60 -256 -256 -1 -0 -0 -1 -0x6307 -0x2385809f -256 -256 -1 -0 -0 -2 -0xb9cc -0xd91c1c2f -256 -256 -0 -0 -0 -2 -0x5d76 -0x88840c79 -256 -256 -0 -0 -0 -4 -0xdc8f -0x44e76269 -256 -256 -1 -0 -0 -1 -0x4c00 -0x2d420aea -256 -256 -0 -0 -0 -1 -0xac83 -0x91e2fd6a -256 -256 -0 -0 -0 -2 -0x5321 -0x9d1142da -256 -256 -0 -0 -0 -4 -0xf330 -0xa91c95be -256 -256 -0 -0 -0 -1 -0x1636 -0x8adf691c -256 -256 -1 -0 -0 -4 -0x9db2 -0x3ef44621 -256 -256 -1 -0 -0 -1 -0x7f78 -0xc98b2a03 -256 -256 -0 -0 -0 -4 -0x7835 -0x724fcbe2 -256 -256 -0 -0 -0 -3 -0x2db2 -0xad2ee2e4 -256 -256 -1 -0 -0 -3 -0x3067 -0x99406ae0 -256 -256 -0 -0 -0 -4 -0xea30 -0xb6ca0a47 -256 -256 -1 -0 -0 -4 -0x21ad -0x2b303a8d -256 -256 -1 -0 -0 -1 -0x6749 -0x40d3650b -256 -256 -1 -0 -0 -1 -0xad9e -0x3b44aa06 -256 -256 -1 -0 -0 -1 -0x44de -0xd144cda -256 -256 -1 -0 -0 -3 -0xfd86 -0xc23036f -256 -256 -1 -0 -0 -4 -0x52da -0xb87378c5 -256 -256 -1 -0 -0 -2 -0x6964 -0xf3c5b435 -256 -256 -1 -0 -0 -1 -0xe6a0 -0x1942349b -256 -256 -1 -0 -0 -1 -0x40bf -0xd76b7ab -256 -256 -1 -0 -0 -4 -0x1a8f -0x2e39d0d8 -256 -256 -0 -0 -0 -2 -0x63c4 -0x8812b96c -256 -256 -1 -0 -0 -4 -0xc119 -0x6b7e4106 -256 -256 -0 -0 -0 -3 -0x1255 -0x22f4f170 -256 -256 -1 -0 -0 -1 -0x43f4 -0x4048c65b -256 -256 -0 -0 -0 -4 -0xdc01 -0x5c0f30fb -256 -256 -1 -0 -0 -3 -0x5aed -0xdcb65b7f -256 -256 -0 -0 -0 -2 -0xf816 -0x607ad9a7 -256 -256 -0 -0 -0 -4 -0x9bd7 -0x469b2f6b -256 -256 -1 -0 -0 -4 -0x4a42 -0x8881b86d -256 -256 -1 -0 -0 -3 -0x26b6 -0xe3500632 -256 -256 -0 -0 -0 -2 -0x83bf -0xf21c8b3 -256 -256 -0 -0 -0 -1 -0xa803 -0x8a5dee91 -256 -256 -0 -0 -0 -3 -0x9a89 -0xfb4cf49e -256 -256 -1 -0 -0 -1 -0xb24a -0x2b696c76 -256 -256 -1 -0 -0 -3 -0x4845 -0x53ac1af7 -256 -256 -1 -0 -0 -2 -0xcca6 -0x9764edcb -256 -256 -0 -0 -0 -4 -0x8cfe -0x5c7c16a2 -256 -256 -1 -0 -0 -4 -0x2d00 -0x8701a940 -256 -256 -1 -0 -0 -4 -0x563c -0x5b0d004 -256 -256 -0 -0 -0 -2 -0x2168 -0xc421295b -256 -256 -1 -0 -0 -4 -0xc385 -0xcffe1d13 -256 -256 -0 -0 -0 -2 -0xce70 -0xdbd827b8 -256 -256 -0 -0 -0 -1 -0xa2f1 -0x74c83ad8 -256 -256 -0 -0 -0 -4 -0x801f -0xedcc6c8f -256 -256 -1 -0 -0 -1 -0x83f3 -0xf8e6b431 -256 -256 -0 -0 -0 -1 -0xc350 -0x8a150ebe -256 -256 -1 -0 -0 -3 -0x2b24 -0x668a0341 -256 -256 -0 -0 -0 -4 -0xc6b5 -0x6c0ff992 -256 -256 -1 -0 -0 -2 -0xe481 -0xa1b3b83f -256 -256 -0 -0 -0 -3 -0x134c -0xe286238a -256 -256 -0 -0 -0 -2 -0x5432 -0x4db37c01 -256 -256 -1 -0 -0 -3 -0xd8b4 -0x8137d6f6 -256 -256 -1 -0 -0 -3 -0x6451 -0x28684e56 -256 -256 -0 -0 -0 -4 -0x4c3a -0xab6028b5 -256 -256 -1 -0 -0 -3 -0xc3ff -0xeb4b9f95 -256 -256 -0 -0 -0 -1 -0xae76 -0x1c850e8e -256 -256 -0 -0 -0 -4 -0x5ea0 -0xf947295c -256 -256 -1 -0 -0 -2 -0xf7c -0x90c323bd -256 -256 -0 -0 -0 -1 -0x550c -0xdfcee113 -256 -256 -0 -0 -0 -1 -0x8f2d -0x1f20f4fc -256 -256 -1 -0 -0 -2 -0xb233 -0xd6050c14 -256 -256 -1 -0 -0 -3 -0xafd0 -0x6d357fbb -256 -256 -0 -0 -0 -1 -0x4c4c -0xa33f1a36 -256 -256 -1 -0 -0 -4 -0x3cc3 -0x5f0be151 -256 -256 -1 -0 -0 -3 -0xdad1 -0x6993cad9 -256 -256 -1 -0 -0 -1 -0xfd76 -0x7679f4e3 -256 -256 -0 -0 -0 -2 -0x4a27 -0x44e99fef -256 -256 -1 -0 -0 -2 -0xd75b -0xc858f05a -256 -256 -1 -0 -0 -2 -0x895b -0x64b02c5e -256 -256 -1 -0 -0 -2 -0x97f7 -0x8cae41e1 -256 -256 -1 -0 -0 -2 -0x858d -0xc8447135 -256 -256 -0 -0 -0 -4 -0xb93 -0x172616d7 -256 -256 -1 -0 -0 -2 -0xe9d7 -0xdc4c5a49 -256 -256 -1 -0 -0 -4 -0xea11 -0x26275339 -256 -256 -1 -0 -0 -1 -0xc3d4 -0x80be425 -256 -256 -0 -0 -0 -2 -0xd1e6 -0x44e62c87 -256 -256 -1 -0 -0 -1 -0xcab5 -0xa79f02e -256 -256 -1 -0 -0 -1 -0xae84 -0xb56fbc8d -256 -256 -0 -0 -0 -3 -0xa843 -0x46c2e748 -256 -256 -1 -0 -0 -1 -0x7a60 -0x2ab378ce -256 -256 -1 -0 -0 -2 -0xd890 -0x6c1be48 -256 -256 -0 -0 -0 -4 -0x1a8f -0x9b132a6f -256 -256 -1 -0 -0 -3 -0x149c -0xd9e13d9a -256 -256 -1 -0 -0 -2 -0xeed9 -0xb90d39fc -256 -256 -1 -0 -0 -1 -0xd48b -0xbc55c351 -256 -256 -1 -0 -0 -3 -0x5381 -0x5458f4de -256 -256 -1 -0 -0 -1 -0x23b9 -0x29612004 -256 -256 -1 -0 -0 -3 -0x545f -0xa368f36f -256 -256 -1 -0 -0 -3 -0xfa05 -0x9c824fed -256 -256 -1 -0 -0 -1 -0x2e93 -0x18976322 -256 -256 -1 -0 -0 -4 -0x3e4c -0x56389852 -256 -256 -0 -0 -0 -4 -0xc346 -0x22fa10a0 -256 -256 -1 -0 -0 -3 -0x48cb -0x1c143cad -256 -256 -0 -0 -0 -3 -0x5e0e -0x458abd05 -256 -256 -1 -0 -0 -4 -0xf682 -0x693f4fa9 -256 -256 -0 -0 -0 -4 -0x7df9 -0x4141d530 -256 -256 -1 -0 -0 -3 -0x720a -0x9a0890a7 -256 -256 -0 -0 -0 -4 -0xb16c -0xcc73c945 -256 -256 -1 -0 -0 -2 -0x8c54 -0x5b474411 -256 -256 -1 -0 -0 -4 -0xb490 -0x49cc0c74 -256 -256 -1 -0 -0 -2 -0x6381 -0xc0e5856c -256 -256 -0 -0 -0 -1 -0xe904 -0x786bcf5a -256 -256 -1 -0 -0 -2 -0x826d -0x76ef7b05 -256 -256 -0 -0 -0 -3 -0xbe6f -0x84817784 -256 -256 -1 -0 -0 -4 -0xa5c4 -0x8965e654 -256 -256 -0 -0 -0 -2 -0x667a -0x462ffdde -256 -256 -1 -0 -0 -4 -0xe699 -0x529d34f2 -256 -256 -1 -0 -0 -4 -0xcb9b -0x87008b83 -256 -256 -0 -0 -0 -1 -0x86a8 -0x6e99d66c -256 -256 -0 -0 -0 -2 -0xeadb -0x3ce3bef2 -256 -256 -0 -0 -0 -1 -0xc9e3 -0x9959a4da -256 -256 -0 -0 -0 -4 -0x9162 -0xd474813b -256 -256 -1 -0 -0 -4 -0xb38c -0x7ec29e9 -256 -256 -1 -0 -0 -3 -0x7b7c -0xa9703635 -256 -256 -1 -0 -0 -4 -0x2489 -0x65a48a6b -256 -256 -0 -0 -0 -2 -0x5e1b -0xc029d1a2 -256 -256 -0 -0 -0 -1 -0x38ed -0x9690faeb -256 -256 -0 -0 -0 -2 -0xe4cc -0xbd9d90ac -256 -256 -1 -0 -0 -4 -0xebae -0x2d986ab8 -256 -256 -1 -0 -0 -2 -0x232d -0xc72be8bc -256 -256 -0 -0 -0 -1 -0xbbf2 -0x488877da -256 -256 -1 -0 -0 -2 -0x6bec -0x2fbcef2f -256 -256 -1 -0 -0 -4 -0xecce -0x47c2f0f4 -256 -256 -1 -0 -0 -4 -0x2e98 -0x5cfeb96a -256 -256 -1 -0 -0 -2 -0xbb4d -0x2158fb7 -256 -256 -0 -0 -0 -3 -0xa5b8 -0xd9db0351 -256 -256 -0 -0 -0 -3 -0x6e6e -0x2eb52860 -256 -256 -1 -0 -0 -4 -0x6b6b -0x8d5dd7ae -256 -256 -0 -0 -0 -2 -0xc26f -0x44462c7 -256 -256 -0 -0 -0 -3 -0xc2c7 -0xddffcf7f -256 -256 -0 -0 -0 -4 -0xc82d -0xdb063c58 -256 -256 -0 -0 -0 -1 -0x55d0 -0x665c01f5 -256 -256 -0 -0 -0 -3 -0x3b79 -0xb94188af -256 -256 -1 -0 -0 -2 -0x1b9 -0x1ed4eecf -256 -256 -0 -0 -0 -1 -0x47a3 -0xfce0ad06 -256 -256 -0 -0 -0 -2 -0xed4d -0xf86baceb -256 -256 -1 -0 -0 -3 -0x3def -0x609eb2f1 -256 -256 -0 -0 -0 -1 -0xa1e8 -0x91ace1a4 -256 -256 -1 -0 -0 -1 -0x6fd4 -0xeaa57623 -256 -256 -0 -0 -0 -3 -0x4ab3 -0x605daba3 -256 -256 -1 -0 -0 -1 -0xa71d -0xa1141a7e -256 -256 -1 -0 -0 -2 -0xff3d -0x85b8e1a6 -256 -256 -0 -0 -0 -4 -0xfd6c -0xd833dbdd -256 -256 -0 -0 -0 -4 -0xc4bd -0xb3da3ac9 -256 -256 -0 -0 -0 -4 -0x5f3f -0xeb677183 -256 -256 -0 -0 -0 -1 -0xca55 -0xf956e9af -256 -256 -1 -0 -0 -1 -0x16e0 -0xfe4a36aa -256 -256 -1 -0 -0 -2 -0xa4a1 -0x7604b579 -256 -256 -1 -0 -0 -3 -0x5260 -0x20e659a6 -256 -256 -0 -0 -0 -3 -0x3e0f -0x97d46879 -256 -256 -1 -0 -0 -1 -0x10e3 -0x74ad2ca -256 -256 -1 -0 -0 -2 -0x3b0b -0xd072ebc3 -256 -256 -1 -0 -0 -3 -0x438 -0xb1fad46 -256 -256 -1 -0 -0 -4 -0x7505 -0x272b5bb6 -256 -256 -1 -0 -0 -1 -0xa1b1 -0x2b0839f2 -256 -256 -0 -0 -0 -1 -0xe675 -0x1074de37 -256 -256 -0 -0 -0 -4 -0x2969 -0x19b541f0 -256 -256 -1 -0 -0 -1 -0xe267 -0xab691201 -256 -256 -1 -0 -0 -2 -0x6ca1 -0x6fee602c -256 -256 -0 -0 -0 -1 -0xf396 -0xb98241db -256 -256 -1 -0 -0 -3 -0x211f -0xc3051d3b -256 -256 -0 -0 -0 -1 -0x891b -0x47cb61e3 -256 -256 -1 -0 -0 -4 -0xf58 -0x3b54f461 -256 -256 -0 -0 -0 -1 -0x9074 -0xe3909c0e -256 -256 -0 -0 -0 -4 -0x845c -0x4cb4c7 -256 -256 -0 -0 -0 -3 -0xd480 -0x2b4bebf1 -256 -256 -1 -0 -0 -2 -0x306 -0x8659d7e6 -256 -256 -0 -0 -0 -3 -0x3b49 -0x44cc4944 -256 -256 -1 -0 -0 -4 -0x5977 -0x5cc48460 -256 -256 -0 -0 -0 -3 -0xa694 -0x3893772a -256 -256 -1 -0 -0 -2 -0xb31a -0x856f7c32 -256 -256 -0 -0 -0 -1 -0xd134 -0x283d14e0 -256 -256 -0 -0 -0 -4 -0xf34 -0x737bc639 -256 -256 -1 -0 -0 -1 -0xa532 -0x7d1e3f01 -256 -256 -1 -0 -0 -4 -0xde2e -0xbc991908 -256 -256 -1 -0 -0 -2 -0x8867 -0x6bf44936 -256 -256 -1 -0 -0 -3 -0x8cff -0x99a3cea4 -256 -256 -1 -0 -0 -2 -0xf2a9 -0x4171fde7 -256 -256 -0 -0 -0 -2 -0xeb92 -0x728cca7d -256 -256 -1 -0 -0 -4 -0xe02e -0x3e5f2833 -256 -256 -1 -0 -0 -2 -0x65df -0x5140af8c -256 -256 -1 -0 -0 -3 -0x87f8 -0x856b89cf -256 -256 -0 -0 -0 -3 -0x28ca -0xa33767ec -256 -256 -0 -0 -0 -1 -0x39f9 -0x1014293c -256 -256 -1 -0 -0 -4 -0x214c -0x9153c419 -256 -256 -1 -0 -0 -4 -0xf24d -0x138be720 -256 -256 -1 -0 -0 -4 -0xaac9 -0xceba7cd7 -256 -256 -1 -0 -0 -1 -0x4219 -0xaf1804cf -256 -256 -1 -0 -0 -4 -0x50a6 -0x3a6849ad -256 -256 -1 -0 -0 -3 -0x41fa -0xa9652589 -256 -256 -1 -0 -0 -3 -0x34ee -0xd3982f58 -256 -256 -0 -0 -0 -3 -0x1fdc -0x5956fd41 -256 -256 -0 -0 -0 -2 -0xd9f3 -0x5765d141 -256 -256 -0 -0 -0 -3 -0xa961 -0x4fd5bff5 -256 -256 -1 -0 -0 -4 -0xc294 -0xca03ff71 -256 -256 -1 -0 -0 -3 -0x7b73 -0xa28996b6 -256 -256 -0 -0 -0 -3 -0xfb45 -0xecaf78e6 -256 -256 -1 -0 -0 -2 -0xae8a -0xa2bd081c -256 -256 -0 -0 -0 -3 -0x437f -0xb94823af -256 -256 -0 -0 -0 -1 -0xb745 -0x4d7d027d -256 -256 -1 -0 -0 -3 -0x3d8e -0x38c6523a -256 -256 -0 -0 -0 -4 -0xd6df -0x7bc9f4e4 -256 -256 -0 -0 -0 -1 -0x83db -0x908c21da -256 -256 -0 -0 -0 -4 -0xeb24 -0x620bf5ad -256 -256 -0 -0 -0 -3 -0x7c70 -0xdd08146f -256 -256 -0 -0 -0 -3 -0xe3f9 -0xbf20e37c -256 -256 -1 -0 -0 -1 -0xaa8d -0xab706d76 -256 -256 -1 -0 -0 -2 -0xd686 -0xcec405bc -256 -256 -1 -0 -0 -1 -0x11f2 -0x85efab76 -256 -256 -1 -0 -0 -1 -0x66e6 -0x72dee6d0 -256 -256 -1 -0 -0 -4 -0x2faa -0x85e9b9fe -256 -256 -1 -0 -0 -1 -0x997 -0xfb63fea0 -256 -256 -1 -0 -0 -4 -0x634 -0x99d8df15 -256 -256 -1 -0 -0 -1 -0xe9a1 -0x302c1156 -256 -256 -1 -0 -0 -3 -0x77a3 -0xb7cbe8ac -256 -256 -0 -0 -0 -1 -0x97a0 -0x4e135363 -256 -256 -0 -0 -0 -4 -0xaffc -0x3e468d76 -256 -256 -0 -0 -0 -2 -0xc89f -0x58f1b601 -256 -256 -1 -0 -0 -4 -0x835c -0x57e38ede -256 -256 -0 -0 -0 -4 -0x4da3 -0x7729f72c -256 -256 -1 -0 -0 -3 -0x521e -0xd84837e -256 -256 -0 -0 -0 -4 -0xebb1 -0x63d1b6bc -256 -256 -1 -0 -0 -1 -0xe2e6 -0x7977c582 -256 -256 -0 -0 -0 -1 -0x7271 -0xdb39c613 -256 -256 -1 -0 -0 -2 -0x8955 -0x93ab919d -256 -256 -1 -0 -0 -3 -0xd3d9 -0x479ec2dd -256 -256 -1 -0 -0 -2 -0x68a0 -0x458c6a9b -256 -256 -0 -0 -0 -1 -0xb233 -0x3642c804 -256 -256 -1 -0 -0 -2 -0x3b19 -0xde424962 -256 -256 -0 -0 -0 -4 -0x3d80 -0x8f61f15a -256 -256 -0 -0 -0 -2 -0xdac1 -0x700000ce -256 -256 -0 -0 -0 -1 -0x4b03 -0x87f7264b -256 -256 -0 -0 -0 -4 -0x3ed0 -0xe7473ba -256 -256 -1 -0 -0 -1 -0xde23 -0xe0814c91 -256 -256 -1 -0 -0 -3 -0xc26b -0xc03ab90 -256 -256 -0 -0 -0 -3 -0xae2e -0xd2a6dd22 -256 -256 -0 -0 -0 -4 -0xe1da -0x8f129795 -256 -256 -1 -0 -0 -1 -0x38c7 -0xa42e5125 -256 -256 -0 -0 -0 -1 -0xd6d8 -0x9893bf88 -256 -256 -0 -0 -0 -4 -0xb678 -0x2d55d14f -256 -256 -1 -0 -0 -3 -0xbeb1 -0x2b88f7b1 -256 -256 -0 -0 -0 -2 -0xfdc2 -0x9d78d1f1 -256 -256 -1 -0 -0 -1 -0xbb88 -0x4bda31d5 -256 -256 -1 -0 -0 -1 -0x38bd -0x87647227 -256 -256 -0 -0 -0 -3 -0xba3d -0x35a6dedc -256 -256 -0 -0 -0 -3 -0xa194 -0xafce97a2 -256 -256 -0 -0 -0 -3 -0x7e05 -0xa606a8e3 -256 -256 -1 -0 -0 -1 -0x9fce -0x327e561a -256 -256 -0 -0 -0 -1 -0x6a2f -0xfd1cf76c -256 -256 -0 -0 -0 -3 -0x3dac -0x440a18fe -256 -256 -1 -0 -0 -1 -0xa53f -0x542bc08d -256 -256 -1 -0 -0 -1 -0x7558 -0x6654aae7 -256 -256 -0 -0 -0 -2 -0xf7ec -0x97e3854e -256 -256 -1 -0 -0 -3 -0x6c66 -0x4c529d4a -256 -256 -0 -0 -0 -3 -0x37b1 -0x24c31519 -256 -256 -1 -0 -0 -1 -0xd461 -0xb874af9a -256 -256 -1 -0 -0 -2 -0xe129 -0x3dbe0cce -256 -256 -1 -0 -0 -2 -0xfa7a -0x2c5ab64b -256 -256 -1 -0 -0 -3 -0x41d2 -0x4166f75f -256 -256 -1 -0 -0 -4 -0xe9aa -0xaa751fcc -256 -256 -0 -0 -0 -2 -0x24c4 -0xcf097b0 -256 -256 -1 -0 -0 -1 -0xf73f -0x35393d17 -256 -256 -1 -0 -0 -3 -0xefce -0x4b30f90c -256 -256 -0 -0 -0 -1 -0x9047 -0xb1b8aa5c -256 -256 -1 -0 -0 -3 -0x5718 -0x582fa5bb -256 -256 -0 -0 -0 -1 -0xe24f -0x5bea11bf -256 -256 -1 -0 -0 -3 -0xb24a -0xcdf94c01 -256 -256 -1 -0 -0 -2 -0x7681 -0xfdb8d751 -256 -256 -0 -0 -0 -4 -0xbb27 -0x167f581d -256 -256 -0 -0 -0 -2 -0x7d5a -0xb423eb0a -256 -256 -0 -0 -0 -4 -0x553b -0x648b8ebd -256 -256 -0 -0 -0 -3 -0x4f2 -0x3c688e5 -256 -256 -1 -0 -0 -3 -0x1da4 -0x5f79827b -256 -256 -0 -0 -0 -3 -0x59e7 -0x6f508636 -256 -256 -0 -0 -0 -1 -0x765a -0x287b70c9 -256 -256 -1 -0 -0 -3 -0x989a -0x136a1b1a -256 -256 -0 -0 -0 -4 -0xadc3 -0xb026c227 -256 -256 -1 -0 -0 -4 -0xe0cf -0xf49d4b20 -256 -256 -1 -0 -0 -4 -0x243d -0x7c8a17f3 -256 -256 -0 -0 -0 -2 -0x6fe9 -0x298b0270 -256 -256 -1 -0 -0 -3 -0x9fe3 -0xbe29ffb7 -256 -256 -0 -0 -0 -1 -0x9337 -0x94fc1c6d -256 -256 -0 -0 -0 -4 -0xd723 -0xfb3db053 -256 -256 -1 -0 -0 -3 -0xd8a6 -0x6a1825bc -256 -256 -0 -0 -0 -2 -0x18d7 -0x3eb33a1c -256 -256 -0 -0 -0 -1 -0x9ef1 -0xbfe951a -256 -256 -0 -0 -0 -1 -0xe3d6 -0x39254663 -256 -256 -0 -0 -0 -4 -0xbef3 -0xcd5934d2 -256 -256 -1 -0 -0 -1 -0xfa2b -0x393e12e3 -256 -256 -1 -0 -0 -4 -0xc67a -0xb7b22327 -256 -256 -1 -0 -0 -4 -0xeda4 -0xe19e5960 -256 -256 -0 -0 -0 -1 -0xede6 -0x170966a9 -256 -256 -0 -0 -0 -4 -0xfee4 -0x91ae467f -256 -256 -1 -0 -0 -1 -0x76e7 -0x40781aea -256 -256 -0 -0 -0 -1 -0xda4 -0xa1fba710 -256 -256 -1 -0 -0 -1 -0xea23 -0x30963d6 -256 -256 -1 -0 -0 -3 -0xbee9 -0xe662934f -256 -256 -1 -0 -0 -4 -0x89b0 -0xb31d8f6e -256 -256 -1 -0 -0 -4 -0x378 -0x9624bd11 -256 -256 -0 -0 -0 -4 -0x3946 -0x4ea1717d -256 -256 -0 -0 -0 -4 -0x256b -0x6a1bbbe8 -256 -256 -0 -0 -0 -1 -0xab91 -0xe3da1215 -256 -256 -1 -0 -0 -2 -0x6fb5 -0xb7c4131c -256 -256 -1 -0 -0 -2 -0x1771 -0x5f2d15eb -256 -256 -1 -0 -0 -3 -0x7da5 -0x29445b4a -256 -256 -1 -0 -0 -3 -0xf4c0 -0xd338874a -256 -256 -0 -0 -0 -3 -0xbb15 -0xdeb073f -256 -256 -0 -0 -0 -2 -0x5802 -0xf7353327 -256 -256 -1 -0 -0 -4 -0x1530 -0xedada497 -256 -256 -0 -0 -0 -3 -0xdb1 -0x1beb912e -256 -256 -1 -0 -0 -3 -0x93b -0xb90b5765 -256 -256 -0 -0 -0 -1 -0xfc3d -0xe73f88bc -256 -256 -1 -0 -0 -3 -0x66c2 -0x8d88ea29 -256 -256 -1 -0 -0 -2 -0xa228 -0xf461afb -256 -256 -0 -0 -0 -4 -0xd3d4 -0xd6475539 -256 -256 -1 -0 -0 -4 -0x2623 -0xc1b7ac86 -256 -256 -1 -0 -0 -1 -0x77ca -0x48aec23a -256 -256 -1 -0 -0 -2 -0x87d4 -0x253ad889 -256 -256 -0 -0 -0 -1 -0x7fe9 -0x8ed70282 -256 -256 -1 -0 -0 -2 -0x6569 -0xce5219a1 -256 -256 -0 -0 -0 -1 -0x5b8d -0x6a70a981 -256 -256 -0 -0 -0 -4 -0xe8f7 -0x4fc2448f -256 -256 -0 -0 -0 -4 -0xcaa7 -0xb5119cab -256 -256 -1 -0 -0 -4 -0xa53e -0x5b41db95 -256 -256 -0 -0 -0 -2 -0x4cb4 -0xd2b45673 -256 -256 -0 -0 -0 -1 -0x5f1e -0x7cc5a42b -256 -256 -0 -0 -0 -2 -0xf0a1 -0xd75885f9 -256 -256 -1 -0 -0 -1 -0xb7a5 -0x233b2313 -256 -256 -1 -0 -0 -2 -0x78a -0x219b5acd -256 -256 -1 -0 -0 -2 -0xd56a -0xaff328d -256 -256 -1 -0 -0 -2 -0x5a8a -0xddd037b9 -256 -256 -0 -0 -0 -1 -0x42ce -0x6492feec -256 -256 -1 -0 -0 -2 -0x3164 -0x320b4896 -256 -256 -0 -0 -0 -4 -0x592b -0x9f92f689 -256 -256 -1 -0 -0 -1 -0xd6b7 -0x78b2ca5 -256 -256 -0 -0 -0 -4 -0xd207 -0x5d770c1d -256 -256 -0 -0 -0 -2 -0x2437 -0xc6999039 -256 -256 -0 -0 -0 -4 -0x7ecc -0xe7bc6a71 -256 -256 -0 -0 -0 -3 -0xdd28 -0x859140b8 -256 -256 -1 -0 -0 -4 -0x4b63 -0x9cd5174c -256 -256 -1 -0 -0 -1 -0x7472 -0xdd26e72f -256 -256 -0 -0 -0 -3 -0xbad7 -0x310c23ad -256 -256 -1 -0 -0 -3 -0xd8c7 -0x44fd3493 -256 -256 -0 -0 -0 -1 -0x1860 -0xfdca9a0f -256 -256 -0 -0 -0 -3 -0x726f -0xaefe8911 -256 -256 -1 -0 -0 -2 -0x5319 -0x87d0c942 -256 -256 -1 -0 -0 -2 -0xbf60 -0x98be8487 -256 -256 -0 -0 -0 -1 -0x246d -0x18fe74b9 -256 -256 -0 -0 -0 -1 -0x2f75 -0xf25bc8f7 -256 -256 -1 -0 -0 -3 -0x3478 -0x36e492c6 -256 -256 -1 -0 -0 -4 -0xda8a -0x585ca161 -256 -256 -0 -0 -0 -1 -0x8204 -0xeeb693dd -256 -256 -0 -0 -0 -1 -0x3b48 -0xa03c8347 -256 -256 -0 -0 -0 -2 -0x395c -0xd42053cc -256 -256 -0 -0 -0 -1 -0x12c1 -0x5202cde8 -256 -256 -0 -0 -0 -3 -0x7681 -0x97277f76 -256 -256 -0 -0 -0 -1 -0xc5ad -0xefe6b942 -256 -256 -1 -0 -0 -2 -0x79fc -0x13d05885 -256 -256 -1 -0 -0 -1 -0x321c -0xc31a2ca4 -256 -256 -0 -0 -0 -3 -0x6342 -0x47fe6660 -256 -256 -0 -0 -0 -3 -0xcc2 -0xbe06d5e0 -256 -256 -1 -0 -0 -3 -0x8a3b -0xa529ae0f -256 -256 -1 -0 -0 -4 -0x9dd9 -0xcaf16799 -256 -256 -1 -0 -0 -2 -0xd011 -0x27bf80d4 -256 -256 -0 -0 -0 -3 -0x2349 -0xd6fc9454 -256 -256 -1 -0 -0 -4 -0x5c44 -0x1c1bb7a7 -256 -256 -0 -0 -0 -4 -0x49c5 -0x69984cd2 -256 -256 -1 -0 -0 -1 -0x7188 -0x7d95e7a3 -256 -256 -1 -0 -0 -3 -0xc17b -0xcd04785b -256 -256 -0 -0 -0 -1 -0x4b3b -0xfde2fff1 -256 -256 -0 -0 -0 -4 -0x1b82 -0xbcb1bcc4 -256 -256 -0 -0 -0 -1 -0xe81f -0x37fbe7bc -256 -256 -0 -0 -0 -2 -0xa4a8 -0xd88c952c -256 -256 -1 -0 -0 -2 -0xcbfc -0x50e6b9c1 -256 -256 -0 -0 -0 -1 -0xd781 -0xe4ca8130 -256 -256 -1 -0 -0 -2 -0xabca -0x2351de03 -256 -256 -0 -0 -0 -4 -0x45c2 -0x92ebe152 -256 -256 -0 -0 -0 -1 -0x7170 -0x7eb98caa -256 -256 -1 -0 -0 -1 -0xc0e2 -0x9e3c7d1f -256 -256 -0 -0 -0 -3 -0xe12 -0x973d6e50 -256 -256 -1 -0 -0 -2 -0xd9ff -0x218549ca -256 -256 -1 -0 -0 -1 -0x7ca3 -0xcb03531a -256 -256 -0 -0 -0 -2 -0xf79f -0x61822dce -256 -256 -1 -0 -0 -4 -0x822a -0x4e82ee90 -256 -256 -1 -0 -0 -3 -0x5615 -0xa5d824c6 -256 -256 -0 -0 -0 -4 -0xb205 -0xceedcbfa -256 -256 -1 -0 -0 -2 -0x1964 -0x337849ba -256 -256 -0 -0 -0 -2 -0xc953 -0x3112eb9d -256 -256 -1 -0 -0 -3 -0x4ee5 -0xb16fd9b1 -256 -256 -0 -0 -0 -2 -0x8d3b -0x5ddb688f -256 -256 -0 -0 -0 -1 -0x68d2 -0xd0922961 -256 -256 -1 -0 -0 -2 -0x5539 -0xed2e914 -256 -256 -0 -0 -0 -1 -0xc901 -0xd7bf60dd -256 -256 -0 -0 -0 -1 -0x4421 -0xd5dc70b0 -256 -256 -0 -0 -0 -1 -0x266e -0x9d5bb857 -256 -256 -0 -0 -0 -4 -0x5cac -0x1cdaf589 -256 -256 -0 -0 -0 -2 -0xfe62 -0xb0dc4bd5 -256 -256 -1 -0 -0 -4 -0x26f6 -0x5521a56a -256 -256 -1 -0 -0 -2 -0x27f9 -0x806b9a4c -256 -256 -1 -0 -0 -4 -0xcdb1 -0xb898c815 -256 -256 -0 -0 -0 -3 -0x2b52 -0x743f4b2b -256 -256 -1 -0 -0 -2 -0x5dd8 -0xc7303209 -256 -256 -1 -0 -0 -4 -0xbef6 -0x3894c2 -256 -256 -1 -0 -0 -4 -0xe825 -0x69ec4c70 -256 -256 -0 -0 -0 -2 -0x69ce -0x75ff6e10 -256 -256 -0 -0 -0 -2 -0xcbbf -0x82c70449 -256 -256 -0 -0 -0 -2 -0x4452 -0x776e419a -256 -256 -1 -0 -0 -3 -0x8d20 -0xdf1f826b -256 -256 -0 -0 -0 -1 -0xf23a -0x18a28f11 -256 -256 -0 -0 -0 -2 -0x4745 -0x1b6ec8d0 -256 -256 -1 -0 -0 -3 -0xf5cf -0xb9b43429 -256 -256 -1 -0 -0 -2 -0x3844 -0xbcf01fc8 -256 -256 -1 -0 -0 -4 -0x334f -0x86703c11 -256 -256 -1 -0 -0 -3 -0x87bc -0xc4f2a680 -256 -256 -1 -0 -0 -1 -0x81ae -0xa8b5e887 -256 -256 -0 -0 -0 -2 -0xf96f -0x1f32779d -256 -256 -0 -0 -0 -3 -0x72f3 -0x11be87ce -256 -256 -1 -0 -0 -4 -0x16f4 -0x480ac69c -256 -256 -0 -0 -0 -3 -0x11dc -0x7cb89c50 -256 -256 -1 -0 -0 -1 -0xdba6 -0xd0254381 -256 -256 -1 -0 -0 -4 -0xc4d4 -0x76fd4ddd -256 -256 -0 -0 -0 -2 -0x4e70 -0x30690531 -256 -256 -1 -0 -0 -1 -0x76dc -0x75fd6707 -256 -256 -1 -0 -0 -1 -0x4233 -0x91ea8ee1 -256 -256 -1 -0 -0 -3 -0xbb45 -0xe739a6e9 -256 -256 -0 -0 -0 -4 -0x769 -0x6e40a8ac -256 -256 -1 -0 -0 -3 -0xa617 -0xc86b55e1 -256 -256 -0 -0 -0 -3 -0x3c94 -0x5ac317d7 -256 -256 -0 -0 -0 -4 -0x7a30 -0x36aaf1bb -256 -256 -1 -0 -0 -3 -0x7809 -0xbb6a80b -256 -256 -1 -0 -0 -3 -0x636c -0x14ec3607 -256 -256 -1 -0 -0 -3 -0x727d -0xecbe5192 -256 -256 -1 -0 -0 -3 -0xd93d -0xa187d737 -256 -256 -1 -0 -0 -1 -0xe129 -0x83e038fb -256 -256 -1 -0 -0 -2 -0xbf42 -0x4d1cf985 -256 -256 -0 -0 -0 -3 -0xc9ae -0x2daf4b02 -256 -256 -0 -0 -0 -1 -0x2276 -0xe64d5192 -256 -256 -0 -0 -0 -3 -0x4b3 -0x9ef6469c -256 -256 -0 -0 -0 -1 -0x3572 -0x51628cdc -256 -256 -0 -0 -0 -1 -0x81bb -0xf17140f0 -256 -256 -1 -0 -0 -1 -0xfd6 -0x7770e00d -256 -256 -0 -0 -0 -2 -0xe81 -0x6e76e850 -256 -256 -1 -0 -0 -3 -0x52d8 -0xf71d4c90 -256 -256 -1 -0 -0 -3 -0xcd02 -0x6cf468ed -256 -256 -1 -0 -0 -1 -0xb60b -0xae199497 -256 -256 -0 -0 -0 -3 -0xd1cd -0xc40a17e1 -256 -256 -0 -0 -0 -2 -0x9e0e -0xc401841b -256 -256 -1 -0 -0 -4 -0x96ce -0xc4473494 -256 -256 -1 -0 -0 -4 -0x7ff6 -0x8eb7102d -256 -256 -0 -0 -0 -3 -0xa544 -0x8b10e0f9 -256 -256 -1 -0 -0 -3 -0xf93c -0x10aa0a0b -256 -256 -1 -0 -0 -1 -0x368a -0x5f9887f4 -256 -256 -1 -0 -0 -1 -0x5f7 -0x4aced308 -256 -256 -1 -0 -0 -4 -0x31e6 -0xc550f14e -256 -256 -1 -0 -0 -1 -0xc9dd -0x6ee936b0 -256 -256 -0 -0 -0 -4 -0x9a4e -0x217fcba2 -256 -256 -1 -0 -0 -3 -0x6641 -0xc5620e1c -256 -256 -0 -0 -0 -3 -0x4cf5 -0x97ca7615 -256 -256 -0 -0 -0 -3 -0x709a -0x10488fb -256 -256 -1 -0 -0 -4 -0x30e -0x418b7500 -256 -256 -1 -0 -0 -1 -0xd075 -0xac80881c -256 -256 -1 -0 -0 -4 -0x835c -0x348571 -256 -256 -1 -0 -0 -4 -0xa568 -0xa78a44a5 -256 -256 -0 -0 -0 -4 -0xc8e1 -0xe1779203 -256 -256 -0 -0 -0 -4 -0xb150 -0x9af73405 -256 -256 -0 -0 -0 -4 -0xca8d -0x7ebdbb2d -256 -256 -1 -0 -0 -3 -0x3670 -0x68432fd5 -256 -256 -0 -0 -0 -3 -0x5626 -0x8675fc96 -256 -256 -1 -0 -0 -1 -0x69ad -0xe6e3b5b8 -256 -256 -0 -0 -0 -3 -0x93c7 -0x535626b9 -256 -256 -0 -0 -0 -2 -0xc66 -0xf5b06fb7 -256 -256 -0 -0 -0 -1 -0x72bd -0xa651c536 -256 -256 -1 -0 -0 -2 -0x3584 -0x41aa451 -256 -256 -1 -0 -0 -4 -0x32bd -0x273e2db -256 -256 -0 -0 -0 -1 -0xb430 -0x8666d4be -256 -256 -1 -0 -0 -3 -0xf736 -0xb29bfb46 -256 -256 -0 -0 -0 -2 -0xd960 -0xd7ec1cc7 -256 -256 -0 -0 -0 -3 -0x910b -0x89213a96 -256 -256 -0 -0 -0 -4 -0x66f1 -0xc7e2cb78 -256 -256 -0 -0 -0 -1 -0xd242 -0x38d0dde3 -256 -256 -1 -0 -0 -1 -0xf080 -0x88606ea5 -256 -256 -0 -0 -0 -2 -0xd1ae -0xb0e62ee3 -256 -256 -1 -0 -0 -4 -0xd302 -0x81c4b541 -256 -256 -1 -0 -0 -3 -0xdd1e -0x43303cf9 -256 -256 -1 -0 -0 -3 -0xcf27 -0xb5d6c7b7 -256 -256 -1 -0 -0 -2 -0xb7f -0xb7a6acbe -256 -256 -1 -0 -0 -4 -0xf459 -0x69566e9d -256 -256 -1 -0 -0 -3 -0xbd8d -0x2f94d4cd -256 -256 -0 -0 -0 -4 -0xf3de -0xc95eef67 -256 -256 -1 -0 -0 -2 -0xdbc9 -0x9fd8c252 -256 -256 -1 -0 -0 -4 -0xec37 -0x21b4e5a2 -256 -256 -0 -0 -0 -3 -0xef74 -0xfbc193b6 -256 -256 -1 -0 -0 -1 -0x35c0 -0x7fa9c3b7 -256 -256 -1 -0 -0 -4 -0xbc1a -0xf854920e -256 -256 -0 -0 -0 -3 -0xa120 -0x22c3f75b -256 -256 -1 -0 -0 -1 -0xe564 -0xc542c0b3 -256 -256 -0 -0 -0 -2 -0x7aa0 -0x8232c44 -256 -256 -1 -0 -0 -3 -0xaf78 -0x28b82ef -256 -256 -1 -0 -0 -4 -0x3b04 -0x8556f60b -256 -256 -1 -0 -0 -3 -0x4507 -0x1f441d66 -256 -256 -1 -0 -0 -4 -0x7179 -0xef09cb57 -256 -256 -0 -0 -0 -2 -0xcfc5 -0x77b15363 -256 -256 -1 -0 -0 -2 -0xe981 -0x4afa434a -256 -256 -1 -0 -0 -2 -0xbac0 -0x7c123e38 -256 -256 -0 -0 -0 -1 -0x6fb6 -0xffd3bc01 -256 -256 -0 -0 -0 -2 -0xb403 -0xb45356 -256 -256 -1 -0 -0 -3 -0xd21b -0x5d63b3d7 -256 -256 -1 -0 -0 -4 -0x898e -0x6d601011 -256 -256 -1 -0 -0 -1 -0xf898 -0xf5065157 -256 -256 -1 -0 -0 -1 -0x9fa -0x79e83b6a -256 -256 -0 -0 -0 -1 -0xb249 -0x871d6604 -256 -256 -1 -0 -0 -4 -0x9afe -0xec2d560a -256 -256 -0 -0 -0 -4 -0x3d69 -0x5ac23a13 -256 -256 -1 -0 -0 -3 -0x1444 -0xeb751b4b -256 -256 -1 -0 -0 -2 -0xe1f9 -0x23d72d2a -256 -256 -1 -0 -0 -4 -0x77a0 -0xa8e635b0 -256 -256 -0 -0 -0 -1 -0x8eac -0x7aa04ccb -256 -256 -0 -0 -0 -3 -0xafcc -0x2f8c49d4 -256 -256 -0 -0 -0 -3 -0x81bc -0x2fe3bc77 -256 -256 -0 -0 -0 -2 -0x301 -0xaf678a91 -256 -256 -1 -0 -0 -1 -0x9671 -0x42ca3c17 -256 -256 -1 -0 -0 -3 -0x1fb -0xdf54e899 -256 -256 -1 -0 -0 -2 -0xf0e2 -0xebf2abac -256 -256 -0 -0 -0 -1 -0x2b68 -0x4d69e787 -256 -256 -0 -0 -0 -2 -0x7d68 -0xc904a30a -256 -256 -0 -0 -0 -1 -0xcf04 -0xa7b78e0d -256 -256 -0 -0 -0 -2 -0x9ba1 -0x6befd5b9 -256 -256 -0 -0 -0 -4 -0x4e6f -0xf347c71d -256 -256 -1 -0 -0 -2 -0x8b90 -0xa617d40 -256 -256 -0 -0 -0 -4 -0x1e94 -0xf77dfd16 -256 -256 -0 -0 -0 -4 -0xbcb -0x1b691653 -256 -256 -1 -0 -0 -4 -0xbef9 -0x613ccf2 -256 -256 -0 -0 -0 -3 -0xbcf7 -0x5396a6f -256 -256 -1 -0 -0 -2 -0x1a8a -0x40aef95b -256 -256 -0 -0 -0 -3 -0xe657 -0xb6a8a5ad -256 -256 -0 -0 -0 -4 -0x83a4 -0x37a23c45 -256 -256 -0 -0 -0 -4 -0x422 -0x7b8d8b76 -256 -256 -1 -0 -0 -1 -0xaee9 -0xb64707d2 -256 -256 -1 -0 -0 -3 -0x2c4a -0x518a66c3 -256 -256 -0 -0 -0 -4 -0x134 -0x8b072d85 -256 -256 -1 -0 -0 -3 -0xaa59 -0xe134d0c2 -256 -256 -0 -0 -0 -4 -0x5051 -0xb7f11f0b -256 -256 -0 -0 -0 -2 -0xf97f -0x61b6d5d9 -256 -256 -0 -0 -0 -2 -0x3893 -0xc8525086 -256 -256 -0 -0 -0 -4 -0x63a3 -0x5baa8827 -256 -256 -1 -0 -0 -2 -0xfb82 -0x23a68910 -256 -256 -0 -0 -0 -4 -0xb41a -0x3192ec2a -256 -256 -0 -0 -0 -3 -0x214b -0x970509c2 -256 -256 -1 -0 -0 -2 -0x7c75 -0x94663112 -256 -256 -0 -0 -0 -3 -0x53c2 -0x8444e23b -256 -256 -0 -0 -0 -4 -0xe2a1 -0x8dc86e42 -256 -256 -1 -0 -0 -3 -0xc6db -0x4d1b7fde -256 -256 -1 -0 -0 -3 -0xf4bc -0x87558d41 -256 -256 -1 -0 -0 -4 -0xa36d -0x4aaffc64 -256 -256 -1 -0 -0 -1 -0x20d0 -0xb8ad80dc -256 -256 -0 -0 -0 -4 -0x1037 -0x8e70fa03 -256 -256 -0 -0 -0 -1 -0xf358 -0x6fbef980 -256 -256 -0 -0 -0 -3 -0x275d -0x168fcc35 -256 -256 -0 -0 -0 -2 -0x172f -0xfaf704fb -256 -256 -0 -0 -0 -1 -0x1a4a -0xc63a5b5e -256 -256 -1 -0 -0 -1 -0x3894 -0x2b42d0f1 -256 -256 -1 -0 -0 -1 -0x4bfb -0xe288f8ef -256 -256 -1 -0 -0 -3 -0xebea -0xc6f9bbf2 -256 -256 -0 -0 -0 -4 -0xa3d4 -0x21e24a90 -256 -256 -1 -0 -0 -1 -0xb7f5 -0x9ac00fb5 -256 -256 -1 -0 -0 -2 -0x6ce1 -0x8f60f76 -256 -256 -0 -0 -0 -1 -0xbecf -0x2fe7dc72 -256 -256 -1 -0 -0 -3 -0xfbf0 -0x97d3a30b -256 -256 -1 -0 -0 -3 -0x33d4 -0xd839e81c -256 -256 -0 -0 -0 -4 -0x7a2e -0x7cd99e37 -256 -256 -1 -0 -0 -3 -0xbd75 -0x5d89eec1 -256 -256 -0 -0 -0 -1 -0xf39 -0x929dbf5d -256 -256 -0 -0 -0 -4 -0x9ebb -0xa430728 -256 -256 -1 -0 -0 -2 -0x35fb -0xc0dcac44 -256 -256 -1 -0 -0 -4 -0x3e76 -0x795a76f3 -256 -256 -0 -0 -0 -1 -0x474f -0xa85a82f1 -256 -256 -0 -0 -0 -2 -0x4e64 -0xacf9557f -256 -256 -0 -0 -0 -1 -0x9333 -0xd7ad8f7c -256 -256 -1 -0 -0 -3 -0x4fd7 -0x70ac68fc -256 -256 -0 -0 -0 -2 -0xf4a3 -0x1c1f8cf3 -256 -256 -0 -0 -0 -3 -0x8f6e -0xd8ef0607 -256 -256 -0 -0 -0 -2 -0xfd49 -0x29b5bf89 -256 -256 -0 -0 -0 -2 -0xc285 -0xe8aebfc0 -256 -256 -0 -0 -0 -2 -0x431d -0xa8aed162 -256 -256 -1 -0 -0 -2 -0xa0f4 -0xe8403265 -256 -256 -1 -0 -0 -4 -0xad36 -0x9bb722d8 -256 -256 -0 -0 -0 -2 -0xb1a -0x896b5f66 -256 -256 -1 -0 -0 -3 -0x990 -0xaa1ff6c -256 -256 -0 -0 -0 -2 -0x38c5 -0xd274d483 -256 -256 -1 -0 -0 -1 -0xdaf4 -0xecd9947 -256 -256 -0 -0 -0 -1 -0x6678 -0x788e822b -256 -256 -1 -0 -0 -3 -0xd993 -0x9c66ec42 -256 -256 -0 -0 -0 -1 -0x9b45 -0x38d75378 -256 -256 -0 -0 -0 -4 -0x2c4d -0xf47dfdfd -256 -256 -1 -0 -0 -4 -0x75cf -0x1b0647ef -256 -256 -1 -0 -0 -1 -0x35b3 -0x73d00213 -256 -256 -0 -0 -0 -4 -0xfb14 -0x3fab95a5 -256 -256 -0 -0 -0 -4 -0x5770 -0x7b225167 -256 -256 -1 -0 -0 -1 -0x5e59 -0xc1979b51 -256 -256 -1 -0 -0 -3 -0x4f57 -0xb11fd5af -256 -256 -0 -0 -0 -4 -0x9858 -0x257ba9ae -256 -256 -1 -0 -0 -1 -0x3a53 -0x2d511293 -256 -256 -1 -0 -0 -3 -0x516d -0x66a414d5 -256 -256 -0 -0 -0 -2 -0xae5b -0x1678d1d -256 -256 -0 -0 -0 -2 -0x90db -0x1d82aa21 -256 -256 -0 -0 -0 -1 -0xf0f7 -0x298950ee -256 -256 -0 -0 -0 -4 -0xdfde -0xb07c5a89 -256 -256 -0 -0 -0 -1 -0x5329 -0x2edbdbcf -256 -256 -0 -0 -0 -3 -0x6794 -0xd5d62d81 -256 -256 -0 -0 -0 -4 -0xbe94 -0x3948865e -256 -256 -0 -0 -0 -1 -0x1b51 -0x530d2027 -256 -256 -0 -0 -0 -2 -0x1816 -0x5c89b823 -256 -256 -1 -0 -0 -1 -0x16bb -0x3ccf0aa0 -256 -256 -1 -0 -0 -4 -0xe48 -0xe1e7c321 -256 -256 -1 -0 -0 -1 -0xc457 -0x5d6f758d -256 -256 -0 -0 -0 -2 -0x3a42 -0xf1d36ddc -256 -256 -0 -0 -0 -1 -0xa936 -0x7fafb9e0 -256 -256 -0 -0 -0 -4 -0x6515 -0x24d2c676 -256 -256 -1 -0 -0 -2 -0x6b2f -0x8d1f5e78 -256 -256 -1 -0 -0 -1 -0x50e2 -0x6891c689 -256 -256 -0 -0 -0 -3 -0x3753 -0x7685d49d -256 -256 -1 -0 -0 -2 -0x618d -0xd7c4bdf0 -256 -256 -1 -0 -0 -3 -0x7ff0 -0xfa34257 -256 -256 -1 -0 -0 -4 -0xa37c -0x24766187 -256 -256 -0 -0 -0 -3 -0x3a11 -0x562c062b -256 -256 -1 -0 -0 -3 -0x60d5 -0xba82b29d -256 -256 -0 -0 -0 -4 -0xeac -0xc84ea918 -256 -256 -1 -0 -0 -4 -0x75b2 -0x6bde44f4 -256 -256 -1 -0 -0 -3 -0xae01 -0xc4ce673 -256 -256 -0 -0 -0 -2 -0xdbfe -0xa1ef56f6 -256 -256 -1 -0 -0 -4 -0x9baa -0x1dfb8a0e -256 -256 -1 -0 -0 -1 -0xd05d -0x651ffc27 -256 -256 -0 -0 -0 -1 -0x893f -0x876cf22 -256 -256 -0 -0 -0 -1 -0x1edb -0x9aee1144 -256 -256 -1 -0 -0 -3 -0xa9a -0x4eb619ff -256 -256 -0 -0 -0 -4 -0x77ba -0xae3c3ffb -256 -256 -1 -0 -0 -4 -0x14dd -0x1c678892 -256 -256 -1 -0 -0 -1 -0x33da -0x9e89d361 -256 -256 -1 -0 -0 -2 -0x6037 -0x8d3a7a41 -256 -256 -1 -0 -0 -3 -0xe7d2 -0xfa154eb0 -256 -256 -0 -0 -0 -1 -0x3026 -0xdf2ce63a -256 -256 -0 -0 -0 -1 -0x39eb -0x78fae82 -256 -256 -1 -0 -0 -3 -0x7f24 -0xbaf0f81 -256 -256 -1 -0 -0 -1 -0xccb3 -0xdf1a2af -256 -256 -1 -0 -0 -4 -0xe635 -0xa2b65f64 -256 -256 -0 -0 -0 -1 -0xe64 -0x7395de3d -256 -256 -1 -0 -0 -1 -0x646f -0xc2007666 -256 -256 -0 -0 -0 -4 -0x6ad0 -0xdf03534c -256 -256 -1 -0 -0 -3 -0x4a33 -0xdabdaba1 -256 -256 -0 -0 -0 -3 -0xc412 -0xe7bda438 -256 -256 -0 -0 -0 -1 -0x9cda -0xd55d08da -256 -256 -0 -0 -0 -2 -0x405d -0xcff3ee1e -256 -256 -0 -0 -0 -2 -0x9e60 -0xa20040f9 -256 -256 -0 -0 -0 -4 -0x208c -0xe9706be0 -256 -256 -1 -0 -0 -1 -0x9894 -0x1370c883 -256 -256 -0 -0 -0 -2 -0x8aba -0x34dfde22 -256 -256 -1 -0 -0 -1 -0x2ee6 -0x8e9cf52f -256 -256 -1 -0 -0 -1 -0x5cc5 -0xa63255bf -256 -256 -0 -0 -0 -3 -0x6177 -0x82f55fb2 -256 -256 -0 -0 -0 -4 -0xeed6 -0xc040ac28 -256 -256 -0 -0 -0 -1 -0xf8f0 -0x1cf49501 -256 -256 -0 -0 -0 -4 -0xa507 -0xff096a05 -256 -256 -0 -0 -0 -1 -0xd80e -0xb8762ca8 -256 -256 -0 -0 -0 -2 -0xa806 -0xbe5f4a4c -256 -256 -1 -0 -0 -1 -0x66fd -0x6f708817 -256 -256 -0 -0 -0 -2 -0x9f64 -0x4b55ecce -256 -256 -1 -0 -0 -2 -0xdbb8 -0x198297ba -256 -256 -1 -0 -0 -1 -0xfdfe -0x132676d8 -256 -256 -0 -0 -0 -4 -0xca3d -0xa550b53d -256 -256 -0 -0 -0 -4 -0xc122 -0x66614e49 -256 -256 -1 -0 -0 -2 -0xa101 -0xb8930bc -256 -256 -0 -0 -0 -4 -0x8b5f -0x72bad3c4 -256 -256 -1 -0 -0 -1 -0xd73d -0xabf9f99b -256 -256 -1 -0 -0 -4 -0x884b -0x906af8cf -256 -256 -0 -0 -0 -1 -0x5263 -0x93333457 -256 -256 -1 -0 -0 -2 -0xdc04 -0x29fdf5a8 -256 -256 -1 -0 -0 -4 -0xca04 -0x44b37ae -256 -256 -0 -0 -0 -2 -0x4bbd -0xdb8a569 -256 -256 -1 -0 -0 -2 -0x46dd -0xc362d2bf -256 -256 -1 -0 -0 -4 -0xe7c4 -0x77aac91 -256 -256 -1 -0 -0 -3 -0x26f6 -0x3d34f11a -256 -256 -0 -0 -0 -2 -0xac00 -0x484614cb -256 -256 -1 -0 -0 -2 -0xc126 -0xd50569d4 -256 -256 -0 -0 -0 -2 -0xae94 -0xca792d50 -256 -256 -0 -0 -0 -1 -0x7a8 -0x705b9d00 -256 -256 -1 -0 -0 -3 -0x41e5 -0xa1611fb4 -256 -256 -0 -0 -0 -4 -0x143d -0x24a519a5 -256 -256 -0 -0 -0 -3 -0xc2ce -0x62c7e354 -256 -256 -1 -0 -0 -1 -0x39ff -0xcb796b44 -256 -256 -0 -0 -0 -4 -0x5f6f -0xf72368df -256 -256 -0 -0 -0 -3 -0xf408 -0x25821c06 -256 -256 -0 -0 -0 -4 -0x9779 -0x205f505e -256 -256 -0 -0 -0 -3 -0x16d9 -0xcc28706 -256 -256 -0 -0 -0 -1 -0xddf0 -0x2bd9a7b2 -256 -256 -0 -0 -0 -2 -0x4a0e -0x9638cfa8 -256 -256 -0 -0 -0 -2 -0x2ef1 -0xa28efb5b -256 -256 -1 -0 -0 -3 -0xd2d8 -0xb40bf65e -256 -256 -1 -0 -0 -4 -0xce18 -0xfda87139 -256 -256 -1 -0 -0 -1 -0x7803 -0xc5e4d2e -256 -256 -1 -0 -0 -4 -0xb8da -0x93ce53e6 -256 -256 -1 -0 -0 -3 -0x9ff9 -0x66a4f99a -256 -256 -0 -0 -0 -3 -0x5d3c -0x5d01560b -256 -256 -1 -0 -0 -2 -0xe760 -0xa59c74c7 -256 -256 -0 -0 -0 -4 -0xed6 -0xfcab4220 -256 -256 -0 -0 -0 -2 -0x1865 -0x645acd80 -256 -256 -1 -0 -0 -4 -0x5b85 -0xad1b24b8 -256 -256 -1 -0 -0 -4 -0xd998 -0xa314d5a4 -256 -256 -1 -0 -0 -2 -0xbede -0x5e6f91a6 -256 -256 -0 -0 -0 -1 -0x605b -0xd73407c9 -256 -256 -0 -0 -0 -2 -0xb939 -0xb03587f1 -256 -256 -0 -0 -0 -2 -0x7a6 -0x90784569 -256 -256 -1 -0 -0 -2 -0xf7bb -0xa546df6d -256 -256 -1 -0 -0 -1 -0x7ef5 -0xee946818 -256 -256 -1 -0 -0 -1 -0x2f61 -0x53859e54 -256 -256 -0 -0 -0 -3 -0xf1ea -0x5fa7c60 -256 -256 -1 -0 -0 -3 -0xf94b -0xf66c69f4 -256 -256 -1 -0 -0 -2 -0xa80 -0xc18fdadd -256 -256 -1 -0 -0 -3 -0x8480 -0x67f58407 -256 -256 -1 -0 -0 -1 -0x97af -0x2b30ad35 -256 -256 -1 -0 -0 -1 -0x520f -0xd7a13094 -256 -256 -1 -0 -0 -2 -0x82e5 -0xf65fe522 -256 -256 -0 -0 -0 -3 -0x6a3c -0x64d5b098 -256 -256 -1 -0 -0 -4 -0xeb2a -0x3cb4b896 -256 -256 -0 -0 -0 -1 -0xc6d4 -0xf9c7d98a -256 -256 -1 -0 -0 -3 -0xca30 -0xe944a697 -256 -256 -0 -0 -0 -4 -0x2f94 -0x62ebab48 -256 -256 -1 -0 -0 -1 -0xaea9 -0xfe7e7c38 -256 -256 -0 -0 -0 -4 -0xd95 -0xd1735fa9 -256 -256 -1 -0 -0 -2 -0x58dc -0x83c82e4a -256 -256 -1 -0 -0 -1 -0xd65f -0x66008f15 -256 -256 -1 -0 -0 -2 -0xd0c2 -0x687f8689 -256 -256 -0 -0 -0 -2 -0x2e3d -0xa84a4ae3 -256 -256 -0 -0 -0 -3 -0xa9ea -0x30ba7d5a -256 -256 -1 -0 -0 -2 -0x5869 -0xd474d968 -256 -256 -0 -0 -0 -3 -0x8a20 -0x9c249935 -256 -256 -0 -0 -0 -3 -0x4cc5 -0xccee4ea4 -256 -256 -1 -0 -0 -4 -0x755d -0xecb382e5 -256 -256 -1 -0 -0 -1 -0x3424 -0xada1173c -256 -256 -0 -0 -0 -2 -0xa0bc -0x2a19087f -256 -256 -1 -0 -0 -3 -0x4c9a -0xfe397a39 -256 -256 -1 -0 -0 -2 -0x3c88 -0x38f15870 -256 -256 -1 -0 -0 -4 -0x52b5 -0x22af919c -256 -256 -1 -0 -0 -4 -0x7170 -0xe96b188b -256 -256 -0 -0 -0 -1 -0xcf70 -0xb3caa229 -256 -256 -0 -0 -0 -3 -0x969c -0xd023836d -256 -256 -0 -0 -0 -3 -0xfc9b -0x3e48f8b -256 -256 -1 -0 -0 -4 -0x3917 -0xe7b6822b -256 -256 -0 -0 -0 -3 -0x7447 -0xf8f59024 -256 -256 -0 -0 -0 -1 -0xe544 -0xd5e3485e -256 -256 -1 -0 -0 -1 -0xd7dd -0xb0a6e764 -256 -256 -0 -0 -0 -4 -0x3c53 -0xb1af04b9 -256 -256 -1 -0 -0 -4 -0x8124 -0xac32f33e -256 -256 -0 -0 -0 -4 -0xa668 -0x4a580865 -256 -256 -0 -0 -0 -2 -0x279f -0xb608816b -256 -256 -0 -0 -0 -2 -0x151 -0xa506f94a -256 -256 -1 -0 -0 -4 -0x80a8 -0xfa312c61 -256 -256 -1 -0 -0 -4 -0x2e50 -0xef7c9b73 -256 -256 -1 -0 -0 -3 -0x202d -0x24e4b2db -256 -256 -0 -0 -0 -1 -0x94cc -0xadb34d88 -256 -256 -0 -0 -0 -2 -0x2b38 -0xbea4928c -256 -256 -0 -0 -0 -3 -0x199a -0xabfee899 -256 -256 -1 -0 -0 -2 -0x4084 -0x113d0c10 -256 -256 -1 -0 -0 -1 -0x92ac -0xc263ac60 -256 -256 -0 -0 -0 -4 -0x5d4d -0xf49f68a6 -256 -256 -1 -0 -0 -1 -0x2ffc -0xfe6aa52d -256 -256 -0 -0 -0 -1 -0xec1b -0x67d1c587 -256 -256 -1 -0 -0 -3 -0x6ebf -0x34db2c7f -256 -256 -0 -0 -0 -2 -0xf3e -0x83df448e -256 -256 -0 -0 -0 -1 -0xebdc -0xff1daa0d -256 -256 -1 -0 -0 -4 -0x8a28 -0x83933154 -256 -256 -1 -0 -0 -1 -0xae8d -0x934f663e -256 -256 -0 -0 -0 -2 -0x4ef6 -0xe7cdb486 -256 -256 -0 -0 -0 -1 -0xca1b -0x9da44ab2 -256 -256 -1 -0 -0 -2 -0xdc8d -0xfeca40b9 -256 -256 -0 -0 -0 -3 -0xe4c6 -0xd5d8956 -256 -256 -0 -0 -0 -3 -0x52f6 -0xfe4d6d9e -256 -256 -1 -0 -0 -4 -0x82 -0xbda86394 -256 -256 -0 -0 -0 -4 -0xb655 -0x754bba3 -256 -256 -0 -0 -0 -4 -0x199b -0x26f1f983 -256 -256 -1 -0 -0 -1 -0x6be -0x31300c9a -256 -256 -1 -0 -0 -2 -0xfd3a -0x88681321 -256 -256 -1 -0 -0 -3 -0xa20c -0x486702e6 -256 -256 -1 -0 -0 -4 -0x9857 -0x9fd04284 -256 -256 -0 -0 -0 -2 -0x4c23 -0xfd0ef3d6 -256 -256 -1 -0 -0 -1 -0x4f0c -0x493b5637 -256 -256 -1 -0 -0 -2 -0xeb2d -0x11174501 -256 -256 -0 -0 -0 -3 -0x7293 -0xa290da0b -256 -256 -1 -0 -0 -3 -0x38c0 -0xa38901a3 -256 -256 -1 -0 -0 -2 -0xaeb1 -0xc4dface7 -256 -256 -0 -0 -0 -2 -0xe842 -0xd7aac03e -256 -256 -1 -0 -0 -1 -0x9bc3 -0x63ef64ad -256 -256 -1 -0 -0 -3 -0x63df -0xcbfc7796 -256 -256 -1 -0 -0 -2 -0xad75 -0x763f17dd -256 -256 -1 -0 -0 -2 -0xc521 -0x5d16680a -256 -256 -1 -0 -0 -2 -0x5c15 -0x1d14b326 -256 -256 -0 -0 -0 -3 -0xb4b8 -0x4ca98a1a -256 -256 -1 -0 -0 -4 -0x14d2 -0xb13c5f03 -256 -256 -1 -0 -0 -4 -0xf57f -0x1f9f0695 -256 -256 -1 -0 -0 -2 -0xac9c -0xea52a82a -256 -256 -0 -0 -0 -3 -0xa553 -0x94d109f8 -256 -256 -0 -0 -0 -3 -0x1cbf -0xfc88cab9 -256 -256 -0 -0 -0 -1 -0x415b -0x21b23d3e -256 -256 -0 -0 -0 -1 -0x7fdf -0x923292a7 -256 -256 -0 -0 -0 -1 -0xec46 -0x7cef78c4 -256 -256 -1 -0 -0 -4 -0xc906 -0x4f53cb8b -256 -256 -0 -0 -0 -2 -0x199 -0xb5a02e64 -256 -256 -0 -0 -0 -2 -0x966d -0x56d3d3ef -256 -256 -1 -0 -0 -3 -0xf89a -0x76b8a03e -256 -256 -1 -0 -0 -4 -0xfb1c -0xc4c5a397 -256 -256 -1 -0 -0 -3 -0x9a16 -0xd1cdbe6 -256 -256 -1 -0 -0 -1 -0x1b13 -0x78601bce -256 -256 -0 -0 -0 -3 -0xe67c -0x66e01661 -256 -256 -0 -0 -0 -2 -0xdae4 -0x66eeff3b -256 -256 -0 -0 -0 -1 -0x3741 -0x395a0dfb -256 -256 -0 -0 -0 -4 -0x9d11 -0x5a9aca74 -256 -256 -1 -0 -0 -1 -0x1d42 -0xb5e835a7 -256 -256 -0 -0 -0 -3 -0x5d4e -0x18396ea2 -256 -256 -1 -0 -0 -4 -0xa970 -0xf4ae97fd -256 -256 -1 -0 -0 -2 -0xb22d -0xdfa43942 -256 -256 -0 -0 -0 -3 -0x4c62 -0xfb7c0640 -256 -256 -0 -0 -0 -3 -0x39f2 -0x2436a6f6 -256 -256 -0 -0 -0 -3 -0x724e -0x6bc49b14 -256 -256 -1 -0 -0 -4 -0x2bd8 -0x22c388df -256 -256 -0 -0 -0 -2 -0xded6 -0xbf01355d -256 -256 -1 -0 -0 -4 -0xab8f -0x2e84529a -256 -256 -0 -0 -0 -1 -0xd764 -0x51e04706 -256 -256 -1 -0 -0 -1 -0xf468 -0x2e3a2a51 -256 -256 -1 -0 -0 -3 -0x3742 -0x8d38fd78 -256 -256 -0 -0 -0 -3 -0x536f -0xcb398a0d -256 -256 -1 -0 -0 -4 -0xeaa -0xfca46b93 -256 -256 -1 -0 -0 -1 -0x999b -0xe666d772 -256 -256 -1 -0 -0 -2 -0xfdaf -0x42ca6557 -256 -256 -1 -0 -0 -2 -0x4e60 -0x1f54c3cf -256 -256 -0 -0 -0 -3 -0x45b3 -0xba3e772c -256 -256 -1 -0 -0 -4 -0x9e2b -0xabf646dc -256 -256 -1 -0 -0 -2 -0x44c0 -0x49412908 -256 -256 -0 -0 -0 -1 -0x73a5 -0xd196ae3a -256 -256 -1 -0 -0 -2 -0x2fa2 -0xb33face1 -256 -256 -0 -0 -0 -1 -0xc97a -0x1803d2aa -256 -256 -1 -0 -0 -3 -0xb4fb -0xc48d9309 -256 -256 -1 -0 -0 -4 -0xb1cd -0x605ff8a -256 -256 -0 -0 -0 -3 -0xa8ab -0xfc7e23c -256 -256 -0 -0 -0 -1 -0x139d -0xce56be60 -256 -256 -1 -0 -0 -3 -0xa5a1 -0xf6ed698b -256 -256 -1 -0 -0 -4 -0x662d -0x6ab1a624 -256 -256 -0 -0 -0 -3 -0x5749 -0xec0d63d4 -256 -256 -0 -0 -0 -4 -0xc7ee -0xec09b2ed -256 -256 -0 -0 -0 -1 -0xdfa3 -0x8bd3b449 -256 -256 -0 -0 -0 -4 -0x374 -0x43bc884 -256 -256 -1 -0 -0 -2 -0xae05 -0xf4076a11 -256 -256 -1 -0 -0 -3 -0x6bb8 -0x6255aa5f -256 -256 -0 -0 -0 -2 -0xa0a -0x9317c9cd -256 -256 -0 -0 -0 -1 -0xe21d -0x18b5c536 -256 -256 -0 -0 -0 -4 -0xcef0 -0xab5d79ed -256 -256 -1 -0 -0 -1 -0x5667 -0xf7d31987 -256 -256 -0 -0 -0 -2 -0xb338 -0xf9f3985 -256 -256 -1 -0 -0 -1 -0xd177 -0xb75bad5e -256 -256 -0 -0 -0 -3 -0x7f72 -0x4fb3c953 -256 -256 -1 -0 -0 -3 -0xadf4 -0x10005ebc -256 -256 -0 -0 -0 -2 -0xecf2 -0x1d258f22 -256 -256 -0 -0 -0 -1 -0x865e -0x4c08d3d7 -256 -256 -0 -0 -0 -1 -0x1e64 -0x4c37cf61 -256 -256 -0 -0 -0 -3 -0x4970 -0x49b6d87a -256 -256 -1 -0 -0 -4 -0x6b91 -0x1e2ceb87 -256 -256 -0 -0 -0 -4 -0x68ae -0xe8306750 -256 -256 -1 -0 -0 -2 -0xa7ae -0xf875f2de -256 -256 -1 -0 -0 -4 -0xfbc3 -0xf8424d5c -256 -256 -1 -0 -0 -3 -0x324c -0x8e918ec7 -256 -256 -1 -0 -0 -3 -0x3b31 -0x98e8e03c -256 -256 -1 -0 -0 -3 -0x745 -0x6df2c8df -256 -256 -1 -0 -0 -1 -0x694d -0xe44dd89c -256 -256 -0 -0 -0 -2 -0xb2fc -0x55266e3a -256 -256 -0 -0 -0 -2 -0x70d3 -0x6c81689 -256 -256 -1 -0 -0 -2 -0x36d5 -0xaf5f4dce -256 -256 -0 -0 -0 -3 -0x9c85 -0xfd8dbb49 -256 -256 -1 -0 -0 -3 -0xa2f -0x3d8f6daa -256 -256 -0 -0 -0 -4 -0xba6a -0x50d4113 -256 -256 -0 -0 -0 -1 -0x1a53 -0x1bc4c038 -256 -256 -1 -0 -0 -4 -0x33c -0x7a3cc5c0 -256 -256 -1 -0 -0 -2 -0x9b2a -0xeed1b410 -256 -256 -0 -0 -0 -2 -0xd063 -0x3b1cec24 -256 -256 -1 -0 -0 -3 -0xcc6e -0x99f9ddec -256 -256 -1 -0 -0 -4 -0x9d52 -0x32b03d93 -256 -256 -0 -0 -0 -1 -0x5026 -0x81c337f -256 -256 -1 -0 -0 -3 -0x670d -0xcc2a9eb4 -256 -256 -0 -0 -0 -4 -0x55c3 -0x3ec7c2f7 -256 -256 -0 -0 -0 -2 -0x306e -0x7cf76a38 -256 -256 -0 -0 -0 -2 -0x70d9 -0x3168b1e8 -256 -256 -1 -0 -0 -4 -0x83 -0x941b1e10 -256 -256 -1 -0 -0 -4 -0x1b26 -0x8d20814f -256 -256 -0 -0 -0 -4 -0xf03c -0xb91dab6b -256 -256 -1 -0 -0 -1 -0xb0e7 -0xb5ae8f3b -256 -256 -0 -0 -0 -1 -0x6697 -0x863395f2 -256 -256 -1 -0 -0 -4 -0x93e7 -0xd934c9d4 -256 -256 -0 -0 -0 -3 -0xec0b -0x45a30cf2 -256 -256 -1 -0 -0 -1 -0xd6f2 -0x1c0b37f1 -256 -256 -0 -0 -0 -3 -0x6222 -0xd7eb86 -256 -256 -1 -0 -0 -2 -0x84f4 -0xb8352eac -256 -256 -1 -0 -0 -3 -0x476f -0x58d04bf -256 -256 -0 -0 -0 -2 -0x7051 -0x2391b706 -256 -256 -0 -0 -0 -1 -0x6394 -0xbac1afcf -256 -256 -0 -0 -0 -4 -0xdfa8 -0x24a48cce -256 -256 -1 -0 -0 -2 -0xe29 -0x5bebcbae -256 -256 -1 -0 -0 -1 -0x5fa5 -0xfe4fad22 -256 -256 -1 -0 -0 -4 -0x1b00 -0xb3bb861a -256 -256 -1 -0 -0 -3 -0x97ac -0xe1eeab62 -256 -256 -0 -0 -0 -4 -0xb293 -0xf8f90c19 -256 -256 -0 -0 -0 -3 -0xe774 -0xf56da854 -256 -256 -0 -0 -0 -1 -0x650c -0xee2418d0 -256 -256 -0 -0 -0 -4 -0x5c20 -0xd6cbcfa1 -256 -256 -1 -0 -0 -1 -0xa39b -0xec2f7b2c -256 -256 -1 -0 -0 -1 -0x8208 -0xea5ce447 -256 -256 -0 -0 -0 -1 -0x59 -0x5cd56432 -256 -256 -1 -0 -0 -2 -0xa56b -0x7df5c018 -256 -256 -1 -0 -0 -3 -0x9570 -0x811eba99 -256 -256 -0 -0 -0 -1 -0x434c -0x8ee7461a -256 -256 -1 -0 -0 -1 -0x61e7 -0xa8263d3d -256 -256 -0 -0 -0 -3 -0xb22c -0x226b735a -256 -256 -0 -0 -0 -3 -0x72b8 -0x37ef3697 -256 -256 -0 -0 -0 -1 -0x4401 -0x3074177a -256 -256 -1 -0 -0 -4 -0x9287 -0x7aed610a -256 -256 -0 -0 -0 -1 -0xef2d -0x438b8660 -256 -256 -0 -0 -0 -2 -0xc662 -0x11eca97d -256 -256 -1 -0 -0 -2 -0x2c7d -0x1ecd5777 -256 -256 -0 -0 -0 -3 -0xcc8 -0x94ef46c9 -256 -256 -1 -0 -0 -2 -0x2536 -0xc2624699 -256 -256 -0 -0 -0 -4 -0xad99 -0x8e5de5f3 -256 -256 -1 -0 -0 -2 -0x3228 -0xa15521bf -256 -256 -0 -0 -0 -3 -0x101d -0x167115c5 -256 -256 -1 -0 -0 -1 -0xa235 -0x647f97da -256 -256 -1 -0 -0 -2 -0xaea8 -0xd67f9101 -256 -256 -1 -0 -0 -2 -0x69fa -0xa62edd56 -256 -256 -0 -0 -0 -2 -0x9d16 -0x41eb7752 -256 -256 -0 -0 -0 -3 -0xc3c5 -0x179cb3a6 -256 -256 -1 -0 -0 -1 -0x94e4 -0x174e76a5 -256 -256 -0 -0 -0 -1 -0xce2e -0xcce41a93 -256 -256 -1 -0 -0 -1 -0x9d94 -0xbcbb7f52 -256 -256 -0 -0 -0 -1 -0x1deb -0x7dfcab86 -256 -256 -1 -0 -0 -1 -0xb684 -0x63aa8135 -256 -256 -0 -0 -0 -2 -0x7501 -0x9b52f764 -256 -256 -0 -0 -0 -1 -0x1acb -0x61d3679d -256 -256 -1 -0 -0 -4 -0xc0b7 -0x5bb93b0c -256 -256 -0 -0 -0 -3 -0x1b09 -0x239d85e8 -256 -256 -1 -0 -0 -1 -0xf735 -0x4cfab4de -256 -256 -1 -0 -0 -1 -0xc80d -0x997727e5 -256 -256 -1 -0 -0 -4 -0x5bc8 -0x3fe8a8a8 -256 -256 -1 -0 -0 -1 -0x2f49 -0xcfdd3547 -256 -256 -0 -0 -0 -3 -0x96be -0x7dc2ea84 -256 -256 -0 -0 -0 -4 -0x546a -0xeadc280d -256 -256 -0 -0 -0 -4 -0xba3 -0x9bed13ef -256 -256 -1 -0 -0 -2 -0xfef0 -0x7e7069ec -256 -256 -1 -0 -0 -4 -0x950c -0x8513aea3 -256 -256 -0 -0 -0 -4 -0x3ccb -0xb35d3642 -256 -256 -0 -0 -0 -3 -0xb8a -0x5484c8b4 -256 -256 -1 -0 -0 -4 -0x3406 -0x59bfef57 -256 -256 -0 -0 -0 -4 -0xe3bf -0xe25dbabc -256 -256 -0 -0 -0 -4 -0x14af -0xed9f53e4 -256 -256 -1 -0 -0 -3 -0xda15 -0x83e7baff -256 -256 -0 -0 -0 -2 -0x1e87 -0xea684375 -256 -256 -1 -0 -0 -3 -0x4948 -0xa1ffd4a4 -256 -256 -1 -0 -0 -4 -0x1579 -0x7106f494 -256 -256 -1 -0 -0 -3 -0x2e08 -0x123193f9 -256 -256 -0 -0 -0 -4 -0xf096 -0xfd2d8937 -256 -256 -1 -0 -0 -4 -0x94ab -0x166bcba -256 -256 -0 -0 -0 -1 -0x68b3 -0xe56700d5 -256 -256 -0 -0 -0 -2 -0x4476 -0xaa17ddb2 -256 -256 -1 -0 -0 -1 -0x8705 -0x9c7a8cc7 -256 -256 -1 -0 -0 -3 -0x84d -0x82bb7d95 -256 -256 -1 -0 -0 -4 -0x4839 -0xa85d04b1 -256 -256 -0 -0 -0 -3 -0x9743 -0x82a0c17 -256 -256 -1 -0 -0 -4 -0xc14f -0x5f3f18f9 -256 -256 -1 -0 -0 -3 -0xaede -0xd3eb60cb -256 -256 -1 -0 -0 -3 -0xaad3 -0x9d43be85 -256 -256 -1 -0 -0 -3 -0x235e -0xf25cb388 -256 -256 -0 -0 -0 -3 -0xf7af -0x24a41b0d -256 -256 -1 -0 -0 -1 -0x6f9b -0x828278f -256 -256 -1 -0 -0 -2 -0xa610 -0x1f08e10b -256 -256 -0 -0 -0 -3 -0xf2f3 -0x29172394 -256 -256 -1 -0 -0 -1 -0xa9ea -0xcc03dde2 -256 -256 -0 -0 -0 -4 -0xb5a4 -0xee6fcf30 -256 -256 -1 -0 -0 -4 -0xb472 -0xaeafc349 -256 -256 -1 -0 -0 -3 -0x7551 -0x862e9751 -256 -256 -0 -0 -0 -1 -0xf8e8 -0x8e841009 -256 -256 -0 -0 -0 -4 -0x3b49 -0xde5b333e -256 -256 -0 -0 -0 -1 -0xb754 -0x7dc2ce7e -256 -256 -0 -0 -0 -3 -0x8bc1 -0xd961ab9f -256 -256 -0 -0 -0 -1 -0x91e1 -0xc937a4d1 -256 -256 -1 -0 -0 -4 -0x78d7 -0x69667d26 -256 -256 -0 -0 -0 -4 -0x2a1c -0xb09fb65e -256 -256 -0 -0 -0 -1 -0x816e -0xa9bca00d -256 -256 -1 -0 -0 -1 -0x302c -0xf6239f80 -256 -256 -0 -0 -0 -1 -0xe62e -0x21f7b030 -256 -256 -0 -0 -0 -4 -0xcec2 -0xe12eb89b -256 -256 -0 -0 -0 -2 -0xb238 -0x2727af53 -256 -256 -1 -0 -0 -1 -0xb3c7 -0x4287550e -256 -256 -0 -0 -0 -3 -0xf04 -0xc6e144b8 -256 -256 -1 -0 -0 -1 -0xbac -0xb697265 -256 -256 -0 -0 -0 -4 -0x4e6d -0x4f46d457 -256 -256 -0 -0 -0 -1 -0x5f5f -0x3ccb4e03 -256 -256 -1 -0 -0 -3 -0xed90 -0xf1c076e1 -256 -256 -1 -0 -0 -3 -0xa777 -0xaea3cf4b -256 -256 -1 -0 -0 -1 -0x4dbc -0xb49c825e -256 -256 -1 -0 -0 -3 -0xaa6 -0x8105020d -256 -256 -0 -0 -0 -3 -0x6dde -0xfe50f807 -256 -256 -1 -0 -0 -2 -0x9502 -0x39dc8a0c -256 -256 -1 -0 -0 -3 -0x391c -0x82f386f6 -256 -256 -0 -0 -0 -2 -0x4bb1 -0xeeb33c01 -256 -256 -1 -0 -0 -3 -0xe02c -0x4918ff47 -256 -256 -1 -0 -0 -1 -0xf0ed -0x29b1a25d -256 -256 -0 -0 -0 -3 -0xa4e7 -0x6301b1cb -256 -256 -0 -0 -0 -2 -0x14a0 -0xb317df0c -256 -256 -1 -0 -0 -1 -0x29b5 -0xfbc4369 -256 -256 -1 -0 -0 -3 -0xf597 -0x58183ccb -256 -256 -1 -0 -0 -2 -0xd027 -0x64901e30 -256 -256 -0 -0 -0 -1 -0xd49f -0x87932c56 -256 -256 -0 -0 -0 -4 -0xeb97 -0x8d933275 -256 -256 -0 -0 -0 -2 -0x176c -0xab47d623 -256 -256 -0 -0 -0 -1 -0x8779 -0x2b8aee77 -256 -256 -0 -0 -0 -3 -0x5e39 -0x3a4b8585 -256 -256 -0 -0 -0 -4 -0xc3b -0x2414c4b7 -256 -256 -1 -0 -0 -1 -0x2a6c -0xe554d60d -256 -256 -1 -0 -0 -2 -0xb51 -0xd59a1991 -256 -256 -0 -0 -0 -2 -0x6fcc -0xd6dbe7ef -256 -256 -0 -0 -0 -1 -0xfdc6 -0x7c0d406d -256 -256 -0 -0 -0 -4 -0x9cb9 -0xd1ea39c2 -256 -256 -0 -0 -0 -3 -0x2168 -0x8a3ba8d8 -256 -256 -0 -0 -0 -1 -0x760b -0x3d2981bb -256 -256 -1 -0 -0 -1 -0xef8a -0xa5f84e59 -256 -256 -0 -0 -0 -3 -0xd37b -0x224b6518 -256 -256 -0 -0 -0 -2 -0x5194 -0xac89487a -256 -256 -1 -0 -0 -4 -0x2c9d -0xe88dd63e -256 -256 -1 -0 -0 -3 -0xc0e9 -0x4698cba4 -256 -256 -1 -0 -0 -1 -0xd767 -0x7226339f -256 -256 -0 -0 -0 -3 -0xeba3 -0x12262ceb -256 -256 -1 -0 -0 -2 -0xefe9 -0xd939d377 -256 -256 -0 -0 -0 -2 -0x78ef -0x97f8a218 -256 -256 -0 -0 -0 -4 -0x369e -0x3a4e8ee1 -256 -256 -0 -0 -0 -2 -0xab6d -0xa8cf1811 -256 -256 -0 -0 -0 -4 -0xa1e7 -0x9170b2de -256 -256 -0 -0 -0 -4 -0x5562 -0x22c5ff95 -256 -256 -0 -0 -0 -2 -0xfa34 -0x41b988fd -256 -256 -0 -0 -0 -3 -0xb4dd -0xb188b9f8 -256 -256 -0 -0 -0 -1 -0x363d -0xe2329f90 -256 -256 -1 -0 -0 -3 -0x4b42 -0xd12056af -256 -256 -0 -0 -0 -1 -0x2a9a -0x3d30c4a9 -256 -256 -0 -0 -0 -4 -0x22b8 -0x3f59ac1a -256 -256 -0 -0 -0 -2 -0x58ee -0xdfec086d -256 -256 -0 -0 -0 -1 -0xe0c5 -0xa0b02fee -256 -256 -1 -0 -0 -4 -0x1565 -0x5d2d6f57 -256 -256 -1 -0 -0 -4 -0xc899 -0x68ce467e -256 -256 -0 -0 -0 -2 -0xc603 -0x250c70c4 -256 -256 -0 -0 -0 -1 -0x641 -0x2ccf0e58 -256 -256 -0 -0 -0 -4 -0x81fb -0x3e2af000 -256 -256 -1 -0 -0 -2 -0x8346 -0x64bfe94c -256 -256 -1 -0 -0 -4 -0x8777 -0x16607b56 -256 -256 -0 -0 -0 -1 -0x6dc5 -0xb963e880 -256 -256 -1 -0 -0 -3 -0xce9f -0xfdb709d1 -256 -256 -0 -0 -0 -2 -0x6558 -0x1b427302 -256 -256 -1 -0 -0 -2 -0xf79 -0x5e42e341 -256 -256 -1 -0 -0 -3 -0xd99e -0x8645bf74 -256 -256 -0 -0 -0 -2 -0x23de -0xcbdee7cc -256 -256 -0 -0 -0 -2 -0xe80 -0x6040c634 -256 -256 -0 -0 -0 -4 -0x124c -0xe03d98 -256 -256 -1 -0 -0 -1 -0x5b61 -0x57fdfb6d -256 -256 -1 -0 -0 -1 -0x1483 -0xa50f2344 -256 -256 -1 -0 -0 -3 -0x379b -0xd9768ffc -256 -256 -1 -0 -0 -4 -0xcabd -0xb76ca983 -256 -256 -1 -0 -0 -4 -0xede0 -0x59fc0d73 -256 -256 -0 -0 -0 -3 -0x9629 -0x6161048e -256 -256 -0 -0 -0 -4 -0x23f -0x82151e19 -256 -256 -0 -0 -0 -2 -0xb1b6 -0x3b118b3e -256 -256 -1 -0 -0 -3 -0x5467 -0x9a9c1db1 -256 -256 -0 -0 -0 -1 -0x4556 -0xd6a1b096 -256 -256 -1 -0 -0 -1 -0xdf8f -0x88f6abe1 -256 -256 -0 -0 -0 -4 -0xc838 -0x10686e8b -256 -256 -1 -0 -0 -3 -0x117d -0xbcfc61aa -256 -256 -1 -0 -0 -2 -0x8447 -0xbeebf99d -256 -256 -1 -0 -0 -2 -0x6cab -0xa367b219 -256 -256 -1 -0 -0 -2 -0x9bf6 -0x1da231fc -256 -256 -1 -0 -0 -4 -0x22f2 -0x8da7fa92 -256 -256 -1 -0 -0 -2 -0xa392 -0x11a8c1f8 -256 -256 -1 -0 -0 -3 -0xcf35 -0x52f84703 -256 -256 -1 -0 -0 -1 -0x7f29 -0x56872e82 -256 -256 -1 -0 -0 -4 -0x2776 -0x9e562cb8 -256 -256 -0 -0 -0 -2 -0xc332 -0xf53ab7aa -256 -256 -0 -0 -0 -4 -0xef13 -0x924c6cc6 -256 -256 -0 -0 -0 -2 -0x7633 -0x69b2de6c -256 -256 -0 -0 -0 -2 -0x8254 -0x2eed85b -256 -256 -1 -0 -0 -1 -0x303c -0x25e553d4 -256 -256 -1 -0 -0 -1 -0x23ed -0xdd8ccadd -256 -256 -1 -0 -0 -4 -0xb790 -0x32da19ef -256 -256 -1 -0 -0 -4 -0xc7d0 -0x7c8a0210 -256 -256 -0 -0 -0 -3 -0x785 -0x88b56cd6 -256 -256 -1 -0 -0 -1 -0x1c0e -0x4b72e65e -256 -256 -1 -0 -0 -4 -0xad9 -0xb307d060 -256 -256 -1 -0 -0 -2 -0x7f38 -0x42b3367f -256 -256 -1 -0 -0 -2 -0xbd78 -0x4af77ab1 -256 -256 -0 -0 -0 -4 -0xa668 -0x2a1b23bf -256 -256 -1 -0 -0 -1 -0xba09 -0x93ba988e -256 -256 -0 -0 -0 -1 -0xb990 -0xb15eb841 -256 -256 -0 -0 -0 -4 -0x4602 -0x4adc6043 -256 -256 -0 -0 -0 -4 -0x1ed3 -0xa5312874 -256 -256 -0 -0 -0 -4 -0x9bb3 -0x4aa77eaa -256 -256 -0 -0 -0 -4 -0x2e38 -0x657fc55b -256 -256 -0 -0 -0 -3 -0xd976 -0x858d9311 -256 -256 -0 -0 -0 -4 -0xc4b3 -0x90941268 -256 -256 -1 -0 -0 -2 -0x9b87 -0x91ca02a3 -256 -256 -1 -0 -0 -1 -0x239a -0xa673add9 -256 -256 -0 -0 -0 -3 -0xef00 -0x381c0bda -256 -256 -1 -0 -0 -2 -0xd9e7 -0xc382f30a -256 -256 -0 -0 -0 -3 -0xd4a7 -0xa9943d52 -256 -256 -1 -0 -0 -1 -0x8d0c -0xeb5a3e58 -256 -256 -1 -0 -0 -4 -0xce1 -0x83735bd2 -256 -256 -1 -0 -0 -2 -0x20cb -0xe304ded -256 -256 -1 -0 -0 -3 -0x90a2 -0xb1097103 -256 -256 -0 -0 -0 -2 -0xdbbf -0x84a6276d -256 -256 -0 -0 -0 -2 -0xbb0a -0x72bfe2c3 -256 -256 -1 -0 -0 -1 -0x9df6 -0x21a078a9 -256 -256 -1 -0 -0 -2 -0x1895 -0x78801852 -256 -256 -1 -0 -0 -3 -0xa017 -0x28d1ac03 -256 -256 -1 -0 -0 -1 -0x3275 -0x16a61bc6 -256 -256 -0 -0 -0 -1 -0xf927 -0x3c2a728c -256 -256 -1 -0 -0 -3 -0x8de1 -0xe5965b2f -256 -256 -0 -0 -0 -4 -0xc72d -0x415ff435 -256 -256 -0 -0 -0 -1 -0x7cb5 -0xa4b3b157 -256 -256 -0 -0 -0 -1 -0x52 -0x6f215026 -256 -256 -1 -0 -0 -2 -0x6a1e -0x531d14ee -256 -256 -0 -0 -0 -3 -0x62b9 -0x8f64502c -256 -256 -0 -0 -0 -1 -0x563c -0x3627d9e0 -256 -256 -0 -0 -0 -3 -0xdaed -0x72a88917 -256 -256 -0 -0 -0 -4 -0xa0dd -0xc6d79e66 -256 -256 -0 -0 -0 -1 -0x3bfd -0xb8c86169 -256 -256 -1 -0 -0 -3 -0x572e -0x152d6126 -256 -256 -0 -0 -0 -1 -0x851a -0x18e9557 -256 -256 -1 -0 -0 -3 -0x1769 -0x769f0188 -256 -256 -1 -0 -0 -1 -0x7b27 -0x6a86a8d5 -256 -256 -0 -0 -0 -1 -0xf9bc -0x92d94326 -256 -256 -0 -0 -0 -4 -0x76af -0x415aa28b -256 -256 -1 -0 -0 -2 -0x6a71 -0x61ddd8fb -256 -256 -0 -0 -0 -2 -0xf903 -0x49e012dd -256 -256 -1 -0 -0 -3 -0x2a31 -0x6d816589 -256 -256 -0 -0 -0 -1 -0x7562 -0xfb489651 -256 -256 -1 -0 -0 -3 -0x99a9 -0x4aae2807 -256 -256 -1 -0 -0 -1 -0x95f -0x2db235e -256 -256 -1 -0 -0 -3 -0x9ab5 -0xf4f24450 -256 -256 -1 -0 -0 -1 -0x22f -0xf045a8a7 -256 -256 -0 -0 -0 -3 -0x7cee -0x47fb8db7 -256 -256 -0 -0 -0 -2 -0x1dfd -0x3075b353 -256 -256 -1 -0 -0 -3 -0x67fa -0xd431a4d -256 -256 -0 -0 -0 -3 -0xc936 -0xa2c9d10 -256 -256 -0 -0 -0 -2 -0x6b5f -0xddfbda8e -256 -256 -0 -0 -0 -3 -0xee62 -0x9bebee8a -256 -256 -1 -0 -0 -4 -0x1a93 -0x84e474ef -256 -256 -1 -0 -0 -1 -0xda74 -0xa5a4f1f8 -256 -256 -0 -0 -0 -2 -0xe4bd -0x907975ad -256 -256 -1 -0 -0 -1 -0xd180 -0xc3d15878 -256 -256 -1 -0 -0 -2 -0x9271 -0xb3523bcd -256 -256 -0 -0 -0 -1 -0x82db -0x75107688 -256 -256 -0 -0 -0 -4 -0x1faf -0x262bc2a2 -256 -256 -0 -0 -0 -2 -0xafeb -0x529bfc1c -256 -256 -0 -0 -0 -4 -0xbf04 -0x7577dd54 -256 -256 -0 -0 -0 -1 -0xe48 -0x1c16ccac -256 -256 -0 -0 -0 -4 -0x2ed9 -0xc281be69 -256 -256 -1 -0 -0 -3 -0xb1ef -0x147586d2 -256 -256 -1 -0 -0 -2 -0xda1 -0xd34a0d60 -256 -256 -1 -0 -0 -4 -0xaa92 -0x3193f7b6 -256 -256 -0 -0 -0 -1 -0x5b1b -0x3fd38c2e -256 -256 -0 -0 -0 -3 -0xbcb -0x83599e1e -256 -256 -1 -0 -0 -2 -0xea96 -0x357eb7c8 -256 -256 -1 -0 -0 -1 -0x731b -0xcac22792 -256 -256 -0 -0 -0 -4 -0x7536 -0xbb08a740 -256 -256 -0 -0 -0 -4 -0x6d2c -0xf3491642 -256 -256 -0 -0 -0 -4 -0x5196 -0x99b4164b -256 -256 -0 -0 -0 -4 -0xf33 -0x53d1c4a7 -256 -256 -0 -0 -0 -1 -0x485e -0xddbe2fa8 -256 -256 -0 -0 -0 -3 -0xb423 -0x8261b8 -256 -256 -1 -0 -0 -4 -0xc359 -0x43fe7b11 -256 -256 -0 -0 -0 -2 -0x5163 -0xd610ee47 -256 -256 -1 -0 -0 -1 -0x8e -0x12a9cf5d -256 -256 -1 -0 -0 -1 -0xee7a -0xda85949b -256 -256 -1 -0 -0 -1 -0x46b8 -0x57e6a815 -256 -256 -0 -0 -0 -1 -0x28b0 -0xb9a64d1d -256 -256 -0 -0 -0 -1 -0xaa4a -0x7e13119d -256 -256 -1 -0 -0 -3 -0x5e31 -0x57c495cd -256 -256 -0 -0 -0 -1 -0xb090 -0xceff345b -256 -256 -0 -0 -0 -4 -0xdd35 -0x6992d5e5 -256 -256 -1 -0 -0 -3 -0x7eed -0xdd5c0837 -256 -256 -0 -0 -0 -4 -0x81b5 -0x97f83475 -256 -256 -0 -0 -0 -3 -0xe621 -0x7197cd42 -256 -256 -0 -0 -0 -3 -0x9307 -0x5f4d4c32 -256 -256 -1 -0 -0 -1 -0x43d0 -0x86c44925 -256 -256 -0 -0 -0 -4 -0xded8 -0x895b9967 -256 -256 -0 -0 -0 -1 -0x75fb -0x9a01a195 -256 -256 -0 -0 -0 -2 -0x953c -0x9e9456d3 -256 -256 -0 -0 -0 -2 -0xcf68 -0x8a401a54 -256 -256 -1 -0 -0 -3 -0xd07c -0xbf5b47b -256 -256 -1 -0 -0 -2 -0x44ce -0xbf41cd01 -256 -256 -0 -0 -0 -3 -0xcde0 -0xaa542cd7 -256 -256 -0 -0 -0 -4 -0xda7a -0x27a1c262 -256 -256 -1 -0 -0 -4 -0xdc66 -0x4b58ce55 -256 -256 -1 -0 -0 -2 -0x23e0 -0xf0aca276 -256 -256 -1 -0 -0 -3 -0x7aef -0x437f76c1 -256 -256 -0 -0 -0 -3 -0xf96a -0x5085281d -256 -256 -1 -0 -0 -1 -0x4df1 -0x3ada5f1a -256 -256 -1 -0 -0 -3 -0xde84 -0x94ae71c7 -256 -256 -0 -0 -0 -1 -0x60f1 -0x7e507ac7 -256 -256 -0 -0 -0 -1 -0xe20a -0x5d9798a1 -256 -256 -0 -0 -0 -2 -0xaca2 -0xded494c8 -256 -256 -0 -0 -0 -4 -0x4ca7 -0xa0bd3682 -256 -256 -1 -0 -0 -3 -0x7a83 -0xf36f0aa6 -256 -256 -1 -0 -0 -3 -0x2c04 -0x89a63566 -256 -256 -1 -0 -0 -4 -0x1264 -0x1294787c -256 -256 -1 -0 -0 -3 -0xf099 -0x2281d850 -256 -256 -1 -0 -0 -4 -0xc713 -0x55cbe336 -256 -256 -0 -0 -0 -2 -0x6a2f -0xd5c7456c -256 -256 -1 -0 -0 -4 -0x9f7a -0x17aae72 -256 -256 -1 -0 -0 -2 -0x2671 -0x30737d5f -256 -256 -1 -0 -0 -4 -0x491c -0x342d2b4a -256 -256 -1 -0 -0 -4 -0xd9de -0x1743bad1 -256 -256 -0 -0 -0 -2 -0xe016 -0xef495462 -256 -256 -1 -0 -0 -1 -0xce03 -0xeb29454 -256 -256 -0 -0 -0 -1 -0xe52a -0xb6161cee -256 -256 -1 -0 -0 -4 -0x1444 -0xb6b71bd2 -256 -256 -0 -0 -0 -2 -0xe97d -0xcc196e06 -256 -256 -1 -0 -0 -1 -0x434e -0x8c0af3c5 -256 -256 -1 -0 -0 -1 -0x5a9d -0x76d4bdb8 -256 -256 -0 -0 -0 -3 -0xcd32 -0xe1d2e052 -256 -256 -0 -0 -0 -3 -0x3432 -0x68647292 -256 -256 -1 -0 -0 -1 -0xcb7 -0x621b8adf -256 -256 -1 -0 -0 -1 -0x540e -0xce04c7c0 -256 -256 -1 -0 -0 -3 -0xcf2b -0x3493ce93 -256 -256 -1 -0 -0 -3 -0xdded -0xe96c416e -256 -256 -1 -0 -0 -4 -0x6547 -0xfa6bc07c -256 -256 -0 -0 -0 -2 -0xf8c0 -0x9c558b -256 -256 -0 -0 -0 -3 -0xae43 -0x8f3d6209 -256 -256 -0 -0 -0 -4 -0xf7f8 -0xe5f3799c -256 -256 -0 -0 -0 -2 -0x32a0 -0xcb2cffb8 -256 -256 -1 -0 -0 -3 -0xfdc8 -0x7f0fe199 -256 -256 -1 -0 -0 -3 -0x896f -0xf5a2ac22 -256 -256 -0 -0 -0 -3 -0x4b0f -0x3d4a0c7d -256 -256 -1 -0 -0 -3 -0x6602 -0x2b89823d -256 -256 -1 -0 -0 -4 -0x649e -0xe5bdb6ab -256 -256 -0 -0 -0 -2 -0x7d05 -0x509fca27 -256 -256 -0 -0 -0 -1 -0x8e73 -0xc460cbdd -256 -256 -1 -0 -0 -1 -0xc1ce -0xd0ab277e -256 -256 -1 -0 -0 -2 -0x8bef -0x2f4e2ddc -256 -256 -1 -0 -0 -1 -0xf173 -0x6a98ef93 -256 -256 -0 -0 -0 -4 -0x1742 -0xf32ea3d2 -256 -256 -1 -0 -0 -3 -0x8791 -0x5ac3783b -256 -256 -1 -0 -0 -1 -0xe55d -0x200470a1 -256 -256 -1 -0 -0 -2 -0x50b3 -0x6f31ec79 -256 -256 -1 -0 -0 -3 -0x48de -0x2f05cf4a -256 -256 -1 -0 -0 -3 -0x4c2f -0x7da1da45 -256 -256 -1 -0 -0 -2 -0x8003 -0x2cddb962 -256 -256 -0 -0 -0 -2 -0x1b2 -0x60b66114 -256 -256 -0 -0 -0 -1 -0xd7b -0xf80998d7 -256 -256 -1 -0 -0 -2 -0x1c7f -0x6ed06f3d -256 -256 -0 -0 -0 -2 -0x732f -0x21602a5d -256 -256 -1 -0 -0 -3 -0x681c -0xf41ea735 -256 -256 -0 -0 -0 -4 -0x3889 -0x3c655d29 -256 -256 -1 -0 -0 -1 -0x6084 -0xe9539cb7 -256 -256 -1 -0 -0 -4 -0xf8e1 -0x4c5d87bd -256 -256 -0 -0 -0 -2 -0x46bc -0xc10bc83f -256 -256 -0 -0 -0 -2 -0x64cc -0x5f9bcac -256 -256 -0 -0 -0 -3 -0xf8e2 -0xcaba8f1f -256 -256 -0 -0 -0 -4 -0x24e2 -0x91e63802 -256 -256 -1 -0 -0 -2 -0xde39 -0x303289e4 -256 -256 -0 -0 -0 -1 -0x14ed -0x92cf07f2 -256 -256 -1 -0 -0 -2 -0xf73c -0x6aae6ab -256 -256 -1 -0 -0 -4 -0x932b -0x84a5c079 -256 -256 -0 -0 -0 -4 -0x74a6 -0xcca88a11 -256 -256 -0 -0 -0 -3 -0x479d -0x8840e107 -256 -256 -0 -0 -0 -3 -0x8270 -0x7cb461b5 -256 -256 -0 -0 -0 -3 -0x114 -0x27f2c114 -256 -256 -0 -0 -0 -3 -0x974e -0xd05ca140 -256 -256 -1 -0 -0 -3 -0x1b5d -0x133d8114 -256 -256 -0 -0 -0 -4 -0x45c -0xb0bca8ab -256 -256 -0 -0 -0 -3 -0xf79b -0x1b9b9fa8 -256 -256 -1 -0 -0 -1 -0x6c2a -0x7257dd40 -256 -256 -1 -0 -0 -4 -0x5bff -0x507d833 -256 -256 -0 -0 -0 -4 -0x25c3 -0xbb7aee8e -256 -256 -1 -0 -0 -2 -0x71dd -0xf78aa2bd -256 -256 -0 -0 -0 -2 -0xa9b1 -0x5d6c86d5 -256 -256 -0 -0 -0 -2 -0x984f -0x13e2cce8 -256 -256 -0 -0 -0 -1 -0x6724 -0x8dd5f846 -256 -256 -0 -0 -0 -4 -0x19e5 -0x9cfc9bb5 -256 -256 -0 -0 -0 -2 -0x29b9 -0x3121c099 -256 -256 -0 -0 -0 -1 -0x31c4 -0x89922cd8 -256 -256 -0 -0 -0 -2 -0x699e -0x53efd09c -256 -256 -1 -0 -0 -1 -0x678a -0xbd2a4c0a -256 -256 -1 -0 -0 -4 -0xd453 -0x3d24109c -256 -256 -1 -0 -0 -3 -0x4270 -0x822ec48c -256 -256 -0 -0 -0 -3 -0x56f5 -0x945aa6be -256 -256 -0 -0 -0 -4 -0x2849 -0x5d068efd -256 -256 -1 -0 -0 -1 -0xfc35 -0xf5872325 -256 -256 -0 -0 -0 -4 -0xda69 -0x5cea5f26 -256 -256 -0 -0 -0 -3 -0x4ea4 -0xbfd51675 -256 -256 -1 -0 -0 -1 -0xd320 -0xe8098213 -256 -256 -1 -0 -0 -1 -0x2565 -0x413de3d4 -256 -256 -1 -0 -0 -2 -0x7da3 -0x2fdabe3a -256 -256 -0 -0 -0 -1 -0xcb47 -0x33d93b40 -256 -256 -0 -0 -0 -1 -0x62e9 -0xf69917a8 -256 -256 -0 -0 -0 -3 -0x6f40 -0x9f7af3ab -256 -256 -0 -0 -0 -1 -0x6ccc -0x71c831f5 -256 -256 -0 -0 -0 -2 -0x5186 -0x3f564e53 -256 -256 -0 -0 -0 -2 -0xaf69 -0x54db272e -256 -256 -0 -0 -0 -4 -0x4e8c -0x823e2610 -256 -256 -1 -0 -0 -1 -0xc850 -0xac63373e -256 -256 -0 -0 -0 -1 -0x6459 -0x3483451b -256 -256 -0 -0 -0 -1 -0xacc0 -0xd09a2428 -256 -256 -0 -0 -0 -3 -0xe928 -0xb9af66d1 -256 -256 -1 -0 -0 -3 -0x1bec -0x516549f5 -256 -256 -1 -0 -0 -1 -0xbc0b -0xbea34f17 -256 -256 -0 -0 -0 -4 -0xc94a -0x505c24e8 -256 -256 -0 -0 -0 -3 -0xf51c -0x80200cb0 -256 -256 -0 -0 -0 -1 -0x54d -0x36c16dd -256 -256 -1 -0 -0 -1 -0xfcae -0x9e995adb -256 -256 -0 -0 -0 -2 -0x1e41 -0x15b84236 -256 -256 -1 -0 -0 -1 -0x9ad2 -0x921f7b67 -256 -256 -0 -0 -0 -4 -0x8207 -0x9d04f164 -256 -256 -0 -0 -0 -1 -0xbb62 -0x98294a31 -256 -256 -0 -0 -0 -1 -0xad98 -0x517f2eb2 -256 -256 -1 -0 -0 -2 -0x63ec -0x6e0d1bff -256 -256 -0 -0 -0 -1 -0xab47 -0x7075a444 -256 -256 -0 -0 -0 -1 -0xc692 -0xa89fa866 -256 -256 -1 -0 -0 -3 -0x1a7e -0x4ea5f617 -256 -256 -1 -0 -0 -1 -0x641f -0x8c5e709c -256 -256 -0 -0 -0 -4 -0xc4c1 -0x6fc1fb88 -256 -256 -0 -0 -0 -2 -0x5ce4 -0x780fefc7 -256 -256 -1 -0 -0 -2 -0xcf95 -0x2f02f7a1 -256 -256 -0 -0 -0 -4 -0x3e8f -0x2b9c5652 -256 -256 -0 -0 -0 -3 -0xb73d -0x4a838a4d -256 -256 -0 -0 -0 -4 -0x586b -0xe6f72bca -256 -256 -1 -0 -0 -2 -0x870a -0x52057df6 -256 -256 -1 -0 -0 -2 -0x2a3a -0xaf4017fc -256 -256 -0 -0 -0 -3 -0xa896 -0x506ef5a7 -256 -256 -1 -0 -0 -2 -0x5e8d -0x90648f38 -256 -256 -1 -0 -0 -1 -0x4e57 -0xd56c3af6 -256 -256 -0 -0 -0 -1 -0xae37 -0xa46feeb2 -256 -256 -0 -0 -0 -1 -0x3b1f -0x38c14c76 -256 -256 -1 -0 -0 -2 -0xbb02 -0x6d22132f -256 -256 -0 -0 -0 -1 -0xe09a -0x6229ea96 -256 -256 -0 -0 -0 -3 -0x61ff -0xf438286c -256 -256 -1 -0 -0 -4 -0xb1 -0xba261a6 -256 -256 -1 -0 -0 -4 -0x441f -0xb8f8f46b -256 -256 -1 -0 -0 -4 -0xc8a1 -0xb1138864 -256 -256 -1 -0 -0 -3 -0x5bfe -0x7821f52f -256 -256 -0 -0 -0 -2 -0xba71 -0xbbf4fa04 -256 -256 -0 -0 -0 -3 -0x940c -0x8430a567 -256 -256 -1 -0 -0 -1 -0x9797 -0x695be808 -256 -256 -1 -0 -0 -2 -0x3f2b -0x599b7866 -256 -256 -1 -0 -0 -1 -0xc98f -0x688827a1 -256 -256 -1 -0 -0 -3 -0xa29 -0xe5823051 -256 -256 -0 -0 -0 -2 -0xcad9 -0x37c1ca34 -256 -256 -1 -0 -0 -3 -0x15a -0xa991cb6c -256 -256 -1 -0 -0 -3 -0x4b78 -0x3879b9dc -256 -256 -1 -0 -0 -2 -0x179a -0xf5ed5189 -256 -256 -1 -0 -0 -1 -0xfbd6 -0xcc6d5a3a -256 -256 -1 -0 -0 -2 -0x333b -0xd016231c -256 -256 -0 -0 -0 -3 -0xddac -0xa6c49d70 -256 -256 -1 -0 -0 -4 -0xd4c7 -0xb92a43e8 -256 -256 -1 -0 -0 -4 -0x8c5a -0x4a76b51a -256 -256 -1 -0 -0 -4 -0xc3f6 -0x494cfa08 -256 -256 -0 -0 -0 -3 -0x872c -0xa5da03d5 -256 -256 -0 -0 -0 -3 -0x6f45 -0x75eb49ee -256 -256 -1 -0 -0 -4 -0x9795 -0x401a8a48 -256 -256 -1 -0 -0 -1 -0x2c47 -0xa0fcbfdb -256 -256 -0 -0 -0 -3 -0x7fd4 -0x4d14bf1e -256 -256 -0 -0 -0 -3 -0x2212 -0xa08b27ee -256 -256 -0 -0 -0 -4 -0xaa23 -0x5f135ae4 -256 -256 -0 -0 -0 -3 -0xfa60 -0x127fced6 -256 -256 -0 -0 -0 -3 -0x2969 -0x9d1f2455 -256 -256 -1 -0 -0 -1 -0x41b6 -0x7da58a29 -256 -256 -0 -0 -0 -1 -0xd75d -0xf7a75a2 -256 -256 -1 -0 -0 -4 -0xc5a4 -0x6bac9cdd -256 -256 -0 -0 -0 -3 -0x871 -0xe03156ca -256 -256 -0 -0 -0 -2 -0x3a3b -0xe552c29 -256 -256 -1 -0 -0 -1 -0xb47b -0x3603cd -256 -256 -0 -0 -0 -3 -0x2c7a -0x7ed90fad -256 -256 -1 -0 -0 -2 -0x2682 -0x18a05800 -256 -256 -1 -0 -0 -3 -0x5349 -0x95fb3efc -256 -256 -0 -0 -0 -2 -0x1eab -0x5bc9b8a3 -256 -256 -0 -0 -0 -4 -0x223 -0x18b69e0e -256 -256 -0 -0 -0 -2 -0xe91c -0x8ab0e69a -256 -256 -1 -0 -0 -3 -0x975c -0x266a1492 -256 -256 -0 -0 -0 -2 -0xd5aa -0xab7d3420 -256 -256 -1 -0 -0 -4 -0x4a73 -0x7e4750a5 -256 -256 -0 -0 -0 -2 -0xeabb -0x280d6825 -256 -256 -0 -0 -0 -3 -0xfb26 -0xf50eaf2d -256 -256 -1 -0 -0 -2 -0x2db2 -0x8b93661f -256 -256 -1 -0 -0 -4 -0x51ba -0x64799733 -256 -256 -0 -0 -0 -3 -0x3b44 -0x21cb0353 -256 -256 -1 -0 -0 -4 -0x1749 -0x10d2fdcc -256 -256 -1 -0 -0 -2 -0xe9d8 -0x15ab95db -256 -256 -1 -0 -0 -1 -0x417c -0x15859896 -256 -256 -0 -0 -0 -1 -0x7a86 -0xfe384b7e -256 -256 -0 -0 -0 -4 -0xf402 -0x61317393 -256 -256 -0 -0 -0 -1 -0x5e0c -0xb93129e8 -256 -256 -0 -0 -0 -4 -0x9163 -0xad9febbb -256 -256 -0 -0 -0 -3 -0x61cb -0xd687bc90 -256 -256 -0 -0 -0 -1 -0x3853 -0x97c576d6 -256 -256 -0 -0 -0 -4 -0xc279 -0xe03ddb14 -256 -256 -0 -0 -0 -1 -0x62fa -0x86f25a62 -256 -256 -0 -0 -0 -4 -0x380b -0xda1707d0 -256 -256 -0 -0 -0 -1 -0x7133 -0x83ab9f93 -256 -256 -0 -0 -0 -3 -0x5aa0 -0xe232ca73 -256 -256 -0 -0 -0 -2 -0x1482 -0x360ea034 -256 -256 -1 -0 -0 -3 -0x41a3 -0x33fe09f -256 -256 -1 -0 -0 -3 -0x19ad -0xd638456b -256 -256 -1 -0 -0 -3 -0xf082 -0x1c76c27c -256 -256 -0 -0 -0 -1 -0xea42 -0xbf387d15 -256 -256 -1 -0 -0 -3 -0xcb82 -0x1f5a6d9f -256 -256 -1 -0 -0 -3 -0x436 -0x52220bbc -256 -256 -1 -0 -0 -1 -0xc740 -0x14623d2c -256 -256 -0 -0 -0 -4 -0x9b17 -0x5877a604 -256 -256 -0 -0 -0 -3 -0xea90 -0x20600a29 -256 -256 -0 -0 -0 -4 -0x6f71 -0x9b534997 -256 -256 -0 -0 -0 -1 -0xaaeb -0xf2c1c171 -256 -256 -0 -0 -0 -2 -0x8f53 -0xca497d35 -256 -256 -0 -0 -0 -2 -0x4732 -0x637033f2 -256 -256 -1 -0 -0 -4 -0x17b7 -0xf2bc8f2a -256 -256 -1 -0 -0 -2 -0x11bf -0x4d4f60b7 -256 -256 -1 -0 -0 -4 -0x74ed -0x701cb93f -256 -256 -1 -0 -0 -3 -0xed3 -0x9db41c71 -256 -256 -1 -0 -0 -4 -0x2fe7 -0xe0c2981f -256 -256 -0 -0 -0 -2 -0xbb3 -0x672783b0 -256 -256 -0 -0 -0 -4 -0x4fc9 -0x467bdaf3 -256 -256 -1 -0 -0 -3 -0x6c32 -0x3282410 -256 -256 -0 -0 -0 -3 -0xf73f -0xa569e29e -256 -256 -0 -0 -0 -3 -0x213f -0x6726f743 -256 -256 -1 -0 -0 -2 -0x9efe -0x294561b2 -256 -256 -0 -0 -0 -3 -0x18d9 -0xdd6cd049 -256 -256 -1 -0 -0 -4 -0x206c -0xf1cf4adb -256 -256 -1 -0 -0 -2 -0xbe74 -0x5e6f8da -256 -256 -0 -0 -0 -2 -0x6769 -0xef74c7c0 -256 -256 -1 -0 -0 -3 -0xd888 -0xb8f50a66 -256 -256 -1 -0 -0 -2 -0x8b6d -0x5ac39fcd -256 -256 -0 -0 -0 -3 -0x8ea3 -0x616d8249 -256 -256 -0 -0 -0 -1 -0xc199 -0x3d51a55 -256 -256 -0 -0 -0 -2 -0x9132 -0x2a7dfc03 -256 -256 -1 -0 -0 -3 -0x7ac5 -0x89375eb0 -256 -256 -0 -0 -0 -3 -0x3c34 -0x82f1c5c8 -256 -256 -1 -0 -0 -2 -0x65cf -0x4ba103 -256 -256 -0 -0 -0 -4 -0xdb4a -0x9aaf5218 -256 -256 -0 -0 -0 -2 -0xe2ec -0xba30fad -256 -256 -1 -0 -0 -4 -0xa538 -0x4a440400 -256 -256 -1 -0 -0 -1 -0xd09c -0x28b48e03 -256 -256 -0 -0 -0 -4 -0x96cc -0x9811d5b1 -256 -256 -1 -0 -0 -4 -0x735d -0x7010b9ed -256 -256 -1 -0 -0 -2 -0xfd3f -0x2be6a20f -256 -256 -1 -0 -0 -4 -0xf0e3 -0xd26a8011 -256 -256 -1 -0 -0 -1 -0xc049 -0xda2a657d -256 -256 -0 -0 -0 -2 -0x7130 -0x6a1065e -256 -256 -1 -0 -0 -3 -0x241 -0x50403fb1 -256 -256 -0 -0 -0 -3 -0xbb66 -0xd2093a18 -256 -256 -0 -0 -0 -2 -0xf003 -0x4afb6c7e -256 -256 -0 -0 -0 -1 -0x982b -0xdc4052a -256 -256 -0 -0 -0 -1 -0x9eed -0x8169dcd7 -256 -256 -0 -0 -0 -4 -0x91fc -0x9cbb6cc1 -256 -256 -0 -0 -0 -2 -0xaf63 -0xcef86ce1 -256 -256 -1 -0 -0 -1 -0xef86 -0x76d06355 -256 -256 -0 -0 -0 -1 -0x44fc -0xbbea5f48 -256 -256 -0 -0 -0 -4 -0xc4ca -0xd32f7c6e -256 -256 -1 -0 -0 -1 -0x3962 -0xa81cf6e0 -256 -256 -0 -0 -0 -2 -0xd957 -0xb22b86ee -256 -256 -0 -0 -0 -3 -0x4e03 -0x2e438886 -256 -256 -0 -0 -0 -2 -0x3d5 -0x54ced8fd -256 -256 -0 -0 -0 -1 -0xaf20 -0xea5f8074 -256 -256 -1 -0 -0 -3 -0x7faf -0xb2017b -256 -256 -0 -0 -0 -1 -0x51db -0x3efdc21a -256 -256 -1 -0 -0 -3 -0xfb9a -0xda5474a9 -256 -256 -0 -0 -0 -1 -0x4455 -0x67877713 -256 -256 -1 -0 -0 -3 -0x5630 -0xae10d98a -256 -256 -0 -0 -0 -2 -0x766b -0x2ef8cafa -256 -256 -1 -0 -0 -1 -0xf2ef -0x22eb5323 -256 -256 -1 -0 -0 -3 -0x3237 -0xc702deff -256 -256 -1 -0 -0 -4 -0x77be -0x57e09897 -256 -256 -0 -0 -0 -3 -0x4098 -0x825348c4 -256 -256 -0 -0 -0 -4 -0x836f -0x6dd94e4d -256 -256 -0 -0 -0 -4 -0xaf4e -0x62d9563c -256 -256 -1 -0 -0 -4 -0x550e -0x6a5f2bb8 -256 -256 -0 -0 -0 -4 -0xec27 -0xd19b6deb -256 -256 -1 -0 -0 -2 -0x889b -0x578558fd -256 -256 -0 -0 -0 -2 -0xf90f -0x7ab99bf1 -256 -256 -1 -0 -0 -2 -0x271a -0x4a52816d -256 -256 -0 -0 -0 -4 -0x51b4 -0x5e615df6 -256 -256 -0 -0 -0 -4 -0x489c -0x1bde8da3 -256 -256 -0 -0 -0 -3 -0xcd6c -0x2044da22 -256 -256 -0 -0 -0 -3 -0xa7d4 -0xe9904736 -256 -256 -1 -0 -0 -4 -0xa7ed -0x74069975 -256 -256 -1 -0 -0 -3 -0x7f9a -0xe80f6873 -256 -256 -0 -0 -0 -1 -0xc69 -0xb0e29107 -256 -256 -1 -0 -0 -1 -0x30c3 -0x1ab9c868 -256 -256 -1 -0 -0 -4 -0xe579 -0x42c3f802 -256 -256 -0 -0 -0 -4 -0x65a6 -0xe8052598 -256 -256 -1 -0 -0 -4 -0xde21 -0xd304dc2b -256 -256 -0 -0 -0 -3 -0x2ab5 -0xe6d238db -256 -256 -1 -0 -0 -1 -0x71bb -0x1dc07a7 -256 -256 -0 -0 -0 -4 -0x4574 -0x6b54b71c -256 -256 -0 -0 -0 -4 -0x937b -0x64ffe52 -256 -256 -1 -0 -0 -4 -0x7137 -0xac1dc951 -256 -256 -0 -0 -0 -1 -0x8805 -0xe089a340 -256 -256 -0 -0 -0 -1 -0x9a4e -0x3a339be -256 -256 -1 -0 -0 -2 -0x6ac5 -0x45c97a71 -256 -256 -0 -0 -0 -1 -0xf430 -0x294abfea -256 -256 -1 -0 -0 -3 -0x69f7 -0x81916afa -256 -256 -0 -0 -0 -4 -0xaae -0x9a3cccbb -256 -256 -1 -0 -0 -1 -0x9493 -0xef2007f8 -256 -256 -1 -0 -0 -4 -0x274a -0xfde35c0f -256 -256 -1 -0 -0 -1 -0xcf66 -0x6fa823d7 -256 -256 -1 -0 -0 -3 -0xf1f9 -0xd2ef278d -256 -256 -0 -0 -0 -2 -0x4ed5 -0x92abf237 -256 -256 -1 -0 -0 -1 -0x334d -0xb2e40adb -256 -256 -1 -0 -0 -2 -0x6a2d -0xc5102535 -256 -256 -1 -0 -0 -2 -0x1724 -0x6bbfe14f -256 -256 -0 -0 -0 -4 -0xe6de -0x9579b46b -256 -256 -1 -0 -0 -3 -0x5aae -0xb2882c8f -256 -256 -1 -0 -0 -3 -0x5bf1 -0x1fb2efd3 -256 -256 -0 -0 -0 -1 -0x8ae1 -0xd53b5b5 -256 -256 -1 -0 -0 -1 -0x5c14 -0x4dfd1757 -256 -256 -1 -0 -0 -2 -0x377c -0x5a1889c0 -256 -256 -1 -0 -0 -2 -0xc6c3 -0x6f2581c4 -256 -256 -0 -0 -0 -3 -0x5f80 -0x1df143bf -256 -256 -1 -0 -0 -4 -0x3662 -0x75298b55 -256 -256 -0 -0 -0 -2 -0x1bfd -0xbc21268 -256 -256 -0 -0 -0 -1 -0x80 -0x14721a9b -256 -256 -0 -0 -0 -2 -0x9e2b -0x8f381868 -256 -256 -1 -0 -0 -3 -0x708d -0x6221dc77 -256 -256 -0 -0 -0 -1 -0x61a6 -0x73f9d0ba -256 -256 -0 -0 -0 -1 -0x9150 -0xb1476575 -256 -256 -1 -0 -0 -3 -0xb89f -0xf3c29429 -256 -256 -1 -0 -0 -3 -0x2a2f -0x374153e0 -256 -256 -1 -0 -0 -1 -0xa280 -0x8029eb58 -256 -256 -0 -0 -0 -1 -0x3498 -0xdb2713e6 -256 -256 -0 -0 -0 -3 -0x49ad -0x84875612 -256 -256 -1 -0 -0 -3 -0xf4b8 -0x4712dee0 -256 -256 -0 -0 -0 -4 -0xfb98 -0x5d898bac -256 -256 -0 -0 -0 -3 -0xa925 -0xae0b8281 -256 -256 -0 -0 -0 -4 -0xd154 -0xbdd6eadb -256 -256 -1 -0 -0 -4 -0x967c -0x5717e227 -256 -256 -0 -0 -0 -2 -0xb1fe -0x9a26dbd5 -256 -256 -0 -0 -0 -3 -0x81b5 -0xfd0c6af7 -256 -256 -1 -0 -0 -2 -0x51d1 -0xf64f4249 -256 -256 -0 -0 -0 -3 -0xec72 -0x422c8732 -256 -256 -1 -0 -0 -3 -0xc85f -0xde69f088 -256 -256 -0 -0 -0 -3 -0x4aeb -0xd7a71449 -256 -256 -1 -0 -0 -2 -0x291a -0x20e1614e -256 -256 -0 -0 -0 -2 -0xd401 -0x65de5509 -256 -256 -1 -0 -0 -2 -0x7484 -0xf66f18d0 -256 -256 -1 -0 -0 -3 -0x4d11 -0xc9695f6f -256 -256 -0 -0 -0 -1 -0xbde5 -0x8d1bab18 -256 -256 -0 -0 -0 -3 -0xaaf6 -0xea9dcee2 -256 -256 -1 -0 -0 -3 -0x724b -0x458fdd7d -256 -256 -0 -0 -0 -4 -0xe6d5 -0x75f6ddff -256 -256 -0 -0 -0 -4 -0xfe2b -0xb1fb1bf9 -256 -256 -1 -0 -0 -1 -0x9282 -0xae4168ea -256 -256 -1 -0 -0 -3 -0x1f90 -0xbf39d9e4 -256 -256 -0 -0 -0 -3 -0xf14e -0x9eac2316 -256 -256 -1 -0 -0 -4 -0xde8b -0x956c284f -256 -256 -1 -0 -0 -4 -0x637c -0xc790750a -256 -256 -0 -0 -0 -2 -0xdc9f -0x224c7e9d -256 -256 -1 -0 -0 -3 -0x56c8 -0xf6a120e4 -256 -256 -0 -0 -0 -3 -0xdfca -0x20d18ef1 -256 -256 -1 -0 -0 -1 -0x231f -0x4dc944a6 -256 -256 -0 -0 -0 -2 -0x1d47 -0x95c39623 -256 -256 -1 -0 -0 -4 -0xa4e7 -0xf6b32b23 -256 -256 -0 -0 -0 -3 -0x7d3c -0x17f6c7b7 -256 -256 -1 -0 -0 -4 -0xf7e5 -0xf5b835f -256 -256 -0 -0 -0 -4 -0x520e -0x38227eb -256 -256 -0 -0 -0 -3 -0x74d0 -0x37779424 -256 -256 -0 -0 -0 -2 -0x818e -0xc77ab001 -256 -256 -1 -0 -0 -3 -0xc7ed -0xc3f4ca85 -256 -256 -0 -0 -0 -1 -0x550 -0x641bccca -256 -256 -1 -0 -0 -1 -0xbb5e -0x879e81ac -256 -256 -1 -0 -0 -3 -0x9ff5 -0xad3f206e -256 -256 -0 -0 -0 -1 -0xa2ab -0xa084308b -256 -256 -1 -0 -0 -4 -0x7619 -0x64175df2 -256 -256 -0 -0 -0 -1 -0x9398 -0xe3a5220e -256 -256 -1 -0 -0 -4 -0x57a8 -0xd83afdf7 -256 -256 -0 -0 -0 -4 -0x7a3e -0x41d0f79e -256 -256 -0 -0 -0 -2 -0xbc16 -0xf7ba3bf2 -256 -256 -0 -0 -0 -1 -0xd217 -0x3f22d567 -256 -256 -1 -0 -0 -1 -0x5129 -0xa878dadc -256 -256 -0 -0 -0 -4 -0x5209 -0x3537ff5b -256 -256 -1 -0 -0 -1 -0x1e47 -0xe0f7ff46 -256 -256 -0 -0 -0 -4 -0xd75e -0xa20bc315 -256 -256 -0 -0 -0 -2 -0xf3f -0x5aa44b37 -256 -256 -0 -0 -0 -4 -0x57c7 -0x6160ce0e -256 -256 -1 -0 -0 -2 -0x605e -0xe2054285 -256 -256 -0 -0 -0 -3 -0x1733 -0xded7a517 -256 -256 -0 -0 -0 -2 -0x41e0 -0x21a810f5 -256 -256 -0 -0 -0 -3 -0xa685 -0x85efe128 -256 -256 -1 -0 -0 -1 -0xc4b3 -0x9e5d680f -256 -256 -0 -0 -0 -4 -0x2684 -0x8c957ea -256 -256 -1 -0 -0 -1 -0xe428 -0x2a0f96b1 -256 -256 -1 -0 -0 -1 -0x8689 -0x75627cbf -256 -256 -0 -0 -0 -1 -0xdc62 -0x6bd76167 -256 -256 -1 -0 -0 -2 -0xb2f9 -0xbd605a81 -256 -256 -0 -0 -0 -4 -0x2376 -0xab611507 -256 -256 -0 -0 -0 -3 -0xae48 -0xe99a606 -256 -256 -0 -0 -0 -3 -0x271f -0x1eb5aa77 -256 -256 -1 -0 -0 -3 -0x8123 -0x72e01234 -256 -256 -1 -0 -0 -4 -0x19a7 -0xde61a3dd -256 -256 -1 -0 -0 -2 -0x448b -0x5f901c01 -256 -256 -1 -0 -0 -4 -0x7b0 -0x9a283447 -256 -256 -0 -0 -0 -3 -0xa8d -0x23f32f1b -256 -256 -0 -0 -0 -1 -0xa14e -0x290e6797 -256 -256 -1 -0 -0 -2 -0xe6e5 -0x5311002c -256 -256 -1 -0 -0 -3 -0x8c87 -0x7934db13 -256 -256 -0 -0 -0 -2 -0xc44 -0xf22d1e67 -256 -256 -0 -0 -0 -1 -0x70e8 -0x6e59748 -256 -256 -1 -0 -0 -3 -0x4858 -0x4eee64f -256 -256 -1 -0 -0 -4 -0x4e58 -0x96b7886f -256 -256 -0 -0 -0 -2 -0xe3b7 -0x6ac36c95 -256 -256 -1 -0 -0 -4 -0xe919 -0xbe440f0b -256 -256 -1 -0 -0 -1 -0x3680 -0x3ba908c9 -256 -256 -0 -0 -0 -4 -0xe811 -0xdb9e5299 -256 -256 -1 -0 -0 -1 -0xaf4e -0x3f5ca421 -256 -256 -1 -0 -0 -2 -0x52ed -0xd3986ec1 -256 -256 -0 -0 -0 -3 -0x986c -0x576a1c02 -256 -256 -0 -0 -0 -2 -0x1fcb -0x4770a4be -256 -256 -0 -0 -0 -3 -0xe2e6 -0x83775d9d -256 -256 -1 -0 -0 -2 -0x61a9 -0x2f2b9e11 -256 -256 -1 -0 -0 -2 -0x1c43 -0xe1d27869 -256 -256 -0 -0 -0 -2 -0xcd32 -0xd54e727b -256 -256 -1 -0 -0 -3 -0x5b60 -0x44b9f1f8 -256 -256 -1 -0 -0 -3 -0x7347 -0x1c6fdca8 -256 -256 -0 -0 -0 -4 -0x4156 -0x412c05bd -256 -256 -0 -0 -0 -2 -0xe7a0 -0xd38897ca -256 -256 -1 -0 -0 -1 -0xb6fb -0xa25d47ca -256 -256 -0 -0 -0 -2 -0x111e -0x71aaa15a -256 -256 -0 -0 -0 -2 -0x23e -0x32213557 -256 -256 -0 -0 -0 -3 -0x7991 -0x2660b394 -256 -256 -1 -0 -0 -1 -0x6b9e -0xfba90453 -256 -256 -1 -0 -0 -2 -0xceb2 -0x46abe02c -256 -256 -0 -0 -0 -4 -0x780b -0x183b63b -256 -256 -0 -0 -0 -3 -0x4c7c -0x39125fb0 -256 -256 -1 -0 -0 -4 -0xd4ac -0xe20af36f -256 -256 -1 -0 -0 -4 -0x69c5 -0x74def74d -256 -256 -1 -0 -0 -4 -0x22fc -0x786c71cd -256 -256 -1 -0 -0 -3 -0x6e92 -0xd6818b69 -256 -256 -1 -0 -0 -3 -0xe9ea -0x82f6916a -256 -256 -1 -0 -0 -2 -0x8f74 -0x2dadc487 -256 -256 -1 -0 -0 -3 -0x3f22 -0x5189889a -256 -256 -0 -0 -0 -2 -0x43b0 -0x85ffae92 -256 -256 -1 -0 -0 -4 -0x9964 -0x22a0e42b -256 -256 -1 -0 -0 -2 -0x6873 -0xf296a9e6 -256 -256 -1 -0 -0 -3 -0x53c2 -0x6fc9554a -256 -256 -0 -0 -0 -4 -0xf242 -0xc4648910 -256 -256 -0 -0 -0 -2 -0x94b8 -0xb78a7e65 -256 -256 -0 -0 -0 -2 -0x70b0 -0x78626fc5 -256 -256 -1 -0 -0 -4 -0xc9a0 -0x6080887c -256 -256 -0 -0 -0 -2 -0x9073 -0x5507beac -256 -256 -1 -0 -0 -4 -0xe83a -0x3627c663 -256 -256 -0 -0 -0 -2 -0xcf85 -0x30b4bcfa -256 -256 -1 -0 -0 -2 -0xec7d -0x937a038b -256 -256 -1 -0 -0 -4 -0x4fc4 -0xa38c3384 -256 -256 -1 -0 -0 -3 -0x1b65 -0xd19f94d4 -256 -256 -1 -0 -0 -4 -0x1619 -0x262e2007 -256 -256 -1 -0 -0 -3 -0x44a2 -0x22cbe2de -256 -256 -0 -0 -0 -4 -0xa6f1 -0xa9283bd0 -256 -256 -1 -0 -0 -2 -0xdcc4 -0x3388046b -256 -256 -0 -0 -0 -3 -0xa6c7 -0x3eb3ca98 -256 -256 -1 -0 -0 -2 -0x5006 -0xa70715fe -256 -256 -1 -0 -0 -2 -0x1445 -0xb7be1ca8 -256 -256 -1 -0 -0 -1 -0x9723 -0x855a0a59 -256 -256 -0 -0 -0 -3 -0xcf12 -0x467828c3 -256 -256 -1 -0 -0 -1 -0x6f8e -0x183706ae -256 -256 -1 -0 -0 -1 -0xe8a3 -0x32498988 -256 -256 -1 -0 -0 -2 -0x3e27 -0xbe3019bb -256 -256 -0 -0 -0 -3 -0xc647 -0x8d9169a6 -256 -256 -1 -0 -0 -3 -0x1760 -0x3e5034f2 -256 -256 -1 -0 -0 -2 -0x8594 -0xa57267d6 -256 -256 -0 -0 -0 -3 -0x8e4c -0xb918e271 -256 -256 -1 -0 -0 -4 -0x8a8f -0xb5b4a66 -256 -256 -0 -0 -0 -2 -0x4f92 -0x353147ec -256 -256 -1 -0 -0 -3 -0x4c23 -0x9e5f5ba6 -256 -256 -0 -0 -0 -1 -0xc4e8 -0xebc63718 -256 -256 -0 -0 -0 -2 -0x8c05 -0x7bf26a0c -256 -256 -0 -0 -0 -2 -0xef9c -0x94485dca -256 -256 -1 -0 -0 -3 -0x51e0 -0x19334416 -256 -256 -1 -0 -0 -4 -0x2690 -0x5976411e -256 -256 -0 -0 -0 -4 -0xa6e8 -0x3460e287 -256 -256 -1 -0 -0 -4 -0x25bb -0x117a9076 -256 -256 -0 -0 -0 -3 -0x646b -0xb500d644 -256 -256 -1 -0 -0 -3 -0xfa78 -0xd1e12634 -256 -256 -1 -0 -0 -3 -0x7128 -0xe1d1f546 -256 -256 -0 -0 -0 -2 -0x51f1 -0x30cdc3d -256 -256 -1 -0 -0 -3 -0x8ceb -0x2c371549 -256 -256 -1 -0 -0 -3 -0x40cd -0x9251efe5 -256 -256 -1 -0 -0 -1 -0x28ce -0x7229530e -256 -256 -0 -0 -0 -3 -0x7f8c -0x309104e -256 -256 -0 -0 -0 -1 -0x6a9a -0x106f174 -256 -256 -0 -0 -0 -1 -0x5b2b -0xfe23b02d -256 -256 -1 -0 -0 -1 -0x337c -0x61e1e2a8 -256 -256 -0 -0 -0 -4 -0xe4f5 -0xad933a8c -256 -256 -0 -0 -0 -1 -0xde4d -0xe7451177 -256 -256 -0 -0 -0 -3 -0x6c8d -0x155b937a -256 -256 -1 -0 -0 -4 -0xabc -0x6f47f164 -256 -256 -1 -0 -0 -1 -0x8bb4 -0xae299ba0 -256 -256 -0 -0 -0 -3 -0x2cef -0xaa829946 -256 -256 -0 -0 -0 -3 -0x607a -0x2e985bb6 -256 -256 -0 -0 -0 -1 -0xc0f8 -0xe7aeec8c -256 -256 -0 -0 -0 -2 -0xd290 -0xc918f240 -256 -256 -0 -0 -0 -4 -0x6df0 -0xf413b154 -256 -256 -1 -0 -0 -3 -0x29e4 -0xec76ec14 -256 -256 -0 -0 -0 -3 -0x9e5e -0xe7a70eb2 -256 -256 -0 -0 -0 -4 -0x9a6b -0x20b8232 -256 -256 -0 -0 -0 -4 -0x483 -0x1d859470 -256 -256 -1 -0 -0 -4 -0x88be -0xab581af5 -256 -256 -1 -0 -0 -3 -0x7b0e -0x768e0446 -256 -256 -1 -0 -0 -2 -0xdb43 -0xc7ba72a9 -256 -256 -1 -0 -0 -3 -0x59f1 -0x3298468a -256 -256 -0 -0 -0 -3 -0x825b -0x6f9fbb12 -256 -256 -1 -0 -0 -1 -0xe544 -0x409517e5 -256 -256 -0 -0 -0 -2 -0x39d8 -0xb3f8c604 -256 -256 -1 -0 -0 -3 -0x3b4b -0x5b7c521e -256 -256 -1 -0 -0 -2 -0xd13 -0xbbc4824d -256 -256 -1 -0 -0 -2 -0xce1f -0xea89b786 -256 -256 -0 -0 -0 -2 -0xe370 -0xda6d74cf -256 -256 -0 -0 -0 -3 -0xa906 -0x9820d96a -256 -256 -0 -0 -0 -3 -0xb667 -0xc090f0cf -256 -256 -0 -0 -0 -1 -0xae26 -0x5c9a3c88 -256 -256 -0 -0 -0 -3 -0x1224 -0x5484309f -256 -256 -0 -0 -0 -4 -0xf28f -0x25398d56 -256 -256 -0 -0 -0 -2 -0xd963 -0xa6f8976f -256 -256 -1 -0 -0 -1 -0x4fc5 -0x8f71383d -256 -256 -1 -0 -0 -1 -0x2946 -0xe594c12 -256 -256 -0 -0 -0 -2 -0x293c -0x4e9674d5 -256 -256 -0 -0 -0 -2 -0xfe69 -0x68ebd847 -256 -256 -0 -0 -0 -2 -0xbab5 -0xfbd8e5cc -256 -256 -1 -0 -0 -4 -0xdb60 -0x118052c9 -256 -256 -1 -0 -0 -2 -0x70f9 -0xd5cbb985 -256 -256 -1 -0 -0 -2 -0x82ec -0xbcb9b6f3 -256 -256 -1 -0 -0 -1 -0x42e6 -0xaf9396de -256 -256 -1 -0 -0 -2 -0x32d9 -0xce7fb974 -256 -256 -0 -0 -0 -2 -0x735 -0x7ae7c59f -256 -256 -1 -0 -0 -1 -0xfed7 -0xd502b1b5 -256 -256 -0 -0 -0 -4 -0xdee5 -0x5a38cc99 -256 -256 -0 -0 -0 -3 -0xc9ac -0x38b1ccc -256 -256 -1 -0 -0 -3 -0xd900 -0x421354f4 -256 -256 -1 -0 -0 -4 -0x4c4a -0xec386452 -256 -256 -0 -0 -0 -4 -0xad57 -0x1d2ac682 -256 -256 -0 -0 -0 -2 -0xced8 -0x2930fb5b -256 -256 -1 -0 -0 -3 -0x6e27 -0x3924989f -256 -256 -0 -0 -0 -4 -0xb7be -0xac593019 -256 -256 -0 -0 -0 -1 -0x6a9a -0xc611e09d -256 -256 -1 -0 -0 -4 -0xaaa2 -0xd570f903 -256 -256 -0 -0 -0 -2 -0xbc1e -0xe370fc13 -256 -256 -1 -0 -0 -3 -0xb9e3 -0x5525a638 -256 -256 -0 -0 -0 -2 -0xaa2e -0xf385dd4b -256 -256 -1 -0 -0 -2 -0x81e0 -0xa4cdfb9b -256 -256 -0 -0 -0 -1 -0xbf95 -0x51d64d7b -256 -256 -1 -0 -0 -4 -0xaa35 -0xfa3a5b2b -256 -256 -1 -0 -0 -3 -0x59e6 -0xef38b1b8 -256 -256 -1 -0 -0 -4 -0xa17c -0x5f66b6e6 -256 -256 -1 -0 -0 -4 -0xea -0x30506515 -256 -256 -1 -0 -0 -1 -0xaff3 -0xa682dd06 -256 -256 -1 -0 -0 -4 -0x1f60 -0x58eb2409 -256 -256 -0 -0 -0 -1 -0xdc35 -0x5755a624 -256 -256 -1 -0 -0 -3 -0xa0c -0x493878b2 -256 -256 -0 -0 -0 -4 -0xf3c6 -0xa0973ff5 -256 -256 -0 -0 -0 -1 -0x9a65 -0x92d79b47 -256 -256 -1 -0 -0 -2 -0xb76a -0x541d57fb -256 -256 -1 -0 -0 -3 -0xbd46 -0xab64bffd -256 -256 -1 -0 -0 -1 -0x574c -0x56ea51a9 -256 -256 -1 -0 -0 -3 -0x7bb1 -0xfab469a0 -256 -256 -1 -0 -0 -3 -0xc7d3 -0x166202dc -256 -256 -0 -0 -0 -2 -0x7214 -0x7eb1f54c -256 -256 -0 -0 -0 -2 -0x714e -0xc9f7f674 -256 -256 -0 -0 -0 -2 -0xf3b6 -0x446ba560 -256 -256 -1 -0 -0 -4 -0x3aa5 -0x471f8dab -256 -256 -0 -0 -0 -1 -0x5084 -0x7161534a -256 -256 -1 -0 -0 -1 -0x682c -0xfada44ad -256 -256 -0 -0 -0 -2 -0xcf83 -0x799c0e5b -256 -256 -0 -0 -0 -4 -0x710b -0x70013f13 -256 -256 -0 -0 -0 -4 -0x45d1 -0xfbf827ba -256 -256 -1 -0 -0 -1 -0x8774 -0x91ca8af0 -256 -256 -1 -0 -0 -1 -0x1f2a -0xecdffcaa -256 -256 -1 -0 -0 -4 -0xf0f1 -0x50ee0edc -256 -256 -0 -0 -0 -2 -0x3048 -0x746b10ae -256 -256 -1 -0 -0 -1 -0x892c -0x63ba0142 -256 -256 -0 -0 -0 -1 -0x7ad1 -0x222fe97b -256 -256 -1 -0 -0 -4 -0xaa41 -0x5c1f18ff -256 -256 -1 -0 -0 -2 -0xb9a8 -0x8ed3b567 -256 -256 -1 -0 -0 -2 -0x3308 -0x80176ed9 -256 -256 -1 -0 -0 -2 -0xa1e0 -0xba8675cc -256 -256 -0 -0 -0 -2 -0xc008 -0x565828a4 -256 -256 -1 -0 -0 -3 -0xcd78 -0x4a1fbb6a -256 -256 -0 -0 -0 -2 -0xd5ac -0xa1b3c03e -256 -256 -0 -0 -0 -3 -0x57e0 -0xc84509b1 -256 -256 -0 -0 -0 -2 -0x9194 -0x334dc989 -256 -256 -0 -0 -0 -3 -0xa43f -0x5a273f4c -256 -256 -1 -0 -0 -2 -0xbe17 -0x58e52537 -256 -256 -1 -0 -0 -3 -0x120a -0x63be85b7 -256 -256 -0 -0 -0 -2 -0xd2c5 -0x9d8cccd4 -256 -256 -0 -0 -0 -1 -0xf44c -0x1daed7f7 -256 -256 -1 -0 -0 -3 -0x79f7 -0x637d38a9 -256 -256 -0 -0 -0 -3 -0x3276 -0x37a36756 -256 -256 -1 -0 -0 -2 -0xa81c -0x4bf07985 -256 -256 -0 -0 -0 -4 -0xf362 -0x989a3365 -256 -256 -0 -0 -0 -2 -0xcb40 -0x803ffccc -256 -256 -1 -0 -0 -2 -0x3dc8 -0xa68ed16c -256 -256 -0 -0 -0 -3 -0x4d33 -0xfc5d6498 -256 -256 -1 -0 -0 -3 -0x82d0 -0x5e3b6efa -256 -256 -1 -0 -0 -1 -0x8763 -0x4388486d -256 -256 -1 -0 -0 -4 -0xe9b8 -0xc1aae47c -256 -256 -1 -0 -0 -3 -0x280c -0xe85aea42 -256 -256 -1 -0 -0 -3 -0x27ad -0x9039d644 -256 -256 -0 -0 -0 -1 -0xf354 -0x5d12a75a -256 -256 -0 -0 -0 -4 -0x1a40 -0x202c01f1 -256 -256 -1 -0 -0 -3 -0xb93a -0xba9a0fe2 -256 -256 -1 -0 -0 -3 -0x3828 -0x415c5c9 -256 -256 -0 -0 -0 -3 -0x3331 -0x2e9dd71a -256 -256 -0 -0 -0 -1 -0xb62 -0xf30ae6a4 -256 -256 -0 -0 -0 -3 -0x647d -0x73d88160 -256 -256 -1 -0 -0 -3 -0x7a54 -0xf9d7c393 -256 -256 -1 -0 -0 -1 -0xa794 -0x8e55aefb -256 -256 -0 -0 -0 -2 -0xc7b2 -0x1af1bc74 -256 -256 -0 -0 -0 -1 -0x4a44 -0x584f4fa8 -256 -256 -1 -0 -0 -4 -0x4866 -0xc3f1bed8 -256 -256 -1 -0 -0 -4 -0x6cef -0x8f231466 -256 -256 -0 -0 -0 -4 -0x405b -0xa805af11 -256 -256 -0 -0 -0 -3 -0x3b39 -0x2de9a971 -256 -256 -1 -0 -0 -4 -0x46a6 -0x688c4fe4 -256 -256 -1 -0 -0 -1 -0x64d3 -0x505865fe -256 -256 -0 -0 -0 -3 -0xdf91 -0xa3093fef -256 -256 -0 -0 -0 -3 -0x5d4f -0xe822f27f -256 -256 -0 -0 -0 -2 -0x4794 -0x2accc193 -256 -256 -0 -0 -0 -1 -0x1fb6 -0xee634a4c -256 -256 -1 -0 -0 -1 -0x613 -0x4f0f26d6 -256 -256 -1 -0 -0 -2 -0xf13c -0xc87ab678 -256 -256 -1 -0 -0 -4 -0x4985 -0x43b2d549 -256 -256 -0 -0 -0 -3 -0xbb96 -0x10f034b0 -256 -256 -0 -0 -0 -1 -0x521 -0xa5f6facc -256 -256 -0 -0 -0 -4 -0x9629 -0x5f267042 -256 -256 -0 -0 -0 -3 -0x4ae0 -0xeda847b0 -256 -256 -1 -0 -0 -2 -0x5d8d -0xa2327df8 -256 -256 -1 -0 -0 -1 -0xb93e -0x46cbe35e -256 -256 -1 -0 -0 -1 -0x84a -0x9f4acfdc -256 -256 -1 -0 -0 -3 -0x39ce -0xd44fbf83 -256 -256 -1 -0 -0 -3 -0x481d -0xfebc2b73 -256 -256 -0 -0 -0 -1 -0x2ad1 -0xb9ccd2d8 -256 -256 -0 -0 -0 -1 -0xdb4d -0x3303f6fe -256 -256 -0 -0 -0 -2 -0x22a4 -0xc3bb56ae -256 -256 -0 -0 -0 -1 -0xe0f4 -0xc4e8f3ba -256 -256 -0 -0 -0 -1 -0xccb -0x76680cc3 -256 -256 -0 -0 -0 -4 -0xc93d -0xdd9d56cc -256 -256 -1 -0 -0 -4 -0x42ab -0x4c9bcb3f -256 -256 -0 -0 -0 -2 -0xdab2 -0x372ef532 -256 -256 -0 -0 -0 -3 -0xaf33 -0xdee7a25 -256 -256 -0 -0 -0 -2 -0xc4a1 -0x6435607c -256 -256 -0 -0 -0 -1 -0xdc44 -0xc4c576e3 -256 -256 -0 -0 -0 -1 -0xbab0 -0x189015cc -256 -256 -0 -0 -0 -3 -0x7115 -0xa055d7cf -256 -256 -1 -0 -0 -3 -0xf8f2 -0x22ab50b4 -256 -256 -0 -0 -0 -4 -0x5879 -0x27e06db0 -256 -256 -0 -0 -0 -1 -0xf7c -0x48ee4cb2 -256 -256 -1 -0 -0 -4 -0xf0ed -0x9d4d05da -256 -256 -0 -0 -0 -3 -0x6711 -0xed0a58a4 -256 -256 -1 -0 -0 -4 -0x57c6 -0xd7bad246 -256 -256 -0 -0 -0 -3 -0xab96 -0x72997e88 -256 -256 -1 -0 -0 -1 -0x27d -0x8dfdc814 -256 -256 -1 -0 -0 -1 -0xb968 -0x581b064f -256 -256 -0 -0 -0 -2 -0x3738 -0x8dcbd155 -256 -256 -1 -0 -0 -4 -0x17d1 -0xf9794382 -256 -256 -0 -0 -0 -3 -0xd007 -0xd7863e93 -256 -256 -1 -0 -0 -1 -0xb7b1 -0x81b82490 -256 -256 -0 -0 -0 -4 -0x84cd -0x946a7e73 -256 -256 -0 -0 -0 -4 -0xaac1 -0x49d5ba02 -256 -256 -1 -0 -0 -1 -0x78a7 -0x49348797 -256 -256 -1 -0 -0 -1 -0x3e19 -0xbefbaa4a -256 -256 -0 -0 -0 -4 -0x6974 -0xaacc2ce5 -256 -256 -1 -0 -0 -2 -0x7652 -0xc077bcc9 -256 -256 -0 -0 -0 -4 -0x42a3 -0xaa42534a -256 -256 -0 -0 -0 -1 -0xdb6b -0x999433f3 -256 -256 -1 -0 -0 -4 -0xd3 -0x7fd9955b -256 -256 -1 -0 -0 -4 -0x5480 -0x2aaba009 -256 -256 -0 -0 -0 -1 -0xd127 -0x7315e73f -256 -256 -0 -0 -0 -2 -0x7acf -0xa04f69d5 -256 -256 -1 -0 -0 -2 -0x2b13 -0xf9552067 -256 -256 -0 -0 -0 -3 -0x45e0 -0xd346845e -256 -256 -0 -0 -0 -3 -0x8ec0 -0xb16ce837 -256 -256 -0 -0 -0 -2 -0x9bad -0x53752312 -256 -256 -1 -0 -0 -4 -0x96a6 -0xb23839cd -256 -256 -1 -0 -0 -1 -0xe43a -0xed133b21 -256 -256 -1 -0 -0 -3 -0x790b -0x8a059fe8 -256 -256 -1 -0 -0 -4 -0xef81 -0xccfb9f87 -256 -256 -0 -0 -0 -2 -0xc1f6 -0x77f2d858 -256 -256 -0 -0 -0 -3 -0x3ce8 -0x2eb4dca5 -256 -256 -0 -0 -0 -3 -0xe333 -0xe1e2dc66 -256 -256 -0 -0 -0 -3 -0x7046 -0x3991dd5b -256 -256 -1 -0 -0 -2 -0xf7df -0x9482295c -256 -256 -0 -0 -0 -2 -0x61e -0xe76f4859 -256 -256 -1 -0 -0 -3 -0x8b5f -0xc39ee897 -256 -256 -0 -0 -0 -4 -0xabfd -0xec573393 -256 -256 -0 -0 -0 -4 -0xbdd -0x5f87e125 -256 -256 -1 -0 -0 -4 -0x640c -0x371d25de -256 -256 -0 -0 -0 -2 -0x64fe -0xa95084eb -256 -256 -1 -0 -0 -2 -0xad74 -0x335875e3 -256 -256 -1 -0 -0 -2 -0x1ca2 -0x4b3db956 -256 -256 -1 -0 -0 -2 -0xf5d1 -0x6d80c9c0 -256 -256 -1 -0 -0 -2 -0x49c -0xeb660096 -256 -256 -1 -0 -0 -2 -0xaccc -0xce05e284 -256 -256 -0 -0 -0 -2 -0xc734 -0xdc9cb550 -256 -256 -1 -0 -0 -4 -0x6f55 -0xe8189469 -256 -256 -0 -0 -0 -2 -0x6421 -0x28483217 -256 -256 -0 -0 -0 -3 -0xaf05 -0x182fb775 -256 -256 -1 -0 -0 -1 -0x5c8f -0x842ec728 -256 -256 -0 -0 -0 -3 -0x8725 -0xa90e412c -256 -256 -0 -0 -0 -2 -0x929a -0x13a83ffb -256 -256 -1 -0 -0 -3 -0x1361 -0x778035c0 -256 -256 -0 -0 -0 -1 -0xfd0 -0xf68fca50 -256 -256 -1 -0 -0 -4 -0x1b90 -0x6d72ba3c -256 -256 -1 -0 -0 -1 -0x44b2 -0x96496b75 -256 -256 -0 -0 -0 -3 -0x6284 -0x9cd21c25 -256 -256 -1 -0 -0 -2 -0xe8ad -0x15c69db2 -256 -256 -0 -0 -0 -3 -0x9fee -0xf5d314a8 -256 -256 -0 -0 -0 -2 -0x6c14 -0x51c84f31 -256 -256 -0 -0 -0 -1 -0xd737 -0x54e97ca7 -256 -256 -0 -0 -0 -3 -0x8fcf -0x671e9593 -256 -256 -1 -0 -0 -2 -0x8b39 -0x5114f6f -256 -256 -1 -0 -0 -1 -0x1696 -0x53f830a1 -256 -256 -1 -0 -0 -4 -0xbb3a -0xf49f5c8a -256 -256 -0 -0 -0 -4 -0x8947 -0xef51de31 -256 -256 -1 -0 -0 -2 -0xd702 -0xbdc11f8a -256 -256 -1 -0 -0 -2 -0xf0d5 -0x247abd53 -256 -256 -1 -0 -0 -4 -0xb40d -0x78080f13 -256 -256 -1 -0 -0 -3 -0x3918 -0x1eac5d58 -256 -256 -1 -0 -0 -3 -0xdb3c -0x7b9a05bf -256 -256 -1 -0 -0 -2 -0x3acf -0xfb771343 -256 -256 -1 -0 -0 -2 -0x4fb9 -0x6702ac89 -256 -256 -0 -0 -0 -2 -0xe1eb -0xabdf1ef1 -256 -256 -0 -0 -0 -3 -0x3ab1 -0x5ed433da -256 -256 -0 -0 -0 -1 -0x4410 -0x2afa7bb2 -256 -256 -0 -0 -0 -2 -0xf89d -0xb36279d5 -256 -256 -1 -0 -0 -4 -0x1d62 -0x491e6a1c -256 -256 -1 -0 -0 -1 -0x31ad -0xe8674a86 -256 -256 -1 -0 -0 -3 -0x8e12 -0x75fca467 -256 -256 -1 -0 -0 -4 -0x2fba -0xd504bab6 -256 -256 -0 -0 -0 -3 -0x509 -0x91730f34 -256 -256 -1 -0 -0 -1 -0xa614 -0x1a81e7b9 -256 -256 -0 -0 -0 -3 -0xd61f -0x4e104ece -256 -256 -1 -0 -0 -2 -0xdea -0xd26d464a -256 -256 -1 -0 -0 -2 -0xb5e2 -0xcfcf75ab -256 -256 -1 -0 -0 -1 -0xaa83 -0xe1b11c4c -256 -256 -1 -0 -0 -4 -0xf348 -0x34c8db59 -256 -256 -1 -0 -0 -3 -0x63f -0xbad309c9 -256 -256 -1 -0 -0 -2 -0xd5fb -0xadbe4bea -256 -256 -1 -0 -0 -1 -0xd8a9 -0xd92c088 -256 -256 -0 -0 -0 -4 -0xed82 -0xa8456b6 -256 -256 -1 -0 -0 -1 -0x181a -0xd7beaa73 -256 -256 -0 -0 -0 -3 -0xb19d -0xe469f742 -256 -256 -1 -0 -0 -1 -0xc7d8 -0xbc2efa57 -256 -256 -1 -0 -0 -4 -0xd35a -0x24712a30 -256 -256 -1 -0 -0 -2 -0x5c44 -0x32bfe93 -256 -256 -1 -0 -0 -2 -0xf103 -0x849316a4 -256 -256 -1 -0 -0 -4 -0x5001 -0x84b3fd2e -256 -256 -1 -0 -0 -2 -0x7a3d -0x8005d48f -256 -256 -1 -0 -0 -4 -0x4eac -0x67412539 -256 -256 -0 -0 -0 -3 -0x92d6 -0xdfbd2d27 -256 -256 -1 -0 -0 -1 -0x6c27 -0x1365d77a -256 -256 -0 -0 -0 -2 -0x203e -0xf0d5e888 -256 -256 -1 -0 -0 -2 -0xb984 -0xd42c484b -256 -256 -0 -0 -0 -2 -0x8837 -0x50bc073 -256 -256 -1 -0 -0 -1 -0xd420 -0x754e9d93 -256 -256 -1 -0 -0 -1 -0x5e0f -0x9391f3cb -256 -256 -0 -0 -0 -1 -0xd94c -0xcff0d7b9 -256 -256 -1 -0 -0 -4 -0x42fb -0x1c5f09d9 -256 -256 -1 -0 -0 -2 -0xa32d -0x3440e857 -256 -256 -0 -0 -0 -1 -0xea29 -0x1dd6f112 -256 -256 -1 -0 -0 -2 -0x1996 -0x7ea17352 -256 -256 -1 -0 -0 -4 -0xaa5f -0xf30acc66 -256 -256 -0 -0 -0 -1 -0xbb71 -0x5ba4374f -256 -256 -0 -0 -0 -3 -0xacbe -0x89182472 -256 -256 -1 -0 -0 -3 -0xe50e -0xf055b68d -256 -256 -1 -0 -0 -4 -0xfa6a -0xe2a8b0a -256 -256 -1 -0 -0 -3 -0xfc1a -0x85453e7d -256 -256 -0 -0 -0 -2 -0x80a7 -0xf13ffaec -256 -256 -1 -0 -0 -2 -0x98b7 -0x91ee859e -256 -256 -1 -0 -0 -3 -0x6cab -0x159f8b44 -256 -256 -0 -0 -0 -1 -0x900c -0xc93b746f -256 -256 -0 -0 -0 -3 -0xc7c3 -0x8ce530ca -256 -256 -0 -0 -0 -1 -0xd65c -0x4f58c0f5 -256 -256 -0 -0 -0 -4 -0x92b8 -0xd291ffbc -256 -256 -1 -0 -0 -2 -0xd4a2 -0x85551be5 -256 -256 -0 -0 -0 -3 -0x167a -0xcc0dfda2 -256 -256 -0 -0 -0 -1 -0xe581 -0xc05e4da -256 -256 -0 -0 -0 -3 -0x96c2 -0xd3de86ee -256 -256 -0 -0 -0 -4 -0x3f75 -0xf7e3e365 -256 -256 -1 -0 -0 -1 -0xa711 -0x3d0b0bef -256 -256 -1 -0 -0 -4 -0xbab -0xb2ba872e -256 -256 -1 -0 -0 -3 -0xc20f -0xd7bfecf7 -256 -256 -0 -0 -0 -1 -0x4fcf -0xbcb2731c -256 -256 -1 -0 -0 -4 -0x955c -0xa6cc90af -256 -256 -0 -0 -0 -1 -0x8e49 -0xf3ae2a57 -256 -256 -1 -0 -0 -4 -0x6b52 -0x832856d3 -256 -256 -1 -0 -0 -4 -0x3e74 -0xd8e472b7 -256 -256 -1 -0 -0 -2 -0x4c9c -0xf13dddf2 -256 -256 -1 -0 -0 -4 -0x15b5 -0xe558bb2a -256 -256 -1 -0 -0 -1 -0x8f69 -0x43ee7637 -256 -256 -0 -0 -0 -1 -0x6da5 -0xf7f73266 -256 -256 -1 -0 -0 -3 -0x3c31 -0x8b01abc8 -256 -256 -0 -0 -0 -2 -0xaa1a -0xa6f7e8f8 -256 -256 -1 -0 -0 -1 -0x4697 -0x6d01402 -256 -256 -1 -0 -0 -4 -0x5cdd -0x3b15dcd7 -256 -256 -1 -0 -0 -2 -0xb493 -0x2a75f099 -256 -256 -1 -0 -0 -4 -0x6093 -0xa7b91932 -256 -256 -0 -0 -0 -1 -0x499 -0xcbd77325 -256 -256 -1 -0 -0 -1 -0x836a -0x3a1f74 -256 -256 -1 -0 -0 -3 -0xfcb4 -0x90c4a14f -256 -256 -0 -0 -0 -3 -0x35c1 -0xc2f59e21 -256 -256 -0 -0 -0 -3 -0x53c0 -0xb424c105 -256 -256 -1 -0 -0 -4 -0xdf93 -0x9abda821 -256 -256 -0 -0 -0 -4 -0x861f -0x94a33d88 -256 -256 -0 -0 -0 -4 -0xbb62 -0x5b7356e5 -256 -256 -1 -0 -0 -3 -0x9131 -0x8bfae786 -256 -256 -1 -0 -0 -2 -0xa108 -0x52ab28fc -256 -256 -1 -0 -0 -3 -0x4c18 -0xfcd4de5b -256 -256 -0 -0 -0 -1 -0xfbb1 -0xb44608a0 -256 -256 -1 -0 -0 -2 -0xa1cf -0x3993e9f7 -256 -256 -0 -0 -0 -4 -0xd779 -0xdb49edba -256 -256 -0 -0 -0 -3 -0x3ae0 -0x8417c97 -256 -256 -0 -0 -0 -3 -0x8db -0x69838578 -256 -256 -1 -0 -0 -3 -0x68f8 -0x58a3286c -256 -256 -1 -0 -0 -2 -0x7300 -0x18d586e6 -256 -256 -1 -0 -0 -2 -0xed03 -0x91f6b866 -256 -256 -0 -0 -0 -4 -0xe21c -0x85e16d44 -256 -256 -1 -0 -0 -4 -0xaa21 -0x2818f67d -256 -256 -1 -0 -0 -3 -0x292e -0x6eec1179 -256 -256 -1 -0 -0 -4 -0xe010 -0x361ea30 -256 -256 -1 -0 -0 -3 -0x6bae -0xefcf8b49 -256 -256 -1 -0 -0 -4 -0x1b23 -0x9344e49c -256 -256 -0 -0 -0 -3 -0x9cec -0x96b27fe9 -256 -256 -0 -0 -0 -3 -0x69bd -0xbf3fb485 -256 -256 -0 -0 -0 -2 -0x26d -0x86e0a849 -256 -256 -1 -0 -0 -3 -0x54d8 -0xedbfd420 -256 -256 -0 -0 -0 -3 -0xce9f -0x97d8c459 -256 -256 -1 -0 -0 -1 -0xf709 -0xf79d01dc -256 -256 -1 -0 -0 -2 -0x48ad -0x2aab3e5f -256 -256 -0 -0 -0 -1 -0xe28c -0x2bec5dcb -256 -256 -1 -0 -0 -2 -0x8ba8 -0xb2115f0b -256 -256 -1 -0 -0 -3 -0xa8b1 -0x51148bd7 -256 -256 -0 -0 -0 -3 -0x1cd7 -0x774de8eb -256 -256 -1 -0 -0 -1 -0x435b -0xe3ab8afd -256 -256 -1 -0 -0 -1 -0x71a1 -0x62ad4093 -256 -256 -1 -0 -0 -3 -0x7822 -0xacb94bbf -256 -256 -0 -0 -0 -4 -0x6e9 -0xd6ee94ef -256 -256 -1 -0 -0 -2 -0x7ffb -0xa692246f -256 -256 -1 -0 -0 -3 -0x4ea8 -0x526b934c -256 -256 -1 -0 -0 -3 -0x1ee7 -0x82ee739d -256 -256 -1 -0 -0 -4 -0xa738 -0xbf827d31 -256 -256 -1 -0 -0 -1 -0x1bec -0xb40c68b5 -256 -256 -1 -0 -0 -1 -0x1939 -0x12457a5b -256 -256 -1 -0 -0 -1 -0x9c6b -0x11ce3e98 -256 -256 -0 -0 -0 -3 -0x930 -0x857982d6 -256 -256 -1 -0 -0 -3 -0x9eaf -0xf6b85e78 -256 -256 -1 -0 -0 -3 -0xc874 -0x6453afaa -256 -256 -1 -0 -0 -2 -0xf665 -0x5574e288 -256 -256 -1 -0 -0 -1 -0x7199 -0x97accd3f -256 -256 -1 -0 -0 -2 -0xab4d -0xd79de4da -256 -256 -0 -0 -0 -2 -0x70ea -0x5185415c -256 -256 -0 -0 -0 -3 -0x30cc -0x9b5ae598 -256 -256 -0 -0 -0 -2 -0x4940 -0x76db7242 -256 -256 -0 -0 -0 -1 -0x1d38 -0x7b3533f -256 -256 -0 -0 -0 -3 -0x51a5 -0xa0ac0675 -256 -256 -0 -0 -0 -1 -0xafc5 -0xe2bc97c3 -256 -256 -0 -0 -0 -2 -0xf627 -0xc29dcad8 -256 -256 -0 -0 -0 -2 -0x4239 -0x47d46b45 -256 -256 -0 -0 -0 -4 -0x2cc2 -0xb20a3354 -256 -256 -1 -0 -0 -1 -0xe22b -0xdfed47da -256 -256 -1 -0 -0 -3 -0xf704 -0xaf60fff7 -256 -256 -0 -0 -0 -4 -0xf2f7 -0xc7fa0b57 -256 -256 -1 -0 -0 -4 -0xf67a -0x8356cdd1 -256 -256 -0 -0 -0 -4 -0xef28 -0xc2f11a97 -256 -256 -1 -0 -0 -1 -0xb61d -0xa72b4aeb -256 -256 -0 -0 -0 -2 -0x97d8 -0xdc5a9ace -256 -256 -0 -0 -0 -3 -0x2262 -0xeda0b433 -256 -256 -0 -0 -0 -4 -0x9f55 -0xf9ef8824 -256 -256 -1 -0 -0 -1 -0xa57 -0x2793c1c1 -256 -256 -0 -0 -0 -4 -0x4bf2 -0x5f48f85b -256 -256 -0 -0 -0 -4 -0xe61d -0xdeddf6ac -256 -256 -1 -0 -0 -2 -0x17a4 -0xfd12fe3e -256 -256 -0 -0 -0 -3 -0xb755 -0x172f2c5 -256 -256 -1 -0 -0 -2 -0xda69 -0x93144844 -256 -256 -0 -0 -0 -4 -0x733c -0x1021a1d8 -256 -256 -0 -0 -0 -2 -0xccc0 -0x184524fb -256 -256 -0 -0 -0 -3 -0x553a -0xe3cd8b18 -256 -256 -0 -0 -0 -1 -0x608a -0xabe09d5e -256 -256 -1 -0 -0 -4 -0x6a -0x87f2deff -256 -256 -0 -0 -0 -3 -0x7b42 -0x387dee78 -256 -256 -1 -0 -0 -2 -0xe21c -0xf37b0bea -256 -256 -1 -0 -0 -1 -0xec4e -0xfb819250 -256 -256 -1 -0 -0 -1 -0xae3b -0x8873487e -256 -256 -1 -0 -0 -1 -0x7982 -0x29c85b4b -256 -256 -0 -0 -0 -2 -0x6b5b -0xf89d08be -256 -256 -1 -0 -0 -3 -0x9d9a -0xece475a6 -256 -256 -1 -0 -0 -2 -0x14ce -0x6fd5e7ba -256 -256 -1 -0 -0 -2 -0x198e -0x7c81e286 -256 -256 -1 -0 -0 -3 -0x3c88 -0x257744b0 -256 -256 -0 -0 -0 -4 -0xdb35 -0x2718e2be -256 -256 -0 -0 -0 -3 -0xa053 -0x27b731ba -256 -256 -1 -0 -0 -1 -0x8773 -0x1dddbbcf -256 -256 -1 -0 -0 -1 -0x18f1 -0xba346c6f -256 -256 -1 -0 -0 -1 -0xbd77 -0xd97f4cdf -256 -256 -1 -0 -0 -4 -0x115e -0x546d55a1 -256 -256 -1 -0 -0 -1 -0x5469 -0x8f215128 -256 -256 -1 -0 -0 -1 -0x8df8 -0x62ba1114 -256 -256 -0 -0 -0 -2 -0x7a15 -0x2e957988 -256 -256 -0 -0 -0 -3 -0xde73 -0xd135243a -256 -256 -0 -0 -0 -1 -0x3e4 -0x45f18d95 -256 -256 -1 -0 -0 -1 -0x4d79 -0xcf0ede26 -256 -256 -1 -0 -0 -4 -0x4476 -0x23d33391 -256 -256 -0 -0 -0 -1 -0xb492 -0x2c32aadb -256 -256 -1 -0 -0 -1 -0xa1f1 -0xddc5046a -256 -256 -0 -0 -0 -1 -0x8f08 -0x768c9f40 -256 -256 -0 -0 -0 -2 -0x8568 -0xd42fb575 -256 -256 -0 -0 -0 -1 -0xa657 -0x5ac23f3f -256 -256 -0 -0 -0 -4 -0x4ea0 -0x7886db05 -256 -256 -1 -0 -0 -4 -0x68bc -0xf842a176 -256 -256 -0 -0 -0 -3 -0x4a59 -0xec3b6487 -256 -256 -0 -0 -0 -1 -0xd5c1 -0x47eae353 -256 -256 -1 -0 -0 -3 -0x52b8 -0x8ad8ba38 -256 -256 -1 -0 -0 -2 -0xf8d3 -0x40bff4f6 -256 -256 -1 -0 -0 -4 -0x86c8 -0x7d3b7119 -256 -256 -0 -0 -0 -3 -0x78ce -0x963e9963 -256 -256 -1 -0 -0 -1 -0xe46e -0x9e8551f4 -256 -256 -1 -0 -0 -3 -0x11cb -0x58f92e8b -256 -256 -0 -0 -0 -2 -0xe0c0 -0xe1a6554e -256 -256 -0 -0 -0 -2 -0xdec -0x47c5be3a -256 -256 -0 -0 -0 -4 -0xcf73 -0xb9cab4cd -256 -256 -1 -0 -0 -2 -0x84ff -0xe86b4419 -256 -256 -1 -0 -0 -3 -0x9f99 -0xe2e330e4 -256 -256 -1 -0 -0 -1 -0xd2b2 -0x333a2dac -256 -256 -0 -0 -0 -3 -0x4e33 -0x4dfade3 -256 -256 -0 -0 -0 -2 -0x6027 -0x315254db -256 -256 -1 -0 -0 -2 -0xa25e -0x799c0bb7 -256 -256 -0 -0 -0 -1 -0x113c -0x3d5aa74 -256 -256 -1 -0 -0 -4 -0xd671 -0xe056ad5f -256 -256 -1 -0 -0 -2 -0xe95b -0xf06cbc67 -256 -256 -1 -0 -0 -2 -0x82bd -0x22611204 -256 -256 -0 -0 -0 -3 -0x6692 -0xe85fd965 -256 -256 -0 -0 -0 -1 -0x6a99 -0xae2918 -256 -256 -0 -0 -0 -2 -0x829d -0xc390510b -256 -256 -0 -0 -0 -1 -0xe9fe -0xed217135 -256 -256 -1 -0 -0 -2 -0xc10e -0x4d27f0a1 -256 -256 -1 -0 -0 -4 -0xc05f -0x73a4a8f -256 -256 -1 -0 -0 -2 -0x4601 -0x661fef37 -256 -256 -0 -0 -0 -4 -0xd0 -0x3e30516a -256 -256 -0 -0 -0 -1 -0x7cce -0xfc29e628 -256 -256 -0 -0 -0 -1 -0xced8 -0xd2a2ba9a -256 -256 -0 -0 -0 -3 -0xf84a -0x9a7520ca -256 -256 -0 -0 -0 -4 -0x59af -0x72521e72 -256 -256 -0 -0 -0 -4 -0x5e3a -0x7b2e6429 -256 -256 -0 -0 -0 -2 -0xad61 -0xda51dc28 -256 -256 -0 -0 -0 -1 -0x90e9 -0x90c6d82d -256 -256 -0 -0 -0 -1 -0xaf49 -0xeca972ba -256 -256 -0 -0 -0 -4 -0x1cda -0x88eeb42a -256 -256 -1 -0 -0 -4 -0xbd6a -0xc1e26b2 -256 -256 -0 -0 -0 -3 -0x7909 -0x4a2a3b3a -256 -256 -0 -0 -0 -3 -0x8ff -0x19180887 -256 -256 -0 -0 -0 -3 -0x1c25 -0xd7fea13b -256 -256 -1 -0 -0 -4 -0xb3c6 -0x98dd1c9 -256 -256 -0 -0 -0 -1 -0x6f01 -0x9f546ca -256 -256 -0 -0 -0 -3 -0x473e -0x2f618c7e -256 -256 -1 -0 -0 -2 -0xa0fe -0x3a0b371f -256 -256 -0 -0 -0 -1 -0xc7d2 -0xd5430db7 -256 -256 -0 -0 -0 -3 -0xa4f6 -0x43773a59 -256 -256 -0 -0 -0 -4 -0x20c -0x4750e64e -256 -256 -0 -0 -0 -4 -0x6eb4 -0x7df6e962 -256 -256 -1 -0 -0 -3 -0x47c6 -0xf55a8f73 -256 -256 -0 -0 -0 -3 -0xf185 -0xf33e93dc -256 -256 -0 -0 -0 -2 -0xe86b -0xb3660 -256 -256 -0 -0 -0 -3 -0xd72a -0xe134c3b3 -256 -256 -0 -0 -0 -3 -0x147d -0x842f5beb -256 -256 -0 -0 -0 -1 -0x2c89 -0x23af3059 -256 -256 -1 -0 -0 -2 -0x57da -0x91b7af2a -256 -256 -0 -0 -0 -2 -0x78bb -0x57c54221 -256 -256 -0 -0 -0 -1 -0xcd64 -0xc7e74078 -256 -256 -0 -0 -0 -3 -0x9491 -0x165200d1 -256 -256 -0 -0 -0 -1 -0x5507 -0x248aef24 -256 -256 -1 -0 -0 -3 -0xcb03 -0xd54be8cf -256 -256 -0 -0 -0 -1 -0x9f1f -0x72cd1b81 -256 -256 -0 -0 -0 -2 -0x60a -0x8d616567 -256 -256 -1 -0 -0 -4 -0x572 -0x46de376e -256 -256 -1 -0 -0 -3 -0xbf54 -0x1a469cab -256 -256 -1 -0 -0 -4 -0xb7f2 -0x39dfb496 -256 -256 -1 -0 -0 -4 -0x167b -0x589b6fd0 -256 -256 -0 -0 -0 -2 -0x2f2a -0xf4acbea3 -256 -256 -1 -0 -0 -4 -0x715e -0xad4355b2 -256 -256 -0 -0 -0 -2 -0xdd10 -0x1488b65f -256 -256 -0 -0 -0 -1 -0xc323 -0xac1e6fd5 -256 -256 -1 -0 -0 -3 -0x95c5 -0x3cca4a -256 -256 -1 -0 -0 -2 -0x56cf -0xaa7e1d80 -256 -256 -0 -0 -0 -3 -0x46e9 -0xb5ae97f0 -256 -256 -1 -0 -0 -3 -0xe9c5 -0xc2098cca -256 -256 -1 -0 -0 -1 -0xf497 -0x397a9ec9 -256 -256 -1 -0 -0 -2 -0xf23f -0x28302808 -256 -256 -1 -0 -0 -3 -0x289d -0xa8a27125 -256 -256 -0 -0 -0 -1 -0xac90 -0x97859a2e -256 -256 -0 -0 -0 -4 -0xb2aa -0xa53c7af4 -256 -256 -1 -0 -0 -3 -0x3175 -0xc17cb0b6 -256 -256 -1 -0 -0 -3 -0x83d7 -0xa5364558 -256 -256 -0 -0 -0 -4 -0x7458 -0x7e1c096 -256 -256 -0 -0 -0 -2 -0xd476 -0xb0e13df7 -256 -256 -1 -0 -0 -3 -0xe0bd -0x9cec4b8b -256 -256 -0 -0 -0 -2 -0xba56 -0xf6e1c47d -256 -256 -1 -0 -0 -4 -0x8c75 -0xb3de61cd -256 -256 -0 -0 -0 -4 -0x2d13 -0xad90158b -256 -256 -0 -0 -0 -3 -0x749d -0xe387ea2f -256 -256 -1 -0 -0 -2 -0xc4 -0x941a95a3 -256 -256 -0 -0 -0 -4 -0x1901 -0xcef64560 -256 -256 -0 -0 -0 -2 -0x5d49 -0x7a62e4b0 -256 -256 -1 -0 -0 -1 -0xf8cd -0x9709b1ed -256 -256 -1 -0 -0 -4 -0xb3bb -0xe452c9dc -256 -256 -0 -0 -0 -1 -0x2083 -0x852f7b6c -256 -256 -1 -0 -0 -2 -0x25c7 -0x220c49d5 -256 -256 -1 -0 -0 -1 -0x7fed -0xa1b67371 -256 -256 -1 -0 -0 -1 -0x1d43 -0xf7b50c55 -256 -256 -1 -0 -0 -1 -0xa229 -0xa2f83122 -256 -256 -0 -0 -0 -4 -0xc88d -0x90a10523 -256 -256 -1 -0 -0 -2 -0x4a65 -0x366b6725 -256 -256 -0 -0 -0 -2 -0x5a8e -0x381f96a4 -256 -256 -0 -0 -0 -4 -0x7752 -0x4a1ee4cf -256 -256 -1 -0 -0 -1 -0x2489 -0xf310afff -256 -256 -1 -0 -0 -4 -0xcc68 -0xad438115 -256 -256 -0 -0 -0 -3 -0x923b -0xb0456076 -256 -256 -1 -0 -0 -3 -0xdca3 -0xf4d4d876 -256 -256 -1 -0 -0 -4 -0x53c3 -0x1eac39d6 -256 -256 -1 -0 -0 -2 -0xc350 -0xfd77aa7b -256 -256 -1 -0 -0 -3 -0xc7b4 -0x1d46c9ab -256 -256 -1 -0 -0 -4 -0x7288 -0x4c71736b -256 -256 -0 -0 -0 -2 -0x3f6 -0xc9fcbedf -256 -256 -1 -0 -0 -3 -0x10e2 -0x1e19cdb0 -256 -256 -0 -0 -0 -1 -0x802a -0xca029d1d -256 -256 -0 -0 -0 -3 -0x427 -0xe702e7cb -256 -256 -0 -0 -0 -2 -0x36be -0x4e89a52c -256 -256 -1 -0 -0 -4 -0x7f44 -0x4e36eb1b -256 -256 -1 -0 -0 -1 -0x4d86 -0xa666e9bc -256 -256 -0 -0 -0 -4 -0xcdbd -0xded7d48 -256 -256 -1 -0 -0 -2 -0x72ae -0xc6790175 -256 -256 -0 -0 -0 -1 -0xa021 -0xca75299 -256 -256 -1 -0 -0 -2 -0x8d72 -0x27042ff8 -256 -256 -1 -0 -0 -4 -0xd46a -0xf3c40310 -256 -256 -0 -0 -0 -1 -0x2e91 -0xd9c9b21a -256 -256 -0 -0 -0 -1 -0x8125 -0x3846fe07 -256 -256 -0 -0 -0 -4 -0xcbc5 -0x6a2e2e80 -256 -256 -0 -0 -0 -3 -0x55c -0x52a8be96 -256 -256 -1 -0 -0 -1 -0xb74f -0xcd09f3dd -256 -256 -1 -0 -0 -2 -0x42c9 -0x7d4bf062 -256 -256 -1 -0 -0 -2 -0x47a3 -0x891c89e9 -256 -256 -0 -0 -0 -4 -0xd2b -0x291e6f1f -256 -256 -0 -0 -0 -1 -0x4aff -0xf8c2330e -256 -256 -1 -0 -0 -4 -0x457f -0x5a0ab284 -256 -256 -0 -0 -0 -4 -0x7369 -0xc83f1c09 -256 -256 -0 -0 -0 -4 -0x8960 -0x1c9fac5e -256 -256 -0 -0 -0 -1 -0x392f -0xa6157a73 -256 -256 -0 -0 -0 -4 -0x5805 -0x589e7d04 -256 -256 -0 -0 -0 -2 -0xe5e5 -0x28a4da79 -256 -256 -0 -0 -0 -4 -0x80f9 -0xfa8117f2 -256 -256 -0 -0 -0 -1 -0x913c -0xad97cfa5 -256 -256 -1 -0 -0 -2 -0x63ec -0x404fce08 -256 -256 -1 -0 -0 -1 -0x17a3 -0x226b2746 -256 -256 -0 -0 -0 -2 -0xabc2 -0x5266c9c5 -256 -256 -1 -0 -0 -2 -0xb2fa -0x79be6c61 -256 -256 -1 -0 -0 -2 -0x4f4a -0xc23e972 -256 -256 -1 -0 -0 -4 -0xf426 -0x757417cb -256 -256 -1 -0 -0 -1 -0x2f1a -0xd023f731 -256 -256 -1 -0 -0 -3 -0xe4d8 -0x5b315ac1 -256 -256 -1 -0 -0 -3 -0x65d6 -0xc21c5ba9 -256 -256 -1 -0 -0 -2 -0xa877 -0xefdfd4b7 -256 -256 -0 -0 -0 -4 -0xc837 -0xc5c9321b -256 -256 -0 -0 -0 -4 -0xab44 -0x6dde4ead -256 -256 -0 -0 -0 -2 -0x60be -0xe0901521 -256 -256 -1 -0 -0 -1 -0x6173 -0x5318eef7 -256 -256 -1 -0 -0 -4 -0xb985 -0xa43a9ff3 -256 -256 -0 -0 -0 -3 -0x64cf -0xbf33cd7b -256 -256 -0 -0 -0 -1 -0xe497 -0xdb513e94 -256 -256 -0 -0 -0 -4 -0xcfdd -0xeff0bd4c -256 -256 -1 -0 -0 -4 -0x89e2 -0x1c205633 -256 -256 -0 -0 -0 -4 -0x9dea -0x6f9dcb72 -256 -256 -1 -0 -0 -1 -0x2b58 -0x9fbc1c0f -256 -256 -0 -0 -0 -3 -0x33dd -0x2e4c73a9 -256 -256 -1 -0 -0 -4 -0x6ca7 -0x55390f3b -256 -256 -0 -0 -0 -1 -0x7979 -0xf481704b -256 -256 -1 -0 -0 -2 -0x2058 -0x1fb3fa79 -256 -256 -1 -0 -0 -1 -0xc2ff -0xf0747838 -256 -256 -0 -0 -0 -3 -0x1899 -0x891d966c -256 -256 -1 -0 -0 -1 -0x3137 -0xd963b8b9 -256 -256 -0 -0 -0 -1 -0x370e -0x1881c0b9 -256 -256 -1 -0 -0 -1 -0x6783 -0x83685df7 -256 -256 -1 -0 -0 -4 -0x5eb4 -0x47113805 -256 -256 -0 -0 -0 -4 -0xe7 -0x7db295b9 -256 -256 -0 -0 -0 -2 -0xfe30 -0x75589281 -256 -256 -0 -0 -0 -4 -0xb393 -0x627e9a55 -256 -256 -0 -0 -0 -3 -0x5084 -0x32813b6e -256 -256 -1 -0 -0 -2 -0xe622 -0xbea962f6 -256 -256 -0 -0 -0 -1 -0x396b -0x87184a16 -256 -256 -1 -0 -0 -2 -0xd361 -0x644f21ad -256 -256 -1 -0 -0 -4 -0x2730 -0x4a138 -256 -256 -0 -0 -0 -2 -0xf48 -0x3288009d -256 -256 -1 -0 -0 -2 -0xa542 -0x4da836f8 -256 -256 -0 -0 -0 -4 -0x8179 -0xa12193f4 -256 -256 -1 -0 -0 -3 -0x5b6b -0x9139fead -256 -256 -1 -0 -0 -4 -0x8e3a -0x1b14e271 -256 -256 -0 -0 -0 -4 -0xb837 -0x8255a22e -256 -256 -0 -0 -0 -1 -0xf869 -0x98ad61bd -256 -256 -1 -0 -0 -4 -0x15e0 -0xdffcaa2b -256 -256 -1 -0 -0 -4 -0xf82c -0x10cddb62 -256 -256 -1 -0 -0 -1 -0xa227 -0x17125e44 -256 -256 -0 -0 -0 -4 -0x7fa4 -0xf3c73c27 -256 -256 -1 -0 -0 -2 -0xb592 -0x28363d24 -256 -256 -1 -0 -0 -3 -0xc105 -0xbce6e02f -256 -256 -0 -0 -0 -3 -0x312 -0xb9cedfb4 -256 -256 -1 -0 -0 -3 -0xd825 -0x97017fe3 -256 -256 -0 -0 -0 -3 -0xad03 -0x78a544d3 -256 -256 -0 -0 -0 -2 -0x2da7 -0xd9db41de -256 -256 -0 -0 -0 -1 -0x7294 -0xf3892c2d -256 -256 -0 -0 -0 -2 -0xad48 -0x1b51386d -256 -256 -0 -0 -0 -2 -0x3779 -0xc2d36ee6 -256 -256 -1 -0 -0 -3 -0xa4c -0x428178a3 -256 -256 -0 -0 -0 -1 -0xf661 -0xa3b2e4de -256 -256 -0 -0 -0 -3 -0x10ed -0x83440f0e -256 -256 -1 -0 -0 -4 -0xcacd -0x6577fd87 -256 -256 -1 -0 -0 -4 -0xd89b -0xd58aad93 -256 -256 -0 -0 -0 -1 -0xe7af -0x3d08c0b3 -256 -256 -1 -0 -0 -4 -0x3b23 -0xc450d952 -256 -256 -0 -0 -0 -4 -0x578a -0x8fd9f57d -256 -256 -1 -0 -0 -1 -0x4400 -0x9f9c6873 -256 -256 -0 -0 -0 -3 -0x56fd -0x19701e85 -256 -256 -1 -0 -0 -4 -0x1ea -0xce100a63 -256 -256 -1 -0 -0 -3 -0x389 -0x7b9e6261 -256 -256 -0 -0 -0 -1 -0xa2ed -0xc6fb4969 -256 -256 -1 -0 -0 -3 -0x865b -0xaf12bcbd -256 -256 -0 -0 -0 -1 -0xfc45 -0x9e48caaf -256 -256 -1 -0 -0 -3 -0x808 -0xb8c73853 -256 -256 -0 -0 -0 -2 -0xa6a8 -0x96fd2fbe -256 -256 -0 -0 -0 -4 -0x53b4 -0xe6c1cc2d -256 -256 -0 -0 -0 -3 -0xef42 -0x97959c5 -256 -256 -1 -0 -0 -2 -0xfb6e -0x8ee63d2a -256 -256 -0 -0 -0 -2 -0x2812 -0xad549003 -256 -256 -1 -0 -0 -3 -0x436 -0xc624c4dc -256 -256 -1 -0 -0 -2 -0x6129 -0xb4190eb4 -256 -256 -0 -0 -0 -3 -0x3bd7 -0xa670ee66 -256 -256 -0 -0 -0 -1 -0xfb51 -0x99b1ad64 -256 -256 -0 -0 -0 -2 -0x8621 -0xf2893f24 -256 -256 -0 -0 -0 -3 -0xc5ef -0x3d181e3d -256 -256 -1 -0 -0 -4 -0x319c -0x2d84f9b5 -256 -256 -0 -0 -0 -2 -0x8afe -0x866bffd7 -256 -256 -1 -0 -0 -4 -0x4c4e -0xd59de8a3 -256 -256 -1 -0 -0 -3 -0xfb89 -0xbc880234 -256 -256 -1 -0 -0 -2 -0xc522 -0xb566032f -256 -256 -1 -0 -0 -2 -0x7fc2 -0xf4650161 -256 -256 -0 -0 -0 -4 -0x33c -0x28941528 -256 -256 -1 -0 -0 -2 -0x942 -0x1b849ce2 -256 -256 -0 -0 -0 -4 -0x6672 -0x4c6d3287 -256 -256 -1 -0 -0 -4 -0xec9f -0x28b9e8b7 -256 -256 -1 -0 -0 -4 -0xe241 -0x258172cc -256 -256 -1 -0 -0 -4 -0x9810 -0xdba38849 -256 -256 -0 -0 -0 -2 -0x59b4 -0xd06d30e0 -256 -256 -1 -0 -0 -2 -0x3e8f -0x4eb35f98 -256 -256 -1 -0 -0 -2 -0xbb3a -0xddb9f726 -256 -256 -1 -0 -0 -2 -0x6519 -0x7c1f9d70 -256 -256 -0 -0 -0 -3 -0x9b18 -0xfd49a849 -256 -256 -1 -0 -0 -1 -0x907 -0x9afab3df -256 -256 -0 -0 -0 -3 -0x529c -0xcce4f66b -256 -256 -0 -0 -0 -4 -0xf06d -0x7e8cd00d -256 -256 -0 -0 -0 -2 -0x94e7 -0x42e08be8 -256 -256 -0 -0 -0 -1 -0x4e62 -0x657ca3d5 -256 -256 -1 -0 -0 -2 -0x37b5 -0x722d25c5 -256 -256 -1 -0 -0 -4 -0xe9e1 -0xcc956cd0 -256 -256 -1 -0 -0 -1 -0x5f19 -0x19aad874 -256 -256 -1 -0 -0 -4 -0x7804 -0xaaafc25 -256 -256 -0 -0 -0 -1 -0xde39 -0xcc0e9dab -256 -256 -1 -0 -0 -2 -0x731f -0xdd20843 -256 -256 -0 -0 -0 -2 -0xc28b -0x2574fbc7 -256 -256 -1 -0 -0 -2 -0x56e -0x45d4173b -256 -256 -0 -0 -0 -1 -0x9328 -0xaf4af59c -256 -256 -1 -0 -0 -4 -0x5f71 -0xc580f00e -256 -256 -0 -0 -0 -1 -0x10e1 -0xc7a721f5 -256 -256 -0 -0 -0 -1 -0xb5e0 -0x27abbc87 -256 -256 -0 -0 -0 -2 -0x4ab9 -0x74c98203 -256 -256 -1 -0 -0 -2 -0xdbf2 -0x5ce8d9ec -256 -256 -1 -0 -0 -4 -0xd33 -0x885857df -256 -256 -1 -0 -0 -4 -0xc144 -0xee64bef5 -256 -256 -0 -0 -0 -3 -0x3ce4 -0xf07909d7 -256 -256 -1 -0 -0 -1 -0x44f1 -0x6cd1b516 -256 -256 -1 -0 -0 -3 -0x283b -0xcc577453 -256 -256 -1 -0 -0 -1 -0xd44f -0x4d05c85a -256 -256 -0 -0 -0 -4 -0xb084 -0xffc162f7 -256 -256 -0 -0 -0 -2 -0x54ea -0x9494cf3d -256 -256 -0 -0 -0 -3 -0x417d -0xc0a8fed4 -256 -256 -0 -0 -0 -1 -0x7ebc -0xc9df5cee -256 -256 -1 -0 -0 -3 -0xf28d -0x83d32865 -256 -256 -0 -0 -0 -1 -0xcae9 -0xfd206681 -256 -256 -0 -0 -0 -1 -0x56b1 -0xbf51d93f -256 -256 -1 -0 -0 -3 -0x7c7 -0x23d831f5 -256 -256 -0 -0 -0 -3 -0xfc5c -0xbcaee7e8 -256 -256 -0 -0 -0 -2 -0xc50e -0x4cef03f6 -256 -256 -0 -0 -0 -4 -0x7b4f -0xe372926 -256 -256 -0 -0 -0 -2 -0xfc4e -0xd83b87b3 -256 -256 -1 -0 -0 -3 -0xa310 -0xff271677 -256 -256 -0 -0 -0 -4 -0xce7f -0x4ff91094 -256 -256 -1 -0 -0 -4 -0x981b -0x271e68a2 -256 -256 -0 -0 -0 -4 -0xd404 -0xc69a6b53 -256 -256 -1 -0 -0 -1 -0xedbf -0x53620a1b -256 -256 -0 -0 -0 -4 -0x9922 -0xde7c3706 -256 -256 -0 -0 -0 -4 -0x608d -0xb4e257bf -256 -256 -0 -0 -0 -2 -0xdcb5 -0xcda8d3c4 -256 -256 -1 -0 -0 -4 -0x86e9 -0x301956e2 -256 -256 -1 -0 -0 -4 -0x1fe3 -0x3352d241 -256 -256 -0 -0 -0 -4 -0xcbe -0x3ba45efa -256 -256 -1 -0 -0 -2 -0xc6e8 -0x777c3a31 -256 -256 -0 -0 -0 -1 -0x73de -0xce71a158 -256 -256 -0 -0 -0 -2 -0xb792 -0xc9443cab -256 -256 -0 -0 -0 -4 -0x4f8a -0x12dfe021 -256 -256 -0 -0 -0 -3 -0x7ce6 -0x9103c7fa -256 -256 -0 -0 -0 -1 -0xfc57 -0xc3d28e18 -256 -256 -0 -0 -0 -1 -0x1cde -0xddc929f4 -256 -256 -1 -0 -0 -3 -0x7eb1 -0x5ef2e255 -256 -256 -0 -0 -0 -2 -0xe9f5 -0xa6e481e1 -256 -256 -1 -0 -0 -1 -0xbb41 -0xbc62d7b2 -256 -256 -1 -0 -0 -4 -0xa597 -0x5db319ee -256 -256 -1 -0 -0 -4 -0x8e5b -0x680619c4 -256 -256 -0 -0 -0 -2 -0xc627 -0x4ca1250f -256 -256 -1 -0 -0 -2 -0x1416 -0xda2e4f48 -256 -256 -0 -0 -0 -2 -0x7731 -0x610f8e92 -256 -256 -0 -0 -0 -1 -0x9bf2 -0x4164332a -256 -256 -1 -0 -0 -3 -0x2f8b -0xd5e80e4 -256 -256 -0 -0 -0 -1 -0x2ea5 -0xa4163c1 -256 -256 -1 -0 -0 -1 -0x5126 -0x64a0ddc5 -256 -256 -1 -0 -0 -3 -0xa8e0 -0x9ee689f8 -256 -256 -1 -0 -0 -3 -0xabdf -0xa53c6cef -256 -256 -1 -0 -0 -4 -0xc666 -0x48246977 -256 -256 -1 -0 -0 -1 -0x41f3 -0x470e1e96 -256 -256 -1 -0 -0 -4 -0x72f7 -0xa2848eb8 -256 -256 -1 -0 -0 -1 -0x6db2 -0xabb2fc7b -256 -256 -1 -0 -0 -1 -0xba3 -0xd32efa4f -256 -256 -0 -0 -0 -2 -0x183e -0xc52e7b9b -256 -256 -1 -0 -0 -1 -0x2ff5 -0x533026c3 -256 -256 -0 -0 -0 -1 -0x367f -0x5f6f8a78 -256 -256 -0 -0 -0 -4 -0x6395 -0x2c3cc300 -256 -256 -0 -0 -0 -2 -0xa896 -0x352dd26d -256 -256 -0 -0 -0 -4 -0x8e72 -0x4651447 -256 -256 -0 -0 -0 -3 -0xc8d7 -0x30a76c17 -256 -256 -0 -0 -0 -3 -0x402e -0xce30b3dc -256 -256 -1 -0 -0 -1 -0xff75 -0xb209bd49 -256 -256 -0 -0 -0 -2 -0x4091 -0x55b2597 -256 -256 -0 -0 -0 -2 -0x4854 -0xc4f2ec03 -256 -256 -0 -0 -0 -2 -0x3258 -0xb41229ca -256 -256 -0 -0 -0 -1 -0xc630 -0xb231c474 -256 -256 -0 -0 -0 -1 -0x3990 -0x5548875b -256 -256 -1 -0 -0 -2 -0x8a3b -0xfaa6b4ec -256 -256 -0 -0 -0 -4 -0x68a4 -0x4dd0efca -256 -256 -0 -0 -0 -2 -0x9a85 -0x2105d748 -256 -256 -0 -0 -0 -1 -0x8a7a -0x7b1a9fee -256 -256 -1 -0 -0 -4 -0x271f -0xf0afbd85 -256 -256 -1 -0 -0 -3 -0x211b -0x9aed765b -256 -256 -1 -0 -0 -2 -0x9e13 -0x909c142 -256 -256 -1 -0 -0 -3 -0x1100 -0x79158702 -256 -256 -1 -0 -0 -2 -0xe76f -0xaf2bf433 -256 -256 -1 -0 -0 -2 -0xbc3c -0x33647e12 -256 -256 -0 -0 -0 -1 -0x10e4 -0x7f85d0b5 -256 -256 -0 -0 -0 -1 -0x1d86 -0x7aadac31 -256 -256 -1 -0 -0 -3 -0x9acd -0x916e91bd -256 -256 -1 -0 -0 -1 -0x58c4 -0x4b7a66ee -256 -256 -1 -0 -0 -1 -0xbc62 -0x4ee86b13 -256 -256 -1 -0 -0 -3 -0xbe7e -0x8750a40d -256 -256 -0 -0 -0 -4 -0x8ecc -0xdbe7b98b -256 -256 -1 -0 -0 -3 -0x3863 -0x8b8585db -256 -256 -0 -0 -0 -3 -0x2dcf -0xeb28e556 -256 -256 -0 -0 -0 -1 -0x7971 -0x702b652a -256 -256 -0 -0 -0 -1 -0x377c -0x1badd7d -256 -256 -1 -0 -0 -2 -0xdd -0x9ff52255 -256 -256 -1 -0 -0 -1 -0xe467 -0xf9a3970 -256 -256 -1 -0 -0 -3 -0xa5a6 -0x62c4212e -256 -256 -0 -0 -0 -3 -0x6177 -0x9bd30e3b -256 -256 -0 -0 -0 -1 -0xe3a2 -0xf743a08d -256 -256 -0 -0 -0 -3 -0xb1e1 -0x968e75e3 -256 -256 -1 -0 -0 -2 -0x7ef8 -0xfaf43b3b -256 -256 -0 -0 -0 -1 -0x4cef -0xa871598 -256 -256 -0 -0 -0 -1 -0xf208 -0xc507127d -256 -256 -0 -0 -0 -2 -0xee5d -0x6b55e161 -256 -256 -1 -0 -0 -4 -0xb253 -0x4a81d8fb -256 -256 -1 -0 -0 -1 -0xe07a -0x45ae42d8 -256 -256 -1 -0 -0 -1 -0x33a8 -0x26daffeb -256 -256 -1 -0 -0 -3 -0x5b2b -0x6e512353 -256 -256 -0 -0 -0 -1 -0x2f46 -0x3cc8795d -256 -256 -0 -0 -0 -4 -0x3faf -0xf3ab1fec -256 -256 -0 -0 -0 -3 -0xc682 -0x13edae2b -256 -256 -0 -0 -0 -3 -0x5dda -0x11b4543b -256 -256 -1 -0 -0 -2 -0x9845 -0xecb49949 -256 -256 -1 -0 -0 -1 -0x7cc2 -0x2d85d970 -256 -256 -1 -0 -0 -2 -0xe91f -0xa37c7d41 -256 -256 -0 -0 -0 -3 -0x2f77 -0x81bea733 -256 -256 -1 -0 -0 -4 -0x6ec0 -0x5160547f -256 -256 -0 -0 -0 -1 -0x2e5d -0xee446a9f -256 -256 -1 -0 -0 -2 -0xffaf -0x634a8da1 -256 -256 -0 -0 -0 -2 -0xaba1 -0x21b4288c -256 -256 -1 -0 -0 -4 -0xd6a6 -0xf4e55597 -256 -256 -1 -0 -0 -4 -0xa7dc -0x219ce68f -256 -256 -0 -0 -0 -2 -0xa341 -0x7c51beff -256 -256 -0 -0 -0 -2 -0xceba -0xfc349d34 -256 -256 -1 -0 -0 -1 -0x42c6 -0xb006d837 -256 -256 -1 -0 -0 -3 -0x55c -0x26d4d55 -256 -256 -0 -0 -0 -4 -0x59f0 -0xe153cd25 -256 -256 -0 -0 -0 -4 -0x64a7 -0x570ceb6 -256 -256 -0 -0 -0 -2 -0x878d -0x3bfd2b0a -256 -256 -0 -0 -0 -1 -0x6fa3 -0xcad1ed1b -256 -256 -0 -0 -0 -4 -0xc699 -0xeee28b9d -256 -256 -0 -0 -0 -3 -0x568f -0x30b490f8 -256 -256 -1 -0 -0 -3 -0xfadb -0x873a87c -256 -256 -1 -0 -0 -4 -0xd777 -0xf5c4bc41 -256 -256 -0 -0 -0 -2 -0xe9fe -0xe1bf4be3 -256 -256 -1 -0 -0 -4 -0xf4a2 -0x71b47397 -256 -256 -1 -0 -0 -1 -0x5e7 -0xe513407 -256 -256 -1 -0 -0 -3 -0x85d4 -0x87c42779 -256 -256 -1 -0 -0 -4 -0x8e4e -0x1e2fe382 -256 -256 -0 -0 -0 -3 -0x30 -0x99dd4ab1 -256 -256 -1 -0 -0 -1 -0x46ae -0xd086462 -256 -256 -0 -0 -0 -1 -0x5173 -0x9623ef30 -256 -256 -0 -0 -0 -3 -0x5ca0 -0xd95d6db1 -256 -256 -1 -0 -0 -2 -0xb866 -0xeb86bb68 -256 -256 -1 -0 -0 -1 -0x7fa7 -0xb11d4f1e -256 -256 -1 -0 -0 -2 -0x9e2e -0xb482f216 -256 -256 -1 -0 -0 -2 -0x3435 -0x98ce448e -256 -256 -1 -0 -0 -4 -0xfbcf -0x15724869 -256 -256 -1 -0 -0 -2 -0xa5a0 -0x5c837bbc -256 -256 -1 -0 -0 -1 -0x34f6 -0x28c372c8 -256 -256 -0 -0 -0 -1 -0xaac -0xabc27223 -256 -256 -1 -0 -0 -4 -0x6ccc -0x6b40773d -256 -256 -0 -0 -0 -4 -0x8374 -0xb81f86eb -256 -256 -0 -0 -0 -4 -0xc2d1 -0xe067a8a1 -256 -256 -1 -0 -0 -1 -0x6429 -0x44e34ba7 -256 -256 -1 -0 -0 -4 -0x97f4 -0xd59ec56 -256 -256 -0 -0 -0 -4 -0x3686 -0xbbef43ca -256 -256 -1 -0 -0 -2 -0x8f76 -0x63c26b11 -256 -256 -0 -0 -0 -1 -0x2d63 -0xfefd5baa -256 -256 -0 -0 -0 -2 -0xc0d4 -0x6afc58bc -256 -256 -1 -0 -0 -4 -0x108a -0x32805a79 -256 -256 -1 -0 -0 -3 -0xfe62 -0x1b903fb1 -256 -256 -1 -0 -0 -1 -0x4ec1 -0xc251439 -256 -256 -0 -0 -0 -4 -0xa2f4 -0x5a1ed7be -256 -256 -0 -0 -0 -4 -0xe3a3 -0x8b2683d -256 -256 -0 -0 -0 -3 -0x3173 -0xfadf61f2 -256 -256 -1 -0 -0 -3 -0xf7b5 -0x81e10909 -256 -256 -1 -0 -0 -3 -0x4c02 -0xb544a965 -256 -256 -0 -0 -0 -4 -0x3a98 -0x710502d3 -256 -256 -0 -0 -0 -3 -0x6276 -0x8cf925f8 -256 -256 -1 -0 -0 -1 -0x7f0c -0x672a4ba3 -256 -256 -0 -0 -0 -2 -0xf1bb -0x3ace33fe -256 -256 -0 -0 -0 -4 -0x3162 -0x7b4df189 -256 -256 -1 -0 -0 -4 -0xa232 -0xb38b82a4 -256 -256 -1 -0 -0 -1 -0xf1a1 -0x83d2d56e -256 -256 -1 -0 -0 -3 -0xfe69 -0x303080a8 -256 -256 -0 -0 -0 -2 -0x1892 -0x31ccf53b -256 -256 -0 -0 -0 -2 -0xd60d -0x66830f59 -256 -256 -1 -0 -0 -1 -0x1042 -0x76eac30f -256 -256 -1 -0 -0 -2 -0xea17 -0x5e6ec972 -256 -256 -0 -0 -0 -1 -0x9dca -0xfb07bcc8 -256 -256 -0 -0 -0 -3 -0x12f -0x56a78c5a -256 -256 -0 -0 -0 -3 -0x3305 -0xdfd706fd -256 -256 -0 -0 -0 -1 -0xebd6 -0x7affe1b6 -256 -256 -1 -0 -0 -3 -0xb44a -0xad5ee7b9 -256 -256 -0 -0 -0 -3 -0x71a2 -0x7ff53078 -256 -256 -0 -0 -0 -3 -0x3109 -0xafbe9bdc -256 -256 -1 -0 -0 -4 -0x4d9c -0x301b7167 -256 -256 -0 -0 -0 -4 -0x8520 -0xc6ec503e -256 -256 -1 -0 -0 -3 -0x6539 -0xefbc690b -256 -256 -1 -0 -0 -2 -0x1f1a -0xa3add62c -256 -256 -1 -0 -0 -3 -0x1b93 -0x7fa6fca0 -256 -256 -1 -0 -0 -3 -0x3066 -0xa28c8685 -256 -256 -1 -0 -0 -2 -0xd267 -0xbbb34dcf -256 -256 -0 -0 -0 -3 -0xa030 -0x780f980c -256 -256 -0 -0 -0 -4 -0xdc2e -0x31718c9c -256 -256 -1 -0 -0 -3 -0x6efa -0x9dc59a3f -256 -256 -1 -0 -0 -1 -0xceb9 -0xa67f1d20 -256 -256 -0 -0 -0 -2 -0x54a2 -0x2d35f830 -256 -256 -1 -0 -0 -3 -0x21e7 -0xb6faf652 -256 -256 -0 -0 -0 -1 -0xe572 -0x80ea791a -256 -256 -0 -0 -0 -2 -0x7960 -0xa31c4545 -256 -256 -0 -0 -0 -1 -0xf33b -0x968be372 -256 -256 -0 -0 -0 -4 -0x652d -0x270b2963 -256 -256 -0 -0 -0 -4 -0x9cb9 -0x870a2ca1 -256 -256 -0 -0 -0 -2 -0x80cc -0xc38971dd -256 -256 -0 -0 -0 -4 -0x741 -0x4524e97d -256 -256 -0 -0 -0 -3 -0x1d5c -0x35ba5438 -256 -256 -1 -0 -0 -1 -0x306b -0xbfcf7123 -256 -256 -1 -0 -0 -4 -0x92f9 -0xe747b2f6 -256 -256 -1 -0 -0 -1 -0x2178 -0xdb275de8 -256 -256 -1 -0 -0 -1 -0xb48c -0xdc5af159 -256 -256 -1 -0 -0 -4 -0x2c48 -0x6534045d -256 -256 -0 -0 -0 -3 -0x56d3 -0x43aa4be -256 -256 -1 -0 -0 -1 -0xb0e2 -0x3bd1e491 -256 -256 -0 -0 -0 -2 -0x38f3 -0xe0aa158d -256 -256 -0 -0 -0 -4 -0x21ed -0xc347b146 -256 -256 -1 -0 -0 -3 -0x78ba -0xb6494bc8 -256 -256 -1 -0 -0 -4 -0x46fd -0xc1dbdfef -256 -256 -1 -0 -0 -1 -0x7a4a -0xfa57caf9 -256 -256 -1 -0 -0 -2 -0x2794 -0x118c49b1 -256 -256 -0 -0 -0 -3 -0x500c -0x9f4b34b7 -256 -256 -0 -0 -0 -1 -0x8ebd -0xffde558e -256 -256 -0 -0 -0 -4 -0xa671 -0x27aa704a -256 -256 -0 -0 -0 -4 -0x4958 -0x4fe86920 -256 -256 -1 -0 -0 -3 -0xc826 -0x83e50da3 -256 -256 -0 -0 -0 -3 -0xb2c5 -0xbcc026e5 -256 -256 -0 -0 -0 -1 -0xc041 -0x718c45f4 -256 -256 -0 -0 -0 -1 -0x9c04 -0xf2ce1523 -256 -256 -0 -0 -0 -2 -0x7fa6 -0x5e70d55b -256 -256 -1 -0 -0 -4 -0x4eb0 -0x78fc0652 -256 -256 -0 -0 -0 -1 -0xd4b -0xc30c70d5 -256 -256 -1 -0 -0 -1 -0xa033 -0xe42abc1b -256 -256 -0 -0 -0 -2 -0x3053 -0xc38173b0 -256 -256 -1 -0 -0 -2 -0x7f90 -0x3e73e70b -256 -256 -1 -0 -0 -3 -0xcbdc -0x3330d373 -256 -256 -1 -0 -0 -3 -0x4a9f -0x29ca7cf9 -256 -256 -0 -0 -0 -3 -0xef8 -0x7364cab9 -256 -256 -1 -0 -0 -4 -0x6a65 -0x8278207c -256 -256 -1 -0 -0 -4 -0xfb0c -0x9e707d8 -256 -256 -0 -0 -0 -2 -0x223b -0xf62f9ed2 -256 -256 -0 -0 -0 -1 -0x1024 -0x3393041 -256 -256 -0 -0 -0 -3 -0x4bce -0x678dd7a2 -256 -256 -1 -0 -0 -3 -0x6894 -0x195a6c5 -256 -256 -0 -0 -0 -4 -0x753b -0x2e25553a -256 -256 -0 -0 -0 -4 -0x6b80 -0xd94fd243 -256 -256 -1 -0 -0 -2 -0x40b8 -0x137c4172 -256 -256 -0 -0 -0 -2 -0xfbca -0xe3b2c33e -256 -256 -0 -0 -0 -4 -0x631d -0x7248b359 -256 -256 -0 -0 -0 -4 -0x9063 -0xc189e025 -256 -256 -1 -0 -0 -2 -0x69e3 -0x82be304d -256 -256 -0 -0 -0 -1 -0x3141 -0xf599e51 -256 -256 -1 -0 -0 -2 -0xf31b -0x4c623792 -256 -256 -1 -0 -0 -3 -0x96eb -0xd1bc2ff1 -256 -256 -1 -0 -0 -3 -0xa91b -0x3931435 -256 -256 -0 -0 -0 -1 -0xa3b7 -0xa5a2d32a -256 -256 -1 -0 -0 -2 -0x15cf -0xb54ad096 -256 -256 -1 -0 -0 -4 -0x8068 -0xff46bbd3 -256 -256 -1 -0 -0 -4 -0x3f3a -0xc392f350 -256 -256 -0 -0 -0 -2 -0xb96d -0xb099a7bd -256 -256 -0 -0 -0 -4 -0x6321 -0x1602da13 -256 -256 -0 -0 -0 -2 -0x5cb0 -0x2d18af7e -256 -256 -0 -0 -0 -1 -0xb127 -0x599219f9 -256 -256 -0 -0 -0 -3 -0xd58d -0xf96b366d -256 -256 -0 -0 -0 -4 -0xa7ae -0x9b7885e2 -256 -256 -1 -0 -0 -4 -0xc5f2 -0x52c925c8 -256 -256 -0 -0 -0 -1 -0xee8d -0xe3dae155 -256 -256 -0 -0 -0 -3 -0xffff -0xd631a105 -256 -256 -1 -0 -0 -2 -0xa6ab -0x79f2f9a1 -256 -256 -0 -0 -0 -2 -0x3e88 -0xcd5ab996 -256 -256 -1 -0 -0 -2 -0x9c04 -0xc837c69b -256 -256 -1 -0 -0 -4 -0xe2a5 -0x8a4a1dff -256 -256 -1 -0 -0 -4 -0xd01b -0x99907a91 -256 -256 -1 -0 -0 -3 -0xf0ef -0x1eb780a0 -256 -256 -1 -0 -0 -3 -0xe0e -0x3d0ce5bc -256 -256 -1 -0 -0 -1 -0x73ff -0x696457c0 -256 -256 -0 -0 -0 -1 -0x5fa -0x44e26206 -256 -256 -0 -0 -0 -1 -0x526b -0xfd3f5f -256 -256 -1 -0 -0 -4 -0xf5ee -0x38db64b8 -256 -256 -0 -0 -0 -1 -0xd91d -0x55d0ce1b -256 -256 -0 -0 -0 -2 -0xff64 -0x639fe561 -256 -256 -0 -0 -0 -3 -0xcb0f -0x949b59d8 -256 -256 -0 -0 -0 -1 -0xfd09 -0xcac92ca -256 -256 -1 -0 -0 -1 -0x7d76 -0x10f7e388 -256 -256 -1 -0 -0 -4 -0x403b -0x3979a289 -256 -256 -0 -0 -0 -1 -0xb6f7 -0x101e60b3 -256 -256 -0 -0 -0 -2 -0x9ed4 -0x8ebd6905 -256 -256 -0 -0 -0 -4 -0x993b -0xf50ef4e1 -256 -256 -0 -0 -0 -2 -0x31 -0x8f83f383 -256 -256 -0 -0 -0 -4 -0x133f -0x90734644 -256 -256 -0 -0 -0 -4 -0xe856 -0x25ef7fef -256 -256 -1 -0 -0 -1 -0xb360 -0x86341dfa -256 -256 -0 -0 -0 -1 -0xa9bf -0x83990d7c -256 -256 -0 -0 -0 -4 -0xcb03 -0xf06e543a -256 -256 -0 -0 -0 -4 -0xd8ff -0x78b4935e -256 -256 -0 -0 -0 -4 -0xf92e -0xa3d12d15 -256 -256 -0 -0 -0 -4 -0xf5b1 -0xfaefb5c7 -256 -256 -0 -0 -0 -1 -0x413d -0x14bf180a -256 -256 -0 -0 -0 -4 -0x9761 -0x635e8f99 -256 -256 -0 -0 -0 -1 -0x805b -0x5dd9c561 -256 -256 -0 -0 -0 -1 -0x6be4 -0x8a2664e0 -256 -256 -1 -0 -0 -2 -0x1d2f -0x4553fe47 -256 -256 -0 -0 -0 -4 -0xcf98 -0xb558e151 -256 -256 -0 -0 -0 -4 -0x50b5 -0x8d060123 -256 -256 -1 -0 -0 -2 -0xa040 -0xd1559b29 -256 -256 -0 -0 -0 -1 -0xda4b -0x4788670c -256 -256 -0 -0 -0 -1 -0x1743 -0xb11652d0 -256 -256 -0 -0 -0 -2 -0xe0e -0x700618a9 -256 -256 -0 -0 -0 -3 -0xf468 -0x7186bb93 -256 -256 -0 -0 -0 -3 -0x10d5 -0x9c0bc487 -256 -256 -0 -0 -0 -3 -0xe37e -0x74e8f925 -256 -256 -1 -0 -0 -1 -0x522d -0x556cc61d -256 -256 -1 -0 -0 -1 -0x4d14 -0x6334cc35 -256 -256 -1 -0 -0 -2 -0xce2e -0xbc18b833 -256 -256 -1 -0 -0 -3 -0xe07c -0x79027f41 -256 -256 -1 -0 -0 -4 -0x2232 -0xcf69f3a7 -256 -256 -1 -0 -0 -3 -0x3d55 -0xf26c6c86 -256 -256 -0 -0 -0 -2 -0xb584 -0xbbeec235 -256 -256 -0 -0 -0 -1 -0x7a8 -0x2b009ac0 -256 -256 -0 -0 -0 -3 -0xb89a -0x6276f59c -256 -256 -1 -0 -0 -1 -0xce48 -0xa07202b1 -256 -256 -1 -0 -0 -3 -0xd035 -0x47212eff -256 -256 -0 -0 -0 -2 -0xd458 -0xc66b107e -256 -256 -1 -0 -0 -2 -0x3b49 -0x95609d6 -256 -256 -0 -0 -0 -2 -0xb0d -0x79524fe4 -256 -256 -0 -0 -0 -4 -0x5c17 -0x4094ad66 -256 -256 -0 -0 -0 -1 -0x4d04 -0x6dead6bb -256 -256 -0 -0 -0 -2 -0x4155 -0x5b109308 -256 -256 -1 -0 -0 -2 -0xcc5 -0x336a4f5c -256 -256 -1 -0 -0 -1 -0x88a6 -0xd5272ef -256 -256 -0 -0 -0 -2 -0xe317 -0x4821fad8 -256 -256 -0 -0 -0 -2 -0x9533 -0xcd2ebfc0 -256 -256 -0 -0 -0 -4 -0x98c7 -0xa64ca4a8 -256 -256 -0 -0 -0 -3 -0x321b -0xd2cf79f8 -256 -256 -0 -0 -0 -3 -0x4232 -0x50319316 -256 -256 -1 -0 -0 -2 -0x7638 -0x1b3b6245 -256 -256 -1 -0 -0 -4 -0x8d59 -0xe9a2a37b -256 -256 -1 -0 -0 -4 -0x4081 -0xd1415004 -256 -256 -0 -0 -0 -4 -0xf9fc -0x95de9227 -256 -256 -0 -0 -0 -3 -0x38f8 -0xd0987463 -256 -256 -1 -0 -0 -4 -0x5eb -0x5468aea8 -256 -256 -1 -0 -0 -1 -0xc99b -0x17147fbf -256 -256 -0 -0 -0 -2 -0xc133 -0xf72a54c1 -256 -256 -1 -0 -0 -1 -0xebb3 -0xc05cf59e -256 -256 -0 -0 -0 -2 -0xa611 -0x1504234f -256 -256 -1 -0 -0 -4 -0xd6ef -0x9398cad -256 -256 -0 -0 -0 -3 -0x6b86 -0xefe2f3a6 -256 -256 -0 -0 -0 -3 -0x7839 -0xb2a161be -256 -256 -1 -0 -0 -4 -0x3940 -0x73cced5a -256 -256 -0 -0 -0 -3 -0x3c11 -0x9f6e5ce8 -256 -256 -0 -0 -0 -4 -0x3ff6 -0x53b561ef -256 -256 -0 -0 -0 -1 -0x3bed -0x75ec3cc -256 -256 -0 -0 -0 -3 -0x838c -0x8a28e8ce -256 -256 -0 -0 -0 -1 -0x4f17 -0xb2bd75d2 -256 -256 -0 -0 -0 -1 -0xecf8 -0xe1a85ea3 -256 -256 -1 -0 -0 -1 -0x6e6d -0xc9001fdc -256 -256 -1 -0 -0 -4 -0x579a -0xd5ba591b -256 -256 -0 -0 -0 -1 -0x7c26 -0x3f172661 -256 -256 -1 -0 -0 -4 -0x6980 -0xaec03469 -256 -256 -1 -0 -0 -3 -0x5af4 -0x232f189 -256 -256 -0 -0 -0 -3 -0xea27 -0x3925c0c8 -256 -256 -1 -0 -0 -3 -0x26c -0xdd50826 -256 -256 -1 -0 -0 -1 -0xe8fd -0x2c954d95 -256 -256 -0 -0 -0 -2 -0x1702 -0x8bc7078b -256 -256 -0 -0 -0 -2 -0xcca1 -0x4610cc6a -256 -256 -0 -0 -0 -3 -0xe0ab -0x326e779f -256 -256 -0 -0 -0 -2 -0xc8bf -0xf62cca21 -256 -256 -0 -0 -0 -4 -0xf16b -0x58c5917c -256 -256 -0 -0 -0 -1 -0xe476 -0x6320f014 -256 -256 -0 -0 -0 -2 -0xf3c4 -0xe6560101 -256 -256 -1 -0 -0 -3 -0xefc0 -0x37fb0065 -256 -256 -0 -0 -0 -4 -0xa8ae -0x48e466c -256 -256 -1 -0 -0 -2 -0xd4f -0xb98cc0d -256 -256 -1 -0 -0 -1 -0x3722 -0xc647eb27 -256 -256 -1 -0 -0 -4 -0x90f2 -0xe788e734 -256 -256 -0 -0 -0 -1 -0xa185 -0x5216c4f -256 -256 -0 -0 -0 -3 -0xf4ed -0xceca8099 -256 -256 -0 -0 -0 -3 -0x6ed2 -0x52d07a24 -256 -256 -0 -0 -0 -1 -0xac26 -0x8983b63d -256 -256 -0 -0 -0 -4 -0xf662 -0x842f5b0d -256 -256 -1 -0 -0 -4 -0x1b69 -0x75355c97 -256 -256 -0 -0 -0 -3 -0x47ae -0xae260d18 -256 -256 -1 -0 -0 -3 -0xadec -0xe99de299 -256 -256 -1 -0 -0 -1 -0x18bb -0x3bef0b51 -256 -256 -1 -0 -0 -2 -0xe36c -0x52f27c7a -256 -256 -1 -0 -0 -1 -0x1f3c -0x3245f40d -256 -256 -0 -0 -0 -4 -0x5990 -0x8ea3d9da -256 -256 -0 -0 -0 -3 -0x356d -0x19c2a013 -256 -256 -1 -0 -0 -3 -0x55a -0x8128345a -256 -256 -1 -0 -0 -4 -0x4c7e -0x84d1f575 -256 -256 -0 -0 -0 -3 -0xea50 -0x11503fb3 -256 -256 -0 -0 -0 -2 -0x73d1 -0xc46935b3 -256 -256 -1 -0 -0 -3 -0xfe72 -0x42acd699 -256 -256 -0 -0 -0 -4 -0xf1c5 -0x3235efa9 -256 -256 -1 -0 -0 -1 -0xaa17 -0x6d3283b0 -256 -256 -0 -0 -0 -1 -0x2381 -0x94186f8f -256 -256 -1 -0 -0 -4 -0xec84 -0x5cbe25 -256 -256 -1 -0 -0 -4 -0x1e20 -0x9b3a6117 -256 -256 -0 -0 -0 -4 -0x3226 -0xddcc6d9a -256 -256 -0 -0 -0 -3 -0x872e -0xd23c2de3 -256 -256 -1 -0 -0 -2 -0x50e9 -0xefe8443c -256 -256 -0 -0 -0 -2 -0x778 -0xabfaae1f -256 -256 -0 -0 -0 -4 -0xe502 -0x4b5b9df0 -256 -256 -1 -0 -0 -4 -0xe855 -0x2922cdf9 -256 -256 -0 -0 -0 -1 -0x4770 -0x8ae654cc -256 -256 -1 -0 -0 -1 -0xd04 -0x54183eb9 -256 -256 -0 -0 -0 -1 -0xd46f -0x56efe841 -256 -256 -0 -0 -0 -2 -0xeb5a -0xfa97fcb0 -256 -256 -0 -0 -0 -4 -0x3349 -0x43bef68e -256 -256 -0 -0 -0 -1 -0x948c -0xa64d6b94 -256 -256 -0 -0 -0 -2 -0x85d7 -0x3b3b5b86 -256 -256 -0 -0 -0 -3 -0x8614 -0x44d13b65 -256 -256 -0 -0 -0 -2 -0x3e27 -0x4264601f -256 -256 -1 -0 -0 -4 -0x604b -0xe8feb9b3 -256 -256 -0 -0 -0 -3 -0xbbc9 -0xe5a34961 -256 -256 -1 -0 -0 -2 -0x816c -0x83c03d8e -256 -256 -1 -0 -0 -1 -0x2fff -0xc7109093 -256 -256 -1 -0 -0 -2 -0xa0f1 -0x7fdb0855 -256 -256 -1 -0 -0 -4 -0x4f58 -0x30ac1b13 -256 -256 -0 -0 -0 -2 -0x4da4 -0xfaad29c4 -256 -256 -1 -0 -0 -4 -0x10e6 -0xd57a5005 -256 -256 -1 -0 -0 -3 -0x7d85 -0x83afa103 -256 -256 -0 -0 -0 -1 -0xfacc -0x6318e075 -256 -256 -0 -0 -0 -1 -0x6407 -0x2970be8a -256 -256 -0 -0 -0 -1 -0x97d5 -0x4a767dc6 -256 -256 -1 -0 -0 -4 -0xad7f -0x569faf17 -256 -256 -0 -0 -0 -4 -0x661a -0xca6c72d2 -256 -256 -0 -0 -0 -4 -0xb07f -0x4f7eee18 -256 -256 -1 -0 -0 -2 -0xe7f8 -0x92cd0f11 -256 -256 -0 -0 -0 -1 -0x48d8 -0xac029c5c -256 -256 -0 -0 -0 -3 -0x4c36 -0x348fa053 -256 -256 -0 -0 -0 -3 -0x6591 -0x33f792ed -256 -256 -0 -0 -0 -2 -0xf72f -0x31a7cd96 -256 -256 -0 -0 -0 -4 -0xc12c -0x56ac3fcf -256 -256 -1 -0 -0 -2 -0x9f8f -0xd7cd4db0 -256 -256 -0 -0 -0 -4 -0x3098 -0x1303abb4 -256 -256 -0 -0 -0 -2 -0x6467 -0x404aec76 -256 -256 -1 -0 -0 -4 -0xd230 -0xf269ef40 -256 -256 -0 -0 -0 -3 -0x555b -0x2788cc7b -256 -256 -0 -0 -0 -4 -0x880 -0x7da6a090 -256 -256 -0 -0 -0 -1 -0xc72 -0x80e21754 -256 -256 -0 -0 -0 -2 -0x5774 -0x8c865d90 -256 -256 -1 -0 -0 -3 -0xafe0 -0x2a42123d -256 -256 -1 -0 -0 -2 -0xa3b8 -0xdb3ce1be -256 -256 -0 -0 -0 -4 -0xd8f1 -0xf90a48d8 -256 -256 -0 -0 -0 -2 -0x21bd -0x22c7e9ff -256 -256 -0 -0 -0 -2 -0xa1d6 -0x73d524c7 -256 -256 -0 -0 -0 -3 -0x3e0b -0xa6196c72 -256 -256 -0 -0 -0 -2 -0x28b1 -0x9d1337b5 -256 -256 -1 -0 -0 -2 -0xbede -0xa8beb246 -256 -256 -0 -0 -0 -3 -0x67e4 -0xd5909f04 -256 -256 -0 -0 -0 -4 -0xaf14 -0x2b2dd1de -256 -256 -1 -0 -0 -2 -0xcb45 -0x5fc97d8d -256 -256 -0 -0 -0 -3 -0x7eba -0xc73aefe3 -256 -256 -0 -0 -0 -4 -0x6d1d -0x17721bab -256 -256 -1 -0 -0 -3 -0x6ff3 -0xd0895ab6 -256 -256 -0 -0 -0 -1 -0x4cad -0x69d1331a -256 -256 -1 -0 -0 -3 -0x38d1 -0x2aee6b6f -256 -256 -1 -0 -0 -2 -0xf36 -0x8b7a0c8a -256 -256 -0 -0 -0 -4 -0x11c5 -0x4876da3b -256 -256 -0 -0 -0 -2 -0xfc90 -0x84ac9573 -256 -256 -1 -0 -0 -4 -0xba5a -0xc79bf0f2 -256 -256 -1 -0 -0 -1 -0x3fa -0x74eaa799 -256 -256 -1 -0 -0 -2 -0x8854 -0x6f3cf445 -256 -256 -1 -0 -0 -3 -0x976f -0xac44d730 -256 -256 -0 -0 -0 -2 -0x3464 -0x6c8027b0 -256 -256 -0 -0 -0 -2 -0x6231 -0xe01d5192 -256 -256 -0 -0 -0 -1 -0x5f42 -0xd8e6d7e2 -256 -256 -0 -0 -0 -4 -0xdaf9 -0xbb30bb8f -256 -256 -0 -0 -0 -4 -0x80e -0x23829e18 -256 -256 -0 -0 -0 -1 -0xb2c6 -0x43f6a8ca -256 -256 -1 -0 -0 -2 -0xf02d -0x8d09e425 -256 -256 -1 -0 -0 -4 -0xb5fc -0xe47c7bab -256 -256 -0 -0 -0 -1 -0xadcb -0x56ae557b -256 -256 -1 -0 -0 -3 -0x113b -0x9d3c92eb -256 -256 -1 -0 -0 -4 -0x48f3 -0xf559e61f -256 -256 -0 -0 -0 -4 -0x2f9c -0xfe388f1 -256 -256 -1 -0 -0 -3 -0x83b7 -0xbe76d978 -256 -256 -0 -0 -0 -2 -0x2975 -0x1a97dc5b -256 -256 -1 -0 -0 -3 -0x34e5 -0xcaaf2169 -256 -256 -1 -0 -0 -1 -0xd1d6 -0xeb5aae89 -256 -256 -1 -0 -0 -2 -0x519c -0x10c41a97 -256 -256 -0 -0 -0 -1 -0xd6fa -0x594deccf -256 -256 -1 -0 -0 -3 -0xf257 -0x27f35246 -256 -256 -1 -0 -0 -3 -0x4bd3 -0xa3befb07 -256 -256 -1 -0 -0 -1 -0x3163 -0x5f8b4a1 -256 -256 -1 -0 -0 -1 -0x904 -0xbb33d1b3 -256 -256 -0 -0 -0 -3 -0xd3dc -0x782eb59f -256 -256 -1 -0 -0 -3 -0x58f -0xb00a0a50 -256 -256 -1 -0 -0 -2 -0xcd12 -0x184fed7f -256 -256 -1 -0 -0 -2 -0x5ce6 -0x45a80a86 -256 -256 -1 -0 -0 -4 -0x6759 -0x95801dc7 -256 -256 -1 -0 -0 -1 -0xd632 -0xe451f1ee -256 -256 -1 -0 -0 -1 -0xfc37 -0x9b5a3e74 -256 -256 -0 -0 -0 -2 -0x503a -0x524962a2 -256 -256 -1 -0 -0 -2 -0x8266 -0xe01901c -256 -256 -0 -0 -0 -3 -0x7ea3 -0xd7244e08 -256 -256 -1 -0 -0 -2 -0xe0f3 -0xb9553d4c -256 -256 -0 -0 -0 -1 -0x30b4 -0xbbc05b0d -256 -256 -1 -0 -0 -2 -0x46a -0xf0e0b8d8 -256 -256 -1 -0 -0 -1 -0x44e2 -0x4ec258d2 -256 -256 -0 -0 -0 -4 -0x1f92 -0xfab8f9f9 -256 -256 -0 -0 -0 -2 -0xbe0 -0x3dd2d4ea -256 -256 -1 -0 -0 -1 -0x34d -0x7535ec24 -256 -256 -1 -0 -0 -3 -0x3764 -0x6e9b7795 -256 -256 -0 -0 -0 -3 -0x17de -0x7eec56e0 -256 -256 -0 -0 -0 -1 -0x8ea -0xf38d1fb6 -256 -256 -0 -0 -0 -1 -0xac89 -0x921339c0 -256 -256 -1 -0 -0 -3 -0x7e02 -0x18e7cb0b -256 -256 -1 -0 -0 -3 -0x2097 -0x1e86e969 -256 -256 -0 -0 -0 -2 -0xf9a0 -0x68a4646e -256 -256 -1 -0 -0 -4 -0x2fb2 -0x241ce94a -256 -256 -0 -0 -0 -2 -0xde58 -0x88b3ab66 -256 -256 -1 -0 -0 -3 -0x2dbf -0x45ebc772 -256 -256 -1 -0 -0 -1 -0x5e0d -0x73d19fe7 -256 -256 -1 -0 -0 -2 -0xf107 -0x8c63d107 -256 -256 -0 -0 -0 -1 -0xaa8b -0x57415366 -256 -256 -1 -0 -0 -2 -0xde7 -0x9666076a -256 -256 -1 -0 -0 -4 -0x3fb1 -0xa5c9e30d -256 -256 -0 -0 -0 -2 -0xd990 -0x959b9c04 -256 -256 -1 -0 -0 -1 -0xfb80 -0xfd39ad04 -256 -256 -0 -0 -0 -4 -0x3d55 -0xf75a0750 -256 -256 -0 -0 -0 -2 -0x2f7f -0x28071948 -256 -256 -1 -0 -0 -4 -0xb055 -0xf4c45db -256 -256 -1 -0 -0 -1 -0x910e -0x5d99cb38 -256 -256 -1 -0 -0 -1 -0x1135 -0xb51c2c92 -256 -256 -0 -0 -0 -4 -0xe3b0 -0xcd958771 -256 -256 -0 -0 -0 -2 -0xae84 -0xbb8802fd -256 -256 -1 -0 -0 -4 -0x8a3e -0x9edc0814 -256 -256 -0 -0 -0 -2 -0x9c27 -0xcd3f1168 -256 -256 -0 -0 -0 -2 -0xeb61 -0xc364a05c -256 -256 -1 -0 -0 -3 -0xdf00 -0x43fe733f -256 -256 -0 -0 -0 -3 -0xcff4 -0x6cc7a06e -256 -256 -0 -0 -0 -2 -0x2864 -0xacdf8d2 -256 -256 -0 -0 -0 -3 -0x87de -0x1ea7507f -256 -256 -0 -0 -0 -1 -0x37ed -0xdf4dcf51 -256 -256 -1 -0 -0 -2 -0xdc21 -0xc3247bab -256 -256 -0 -0 -0 -2 -0xb21d -0x5e2357af -256 -256 -1 -0 -0 -1 -0x70b4 -0x7983a9ec -256 -256 -0 -0 -0 -4 -0x2fb1 -0x19820514 -256 -256 -1 -0 -0 -2 -0x6136 -0x90010ccf -256 -256 -1 -0 -0 -2 -0xffb5 -0xce490b2f -256 -256 -1 -0 -0 -4 -0x39 -0x77966df -256 -256 -1 -0 -0 -3 -0x9c9 -0xa4d382fa -256 -256 -1 -0 -0 -2 -0xe742 -0x4510b24a -256 -256 -0 -0 -0 -1 -0xb489 -0x4d00e817 -256 -256 -1 -0 -0 -3 -0x3e8a -0x2eeec4ec -256 -256 -1 -0 -0 -3 -0x87c7 -0x620637f0 -256 -256 -0 -0 -0 -1 -0xcea4 -0xe19b0493 -256 -256 -1 -0 -0 -3 -0xba78 -0x7d685535 -256 -256 -0 -0 -0 -4 -0x5c4f -0x2ef211eb -256 -256 -1 -0 -0 -4 -0xae19 -0xa80f21d0 -256 -256 -0 -0 -0 -2 -0x36e3 -0xaa12014f -256 -256 -1 -0 -0 -4 -0xb22e -0xe350cb1e -256 -256 -0 -0 -0 -3 -0x3f81 -0xdfc721f9 -256 -256 -0 -0 -0 -3 -0xce96 -0x25e27223 -256 -256 -1 -0 -0 -4 -0xacdd -0x6e03823e -256 -256 -1 -0 -0 -3 -0xb034 -0x6fc09dfb -256 -256 -1 -0 -0 -4 -0x281e -0x97c0a2db -256 -256 -1 -0 -0 -4 -0xcdb6 -0x2d2fcafe -256 -256 -0 -0 -0 -1 -0x2954 -0xee21530e -256 -256 -1 -0 -0 -3 -0x200c -0xd4abf92 -256 -256 -1 -0 -0 -3 -0x8cec -0x54ea1acc -256 -256 -0 -0 -0 -1 -0xf903 -0x40e1f8cc -256 -256 -0 -0 -0 -1 -0x83ef -0x99e545a7 -256 -256 -1 -0 -0 -2 -0xbce5 -0x4a8c063 -256 -256 -0 -0 -0 -4 -0xb369 -0xff5a4cf1 -256 -256 -1 -0 -0 -4 -0xf0da -0x7329ef79 -256 -256 -1 -0 -0 -2 -0xe034 -0x9049e5af -256 -256 -1 -0 -0 -4 -0x68dd -0xe78eb23f -256 -256 -0 -0 -0 -1 -0x7775 -0xd7d07fe1 -256 -256 -1 -0 -0 -4 -0x1e90 -0x66c2b9 -256 -256 -0 -0 -0 -4 -0x9a06 -0xd2ae9aad -256 -256 -1 -0 -0 -4 -0x57b5 -0xc342341e -256 -256 -1 -0 -0 -2 -0xc4f3 -0x9d833ecb -256 -256 -0 -0 -0 -1 -0x3584 -0xb564279 -256 -256 -0 -0 -0 -2 -0xb060 -0xef9e0bbb -256 -256 -1 -0 -0 -1 -0xfd01 -0x317afcc8 -256 -256 -0 -0 -0 -2 -0xedd8 -0x8e17b47c -256 -256 -1 -0 -0 -4 -0x435f -0xfe13baae -256 -256 -0 -0 -0 -4 -0xc29e -0x66073eb1 -256 -256 -1 -0 -0 -2 -0x50c4 -0x58a89a86 -256 -256 -0 -0 -0 -3 -0xb6be -0xcf695d8b -256 -256 -0 -0 -0 -1 -0x532a -0xa17a5ee7 -256 -256 -1 -0 -0 -4 -0xfdcf -0x32f06919 -256 -256 -0 -0 -0 -2 -0x1366 -0xfb60004e -256 -256 -1 -0 -0 -3 -0x2d1b -0x4d0e18cc -256 -256 -1 -0 -0 -4 -0x8e77 -0x5b845960 -256 -256 -1 -0 -0 -2 -0x5d43 -0x2d234db7 -256 -256 -0 -0 -0 -2 -0x6035 -0x3f5aa18b -256 -256 -0 -0 -0 -3 -0xe7a4 -0x19c3283c -256 -256 -1 -0 -0 -4 -0x53a8 -0x2de3f1ce -256 -256 -1 -0 -0 -4 -0xf75f -0xa65a47d8 -256 -256 -0 -0 -0 -4 -0xfc6f -0x6ad590a6 -256 -256 -1 -0 -0 -3 -0xf7df -0xb4710172 -256 -256 -1 -0 -0 -2 -0xa3a8 -0xf75f8f41 -256 -256 -0 -0 -0 -3 -0x1b5a -0x7040c074 -256 -256 -0 -0 -0 -3 -0x1038 -0x3c85c3f -256 -256 -1 -0 -0 -2 -0x929e -0x12f5d82e -256 -256 -0 -0 -0 -2 -0xb4e6 -0x16df5100 -256 -256 -0 -0 -0 -3 -0xf828 -0x1c19ec58 -256 -256 -1 -0 -0 -4 -0xfcca -0xf4f0ac12 -256 -256 -1 -0 -0 -3 -0x2d8b -0xaece689e -256 -256 -0 -0 -0 -2 -0x7f85 -0x4b13554c -256 -256 -0 -0 -0 -2 -0xd97d -0x88c0eb58 -256 -256 -0 -0 -0 -2 -0x3991 -0xeb74f4c7 -256 -256 -0 -0 -0 -2 -0x409a -0x1a617581 -256 -256 -0 -0 -0 -4 -0x3eef -0x62b356ff -256 -256 -0 -0 -0 -2 -0xa567 -0xbaa20a92 -256 -256 -0 -0 -0 -1 -0xe2b2 -0x73e4f0e -256 -256 -0 -0 -0 -2 -0x90d7 -0x97f9598b -256 -256 -1 -0 -0 -1 -0x6278 -0xaf854086 -256 -256 -1 -0 -0 -4 -0x2ab1 -0xa302f694 -256 -256 -1 -0 -0 -1 -0xbfe8 -0xc5a3957c -256 -256 -1 -0 -0 -1 -0xde83 -0xd9dd3be4 -256 -256 -1 -0 -0 -4 -0xa98e -0x11aa66af -256 -256 -1 -0 -0 -1 -0xa91a -0xcecfa702 -256 -256 -0 -0 -0 -1 -0x36fb -0x4e217e35 -256 -256 -0 -0 -0 -3 -0x9bf5 -0x542c97af -256 -256 -1 -0 -0 -4 -0x47b3 -0x7e246c -256 -256 -1 -0 -0 -4 -0x82c5 -0x81711f8a -256 -256 -1 -0 -0 -4 -0x45b2 -0xb8a6e49e -256 -256 -0 -0 -0 -3 -0xdf0 -0x475dff26 -256 -256 -1 -0 -0 -4 -0xb721 -0xa6cbc7ea -256 -256 -0 -0 -0 -2 -0x8490 -0x6301dcc9 -256 -256 -0 -0 -0 -4 -0xc9c4 -0x26e8f868 -256 -256 -1 -0 -0 -4 -0x841 -0xfcebc995 -256 -256 -1 -0 -0 -4 -0x9e4e -0x202fd317 -256 -256 -1 -0 -0 -4 -0x84e5 -0xd34b74eb -256 -256 -1 -0 -0 -1 -0x606b -0xeced2b17 -256 -256 -1 -0 -0 -1 -0x576d -0x145a10e5 -256 -256 -0 -0 -0 -2 -0x1658 -0xa32b34a9 -256 -256 -1 -0 -0 -3 -0xa9fc -0x668f51ab -256 -256 -1 -0 -0 -2 -0x96e8 -0xeea89d13 -256 -256 -0 -0 -0 -4 -0xdb22 -0x332b903b -256 -256 -1 -0 -0 -2 -0x51e8 -0xe2894707 -256 -256 -0 -0 -0 -4 -0x73a6 -0xc7634b9 -256 -256 -1 -0 -0 -3 -0x393f -0xacd50d2e -256 -256 -0 -0 -0 -4 -0x592a -0xec433ba2 -256 -256 -1 -0 -0 -1 -0x5aba -0xe1db3843 -256 -256 -1 -0 -0 -4 -0x1241 -0x3451e12c -256 -256 -0 -0 -0 -4 -0x6ae3 -0xe6404816 -256 -256 -1 -0 -0 -4 -0x5e27 -0x373c3791 -256 -256 -0 -0 -0 -3 -0xf93d -0x5114a31 -256 -256 -0 -0 -0 -4 -0x86d1 -0x8ead455e -256 -256 -1 -0 -0 -3 -0x1671 -0x85b1399f -256 -256 -1 -0 -0 -1 -0x8f56 -0x9cf284ba -256 -256 -1 -0 -0 -3 -0x9d4e -0xe25c902 -256 -256 -1 -0 -0 -2 -0x5133 -0xb6f7755b -256 -256 -1 -0 -0 -3 -0xaa26 -0x4390f078 -256 -256 -1 -0 -0 -1 -0xb964 -0xce6ddab4 -256 -256 -0 -0 -0 -3 -0x2653 -0xacbba342 -256 -256 -1 -0 -0 -2 -0xa11e -0x8747b7ca -256 -256 -1 -0 -0 -1 -0xc928 -0x94b3510d -256 -256 -0 -0 -0 -4 -0xd58 -0xe75961ea -256 -256 -0 -0 -0 -3 -0x7527 -0x2ca39a6a -256 -256 -1 -0 -0 -4 -0xd7a -0x71fc962a -256 -256 -1 -0 -0 -1 -0x999 -0x3b6b8170 -256 -256 -0 -0 -0 -1 -0x2f36 -0x156851ea -256 -256 -1 -0 -0 -2 -0xa52c -0xbc8ff6e4 -256 -256 -0 -0 -0 -4 -0x11b4 -0x6e42c3e6 -256 -256 -1 -0 -0 -4 -0xd8ba -0x3afb01c8 -256 -256 -1 -0 -0 -3 -0x4840 -0x78dc39bb -256 -256 -0 -0 -0 -1 -0x92d1 -0xb3b048c1 -256 -256 -1 -0 -0 -3 -0xa979 -0xa83e9ae1 -256 -256 -1 -0 -0 -1 -0xcae5 -0x9eea5bd0 -256 -256 -0 -0 -0 -3 -0x6125 -0x20041394 -256 -256 -0 -0 -0 -4 -0xa293 -0x7104ca39 -256 -256 -1 -0 -0 -1 -0xbde3 -0xb37d8f24 -256 -256 -0 -0 -0 -2 -0x911c -0x5cfb18c -256 -256 -1 -0 -0 -3 -0xf62e -0xedfb6219 -256 -256 -1 -0 -0 -4 -0x2f32 -0x747f41c7 -256 -256 -0 -0 -0 -1 -0x7c28 -0x417b2aef -256 -256 -0 -0 -0 -1 -0xb90b -0xc3b288b -256 -256 -0 -0 -0 -4 -0x41a8 -0x85ba4f81 -256 -256 -1 -0 -0 -2 -0x762c -0xb192d0bc -256 -256 -0 -0 -0 -3 -0x8add -0x7bbaeaa6 -256 -256 -1 -0 -0 -2 -0x22e9 -0x8234359d -256 -256 -0 -0 -0 -3 -0x3f93 -0xd6d32ba5 -256 -256 -1 -0 -0 -2 -0x795f -0x77c242f2 -256 -256 -0 -0 -0 -4 -0x5faa -0xd74c5713 -256 -256 -0 -0 -0 -3 -0xfe91 -0x20cba99a -256 -256 -0 -0 -0 -4 -0x3e6f -0x2f93a78a -256 -256 -1 -0 -0 -1 -0xa158 -0x5cc4b279 -256 -256 -0 -0 -0 -4 -0xa38a -0xe885113 -256 -256 -0 -0 -0 -4 -0xbc18 -0x2f08a8a9 -256 -256 -0 -0 -0 -3 -0xa017 -0x4817d587 -256 -256 -0 -0 -0 -4 -0xc35a -0x8612efb9 -256 -256 -0 -0 -0 -2 -0x316a -0xd32df127 -256 -256 -1 -0 -0 -2 -0xae1a -0xf8300c5d -256 -256 -1 -0 -0 -2 -0xeeea -0x5784b549 -256 -256 -1 -0 -0 -3 -0x4a26 -0x34f3a60 -256 -256 -1 -0 -0 -4 -0xb806 -0xfe31cee -256 -256 -1 -0 -0 -1 -0xe55d -0x34af213e -256 -256 -0 -0 -0 -2 -0x683e -0xf8fc5c5a -256 -256 -0 -0 -0 -1 -0x6edf -0x29703c53 -256 -256 -1 -0 -0 -4 -0x989 -0xc12743f1 -256 -256 -0 -0 -0 -4 -0xf1d8 -0x6d0f7980 -256 -256 -1 -0 -0 -3 -0x9fd9 -0xc6392e6e -256 -256 -1 -0 -0 -4 -0x13f3 -0x299fb96c -256 -256 -0 -0 -0 -3 -0xd281 -0x4cb0b66d -256 -256 -1 -0 -0 -2 -0x1e46 -0x7a6cf8e3 -256 -256 -0 -0 -0 -2 -0x9d8f -0x96a4136f -256 -256 -1 -0 -0 -3 -0xd2fe -0x60a523c1 -256 -256 -1 -0 -0 -2 -0xfbb7 -0x9cd50c1c -256 -256 -0 -0 -0 -1 -0x2b4e -0xdde084fa -256 -256 -0 -0 -0 -3 -0x5583 -0x32ed3b02 -256 -256 -0 -0 -0 -3 -0xb512 -0xc6017299 -256 -256 -0 -0 -0 -2 -0x685e -0x85febb94 -256 -256 -1 -0 -0 -4 -0xab6f -0x5a365754 -256 -256 -0 -0 -0 -4 -0xbe26 -0xda7891f6 -256 -256 -0 -0 -0 -2 -0x4259 -0x3021e140 -256 -256 -1 -0 -0 -1 -0xe3a6 -0xc4e3cd88 -256 -256 -1 -0 -0 -3 -0x6642 -0x7fecd44f -256 -256 -0 -0 -0 -3 -0xc3f4 -0x3b8a8fc2 -256 -256 -1 -0 -0 -1 -0xe288 -0x6898fa20 -256 -256 -1 -0 -0 -1 -0x1c9e -0x61b7dd3d -256 -256 -1 -0 -0 -3 -0x64f7 -0x926857dc -256 -256 -0 -0 -0 -2 -0xca9e -0x6b384078 -256 -256 -0 -0 -0 -2 -0x6c23 -0x36f8faab -256 -256 -1 -0 -0 -2 -0xb41d -0xc1f8c554 -256 -256 -1 -0 -0 -3 -0x5fab -0x2ceea217 -256 -256 -1 -0 -0 -2 -0x9d6d -0xc4b40d8a -256 -256 -0 -0 -0 -1 -0x83b -0x168b541f -256 -256 -0 -0 -0 -2 -0x4ea0 -0x286f72c9 -256 -256 -1 -0 -0 -4 -0xb204 -0x3dd44ce0 -256 -256 -0 -0 -0 -3 -0x13af -0xe23807cf -256 -256 -0 -0 -0 -1 -0xe023 -0xb090f847 -256 -256 -0 -0 -0 -4 -0xb0b7 -0x87e5f38d -256 -256 -1 -0 -0 -2 -0x7399 -0x8e9191cc -256 -256 -1 -0 -0 -2 -0xfc95 -0x1ab2ddb1 -256 -256 -0 -0 -0 -4 -0xef9e -0x8aa84c60 -256 -256 -0 -0 -0 -4 -0x3fb2 -0xecea56ff -256 -256 -0 -0 -0 -3 -0x4ddb -0x7d55903 -256 -256 -1 -0 -0 -4 -0xba78 -0x50a9b928 -256 -256 -0 -0 -0 -4 -0x1461 -0x955e8926 -256 -256 -0 -0 -0 -1 -0xbf74 -0x5c918cd0 -256 -256 -0 -0 -0 -2 -0x4586 -0x8cccaa9f -256 -256 -0 -0 -0 -1 -0xed92 -0x4e4740e5 -256 -256 -1 -0 -0 -3 -0xb6b9 -0x1817b9c -256 -256 -1 -0 -0 -4 -0xfd12 -0x950a6abc -256 -256 -0 -0 -0 -2 -0xbdf3 -0x463a1be6 -256 -256 -0 -0 -0 -2 -0xa7b6 -0x7be150d9 -256 -256 -1 -0 -0 -4 -0xa7c6 -0x1afe0758 -256 -256 -0 -0 -0 -2 -0xe2cb -0xa96baac1 -256 -256 -0 -0 -0 -3 -0x8e49 -0x477cf6ec -256 -256 -0 -0 -0 -1 -0xae09 -0x972c52d6 -256 -256 -1 -0 -0 -1 -0xac68 -0xd03558f1 -256 -256 -0 -0 -0 -3 -0x4810 -0xa48cc95b -256 -256 -0 -0 -0 -4 -0xd0fa -0x2f778e31 -256 -256 -0 -0 -0 -1 -0xf437 -0x5c268915 -256 -256 -1 -0 -0 -1 -0x4b11 -0x118de6dc -256 -256 -1 -0 -0 -3 -0xb7b4 -0x5f92675d -256 -256 -1 -0 -0 -3 -0x45cc -0x5ce31188 -256 -256 -1 -0 -0 -4 -0x9cb0 -0x65ad4219 -256 -256 -0 -0 -0 -4 -0xede7 -0x6aec1a54 -256 -256 -0 -0 -0 -4 -0xe2d4 -0x762a57b8 -256 -256 -0 -0 -0 -2 -0x4091 -0x3d7c083e -256 -256 -1 -0 -0 -1 -0xe64b -0xd3ed03c4 -256 -256 -1 -0 -0 -2 -0xc0e6 -0xeadf8af8 -256 -256 -1 -0 -0 -1 -0xd074 -0x585f9bc8 -256 -256 -1 -0 -0 -3 -0x4de5 -0x27b008fc -256 -256 -1 -0 -0 -3 -0xb951 -0xe2ba1b9c -256 -256 -0 -0 -0 -1 -0x4cf6 -0xfcebf4b -256 -256 -0 -0 -0 -1 -0xc141 -0xf4c8f1e0 -256 -256 -0 -0 -0 -4 -0xe438 -0x91420e34 -256 -256 -0 -0 -0 -2 -0xb507 -0x21549b66 -256 -256 -1 -0 -0 -3 -0x2fe4 -0x456ad22e -256 -256 -1 -0 -0 -2 -0x4976 -0x61bd53b3 -256 -256 -1 -0 -0 -1 -0xe18f -0xc0c5eafe -256 -256 -1 -0 -0 -4 -0xfcc8 -0x33645a56 -256 -256 -0 -0 -0 -2 -0x77 -0xfc8b0f73 -256 -256 -1 -0 -0 -2 -0xd116 -0x50cad4f1 -256 -256 -0 -0 -0 -2 -0x555e -0x13a5b810 -256 -256 -1 -0 -0 -4 -0x7696 -0x5fe38c60 -256 -256 -0 -0 -0 -1 -0x65d7 -0x55d6cb93 -256 -256 -1 -0 -0 -3 -0x4e9e -0x12153fe3 -256 -256 -0 -0 -0 -3 -0xf00f -0xfda3bc35 -256 -256 -1 -0 -0 -2 -0x2fe3 -0x870c7880 -256 -256 -0 -0 -0 -3 -0x6903 -0x728bb7b8 -256 -256 -0 -0 -0 -3 -0x3c4c -0x678acf9f -256 -256 -0 -0 -0 -1 -0x921f -0xc69aa7c1 -256 -256 -0 -0 -0 -1 -0x1faf -0xc51470b5 -256 -256 -0 -0 -0 -3 -0x7771 -0xe687e85f -256 -256 -1 -0 -0 -3 -0x10000 -0x7a8dc8f6 -256 -256 -1 -0 -0 -1 -0xc90c -0x4e8b8478 -256 -256 -0 -0 -0 -2 -0x11c1 -0x1ecc40a1 -256 -256 -1 -0 -0 -2 -0x715c -0xb6add9ac -256 -256 -1 -0 -0 -2 -0xd13f -0x8ea5114c -256 -256 -0 -0 -0 -4 -0xaebc -0xb9820406 -256 -256 -1 -0 -0 -2 -0xe019 -0x88858a65 -256 -256 -0 -0 -0 -3 -0x2e92 -0xd292e40 -256 -256 -0 -0 -0 -3 -0x5236 -0xa66a053d -256 -256 -0 -0 -0 -4 -0x5142 -0x6920e78e -256 -256 -0 -0 -0 -1 -0x6d61 -0x9120ef0c -256 -256 -0 -0 -0 -3 -0x1834 -0x4fc6270e -256 -256 -0 -0 -0 -1 -0xe3dd -0xb2b7a149 -256 -256 -1 -0 -0 -2 -0x4b2a -0x26349802 -256 -256 -1 -0 -0 -2 -0xf282 -0x4782e874 -256 -256 -1 -0 -0 -4 -0x3a8b -0xdb249e1 -256 -256 -1 -0 -0 -1 -0x7d8f -0x264a6fd8 -256 -256 -1 -0 -0 -3 -0x4cea -0x7d599a8 -256 -256 -0 -0 -0 -3 -0x65f6 -0xa7d034fa -256 -256 -1 -0 -0 -2 -0x42f2 -0x44d3bd42 -256 -256 -1 -0 -0 -3 -0x8a98 -0x5e21282f -256 -256 -1 -0 -0 -2 -0x5e3e -0x23111cc8 -256 -256 -0 -0 -0 -3 -0xbb24 -0xe926a737 -256 -256 -1 -0 -0 -4 -0xf6cc -0x6d42f015 -256 -256 -0 -0 -0 -2 -0x602e -0x3ca9d3ca -256 -256 -1 -0 -0 -4 -0x3f6c -0xaee9e0fe -256 -256 -1 -0 -0 -2 -0x74bc -0xbcb7e1f8 -256 -256 -0 -0 -0 -4 -0xce8b -0x6435a3a6 -256 -256 -1 -0 -0 -1 -0xf874 -0x783ac8d7 -256 -256 -0 -0 -0 -1 -0xb42a -0xb63d10ab -256 -256 -1 -0 -0 -3 -0x7c73 -0xa97682d1 -256 -256 -1 -0 -0 -3 -0x58c2 -0xb4225798 -256 -256 -0 -0 -0 -1 -0x17f8 -0xefae81db -256 -256 -0 -0 -0 -4 -0xee0b -0xed49996d -256 -256 -0 -0 -0 -1 -0xc8e4 -0xcaec1161 -256 -256 -0 -0 -0 -3 -0x2cb1 -0x69f3c753 -256 -256 -1 -0 -0 -2 -0xaa6d -0xf6bddb49 -256 -256 -1 -0 -0 -3 -0x6fb1 -0xbef363e8 -256 -256 -0 -0 -0 -3 -0x5f18 -0x81f4a885 -256 -256 -1 -0 -0 -1 -0x74c6 -0x2af9393b -256 -256 -1 -0 -0 -2 -0x2f75 -0x17759416 -256 -256 -1 -0 -0 -3 -0x4a5d -0xe72064ad -256 -256 -0 -0 -0 -1 -0x4670 -0x3ebca8ab -256 -256 -0 -0 -0 -4 -0xbeb1 -0xf8d233bb -256 -256 -1 -0 -0 -3 -0xb90a -0x7768c4e7 -256 -256 -1 -0 -0 -1 -0x7f1e -0x6f31a309 -256 -256 -0 -0 -0 -2 -0x9bdd -0xbc4a85d4 -256 -256 -0 -0 -0 -2 -0x8fed -0x1584b0ec -256 -256 -0 -0 -0 -2 -0x67df -0xe34e6569 -256 -256 -1 -0 -0 -3 -0x3ade -0x3db3c5c0 -256 -256 -0 -0 -0 -4 -0x8a02 -0x272d1860 -256 -256 -0 -0 -0 -3 -0x61d5 -0x6654f201 -256 -256 -0 -0 -0 -1 -0x5dc1 -0x8389ffad -256 -256 -1 -0 -0 -4 -0x635 -0xfeabe748 -256 -256 -0 -0 -0 -2 -0x479e -0x3e7609e3 -256 -256 -0 -0 -0 -4 -0xf6a0 -0x482b5f32 -256 -256 -0 -0 -0 -1 -0xcea7 -0x6c5d8f47 -256 -256 -0 -0 -0 -3 -0xe55d -0x63bbf30d -256 -256 -1 -0 -0 -3 -0xe79f -0x8a907801 -256 -256 -1 -0 -0 -4 -0x61d9 -0xb59ae3d8 -256 -256 -0 -0 -0 -1 -0x7ec0 -0xdc8656f1 -256 -256 -0 -0 -0 -4 -0x4c3d -0x240ed2c3 -256 -256 -0 -0 -0 -4 -0x7b9c -0xca54a71a -256 -256 -1 -0 -0 -2 -0xccbc -0x9b528d7b -256 -256 -1 -0 -0 -2 -0x7428 -0xdf172775 -256 -256 -0 -0 -0 -4 -0x9dde -0xf39a0dee -256 -256 -0 -0 -0 -2 -0x8534 -0x9bd506a0 -256 -256 -0 -0 -0 -2 -0x68bd -0x5ad862ad -256 -256 -1 -0 -0 -3 -0xdf88 -0x399facc8 -256 -256 -1 -0 -0 -3 -0x9bdb -0xe7452cee -256 -256 -1 -0 -0 -3 -0x9861 -0x9f96e515 -256 -256 -0 -0 -0 -1 -0xde4d -0x33023b63 -256 -256 -0 -0 -0 -2 -0x869a -0xc4892235 -256 -256 -1 -0 -0 -3 -0x3827 -0xcd2b4972 -256 -256 -0 -0 -0 -4 -0x396d -0x27197ca4 -256 -256 -0 -0 -0 -4 -0x3f08 -0x5f4f2822 -256 -256 -0 -0 -0 -2 -0x9437 -0x5618a661 -256 -256 -1 -0 -0 -3 -0xeb0e -0xd1abd303 -256 -256 -0 -0 -0 -3 -0xeeb1 -0x42a1fa2 -256 -256 -0 -0 -0 -4 -0xb433 -0x329a02af -256 -256 -0 -0 -0 -3 -0x3c92 -0xf67865e2 -256 -256 -1 -0 -0 -4 -0x7d03 -0x52dff46e -256 -256 -0 -0 -0 -4 -0xf3d9 -0x12946063 -256 -256 -0 -0 -0 -2 -0xb855 -0xf52c0e15 -256 -256 -1 -0 -0 -4 -0x5ab -0xe841c3dc -256 -256 -1 -0 -0 -1 -0xfc4e -0x4c441e4d -256 -256 -1 -0 -0 -2 -0xda56 -0x1c14ea52 -256 -256 -0 -0 -0 -1 -0x68a6 -0x93a55420 -256 -256 -1 -0 -0 -2 -0xf61f -0xac99853c -256 -256 -0 -0 -0 -4 -0x3ec2 -0x720a056 -256 -256 -0 -0 -0 -3 -0xbde6 -0x91473e10 -256 -256 -0 -0 -0 -2 -0xc1c6 -0xdd5a0d20 -256 -256 -1 -0 -0 -1 -0x6cb4 -0x4cca154 -256 -256 -1 -0 -0 -3 -0x6e33 -0xf3a2d547 -256 -256 -1 -0 -0 -1 -0xbee0 -0xf4c1482a -256 -256 -0 -0 -0 -2 -0xc530 -0x37230111 -256 -256 -0 -0 -0 -4 -0x73f3 -0xc2a8277 -256 -256 -1 -0 -0 -3 -0x8791 -0x2adc7669 -256 -256 -0 -0 -0 -3 -0x5063 -0xbb5305bc -256 -256 -0 -0 -0 -1 -0x3398 -0xbb7bfc05 -256 -256 -1 -0 -0 -4 -0x3958 -0x28a091dd -256 -256 -1 -0 -0 -3 -0x5cae -0xfc0b06b4 -256 -256 -0 -0 -0 -3 -0x7c0c -0x5129e0df -256 -256 -0 -0 -0 -1 -0x4f80 -0xe580f3a3 -256 -256 -1 -0 -0 -4 -0xd970 -0x7a703663 -256 -256 -1 -0 -0 -1 -0x89ac -0x78bcbf2f -256 -256 -1 -0 -0 -2 -0x97a3 -0x81e877b8 -256 -256 -0 -0 -0 -3 -0x8ed9 -0x6c1a80ed -256 -256 -1 -0 -0 -2 -0x422d -0x907ddf8e -256 -256 -1 -0 -0 -2 -0xb8d3 -0x7f550907 -256 -256 -0 -0 -0 -3 -0x2e72 -0xbd9bf894 -256 -256 -0 -0 -0 -4 -0x633f -0x1b7e8bb6 -256 -256 -1 -0 -0 -4 -0x8fb4 -0xad45d37d -256 -256 -1 -0 -0 -1 -0x508f -0x2374b5c3 -256 -256 -1 -0 -0 -1 -0x7971 -0xfd82e4fc -256 -256 -1 -0 -0 -2 -0x33ed -0xe3ef87e6 -256 -256 -1 -0 -0 -4 -0xfc0d -0xa1f41260 -256 -256 -0 -0 -0 -1 -0xc61 -0xd578c6bb -256 -256 -0 -0 -0 -2 -0x30de -0x4cbf911b -256 -256 -1 -0 -0 -2 -0xaf4e -0xc2101cdd -256 -256 -0 -0 -0 -3 -0xd900 -0x1e357dc8 -256 -256 -1 -0 -0 -2 -0xccaa -0x64adf313 -256 -256 -1 -0 -0 -2 -0xb1dc -0x4d865feb -256 -256 -0 -0 -0 -2 -0x9271 -0xa9b92b05 -256 -256 -0 -0 -0 -3 -0x2cd3 -0xb899e2a6 -256 -256 -1 -0 -0 -1 -0x7219 -0x2938b460 -256 -256 -1 -0 -0 -2 -0x216c -0xedf880 -256 -256 -0 -0 -0 -2 -0x5c56 -0xbfc64422 -256 -256 -1 -0 -0 -3 -0xc49c -0xda60938b -256 -256 -0 -0 -0 -3 -0x66aa -0xfc8dfafb -256 -256 -0 -0 -0 -2 -0xebbf -0xa7fec5f4 -256 -256 -0 -0 -0 -4 -0x7b53 -0x28336fe1 -256 -256 -0 -0 -0 -1 -0x33f9 -0x9d32c6ae -256 -256 -1 -0 -0 -3 -0x11cf -0x291e06e5 -256 -256 -1 -0 -0 -1 -0x8689 -0xc70c37e2 -256 -256 -0 -0 -0 -3 -0x8cd -0x95691c25 -256 -256 -1 -0 -0 -3 -0x3d42 -0x2a656dcd -256 -256 -0 -0 -0 -4 -0xee29 -0xd6804942 -256 -256 -1 -0 -0 -2 -0x821d -0x89859985 -256 -256 -0 -0 -0 -3 -0xd25a -0x25d5643e -256 -256 -0 -0 -0 -4 -0xa86 -0x66fce1ac -256 -256 -0 -0 -0 -1 -0x7d82 -0x1256875a -256 -256 -0 -0 -0 -2 -0x1fdf -0x4d3e2532 -256 -256 -1 -0 -0 -1 -0x619e -0x3f290043 -256 -256 -1 -0 -0 -2 -0x2adf -0xc4520778 -256 -256 -1 -0 -0 -3 -0x1da9 -0x5e321787 -256 -256 -0 -0 -0 -4 -0x8cd9 -0xe14d615c -256 -256 -1 -0 -0 -2 -0xa321 -0x3b35f73f -256 -256 -0 -0 -0 -2 -0x29bb -0xf53d6cca -256 -256 -1 -0 -0 -4 -0xcc85 -0x3df94db8 -256 -256 -0 -0 -0 -2 -0x219b -0x4da48f80 -256 -256 -0 -0 -0 -2 -0x6ba6 -0x84a4553d -256 -256 -1 -0 -0 -4 -0xa97b -0x210927ca -256 -256 -1 -0 -0 -2 -0x9bd3 -0xec85b556 -256 -256 -1 -0 -0 -1 -0xfc9d -0xd50b1dce -256 -256 -0 -0 -0 -4 -0xb2e1 -0x9fbcb155 -256 -256 -0 -0 -0 -1 -0x67cc -0x3fd5430e -256 -256 -0 -0 -0 -3 -0x3258 -0x4335f9bb -256 -256 -0 -0 -0 -1 -0xa1f3 -0x20309029 -256 -256 -1 -0 -0 -4 -0xc9f5 -0x19dd3d11 -256 -256 -0 -0 -0 -2 -0x65a2 -0x8e829dcd -256 -256 -1 -0 -0 -3 -0x5be1 -0x7ecad770 -256 -256 -1 -0 -0 -1 -0x6994 -0xb52596d -256 -256 -0 -0 -0 -3 -0x9841 -0x4b589a55 -256 -256 -1 -0 -0 -2 -0x6fa5 -0xa68bcf4a -256 -256 -0 -0 -0 -4 -0xe9ea -0x76647cc -256 -256 -1 -0 -0 -1 -0x1803 -0x291bd9df -256 -256 -1 -0 -0 -4 -0x5b48 -0x19ca2389 -256 -256 -0 -0 -0 -2 -0xaec -0xd285d65b -256 -256 -1 -0 -0 -3 -0xb0f4 -0x9d02aac2 -256 -256 -1 -0 -0 -1 -0x21fb -0x8c23b07a -256 -256 -0 -0 -0 -1 -0x28aa -0x950dd0bc -256 -256 -0 -0 -0 -2 -0x218 -0xfa2d0cea -256 -256 -1 -0 -0 -1 -0xc7b2 -0x5205ad81 -256 -256 -0 -0 -0 -1 -0x6847 -0x5fd32741 -256 -256 -1 -0 -0 -3 -0x993c -0xcf9b5516 -256 -256 -0 -0 -0 -1 -0xacec -0x1cf037b0 -256 -256 -0 -0 -0 -2 -0x1629 -0xb41b2589 -256 -256 -1 -0 -0 -4 -0xa5d8 -0xaa508a2e -256 -256 -1 -0 -0 -4 -0xfd6 -0xe2ac24f0 -256 -256 -1 -0 -0 -3 -0xda5a -0x7a67870 -256 -256 -0 -0 -0 -1 -0x955f -0x68e47869 -256 -256 -0 -0 -0 -4 -0x65f2 -0x6297b088 -256 -256 -0 -0 -0 -4 -0x26ca -0xffae4ed6 -256 -256 -0 -0 -0 -4 -0x5d37 -0xbf024cf5 -256 -256 -1 -0 -0 -4 -0x45bd -0xbe0222b -256 -256 -0 -0 -0 -3 -0xa6c0 -0xd96bc17a -256 -256 -1 -0 -0 -2 -0x22be -0x1970558e -256 -256 -0 -0 -0 -4 -0x463b -0xccbc84cb -256 -256 -0 -0 -0 -1 -0x87df -0x5ce8a16a -256 -256 -1 -0 -0 -2 -0xbd4d -0xa36a3dd0 -256 -256 -1 -0 -0 -4 -0x158b -0xc4611acb -256 -256 -0 -0 -0 -1 -0xfc2a -0x3266bf79 -256 -256 -1 -0 -0 -2 -0x33e6 -0x47f07b15 -256 -256 -1 -0 -0 -4 -0x61ea -0x807747f6 -256 -256 -1 -0 -0 -4 -0x8f99 -0xbb21b1b0 -256 -256 -1 -0 -0 -2 -0x480e -0x5530510 -256 -256 -0 -0 -0 -2 -0x8648 -0xddbf3c46 -256 -256 -1 -0 -0 -1 -0x23cd -0x526c2911 -256 -256 -1 -0 -0 -3 -0xdc08 -0xa00e189f -256 -256 -0 -0 -0 -1 -0x5f27 -0x4c52e355 -256 -256 -0 -0 -0 -2 -0x1465 -0x80cd193f -256 -256 -0 -0 -0 -3 -0x8df9 -0x679dc8a9 -256 -256 -1 -0 -0 -3 -0xb5d8 -0xdb569d04 -256 -256 -1 -0 -0 -1 -0xd824 -0x8ea394f0 -256 -256 -1 -0 -0 -4 -0x280b -0xc0a65e4 -256 -256 -1 -0 -0 -4 -0xa500 -0x8846e1ea -256 -256 -0 -0 -0 -3 -0xdd0a -0xffe21944 -256 -256 -0 -0 -0 -2 -0x419e -0xfb0dc988 -256 -256 -1 -0 -0 -3 -0x72e4 -0x5c13da35 -256 -256 -1 -0 -0 -1 -0xf1fd -0xfd7363f6 -256 -256 -1 -0 -0 -3 -0x80f9 -0xed4990f2 -256 -256 -1 -0 -0 -4 -0x7e29 -0x298845bb -256 -256 -0 -0 -0 -4 -0x756 -0x9f1eefc3 -256 -256 -1 -0 -0 -1 -0xc36f -0xb2783d11 -256 -256 -1 -0 -0 -4 -0xf5e -0x6daf46f2 -256 -256 -1 -0 -0 -4 -0x7f6a -0x5777b695 -256 -256 -0 -0 -0 -4 -0x7d00 -0xc10051c7 -256 -256 -0 -0 -0 -2 -0xf487 -0xc965b6ee -256 -256 -0 -0 -0 -2 -0x7326 -0x67fb3441 -256 -256 -0 -0 -0 -2 -0x4e3d -0x6adecd68 -256 -256 -0 -0 -0 -4 -0xaf52 -0xa4af3090 -256 -256 -1 -0 -0 -1 -0x32e9 -0x46d8fda6 -256 -256 -1 -0 -0 -3 -0x6923 -0xdba538ad -256 -256 -1 -0 -0 -2 -0xea59 -0x8043cbb1 -256 -256 -0 -0 -0 -3 -0x34b0 -0x8c411dee -256 -256 -0 -0 -0 -3 -0x2676 -0x67b374c -256 -256 -0 -0 -0 -2 -0xb111 -0x35613d3b -256 -256 -1 -0 -0 -3 -0xb883 -0x642d59d6 -256 -256 -1 -0 -0 -1 -0x4dc7 -0xcd8fe010 -256 -256 -1 -0 -0 -2 -0xf8fe -0x20a50bff -256 -256 -1 -0 -0 -3 -0x148b -0x7916b02 -256 -256 -1 -0 -0 -4 -0xb166 -0xe5c6306d -256 -256 -0 -0 -0 -1 -0x3104 -0xfa750ae9 -256 -256 -1 -0 -0 -4 -0x31bb -0xec0ae556 -256 -256 -1 -0 -0 -4 -0x81e2 -0x6f75418a -256 -256 -1 -0 -0 -2 -0xbe59 -0x8162dbbc -256 -256 -1 -0 -0 -2 -0x16b -0x6c05697 -256 -256 -1 -0 -0 -3 -0xad35 -0x9d4a75a8 -256 -256 -1 -0 -0 -1 -0xfb8c -0x906bce8a -256 -256 -1 -0 -0 -4 -0x6760 -0xfea0ee74 -256 -256 -0 -0 -0 -4 -0x686 -0xe29bfd63 -256 -256 -1 -0 -0 -2 -0xa7e7 -0x1e53f903 -256 -256 -1 -0 -0 -3 -0xbf1a -0x37e1e4c8 -256 -256 -0 -0 -0 -2 -0xc94e -0xc186bcd -256 -256 -1 -0 -0 -2 -0xb5ad -0x9038a65b -256 -256 -1 -0 -0 -3 -0xbe10 -0xea385d64 -256 -256 -0 -0 -0 -1 -0x19b1 -0x7a75fa29 -256 -256 -0 -0 -0 -2 -0xf6d8 -0x11d8605d -256 -256 -0 -0 -0 -3 -0x7554 -0xc4838b16 -256 -256 -1 -0 -0 -4 -0x928b -0xe19629df -256 -256 -1 -0 -0 -2 -0x880c -0xb69566a6 -256 -256 -0 -0 -0 -2 -0x1ad3 -0xada692b9 -256 -256 -0 -0 -0 -2 -0xf885 -0x38a83a9e -256 -256 -1 -0 -0 -4 -0x4c85 -0xa5cb140a -256 -256 -1 -0 -0 -4 -0x69c9 -0xee4609b1 -256 -256 -0 -0 -0 -2 -0xe6c5 -0xacea004d -256 -256 -1 -0 -0 -1 -0x94f5 -0xee3dfe56 -256 -256 -1 -0 -0 -3 -0x155a -0xc57dbfe2 -256 -256 -1 -0 -0 -3 -0x90b3 -0x28c9eb90 -256 -256 -1 -0 -0 -3 -0xfc54 -0x396fb9ed -256 -256 -1 -0 -0 -4 -0xcd36 -0x2fe811a3 -256 -256 -1 -0 -0 -1 -0x99e2 -0x93d5ba64 -256 -256 -0 -0 -0 -4 -0x1033 -0xb4a3a789 -256 -256 -1 -0 -0 -1 -0xf291 -0x4aaffbd6 -256 -256 -1 -0 -0 -1 -0x9fb4 -0x2a5ea826 -256 -256 -0 -0 -0 -3 -0x762a -0x1c254209 -256 -256 -0 -0 -0 -4 -0x9292 -0x7c199752 -256 -256 -1 -0 -0 -3 -0x575 -0x7ce943c7 -256 -256 -0 -0 -0 -2 -0xb45b -0xf760a29a -256 -256 -1 -0 -0 -4 -0x2b82 -0x7fbaf615 -256 -256 -0 -0 -0 -1 -0xeae5 -0x58bef92 -256 -256 -1 -0 -0 -3 -0xb6c0 -0x6c4d3391 -256 -256 -1 -0 -0 -4 -0x5286 -0x3dcc6199 -256 -256 -0 -0 -0 -3 -0x152 -0xe777a47 -256 -256 -0 -0 -0 -4 -0x3d0a -0x88f5a98 -256 -256 -1 -0 -0 -1 -0x6e13 -0xf02b03e2 -256 -256 -1 -0 -0 -3 -0x32fd -0x2160d4c8 -256 -256 -1 -0 -0 -1 -0x71c2 -0xc6a7698 -256 -256 -0 -0 -0 -2 -0x3d9c -0x6de692ff -256 -256 -0 -0 -0 -3 -0x14a7 -0xabc5b209 -256 -256 -1 -0 -0 -2 -0x6de9 -0x2e407e65 -256 -256 -0 -0 -0 -3 -0xd4d3 -0x291a0285 -256 -256 -1 -0 -0 -4 -0x243c -0x65967200 -256 -256 -1 -0 -0 -3 -0x5399 -0x4c782a4c -256 -256 -1 -0 -0 -4 -0x2f28 -0x8e77306 -256 -256 -0 -0 -0 -3 -0xd9ac -0xdea4751d -256 -256 -1 -0 -0 -3 -0xcb1c -0x18ffb3b5 -256 -256 -1 -0 -0 -1 -0x5a1c -0x1ccff520 -256 -256 -0 -0 -0 -3 -0x5284 -0xbaf16497 -256 -256 -0 -0 -0 -4 -0x8cc8 -0xd322b238 -256 -256 -1 -0 -0 -1 -0x1aec -0x4e2cdd25 -256 -256 -1 -0 -0 -4 -0xab18 -0x4daf4c81 -256 -256 -1 -0 -0 -2 -0x4f2 -0xf01d62ea -256 -256 -1 -0 -0 -1 -0x9840 -0x134398a6 -256 -256 -1 -0 -0 -4 -0x58ac -0x968e5163 -256 -256 -0 -0 -0 -3 -0xca41 -0x8c74d410 -256 -256 -0 -0 -0 -4 -0x1403 -0x3ee8b92b -256 -256 -0 -0 -0 -1 -0x43fd -0x65d59d40 -256 -256 -1 -0 -0 -3 -0xf5ea -0x6faafb65 -256 -256 -1 -0 -0 -3 -0x7196 -0x5a4b23a7 -256 -256 -1 -0 -0 -4 -0xd86e -0x88b4b61d -256 -256 -0 -0 -0 -2 -0x6e19 -0x530c439c -256 -256 -1 -0 -0 -4 -0x5cc0 -0x5c6b8ecc -256 -256 -1 -0 -0 -3 -0xce79 -0x63e10d1e -256 -256 -1 -0 -0 -3 -0xf7f0 -0x4b98833c -256 -256 -1 -0 -0 -2 -0x118c -0xd00c1aa4 -256 -256 -0 -0 -0 -1 -0xd583 -0xd71a199 -256 -256 -1 -0 -0 -4 -0xa18f -0xf8360a78 -256 -256 -0 -0 -0 -2 -0x1262 -0xadc2fd6b -256 -256 -0 -0 -0 -2 -0x26ea -0x6b23ace5 -256 -256 -1 -0 -0 -2 -0x20a8 -0x97f34a6e -256 -256 -0 -0 -0 -2 -0x7153 -0xc2b810b0 -256 -256 -0 -0 -0 -2 -0x4f30 -0x7b85a11b -256 -256 -1 -0 -0 -4 -0x6150 -0x67c5e3d4 -256 -256 -1 -0 -0 -1 -0x3513 -0x26466b81 -256 -256 -0 -0 -0 -3 -0x712b -0xd3b1f4e5 -256 -256 -0 -0 -0 -3 -0xa228 -0x216b4391 -256 -256 -1 -0 -0 -1 -0x6328 -0x95e1fabe -256 -256 -0 -0 -0 -1 -0x880c -0xbc831229 -256 -256 -0 -0 -0 -3 -0xf9bf -0xb3500de -256 -256 -0 -0 -0 -4 -0xd9d7 -0x634c73dc -256 -256 -1 -0 -0 -1 -0x8723 -0x23efffed -256 -256 -1 -0 -0 -4 -0xf403 -0xe163654d -256 -256 -1 -0 -0 -4 -0x5d21 -0x7b1b6b33 -256 -256 -0 -0 -0 -2 -0x868a -0x884dbbac -256 -256 -0 -0 -0 -3 -0x77ec -0xcd3f030 -256 -256 -1 -0 -0 -4 -0xf277 -0xe45783bb -256 -256 -1 -0 -0 -1 -0x8b07 -0x285fefe -256 -256 -0 -0 -0 -2 -0x8645 -0xed3250af -256 -256 -0 -0 -0 -4 -0x2a80 -0xb6f0d858 -256 -256 -1 -0 -0 -4 -0x791f -0xe6dd9851 -256 -256 -0 -0 -0 -4 -0xae0a -0x78eb66ce -256 -256 -0 -0 -0 -3 -0xcc9f -0xeefe72e -256 -256 -1 -0 -0 -1 -0x20e2 -0xc5a876e9 -256 -256 -1 -0 -0 -4 -0x8fc5 -0x7cd3dc52 -256 -256 -1 -0 -0 -4 -0x2683 -0xda2f99d0 -256 -256 -0 -0 -0 -4 -0xaffa -0x3682997 -256 -256 -0 -0 -0 -3 -0x2644 -0xb9b90781 -256 -256 -1 -0 -0 -3 -0xd999 -0x74cc2e0d -256 -256 -1 -0 -0 -4 -0xff7a -0x4b6eeb43 -256 -256 -1 -0 -0 -2 -0x17f9 -0x64ad67e3 -256 -256 -0 -0 -0 -1 -0x3e18 -0x1d2fa218 -256 -256 -1 -0 -0 -4 -0x3554 -0xcc808b12 -256 -256 -1 -0 -0 -1 -0x75c5 -0xcb018fec -256 -256 -1 -0 -0 -4 -0xc52d -0xcf97bed2 -256 -256 -0 -0 -0 -1 -0x729e -0x5fc4564c -256 -256 -1 -0 -0 -1 -0xb8e7 -0x8bd6b793 -256 -256 -0 -0 -0 -4 -0xfa66 -0x61395620 -256 -256 -0 -0 -0 -3 -0x61ad -0x923c661f -256 -256 -1 -0 -0 -3 -0x6949 -0xd228725 -256 -256 -0 -0 -0 -3 -0x54d9 -0xf783a2be -256 -256 -1 -0 -0 -3 -0x94b7 -0x779bd520 -256 -256 -1 -0 -0 -4 -0xf560 -0xe260faee -256 -256 -0 -0 -0 -1 -0x7b36 -0x2706571e -256 -256 -1 -0 -0 -2 -0xffe -0x30b1a93c -256 -256 -1 -0 -0 -3 -0x74a8 -0x713ed16c -256 -256 -0 -0 -0 -1 -0xb24c -0xb7935703 -256 -256 -0 -0 -0 -3 -0x870 -0xbf3160d1 -256 -256 -1 -0 -0 -3 -0x295 -0x333aca63 -256 -256 -1 -0 -0 -1 -0xc647 -0x9a783fab -256 -256 -1 -0 -0 -3 -0xff87 -0x9aeeb6ca -256 -256 -1 -0 -0 -3 -0x369e -0xbc878697 -256 -256 -1 -0 -0 -3 -0x98fe -0xfb03e569 -256 -256 -1 -0 -0 -4 -0x1b3e -0xe6ff92f8 -256 -256 -1 -0 -0 -1 -0xc3a6 -0xd9df0236 -256 -256 -0 -0 -0 -2 -0x6a6f -0x96049f4b -256 -256 -1 -0 -0 -1 -0xb42f -0x469269d5 -256 -256 -0 -0 -0 -1 -0x7034 -0x65c0c135 -256 -256 -0 -0 -0 -2 -0xa912 -0x1ebf6905 -256 -256 -1 -0 -0 -4 -0x44f2 -0x13808ffc -256 -256 -1 -0 -0 -4 -0xeab1 -0x70e0c850 -256 -256 -0 -0 -0 -4 -0xf696 -0xf0b1d859 -256 -256 -0 -0 -0 -3 -0x7bd6 -0x5a80f862 -256 -256 -0 -0 -0 -3 -0xbd93 -0x32b32433 -256 -256 -1 -0 -0 -3 -0x6cd4 -0x9e6063e5 -256 -256 -1 -0 -0 -1 -0x30ae -0x7b9f08c1 -256 -256 -0 -0 -0 -1 -0xd4be -0x7f980b07 -256 -256 -0 -0 -0 -4 -0x7d03 -0xe2009714 -256 -256 -0 -0 -0 -3 -0xb7eb -0x939f1b4 -256 -256 -0 -0 -0 -1 -0xcb30 -0xf462f6fe -256 -256 -1 -0 -0 -4 -0x2d5e -0x413c68e1 -256 -256 -1 -0 -0 -4 -0xaac -0xa3dcfc27 -256 -256 -0 -0 -0 -1 -0xfae -0x473d327 -256 -256 -1 -0 -0 -4 -0x8f46 -0xb5008ec2 -256 -256 -1 -0 -0 -4 -0xde03 -0x6473fe41 -256 -256 -1 -0 -0 -2 -0xa8f5 -0x559a635f -256 -256 -0 -0 -0 -1 -0xa8b6 -0x458279 -256 -256 -0 -0 -0 -1 -0xd734 -0x6f62c41a -256 -256 -0 -0 -0 -4 -0x5dda -0x18515102 -256 -256 -1 -0 -0 -4 -0x39ea -0xaf85395a -256 -256 -0 -0 -0 -3 -0xc1c4 -0x230cce95 -256 -256 -0 -0 -0 -2 -0x8b94 -0x5533992c -256 -256 -0 -0 -0 -4 -0xed99 -0xfea69849 -256 -256 -1 -0 -0 -1 -0xca40 -0xe9a5cfd3 -256 -256 -1 -0 -0 -4 -0xa240 -0x2dbf4856 -256 -256 -1 -0 -0 -2 -0x1fad -0x653733e5 -256 -256 -1 -0 -0 -1 -0x1135 -0x7ab3b5d0 -256 -256 -0 -0 -0 -4 -0xc2b8 -0x52a8341 -256 -256 -1 -0 -0 -1 -0xb413 -0xdc780255 -256 -256 -1 -0 -0 -2 -0xfa38 -0xf6c6a64c -256 -256 -0 -0 -0 -4 -0x34c0 -0x862141e2 -256 -256 -1 -0 -0 -3 -0xb511 -0xa54fb72c -256 -256 -0 -0 -0 -4 -0xc238 -0x68cef794 -256 -256 -0 -0 -0 -3 -0xe37b -0x643698b0 -256 -256 -1 -0 -0 -2 -0xfdfb -0x4c7039dd -256 -256 -0 -0 -0 -4 -0xe2d8 -0xba4bfbb1 -256 -256 -0 -0 -0 -3 -0x1b8b -0xbe23a0bd -256 -256 -0 -0 -0 -2 -0x8e18 -0x5342adf5 -256 -256 -1 -0 -0 -4 -0x4864 -0x2a991b4e -256 -256 -1 -0 -0 -1 -0x8de4 -0x7cb2224e -256 -256 -0 -0 -0 -3 -0x99ea -0xd956a95 -256 -256 -1 -0 -0 -4 -0xae3d -0xae7f5649 -256 -256 -1 -0 -0 -4 -0xe498 -0xd0403100 -256 -256 -1 -0 -0 -3 -0x1bfd -0x2688eb11 -256 -256 -0 -0 -0 -4 -0xf38 -0xf1f020ee -256 -256 -1 -0 -0 -1 -0x9c57 -0xd5122036 -256 -256 -1 -0 -0 -4 -0x1122 -0xbe396f41 -256 -256 -1 -0 -0 -3 -0x1079 -0xdf9fd18f -256 -256 -1 -0 -0 -2 -0xcb5f -0xb4cd7a8c -256 -256 -1 -0 -0 -3 -0x7935 -0x2383c870 -256 -256 -1 -0 -0 -3 -0x4788 -0x814f868 -256 -256 -0 -0 -0 -3 -0x21 -0x8cfeab20 -256 -256 -0 -0 -0 -2 -0x7c34 -0xbd309154 -256 -256 -0 -0 -0 -3 -0x7ab4 -0xc9fab2f9 -256 -256 -0 -0 -0 -4 -0x2285 -0x8e2aa211 -256 -256 -0 -0 -0 -3 -0x5996 -0x58a090a6 -256 -256 -0 -0 -0 -2 -0xca55 -0xa69d9418 -256 -256 -0 -0 -0 -3 -0x9b58 -0xb19c72df -256 -256 -1 -0 -0 -1 -0xba75 -0x97c8a55a -256 -256 -0 -0 -0 -3 -0x1943 -0xd84bb6f1 -256 -256 -0 -0 -0 -4 -0xb702 -0xdc3ab693 -256 -256 -1 -0 -0 -4 -0xf606 -0x4ef06560 -256 -256 -1 -0 -0 -2 -0x1917 -0xfd0debb5 -256 -256 -0 -0 -0 -4 -0x97dc -0xb86173a7 -256 -256 -1 -0 -0 -4 -0x4b27 -0x4ec71c98 -256 -256 -0 -0 -0 -1 -0x1d59 -0x333d54a9 -256 -256 -0 -0 -0 -3 -0x1d09 -0xd1901f5e -256 -256 -1 -0 -0 -1 -0x23c5 -0xe01a06f6 -256 -256 -0 -0 -0 -1 -0x4a0 -0x891432d5 -256 -256 -0 -0 -0 -1 -0xb700 -0x1bab0472 -256 -256 -0 -0 -0 -2 -0xc635 -0xbc7a8352 -256 -256 -0 -0 -0 -1 -0xc805 -0x330490ec -256 -256 -1 -0 -0 -4 -0xcb31 -0xca8fde8d -256 -256 -0 -0 -0 -3 -0x85ae -0xcc4f5304 -256 -256 -0 -0 -0 -1 -0xa63f -0x9da0fd51 -256 -256 -1 -0 -0 -4 -0x7c83 -0xcbd4d78a -256 -256 -0 -0 -0 -3 -0x647e -0x53bb14d9 -256 -256 -0 -0 -0 -1 -0xec13 -0xff776250 -256 -256 -1 -0 -0 -4 -0x9cd -0xa6424e9e -256 -256 -1 -0 -0 -3 -0x5a94 -0xb6db3767 -256 -256 -0 -0 -0 -3 -0x7adc -0x6d4ff33c -256 -256 -1 -0 -0 -2 -0x39ac -0xd24da9ed -256 -256 -0 -0 -0 -2 -0xd593 -0xf8bb777e -256 -256 -1 -0 -0 -1 -0x3c15 -0x27c359e0 -256 -256 -0 -0 -0 -1 -0xe8c2 -0xce6b0aa6 -256 -256 -1 -0 -0 -1 -0xbc1c -0x609443f0 -256 -256 -0 -0 -0 -2 -0x4168 -0x76b838a4 -256 -256 -1 -0 -0 -2 -0x6eee -0x33d556ed -256 -256 -1 -0 -0 -1 -0xc93f -0x6f73ca88 -256 -256 -1 -0 -0 -4 -0x12c -0x562bf8d -256 -256 -0 -0 -0 -3 -0x9b54 -0xe8719f05 -256 -256 -1 -0 -0 -2 -0xcdf4 -0xca0986ba -256 -256 -0 -0 -0 -1 -0x5b7a -0xb0aaa75f -256 -256 -0 -0 -0 -4 -0x8c75 -0x84f8bc08 -256 -256 -0 -0 -0 -4 -0xc0fe -0x87d7f692 -256 -256 -0 -0 -0 -3 -0x79ba -0x83b0b44a -256 -256 -1 -0 -0 -4 -0x567e -0xf4fa345e -256 -256 -1 -0 -0 -1 -0xe4e5 -0x7f7cc97c -256 -256 -1 -0 -0 -3 -0x98b8 -0xa0d5ddaf -256 -256 -1 -0 -0 -4 -0x3ca3 -0x290b9e -256 -256 -0 -0 -0 -4 -0x440c -0xf050bbe1 -256 -256 -1 -0 -0 -4 -0x6a29 -0x42d9a10 -256 -256 -1 -0 -0 -1 -0x15a9 -0x51e9dfef -256 -256 -1 -0 -0 -1 -0x4154 -0xf8959427 -256 -256 -0 -0 -0 -1 -0xb1d1 -0x951e5cd5 -256 -256 -0 -0 -0 -2 -0xc154 -0x1c9f01c2 -256 -256 -0 -0 -0 -3 -0x2ea7 -0xf5e46c88 -256 -256 -0 -0 -0 -3 -0xfa47 -0x4bee6a96 -256 -256 -0 -0 -0 -2 -0x148e -0xbbe4ce32 -256 -256 -1 -0 -0 -2 -0xe091 -0x7e122d4a -256 -256 -1 -0 -0 -2 -0xbece -0x561d4eb6 -256 -256 -1 -0 -0 -2 -0x6226 -0xdf49cf6e -256 -256 -0 -0 -0 -3 -0x890b -0xa02226bd -256 -256 -1 -0 -0 -4 -0xf8b6 -0x78f8d3d8 -256 -256 -1 -0 -0 -1 -0xa407 -0x2235b459 -256 -256 -0 -0 -0 -2 -0x3556 -0x9ddd30b9 -256 -256 -0 -0 -0 -4 -0x1436 -0xc5e4df22 -256 -256 -0 -0 -0 -3 -0xb90f -0xaae804ce -256 -256 -1 -0 -0 -3 -0x6830 -0x9069fef7 -256 -256 -1 -0 -0 -2 -0x9f4b -0x42985e4a -256 -256 -1 -0 -0 -2 -0x2150 -0x28b51da2 -256 -256 -1 -0 -0 -1 -0x2ff0 -0xc89c4468 -256 -256 -1 -0 -0 -3 -0x6677 -0x78ac1862 -256 -256 -0 -0 -0 -4 -0xce65 -0x4823916 -256 -256 -0 -0 -0 -2 -0x2c62 -0x12be63d0 -256 -256 -0 -0 -0 -1 -0x14b9 -0x8892c526 -256 -256 -1 -0 -0 -3 -0xd400 -0xb97d6227 -256 -256 -1 -0 -0 -2 -0x9471 -0x3f4e9f80 -256 -256 -1 -0 -0 -4 -0x8935 -0xb29d23cf -256 -256 -1 -0 -0 -1 -0x9bf8 -0xa1f8dfbf -256 -256 -1 -0 -0 -1 -0xd2fc -0xabab02d3 -256 -256 -1 -0 -0 -3 -0x7c94 -0x90ea6257 -256 -256 -0 -0 -0 -3 -0x9262 -0x391707d3 -256 -256 -1 -0 -0 -4 -0x7b23 -0x88e377ca -256 -256 -0 -0 -0 -1 -0x8350 -0x57abb0d2 -256 -256 -1 -0 -0 -2 -0xf25c -0xaa829cff -256 -256 -1 -0 -0 -1 -0x9e22 -0xbcf1ab60 -256 -256 -0 -0 -0 -1 -0x11a0 -0x38232368 -256 -256 -0 -0 -0 -2 -0xc79e -0xa26a40f4 -256 -256 -1 -0 -0 -2 -0xd8a8 -0x9d959d44 -256 -256 -0 -0 -0 -3 -0x405f -0x6cd6d117 -256 -256 -1 -0 -0 -2 -0xa8da -0x52c5c39a -256 -256 -1 -0 -0 -1 -0xd293 -0x1b8f1a5a -256 -256 -0 -0 -0 -3 -0xa37d -0x56c15dab -256 -256 -0 -0 -0 -2 -0xee12 -0xb9aeffb3 -256 -256 -1 -0 -0 -3 -0x90fe -0xd1803aaf -256 -256 -0 -0 -0 -4 -0xabdc -0xf71059e6 -256 -256 -0 -0 -0 -4 -0xbe4f -0x1ba7863c -256 -256 -0 -0 -0 -4 -0xd907 -0x4d72413c -256 -256 -0 -0 -0 -2 -0x1f20 -0xbc2870b4 -256 -256 -1 -0 -0 -4 -0xa43b -0x3339cf51 -256 -256 -1 -0 -0 -2 -0xa9ab -0x65e4c393 -256 -256 -0 -0 -0 -2 -0x3db4 -0x368958bc -256 -256 -1 -0 -0 -1 -0xc826 -0x8b6fd8cc -256 -256 -0 -0 -0 -1 -0xc82d -0xf9b1928c -256 -256 -1 -0 -0 -3 -0x2391 -0x12b628b -256 -256 -0 -0 -0 -2 -0xb0d3 -0xf4130368 -256 -256 -1 -0 -0 -2 -0xb6bc -0x80aded22 -256 -256 -1 -0 -0 -3 -0x711f -0x120f67a -256 -256 -1 -0 -0 -3 -0x4d7e -0x36435f11 -256 -256 -1 -0 -0 -4 -0xf623 -0x54c838b2 -256 -256 -1 -0 -0 -4 -0xc573 -0xae70cd06 -256 -256 -0 -0 -0 -3 -0xa1a9 -0x5036556b -256 -256 -0 -0 -0 -2 -0x3bf3 -0x5a4384d3 -256 -256 -0 -0 -0 -3 -0x88c9 -0xb7f953d6 -256 -256 -1 -0 -0 -1 -0x9392 -0x13b8acc2 -256 -256 -0 -0 -0 -1 -0x8eb9 -0xff3430d9 -256 -256 -1 -0 -0 -2 -0x97b4 -0xb93a50ea -256 -256 -1 -0 -0 -1 -0x55d7 -0x1fd58637 -256 -256 -0 -0 -0 -3 -0x7040 -0x8f4182c8 -256 -256 -1 -0 -0 -3 -0x957d -0x2c754232 -256 -256 -0 -0 -0 -1 -0x914c -0x6f4a1e7b -256 -256 -0 -0 -0 -2 -0x3e76 -0xfa994b75 -256 -256 -0 -0 -0 -1 -0x672d -0x2b41b365 -256 -256 -1 -0 -0 -3 -0x4077 -0x88397d73 -256 -256 -0 -0 -0 -4 -0xa5f0 -0x1a850d58 -256 -256 -0 -0 -0 -1 -0x840e -0xbf5cc190 -256 -256 -1 -0 -0 -3 -0x892f -0xf800acb2 -256 -256 -0 -0 -0 -3 -0x6281 -0x2c96b333 -256 -256 -1 -0 -0 -2 -0x148e -0x42f2988b -256 -256 -0 -0 -0 -3 -0x5fcd -0x217cba99 -256 -256 -0 -0 -0 -1 -0x57a -0xbe0da079 -256 -256 -1 -0 -0 -4 -0xd6bc -0x7cc87422 -256 -256 -0 -0 -0 -2 -0xed00 -0xe2663b3f -256 -256 -1 -0 -0 -3 -0x30d3 -0x10248117 -256 -256 -1 -0 -0 -3 -0xbbc6 -0x42ee7beb -256 -256 -1 -0 -0 -3 -0xeec9 -0x8ced9490 -256 -256 -0 -0 -0 -4 -0x78d7 -0xe6574f98 -256 -256 -1 -0 -0 -2 -0xd7ab -0x689f8637 -256 -256 -0 -0 -0 -1 -0x9077 -0x22b85aa0 -256 -256 -0 -0 -0 -3 -0x76da -0xd0241a63 -256 -256 -0 -0 -0 -3 -0x19c9 -0xeb632596 -256 -256 -0 -0 -0 -2 -0xedf1 -0xf6c6b98d -256 -256 -0 -0 -0 -1 -0xdd44 -0x8d8ffaf9 -256 -256 -0 -0 -0 -3 -0x610c -0x68ccb15d -256 -256 -0 -0 -0 -3 -0x88bc -0xf5c24e51 -256 -256 -1 -0 -0 -4 -0x1699 -0xce7bf921 -256 -256 -1 -0 -0 -3 -0xbe03 -0xe84ee569 -256 -256 -0 -0 -0 -2 -0xabed -0x9d83cab6 -256 -256 -0 -0 -0 -1 -0x33b0 -0xec0d9dbe -256 -256 -0 -0 -0 -2 -0x9843 -0xa36d4f25 -256 -256 -0 -0 -0 -2 -0xdf74 -0x32ae8a36 -256 -256 -1 -0 -0 -3 -0xb9a5 -0x7c7b1ce9 -256 -256 -1 -0 -0 -1 -0x2df2 -0x8df71aa9 -256 -256 -0 -0 -0 -2 -0xf195 -0xb11f198f -256 -256 -0 -0 -0 -2 -0xb8f3 -0x66a1c8a6 -256 -256 -1 -0 -0 -4 -0x1a20 -0x74f89810 -256 -256 -1 -0 -0 -4 -0xc7f3 -0x6c059109 -256 -256 -1 -0 -0 -1 -0xc2f8 -0x5964c311 -256 -256 -0 -0 -0 -1 -0x678f -0x3ebdce3a -256 -256 -0 -0 -0 -4 -0xde82 -0x3c983473 -256 -256 -1 -0 -0 -2 -0xa293 -0x4678877a -256 -256 -1 -0 -0 -2 -0x1413 -0xa247e8a9 -256 -256 -0 -0 -0 -4 -0x8b21 -0x5190d2c0 -256 -256 -0 -0 -0 -3 -0x3820 -0xb9c7fe56 -256 -256 -0 -0 -0 -2 -0xb22a -0x60a51639 -256 -256 -1 -0 -0 -1 -0xb979 -0x94802876 -256 -256 -0 -0 -0 -1 -0x82c6 -0xb757054a -256 -256 -1 -0 -0 -4 -0xeec8 -0xf05220a -256 -256 -1 -0 -0 -1 -0x82e6 -0x1be87498 -256 -256 -1 -0 -0 -3 -0xdb00 -0x98a757f7 -256 -256 -1 -0 -0 -2 -0x141a -0x18b91dcc -256 -256 -1 -0 -0 -2 -0x7f7a -0xe6211d9b -256 -256 -0 -0 -0 -1 -0xa05b -0x10e97ce4 -256 -256 -0 -0 -0 -2 -0x41f5 -0x34cb2022 -256 -256 -0 -0 -0 -3 -0x7144 -0xfd1f655e -256 -256 -1 -0 -0 -4 -0x46e9 -0xd3b1930d -256 -256 -1 -0 -0 -3 -0xd202 -0x59eefe01 -256 -256 -1 -0 -0 -3 -0x7924 -0x4ed122f8 -256 -256 -1 -0 -0 -4 -0xf355 -0x5af270e1 -256 -256 -0 -0 -0 -1 -0x9bef -0xda7203ae -256 -256 -0 -0 -0 -1 -0x6b37 -0x833d477d -256 -256 -1 -0 -0 -3 -0xef8a -0x8472f6e0 -256 -256 -1 -0 -0 -3 -0x21e2 -0xccb2daf4 -256 -256 -1 -0 -0 -1 -0xa98 -0xee7cf07b -256 -256 -0 -0 -0 -2 -0x6509 -0x46395a04 -256 -256 -1 -0 -0 -1 -0xb3ad -0x1c603c83 -256 -256 -1 -0 -0 -4 -0x1ec3 -0xf6ac4708 -256 -256 -1 -0 -0 -1 -0xa41a -0x26d23812 -256 -256 -0 -0 -0 -4 -0x916f -0x85abeacb -256 -256 -1 -0 -0 -1 -0xa7 -0x460bb497 -256 -256 -1 -0 -0 -3 -0x9b15 -0xd7f5c452 -256 -256 -0 -0 -0 -3 -0x397c -0x4efa914b -256 -256 -1 -0 -0 -1 -0x66e3 -0x6be0e146 -256 -256 -0 -0 -0 -1 -0xf9c7 -0x2267796f -256 -256 -1 -0 -0 -3 -0x7835 -0x23e321cd -256 -256 -0 -0 -0 -3 -0x8f92 -0x236ca96b -256 -256 -0 -0 -0 -1 -0x2472 -0xa15a330f -256 -256 -1 -0 -0 -2 -0x1b1c -0xfa25a556 -256 -256 -0 -0 -0 -1 -0xfb9c -0x75b28dda -256 -256 -0 -0 -0 -2 -0xf627 -0xa901965e -256 -256 -1 -0 -0 -4 -0x86b2 -0xb7b89e88 -256 -256 -1 -0 -0 -4 -0x5d47 -0x3d994392 -256 -256 -0 -0 -0 -2 -0xb92f -0x3fd70c5b -256 -256 -1 -0 -0 -4 -0xffa -0x5b1d79b7 -256 -256 -0 -0 -0 -2 -0x80d -0x54c1d520 -256 -256 -1 -0 -0 -4 -0xccac -0xb037c229 -256 -256 -1 -0 -0 -4 -0x5708 -0xfefb9c23 -256 -256 -0 -0 -0 -1 -0xbb4b -0x7085edd4 -256 -256 -0 -0 -0 -2 -0x579d -0xc1ede9a0 -256 -256 -1 -0 -0 -2 -0x3240 -0xf27f57b0 -256 -256 -1 -0 -0 -2 -0x716 -0xfc25501c -256 -256 -0 -0 -0 -4 -0xd951 -0x2908ae2b -256 -256 -1 -0 -0 -2 -0x1cf7 -0xab713e79 -256 -256 -0 -0 -0 -4 -0x1d6 -0x6583cbce -256 -256 -1 -0 -0 -3 -0x2c9e -0xd801e2f3 -256 -256 -0 -0 -0 -2 -0x7bc5 -0x16cdd8c4 -256 -256 -0 -0 -0 -4 -0x2471 -0x1e3c4d64 -256 -256 -0 -0 -0 -3 -0x3fcd -0x111896b0 -256 -256 -0 -0 -0 -2 -0x5e12 -0x65105149 -256 -256 -0 -0 -0 -2 -0xbb5 -0x8e066a8a -256 -256 -1 -0 -0 -2 -0xf439 -0x9093836b -256 -256 -1 -0 -0 -1 -0x473f -0xd92bb5e1 -256 -256 -1 -0 -0 -4 -0x2646 -0x884b1869 -256 -256 -0 -0 -0 -3 -0x9bb4 -0x4610d846 -256 -256 -1 -0 -0 -2 -0xdbf8 -0x5d153cc5 -256 -256 -1 -0 -0 -3 -0x2ea3 -0x73f643b8 -256 -256 -1 -0 -0 -1 -0x3b5a -0xae3d1d76 -256 -256 -0 -0 -0 -1 -0x7138 -0xe83c0323 -256 -256 -0 -0 -0 -3 -0x50fb -0x4f09629d -256 -256 -1 -0 -0 -1 -0x1e75 -0xe9080a6b -256 -256 -0 -0 -0 -2 -0x68d9 -0x1b140764 -256 -256 -0 -0 -0 -2 -0xd814 -0x7edb39e4 -256 -256 -1 -0 -0 -3 -0xb99f -0x1dee9f06 -256 -256 -0 -0 -0 -1 -0x9c4a -0x1b5a3e58 -256 -256 -1 -0 -0 -1 -0x3f23 -0x243205c8 -256 -256 -0 -0 -0 -1 -0x91a7 -0x68f249a -256 -256 -0 -0 -0 -2 -0xfdb5 -0x85f4e13b -256 -256 -1 -0 -0 -2 -0xcf98 -0xd92498b -256 -256 -1 -0 -0 -1 -0xeb90 -0xa27741fd -256 -256 -0 -0 -0 -4 -0xffe5 -0x9bf92cc -256 -256 -1 -0 -0 -2 -0xdb80 -0xe7cde952 -256 -256 -0 -0 -0 -4 -0x819 -0x9b8508c6 -256 -256 -1 -0 -0 -1 -0xfa61 -0xd2f27bfd -256 -256 -1 -0 -0 -2 -0xef0c -0x235ef4cb -256 -256 -0 -0 -0 -3 -0xdb9f -0xfdab5b88 -256 -256 -1 -0 -0 -3 -0x733e -0xfdb234a1 -256 -256 -0 -0 -0 -4 -0x239b -0x60776b0f -256 -256 -0 -0 -0 -2 -0x6f5c -0xffbe1379 -256 -256 -1 -0 -0 -2 -0x5d19 -0xb52c386b -256 -256 -1 -0 -0 -2 -0x6e4b -0xdabfa21c -256 -256 -0 -0 -0 -4 -0x67a4 -0xc04d0ce4 -256 -256 -0 -0 -0 -2 -0xfacb -0xe5192501 -256 -256 -1 -0 -0 -3 -0xe37f -0x6b293951 -256 -256 -1 -0 -0 -1 -0xcda9 -0xd61c34e9 -256 -256 -1 -0 -0 -4 -0x34d6 -0x9e3c3557 -256 -256 -0 -0 -0 -3 -0x548c -0x81450d72 -256 -256 -1 -0 -0 -4 -0x71eb -0xafe82245 -256 -256 -1 -0 -0 -2 -0x84fe -0xc54f14b7 -256 -256 -1 -0 -0 -4 -0x3a9e -0x6ebfdf05 -256 -256 -1 -0 -0 -2 -0xad90 -0x9cedc096 -256 -256 -1 -0 -0 -3 -0x26cd -0xc75e59aa -256 -256 -0 -0 -0 -3 -0xcf8a -0x7ba89596 -256 -256 -0 -0 -0 -3 -0x9c16 -0x98c4a71e -256 -256 -1 -0 -0 -2 -0xc63a -0x1890966e -256 -256 -1 -0 -0 -3 -0xd1bd -0x987321b8 -256 -256 -0 -0 -0 -1 -0x7aed -0x73d3dd5c -256 -256 -0 -0 -0 -2 -0x8048 -0xaaa72f9c -256 -256 -1 -0 -0 -3 -0x92bf -0x853278bc -256 -256 -0 -0 -0 -3 -0x8746 -0x94d5d206 -256 -256 -0 -0 -0 -1 -0x9d5f -0xf881e9e3 -256 -256 -1 -0 -0 -4 -0x6ed1 -0x3715dd22 -256 -256 -0 -0 -0 -2 -0x4a42 -0x7c82d1b0 -256 -256 -1 -0 -0 -4 -0x4b6 -0xa019aded -256 -256 -1 -0 -0 -2 -0x9eea -0x4617c4d0 -256 -256 -1 -0 -0 -4 -0xb7c6 -0x31e7c21e -256 -256 -0 -0 -0 -2 -0xc1e0 -0x189fabfb -256 -256 -1 -0 -0 -1 -0xa31c -0xa3e65bc6 -256 -256 -1 -0 -0 -1 -0x59ea -0xc0f19516 -256 -256 -1 -0 -0 -2 -0xe4a7 -0x1974031c -256 -256 -0 -0 -0 -2 -0xfd94 -0x813d103d -256 -256 -1 -0 -0 -2 -0x57db -0x6a16ac3a -256 -256 -0 -0 -0 -4 -0xa2c8 -0x384763ef -256 -256 -0 -0 -0 -2 -0x846f -0xd1848ef9 -256 -256 -1 -0 -0 -3 -0x9fa2 -0x9375e094 -256 -256 -1 -0 -0 -2 -0xa57f -0xfbec0a6b -256 -256 -1 -0 -0 -1 -0xb5cf -0xe6ba7cf9 -256 -256 -1 -0 -0 -2 -0xc9f2 -0x38382a73 -256 -256 -0 -0 -0 -2 -0x8fc9 -0x49c40438 -256 -256 -0 -0 -0 -1 -0xbd9 -0x1170d470 -256 -256 -0 -0 -0 -1 -0x25af -0xbf551e76 -256 -256 -0 -0 -0 -4 -0x9ee9 -0xe36d8dfd -256 -256 -0 -0 -0 -1 -0xf0ef -0x5c0b5539 -256 -256 -0 -0 -0 -4 -0xb509 -0xe0fcae6c -256 -256 -0 -0 -0 -1 -0x34cc -0x36fa8843 -256 -256 -0 -0 -0 -3 -0xdf0 -0x16a4979a -256 -256 -1 -0 -0 -4 -0xa175 -0xe2e56aac -256 -256 -1 -0 -0 -4 -0xb786 -0x87e7a77a -256 -256 -0 -0 -0 -2 -0x15b7 -0xdca0ca34 -256 -256 -1 -0 -0 -3 -0xc652 -0xa45add7 -256 -256 -0 -0 -0 -4 -0xb708 -0x95fd3d96 -256 -256 -0 -0 -0 -1 -0x42ae -0x2e0151aa -256 -256 -0 -0 -0 -3 -0x57da -0xbe3989a7 -256 -256 -1 -0 -0 -4 -0xc53c -0x22be062f -256 -256 -0 -0 -0 -2 -0x382 -0x583af515 -256 -256 -0 -0 -0 -4 -0xdfe8 -0x8557b1cc -256 -256 -1 -0 -0 -4 -0x711d -0xcc6c5924 -256 -256 -1 -0 -0 -2 -0xab3c -0xa148e135 -256 -256 -0 -0 -0 -3 -0xe74a -0xa4a25a9b -256 -256 -1 -0 -0 -3 -0x5269 -0xe8935c7 -256 -256 -0 -0 -0 -2 -0x3df4 -0x6d39fda9 -256 -256 -0 -0 -0 -1 -0xcf79 -0xf6c4bca7 -256 -256 -0 -0 -0 -2 -0xe5a3 -0x965eeabf -256 -256 -1 -0 -0 -4 -0x57f1 -0xb9d9b330 -256 -256 -0 -0 -0 -1 -0xfe4e -0x1bfbcd22 -256 -256 -1 -0 -0 -3 -0xe76c -0xa367d90c -256 -256 -0 -0 -0 -1 -0x35f1 -0xf44fd659 -256 -256 -0 -0 -0 -2 -0x3f45 -0x5d09083e -256 -256 -0 -0 -0 -4 -0xcbb4 -0x77855fb9 -256 -256 -1 -0 -0 -3 -0xe8d -0x3e1ecdd8 -256 -256 -1 -0 -0 -2 -0x2b99 -0xc12f5c2c -256 -256 -1 -0 -0 -2 -0xd649 -0x4fce319b -256 -256 -1 -0 -0 -2 -0xab25 -0x68542687 -256 -256 -1 -0 -0 -4 -0xfef -0x990d6097 -256 -256 -0 -0 -0 -3 -0xd3eb -0xca97eb9d -256 -256 -0 -0 -0 -2 -0xa5f6 -0x826d3987 -256 -256 -1 -0 -0 -3 -0x16c7 -0x94a568dd -256 -256 -0 -0 -0 -1 -0x4ab6 -0xfbf70e83 -256 -256 -0 -0 -0 -4 -0x5378 -0x5a007f80 -256 -256 -1 -0 -0 -2 -0x8d2d -0x4b6bda1a -256 -256 -0 -0 -0 -3 -0xd303 -0x8b686840 -256 -256 -1 -0 -0 -2 -0x1a8b -0x96416b1f -256 -256 -1 -0 -0 -2 -0xcda1 -0xa0baf67f -256 -256 -0 -0 -0 -3 -0x3e3c -0x31f63ef9 -256 -256 -0 -0 -0 -3 -0x83e8 -0x280272ff -256 -256 -0 -0 -0 -3 -0xf41b -0xcdd1ec2d -256 -256 -1 -0 -0 -4 -0x1fc7 -0x67b5d2ff -256 -256 -0 -0 -0 -2 -0x8b91 -0x92cce46c -256 -256 -1 -0 -0 -1 -0x8829 -0xe644eb48 -256 -256 -0 -0 -0 -2 -0xc2de -0xcbb06e82 -256 -256 -0 -0 -0 -3 -0x7b50 -0x2db800b2 -256 -256 -0 -0 -0 -4 -0xcec7 -0x7b49a4fb -256 -256 -0 -0 -0 -2 -0xdca3 -0x865eb8b5 -256 -256 -1 -0 -0 -4 -0xe7bc -0x11e8ff -256 -256 -0 -0 -0 -4 -0x32df -0x6671fa1d -256 -256 -0 -0 -0 -3 -0x6559 -0x20474081 -256 -256 -1 -0 -0 -2 -0x7a2f -0x30c7abf1 -256 -256 -1 -0 -0 -1 -0xf239 -0x5dbe628b -256 -256 -0 -0 -0 -1 -0x4d2d -0xaaaabde3 -256 -256 -1 -0 -0 -1 -0x57cc -0x92fc23a1 -256 -256 -0 -0 -0 -1 -0xa4d9 -0x48875c05 -256 -256 -0 -0 -0 -1 -0x6735 -0x1877bf31 -256 -256 -1 -0 -0 -2 -0x407e -0xf055cbf8 -256 -256 -1 -0 -0 -2 -0x6578 -0x78b9a596 -256 -256 -1 -0 -0 -4 -0xb65a -0xf6e860be -256 -256 -1 -0 -0 -2 -0x2d50 -0xbdc6ccf -256 -256 -1 -0 -0 -1 -0xfb55 -0x98687a75 -256 -256 -0 -0 -0 -3 -0x11f -0xcfe7fd1d -256 -256 -1 -0 -0 -4 -0x9a2b -0x927cfa26 -256 -256 -0 -0 -0 -2 -0xb0df -0x8aa00252 -256 -256 -1 -0 -0 -1 -0xeedd -0xca1d4db8 -256 -256 -0 -0 -0 -2 -0x44bd -0x5f9b559 -256 -256 -0 -0 -0 -2 -0x3879 -0x8424d436 -256 -256 -1 -0 -0 -2 -0x89c8 -0x5a917d04 -256 -256 -1 -0 -0 -4 -0x7407 -0x713ae175 -256 -256 -1 -0 -0 -3 -0xa924 -0xf866e0bd -256 -256 -1 -0 -0 -4 -0x31e5 -0x958306f5 -256 -256 -1 -0 -0 -4 -0x8263 -0xfff9e0aa -256 -256 -0 -0 -0 -1 -0x73c8 -0xa056feda -256 -256 -0 -0 -0 -1 -0x2e8f -0xef162e33 -256 -256 -0 -0 -0 -4 -0x4ab4 -0x69f68427 -256 -256 -1 -0 -0 -1 -0xe19 -0x9a51d09b -256 -256 -0 -0 -0 -3 -0x5440 -0x35c44a5e -256 -256 -0 -0 -0 -1 -0xe820 -0x72404757 -256 -256 -0 -0 -0 -3 -0x3d26 -0xdbc7c6c7 -256 -256 -1 -0 -0 -2 -0xf863 -0x9a8a69b2 -256 -256 -1 -0 -0 -2 -0x80cb -0x83e00fac -256 -256 -1 -0 -0 -2 -0xac83 -0x727fc9a2 -256 -256 -0 -0 -0 -2 -0x7a42 -0xdd48d164 -256 -256 -1 -0 -0 -2 -0xa783 -0xaa115bf8 -256 -256 -0 -0 -0 -4 -0x9d73 -0x62f1872a -256 -256 -0 -0 -0 -4 -0x449d -0x4eed343c -256 -256 -1 -0 -0 -1 -0xc17a -0xe8d7e90 -256 -256 -0 -0 -0 -2 -0xa511 -0x44d2f90f -256 -256 -0 -0 -0 -2 -0xf6f4 -0x7156e3ac -256 -256 -0 -0 -0 -2 -0x416c -0x95890771 -256 -256 -0 -0 -0 -1 -0x4a68 -0x3c6277bc -256 -256 -1 -0 -0 -3 -0x4441 -0xb96f1b87 -256 -256 -1 -0 -0 -1 -0xfdc2 -0x6065893 -256 -256 -1 -0 -0 -4 -0x2e2c -0x439603f1 -256 -256 -1 -0 -0 -4 -0xc0f0 -0x23fc8bb2 -256 -256 -1 -0 -0 -2 -0x9a38 -0xee2795a0 -256 -256 -1 -0 -0 -3 -0x3443 -0x824ede36 -256 -256 -0 -0 -0 -2 -0xcbbd -0x1ab2fb5a -256 -256 -0 -0 -0 -1 -0xa61f -0xdc7d332a -256 -256 -0 -0 -0 -1 -0x4321 -0x85607263 -256 -256 -0 -0 -0 -3 -0xa32e -0x944fd20b -256 -256 -0 -0 -0 -1 -0xa54b -0xd3f030a2 -256 -256 -1 -0 -0 -3 -0x4e16 -0xa7b7028e -256 -256 -0 -0 -0 -2 -0xfe8e -0x709146ec -256 -256 -1 -0 -0 -2 -0x8876 -0x2fa5516b -256 -256 -0 -0 -0 -3 -0xa709 -0x4da6a2e5 -256 -256 -0 -0 -0 -1 -0x1fe6 -0x4465efc8 -256 -256 -1 -0 -0 -2 -0xb5a1 -0x8bd287c3 -256 -256 -1 -0 -0 -1 -0xa00b -0x5d25551 -256 -256 -1 -0 -0 -1 -0xd0a9 -0xbbe88e64 -256 -256 -1 -0 -0 -3 -0xadd9 -0x370f5ff8 -256 -256 -0 -0 -0 -2 -0xf33d -0xf163b7fb -256 -256 -1 -0 -0 -4 -0xa7b1 -0x590d6e7f -256 -256 -1 -0 -0 -3 -0xc0ba -0xc2a93011 -256 -256 -1 -0 -0 -1 -0x454b -0xfb306d10 -256 -256 -0 -0 -0 -2 -0xdf82 -0xe48906d8 -256 -256 -0 -0 -0 -2 -0xe8eb -0x20345360 -256 -256 -1 -0 -0 -4 -0x118 -0x9696c68 -256 -256 -1 -0 -0 -2 -0x601d -0xe759d1de -256 -256 -0 -0 -0 -4 -0x4484 -0xb06c9ed6 -256 -256 -1 -0 -0 -1 -0x2557 -0x85468949 -256 -256 -0 -0 -0 -4 -0x4148 -0xaa082f5d -256 -256 -1 -0 -0 -4 -0xc62c -0xfd712318 -256 -256 -0 -0 -0 -4 -0x6f6 -0x1bd46440 -256 -256 -1 -0 -0 -4 -0x8906 -0xa958a92d -256 -256 -0 -0 -0 -1 -0x6d8 -0x2863c683 -256 -256 -0 -0 -0 -4 -0x84c4 -0x121b1012 -256 -256 -0 -0 -0 -1 -0x4a83 -0x2410afd6 -256 -256 -1 -0 -0 -2 -0xa8c6 -0xab0b57fc -256 -256 -0 -0 -0 -2 -0x6596 -0xfdf03278 -256 -256 -1 -0 -0 -3 -0xbb54 -0xbb2a25e4 -256 -256 -1 -0 -0 -1 -0x5d70 -0xac49dbe7 -256 -256 -0 -0 -0 -1 -0x153a -0xb60d2ef3 -256 -256 -1 -0 -0 -4 -0xd047 -0xccef34ea -256 -256 -0 -0 -0 -3 -0xc93f -0xb680ee9d -256 -256 -1 -0 -0 -4 -0x3e81 -0xa1ca5aa7 -256 -256 -0 -0 -0 -4 -0x9053 -0xabe5a7b3 -256 -256 -1 -0 -0 -2 -0xf558 -0x52546416 -256 -256 -1 -0 -0 -2 -0xff74 -0x210d854d -256 -256 -1 -0 -0 -4 -0xfdbd -0x49ed4619 -256 -256 -1 -0 -0 -4 -0xb5f -0x8a9d264d -256 -256 -1 -0 -0 -3 -0x7ce6 -0x933d3945 -256 -256 -0 -0 -0 -2 -0x83db -0x3156726b -256 -256 -1 -0 -0 -2 -0x19ff -0x7b3a7a24 -256 -256 -0 -0 -0 -4 -0x90f8 -0x91f213b0 -256 -256 -0 -0 -0 -3 -0xed89 -0x676b0af9 -256 -256 -1 -0 -0 -1 -0x28a4 -0x67fbc75d -256 -256 -1 -0 -0 -4 -0x5cd0 -0x74303731 -256 -256 -0 -0 -0 -4 -0xee3d -0xe0352b53 -256 -256 -0 -0 -0 -1 -0xf465 -0x398e78af -256 -256 -1 -0 -0 -1 -0x29b8 -0xcf69c999 -256 -256 -1 -0 -0 -2 -0x948e -0x523d319d -256 -256 -0 -0 -0 -2 -0x6d12 -0xb08833de -256 -256 -0 -0 -0 -1 -0x380 -0x7faf1887 -256 -256 -0 -0 -0 -4 -0x8732 -0xb9e6f01d -256 -256 -1 -0 -0 -4 -0xb503 -0xfa8cb8bf -256 -256 -0 -0 -0 -1 -0x430f -0xe22fde24 -256 -256 -1 -0 -0 -1 -0x94db -0xcda9ff0b -256 -256 -0 -0 -0 -3 -0xcea9 -0x52573fdc -256 -256 -1 -0 -0 -3 -0x4b6d -0x840d3a3e -256 -256 -1 -0 -0 -4 -0x9e8 -0x34c6b009 -256 -256 -1 -0 -0 -1 -0xcbfa -0x175f34b1 -256 -256 -0 -0 -0 -1 -0x1821 -0x1a2954e9 -256 -256 -0 -0 -0 -3 -0x5c6d -0x144a0a96 -256 -256 -1 -0 -0 -3 -0x7440 -0x3fb1bb85 -256 -256 -1 -0 -0 -3 -0xbd08 -0xcc92cf5 -256 -256 -1 -0 -0 -3 -0x65a9 -0x673cd148 -256 -256 -1 -0 -0 -1 -0xae60 -0x7e276167 -256 -256 -1 -0 -0 -2 -0x9ff1 -0x26aa2b53 -256 -256 -0 -0 -0 -2 -0x13c7 -0x6e40a920 -256 -256 -1 -0 -0 -1 -0xcd05 -0xc47f7684 -256 -256 -0 -0 -0 -2 -0x3da6 -0xed3c0a8a -256 -256 -1 -0 -0 -4 -0xf86f -0xb5c6d83e -256 -256 -0 -0 -0 -4 -0x18c8 -0xc007f8de -256 -256 -0 -0 -0 -4 -0x3935 -0xb310209f -256 -256 -0 -0 -0 -2 -0x1b39 -0x9a10c25d -256 -256 -1 -0 -0 -1 -0x33e8 -0x731eaba -256 -256 -1 -0 -0 -3 -0x483a -0x63c5b3e8 -256 -256 -1 -0 -0 -2 -0xc840 -0x1897dd18 -256 -256 -0 -0 -0 -4 -0xa5ef -0x4ac9a651 -256 -256 -0 -0 -0 -1 -0x992c -0x61bfa34b -256 -256 -1 -0 -0 -2 -0x9efb -0xa8c8cc40 -256 -256 -0 -0 -0 -2 -0xd841 -0x8f13118d -256 -256 -1 -0 -0 -3 -0x75bc -0xb7e9f8c8 -256 -256 -0 -0 -0 -4 -0xd3d1 -0x72480536 -256 -256 -1 -0 -0 -3 -0xb980 -0x87a1d7a8 -256 -256 -1 -0 -0 -2 -0x83db -0x35bd09d2 -256 -256 -1 -0 -0 -2 -0x92ac -0x71de8937 -256 -256 -0 -0 -0 -2 -0x44b0 -0xe1342281 -256 -256 -1 -0 -0 -4 -0x502b -0xbfa58635 -256 -256 -0 -0 -0 -4 -0xfc67 -0xdf491342 -256 -256 -0 -0 -0 -3 -0x466f -0x1ddcc242 -256 -256 -1 -0 -0 -2 -0x1458 -0x3581f46f -256 -256 -0 -0 -0 -4 -0x9ba7 -0x192b4f4 -256 -256 -1 -0 -0 -2 -0xf10b -0xef0c4b35 -256 -256 -1 -0 -0 -4 -0x178d -0xd7acda55 -256 -256 -0 -0 -0 -2 -0x2f44 -0x998cdadc -256 -256 -0 -0 -0 -4 -0x7c3f -0xeb38cd6c -256 -256 -0 -0 -0 -2 -0xa485 -0xa6d25944 -256 -256 -0 -0 -0 -4 -0x2623 -0xc5cb99e1 -256 -256 -1 -0 -0 -4 -0xfc82 -0x21ce4a4c -256 -256 -0 -0 -0 -2 -0xa231 -0x5f1087ec -256 -256 -0 -0 -0 -1 -0x7fbe -0x370f7776 -256 -256 -1 -0 -0 -3 -0xeb9b -0x151decd -256 -256 -1 -0 -0 -2 -0x6f7 -0xfbb295a0 -256 -256 -1 -0 -0 -1 -0xf6d5 -0x8eeb94c2 -256 -256 -0 -0 -0 -1 -0x4931 -0x829667f7 -256 -256 -1 -0 -0 -3 -0xda79 -0x6a4f5e45 -256 -256 -0 -0 -0 -4 -0xe835 -0xda0fd1ac -256 -256 -0 -0 -0 -3 -0xae36 -0xa3fcf38e -256 -256 -0 -0 -0 -1 -0xb44a -0x8ea888af -256 -256 -1 -0 -0 -2 -0xb038 -0x12e5b1c0 -256 -256 -0 -0 -0 -1 -0xa0f0 -0x8f573677 -256 -256 -0 -0 -0 -4 -0x22e0 -0x288a2e29 -256 -256 -0 -0 -0 -1 -0xdf2b -0x85dbcc9f -256 -256 -1 -0 -0 -1 -0xd7f7 -0x6d690fb6 -256 -256 -1 -0 -0 -1 -0x4cdd -0x47a20e2c -256 -256 -0 -0 -0 -4 -0xd12d -0x2a35906a -256 -256 -0 -0 -0 -3 -0x9681 -0x21adcf5f -256 -256 -0 -0 -0 -3 -0x7afe -0xe2d1c1d7 -256 -256 -1 -0 -0 -3 -0x6be -0xead3d497 -256 -256 -0 -0 -0 -1 -0x79cd -0x1ba48f3 -256 -256 -0 -0 -0 -3 -0xe586 -0xa4ffce42 -256 -256 -1 -0 -0 -1 -0x8c96 -0x43fd0b9d -256 -256 -0 -0 -0 -3 -0x7f7c -0xc320151c -256 -256 -0 -0 -0 -1 -0x166 -0xfa8026a4 -256 -256 -0 -0 -0 -1 -0x6ca -0xf1d15f9 -256 -256 -0 -0 -0 -1 -0xe51a -0x69469477 -256 -256 -1 -0 -0 -4 -0x979d -0x1cf0b55f -256 -256 -0 -0 -0 -4 -0x50a8 -0xdc7517c8 -256 -256 -0 -0 -0 -4 -0x344f -0xcf60dcb1 -256 -256 -0 -0 -0 -3 -0x7d76 -0x1a3d0dce -256 -256 -1 -0 -0 -2 -0xc0ed -0xccc649a8 -256 -256 -0 -0 -0 -1 -0x40ff -0x3440e791 -256 -256 -0 -0 -0 -1 -0x219 -0x14769aa2 -256 -256 -1 -0 -0 -3 -0x1ba2 -0xc1f9511f -256 -256 -1 -0 -0 -2 -0x73fc -0x284dc42f -256 -256 -0 -0 -0 -1 -0xacb2 -0x9c16516a -256 -256 -0 -0 -0 -2 -0x4302 -0x607e4137 -256 -256 -0 -0 -0 -4 -0xce01 -0x30b2a10c -256 -256 -1 -0 -0 -4 -0xe01f -0x89f9aab6 -256 -256 -0 -0 -0 -1 -0xb129 -0xab859e9d -256 -256 -0 -0 -0 -4 -0x7948 -0x53a2a8f3 -256 -256 -1 -0 -0 -4 -0xfc6 -0x21094f08 -256 -256 -0 -0 -0 -1 -0x4f9d -0x1f750e7e -256 -256 -1 -0 -0 -2 -0xd8d8 -0x5efea0b -256 -256 -1 -0 -0 -1 -0x36fb -0xcce5d2a1 -256 -256 -0 -0 -0 -2 -0xf810 -0xc70e0de0 -256 -256 -1 -0 -0 -1 -0x5d23 -0x2d7cf66e -256 -256 -0 -0 -0 -3 -0x5b49 -0x52ff3c73 -256 -256 -1 -0 -0 -2 -0x96ed -0xa0414db5 -256 -256 -0 -0 -0 -2 -0xab01 -0x5fc644f0 -256 -256 -0 -0 -0 -4 -0x3126 -0xbe000398 -256 -256 -0 -0 -0 -3 -0xbbab -0x413fe642 -256 -256 -0 -0 -0 -3 -0xf73 -0x9b5b1f8b -256 -256 -0 -0 -0 -4 -0xb3c5 -0x3be9541f -256 -256 -0 -0 -0 -2 -0x9cb7 -0xac81c918 -256 -256 -1 -0 -0 -4 -0xc99f -0xea843eaa -256 -256 -0 -0 -0 -3 -0xecf0 -0x81d23ad1 -256 -256 -1 -0 -0 -2 -0xae39 -0x12b08acc -256 -256 -1 -0 -0 -1 -0x4072 -0xac92e68e -256 -256 -1 -0 -0 -3 -0xcf87 -0xcd32596a -256 -256 -0 -0 -0 -2 -0x9bac -0x99c68fca -256 -256 -1 -0 -0 -3 -0x5edd -0x4ecafa5b -256 -256 -1 -0 -0 -2 -0x6fb4 -0x1ee4f9ae -256 -256 -1 -0 -0 -3 -0xeab2 -0x17e807eb -256 -256 -1 -0 -0 -1 -0xf19d -0x214e1893 -256 -256 -0 -0 -0 -3 -0xc932 -0x71949fd0 -256 -256 -0 -0 -0 -1 -0xa9c5 -0xdae2b68e -256 -256 -1 -0 -0 -2 -0x4858 -0x39fc61b9 -256 -256 -0 -0 -0 -4 -0x88af -0x685d1974 -256 -256 -1 -0 -0 -3 -0xd8d -0x4707b5b -256 -256 -1 -0 -0 -4 -0x1a37 -0x75d2a33b -256 -256 -1 -0 -0 -2 -0xc944 -0x23e35e99 -256 -256 -0 -0 -0 -1 -0x73c3 -0x43ca7e1a -256 -256 -0 -0 -0 -3 -0xae52 -0xaae234e9 -256 -256 -1 -0 -0 -4 -0x3532 -0xa1c84792 -256 -256 -0 -0 -0 -2 -0x788a -0xab9ef17e -256 -256 -1 -0 -0 -3 -0x71e -0xde508a2 -256 -256 -0 -0 -0 -2 -0x8931 -0x949d7f1 -256 -256 -0 -0 -0 -2 -0x80f7 -0x70678ffb -256 -256 -0 -0 -0 -1 -0x984a -0xa3a87033 -256 -256 -1 -0 -0 -4 -0x1415 -0xe1db7e1 -256 -256 -1 -0 -0 -1 -0xa340 -0xc10ae31e -256 -256 -1 -0 -0 -3 -0xf177 -0xc0649f06 -256 -256 -0 -0 -0 -4 -0xaff4 -0x6829cdf1 -256 -256 -0 -0 -0 -4 -0xebe0 -0xc5666168 -256 -256 -1 -0 -0 -3 -0x3047 -0xc9019b8 -256 -256 -1 -0 -0 -2 -0x9500 -0x84a3e719 -256 -256 -1 -0 -0 -3 -0x2748 -0xd00a5bf6 -256 -256 -1 -0 -0 -1 -0xd896 -0x11f3871c -256 -256 -1 -0 -0 -3 -0x4262 -0xbf017d52 -256 -256 -1 -0 -0 -3 -0x5cd7 -0xfcb334ea -256 -256 -0 -0 -0 -3 -0x9205 -0x431e5b8 -256 -256 -1 -0 -0 -1 -0xa97a -0x5ab014de -256 -256 -0 -0 -0 -3 -0x7a7b -0xfe2974d -256 -256 -1 -0 -0 -4 -0x3337 -0xbb8fad59 -256 -256 -1 -0 -0 -2 -0xd77c -0x5178fab -256 -256 -0 -0 -0 -2 -0xdbf9 -0xb48c4ef2 -256 -256 -1 -0 -0 -4 -0xa98 -0x84877f7 -256 -256 -0 -0 -0 -1 -0xbd8c -0x660b0f73 -256 -256 -0 -0 -0 -4 -0xe107 -0x2b88e33e -256 -256 -1 -0 -0 -1 -0xec24 -0x9d64e90 -256 -256 -0 -0 -0 -2 -0x70e -0xb6b3f0a3 -256 -256 -1 -0 -0 -4 -0x64dc -0xbf66afdd -256 -256 -0 -0 -0 -4 -0xec62 -0xb7e8ae13 -256 -256 -1 -0 -0 -1 -0x53cc -0x7fa0eca8 -256 -256 -0 -0 -0 -4 -0x92db -0x1483214a -256 -256 -0 -0 -0 -3 -0xed45 -0xea81911c -256 -256 -0 -0 -0 -1 -0x8927 -0x226669bd -256 -256 -0 -0 -0 -2 -0xb137 -0x40c68f3 -256 -256 -0 -0 -0 -2 -0xd785 -0x3a8ed21f -256 -256 -0 -0 -0 -2 -0x9556 -0xa12498df -256 -256 -1 -0 -0 -4 -0xf7f2 -0xc6821684 -256 -256 -0 -0 -0 -3 -0xb32c -0x636abce1 -256 -256 -0 -0 -0 -3 -0x6fbd -0x94d073c3 -256 -256 -1 -0 -0 -3 -0x1912 -0xf92b8eb9 -256 -256 -0 -0 -0 -2 -0x2a6a -0xbe75978b -256 -256 -0 -0 -0 -1 -0x6435 -0x2c99ae9 -256 -256 -0 -0 -0 -2 -0x9a23 -0x30d42255 -256 -256 -1 -0 -0 -4 -0x7985 -0x8eea13c6 -256 -256 -0 -0 -0 -2 -0x867d -0x46c8e608 -256 -256 -0 -0 -0 -2 -0xad56 -0xa0af4029 -256 -256 -1 -0 -0 -2 -0xc18b -0xeb86a791 -256 -256 -1 -0 -0 -1 -0x5953 -0x5fbe27ae -256 -256 -1 -0 -0 -3 -0xd977 -0xb347d32a -256 -256 -0 -0 -0 -1 -0x915f -0x9c3f101e -256 -256 -0 -0 -0 -2 -0xed5c -0x951164a7 -256 -256 -1 -0 -0 -2 -0x9682 -0xe92d9bae -256 -256 -1 -0 -0 -3 -0x83ee -0xa5a0cfc3 -256 -256 -1 -0 -0 -2 -0x93f1 -0x52013737 -256 -256 -1 -0 -0 -4 -0x49f3 -0x45b3097f -256 -256 -1 -0 -0 -1 -0xef85 -0x36bca41c -256 -256 -0 -0 -0 -1 -0x8a71 -0x236c9004 -256 -256 -0 -0 -0 -4 -0x76b8 -0xbe4a8b52 -256 -256 -0 -0 -0 -1 -0x868b -0x9b2bca7a -256 -256 -1 -0 -0 -4 -0xff5f -0x339341ff -256 -256 -1 -0 -0 -4 -0xa1ad -0x1e7dcbb8 -256 -256 -0 -0 -0 -2 -0x4e99 -0x5c8b2ba3 -256 -256 -1 -0 -0 -2 -0x9602 -0x8115d8b0 -256 -256 -0 -0 -0 -1 -0xe03a -0x85d424ba -256 -256 -0 -0 -0 -1 -0x3b01 -0x8d16dfb7 -256 -256 -1 -0 -0 -2 -0x2cd6 -0x7f311e13 -256 -256 -0 -0 -0 -2 -0xcde4 -0x9e688efb -256 -256 -1 -0 -0 -3 -0x70b3 -0x66b11256 -256 -256 -1 -0 -0 -3 -0x35d5 -0xd7ec72ab -256 -256 -0 -0 -0 -1 -0xcc90 -0x63fb00d7 -256 -256 -0 -0 -0 -3 -0xd241 -0xfc82c232 -256 -256 -1 -0 -0 -1 -0xf027 -0x14fd639 -256 -256 -0 -0 -0 -1 -0xe0ce -0xf18bae24 -256 -256 -0 -0 -0 -2 -0x1cd5 -0x9f867b6c -256 -256 -0 -0 -0 -2 -0x6d41 -0x3209fe07 -256 -256 -0 -0 -0 -4 -0xa378 -0x36e18b32 -256 -256 -0 -0 -0 -1 -0x37f9 -0xe4d3def7 -256 -256 -1 -0 -0 -3 -0x525a -0x910f3935 -256 -256 -0 -0 -0 -2 -0x4cdc -0xf7472615 -256 -256 -1 -0 -0 -1 -0x4926 -0xd2517396 -256 -256 -1 -0 -0 -3 -0xec75 -0x11507274 -256 -256 -1 -0 -0 -1 -0xd5e5 -0xaa7d54a2 -256 -256 -0 -0 -0 -4 -0x6921 -0xb56d022e -256 -256 -0 -0 -0 -2 -0x7ec1 -0x4c565059 -256 -256 -1 -0 -0 -3 -0xbeae -0x97349d9a -256 -256 -1 -0 -0 -1 -0x779d -0x7f012ac4 -256 -256 -0 -0 -0 -4 -0xcc54 -0xeec7647f -256 -256 -0 -0 -0 -3 -0x9006 -0x3194bb95 -256 -256 -1 -0 -0 -4 -0xdebe -0x92197535 -256 -256 -1 -0 -0 -3 -0x1538 -0xf328add0 -256 -256 -0 -0 -0 -4 -0xfbb7 -0x8d441277 -256 -256 -0 -0 -0 -4 -0x43ce -0x2eec49e8 -256 -256 -0 -0 -0 -3 -0xaddb -0x57325be5 -256 -256 -1 -0 -0 -2 -0xb4ba -0x2b5c9764 -256 -256 -1 -0 -0 -4 -0xcc74 -0xdc45cf78 -256 -256 -1 -0 -0 -2 -0xaed9 -0x46ec2d4e -256 -256 -0 -0 -0 -4 -0xfd7d -0xcd76825a -256 -256 -1 -0 -0 -1 -0xa12f -0xde08829b -256 -256 -1 -0 -0 -2 -0x490c -0xdb437ace -256 -256 -1 -0 -0 -1 -0xd23d -0xae25cd62 -256 -256 -1 -0 -0 -1 -0x9f7f -0xd37c6a3a -256 -256 -0 -0 -0 -3 -0x9b39 -0xac68d6b3 -256 -256 -1 -0 -0 -3 -0xaf92 -0xb5e9658e -256 -256 -0 -0 -0 -3 -0xfea6 -0xdfe60914 -256 -256 -1 -0 -0 -4 -0xdc80 -0xa8c1da82 -256 -256 -1 -0 -0 -2 -0xb10a -0x521550 -256 -256 -0 -0 -0 -3 -0xde67 -0x269355e8 -256 -256 -1 -0 -0 -2 -0xc67f -0x3ac3be50 -256 -256 -0 -0 -0 -2 -0xa3b1 -0x34d6e30e -256 -256 -1 -0 -0 -2 -0x6475 -0x7976bf51 -256 -256 -0 -0 -0 -2 -0x3b96 -0x4fc1e98d -256 -256 -1 -0 -0 -2 -0xf07c -0x661c4c93 -256 -256 -0 -0 -0 -1 -0x8a2e -0xd960918c -256 -256 -1 -0 -0 -2 -0x8e8b -0x544a7fc2 -256 -256 -1 -0 -0 -1 -0x133c -0x3bc5c2a9 -256 -256 -0 -0 -0 -3 -0x73aa -0xa84a84e7 -256 -256 -0 -0 -0 -1 -0x8187 -0xd13cf895 -256 -256 -0 -0 -0 -3 -0x200e -0xc4b1ab27 -256 -256 -1 -0 -0 -3 -0x441d -0xc25f7948 -256 -256 -0 -0 -0 -3 -0xbac5 -0xfa2cfa18 -256 -256 -1 -0 -0 -1 -0xf65c -0xd0a106cd -256 -256 -1 -0 -0 -1 -0x8289 -0xaf0b8563 -256 -256 -1 -0 -0 -3 -0xcee8 -0x4765c711 -256 -256 -1 -0 -0 -3 -0xddca -0x5274e926 -256 -256 -1 -0 -0 -2 -0x7887 -0x19601d29 -256 -256 -0 -0 -0 -1 -0x6f71 -0x3210fc3c -256 -256 -1 -0 -0 -3 -0xcb81 -0x8305f488 -256 -256 -0 -0 -0 -4 -0xeb88 -0xb50e1723 -256 -256 -1 -0 -0 -3 -0x2d89 -0xecd1791d -256 -256 -0 -0 -0 -3 -0x1347 -0xbe4e86fe -256 -256 -0 -0 -0 -2 -0x7dae -0x1227d564 -256 -256 -0 -0 -0 -1 -0xa4ae -0xc13b2ab4 -256 -256 -0 -0 -0 -1 -0x712f -0x36caecb3 -256 -256 -1 -0 -0 -3 -0xf9f -0xb6938ea8 -256 -256 -0 -0 -0 -1 -0xc0e -0x4fcc5e0f -256 -256 -1 -0 -0 -3 -0x5f7b -0x4a383d02 -256 -256 -1 -0 -0 -4 -0xc761 -0x7473bafd -256 -256 -1 -0 -0 -2 -0xc863 -0x4ca7488b -256 -256 -0 -0 -0 -1 -0x822b -0xf0a58991 -256 -256 -1 -0 -0 -3 -0x325d -0xdfdd8916 -256 -256 -1 -0 -0 -1 -0xaada -0xf3612076 -256 -256 -0 -0 -0 -4 -0x9f60 -0xef6d4cdb -256 -256 -0 -0 -0 -1 -0xdf63 -0x77e9098 -256 -256 -1 -0 -0 -2 -0x4ddf -0x695facf6 -256 -256 -0 -0 -0 -2 -0x4719 -0x6030d7fb -256 -256 -0 -0 -0 -4 -0xf98b -0xe81ca817 -256 -256 -1 -0 -0 -4 -0x554f -0x686371a -256 -256 -0 -0 -0 -1 -0xd20a -0x4b151efb -256 -256 -0 -0 -0 -2 -0xdd07 -0x48f1f409 -256 -256 -1 -0 -0 -4 -0x3922 -0xee3217b4 -256 -256 -1 -0 -0 -3 -0xa883 -0x3dd73942 -256 -256 -1 -0 -0 -3 -0x3992 -0xab8437c8 -256 -256 -1 -0 -0 -2 -0x916c -0xf0df19f4 -256 -256 -1 -0 -0 -4 -0xbf33 -0x1a35e97 -256 -256 -1 -0 -0 -4 -0xafd3 -0xf24f9470 -256 -256 -1 -0 -0 -2 -0x399a -0x52cfc387 -256 -256 -0 -0 -0 -3 -0xfd03 -0x810fc38f -256 -256 -0 -0 -0 -1 -0x76b4 -0x11b771e1 -256 -256 -0 -0 -0 -1 -0x2df5 -0xbbfd6074 -256 -256 -1 -0 -0 -1 -0x54a5 -0x491c81d4 -256 -256 -1 -0 -0 -1 -0x5f82 -0x76c1b19b -256 -256 -0 -0 -0 -2 -0x9eef -0x10684ce -256 -256 -0 -0 -0 -4 -0x70d0 -0xc712ea52 -256 -256 -1 -0 -0 -4 -0xe9ae -0x65814022 -256 -256 -1 -0 -0 -3 -0xea41 -0x174a62b3 -256 -256 -0 -0 -0 -1 -0x5560 -0x5f7c71d7 -256 -256 -1 -0 -0 -4 -0x9f4b -0x4b9734b8 -256 -256 -0 -0 -0 -2 -0x62b9 -0x952e4566 -256 -256 -0 -0 -0 -4 -0xfce1 -0x539f65da -256 -256 -0 -0 -0 -1 -0xb4f2 -0xca779a2a -256 -256 -0 -0 -0 -4 -0xa75e -0xd14d75af -256 -256 -0 -0 -0 -4 -0x7cc4 -0x33bec533 -256 -256 -0 -0 -0 -3 -0xd608 -0xd15aa51 -256 -256 -1 -0 -0 -3 -0x6db2 -0x3163008a -256 -256 -0 -0 -0 -4 -0x2dbc -0xcf52f832 -256 -256 -1 -0 -0 -4 -0x3948 -0x15ad5369 -256 -256 -0 -0 -0 -3 -0x3602 -0xf8929bd7 -256 -256 -1 -0 -0 -4 -0x46ca -0xc0db89b9 -256 -256 -0 -0 -0 -4 -0x14db -0xe831758c -256 -256 -0 -0 -0 -1 -0x2278 -0x965feb1e -256 -256 -0 -0 -0 -1 -0xc4d1 -0xe58d5265 -256 -256 -0 -0 -0 -1 -0x6d61 -0xfa6e474d -256 -256 -1 -0 -0 -2 -0xf4f0 -0x5a01bbeb -256 -256 -0 -0 -0 -2 -0xf9e5 -0x17609da8 -256 -256 -0 -0 -0 -4 -0x1431 -0x7fb47033 -256 -256 -0 -0 -0 -4 -0x965b -0x9dde9133 -256 -256 -1 -0 -0 -4 -0xe0e6 -0x1162f3b3 -256 -256 -0 -0 -0 -3 -0x1879 -0xafae8a69 -256 -256 -0 -0 -0 -3 -0xadd -0x83ceabd3 -256 -256 -0 -0 -0 -4 -0x79c9 -0xcd93d4c9 -256 -256 -0 -0 -0 -4 -0xcd5c -0x62945618 -256 -256 -1 -0 -0 -1 -0x8191 -0x2efcfe12 -256 -256 -0 -0 -0 -1 -0xa25b -0x302fb901 -256 -256 -1 -0 -0 -3 -0xc4c6 -0x93fa5164 -256 -256 -0 -0 -0 -3 -0xec3c -0xbdd651dc -256 -256 -0 -0 -0 -4 -0x68f4 -0xaf547269 -256 -256 -0 -0 -0 -4 -0xe9c4 -0xc84636c3 -256 -256 -1 -0 -0 -2 -0x3d3b -0xc727748d -256 -256 -0 -0 -0 -2 -0x7555 -0xe394dfd0 -256 -256 -1 -0 -0 -2 -0x62c4 -0x128d1cd0 -256 -256 -1 -0 -0 -2 -0x5749 -0xc3c32b25 -256 -256 -1 -0 -0 -1 -0xf677 -0x398f2bbe -256 -256 -0 -0 -0 -1 -0x3100 -0x616477b0 -256 -256 -1 -0 -0 -2 -0x24d -0x750f293a -256 -256 -0 -0 -0 -1 -0xd65d -0x49b1d34e -256 -256 -0 -0 -0 -2 -0x7dc3 -0xb662f259 -256 -256 -0 -0 -0 -1 -0xb045 -0x522ee628 -256 -256 -1 -0 -0 -3 -0xbd81 -0xb1c5a199 -256 -256 -1 -0 -0 -4 -0xe088 -0x34cfdddc -256 -256 -1 -0 -0 -4 -0x7c92 -0xa1126628 -256 -256 -0 -0 -0 -1 -0x8aae -0x50f942e -256 -256 -1 -0 -0 -1 -0x9447 -0x51ccd3f2 -256 -256 -0 -0 -0 -1 -0xfd19 -0xc8a02511 -256 -256 -1 -0 -0 -3 -0xf7a4 -0xb733c5de -256 -256 -1 -0 -0 -1 -0xb2e4 -0x83c7060a -256 -256 -0 -0 -0 -3 -0x150 -0x3015dda8 -256 -256 -0 -0 -0 -2 -0x8de1 -0xa9890e15 -256 -256 -1 -0 -0 -4 -0x39b0 -0x4e576ba9 -256 -256 -0 -0 -0 -2 -0x1df8 -0x546df1ba -256 -256 -0 -0 -0 -4 -0xfab1 -0x5b591410 -256 -256 -1 -0 -0 -4 -0x6b21 -0x91c7e9fd -256 -256 -1 -0 -0 -4 -0xb78 -0x86bb715e -256 -256 -0 -0 -0 -4 -0xaacb -0x87f85148 -256 -256 -0 -0 -0 -4 -0x75f9 -0x1e29dc24 -256 -256 -0 -0 -0 -4 -0x81f4 -0xb320e591 -256 -256 -1 -0 -0 -3 -0xad5d -0x987056b5 -256 -256 -1 -0 -0 -4 -0xc9cb -0xfa6c5215 -256 -256 -0 -0 -0 -1 -0xfacb -0xbd428454 -256 -256 -0 -0 -0 -1 -0x50b8 -0xe7b15531 -256 -256 -1 -0 -0 -1 -0xe181 -0xa4017131 -256 -256 -1 -0 -0 -4 -0x82d1 -0x3802a43d -256 -256 -0 -0 -0 -2 -0x5ab6 -0xd7049fe0 -256 -256 -1 -0 -0 -2 -0x77ea -0x7c7710c -256 -256 -1 -0 -0 -2 -0xe4d3 -0xb3629835 -256 -256 -1 -0 -0 -2 -0xe5bc -0x39aed285 -256 -256 -1 -0 -0 -3 -0xdc45 -0x9d46d55c -256 -256 -0 -0 -0 -4 -0x53a -0x5481ab1b -256 -256 -1 -0 -0 -3 -0xc479 -0x29bca3f9 -256 -256 -0 -0 -0 -4 -0xd621 -0x5b78012f -256 -256 -0 -0 -0 -3 -0x41c1 -0x795c793d -256 -256 -1 -0 -0 -2 -0xfb45 -0x62c660a3 -256 -256 -1 -0 -0 -3 -0xd038 -0x8ec2da3b -256 -256 -0 -0 -0 -4 -0x7553 -0xafa6df96 -256 -256 -0 -0 -0 -1 -0x51b4 -0x10d4624c -256 -256 -0 -0 -0 -4 -0x5185 -0x65f1388a -256 -256 -1 -0 -0 -1 -0xff8d -0x3451f740 -256 -256 -1 -0 -0 -1 -0xd5ca -0x5d952cd3 -256 -256 -0 -0 -0 -4 -0xdf4d -0x59d15914 -256 -256 -1 -0 -0 -1 -0x9458 -0xdd8de1dc -256 -256 -1 -0 -0 -4 -0xedc5 -0x832270a4 -256 -256 -1 -0 -0 -3 -0xfe34 -0xa8ef85ab -256 -256 -1 -0 -0 -1 -0x5543 -0x572d087c -256 -256 -0 -0 -0 -2 -0xb53d -0x287ee1f9 -256 -256 -1 -0 -0 -3 -0x1cb8 -0x6a489de7 -256 -256 -1 -0 -0 -1 -0x9abc -0xaa6511b2 -256 -256 -0 -0 -0 -4 -0xd0d -0x57eddac0 -256 -256 -1 -0 -0 -2 -0x8d40 -0x237cc297 -256 -256 -1 -0 -0 -2 -0x13f5 -0x330d5bc4 -256 -256 -1 -0 -0 -2 -0x7ca2 -0xbc46eb9e -256 -256 -0 -0 -0 -2 -0xef76 -0xaaa88010 -256 -256 -0 -0 -0 -1 -0x1769 -0xbbb980bc -256 -256 -1 -0 -0 -2 -0xa6bb -0x50eb75af -256 -256 -0 -0 -0 -2 -0x584a -0xe6632526 -256 -256 -1 -0 -0 -2 -0xdb5e -0xa7f810ad -256 -256 -1 -0 -0 -1 -0xab10 -0x18dce596 -256 -256 -1 -0 -0 -2 -0x3c6a -0x4a058e10 -256 -256 -0 -0 -0 -1 -0x903b -0x170e3334 -256 -256 -0 -0 -0 -3 -0x812 -0x2fe76bb4 -256 -256 -1 -0 -0 -4 -0xfd44 -0x4e02b45d -256 -256 -0 -0 -0 -2 -0xc5c2 -0xc236128f -256 -256 -0 -0 -0 -1 -0x4aa5 -0xb0758fa0 -256 -256 -0 -0 -0 -4 -0xab5f -0x25b71cf9 -256 -256 -1 -0 -0 -3 -0xc737 -0xd368e68c -256 -256 -0 -0 -0 -4 -0x7198 -0x93ef8ab1 -256 -256 -1 -0 -0 -1 -0xd4b5 -0x95923176 -256 -256 -0 -0 -0 -4 -0x48d9 -0xe46e1051 -256 -256 -0 -0 -0 -1 -0x3f85 -0xac0c2199 -256 -256 -0 -0 -0 -4 -0x661e -0x457990ca -256 -256 -1 -0 -0 -1 -0xed60 -0xb3dbaa33 -256 -256 -0 -0 -0 -2 -0x6fa6 -0xfac30f55 -256 -256 -0 -0 -0 -2 -0x2faf -0x4e4f890e -256 -256 -0 -0 -0 -2 -0x972a -0x40e54ac7 -256 -256 -0 -0 -0 -3 -0x9024 -0xdb74832f -256 -256 -0 -0 -0 -1 -0xae5a -0x61142c8e -256 -256 -1 -0 -0 -1 -0x4da5 -0x70150001 -256 -256 -1 -0 -0 -4 -0xa29a -0xe6240853 -256 -256 -0 -0 -0 -3 -0x1473 -0x29311d20 -256 -256 -1 -0 -0 -2 -0x5226 -0xb93b41c2 -256 -256 -0 -0 -0 -3 -0x81ab -0xa5f188cf -256 -256 -1 -0 -0 -2 -0x4408 -0x94cd528b -256 -256 -1 -0 -0 -1 -0xaef0 -0xa72751f2 -256 -256 -0 -0 -0 -3 -0xaa43 -0xdea0d974 -256 -256 -0 -0 -0 -3 -0x86bb -0xd25f01a4 -256 -256 -0 -0 -0 -1 -0x4663 -0x7fce349b -256 -256 -0 -0 -0 -2 -0x6e5e -0x88d7cb52 -256 -256 -0 -0 -0 -1 -0x1234 -0x2e3356d0 -256 -256 -0 -0 -0 -3 -0x452a -0x91d0cc17 -256 -256 -1 -0 -0 -1 -0xb19d -0x75430489 -256 -256 -1 -0 -0 -3 -0x7e9f -0x80e9bc7d -256 -256 -1 -0 -0 -4 -0x58be -0x2a6441ef -256 -256 -1 -0 -0 -2 -0x609e -0x7741f59 -256 -256 -1 -0 -0 -3 -0xed88 -0xedbe1e21 -256 -256 -0 -0 -0 -2 -0xfee5 -0x3f7e175a -256 -256 -0 -0 -0 -3 -0x33f5 -0x3b459748 -256 -256 -1 -0 -0 -4 -0x7377 -0xf524155a -256 -256 -1 -0 -0 -1 -0xff8a -0x48357745 -256 -256 -1 -0 -0 -4 -0x2c50 -0x4a95fad9 -256 -256 -1 -0 -0 -3 -0x9f7c -0xb882d454 -256 -256 -0 -0 -0 -3 -0x1dcb -0xe28fa596 -256 -256 -1 -0 -0 -1 -0x9f25 -0x2500ea13 -256 -256 -0 -0 -0 -4 -0x44c0 -0xdbf0964c -256 -256 -0 -0 -0 -3 -0x8498 -0x2003f22c -256 -256 -0 -0 -0 -4 -0xe794 -0xa0f55a00 -256 -256 -1 -0 -0 -3 -0x4418 -0x157e3136 -256 -256 -1 -0 -0 -3 -0xc91a -0xe1cfa87 -256 -256 -1 -0 -0 -3 -0x8e88 -0x969aa37b -256 -256 -1 -0 -0 -1 -0xd7bf -0x8e148ba9 -256 -256 -0 -0 -0 -1 -0x149f -0xb5a449bb -256 -256 -0 -0 -0 -3 -0x29af -0x3d7255a -256 -256 -0 -0 -0 -2 -0x5e9f -0xf523fa3 -256 -256 -0 -0 -0 -3 -0x656c -0xb471cc31 -256 -256 -0 -0 -0 -2 -0x6c3 -0x3a77a526 -256 -256 -0 -0 -0 -2 -0x1489 -0xb58bcaaa -256 -256 -0 -0 -0 -4 -0x7e75 -0xc811ff50 -256 -256 -1 -0 -0 -2 -0xbc33 -0x7100a0 -256 -256 -1 -0 -0 -1 -0x883 -0x94c8bd6d -256 -256 -1 -0 -0 -4 -0x580b -0xf48c3cd9 -256 -256 -0 -0 -0 -2 -0x7d23 -0xc55a2934 -256 -256 -0 -0 -0 -4 -0xa69d -0x5cfbd86 -256 -256 -1 -0 -0 -1 -0x235c -0xb89c51d2 -256 -256 -1 -0 -0 -4 -0xd777 -0xa2a8707e -256 -256 -0 -0 -0 -2 -0x93ae -0x57007b41 -256 -256 -1 -0 -0 -3 -0x133a -0x2b9aadce -256 -256 -1 -0 -0 -3 -0x8e54 -0x14bfde67 -256 -256 -0 -0 -0 -2 -0xe19c -0x9c50170b -256 -256 -1 -0 -0 -2 -0x6292 -0x81b37823 -256 -256 -0 -0 -0 -3 -0x6033 -0x2bd6ffdb -256 -256 -1 -0 -0 -2 -0x4976 -0x20150e68 -256 -256 -1 -0 -0 -3 -0x615 -0x5925ae9e -256 -256 -0 -0 -0 -4 -0x8b1c -0x7591a9f6 -256 -256 -1 -0 -0 -3 -0x235e -0xbe8bca2b -256 -256 -0 -0 -0 -4 -0xb7c7 -0x7ff72065 -256 -256 -1 -0 -0 -2 -0xd023 -0xf321baa2 -256 -256 -1 -0 -0 -2 -0xce4d -0x90007d96 -256 -256 -0 -0 -0 -1 -0xabe5 -0x9e124f07 -256 -256 -1 -0 -0 -3 -0x34d3 -0x3a1d88a0 -256 -256 -1 -0 -0 -2 -0x5137 -0xe8c4a95e -256 -256 -0 -0 -0 -1 -0x1ee -0x4538207a -256 -256 -1 -0 -0 -4 -0x3c4 -0xc45867b2 -256 -256 -1 -0 -0 -3 -0x7d45 -0x620c6a04 -256 -256 -0 -0 -0 -4 -0x9452 -0x8efafe24 -256 -256 -0 -0 -0 -2 -0xfb4b -0xee218cee -256 -256 -0 -0 -0 -1 -0x9a60 -0xe663abc2 -256 -256 -1 -0 -0 -3 -0xee77 -0xd98b2885 -256 -256 -0 -0 -0 -1 -0xd162 -0x666bc497 -256 -256 -1 -0 -0 -3 -0x16a5 -0x7a837d1a -256 -256 -1 -0 -0 -4 -0x1b0e -0x8313f924 -256 -256 -0 -0 -0 -3 -0x9bf8 -0x761334fe -256 -256 -1 -0 -0 -3 -0xdd2b -0x86bbc1e5 -256 -256 -0 -0 -0 -4 -0xe004 -0x5d923784 -256 -256 -1 -0 -0 -4 -0x82b5 -0x2bd3879b -256 -256 -0 -0 -0 -2 -0xdcbd -0x925fb37f -256 -256 -0 -0 -0 -3 -0x3ae -0xa578bedf -256 -256 -1 -0 -0 -4 -0x5883 -0x583d3b20 -256 -256 -1 -0 -0 -2 -0x96e7 -0x94cfc07f -256 -256 -1 -0 -0 -1 -0x6f8 -0x33489ec -256 -256 -1 -0 -0 -2 -0xd649 -0x889b81fa -256 -256 -0 -0 -0 -3 -0x445c -0xa975b38c -256 -256 -0 -0 -0 -1 -0x4747 -0x9ac8cf80 -256 -256 -0 -0 -0 -2 -0xdb6 -0x11ef55d6 -256 -256 -1 -0 -0 -2 -0x4692 -0x97c70681 -256 -256 -1 -0 -0 -3 -0xbb18 -0xa649fed0 -256 -256 -1 -0 -0 -2 -0x7e29 -0xf38e1178 -256 -256 -1 -0 -0 -3 -0xdb8a -0xdcefeafc -256 -256 -1 -0 -0 -2 -0x9baa -0x1e629108 -256 -256 -1 -0 -0 -2 -0x526a -0xe5966771 -256 -256 -0 -0 -0 -4 -0x835d -0x8576d6e0 -256 -256 -1 -0 -0 -4 -0x4eeb -0xaf57bde2 -256 -256 -1 -0 -0 -3 -0xfc8c -0xba5071e7 -256 -256 -1 -0 -0 -4 -0x270 -0xefb82db8 -256 -256 -1 -0 -0 -2 -0xafb9 -0x24aaecae -256 -256 -1 -0 -0 -4 -0xa321 -0x332bc002 -256 -256 -0 -0 -0 -4 -0xbbb9 -0x6c174c78 -256 -256 -1 -0 -0 -2 -0x19a -0xe2cc20e0 -256 -256 -1 -0 -0 -1 -0x8459 -0x676fabd1 -256 -256 -0 -0 -0 -2 -0x3031 -0x6440d46b -256 -256 -1 -0 -0 -1 -0x880f -0x12106667 -256 -256 -0 -0 -0 -3 -0x5c88 -0x19469a94 -256 -256 -1 -0 -0 -3 -0xd2a0 -0xa5ccb6ae -256 -256 -0 -0 -0 -4 -0x46bc -0x41f14c4f -256 -256 -0 -0 -0 -3 -0x216e -0x27168db6 -256 -256 -0 -0 -0 -1 -0xbc41 -0x87f35f15 -256 -256 -0 -0 -0 -4 -0x2368 -0xfcb186e -256 -256 -0 -0 -0 -2 -0xdbc9 -0xc9f1e454 -256 -256 -1 -0 -0 -2 -0x9192 -0xa19d67a5 -256 -256 -1 -0 -0 -1 -0x7028 -0xc9d5bbbe -256 -256 -1 -0 -0 -4 -0x5bb1 -0x6378d33e -256 -256 -0 -0 -0 -4 -0xd6fe -0xc45959b7 -256 -256 -1 -0 -0 -2 -0xea16 -0x9a763e30 -256 -256 -1 -0 -0 -2 -0xacc6 -0x9cadb51e -256 -256 -1 -0 -0 -3 -0x3add -0x64a5a6e6 -256 -256 -0 -0 -0 -4 -0xab9d -0x3c3239c3 -256 -256 -1 -0 -0 -2 -0x7430 -0x57b05b91 -256 -256 -0 -0 -0 -3 -0x2790 -0x3e8af635 -256 -256 -1 -0 -0 -3 -0xe51e -0x66e04f56 -256 -256 -1 -0 -0 -1 -0x9c36 -0x74dc4314 -256 -256 -1 -0 -0 -3 -0xc963 -0x6523dfb8 -256 -256 -0 -0 -0 -3 -0xfe7f -0x54ef4b6b -256 -256 -0 -0 -0 -4 -0x1e77 -0xd153772d -256 -256 -1 -0 -0 -1 -0xdbcb -0x778f29f8 -256 -256 -1 -0 -0 -4 -0x45ad -0x202b7f99 -256 -256 -1 -0 -0 -1 -0xe775 -0x52920fa2 -256 -256 -1 -0 -0 -1 -0x4668 -0x6c15f458 -256 -256 -1 -0 -0 -2 -0x4624 -0xe5a6f293 -256 -256 -0 -0 -0 -2 -0xce26 -0x7e2ce96e -256 -256 -0 -0 -0 -1 -0x64cc -0xf88dc51b -256 -256 -1 -0 -0 -4 -0x623f -0x4258fc8a -256 -256 -1 -0 -0 -2 -0xd66f -0xe0a0e8d6 -256 -256 -0 -0 -0 -3 -0xb61a -0x66822c56 -256 -256 -0 -0 -0 -4 -0x8fae -0xbe11df78 -256 -256 -0 -0 -0 -3 -0x9acc -0x85c0fdd8 -256 -256 -1 -0 -0 -1 -0x101b -0x48fce128 -256 -256 -1 -0 -0 -3 -0xbd5a -0x41631627 -256 -256 -1 -0 -0 -1 -0xaadf -0xe6e55cb0 -256 -256 -1 -0 -0 -2 -0xdf44 -0x9c65e7f9 -256 -256 -0 -0 -0 -1 -0x2d2f -0xa36e7de9 -256 -256 -0 -0 -0 -1 -0xcdba -0xe69ec213 -256 -256 -1 -0 -0 -3 -0x5836 -0x565ae775 -256 -256 -1 -0 -0 -4 -0xb470 -0x94dcfa1e -256 -256 -1 -0 -0 -1 -0x98ce -0x23d36425 -256 -256 -1 -0 -0 -3 -0x8d2c -0x3f4d2521 -256 -256 -0 -0 -0 -4 -0x7dcc -0x2d777150 -256 -256 -0 -0 -0 -2 -0x968c -0xc50114cd -256 -256 -1 -0 -0 -1 -0xcfa6 -0x6d6af30a -256 -256 -0 -0 -0 -2 -0xe3fc -0x8b51f7ef -256 -256 -0 -0 -0 -4 -0xf20e -0x640f80bb -256 -256 -1 -0 -0 -3 -0x40d8 -0x6d0bc30e -256 -256 -1 -0 -0 -2 -0x174d -0x14e2a358 -256 -256 -1 -0 -0 -2 -0x2971 -0xd8cb337f -256 -256 -0 -0 -0 -2 -0xe324 -0x80e78706 -256 -256 -1 -0 -0 -4 -0x1883 -0x450341da -256 -256 -0 -0 -0 -4 -0x3fe7 -0x4f0b1b58 -256 -256 -1 -0 -0 -3 -0xad5c -0x1fa02e2d -256 -256 -1 -0 -0 -4 -0x3dc4 -0x7fc403c9 -256 -256 -0 -0 -0 -2 -0xbe07 -0x494acb37 -256 -256 -1 -0 -0 -1 -0xe59f -0xae83f8c2 -256 -256 -1 -0 -0 -2 -0xe93f -0xda412084 -256 -256 -1 -0 -0 -3 -0xe43b -0x96e98ea1 -256 -256 -0 -0 -0 -1 -0xd0d7 -0x62b83c -256 -256 -1 -0 -0 -1 -0x4748 -0x52bced46 -256 -256 -1 -0 -0 -1 -0xcb6d -0xdb9c96c4 -256 -256 -1 -0 -0 -1 -0x5bab -0xcc72a514 -256 -256 -0 -0 -0 -4 -0x9b8f -0x79232624 -256 -256 -1 -0 -0 -1 -0x1204 -0xda4619d8 -256 -256 -0 -0 -0 -3 -0xc435 -0x6d917b5e -256 -256 -0 -0 -0 -1 -0x226f -0x1ca434c -256 -256 -1 -0 -0 -4 -0x6792 -0xca5944ac -256 -256 -1 -0 -0 -1 -0x21f5 -0xa8dfc2a3 -256 -256 -0 -0 -0 -2 -0xe767 -0x5c4c586b -256 -256 -1 -0 -0 -1 -0x9ce9 -0x1d7b8789 -256 -256 -0 -0 -0 -1 -0xe7af -0x7cd45f9e -256 -256 -1 -0 -0 -4 -0x1941 -0x6efa1d84 -256 -256 -1 -0 -0 -3 -0x8bce -0x6c414126 -256 -256 -1 -0 -0 -4 -0x7c90 -0x259eff40 -256 -256 -0 -0 -0 -1 -0xc09e -0x723ca333 -256 -256 -1 -0 -0 -3 -0xc860 -0x75589c40 -256 -256 -1 -0 -0 -2 -0xfe47 -0xefd754bc -256 -256 -1 -0 -0 -2 -0x5f7 -0x4c86e53a -256 -256 -0 -0 -0 -1 -0xbb14 -0x89e22c99 -256 -256 -0 -0 -0 -1 -0xb023 -0x3e670de8 -256 -256 -0 -0 -0 -4 -0x3985 -0x74aec96c -256 -256 -0 -0 -0 -3 -0xcaa0 -0x3cfdf93d -256 -256 -0 -0 -0 -4 -0x7a5f -0x177b5676 -256 -256 -1 -0 -0 -4 -0x3da3 -0xd2ddf53e -256 -256 -1 -0 -0 -1 -0xf38a -0x193d30d -256 -256 -1 -0 -0 -3 -0xee22 -0x127a2384 -256 -256 -1 -0 -0 -3 -0x783e -0xfa2b1ace -256 -256 -1 -0 -0 -2 -0x41c8 -0x10a94568 -256 -256 -0 -0 -0 -4 -0x7de2 -0x9335428e -256 -256 -0 -0 -0 -4 -0xe3b5 -0x218f8580 -256 -256 -0 -0 -0 -3 -0xabf8 -0xc6fa4582 -256 -256 -0 -0 -0 -3 -0x40ca -0xa93b65a6 -256 -256 -0 -0 -0 -1 -0x5a02 -0xab38365a -256 -256 -1 -0 -0 -4 -0xbc2f -0x1e8299a5 -256 -256 -0 -0 -0 -2 -0x44a1 -0x11681f3b -256 -256 -0 -0 -0 -2 -0x5ddf -0x7dd26823 -256 -256 -0 -0 -0 -2 -0xd34e -0x46d113ef -256 -256 -1 -0 -0 -2 -0x273e -0x28eafd81 -256 -256 -1 -0 -0 -4 -0xbae3 -0x847bed73 -256 -256 -1 -0 -0 -1 -0xf979 -0x8d820089 -256 -256 -1 -0 -0 -1 -0x55e2 -0xfcacac14 -256 -256 -1 -0 -0 -3 -0x5bbf -0x8a581ff0 -256 -256 -1 -0 -0 -4 -0x3657 -0xafc25de8 -256 -256 -1 -0 -0 -4 -0xf2f7 -0xa8475b70 -256 -256 -1 -0 -0 -3 -0x495d -0x96846186 -256 -256 -1 -0 -0 -3 -0x8403 -0xf22f844d -256 -256 -0 -0 -0 -4 -0xf5ab -0xc324b078 -256 -256 -1 -0 -0 -1 -0xd3b1 -0x926a0508 -256 -256 -1 -0 -0 -4 -0x8002 -0xc8a2cefc -256 -256 -1 -0 -0 -1 -0x7197 -0x2d2d63e1 -256 -256 -1 -0 -0 -4 -0x5f2b -0xcc7d9374 -256 -256 -1 -0 -0 -1 -0x931a -0x322729d4 -256 -256 -1 -0 -0 -1 -0xad17 -0xd78e81db -256 -256 -0 -0 -0 -3 -0x596d -0xc08d9cfa -256 -256 -1 -0 -0 -3 -0x2e6a -0x578e86b8 -256 -256 -1 -0 -0 -4 -0xdcb8 -0xb0767586 -256 -256 -0 -0 -0 -2 -0x1943 -0x67d167d3 -256 -256 -0 -0 -0 -4 -0x9ca7 -0x186cffed -256 -256 -0 -0 -0 -4 -0x59cb -0x8a53b6f3 -256 -256 -0 -0 -0 -2 -0xcf3 -0x123e92eb -256 -256 -0 -0 -0 -1 -0x85b3 -0x8d06294a -256 -256 -0 -0 -0 -3 -0xf1b9 -0x107b0560 -256 -256 -0 -0 -0 -3 -0x68cf -0x9f08147e -256 -256 -0 -0 -0 -1 -0x133c -0x11d42deb -256 -256 -1 -0 -0 -3 -0x51ae -0x817b20ca -256 -256 -1 -0 -0 -3 -0x3444 -0x7675e0d0 -256 -256 -0 -0 -0 -3 -0x9e4f -0x2f3c2b30 -256 -256 -0 -0 -0 -4 -0xff3c -0x646a01bb -256 -256 -0 -0 -0 -2 -0xf515 -0x38afe648 -256 -256 -1 -0 -0 -2 -0xb88d -0x7e6c0b98 -256 -256 -0 -0 -0 -1 -0xa895 -0x7de29bc4 -256 -256 -0 -0 -0 -3 -0x2bc8 -0x881bb1e1 -256 -256 -1 -0 -0 -3 -0x1552 -0x6149dbac -256 -256 -1 -0 -0 -1 -0x3a4f -0x6da62180 -256 -256 -0 -0 -0 -3 -0x3fab -0x26b1430d -256 -256 -0 -0 -0 -3 -0xe1db -0xcfa67b6c -256 -256 -0 -0 -0 -1 -0x1f5b -0xed85c893 -256 -256 -0 -0 -0 -4 -0x7dd4 -0x5a74417d -256 -256 -0 -0 -0 -3 -0xd8a2 -0x9f120800 -256 -256 -0 -0 -0 -2 -0x8dd2 -0xa8fea8bd -256 -256 -1 -0 -0 -3 -0x1a79 -0xd0af2bf1 -256 -256 -0 -0 -0 -4 -0xfc88 -0xc2b0b7c2 -256 -256 -1 -0 -0 -4 -0xbe5c -0xfb6fa9da -256 -256 -1 -0 -0 -3 -0xcbe4 -0x59131ef7 -256 -256 -0 -0 -0 -1 -0x6777 -0xab969356 -256 -256 -0 -0 -0 -3 -0xe19d -0x6b08f8ca -256 -256 -1 -0 -0 -3 -0x56c5 -0xe95cda77 -256 -256 -1 -0 -0 -4 -0x2a00 -0x79982f6a -256 -256 -0 -0 -0 -4 -0xc94 -0x45e2a102 -256 -256 -0 -0 -0 -1 -0xadb1 -0x3532da21 -256 -256 -0 -0 -0 -1 -0xb07f -0x8fbe788b -256 -256 -0 -0 -0 -3 -0xa3f1 -0x509cbcbf -256 -256 -1 -0 -0 -2 -0x4f0a -0xc20fa9f8 -256 -256 -1 -0 -0 -4 -0x54d -0xfe166820 -256 -256 -0 -0 -0 -3 -0xbfa2 -0x67b605cf -256 -256 -1 -0 -0 -1 -0x18ff -0x2968529f -256 -256 -0 -0 -0 -2 -0x932a -0x8abc5ef1 -256 -256 -1 -0 -0 -3 -0xabfd -0x6abb90ab -256 -256 -0 -0 -0 -2 -0xe364 -0x73bf19b6 -256 -256 -1 -0 -0 -1 -0xad77 -0x81534175 -256 -256 -1 -0 -0 -3 -0xe019 -0x115c7326 -256 -256 -1 -0 -0 -3 -0xf75e -0x72e2fb92 -256 -256 -1 -0 -0 -2 -0xa50a -0xb2a5bd23 -256 -256 -0 -0 -0 -2 -0x6a90 -0x2b5da7b8 -256 -256 -0 -0 -0 -3 -0x14d2 -0x920cdaa3 -256 -256 -0 -0 -0 -1 -0x2ab3 -0xc8722530 -256 -256 -1 -0 -0 -4 -0x35de -0x249e8030 -256 -256 -0 -0 -0 -1 -0xfcb7 -0x70581b42 -256 -256 -0 -0 -0 -3 -0x971f -0x6414ab7b -256 -256 -0 -0 -0 -4 -0x1924 -0x4298751e -256 -256 -0 -0 -0 -2 -0xf167 -0x2fdf41b5 -256 -256 -0 -0 -0 -4 -0x7974 -0xd746986 -256 -256 -0 -0 -0 -2 -0x16c3 -0x9bb0fb17 -256 -256 -1 -0 -0 -2 -0xc460 -0x1b9b8768 -256 -256 -0 -0 -0 -4 -0x8c3c -0xb67e479b -256 -256 -1 -0 -0 -2 -0x7440 -0x4efe26cb -256 -256 -1 -0 -0 -3 -0x3964 -0x8c2f19b3 -256 -256 -0 -0 -0 -4 -0x5f2e -0x262b658e -256 -256 -0 -0 -0 -1 -0xba30 -0xd4c191eb -256 -256 -0 -0 -0 -2 -0xf113 -0x50692da5 -256 -256 -0 -0 -0 -1 -0x5cda -0xce6d4d0c -256 -256 -0 -0 -0 -4 -0x36fc -0x17ec8e48 -256 -256 -0 -0 -0 -1 -0xbaba -0xbf333217 -256 -256 -1 -0 -0 -1 -0xf344 -0xd98c53b8 -256 -256 -1 -0 -0 -2 -0x6d6b -0x6dcf9f74 -256 -256 -0 -0 -0 -1 -0x3b54 -0x4b401060 -256 -256 -1 -0 -0 -3 -0xa4d1 -0xb060fe2e -256 -256 -1 -0 -0 -3 -0x4e95 -0x315a660f -256 -256 -0 -0 -0 -2 -0xb133 -0xb923bfaa -256 -256 -0 -0 -0 -2 -0x2896 -0x56ea42ba -256 -256 -1 -0 -0 -1 -0x3334 -0xa478c3e2 -256 -256 -0 -0 -0 -3 -0x2cdc -0xa130162c -256 -256 -0 -0 -0 -3 -0x514a -0x79ae89b4 -256 -256 -1 -0 -0 -2 -0x1b3f -0x61290383 -256 -256 -0 -0 -0 -4 -0xb2b7 -0x7e4f3a6 -256 -256 -1 -0 -0 -2 -0x8188 -0x34114a4d -256 -256 -1 -0 -0 -4 -0x8420 -0xa7e257a2 -256 -256 -0 -0 -0 -4 -0xf1ba -0xa929ba07 -256 -256 -1 -0 -0 -3 -0xdffc -0x7f70d461 -256 -256 -1 -0 -0 -2 -0x21f0 -0x6d9ae2e0 -256 -256 -1 -0 -0 -1 -0xa2f -0xe283552c -256 -256 -0 -0 -0 -2 -0xcdc1 -0x88fa45ec -256 -256 -0 -0 -0 -2 -0xa080 -0x96a1225d -256 -256 -1 -0 -0 -2 -0xd5e9 -0xac876d78 -256 -256 -1 -0 -0 -4 -0xb449 -0xc9b822b9 -256 -256 -1 -0 -0 -3 -0xde -0x4c66cc0a -256 -256 -0 -0 -0 -3 -0xa1d6 -0x6cb30737 -256 -256 -0 -0 -0 -2 -0x4fd1 -0x2c8ff860 -256 -256 -0 -0 -0 -2 -0xe8e4 -0x739d69e1 -256 -256 -1 -0 -0 -3 -0x1d -0xff0445cb -256 -256 -1 -0 -0 -2 -0xd7df -0x81e45dcb -256 -256 -0 -0 -0 -4 -0xe449 -0xd3afb3c -256 -256 -0 -0 -0 -1 -0xdf56 -0x23f42f75 -256 -256 -0 -0 -0 -2 -0xe7f5 -0x8570f3b2 -256 -256 -0 -0 -0 -2 -0x35e3 -0xd5dfdfcc -256 -256 -0 -0 -0 -4 -0xa4cd -0x3f04155b -256 -256 -0 -0 -0 -3 -0x430 -0x3df43b7 -256 -256 -0 -0 -0 -2 -0x408d -0x648cce47 -256 -256 -0 -0 -0 -4 -0x5b47 -0xf7ed18e2 -256 -256 -0 -0 -0 -2 -0xfeb9 -0xe8f619e5 -256 -256 -0 -0 -0 -1 -0xa9e5 -0x3d05923d -256 -256 -0 -0 -0 -1 -0x50e8 -0x3d1a4ea1 -256 -256 -1 -0 -0 -2 -0xd17d -0x3d1af8b0 -256 -256 -0 -0 -0 -4 -0xef81 -0xda1be122 -256 -256 -1 -0 -0 -4 -0x66e0 -0x565bff8d -256 -256 -1 -0 -0 -2 -0xb444 -0xfb327500 -256 -256 -0 -0 -0 -1 -0x5fb -0xe1737cc -256 -256 -0 -0 -0 -4 -0x8c4a -0xab1a2c65 -256 -256 -1 -0 -0 -4 -0xadd6 -0xa63341ef -256 -256 -1 -0 -0 -1 -0xb850 -0x3c6a4a9c -256 -256 -0 -0 -0 -1 -0x21c3 -0x4ac3f0b3 -256 -256 -1 -0 -0 -1 -0xd44e -0xf80ca73a -256 -256 -1 -0 -0 -4 -0x5107 -0xa3e134fd -256 -256 -1 -0 -0 -4 -0x319f -0xe3f7b672 -256 -256 -1 -0 -0 -1 -0x567c -0xdde3c15 -256 -256 -0 -0 -0 -3 -0x78fa -0x9688b825 -256 -256 -0 -0 -0 -4 -0x7dce -0x1dcbb55a -256 -256 -1 -0 -0 -1 -0x6980 -0xbee1a69 -256 -256 -1 -0 -0 -4 -0x4e40 -0x61896c9a -256 -256 -0 -0 -0 -2 -0xe0 -0xcbe2459d -256 -256 -0 -0 -0 -2 -0xb9fd -0x44ca1b33 -256 -256 -1 -0 -0 -4 -0xf406 -0xef221b02 -256 -256 -0 -0 -0 -1 -0x402a -0xd9ffdf94 -256 -256 -0 -0 -0 -1 -0x5189 -0x5d3ef1e0 -256 -256 -0 -0 -0 -3 -0x940e -0x72042083 -256 -256 -0 -0 -0 -3 -0x308f -0xc6d5f413 -256 -256 -0 -0 -0 -4 -0xb19d -0x18da503e -256 -256 -0 -0 -0 -2 -0x80ce -0x687fdff -256 -256 -1 -0 -0 -3 -0xfbd7 -0x8af12f4a -256 -256 -1 -0 -0 -1 -0xcf89 -0x28a4618d -256 -256 -1 -0 -0 -4 -0x275 -0x3ee13ad1 -256 -256 -1 -0 -0 -1 -0xb2f -0xa9215f43 -256 -256 -1 -0 -0 -4 -0xcd91 -0x59e898ac -256 -256 -0 -0 -0 -1 -0xfd02 -0xde3119a3 -256 -256 -0 -0 -0 -1 -0x9a24 -0x67483547 -256 -256 -0 -0 -0 -1 -0xfba4 -0x4882ee67 -256 -256 -0 -0 -0 -3 -0x4406 -0xa8cbda24 -256 -256 -0 -0 -0 -1 -0xf21c -0x4823c766 -256 -256 -1 -0 -0 -2 -0x7e40 -0xabcb7ceb -256 -256 -0 -0 -0 -3 -0x7eaf -0x332d27cf -256 -256 -1 -0 -0 -4 -0xc556 -0xc975996a -256 -256 -0 -0 -0 -3 -0x9361 -0xb8974631 -256 -256 -0 -0 -0 -2 -0xe3e3 -0xed8f06f7 -256 -256 -1 -0 -0 -3 -0x4a46 -0xfb4cc926 -256 -256 -1 -0 -0 -2 -0xde41 -0x9ccfc9c9 -256 -256 -0 -0 -0 -1 -0x4e03 -0x6279912 -256 -256 -0 -0 -0 -1 -0x90e6 -0xdceb7fdd -256 -256 -0 -0 -0 -3 -0x9cd9 -0xb9f8a77f -256 -256 -0 -0 -0 -4 -0xab82 -0xbed319b2 -256 -256 -1 -0 -0 -1 -0x151c -0x9888f6d6 -256 -256 -1 -0 -0 -1 -0xeed6 -0x4ea1ff5a -256 -256 -1 -0 -0 -2 -0xe281 -0x8af2ebf2 -256 -256 -1 -0 -0 -4 -0x8f48 -0x7e03632e -256 -256 -1 -0 -0 -2 -0xb8bc -0x75b1c2ac -256 -256 -1 -0 -0 -3 -0x9955 -0xa05cd9c3 -256 -256 -1 -0 -0 -3 -0xb1c2 -0xbe30af6f -256 -256 -0 -0 -0 -4 -0xf40a -0xd6332627 -256 -256 -1 -0 -0 -3 -0x8ffc -0xc680d9f1 -256 -256 -0 -0 -0 -3 -0x290d -0xb3a4005 -256 -256 -0 -0 -0 -1 -0x5c55 -0x9d380589 -256 -256 -1 -0 -0 -4 -0xa2b5 -0x8628a1ef -256 -256 -1 -0 -0 -3 -0x7e60 -0x36ad253b -256 -256 -0 -0 -0 -2 -0x3386 -0x8273dec2 -256 -256 -0 -0 -0 -2 -0xb4cb -0xd575cbb5 -256 -256 -1 -0 -0 -4 -0xed35 -0xfe442cf7 -256 -256 -0 -0 -0 -1 -0x466e -0xee2c8db6 -256 -256 -0 -0 -0 -2 -0xa193 -0xe73dc86 -256 -256 -1 -0 -0 -3 -0xc8f -0x6915c7ec -256 -256 -0 -0 -0 -3 -0x12c1 -0xccc2568e -256 -256 -1 -0 -0 -1 -0x37a7 -0x604bcdf -256 -256 -0 -0 -0 -1 -0xabe2 -0xa5b09765 -256 -256 -0 -0 -0 -2 -0x38f3 -0xbd7756c3 -256 -256 -1 -0 -0 -3 -0x37a4 -0xf9e752f1 -256 -256 -1 -0 -0 -2 -0xeb90 -0xc4ebccc0 -256 -256 -0 -0 -0 -4 -0x71e0 -0x5e9ccd61 -256 -256 -1 -0 -0 -2 -0xae3b -0x74b1dedb -256 -256 -1 -0 -0 -3 -0x5777 -0x28e1594c -256 -256 -0 -0 -0 -4 -0x7c03 -0x1e81a056 -256 -256 -1 -0 -0 -1 -0xa17f -0xd326962a -256 -256 -1 -0 -0 -3 -0x1ada -0x6bb33221 -256 -256 -0 -0 -0 -1 -0x9cc0 -0x165885b6 -256 -256 -1 -0 -0 -2 -0x8641 -0x50b6a001 -256 -256 -1 -0 -0 -3 -0x36d7 -0x92ee974e -256 -256 -1 -0 -0 -2 -0x9392 -0xd4ac2fbe -256 -256 -1 -0 -0 -3 -0x3332 -0x460a5217 -256 -256 -0 -0 -0 -1 -0x5f3c -0x3413428 -256 -256 -1 -0 -0 -1 -0x546e -0x61ede75 -256 -256 -0 -0 -0 -3 -0x4361 -0xe40720d8 -256 -256 -0 -0 -0 -4 -0x7fd2 -0x3d209d61 -256 -256 -0 -0 -0 -3 -0xdd2c -0x1a255a32 -256 -256 -1 -0 -0 -4 -0xb34f -0x758b6604 -256 -256 -1 -0 -0 -4 -0x8b34 -0xb2c4a875 -256 -256 -0 -0 -0 -1 -0xb1e8 -0x2f9d0d21 -256 -256 -0 -0 -0 -2 -0xe040 -0x44a26e57 -256 -256 -0 -0 -0 -4 -0x5cb5 -0x75c4a518 -256 -256 -1 -0 -0 -2 -0xaab1 -0x924b768b -256 -256 -1 -0 -0 -4 -0x10ec -0xdc686ee -256 -256 -1 -0 -0 -4 -0xc07e -0x7ab2cf81 -256 -256 -0 -0 -0 -1 -0x8025 -0x9f3921a2 -256 -256 -0 -0 -0 -3 -0xad19 -0xbd90fa44 -256 -256 -1 -0 -0 -1 -0x9103 -0x5c4bb67f -256 -256 -0 -0 -0 -3 -0x30fd -0x14a5d2c4 -256 -256 -0 -0 -0 -4 -0x5f88 -0x9b115f5d -256 -256 -1 -0 -0 -1 -0xad84 -0x952f0f87 -256 -256 -1 -0 -0 -4 -0x69dd -0x3d2dd1bf -256 -256 -0 -0 -0 -3 -0x2f9e -0x141bbaa2 -256 -256 -0 -0 -0 -4 -0xb8c7 -0xe47e6ede -256 -256 -1 -0 -0 -1 -0x6654 -0x6a55529d -256 -256 -1 -0 -0 -3 -0x2698 -0x8abe197f -256 -256 -0 -0 -0 -4 -0x3fe1 -0x71230852 -256 -256 -1 -0 -0 -3 -0xfe91 -0x44f5c08c -256 -256 -0 -0 -0 -2 -0x12a -0x4c8acb95 -256 -256 -1 -0 -0 -4 -0xb523 -0xe4c53f60 -256 -256 -1 -0 -0 -2 -0x7f72 -0x5fb36146 -256 -256 -1 -0 -0 -3 -0x36bb -0x9fb40d5 -256 -256 -0 -0 -0 -2 -0x53bd -0xf29679ed -256 -256 -1 -0 -0 -2 -0x8780 -0xaeef640f -256 -256 -0 -0 -0 -2 -0x3586 -0x6969a8ca -256 -256 -1 -0 -0 -2 -0x4c50 -0x6c935149 -256 -256 -0 -0 -0 -2 -0xcf83 -0x409d595e -256 -256 -0 -0 -0 -4 -0x2f72 -0xc042f7a1 -256 -256 -0 -0 -0 -1 -0xbca3 -0x328b8800 -256 -256 -1 -0 -0 -2 -0x7e61 -0x5bb9dae0 -256 -256 -1 -0 -0 -4 -0xad26 -0x5d72091a -256 -256 -1 -0 -0 -3 -0x874f -0x537e1189 -256 -256 -0 -0 -0 -4 -0x6ddc -0xcbf1e88 -256 -256 -0 -0 -0 -4 -0x6bbc -0x6db00af1 -256 -256 -0 -0 -0 -4 -0x489d -0x744917a7 -256 -256 -1 -0 -0 -1 -0x61cd -0x68fe980 -256 -256 -1 -0 -0 -3 -0x1d00 -0x3046c19f -256 -256 -0 -0 -0 -3 -0x8d03 -0xec2f80c -256 -256 -0 -0 -0 -4 -0xf770 -0x7026f967 -256 -256 -1 -0 -0 -2 -0x304 -0x253100df -256 -256 -1 -0 -0 -2 -0x30d1 -0x344a5d3f -256 -256 -1 -0 -0 -1 -0x3337 -0xa2127197 -256 -256 -0 -0 -0 -3 -0x878b -0x82dd4e4f -256 -256 -0 -0 -0 -3 -0x7d81 -0x9407c08f -256 -256 -1 -0 -0 -2 -0xf59 -0xd321981b -256 -256 -1 -0 -0 -3 -0x565b -0xfcb3d965 -256 -256 -0 -0 -0 -1 -0x1066 -0x23a910bf -256 -256 -1 -0 -0 -3 -0x8efa -0xab42e1c8 -256 -256 -1 -0 -0 -4 -0xf701 -0xb83ec2ab -256 -256 -0 -0 -0 -3 -0x1ee5 -0xe129c82e -256 -256 -0 -0 -0 -3 -0xd0db -0xc7406ae3 -256 -256 -0 -0 -0 -2 -0xde9e -0xfe744256 -256 -256 -1 -0 -0 -4 -0xa2f4 -0x9e33b66c -256 -256 -0 -0 -0 -1 -0xabc7 -0x125c563c -256 -256 -0 -0 -0 -3 -0x53d4 -0x5e3ccb08 -256 -256 -0 -0 -0 -3 -0x879c -0xba1738bd -256 -256 -1 -0 -0 -1 -0x80e5 -0x315d5741 -256 -256 -1 -0 -0 -1 -0xc0c9 -0xa5a91712 -256 -256 -1 -0 -0 -4 -0x8bd0 -0x153f280e -256 -256 -0 -0 -0 -2 -0xbd9e -0xd3a4a67c -256 -256 -1 -0 -0 -2 -0xd0a2 -0x12f1d289 -256 -256 -1 -0 -0 -1 -0x2ea9 -0x5df40cc -256 -256 -1 -0 -0 -3 -0x5b26 -0x7ccd870 -256 -256 -0 -0 -0 -2 -0xd27e -0x6081bbbb -256 -256 -1 -0 -0 -2 -0x92e5 -0xd60536ee -256 -256 -1 -0 -0 -3 -0xbf4c -0x55a3e8bb -256 -256 -1 -0 -0 -4 -0x6051 -0x2bbad6c6 -256 -256 -1 -0 -0 -2 -0xe835 -0x4fb50900 -256 -256 -1 -0 -0 -4 -0xefd9 -0xf729eb9b -256 -256 -1 -0 -0 -2 -0x5978 -0xf427fffa -256 -256 -1 -0 -0 -4 -0x5ffa -0xad24ba3e -256 -256 -1 -0 -0 -4 -0x96ff -0x5fe3590 -256 -256 -0 -0 -0 -2 -0x19a -0xdf910044 -256 -256 -1 -0 -0 -1 -0x5d0d -0xeebda1eb -256 -256 -0 -0 -0 -4 -0xb8c2 -0x9e311949 -256 -256 -1 -0 -0 -1 -0x4bef -0xeaf52c5c -256 -256 -0 -0 -0 -3 -0xd5 -0x11c7d827 -256 -256 -1 -0 -0 -4 -0xd97d -0x972041ae -256 -256 -0 -0 -0 -4 -0xd342 -0xb5ca4141 -256 -256 -0 -0 -0 -4 -0x8e36 -0x154dc2c -256 -256 -0 -0 -0 -1 -0x9393 -0x2bb364c2 -256 -256 -0 -0 -0 -1 -0xb48 -0x84431426 -256 -256 -0 -0 -0 -2 -0xbb8 -0xfb8f9e32 -256 -256 -1 -0 -0 -4 -0xe2ae -0xf576b315 -256 -256 -0 -0 -0 -2 -0x494f -0xa44035ef -256 -256 -1 -0 -0 -1 -0x7c0c -0xd0cee8a0 -256 -256 -0 -0 -0 -1 -0x555c -0x4701f267 -256 -256 -0 -0 -0 -4 -0xcb9c -0x6830d84c -256 -256 -1 -0 -0 -2 -0x79c8 -0x68b15559 -256 -256 -0 -0 -0 -2 -0x9a76 -0x24f90269 -256 -256 -1 -0 -0 -3 -0xcede -0x111b330e -256 -256 -0 -0 -0 -4 -0x21d5 -0xe3446c9f -256 -256 -0 -0 -0 -2 -0x40ab -0xea71e921 -256 -256 -1 -0 -0 -1 -0xeef4 -0xe07c6a15 -256 -256 -1 -0 -0 -4 -0xc7f -0xb55c096e -256 -256 -0 -0 -0 -4 -0xf3ef -0xa68cbe54 -256 -256 -1 -0 -0 -4 -0xae14 -0xcd010047 -256 -256 -0 -0 -0 -1 -0x8f4f -0x31ff1cd0 -256 -256 -1 -0 -0 -1 -0x39f7 -0xb7b9d840 -256 -256 -0 -0 -0 -2 -0x668f -0x7e08debf -256 -256 -1 -0 -0 -2 -0xcb67 -0xee4657b5 -256 -256 -0 -0 -0 -4 -0xba2c -0xd9c2bf88 -256 -256 -1 -0 -0 -4 -0x3228 -0xe64f38ee -256 -256 -1 -0 -0 -4 -0xfbb1 -0xacfc3ef -256 -256 -0 -0 -0 -4 -0xd303 -0x9c7fd6d -256 -256 -0 -0 -0 -1 -0x8fe2 -0xd6d12f4 -256 -256 -0 -0 -0 -4 -0x1440 -0x6b59f899 -256 -256 -0 -0 -0 -3 -0x64d8 -0xafe941dc -256 -256 -1 -0 -0 -4 -0x56f6 -0x4b7f6fd7 -256 -256 -0 -0 -0 -3 -0x1d8b -0xc431275c -256 -256 -1 -0 -0 -2 -0xb282 -0x749fa2b -256 -256 -1 -0 -0 -2 -0xb3a7 -0x66ab62ae -256 -256 -0 -0 -0 -1 -0xf514 -0xd5c03c0b -256 -256 -0 -0 -0 -3 -0x38a6 -0x9cce1394 -256 -256 -1 -0 -0 -3 -0x4843 -0x47e76a8a -256 -256 -0 -0 -0 -2 -0xdfcc -0x20955085 -256 -256 -1 -0 -0 -4 -0xe2ef -0x22b1bd0c -256 -256 -1 -0 -0 -1 -0x3b95 -0xef75909e -256 -256 -0 -0 -0 -4 -0x1e98 -0x7048e3ee -256 -256 -1 -0 -0 -2 -0xbf46 -0x477cd94f -256 -256 -1 -0 -0 -2 -0x71ec -0x1f7e931c -256 -256 -1 -0 -0 -4 -0x3013 -0x6f92732f -256 -256 -0 -0 -0 -3 -0xb053 -0x9403d884 -256 -256 -1 -0 -0 -4 -0xefa1 -0x535bd90a -256 -256 -0 -0 -0 -4 -0x8fe0 -0x9ea1698b -256 -256 -0 -0 -0 -3 -0x24dd -0x7003119e -256 -256 -0 -0 -0 -3 -0xf223 -0x6ae3b49b -256 -256 -1 -0 -0 -2 -0x2cf3 -0x6d4cf3ba -256 -256 -0 -0 -0 -3 -0xbeee -0x51192cc2 -256 -256 -0 -0 -0 -1 -0xb2a8 -0x67591197 -256 -256 -0 -0 -0 -2 -0xe92a -0x39350197 -256 -256 -1 -0 -0 -4 -0x4e18 -0x6bcb800c -256 -256 -0 -0 -0 -4 -0xa0c -0xd95e13b7 -256 -256 -1 -0 -0 -1 -0x8d3 -0xc7f8f0c0 -256 -256 -1 -0 -0 -1 -0x4c67 -0x84168f7b -256 -256 -1 -0 -0 -1 -0x55c1 -0xadc8be22 -256 -256 -1 -0 -0 -1 -0x77a8 -0x9fda8581 -256 -256 -1 -0 -0 -3 -0xb821 -0xebf1fd83 -256 -256 -1 -0 -0 -2 -0x770a -0xd4a878b6 -256 -256 -1 -0 -0 -4 -0xa17d -0x6fa2577b -256 -256 -0 -0 -0 -1 -0x30d5 -0x1c93479 -256 -256 -1 -0 -0 -3 -0x727f -0xbdf5015 -256 -256 -1 -0 -0 -1 -0x6fd2 -0xf50917f5 -256 -256 -0 -0 -0 -3 -0x2920 -0x4ebe09ec -256 -256 -0 -0 -0 -4 -0x95a6 -0x8e39d1d9 -256 -256 -1 -0 -0 -4 -0x226e -0x712feb5d -256 -256 -1 -0 -0 -1 -0x4394 -0x58a74615 -256 -256 -0 -0 -0 -4 -0x8cc6 -0x317dc10a -256 -256 -0 -0 -0 -4 -0x321f -0x467f1b9c -256 -256 -0 -0 -0 -4 -0x4690 -0x2475d019 -256 -256 -1 -0 -0 -1 -0x4695 -0x538a53 -256 -256 -0 -0 -0 -3 -0xa2cb -0x74943b7a -256 -256 -1 -0 -0 -1 -0xde44 -0x2b665fa2 -256 -256 -1 -0 -0 -3 -0xd92c -0xe71c8b8b -256 -256 -0 -0 -0 -4 -0xb3d9 -0xb2dd316e -256 -256 -1 -0 -0 -1 -0xa564 -0xacaefc09 -256 -256 -1 -0 -0 -1 -0x317c -0x2f18dd01 -256 -256 -1 -0 -0 -4 -0x5790 -0x13d5a688 -256 -256 -0 -0 -0 -3 -0x7545 -0x4758c4de -256 -256 -0 -0 -0 -1 -0x2624 -0xe3530a40 -256 -256 -0 -0 -0 -4 -0x3b4b -0x3b39f981 -256 -256 -0 -0 -0 -3 -0xc88d -0xfd7b1d47 -256 -256 -0 -0 -0 -2 -0x5be5 -0xcaf8458b -256 -256 -0 -0 -0 -1 -0x2920 -0xf1525a53 -256 -256 -1 -0 -0 -3 -0x46e4 -0x4f65fd30 -256 -256 -1 -0 -0 -2 -0xa2a5 -0xcd3ef5e1 -256 -256 -0 -0 -0 -4 -0x23f0 -0xd83cec86 -256 -256 -1 -0 -0 -4 -0x278c -0x313979cf -256 -256 -0 -0 -0 -1 -0x6c55 -0xeafe181b -256 -256 -0 -0 -0 -2 -0x7e8d -0xab6f1b9f -256 -256 -1 -0 -0 -2 -0x4490 -0xdf613f6a -256 -256 -0 -0 -0 -3 -0xc9b1 -0x90c94680 -256 -256 -1 -0 -0 -3 -0xbcb4 -0xdea58866 -256 -256 -1 -0 -0 -1 -0x22df -0xbb13896 -256 -256 -0 -0 -0 -2 -0x3c20 -0xe5c4b65 -256 -256 -1 -0 -0 -2 -0xe958 -0x9e6b6d06 -256 -256 -1 -0 -0 -3 -0x15fd -0x913ef2c4 -256 -256 -1 -0 -0 -3 -0xf82e -0x1a0e547e -256 -256 -0 -0 -0 -3 -0x3d79 -0x6055c43a -256 -256 -1 -0 -0 -1 -0x22b3 -0x599609b5 -256 -256 -0 -0 -0 -2 -0xcba -0x222dd6fe -256 -256 -1 -0 -0 -4 -0xedc -0x3e0d001d -256 -256 -0 -0 -0 -2 -0x2e66 -0x2a8d5910 -256 -256 -0 -0 -0 -2 -0xf391 -0x3850c752 -256 -256 -0 -0 -0 -4 -0x67a -0xb54b3ff5 -256 -256 -1 -0 -0 -2 -0x3119 -0x7c152a24 -256 -256 -0 -0 -0 -3 -0x697c -0x675c8f16 -256 -256 -0 -0 -0 -3 -0x3431 -0xba434cd1 -256 -256 -1 -0 -0 -4 -0x699d -0xfe561542 -256 -256 -1 -0 -0 -3 -0xc668 -0xa0fd36bd -256 -256 -1 -0 -0 -1 -0x43e2 -0x62a3cbd9 -256 -256 -0 -0 -0 -1 -0xf32c -0x1a409b60 -256 -256 -1 -0 -0 -2 -0x1dfd -0xe5e9e53f -256 -256 -0 -0 -0 -3 -0x58da -0xba082a86 -256 -256 -1 -0 -0 -1 -0x1b3b -0xc63ee48a -256 -256 -0 -0 -0 -1 -0x1f4c -0xd821d6c8 -256 -256 -0 -0 -0 -4 -0xf787 -0x78954c64 -256 -256 -0 -0 -0 -4 -0x5268 -0xeafc1031 -256 -256 -1 -0 -0 -1 -0xf90f -0xe48a272 -256 -256 -0 -0 -0 -2 -0x8983 -0x68ab4c3a -256 -256 -0 -0 -0 -1 -0x9fdc -0xa857238f -256 -256 -0 -0 -0 -2 -0x697b -0xdb8ce0db -256 -256 -0 -0 -0 -4 -0x71eb -0xd9386028 -256 -256 -1 -0 -0 -3 -0x44b4 -0x1e3d5f67 -256 -256 -0 -0 -0 -3 -0x362e -0xe6cb5b4a -256 -256 -0 -0 -0 -2 -0xea43 -0xb7b0dc36 -256 -256 -0 -0 -0 -1 -0x868 -0x8322af2d -256 -256 -0 -0 -0 -4 -0x4973 -0x140636e1 -256 -256 -1 -0 -0 -4 -0xf1d9 -0xeb9138d2 -256 -256 -0 -0 -0 -2 -0xd47a -0x48cf7c10 -256 -256 -1 -0 -0 -3 -0x3190 -0xe5922ee -256 -256 -0 -0 -0 -3 -0x63bc -0xd59df351 -256 -256 -1 -0 -0 -1 -0x570e -0xd87b83d1 -256 -256 -1 -0 -0 -4 -0x2b69 -0x38b147af -256 -256 -1 -0 -0 -3 -0x3881 -0x570ebf1e -256 -256 -0 -0 -0 -2 -0x4820 -0x73e0c50c -256 -256 -1 -0 -0 -1 -0x390f -0xc6c225ca -256 -256 -1 -0 -0 -4 -0xe613 -0x5fc2d718 -256 -256 -0 -0 -0 -2 -0x764f -0x3bc9bb06 -256 -256 -0 -0 -0 -1 -0x2d10 -0x26ec26ea -256 -256 -0 -0 -0 -1 -0x1bb0 -0x2e8d609d -256 -256 -1 -0 -0 -3 -0x40de -0x5c680030 -256 -256 -0 -0 -0 -2 -0x904d -0xdf68df83 -256 -256 -1 -0 -0 -1 -0xe396 -0x2df6effd -256 -256 -0 -0 -0 -2 -0xff94 -0x65511063 -256 -256 -0 -0 -0 -2 -0x16b1 -0x2a8f0f61 -256 -256 -0 -0 -0 -3 -0x14b2 -0xf3570029 -256 -256 -1 -0 -0 -3 -0xf4a3 -0xa83552c1 -256 -256 -1 -0 -0 -3 -0x6a60 -0x9ba7eadc -256 -256 -0 -0 -0 -2 -0x6f55 -0x9c149262 -256 -256 -1 -0 -0 -2 -0x1443 -0xe411fc49 -256 -256 -1 -0 -0 -1 -0xc631 -0x7c8c7eb9 -256 -256 -1 -0 -0 -1 -0xd1e0 -0xdfc469f1 -256 -256 -1 -0 -0 -3 -0xbdc8 -0x53e48c38 -256 -256 -1 -0 -0 -1 -0xd865 -0x50904817 -256 -256 -0 -0 -0 -3 -0x5fa -0xb50a2ae0 -256 -256 -1 -0 -0 -1 -0xbd21 -0x3a526ad7 -256 -256 -0 -0 -0 -2 -0x6b -0x8222ef9a -256 -256 -0 -0 -0 -1 -0x8cae -0xb552ad3e -256 -256 -0 -0 -0 -4 -0xb0f7 -0xd87f5938 -256 -256 -0 -0 -0 -1 -0x9995 -0x23b0296c -256 -256 -0 -0 -0 -4 -0x971c -0xa9f73783 -256 -256 -1 -0 -0 -4 -0x37cd -0x51d4c039 -256 -256 -1 -0 -0 -3 -0xc9e -0xf932f831 -256 -256 -1 -0 -0 -3 -0x6cde -0xd5117dff -256 -256 -1 -0 -0 -2 -0x380c -0xd2aa97c4 -256 -256 -1 -0 -0 -1 -0x11ae -0x7bb79ca5 -256 -256 -1 -0 -0 -4 -0x76d7 -0xc6d10fb2 -256 -256 -0 -0 -0 -1 -0xca85 -0x3f08558c -256 -256 -0 -0 -0 -3 -0x11f7 -0xaad5a78 -256 -256 -0 -0 -0 -2 -0x61a6 -0xa55338aa -256 -256 -1 -0 -0 -4 -0xfbb6 -0x3f550ea3 -256 -256 -0 -0 -0 -2 -0xb32d -0xb5689709 -256 -256 -1 -0 -0 -2 -0xd511 -0x47871846 -256 -256 -1 -0 -0 -4 -0xb0b2 -0x7f4c2da2 -256 -256 -0 -0 -0 -1 -0xd36c -0xa668d39e -256 -256 -0 -0 -0 -4 -0xdef -0x1a2fc08e -256 -256 -1 -0 -0 -4 -0x6587 -0x5bc63f62 -256 -256 -0 -0 -0 -3 -0x77a7 -0x85d366af -256 -256 -1 -0 -0 -2 -0x9452 -0x96bc3ae5 -256 -256 -0 -0 -0 -1 -0x318f -0x187a8496 -256 -256 -1 -0 -0 -3 -0xf572 -0x6e4c6c4b -256 -256 -1 -0 -0 -3 -0x48ff -0xdd36a9e0 -256 -256 -0 -0 -0 -3 -0x65ca -0xaab2c4f -256 -256 -0 -0 -0 -3 -0xe118 -0x5188f7b7 -256 -256 -0 -0 -0 -1 -0x4747 -0x213e3bef -256 -256 -0 -0 -0 -3 -0x109b -0xc7530461 -256 -256 -1 -0 -0 -1 -0x96be -0x1b02dc33 -256 -256 -0 -0 -0 -2 -0xcea3 -0xdb43e53b -256 -256 -1 -0 -0 -1 -0xe234 -0xe43cc4e2 -256 -256 -0 -0 -0 -2 -0x2607 -0xd6060e6c -256 -256 -0 -0 -0 -3 -0x1700 -0x9e7fc20c -256 -256 -1 -0 -0 -4 -0x755f -0x7968cf89 -256 -256 -1 -0 -0 -3 -0x5959 -0x94de1750 -256 -256 -0 -0 -0 -3 -0x6b87 -0x9ad18c3 -256 -256 -0 -0 -0 -2 -0xb436 -0xcc2377ca -256 -256 -1 -0 -0 -4 -0xdf69 -0xf2233f6d -256 -256 -1 -0 -0 -2 -0x96a7 -0x4ef30f5 -256 -256 -0 -0 -0 -2 -0x85e0 -0xa80c57 -256 -256 -0 -0 -0 -1 -0xba0f -0xa859bcb0 -256 -256 -1 -0 -0 -2 -0x3799 -0x300672dc -256 -256 -1 -0 -0 -2 -0xe0ea -0xbc7edccc -256 -256 -1 -0 -0 -3 -0xc762 -0xb1257754 -256 -256 -0 -0 -0 -2 -0x65bd -0x9a3b1554 -256 -256 -1 -0 -0 -2 -0x4d37 -0xf018b82c -256 -256 -0 -0 -0 -3 -0xab69 -0x2204f257 -256 -256 -0 -0 -0 -3 -0x5885 -0xd2830f17 -256 -256 -0 -0 -0 -1 -0xa5b7 -0xb33a82c6 -256 -256 -0 -0 -0 -4 -0x982c -0x5a44ed05 -256 -256 -0 -0 -0 -4 -0xbf17 -0x68400ea1 -256 -256 -1 -0 -0 -1 -0x56f0 -0xd5aa2f59 -256 -256 -0 -0 -0 -2 -0x66d6 -0x6d8aa0ed -256 -256 -1 -0 -0 -1 -0x490e -0xe7a12523 -256 -256 -1 -0 -0 -1 -0xcec -0x887b826d -256 -256 -1 -0 -0 -1 -0x78e5 -0x6cd29694 -256 -256 -1 -0 -0 -2 -0x49ec -0x660ad1d3 -256 -256 -0 -0 -0 -1 -0xc6ab -0x107e944 -256 -256 -0 -0 -0 -2 -0xf029 -0x52c54fac -256 -256 -1 -0 -0 -2 -0x7dcc -0x4d909683 -256 -256 -1 -0 -0 -2 -0x12a5 -0x4dfcf33b -256 -256 -1 -0 -0 -3 -0x9877 -0x7ce17350 -256 -256 -1 -0 -0 -4 -0x90bb -0x554cd07 -256 -256 -0 -0 -0 -3 -0xe54c -0x561d9394 -256 -256 -0 -0 -0 -4 -0xd110 -0xb2e5d75f -256 -256 -1 -0 -0 -2 -0x82c5 -0x312e0af9 -256 -256 -1 -0 -0 -3 -0xb764 -0xab46d5c2 -256 -256 -0 -0 -0 -1 -0x1062 -0x2766e428 -256 -256 -0 -0 -0 -4 -0x2825 -0x245efc2e -256 -256 -1 -0 -0 -4 -0x5f4f -0xee7bd0e6 -256 -256 -0 -0 -0 -4 -0x571b -0x69c52b5 -256 -256 -0 -0 -0 -3 -0xa070 -0xbb139159 -256 -256 -1 -0 -0 -3 -0x98de -0x99a048ed -256 -256 -0 -0 -0 -2 -0x6087 -0x84d21145 -256 -256 -1 -0 -0 -2 -0xe815 -0xa9e9784 -256 -256 -1 -0 -0 -4 -0xa3bf -0xf047f86f -256 -256 -0 -0 -0 -2 -0xe2ea -0xdc59b07c -256 -256 -0 -0 -0 -1 -0x5085 -0x736aff86 -256 -256 -1 -0 -0 -1 -0x333c -0xe605c76c -256 -256 -1 -0 -0 -4 -0xc099 -0xcb232af8 -256 -256 -1 -0 -0 -2 -0xa972 -0x7a6689bd -256 -256 -0 -0 -0 -2 -0xd4ba -0xb6bf296d -256 -256 -0 -0 -0 -4 -0x9bab -0x2db85798 -256 -256 -0 -0 -0 -4 -0x6526 -0xba1af47b -256 -256 -0 -0 -0 -4 -0x884f -0x91723bb2 -256 -256 -1 -0 -0 -4 -0x6741 -0xbd984e54 -256 -256 -0 -0 -0 -4 -0x1b9e -0x1aeb99fb -256 -256 -1 -0 -0 -3 -0xf8b2 -0xd668c02 -256 -256 -1 -0 -0 -4 -0xe244 -0x42840089 -256 -256 -1 -0 -0 -2 -0xb48e -0x49fcdf25 -256 -256 -0 -0 -0 -2 -0xc859 -0xf46eb4f2 -256 -256 -1 -0 -0 -3 -0xe176 -0xb31af44a -256 -256 -1 -0 -0 -2 -0xf774 -0x53d69f78 -256 -256 -0 -0 -0 -2 -0xaf45 -0x3af5245 -256 -256 -1 -0 -0 -3 -0xf0f4 -0x62d346b3 -256 -256 -1 -0 -0 -1 -0xfaf1 -0xb6e13d3 -256 -256 -1 -0 -0 -4 -0x6189 -0x7791db08 -256 -256 -1 -0 -0 -4 -0x3eb1 -0x947e8903 -256 -256 -1 -0 -0 -2 -0x3c94 -0x6ba5925f -256 -256 -1 -0 -0 -1 -0x219f -0x8b5b92f6 -256 -256 -0 -0 -0 -4 -0x4eea -0x25425c04 -256 -256 -1 -0 -0 -3 -0xdc52 -0xa2b364a9 -256 -256 -0 -0 -0 -2 -0x8934 -0xadaf720c -256 -256 -0 -0 -0 -2 -0x3da0 -0x6b6fec41 -256 -256 -1 -0 -0 -4 -0xf279 -0xb336ffc0 -256 -256 -0 -0 -0 -2 -0x887e -0x6e1a142c -256 -256 -0 -0 -0 -1 -0xf143 -0xc6b3cd4b -256 -256 -1 -0 -0 -3 -0x9023 -0xaa64ac0f -256 -256 -0 -0 -0 -2 -0xa866 -0x67062d21 -256 -256 -1 -0 -0 -3 -0x63ef -0x3937bc5d -256 -256 -1 -0 -0 -4 -0xacf9 -0x49a90298 -256 -256 -0 -0 -0 -1 -0x32cf -0x1683928e -256 -256 -1 -0 -0 -2 -0x5c1b -0x7a8a1c16 -256 -256 -1 -0 -0 -3 -0xff77 -0xb06a8b41 -256 -256 -1 -0 -0 -1 -0x251d -0xec7b86c1 -256 -256 -0 -0 -0 -4 -0xcf6c -0xcb804eca -256 -256 -0 -0 -0 -3 -0x4562 -0x73594536 -256 -256 -1 -0 -0 -2 -0x743c -0xa038c429 -256 -256 -0 -0 -0 -1 -0x158a -0xa14d4042 -256 -256 -1 -0 -0 -4 -0xce7f -0xea1cf5c7 -256 -256 -1 -0 -0 -4 -0x3152 -0x976e8964 -256 -256 -1 -0 -0 -3 -0x9a90 -0xd44122fe -256 -256 -0 -0 -0 -3 -0x86ff -0x7ff53f18 -256 -256 -1 -0 -0 -2 -0x964f -0xae91a211 -256 -256 -0 -0 -0 -2 -0x65a5 -0xda020443 -256 -256 -1 -0 -0 -4 -0x6707 -0x2769f5b9 -256 -256 -1 -0 -0 -1 -0xa76f -0x26ad9e23 -256 -256 -0 -0 -0 -2 -0x94cd -0x1a0a68f0 -256 -256 -1 -0 -0 -4 -0xed5c -0x39d28218 -256 -256 -0 -0 -0 -4 -0x6fa5 -0x6784b535 -256 -256 -0 -0 -0 -3 -0x8c5b -0x52fbd241 -256 -256 -1 -0 -0 -1 -0x3013 -0x2a7265b -256 -256 -1 -0 -0 -1 -0x5b30 -0x76fbadce -256 -256 -0 -0 -0 -4 -0xf9bd -0x5302f55 -256 -256 -1 -0 -0 -3 -0xe018 -0x283bd453 -256 -256 -1 -0 -0 -4 -0xaab9 -0x7a855638 -256 -256 -1 -0 -0 -3 -0x6109 -0xd2f98600 -256 -256 -0 -0 -0 -1 -0x43df -0x8411cdb3 -256 -256 -0 -0 -0 -2 -0xbda3 -0x7b6ab28 -256 -256 -0 -0 -0 -3 -0xce41 -0xf1feab67 -256 -256 -0 -0 -0 -1 -0x76cb -0x6c658681 -256 -256 -1 -0 -0 -4 -0x833e -0x11316d71 -256 -256 -1 -0 -0 -2 -0xb535 -0x3e6ad1b7 -256 -256 -0 -0 -0 -3 -0x4e0 -0x5fe331a4 -256 -256 -0 -0 -0 -3 -0x492d -0xb50266ef -256 -256 -1 -0 -0 -1 -0xdabc -0x2e90c2ea -256 -256 -1 -0 -0 -3 -0xac9e -0x1855002b -256 -256 -1 -0 -0 -4 -0xb5f5 -0x26ab1ebd -256 -256 -1 -0 -0 -4 -0xdb28 -0xeeb5e90d -256 -256 -1 -0 -0 -2 -0xb15a -0xb81ebdba -256 -256 -0 -0 -0 -4 -0x26c4 -0x494c503b -256 -256 -0 -0 -0 -3 -0xb6cf -0x53b5b3eb -256 -256 -0 -0 -0 -1 -0x42c4 -0xb4f7125e -256 -256 -1 -0 -0 -1 -0xe3f7 -0xf96706e -256 -256 -1 -0 -0 -4 -0x513f -0x5625a136 -256 -256 -0 -0 -0 -2 -0xadc7 -0x5de15bc8 -256 -256 -1 -0 -0 -1 -0x4df5 -0xd677e574 -256 -256 -0 -0 -0 -3 -0x8d08 -0x3b896440 -256 -256 -1 -0 -0 -2 -0x2ca1 -0x5772fb7a -256 -256 -1 -0 -0 -4 -0x7935 -0xfa1a1f0c -256 -256 -0 -0 -0 -1 -0x7682 -0x4edb54aa -256 -256 -1 -0 -0 -3 -0xecd4 -0xc30e8ceb -256 -256 -1 -0 -0 -1 -0xe41c -0xa7e9931f -256 -256 -0 -0 -0 -1 -0x1240 -0xcecf1035 -256 -256 -0 -0 -0 -4 -0x9988 -0x558c3ef1 -256 -256 -0 -0 -0 -2 -0x46d1 -0xf0f2b977 -256 -256 -0 -0 -0 -4 -0xfae4 -0xbb3f7126 -256 -256 -1 -0 -0 -4 -0x739 -0xda034ea5 -256 -256 -0 -0 -0 -4 -0x939b -0x5a3e265d -256 -256 -1 -0 -0 -4 -0xf674 -0xb4071b0f -256 -256 -0 -0 -0 -2 -0x172 -0xa48c9bbb -256 -256 -0 -0 -0 -4 -0x99bf -0x251ca856 -256 -256 -0 -0 -0 -2 -0x1ce5 -0x5b1010cc -256 -256 -0 -0 -0 -2 -0x92aa -0x966fe279 -256 -256 -1 -0 -0 -1 -0x51f8 -0x366aaf13 -256 -256 -0 -0 -0 -1 -0xfdaa -0xa60958b3 -256 -256 -1 -0 -0 -1 -0x3bb6 -0x87fd0a7d -256 -256 -1 -0 -0 -3 -0xd580 -0x2ffa8b64 -256 -256 -0 -0 -0 -3 -0xd21c -0x977488c7 -256 -256 -1 -0 -0 -1 -0x802e -0xce48f459 -256 -256 -0 -0 -0 -4 -0x8df1 -0x9d9f6d66 -256 -256 -0 -0 -0 -1 -0x8fb3 -0x183dc99e -256 -256 -1 -0 -0 -1 -0xe9ad -0xb5e8cb94 -256 -256 -0 -0 -0 -3 -0x24df -0x176a289 -256 -256 -1 -0 -0 -2 -0xa450 -0x63cf6c1c -256 -256 -1 -0 -0 -2 -0x10c8 -0x78d67abb -256 -256 -1 -0 -0 -3 -0x7a81 -0x624fbf24 -256 -256 -0 -0 -0 -1 -0x9be7 -0x561058a5 -256 -256 -1 -0 -0 -4 -0x311b -0x24d9bc17 -256 -256 -1 -0 -0 -1 -0x62d7 -0x6790570e -256 -256 -1 -0 -0 -4 -0x1421 -0x910cf64e -256 -256 -1 -0 -0 -1 -0xed77 -0x1f6b0442 -256 -256 -0 -0 -0 -3 -0x1e37 -0xd27269d6 -256 -256 -0 -0 -0 -4 -0x4bde -0x461850b6 -256 -256 -1 -0 -0 -3 -0x9d14 -0x3f50c724 -256 -256 -0 -0 -0 -3 -0x6e07 -0x947bba -256 -256 -1 -0 -0 -3 -0x5d15 -0x65d75108 -256 -256 -0 -0 -0 -1 -0x12aa -0x46d58313 -256 -256 -0 -0 -0 -4 -0x333d -0x6fb72765 -256 -256 -1 -0 -0 -4 -0x699b -0x878a52fc -256 -256 -0 -0 -0 -2 -0xf645 -0xc4a0c7e5 -256 -256 -1 -0 -0 -3 -0x1c85 -0x64d262ec -256 -256 -1 -0 -0 -4 -0x5c87 -0xb93e8df4 -256 -256 -0 -0 -0 -2 -0x4dfc -0x45d0bff1 -256 -256 -1 -0 -0 -3 -0xd6f -0xc5ba5341 -256 -256 -0 -0 -0 -1 -0x376c -0xf21f25c7 -256 -256 -1 -0 -0 -3 -0x2e6 -0x732e883b -256 -256 -0 -0 -0 -1 -0xc204 -0x77a7be1a -256 -256 -1 -0 -0 -2 -0xccc1 -0x1922c7ae -256 -256 -1 -0 -0 -3 -0xfaf0 -0xa0a2a259 -256 -256 -0 -0 -0 -3 -0x55af -0xe57d3d3f -256 -256 -0 -0 -0 -1 -0x3a2e -0x952f9290 -256 -256 -1 -0 -0 -3 -0x5f8e -0x7ab5daf8 -256 -256 -0 -0 -0 -1 -0x7c92 -0xd8dd4025 -256 -256 -1 -0 -0 -2 -0x7cf5 -0xd033545a -256 -256 -0 -0 -0 -1 -0xd16 -0x729149c9 -256 -256 -0 -0 -0 -4 -0xab06 -0x695595f3 -256 -256 -1 -0 -0 -3 -0x377 -0xb5ddc630 -256 -256 -1 -0 -0 -2 -0x866e -0x4dca49a6 -256 -256 -0 -0 -0 -3 -0xea5e -0x474375fa -256 -256 -1 -0 -0 -3 -0x3bec -0xe29485a0 -256 -256 -0 -0 -0 -4 -0x3839 -0xb4f73f3 -256 -256 -1 -0 -0 -2 -0x6357 -0xc9a5f1d0 -256 -256 -0 -0 -0 -4 -0xbae0 -0x325131c8 -256 -256 -0 -0 -0 -1 -0xbadc -0x9cea8522 -256 -256 -1 -0 -0 -1 -0x5d0d -0x82149bb -256 -256 -1 -0 -0 -1 -0xae29 -0xcbe89196 -256 -256 -0 -0 -0 -1 -0xcc2a -0xd0ebc8c -256 -256 -1 -0 -0 -3 -0x22b6 -0x7e63ea1 -256 -256 -1 -0 -0 -3 -0x9fb1 -0x8390f494 -256 -256 -1 -0 -0 -2 -0x2af4 -0x437e2ebe -256 -256 -0 -0 -0 -3 -0x5771 -0x1af52266 -256 -256 -1 -0 -0 -1 -0xc1 -0x28d931aa -256 -256 -0 -0 -0 -3 -0x4864 -0x4be6ab1b -256 -256 -1 -0 -0 -1 -0x1c63 -0xc53256e9 -256 -256 -1 -0 -0 -2 -0x2059 -0x2fee07b -256 -256 -0 -0 -0 -1 -0x5f27 -0xbbb70fc2 -256 -256 -1 -0 -0 -3 -0x6a41 -0x56846229 -256 -256 -1 -0 -0 -3 -0xddf5 -0xcd8b28d8 -256 -256 -1 -0 -0 -1 -0xae8a -0xa9d92aa3 -256 -256 -0 -0 -0 -4 -0x234c -0x8626f8b9 -256 -256 -0 -0 -0 -1 -0xf9c2 -0x737a544 -256 -256 -1 -0 -0 -1 -0xd5e6 -0x5d956022 -256 -256 -0 -0 -0 -4 -0x3cd1 -0x6d64e05e -256 -256 -1 -0 -0 -4 -0x769f -0xe43c72d2 -256 -256 -1 -0 -0 -1 -0xa5f1 -0x50de0a88 -256 -256 -0 -0 -0 -2 -0xa27b -0x1cc51edd -256 -256 -0 -0 -0 -3 -0x3fb5 -0xbaef367 -256 -256 -1 -0 -0 -2 -0x481b -0x50042616 -256 -256 -0 -0 -0 -3 -0xb503 -0xcb3303d6 -256 -256 -1 -0 -0 -1 -0x7346 -0x6b87e46e -256 -256 -1 -0 -0 -3 -0xc6be -0xc627133a -256 -256 -1 -0 -0 -2 -0x1524 -0x38fb4259 -256 -256 -1 -0 -0 -4 -0x51c3 -0xd7c9e1 -256 -256 -0 -0 -0 -1 -0x7d52 -0xcce094f4 -256 -256 -1 -0 -0 -4 -0x11e9 -0xcd5c957 -256 -256 -1 -0 -0 -3 -0x8d9f -0xfe1739c8 -256 -256 -1 -0 -0 -4 -0xe949 -0x831ad544 -256 -256 -0 -0 -0 -3 -0x194a -0xe37f7db -256 -256 -1 -0 -0 -3 -0xe569 -0x8af24e2 -256 -256 -1 -0 -0 -1 -0x173d -0xa66d5853 -256 -256 -1 -0 -0 -3 -0xeb0c -0x56e2a788 -256 -256 -1 -0 -0 -1 -0x7094 -0xf2068a57 -256 -256 -0 -0 -0 -4 -0x13ab -0xf23cd925 -256 -256 -0 -0 -0 -3 -0xbfa4 -0xac738716 -256 -256 -1 -0 -0 -2 -0x26f9 -0xd5589d20 -256 -256 -1 -0 -0 -2 -0x4c06 -0x30401c84 -256 -256 -0 -0 -0 -3 -0x5986 -0xbfd25568 -256 -256 -0 -0 -0 -1 -0xbe75 -0x1714fd4e -256 -256 -0 -0 -0 -2 -0x9fcf -0x5bfac8e0 -256 -256 -1 -0 -0 -3 -0x2acf -0x7f01ce21 -256 -256 -1 -0 -0 -4 -0x8f10 -0x1b4157f2 -256 -256 -0 -0 -0 -2 -0x6b1a -0xa7e375e4 -256 -256 -0 -0 -0 -2 -0x4b2c -0x6797b327 -256 -256 -1 -0 -0 -4 -0xdd1d -0xcdbe9d1f -256 -256 -1 -0 -0 -2 -0xca32 -0x222c02dc -256 -256 -0 -0 -0 -1 -0x8b50 -0xb08fa9c8 -256 -256 -1 -0 -0 -1 -0x44d -0x7e793116 -256 -256 -1 -0 -0 -2 -0xbf32 -0xe3c6515 -256 -256 -0 -0 -0 -3 -0x7c68 -0x76cabd2c -256 -256 -1 -0 -0 -1 -0x1823 -0xdea4e3ff -256 -256 -1 -0 -0 -4 -0x5340 -0x6d4111b4 -256 -256 -0 -0 -0 -1 -0x5379 -0xbfc90459 -256 -256 -1 -0 -0 -3 -0xdc76 -0x4cd57a98 -256 -256 -1 -0 -0 -3 -0xe859 -0xfdb229a -256 -256 -0 -0 -0 -4 -0xab10 -0xc7c486f7 -256 -256 -1 -0 -0 -3 -0x7fb3 -0x4c4afc4b -256 -256 -0 -0 -0 -3 -0x1c8e -0x39a512fc -256 -256 -1 -0 -0 -4 -0x9196 -0x28645f39 -256 -256 -1 -0 -0 -3 -0x721d -0x10224aff -256 -256 -1 -0 -0 -1 -0x4b20 -0x1751edd2 -256 -256 -1 -0 -0 -3 -0x54fc -0x5e1ba995 -256 -256 -1 -0 -0 -3 -0xf28a -0x14c9fa41 -256 -256 -1 -0 -0 -4 -0x8106 -0x64cbfd7d -256 -256 -1 -0 -0 -1 -0x8480 -0xea4c86c2 -256 -256 -1 -0 -0 -3 -0xd322 -0x27c8319f -256 -256 -0 -0 -0 -1 -0x9563 -0xc046263a -256 -256 -0 -0 -0 -1 -0x25cf -0xb8e93aa6 -256 -256 -1 -0 -0 -3 -0x1663 -0x3aeedb0f -256 -256 -0 -0 -0 -3 -0xdd6c -0x44149c17 -256 -256 -0 -0 -0 -1 -0xf3e8 -0x610b2e2 -256 -256 -1 -0 -0 -2 -0xb825 -0x1a277cd0 -256 -256 -0 -0 -0 -1 -0x1b7c -0x9b28c4a9 -256 -256 -1 -0 -0 -4 -0x7787 -0x96e2f428 -256 -256 -0 -0 -0 -2 -0x95a1 -0xb362c579 -256 -256 -1 -0 -0 -3 -0x2727 -0x30b858da -256 -256 -0 -0 -0 -3 -0xd786 -0xca61a2a6 -256 -256 -0 -0 -0 -2 -0x6906 -0x4046982e -256 -256 -0 -0 -0 -1 -0x8820 -0x88ada17d -256 -256 -1 -0 -0 -3 -0x1e6c -0xdd3cb51c -256 -256 -0 -0 -0 -2 -0xe187 -0xbb608be0 -256 -256 -1 -0 -0 -2 -0x167c -0x260d6f9e -256 -256 -1 -0 -0 -4 -0xa0f9 -0x33f1f6f0 -256 -256 -1 -0 -0 -2 -0x977d -0xc5e2a267 -256 -256 -1 -0 -0 -4 -0x423d -0xd412df0c -256 -256 -0 -0 -0 -2 -0xf572 -0x5cdef51b -256 -256 -1 -0 -0 -1 -0x6f6f -0xba8a421 -256 -256 -1 -0 -0 -4 -0xca9 -0xca93091d -256 -256 -0 -0 -0 -3 -0xd57b -0xa81eac59 -256 -256 -1 -0 -0 -2 -0xd5d2 -0xb60dbcfa -256 -256 -1 -0 -0 -2 -0xe2a2 -0x31b96ed6 -256 -256 -0 -0 -0 -3 -0x6670 -0x8a9f54c3 -256 -256 -1 -0 -0 -4 -0x7689 -0x3eb05114 -256 -256 -0 -0 -0 -4 -0xe3f8 -0xa2d63c1f -256 -256 -1 -0 -0 -3 -0xf094 -0x9251f138 -256 -256 -0 -0 -0 -4 -0xcde9 -0x3d4d2465 -256 -256 -1 -0 -0 -1 -0x6cd7 -0xf39b15d2 -256 -256 -1 -0 -0 -1 -0xc15c -0x45211487 -256 -256 -1 -0 -0 -4 -0x83d1 -0xaf62837b -256 -256 -0 -0 -0 -2 -0x8d1 -0x3a00cdd0 -256 -256 -1 -0 -0 -3 -0x33ed -0xc0ba06fa -256 -256 -0 -0 -0 -1 -0xec66 -0x3ff88437 -256 -256 -0 -0 -0 -1 -0x1654 -0xb3e94e86 -256 -256 -0 -0 -0 -2 -0x1c76 -0x44e609ab -256 -256 -0 -0 -0 -4 -0x45fd -0xd626236d -256 -256 -0 -0 -0 -4 -0x246 -0xfc0fa1c5 -256 -256 -0 -0 -0 -4 -0x37bf -0x27b1a5e -256 -256 -0 -0 -0 -1 -0x77d9 -0x1fd48373 -256 -256 -1 -0 -0 -3 -0x473 -0xb8831f0a -256 -256 -1 -0 -0 -2 -0x8131 -0x608f8e76 -256 -256 -0 -0 -0 -3 -0x6969 -0xd6d6b3fe -256 -256 -1 -0 -0 -4 -0xe3f7 -0x96810b22 -256 -256 -1 -0 -0 -3 -0x769f -0xfc9d614 -256 -256 -1 -0 -0 -3 -0xfb1e -0xc3aaeb40 -256 -256 -1 -0 -0 -3 -0x3d9d -0x47c3a062 -256 -256 -1 -0 -0 -3 -0xfb98 -0xb4c95131 -256 -256 -1 -0 -0 -3 -0x8d3a -0xb6c92070 -256 -256 -0 -0 -0 -4 -0x19b3 -0xe27b8be4 -256 -256 -1 -0 -0 -1 -0x5d6c -0xd5a7a48 -256 -256 -0 -0 -0 -3 -0xd99e -0x1cbf6135 -256 -256 -0 -0 -0 -3 -0xb763 -0xfaa42a57 -256 -256 -0 -0 -0 -4 -0x880f -0x5c11b9c3 -256 -256 -0 -0 -0 -4 -0x6e86 -0xca7a169f -256 -256 -0 -0 -0 -1 -0x2d4c -0xda403d91 -256 -256 -1 -0 -0 -3 -0x8cba -0xb5d02914 -256 -256 -1 -0 -0 -4 -0x3a09 -0xec76f0d0 -256 -256 -0 -0 -0 -2 -0xd360 -0x17d957f3 -256 -256 -0 -0 -0 -3 -0xd8ce -0x7137d57b -256 -256 -1 -0 -0 -4 -0x7210 -0xad9712bc -256 -256 -0 -0 -0 -4 -0x5972 -0xa2a10cf4 -256 -256 -0 -0 -0 -2 -0x7d1 -0x2f63743b -256 -256 -1 -0 -0 -2 -0x65ac -0x8a215e7c -256 -256 -1 -0 -0 -2 -0x96ce -0x8f6732c8 -256 -256 -0 -0 -0 -3 -0x95ea -0xb56e4a39 -256 -256 -0 -0 -0 -2 -0x7205 -0xa0be724 -256 -256 -1 -0 -0 -1 -0xa3b9 -0x8d397808 -256 -256 -0 -0 -0 -1 -0xb049 -0x804f46e0 -256 -256 -1 -0 -0 -2 -0x561f -0xea2b304c -256 -256 -0 -0 -0 -3 -0xe980 -0xbd3b6e09 -256 -256 -0 -0 -0 -1 -0xfc0f -0x42aa00fe -256 -256 -0 -0 -0 -3 -0xefd3 -0xadd02853 -256 -256 -1 -0 -0 -2 -0x826 -0xec510f61 -256 -256 -1 -0 -0 -3 -0xc25f -0xa40af30f -256 -256 -1 -0 -0 -2 -0x7886 -0x8416b12c -256 -256 -0 -0 -0 -4 -0xc2e9 -0x457012e0 -256 -256 -1 -0 -0 -3 -0x547f -0x354b2c1f -256 -256 -0 -0 -0 -3 -0x5f98 -0xd6532a08 -256 -256 -1 -0 -0 -2 -0x3d5b -0x9a78a991 -256 -256 -0 -0 -0 -3 -0xaae -0xe4bd3a43 -256 -256 -1 -0 -0 -4 -0x696a -0x9a700618 -256 -256 -0 -0 -0 -4 -0x5bf3 -0xb118e01a -256 -256 -1 -0 -0 -1 -0x1251 -0x7b9810e -256 -256 -1 -0 -0 -2 -0xce25 -0xcdac2794 -256 -256 -1 -0 -0 -4 -0x4071 -0x51f875f -256 -256 -0 -0 -0 -2 -0xe433 -0x5373d77d -256 -256 -0 -0 -0 -2 -0x75b6 -0x8f0dbaa9 -256 -256 -0 -0 -0 -3 -0xe7e7 -0x5997c835 -256 -256 -0 -0 -0 -4 -0xca0d -0xab6afdf5 -256 -256 -0 -0 -0 -2 -0xdcd5 -0xe6e92c9b -256 -256 -0 -0 -0 -2 -0x57ca -0x568f943e -256 -256 -1 -0 -0 -2 -0x6025 -0x234a5781 -256 -256 -1 -0 -0 -4 -0xafed -0xaad235f1 -256 -256 -1 -0 -0 -3 -0x55ae -0x2e7fcc5e -256 -256 -0 -0 -0 -4 -0x4fdf -0x77e35b65 -256 -256 -1 -0 -0 -1 -0x1b1c -0x1f5e30d -256 -256 -1 -0 -0 -1 -0x3b19 -0x775a6452 -256 -256 -0 -0 -0 -4 -0xaea7 -0xcf259aa7 -256 -256 -0 -0 -0 -2 -0xb03b -0xa89223a4 -256 -256 -0 -0 -0 -3 -0xe15f -0xae56fc53 -256 -256 -1 -0 -0 -1 -0x7605 -0xddd664c3 -256 -256 -0 -0 -0 -4 -0x2de8 -0x255fc810 -256 -256 -0 -0 -0 -3 -0xf7d2 -0x411badec -256 -256 -1 -0 -0 -2 -0x5774 -0xbff90b41 -256 -256 -1 -0 -0 -3 -0x2ecf -0x8119bac4 -256 -256 -1 -0 -0 -4 -0x85ac -0x3ccfe77d -256 -256 -0 -0 -0 -2 -0xbc0c -0xaaa8e89c -256 -256 -1 -0 -0 -2 -0xc6cf -0x188be062 -256 -256 -0 -0 -0 -3 -0xda69 -0xf5676ad -256 -256 -0 -0 -0 -4 -0x1851 -0x9835a00 -256 -256 -0 -0 -0 -4 -0x1da9 -0x86bd705d -256 -256 -0 -0 -0 -1 -0xe3b1 -0x8ccec3f8 -256 -256 -0 -0 -0 -1 -0x3a4d -0x130685cb -256 -256 -0 -0 -0 -2 -0xc133 -0xa8a1f17d -256 -256 -0 -0 -0 -1 -0xc8d7 -0xda10cab7 -256 -256 -1 -0 -0 -1 -0x3aa9 -0x634c6726 -256 -256 -0 -0 -0 -3 -0x20dc -0x4abaafb9 -256 -256 -1 -0 -0 -1 -0x5d2c -0xec49f67b -256 -256 -1 -0 -0 -1 -0x200d -0xab29738f -256 -256 -0 -0 -0 -3 -0x81f7 -0x1679eb96 -256 -256 -0 -0 -0 -2 -0x6593 -0x9e7b2a77 -256 -256 -1 -0 -0 -4 -0x2494 -0x4ba717f5 -256 -256 -1 -0 -0 -3 -0xebf4 -0x6f1edde -256 -256 -1 -0 -0 -2 -0xfda9 -0xdcb528e -256 -256 -1 -0 -0 -4 -0x2b56 -0xa417951a -256 -256 -0 -0 -0 -4 -0xe834 -0x3f8d898e -256 -256 -1 -0 -0 -2 -0x9d5a -0xabc499a6 -256 -256 -0 -0 -0 -1 -0x3d68 -0x8d2b9e75 -256 -256 -1 -0 -0 -3 -0x5e60 -0xc5e53dfc -256 -256 -1 -0 -0 -3 -0xbf32 -0x98bc3b59 -256 -256 -0 -0 -0 -1 -0x6ee9 -0x4f093e73 -256 -256 -0 -0 -0 -4 -0xbd3 -0x2a198e33 -256 -256 -0 -0 -0 -2 -0x7a54 -0x29f4fb80 -256 -256 -1 -0 -0 -2 -0x7dec -0x812ad9b8 -256 -256 -0 -0 -0 -2 -0x18c7 -0x229d1bbf -256 -256 -1 -0 -0 -4 -0x1de4 -0x89eeb898 -256 -256 -1 -0 -0 -4 -0x6f11 -0x3d25107e -256 -256 -1 -0 -0 -4 -0xa840 -0x4580401c -256 -256 -0 -0 -0 -1 -0x6ed3 -0x4c7e082c -256 -256 -1 -0 -0 -2 -0x7218 -0x5f59e341 -256 -256 -0 -0 -0 -1 -0x213d -0xfda3789d -256 -256 -1 -0 -0 -2 -0x2b79 -0xe5b1c07 -256 -256 -1 -0 -0 -4 -0x9fd2 -0xdf2e26e9 -256 -256 -1 -0 -0 -2 -0xee22 -0xbf56bf03 -256 -256 -1 -0 -0 -3 -0xfff -0xba002204 -256 -256 -1 -0 -0 -1 -0xfed8 -0x151517c1 -256 -256 -0 -0 -0 -4 -0xe6db -0x978a3afd -256 -256 -1 -0 -0 -1 -0x6ccb -0x882c392b -256 -256 -1 -0 -0 -2 -0xec29 -0x9c2ff05b -256 -256 -0 -0 -0 -4 -0x2134 -0x18540c8f -256 -256 -1 -0 -0 -2 -0x6d84 -0xb8d916d2 -256 -256 -0 -0 -0 -1 -0x2f4d -0xeaa42e87 -256 -256 -1 -0 -0 -3 -0xef0d -0x1e2f9136 -256 -256 -0 -0 -0 -3 -0xfbb9 -0x486df907 -256 -256 -0 -0 -0 -4 -0x9cf6 -0xf9a2f3c0 -256 -256 -1 -0 -0 -4 -0x40d6 -0x86e13aeb -256 -256 -0 -0 -0 -2 -0x2c3b -0x2bba37b9 -256 -256 -1 -0 -0 -2 -0xa544 -0x59ac2cc3 -256 -256 -0 -0 -0 -4 -0x65e9 -0x51a3e90c -256 -256 -0 -0 -0 -2 -0x976b -0x3e6523d2 -256 -256 -1 -0 -0 -3 -0x20be -0x7a72cbfe -256 -256 -0 -0 -0 -4 -0xf5be -0x166324e2 -256 -256 -1 -0 -0 -2 -0x982b -0xf1f6315 -256 -256 -1 -0 -0 -1 -0xc07e -0xae05716a -256 -256 -0 -0 -0 -4 -0x2d6e -0xfa5e0f08 -256 -256 -1 -0 -0 -1 -0x7c76 -0x3efc3f77 -256 -256 -1 -0 -0 -1 -0xeaaf -0x8f9d5733 -256 -256 -0 -0 -0 -1 -0xc462 -0xd1c9c973 -256 -256 -0 -0 -0 -2 -0x96c9 -0xb460e721 -256 -256 -0 -0 -0 -3 -0x4716 -0xaaa74ead -256 -256 -1 -0 -0 -2 -0x7bfe -0x5dcd1ac1 -256 -256 -1 -0 -0 -2 -0xccb5 -0xdb165f96 -256 -256 -0 -0 -0 -2 -0x89bf -0x7a1bdd73 -256 -256 -1 -0 -0 -1 -0x3c2b -0xaf272392 -256 -256 -1 -0 -0 -3 -0x37b5 -0xe2b499f -256 -256 -1 -0 -0 -2 -0x72fe -0xcc00f81d -256 -256 -1 -0 -0 -2 -0x424f -0x108cbd56 -256 -256 -0 -0 -0 -4 -0x7b87 -0x63e4006a -256 -256 -0 -0 -0 -2 -0xbad2 -0x630a34b8 -256 -256 -1 -0 -0 -4 -0xc227 -0x52ee6295 -256 -256 -0 -0 -0 -2 -0x128b -0x70119231 -256 -256 -0 -0 -0 -1 -0xd1dc -0x57bbe0d2 -256 -256 -0 -0 -0 -3 -0x727e -0xc5eb9554 -256 -256 -0 -0 -0 -4 -0xe929 -0x2c6f7ce5 -256 -256 -0 -0 -0 -3 -0x35fa -0x4f81b128 -256 -256 -1 -0 -0 -4 -0x8451 -0xb331bf20 -256 -256 -1 -0 -0 -2 -0xe3e7 -0x61c6269f -256 -256 -0 -0 -0 -4 -0x845b -0xeeb6e388 -256 -256 -1 -0 -0 -1 -0x81ab -0xa17ed184 -256 -256 -0 -0 -0 -4 -0x276d -0xf055e8ea -256 -256 -0 -0 -0 -1 -0xdc5f -0x253fbd83 -256 -256 -1 -0 -0 -4 -0x1df2 -0xd24f282d -256 -256 -1 -0 -0 -4 -0x604a -0x42681b86 -256 -256 -0 -0 -0 -3 -0x31b -0xc68ed4fa -256 -256 -0 -0 -0 -3 -0x935c -0x8294f44a -256 -256 -1 -0 -0 -1 -0xd784 -0xa7b5b78 -256 -256 -1 -0 -0 -4 -0x6719 -0xbfdaa2f5 -256 -256 -1 -0 -0 -2 -0xba94 -0x7b10c2c6 -256 -256 -1 -0 -0 -2 -0x6d07 -0x82e42a54 -256 -256 -1 -0 -0 -4 -0x7338 -0x26e6e293 -256 -256 -1 -0 -0 -2 -0x1571 -0xde4f3b70 -256 -256 -0 -0 -0 -4 -0x2a13 -0x32b737e8 -256 -256 -1 -0 -0 -4 -0x225f -0xdecf628b -256 -256 -1 -0 -0 -1 -0x74da -0x97687e8e -256 -256 -0 -0 -0 -3 -0x1514 -0x361244cd -256 -256 -0 -0 -0 -1 -0x2978 -0x6648df7b -256 -256 -0 -0 -0 -2 -0x84c8 -0x45dc340f -256 -256 -1 -0 -0 -1 -0xee19 -0xaa7e6442 -256 -256 -1 -0 -0 -2 -0x4648 -0xf7d7a7a1 -256 -256 -0 -0 -0 -4 -0x6e11 -0x1ace5b78 -256 -256 -1 -0 -0 -3 -0x65e7 -0xc2427609 -256 -256 -0 -0 -0 -4 -0x37f6 -0x17e35a3 -256 -256 -0 -0 -0 -1 -0xe398 -0xcc36d89e -256 -256 -0 -0 -0 -2 -0x4b36 -0xf5f405d0 -256 -256 -1 -0 -0 -2 -0x73f2 -0x1910bd54 -256 -256 -1 -0 -0 -4 -0x1871 -0x12345170 -256 -256 -1 -0 -0 -2 -0x654e -0x9870a6a2 -256 -256 -1 -0 -0 -4 -0x99a3 -0xb68aa42d -256 -256 -1 -0 -0 -2 -0xbde2 -0xfa564c53 -256 -256 -1 -0 -0 -3 -0x2ab8 -0xb2513d7a -256 -256 -1 -0 -0 -1 -0xc687 -0x49847af3 -256 -256 -1 -0 -0 -2 -0x5940 -0x7ffd8722 -256 -256 -0 -0 -0 -1 -0xecf1 -0x81629397 -256 -256 -1 -0 -0 -2 -0x11af -0x1e4c7f9d -256 -256 -0 -0 -0 -4 -0xfb3a -0x2bc18861 -256 -256 -1 -0 -0 -2 -0x49dc -0xb8c65829 -256 -256 -1 -0 -0 -3 -0x337 -0xff32f6d -256 -256 -1 -0 -0 -4 -0xb6f8 -0x4e20ab9f -256 -256 -1 -0 -0 -3 -0x6550 -0xfac00c9a -256 -256 -1 -0 -0 -2 -0x53d3 -0xfbcb201a -256 -256 -0 -0 -0 -3 -0x30af -0x2fe5bec8 -256 -256 -1 -0 -0 -3 -0x27f6 -0x4fc7c7ff -256 -256 -0 -0 -0 -4 -0x75c0 -0x44d7d4f2 -256 -256 -1 -0 -0 -1 -0xd20a -0x19e95400 -256 -256 -0 -0 -0 -4 -0xbb21 -0xa7f87fe5 -256 -256 -1 -0 -0 -3 -0x36a5 -0x4f39be67 -256 -256 -1 -0 -0 -2 -0x2f04 -0x189cd6d7 -256 -256 -0 -0 -0 -3 -0xb7fe -0x500c410d -256 -256 -1 -0 -0 -3 -0x222c -0x2a561463 -256 -256 -0 -0 -0 -4 -0x5abb -0x943b30e4 -256 -256 -1 -0 -0 -1 -0x9ac9 -0x889a7704 -256 -256 -1 -0 -0 -1 -0x65fa -0xeeac1014 -256 -256 -1 -0 -0 -2 -0xb482 -0xa5497309 -256 -256 -0 -0 -0 -3 -0x8ada -0x8a5e22 -256 -256 -1 -0 -0 -2 -0x6a14 -0x921dcba6 -256 -256 -1 -0 -0 -2 -0x628 -0xe8022245 -256 -256 -1 -0 -0 -2 -0x405 -0x67027399 -256 -256 -1 -0 -0 -4 -0x1d28 -0x1d226ba0 -256 -256 -0 -0 -0 -1 -0xd713 -0xed0ecfda -256 -256 -1 -0 -0 -1 -0xac50 -0x8962d69c -256 -256 -0 -0 -0 -3 -0xc3f -0xb4f274f8 -256 -256 -0 -0 -0 -4 -0xae40 -0x1b82a519 -256 -256 -0 -0 -0 -3 -0x453f -0xac71c637 -256 -256 -1 -0 -0 -2 -0xc652 -0xa4630528 -256 -256 -1 -0 -0 -3 -0xa3b8 -0x7c866479 -256 -256 -1 -0 -0 -1 -0xea2f -0x60f6be35 -256 -256 -0 -0 -0 -2 -0x1978 -0x366d5387 -256 -256 -1 -0 -0 -1 -0xdaeb -0x2ae9747a -256 -256 -0 -0 -0 -1 -0xa3c8 -0x88c5114c -256 -256 -0 -0 -0 -4 -0xdb81 -0xb4a4288b -256 -256 -0 -0 -0 -3 -0x73d8 -0xc9336d5f -256 -256 -0 -0 -0 -2 -0x8baa -0x13b1065a -256 -256 -1 -0 -0 -3 -0x56e2 -0x646acfbe -256 -256 -0 -0 -0 -4 -0xd7ea -0x261d5dcd -256 -256 -0 -0 -0 -3 -0x52ae -0x42bd9a47 -256 -256 -0 -0 -0 -4 -0x9aa7 -0x57072833 -256 -256 -1 -0 -0 -3 -0x9fe2 -0x9849db00 -256 -256 -0 -0 -0 -4 -0x3e87 -0x41d1725f -256 -256 -0 -0 -0 -2 -0xaef2 -0x11cf3254 -256 -256 -0 -0 -0 -2 -0xa052 -0x36ba1e2b -256 -256 -0 -0 -0 -3 -0x5fb0 -0xa639242b -256 -256 -0 -0 -0 -2 -0x3edd -0x1f02579b -256 -256 -0 -0 -0 -3 -0x9a13 -0x1b1b7ed -256 -256 -0 -0 -0 -2 -0xcd13 -0x1828ecb7 -256 -256 -0 -0 -0 -2 -0xcc2f -0x17ba0663 -256 -256 -0 -0 -0 -4 -0x4a57 -0xea5d46a9 -256 -256 -0 -0 -0 -1 -0x415e -0xb71f35e4 -256 -256 -0 -0 -0 -2 -0xb528 -0xaff061e5 -256 -256 -0 -0 -0 -1 -0x996a -0x7933f5e2 -256 -256 -0 -0 -0 -2 -0x4074 -0xd8adaf4 -256 -256 -0 -0 -0 -4 -0xccc7 -0x209157a2 -256 -256 -1 -0 -0 -3 -0x9f1e -0xa8037aca -256 -256 -1 -0 -0 -4 -0x5805 -0x55a82d5d -256 -256 -1 -0 -0 -3 -0xfe8c -0x9eef7e21 -256 -256 -0 -0 -0 -2 -0xe8cf -0x59fd6bc5 -256 -256 -1 -0 -0 -1 -0xdd89 -0x58fa790d -256 -256 -0 -0 -0 -4 -0xb62a -0x6422b5c4 -256 -256 -1 -0 -0 -3 -0x704d -0xc6deb237 -256 -256 -0 -0 -0 -1 -0x1c8a -0x9a424a58 -256 -256 -0 -0 -0 -1 -0x1b20 -0xd2e2e356 -256 -256 -1 -0 -0 -2 -0x9cdd -0x9369b92a -256 -256 -0 -0 -0 -1 -0xa8de -0x5acfe78e -256 -256 -1 -0 -0 -2 -0xd255 -0xb9e8e4a6 -256 -256 -0 -0 -0 -2 -0x5cd2 -0x698e9246 -256 -256 -1 -0 -0 -2 -0x987 -0x752bc313 -256 -256 -1 -0 -0 -4 -0xb819 -0xfb1c21f2 -256 -256 -0 -0 -0 -2 -0xddd7 -0x1ecea3d -256 -256 -1 -0 -0 -2 -0x4659 -0x22c735d4 -256 -256 -1 -0 -0 -2 -0x70d -0xeb2a16fd -256 -256 -1 -0 -0 -1 -0xa32a -0x50f37da8 -256 -256 -0 -0 -0 -2 -0xee0a -0xd0a7a639 -256 -256 -0 -0 -0 -1 -0xb3ea -0x3c976a13 -256 -256 -0 -0 -0 -1 -0xee25 -0xa4ee045d -256 -256 -0 -0 -0 -1 -0xc93 -0xa480cede -256 -256 -0 -0 -0 -1 -0xe521 -0xb925c940 -256 -256 -1 -0 -0 -3 -0x47f0 -0xd41470ce -256 -256 -1 -0 -0 -3 -0x5d15 -0x5d5d2f02 -256 -256 -1 -0 -0 -3 -0x4f0f -0xc924fafb -256 -256 -1 -0 -0 -3 -0x6516 -0xb9835f0f -256 -256 -1 -0 -0 -2 -0xa979 -0xbfa98624 -256 -256 -1 -0 -0 -1 -0xe8f0 -0x2f077e93 -256 -256 -1 -0 -0 -1 -0x6a7c -0x63c79c4b -256 -256 -1 -0 -0 -1 -0x7cf8 -0x1930242f -256 -256 -1 -0 -0 -4 -0xa30a -0x5a58819b -256 -256 -0 -0 -0 -1 -0xf129 -0x59d8bd0a -256 -256 -1 -0 -0 -1 -0x9ce4 -0x159958f1 -256 -256 -1 -0 -0 -1 -0xdcbd -0xf2cdb2f2 -256 -256 -0 -0 -0 -4 -0x5f3c -0x8e5f4ae5 -256 -256 -0 -0 -0 -2 -0x7184 -0x767ba0f9 -256 -256 -0 -0 -0 -3 -0xf01f -0xbd3b2148 -256 -256 -1 -0 -0 -3 -0x7f2 -0x6cf8bec -256 -256 -1 -0 -0 -4 -0x6471 -0xa7341504 -256 -256 -0 -0 -0 -1 -0x953c -0x88b68445 -256 -256 -1 -0 -0 -3 -0xaf28 -0xfa4dac5d -256 -256 -0 -0 -0 -4 -0xc7cb -0x2e2e4322 -256 -256 -0 -0 -0 -1 -0x2971 -0xbdd9f6d0 -256 -256 -0 -0 -0 -1 -0x97c -0xff11fe4a -256 -256 -0 -0 -0 -3 -0x7e7c -0xb1bdc362 -256 -256 -0 -0 -0 -2 -0x8fa -0xc8d0c41f -256 -256 -0 -0 -0 -4 -0x13c0 -0x1416a5c5 -256 -256 -1 -0 -0 -3 -0x776e -0x3bb5c40b -256 -256 -1 -0 -0 -3 -0x7c5 -0x9cc66be6 -256 -256 -0 -0 -0 -3 -0xb63a -0xf4da4ef -256 -256 -1 -0 -0 -2 -0x5a8a -0x3a37e404 -256 -256 -0 -0 -0 -3 -0x7b12 -0xb7e3826 -256 -256 -1 -0 -0 -1 -0xd10f -0x6777fab -256 -256 -1 -0 -0 -4 -0x32df -0x306032fe -256 -256 -0 -0 -0 -4 -0xb5d8 -0x19740ed0 -256 -256 -1 -0 -0 -1 -0x25b3 -0xa1c20d37 -256 -256 -1 -0 -0 -2 -0xa264 -0xf061f8d9 -256 -256 -0 -0 -0 -2 -0x92f7 -0xe5de3a4f -256 -256 -1 -0 -0 -1 -0x7fd6 -0xa7563e25 -256 -256 -1 -0 -0 -1 -0xf7a6 -0x1c726021 -256 -256 -1 -0 -0 -3 -0xd5d2 -0x79873530 -256 -256 -1 -0 -0 -2 -0x9de9 -0x36f829c3 -256 -256 -1 -0 -0 -4 -0xd181 -0x6c28391a -256 -256 -1 -0 -0 -4 -0x288f -0x5f416ba -256 -256 -1 -0 -0 -4 -0xe758 -0x22b51581 -256 -256 -1 -0 -0 -1 -0x50c7 -0xacd1b9b2 -256 -256 -0 -0 -0 -1 -0xfb1 -0x8bde0348 -256 -256 -0 -0 -0 -3 -0x69f2 -0xea47c -256 -256 -1 -0 -0 -3 -0x16e1 -0xa9222b0a -256 -256 -0 -0 -0 -1 -0xc3ca -0x8eff8d8e -256 -256 -0 -0 -0 -2 -0xfb67 -0xa0638f2b -256 -256 -1 -0 -0 -3 -0xa6d8 -0x79c803fa -256 -256 -0 -0 -0 -3 -0xbd9b -0xfed7e629 -256 -256 -0 -0 -0 -4 -0xd6c8 -0xe83d2f4c -256 -256 -1 -0 -0 -3 -0xca1d -0xcebb365a -256 -256 -0 -0 -0 -4 -0xc697 -0xa148dcf4 -256 -256 -0 -0 -0 -1 -0xc3ae -0x1428081d -256 -256 -1 -0 -0 -1 -0x9d4 -0xb39986ff -256 -256 -0 -0 -0 -2 -0xcbc5 -0x93e4821d -256 -256 -1 -0 -0 -1 -0xb13a -0x356ae93a -256 -256 -0 -0 -0 -2 -0x9200 -0xa3d25bb9 -256 -256 -1 -0 -0 -1 -0x28e2 -0xc01985c6 -256 -256 -1 -0 -0 -1 -0x8ef4 -0x62b2ffa0 -256 -256 -0 -0 -0 -1 -0xbfd6 -0x6eeee63b -256 -256 -0 -0 -0 -3 -0x8953 -0x4b8eecd -256 -256 -1 -0 -0 -1 -0xda9 -0x355e84b3 -256 -256 -1 -0 -0 -2 -0xeff4 -0x8922c62b -256 -256 -0 -0 -0 -1 -0x58d6 -0x77ee823c -256 -256 -0 -0 -0 -4 -0xe701 -0x4c567350 -256 -256 -1 -0 -0 -1 -0x1699 -0x77b57409 -256 -256 -0 -0 -0 -3 -0xda00 -0xb4411e9e -256 -256 -1 -0 -0 -3 -0xfa82 -0xe8bf3c59 -256 -256 -0 -0 -0 -2 -0xcc0 -0x3d169737 -256 -256 -1 -0 -0 -3 -0x40d1 -0x922a602c -256 -256 -0 -0 -0 -1 -0x36e1 -0x58e48142 -256 -256 -1 -0 -0 -3 -0xfcca -0xf4d64c25 -256 -256 -1 -0 -0 -4 -0xae5a -0x9bd2e5bb -256 -256 -0 -0 -0 -2 -0x8164 -0x8be047d -256 -256 -1 -0 -0 -2 -0xc906 -0x955f3314 -256 -256 -0 -0 -0 -3 -0x93a2 -0x44ed7ed4 -256 -256 -0 -0 -0 -2 -0x9954 -0x9e3efc0c -256 -256 -1 -0 -0 -4 -0x8e9f -0x8dcf174b -256 -256 -1 -0 -0 -4 -0x8fa2 -0xd7776f6f -256 -256 -0 -0 -0 -2 -0x28cd -0x3076706a -256 -256 -1 -0 -0 -2 -0xd560 -0x41152224 -256 -256 -0 -0 -0 -3 -0xfee9 -0x58ab262a -256 -256 -1 -0 -0 -2 -0x3e72 -0xffd2ef00 -256 -256 -1 -0 -0 -4 -0xe6af -0xabac34e1 -256 -256 -1 -0 -0 -2 -0x6863 -0x23db5fd4 -256 -256 -1 -0 -0 -3 -0x37b3 -0x765bfea7 -256 -256 -1 -0 -0 -2 -0xc0e2 -0x4feee5a6 -256 -256 -1 -0 -0 -2 -0xafa7 -0x26772e63 -256 -256 -1 -0 -0 -2 -0x4746 -0x740b8bb2 -256 -256 -1 -0 -0 -2 -0xfaf1 -0x1569cf50 -256 -256 -1 -0 -0 -2 -0xd175 -0x8ab0eb7d -256 -256 -1 -0 -0 -3 -0x58a9 -0xf024123a -256 -256 -0 -0 -0 -3 -0x2df0 -0x30c18ea0 -256 -256 -1 -0 -0 -2 -0x1596 -0x2df6e20d -256 -256 -0 -0 -0 -1 -0x6edd -0x42858980 -256 -256 -0 -0 -0 -2 -0x16e9 -0xde7789bc -256 -256 -0 -0 -0 -1 -0x9f4c -0xc5b54e26 -256 -256 -1 -0 -0 -4 -0x3c2 -0x91da170d -256 -256 -0 -0 -0 -2 -0x5ff1 -0x779574b4 -256 -256 -1 -0 -0 -4 -0xcede -0x3e01f1c -256 -256 -1 -0 -0 -1 -0xcb7a -0xa96dae82 -256 -256 -0 -0 -0 -3 -0xe842 -0x85e2b092 -256 -256 -1 -0 -0 -2 -0x84d6 -0xe6087548 -256 -256 -1 -0 -0 -1 -0xa0a2 -0x3ae78a20 -256 -256 -0 -0 -0 -4 -0x6523 -0xa31d721f -256 -256 -1 -0 -0 -3 -0xaa46 -0x6faeb4fc -256 -256 -0 -0 -0 -3 -0x7840 -0xa4038c97 -256 -256 -1 -0 -0 -3 -0x9202 -0x44f3bf5b -256 -256 -0 -0 -0 -4 -0x11b6 -0xb6591554 -256 -256 -0 -0 -0 -2 -0x20b -0x94abd01c -256 -256 -1 -0 -0 -1 -0x9260 -0xb8149556 -256 -256 -0 -0 -0 -1 -0xdfe7 -0xedf35c1d -256 -256 -0 -0 -0 -1 -0x9250 -0x870204a7 -256 -256 -1 -0 -0 -4 -0xbc5e -0x1bfbda30 -256 -256 -0 -0 -0 -1 -0x59e9 -0x550e9c63 -256 -256 -1 -0 -0 -2 -0x68b5 -0x391b1742 -256 -256 -0 -0 -0 -4 -0xb919 -0x740fd095 -256 -256 -1 -0 -0 -3 -0x1e0b -0x5bd30127 -256 -256 -0 -0 -0 -2 -0xc7a2 -0xf9ade8f7 -256 -256 -1 -0 -0 -1 -0x88d1 -0x1f9c0a3f -256 -256 -0 -0 -0 -2 -0xcec4 -0xcfa2c5f4 -256 -256 -0 -0 -0 -1 -0x97a5 -0xeba87d0 -256 -256 -1 -0 -0 -4 -0x64ae -0x7f7b089f -256 -256 -0 -0 -0 -3 -0x1044 -0x827033c7 -256 -256 -1 -0 -0 -4 -0xd5ee -0x8c5e2232 -256 -256 -0 -0 -0 -1 -0x92c6 -0x5c8a6ca1 -256 -256 -1 -0 -0 -4 -0xbf52 -0x7ee5e9f3 -256 -256 -1 -0 -0 -3 -0x1c46 -0x5cd0f75a -256 -256 -0 -0 -0 -4 -0xf5ae -0x459a4179 -256 -256 -1 -0 -0 -4 -0x7e3 -0xffa3bcef -256 -256 -0 -0 -0 -2 -0xaca2 -0x97f808f4 -256 -256 -1 -0 -0 -1 -0x9306 -0x9e712acb -256 -256 -1 -0 -0 -3 -0x9345 -0x42927ec3 -256 -256 -1 -0 -0 -3 -0xa35d -0x7d2ec47a -256 -256 -1 -0 -0 -2 -0xfd79 -0xc18c6e5d -256 -256 -1 -0 -0 -1 -0x6933 -0xa8af0c9a -256 -256 -1 -0 -0 -4 -0x2e99 -0x163c8bdf -256 -256 -0 -0 -0 -4 -0x834e -0xc33ef292 -256 -256 -0 -0 -0 -4 -0xe479 -0xf3ce831 -256 -256 -1 -0 -0 -4 -0x2516 -0xc3cf51ee -256 -256 -0 -0 -0 -3 -0x24b1 -0x2f315f52 -256 -256 -0 -0 -0 -1 -0xbdba -0xb934f76e -256 -256 -0 -0 -0 -2 -0x173b -0x7e8a3c5c -256 -256 -0 -0 -0 -2 -0x74d9 -0x4772ba6b -256 -256 -1 -0 -0 -4 -0xa8bd -0xf770b35f -256 -256 -1 -0 -0 -4 -0xc0b5 -0xcb91cbb3 -256 -256 -0 -0 -0 -2 -0x96ea -0x439c0894 -256 -256 -1 -0 -0 -1 -0x3732 -0x9782b6c7 -256 -256 -0 -0 -0 -1 -0x2b7d -0xf2c832a0 -256 -256 -1 -0 -0 -1 -0x3e45 -0x5be0fdce -256 -256 -0 -0 -0 -1 -0xbabb -0x7e8b9fc5 -256 -256 -0 -0 -0 -4 -0xc332 -0x3481504f -256 -256 -0 -0 -0 -2 -0x375d -0x63b8c093 -256 -256 -1 -0 -0 -4 -0xeedd -0x5cc71347 -256 -256 -0 -0 -0 -4 -0x5c91 -0xa12eba69 -256 -256 -0 -0 -0 -4 -0xd6e2 -0xc7aeacf0 -256 -256 -1 -0 -0 -3 -0x57cd -0xfcbf6fd7 -256 -256 -1 -0 -0 -3 -0x70ad -0x130b4211 -256 -256 -0 -0 -0 -3 -0x9ab7 -0x497dd354 -256 -256 -0 -0 -0 -2 -0x2e17 -0x57397c99 -256 -256 -0 -0 -0 -4 -0x7790 -0xba5bbcc4 -256 -256 -1 -0 -0 -1 -0x9035 -0xa03b1d8b -256 -256 -1 -0 -0 -2 -0x135e -0x41964d91 -256 -256 -0 -0 -0 -3 -0x650d -0x47b0abdd -256 -256 -1 -0 -0 -3 -0xc5b5 -0xe893447b -256 -256 -0 -0 -0 -4 -0xae5 -0x4f2a9a5 -256 -256 -1 -0 -0 -2 -0x9d93 -0x65f490d5 -256 -256 -1 -0 -0 -2 -0x30ff -0xcd3006ea -256 -256 -0 -0 -0 -3 -0x45eb -0xdc3cfc5c -256 -256 -1 -0 -0 -3 -0xe003 -0x4dad7af8 -256 -256 -0 -0 -0 -2 -0xca86 -0x99d255de -256 -256 -1 -0 -0 -3 -0xde31 -0xaa32fc4c -256 -256 -1 -0 -0 -2 -0x3cd9 -0x5dbe2de7 -256 -256 -1 -0 -0 -4 -0xfa06 -0x1f78673d -256 -256 -0 -0 -0 -1 -0xdbf3 -0xaa3a9456 -256 -256 -1 -0 -0 -4 -0xd2f6 -0x357ef20b -256 -256 -0 -0 -0 -1 -0xcab5 -0xf2b3d7a7 -256 -256 -1 -0 -0 -2 -0x5d4a -0xb5b6e5e7 -256 -256 -0 -0 -0 -3 -0xb050 -0x68eb722c -256 -256 -1 -0 -0 -1 -0xf2c1 -0x395d6396 -256 -256 -0 -0 -0 -3 -0x9ab5 -0x82333d26 -256 -256 -1 -0 -0 -3 -0x21f4 -0x4cb5a6a2 -256 -256 -1 -0 -0 -1 -0x1e61 -0xf2de1b6e -256 -256 -0 -0 -0 -4 -0x7ca4 -0x19c35f52 -256 -256 -1 -0 -0 -4 -0xa88e -0x205971e6 -256 -256 -1 -0 -0 -2 -0x739b -0x9ca2308a -256 -256 -0 -0 -0 -1 -0x798e -0x2b4213eb -256 -256 -1 -0 -0 -3 -0x8e75 -0x8bb88234 -256 -256 -0 -0 -0 -2 -0x9f68 -0xc31bf9af -256 -256 -1 -0 -0 -4 -0x223a -0x37706c23 -256 -256 -0 -0 -0 -3 -0x1a83 -0x3a0ff3da -256 -256 -1 -0 -0 -1 -0xea81 -0xeba5bb0d -256 -256 -0 -0 -0 -1 -0x446f -0x5d3bf37c -256 -256 -1 -0 -0 -4 -0xb412 -0x3fbacf3 -256 -256 -1 -0 -0 -1 -0x9a16 -0xf3c697cc -256 -256 -0 -0 -0 -3 -0x2098 -0x1ed1cfe5 -256 -256 -0 -0 -0 -4 -0x911d -0xd49c93c -256 -256 -1 -0 -0 -3 -0xb643 -0x44535df0 -256 -256 -0 -0 -0 -4 -0xa370 -0x47316068 -256 -256 -0 -0 -0 -1 -0x30a9 -0x299cc090 -256 -256 -1 -0 -0 -4 -0xe3fd -0x66d2e641 -256 -256 -1 -0 -0 -1 -0x7928 -0xcab001ad -256 -256 -0 -0 -0 -1 -0xea8 -0xc7f2c2f -256 -256 -1 -0 -0 -4 -0x237a -0x39afd5e -256 -256 -1 -0 -0 -1 -0x1ba5 -0x98040008 -256 -256 -1 -0 -0 -4 -0x625 -0x933290ce -256 -256 -0 -0 -0 -1 -0x2747 -0xed7285b9 -256 -256 -0 -0 -0 -4 -0x3869 -0xc6cf287e -256 -256 -0 -0 -0 -2 -0x16fa -0xc3c568fe -256 -256 -1 -0 -0 -4 -0x9584 -0x747ac9b9 -256 -256 -1 -0 -0 -4 -0x4d7c -0xfea87e16 -256 -256 -0 -0 -0 -2 -0x47f2 -0x9fae9de5 -256 -256 -0 -0 -0 -1 -0x5180 -0x5cb47c0c -256 -256 -1 -0 -0 -2 -0x483e -0xee352718 -256 -256 -1 -0 -0 -4 -0xd78e -0x3500d01a -256 -256 -1 -0 -0 -2 -0x2816 -0x4850b360 -256 -256 -1 -0 -0 -1 -0x9b01 -0x1f640290 -256 -256 -0 -0 -0 -4 -0xefc4 -0x62ef5792 -256 -256 -0 -0 -0 -3 -0xd563 -0x2b337368 -256 -256 -0 -0 -0 -3 -0x31c2 -0xc9531388 -256 -256 -1 -0 -0 -1 -0x968e -0x4d999859 -256 -256 -0 -0 -0 -2 -0x4807 -0x7a8e87c7 -256 -256 -1 -0 -0 -4 -0x480 -0x948ef168 -256 -256 -0 -0 -0 -3 -0x8940 -0xefc254f8 -256 -256 -0 -0 -0 -2 -0x6db5 -0xf560cbd0 -256 -256 -0 -0 -0 -1 -0x7eaa -0x90203405 -256 -256 -0 -0 -0 -3 -0xa312 -0xe45f6730 -256 -256 -1 -0 -0 -3 -0xa16d -0xfad9d6b4 -256 -256 -1 -0 -0 -4 -0xb75b -0xf8f10274 -256 -256 -1 -0 -0 -2 -0x690e -0xd064794c -256 -256 -1 -0 -0 -2 -0xb8ac -0x139f7afe -256 -256 -0 -0 -0 -2 -0x8d12 -0xb9eefda5 -256 -256 -0 -0 -0 -1 -0x3d9e -0xfc532de2 -256 -256 -0 -0 -0 -1 -0xf430 -0xf8138560 -256 -256 -1 -0 -0 -2 -0x1f7 -0x97f15366 -256 -256 -1 -0 -0 -3 -0x9260 -0xdad8f831 -256 -256 -0 -0 -0 -3 -0x5221 -0xc1c73296 -256 -256 -0 -0 -0 -1 -0x6a15 -0x9329c14b -256 -256 -0 -0 -0 -3 -0x40fb -0xa033d3b0 -256 -256 -0 -0 -0 -3 -0x37f1 -0x441b8b53 -256 -256 -1 -0 -0 -2 -0x5da5 -0x9d63adaa -256 -256 -0 -0 -0 -2 -0xa377 -0x8a892d0b -256 -256 -0 -0 -0 -1 -0x966a -0xb824a4a4 -256 -256 -1 -0 -0 -3 -0x7e59 -0x13030310 -256 -256 -0 -0 -0 -2 -0x2f95 -0xc0ab29f -256 -256 -0 -0 -0 -2 -0x59f3 -0xcff0d116 -256 -256 -0 -0 -0 -3 -0x2d6d -0x9ae981a -256 -256 -0 -0 -0 -1 -0x2c02 -0xb5ac293a -256 -256 -0 -0 -0 -1 -0xbfcc -0x49d65696 -256 -256 -1 -0 -0 -4 -0x9031 -0x6314e62c -256 -256 -0 -0 -0 -4 -0xbf74 -0x80e0d4f8 -256 -256 -0 -0 -0 -2 -0x92b5 -0x876b90f -256 -256 -1 -0 -0 -3 -0xf41e -0x6559a473 -256 -256 -0 -0 -0 -3 -0x1169 -0x6f521186 -256 -256 -1 -0 -0 -3 -0x57ea -0xa70142c6 -256 -256 -0 -0 -0 -4 -0x9ce9 -0x9ed02e3b -256 -256 -1 -0 -0 -1 -0x1360 -0x48168651 -256 -256 -1 -0 -0 -2 -0xfa45 -0x5a2079aa -256 -256 -0 -0 -0 -4 -0xcdf0 -0xbd4a3a7e -256 -256 -1 -0 -0 -2 -0x4a2a -0xf7f77ba3 -256 -256 -0 -0 -0 -3 -0xf84f -0xfa01a210 -256 -256 -1 -0 -0 -2 -0xc917 -0x6754f8d2 -256 -256 -0 -0 -0 -4 -0xe91e -0xae130068 -256 -256 -0 -0 -0 -4 -0x6c22 -0xdc2e87fe -256 -256 -1 -0 -0 -2 -0x27d5 -0x49d2b235 -256 -256 -1 -0 -0 -3 -0x8af2 -0x7ac65aa0 -256 -256 -0 -0 -0 -4 -0x49e4 -0x59e46cfc -256 -256 -0 -0 -0 -2 -0x8475 -0x57a9ca48 -256 -256 -0 -0 -0 -2 -0x6af0 -0xd66679de -256 -256 -0 -0 -0 -1 -0x1d74 -0xee6c5c9 -256 -256 -0 -0 -0 -4 -0xf688 -0x4d9aca0d -256 -256 -1 -0 -0 -1 -0xe886 -0xec96daf4 -256 -256 -1 -0 -0 -2 -0x142e -0xae598ac8 -256 -256 -1 -0 -0 -1 -0xafba -0xb35372c5 -256 -256 -0 -0 -0 -1 -0xbddd -0xc2b55e90 -256 -256 -1 -0 -0 -1 -0x77da -0xe3e2eb45 -256 -256 -1 -0 -0 -1 -0x92c5 -0xe0f231da -256 -256 -1 -0 -0 -4 -0x3033 -0x6d73f05b -256 -256 -0 -0 -0 -2 -0xf9a4 -0x42219ef8 -256 -256 -1 -0 -0 -3 -0x1a37 -0xea91cd68 -256 -256 -0 -0 -0 -2 -0x792f -0xa02c50f2 -256 -256 -1 -0 -0 -2 -0x7b35 -0xa6622c46 -256 -256 -1 -0 -0 -3 -0x2aee -0xaa822eba -256 -256 -0 -0 -0 -2 -0xbf14 -0x1f55051d -256 -256 -1 -0 -0 -4 -0x7e56 -0x410ebb3b -256 -256 -0 -0 -0 -4 -0x5e7a -0xfb70fd44 -256 -256 -1 -0 -0 -2 -0x8e1a -0xf96588d2 -256 -256 -0 -0 -0 -3 -0xd92e -0x1be52f58 -256 -256 -0 -0 -0 -1 -0xf46 -0xf9fc420c -256 -256 -1 -0 -0 -4 -0xb3fb -0xaf490b1e -256 -256 -0 -0 -0 -4 -0xe149 -0x449fcab1 -256 -256 -0 -0 -0 -1 -0x5e8 -0x34aa3b8a -256 -256 -1 -0 -0 -1 -0xcf0c -0x3472968 -256 -256 -0 -0 -0 -1 -0x1b8f -0x21d07bed -256 -256 -1 -0 -0 -2 -0xb7d6 -0xa64e47f9 -256 -256 -1 -0 -0 -4 -0x6194 -0x3b590e54 -256 -256 -0 -0 -0 -3 -0xba04 -0x7d9f31f1 -256 -256 -0 -0 -0 -1 -0x21e3 -0x215390ff -256 -256 -1 -0 -0 -1 -0x5956 -0x1c1543e9 -256 -256 -0 -0 -0 -4 -0x5a6 -0x141d5cb9 -256 -256 -1 -0 -0 -1 -0x5b44 -0xbaf543ef -256 -256 -1 -0 -0 -3 -0x4002 -0xc77c6d2b -256 -256 -1 -0 -0 -1 -0x518b -0x5f508e45 -256 -256 -1 -0 -0 -1 -0x5ec -0x4a127a25 -256 -256 -0 -0 -0 -4 -0xda71 -0x354892d2 -256 -256 -0 -0 -0 -1 -0xf342 -0xe2eff08b -256 -256 -0 -0 -0 -2 -0xb189 -0x53d1392c -256 -256 -0 -0 -0 -4 -0x4bcd -0xb9397a9e -256 -256 -0 -0 -0 -2 -0x410a -0x2d3113fa -256 -256 -0 -0 -0 -4 -0xb941 -0xef967204 -256 -256 -0 -0 -0 -1 -0xcf30 -0x12980207 -256 -256 -0 -0 -0 -1 -0x1f88 -0x24085435 -256 -256 -1 -0 -0 -4 -0x51a3 -0xbd260427 -256 -256 -1 -0 -0 -1 -0x7cc3 -0x9c98f061 -256 -256 -0 -0 -0 -4 -0x81f1 -0x7a339785 -256 -256 -1 -0 -0 -3 -0x2ea5 -0xcbc62bac -256 -256 -0 -0 -0 -2 -0x5de3 -0x4e55ab6a -256 -256 -1 -0 -0 -1 -0x5937 -0x7e9ed407 -256 -256 -1 -0 -0 -1 -0xc95d -0x36733938 -256 -256 -0 -0 -0 -1 -0x947f -0xf7a0912c -256 -256 -1 -0 -0 -1 -0x57be -0xfa9fd6e8 -256 -256 -1 -0 -0 -3 -0x348d -0x24e12f5f -256 -256 -0 -0 -0 -3 -0x323d -0xad77345b -256 -256 -0 -0 -0 -1 -0x2288 -0x5f250a70 -256 -256 -0 -0 -0 -3 -0xd1a -0x54194347 -256 -256 -0 -0 -0 -2 -0x5574 -0xc512d455 -256 -256 -1 -0 -0 -3 -0x4eec -0x79203ab0 -256 -256 -0 -0 -0 -4 -0x1f11 -0x9fe9bcc6 -256 -256 -1 -0 -0 -3 -0xdceb -0x16f0375d -256 -256 -1 -0 -0 -4 -0xb2b5 -0x5578674b -256 -256 -0 -0 -0 -1 -0xc21e -0xe6541766 -256 -256 -1 -0 -0 -2 -0x85a6 -0xbd5c63ae -256 -256 -1 -0 -0 -2 -0x6b78 -0x2f291589 -256 -256 -0 -0 -0 -4 -0xc04 -0xe56465d4 -256 -256 -1 -0 -0 -2 -0x129d -0xb92eec7d -256 -256 -1 -0 -0 -2 -0x17d5 -0xefd75a21 -256 -256 -0 -0 -0 -3 -0x92b8 -0x602bdabc -256 -256 -0 -0 -0 -2 -0x5683 -0xdc655751 -256 -256 -1 -0 -0 -3 -0xe481 -0x3ede4dde -256 -256 -0 -0 -0 -2 -0xbd20 -0xe772ba31 -256 -256 -1 -0 -0 -1 -0x82b6 -0x6a7ba126 -256 -256 -0 -0 -0 -1 -0x27e5 -0x38fdd50 -256 -256 -0 -0 -0 -3 -0x45ff -0xa87017fc -256 -256 -1 -0 -0 -4 -0x8139 -0x1102f421 -256 -256 -1 -0 -0 -2 -0x442f -0x1431fb92 -256 -256 -1 -0 -0 -1 -0x8503 -0xf83e0ade -256 -256 -0 -0 -0 -1 -0x8c47 -0xe5ef7cb0 -256 -256 -0 -0 -0 -4 -0x7db9 -0xe10e64d -256 -256 -0 -0 -0 -4 -0x3e5d -0xd3bb4a94 -256 -256 -1 -0 -0 -2 -0xf8ea -0x3d8856c6 -256 -256 -0 -0 -0 -3 -0x2e33 -0x8a5d400a -256 -256 -1 -0 -0 -1 -0x9d0e -0x710ae4aa -256 -256 -0 -0 -0 -2 -0xbc8d -0xed62499c -256 -256 -0 -0 -0 -1 -0x7e7b -0xcd515a7c -256 -256 -0 -0 -0 -4 -0xde8d -0x8d4ba4bb -256 -256 -1 -0 -0 -1 -0xacd5 -0x9ceb6925 -256 -256 -1 -0 -0 -3 -0x516f -0x6c0a7439 -256 -256 -1 -0 -0 -1 -0x5f14 -0x7bebf0c5 -256 -256 -0 -0 -0 -3 -0x526f -0xba6a47f7 -256 -256 -0 -0 -0 -2 -0xf562 -0x8cb4779c -256 -256 -0 -0 -0 -2 -0x9d17 -0x255ed01f -256 -256 -0 -0 -0 -3 -0xe9b -0x40aa2bd4 -256 -256 -0 -0 -0 -4 -0xdd72 -0x96224e4f -256 -256 -0 -0 -0 -4 -0x86a1 -0x78810ae6 -256 -256 -1 -0 -0 -2 -0xa1fa -0xe9b981c9 -256 -256 -0 -0 -0 -2 -0xeaf -0x59e08866 -256 -256 -1 -0 -0 -2 -0xc891 -0xba8f78e2 -256 -256 -0 -0 -0 -2 -0x3d6a -0xa6f4f2c5 -256 -256 -0 -0 -0 -1 -0x2bd1 -0x48d32b7e -256 -256 -1 -0 -0 -1 -0x44eb -0x54426c4b -256 -256 -1 -0 -0 -4 -0x4b46 -0xe1c15cb6 -256 -256 -1 -0 -0 -1 -0x9e23 -0x917f8c09 -256 -256 -0 -0 -0 -1 -0x9cd8 -0xf1932855 -256 -256 -0 -0 -0 -4 -0x6ee1 -0x3f5cee33 -256 -256 -1 -0 -0 -2 -0xe4ef -0x1f91c4f2 -256 -256 -0 -0 -0 -2 -0xa450 -0x467cd37 -256 -256 -1 -0 -0 -2 -0xf93e -0x291b9dd1 -256 -256 -0 -0 -0 -1 -0x16ac -0x34fa3463 -256 -256 -1 -0 -0 -3 -0xf9f2 -0x660b7be5 -256 -256 -1 -0 -0 -4 -0x6b4c -0xc443db43 -256 -256 -1 -0 -0 -3 -0x236a -0xbc1c4a38 -256 -256 -1 -0 -0 -2 -0xf2b1 -0x16d9afda -256 -256 -0 -0 -0 -2 -0x25c7 -0xab940ace -256 -256 -0 -0 -0 -2 -0x7d00 -0x489055e0 -256 -256 -0 -0 -0 -4 -0x9d6f -0x8ac30407 -256 -256 -1 -0 -0 -3 -0xc606 -0xcb9c391d -256 -256 -0 -0 -0 -2 -0xfcbc -0x6e30a1ee -256 -256 -0 -0 -0 -2 -0x74c2 -0x5402644d -256 -256 -1 -0 -0 -1 -0x9d98 -0xccb97404 -256 -256 -0 -0 -0 -2 -0x7a4d -0xfa5eaafa -256 -256 -0 -0 -0 -4 -0x5193 -0xaf8c7049 -256 -256 -1 -0 -0 -3 -0x4b7b -0xa60a9ef5 -256 -256 -1 -0 -0 -4 -0xb7c7 -0x834a9c0e -256 -256 -0 -0 -0 -3 -0x20b4 -0x1bee1075 -256 -256 -1 -0 -0 -2 -0x906e -0xd024cd47 -256 -256 -0 -0 -0 -2 -0x4f99 -0xf7422b36 -256 -256 -0 -0 -0 -2 -0x8a57 -0x664d2e6c -256 -256 -0 -0 -0 -4 -0xf2ab -0x16eec893 -256 -256 -0 -0 -0 -4 -0x9343 -0x1a33aeee -256 -256 -0 -0 -0 -1 -0xf646 -0xb600e39b -256 -256 -0 -0 -0 -4 -0x9b6c -0x56c7e9eb -256 -256 -0 -0 -0 -4 -0x2d23 -0x3144238b -256 -256 -0 -0 -0 -1 -0xad7 -0x339f23cf -256 -256 -0 -0 -0 -3 -0xab90 -0xeadff660 -256 -256 -0 -0 -0 -1 -0x5ea9 -0xbff8a91f -256 -256 -0 -0 -0 -2 -0xb438 -0x139af1c4 -256 -256 -0 -0 -0 -1 -0xc866 -0x1341e9c1 -256 -256 -1 -0 -0 -1 -0xb1dd -0xde9d5287 -256 -256 -1 -0 -0 -1 -0xf5cc -0xfae39b04 -256 -256 -0 -0 -0 -3 -0x26bd -0x7286ae4a -256 -256 -0 -0 -0 -4 -0x3ac1 -0x1129013e -256 -256 -0 -0 -0 -3 -0x13cf -0xe31f1eae -256 -256 -1 -0 -0 -2 -0xf734 -0x42c27a40 -256 -256 -1 -0 -0 -3 -0xe228 -0xcb55b681 -256 -256 -1 -0 -0 -1 -0x286f -0xeefca645 -256 -256 -1 -0 -0 -4 -0x622c -0x569f3056 -256 -256 -1 -0 -0 -3 -0xad8f -0xad5ff9ef -256 -256 -1 -0 -0 -3 -0x75c6 -0x1a93fdf0 -256 -256 -0 -0 -0 -4 -0x224f -0xb6a6e47f -256 -256 -1 -0 -0 -4 -0xcc71 -0x56e8d80c -256 -256 -0 -0 -0 -3 -0x8cb6 -0xc809ea90 -256 -256 -0 -0 -0 -3 -0x5588 -0xdc146f12 -256 -256 -1 -0 -0 -1 -0x5a03 -0x3d05e -256 -256 -0 -0 -0 -1 -0xfa64 -0x32a89271 -256 -256 -0 -0 -0 -3 -0xe597 -0xb09036a9 -256 -256 -0 -0 -0 -3 -0x2270 -0xf05377bc -256 -256 -1 -0 -0 -1 -0xbe65 -0x6671506b -256 -256 -0 -0 -0 -3 -0xee96 -0x53836cdb -256 -256 -1 -0 -0 -4 -0x3e92 -0xd496afb1 -256 -256 -0 -0 -0 -1 -0x6a85 -0x2ed70adf -256 -256 -0 -0 -0 -2 -0x8e97 -0xd146785d -256 -256 -0 -0 -0 -3 -0xd62a -0x3b9bab45 -256 -256 -1 -0 -0 -1 -0x4216 -0xf9bd7672 -256 -256 -1 -0 -0 -2 -0xefad -0x11201b4e -256 -256 -0 -0 -0 -4 -0x195c -0x9991ede1 -256 -256 -1 -0 -0 -3 -0x5df8 -0x24008672 -256 -256 -0 -0 -0 -4 -0x48e5 -0xcba3d26c -256 -256 -0 -0 -0 -2 -0x4969 -0x9ffc2c57 -256 -256 -1 -0 -0 -3 -0xe4e3 -0x731c9b2a -256 -256 -0 -0 -0 -4 -0x3242 -0xab5d5d8d -256 -256 -1 -0 -0 -2 -0x5de4 -0xf3586ff8 -256 -256 -1 -0 -0 -1 -0x26e8 -0x2cb7c3c -256 -256 -1 -0 -0 -4 -0xd46f -0x90d44952 -256 -256 -1 -0 -0 -1 -0x4d2a -0x689536ae -256 -256 -0 -0 -0 -3 -0x66f5 -0x9e37ca77 -256 -256 -1 -0 -0 -2 -0xd631 -0x62c2f541 -256 -256 -0 -0 -0 -3 -0x87af -0xff6f2c97 -256 -256 -0 -0 -0 -2 -0xbf2b -0x98012c4b -256 -256 -0 -0 -0 -1 -0x1bb2 -0x68dcf20a -256 -256 -0 -0 -0 -1 -0x20de -0x6b6156ba -256 -256 -1 -0 -0 -3 -0x7a3f -0x295d0671 -256 -256 -0 -0 -0 -3 -0x2613 -0xe7528938 -256 -256 -1 -0 -0 -4 -0xebb7 -0x8ed2cc07 -256 -256 -1 -0 -0 -1 -0xe5b9 -0xc13e0c0d -256 -256 -0 -0 -0 -4 -0x9696 -0x97e208fb -256 -256 -1 -0 -0 -2 -0x455e -0x25504919 -256 -256 -1 -0 -0 -3 -0x8487 -0x860e52a5 -256 -256 -1 -0 -0 -3 -0x4c3f -0xa8bd1a9f -256 -256 -1 -0 -0 -2 -0xf65f -0xe2e53b42 -256 -256 -1 -0 -0 -2 -0xd8ef -0xbe9da40 -256 -256 -0 -0 -0 -3 -0xc1bd -0x9468cf9c -256 -256 -1 -0 -0 -2 -0x2ee9 -0x69d1128c -256 -256 -1 -0 -0 -1 -0x9155 -0xc87ce9ab -256 -256 -0 -0 -0 -1 -0xb6dd -0xdbd0a6c6 -256 -256 -1 -0 -0 -2 -0xe396 -0x11d8ee57 -256 -256 -1 -0 -0 -4 -0x7ee4 -0x89b32269 -256 -256 -0 -0 -0 -4 -0x2ab8 -0xc57ef24e -256 -256 -1 -0 -0 -1 -0xa5e2 -0xe3192f10 -256 -256 -1 -0 -0 -3 -0x2033 -0x81ec27f6 -256 -256 -0 -0 -0 -4 -0x8c2e -0x7887b86e -256 -256 -1 -0 -0 -2 -0x7595 -0x19300716 -256 -256 -0 -0 -0 -1 -0x123b -0xa20e9c60 -256 -256 -0 -0 -0 -1 -0x52f3 -0xbace385c -256 -256 -1 -0 -0 -2 -0xd27b -0xa1cece03 -256 -256 -1 -0 -0 -3 -0x17bc -0xd2f51374 -256 -256 -1 -0 -0 -4 -0x499 -0x6796c764 -256 -256 -1 -0 -0 -2 -0xd74 -0xdc80f132 -256 -256 -1 -0 -0 -4 -0xef71 -0x1c5dca05 -256 -256 -1 -0 -0 -2 -0xcd86 -0x127196fb -256 -256 -1 -0 -0 -4 -0xd174 -0x9df8b2c3 -256 -256 -1 -0 -0 -4 -0x9b2a -0xdf61a98d -256 -256 -1 -0 -0 -4 -0x6dff -0x30928f49 -256 -256 -0 -0 -0 -2 -0xd1e2 -0xefa93438 -256 -256 -0 -0 -0 -4 -0x9367 -0xe4a87549 -256 -256 -1 -0 -0 -4 -0xcc74 -0xd226305d -256 -256 -0 -0 -0 -1 -0x44d6 -0x21cd26b7 -256 -256 -1 -0 -0 -4 -0x818d -0xb39d5b56 -256 -256 -0 -0 -0 -4 -0x819a -0xd830b157 -256 -256 -1 -0 -0 -1 -0xb40a -0x57d6a32c -256 -256 -0 -0 -0 -4 -0x1a49 -0xc25f625f -256 -256 -0 -0 -0 -1 -0x55b7 -0xc4a302c1 -256 -256 -1 -0 -0 -4 -0xfb76 -0x9acb51f9 -256 -256 -1 -0 -0 -3 -0x9e5e -0x4257f9d9 -256 -256 -0 -0 -0 -1 -0xebdc -0xba35a0fd -256 -256 -0 -0 -0 -1 -0x4fb -0xd708c905 -256 -256 -1 -0 -0 -2 -0xa181 -0x41807c78 -256 -256 -0 -0 -0 -3 -0x6979 -0x1968d643 -256 -256 -1 -0 -0 -3 -0xa335 -0x4bfa172 -256 -256 -1 -0 -0 -3 -0xce3e -0x8bb26c72 -256 -256 -0 -0 -0 -3 -0xdbfe -0xf68ea2cc -256 -256 -1 -0 -0 -2 -0x9800 -0x9d1e3c37 -256 -256 -0 -0 -0 -3 -0x4f4d -0x65938968 -256 -256 -1 -0 -0 -4 -0x381d -0xf8ae2355 -256 -256 -0 -0 -0 -3 -0x20e6 -0xdf6a13e3 -256 -256 -0 -0 -0 -3 -0x18b7 -0x3ef6e62e -256 -256 -0 -0 -0 -3 -0xf84d -0x4c7ccce1 -256 -256 -1 -0 -0 -4 -0xbb7a -0x530c65bf -256 -256 -0 -0 -0 -2 -0xd660 -0x9091c5d7 -256 -256 -1 -0 -0 -4 -0x54c0 -0x695da108 -256 -256 -0 -0 -0 -3 -0xb86 -0x15e4f379 -256 -256 -1 -0 -0 -1 -0x8dad -0xe3748e53 -256 -256 -1 -0 -0 -2 -0x5d00 -0xad6f7454 -256 -256 -1 -0 -0 -1 -0xbc2a -0xde9a7b2 -256 -256 -1 -0 -0 -1 -0xf00d -0x8a119d59 -256 -256 -1 -0 -0 -2 -0xe3ba -0xedd919b9 -256 -256 -1 -0 -0 -2 -0x6820 -0xb0a38c28 -256 -256 -0 -0 -0 -4 -0xd06e -0xbd5787bb -256 -256 -1 -0 -0 -4 -0x3a79 -0xbdf7acbf -256 -256 -0 -0 -0 -4 -0xe320 -0xc69ff2f4 -256 -256 -0 -0 -0 -2 -0x3c1e -0x92dc6e82 -256 -256 -1 -0 -0 -3 -0x9f1b -0x6cbfa12 -256 -256 -1 -0 -0 -2 -0x700e -0xd97bf619 -256 -256 -0 -0 -0 -3 -0x97e0 -0x89e85a13 -256 -256 -0 -0 -0 -1 -0x3a4a -0x90ca2e29 -256 -256 -0 -0 -0 -3 -0xc3c3 -0xfa61c6de -256 -256 -1 -0 -0 -2 -0x4cb1 -0xd04ab65f -256 -256 -1 -0 -0 -1 -0x1ea3 -0xc12a39fb -256 -256 -1 -0 -0 -1 -0x90fd -0x61e3c0f0 -256 -256 -0 -0 -0 -1 -0x2424 -0xf7813631 -256 -256 -0 -0 -0 -2 -0xd96a -0xcca1e04f -256 -256 -0 -0 -0 -4 -0x9063 -0x408c99f1 -256 -256 -0 -0 -0 -2 -0xf194 -0x1541f799 -256 -256 -0 -0 -0 -4 -0x9571 -0x1a73d90d -256 -256 -1 -0 -0 -4 -0xec83 -0x8c4ec22d -256 -256 -1 -0 -0 -2 -0x297d -0xe32ae117 -256 -256 -0 -0 -0 -4 -0x6a9f -0xf6705329 -256 -256 -0 -0 -0 -4 -0x9cc5 -0x76de5762 -256 -256 -1 -0 -0 -4 -0xca09 -0x92decf40 -256 -256 -1 -0 -0 -1 -0x986d -0xe734419e -256 -256 -0 -0 -0 -4 -0x75e8 -0x73db2406 -256 -256 -0 -0 -0 -1 -0x7537 -0xcbee9af2 -256 -256 -0 -0 -0 -3 -0x1a49 -0x1b1bb819 -256 -256 -1 -0 -0 -4 -0x7605 -0xa99f9fe0 -256 -256 -0 -0 -0 -3 -0x7780 -0x740850bb -256 -256 -0 -0 -0 -3 -0xc2d2 -0x5fa68cbc -256 -256 -0 -0 -0 -2 -0x1c6d -0x92bca4cd -256 -256 -0 -0 -0 -3 -0xd81f -0xb305dbb4 -256 -256 -1 -0 -0 -2 -0xb775 -0xaa8ddbf7 -256 -256 -1 -0 -0 -1 -0xf346 -0x8d0c9da3 -256 -256 -0 -0 -0 -3 -0xb83a -0x8b3503d7 -256 -256 -1 -0 -0 -2 -0x321d -0x5bfd81e1 -256 -256 -0 -0 -0 -4 -0x4f53 -0xd968f0c2 -256 -256 -0 -0 -0 -1 -0xf5a2 -0x4af7c9ff -256 -256 -1 -0 -0 -1 -0x7215 -0x2699a31f -256 -256 -1 -0 -0 -1 -0xf03d -0x6bf7bec7 -256 -256 -0 -0 -0 -3 -0x5fcc -0x43270373 -256 -256 -1 -0 -0 -4 -0x7ace -0xd6a08186 -256 -256 -0 -0 -0 -2 -0x7a15 -0xc006b59f -256 -256 -0 -0 -0 -4 -0xcd8f -0xb33ca3c9 -256 -256 -1 -0 -0 -3 -0x1ce5 -0x87d1ede5 -256 -256 -1 -0 -0 -1 -0x10bb -0x9ea5d822 -256 -256 -0 -0 -0 -1 -0xa0b0 -0x55dfbf99 -256 -256 -0 -0 -0 -1 -0x5714 -0xc0b4ddce -256 -256 -1 -0 -0 -1 -0xf24d -0xd85a073b -256 -256 -1 -0 -0 -1 -0xf1bd -0x250ea0f4 -256 -256 -0 -0 -0 -1 -0x4a28 -0xea792536 -256 -256 -1 -0 -0 -1 -0xc8bd -0x664fcab0 -256 -256 -0 -0 -0 -4 -0x1650 -0x73787fe7 -256 -256 -0 -0 -0 -4 -0x91f7 -0xf921e99a -256 -256 -0 -0 -0 -2 -0xcde7 -0x26dba97b -256 -256 -1 -0 -0 -4 -0x75db -0xd05abc6f -256 -256 -0 -0 -0 -3 -0xe09a -0x6baeb426 -256 -256 -0 -0 -0 -3 -0x8762 -0xe07155b6 -256 -256 -1 -0 -0 -3 -0x2305 -0xa612cb4b -256 -256 -0 -0 -0 -4 -0x8dd1 -0x35ca43c5 -256 -256 -0 -0 -0 -1 -0xf03f -0x2e35d7e6 -256 -256 -0 -0 -0 -4 -0xfc35 -0x61582c2c -256 -256 -0 -0 -0 -1 -0xc3c -0x923789c8 -256 -256 -0 -0 -0 -2 -0x3968 -0xba2c1d83 -256 -256 -1 -0 -0 -3 -0xdab5 -0xaa642cf -256 -256 -1 -0 -0 -1 -0x9a50 -0x737d3a25 -256 -256 -0 -0 -0 -1 -0x8161 -0xc88264a5 -256 -256 -1 -0 -0 -2 -0x7b79 -0xdaff2bc8 -256 -256 -0 -0 -0 -1 -0x5b3d -0x1684958a -256 -256 -0 -0 -0 -3 -0xc761 -0xb01dd29e -256 -256 -1 -0 -0 -1 -0xe4e0 -0x24317cdf -256 -256 -1 -0 -0 -2 -0x486d -0x8ae08736 -256 -256 -0 -0 -0 -1 -0x941c -0xa5ad98d8 -256 -256 -1 -0 -0 -1 -0x402e -0x4ffa1664 -256 -256 -0 -0 -0 -2 -0x647d -0xe5c2f960 -256 -256 -1 -0 -0 -4 -0xeeb1 -0xada9d3b -256 -256 -0 -0 -0 -3 -0x2eed -0x474d3e01 -256 -256 -1 -0 -0 -1 -0x28fe -0x53c53ee7 -256 -256 -0 -0 -0 -1 -0x9c14 -0x168954e6 -256 -256 -1 -0 -0 -2 -0x143 -0xbcd3bf9e -256 -256 -0 -0 -0 -1 -0xe608 -0x43e8c783 -256 -256 -1 -0 -0 -3 -0xc8f -0x6038140e -256 -256 -0 -0 -0 -2 -0x9e17 -0x901aa464 -256 -256 -0 -0 -0 -2 -0xa964 -0x4b70b904 -256 -256 -1 -0 -0 -2 -0x785f -0x907e6fe3 -256 -256 -1 -0 -0 -3 -0x9717 -0x3db0d033 -256 -256 -0 -0 -0 -3 -0xd232 -0xc972a5eb -256 -256 -0 -0 -0 -1 -0x712b -0xb32238da -256 -256 -0 -0 -0 -3 -0x8077 -0x9c584774 -256 -256 -1 -0 -0 -1 -0x40b7 -0xa6f1205c -256 -256 -0 -0 -0 -4 -0xe7d0 -0x245d4e8b -256 -256 -0 -0 -0 -3 -0x954b -0x9775124e -256 -256 -1 -0 -0 -2 -0xd27c -0xdb0cf8a1 -256 -256 -1 -0 -0 -3 -0xe172 -0xd95fd4ce -256 -256 -0 -0 -0 -2 -0x75e4 -0x4feb9cba -256 -256 -0 -0 -0 -3 -0x7b31 -0x1955bed -256 -256 -0 -0 -0 -2 -0x8b77 -0x89bec73b -256 -256 -0 -0 -0 -2 -0xc7a7 -0x8a64ec1f -256 -256 -1 -0 -0 -1 -0xfdf0 -0x9e91534f -256 -256 -1 -0 -0 -3 -0x726 -0x1bf5a8b1 -256 -256 -0 -0 -0 -1 -0x8929 -0x2c606d00 -256 -256 -0 -0 -0 -4 -0x1ed2 -0x3134075 -256 -256 -1 -0 -0 -2 -0x1bf5 -0x119a8f1b -256 -256 -1 -0 -0 -4 -0x9e99 -0x794d7163 -256 -256 -0 -0 -0 -1 -0x4646 -0x98cc7e90 -256 -256 -1 -0 -0 -2 -0x68d6 -0xac5dbcb3 -256 -256 -0 -0 -0 -1 -0xd95e -0x4f906302 -256 -256 -0 -0 -0 -3 -0x8db5 -0xb568ad65 -256 -256 -1 -0 -0 -3 -0x838d -0xee5d54aa -256 -256 -1 -0 -0 -4 -0xcd3 -0x270c887f -256 -256 -1 -0 -0 -1 -0x67c -0x3aa6a134 -256 -256 -1 -0 -0 -4 -0x88ab -0x28082864 -256 -256 -1 -0 -0 -1 -0xeaa6 -0x9c3cbda4 -256 -256 -1 -0 -0 -4 -0x515b -0x71129a82 -256 -256 -0 -0 -0 -1 -0xe39a -0xaea9bf70 -256 -256 -0 -0 -0 -4 -0x23dd -0xa2a67c71 -256 -256 -0 -0 -0 -3 -0xa277 -0xc76a7313 -256 -256 -0 -0 -0 -1 -0xb702 -0x1615f8c -256 -256 -0 -0 -0 -1 -0x6380 -0x7bb643ac -256 -256 -0 -0 -0 -2 -0x3a08 -0xb1313e50 -256 -256 -0 -0 -0 -2 -0xd7ae -0x12155a9d -256 -256 -0 -0 -0 -4 -0x3db5 -0x262d54c5 -256 -256 -0 -0 -0 -1 -0x6298 -0xea0d2fd5 -256 -256 -0 -0 -0 -4 -0xb69f -0x5e4d168e -256 -256 -0 -0 -0 -1 -0x30ec -0x3068c6e9 -256 -256 -1 -0 -0 -3 -0x3323 -0xa0b121bc -256 -256 -1 -0 -0 -3 -0x548c -0x170a0eb3 -256 -256 -0 -0 -0 -4 -0xbf0b -0xe0b884d7 -256 -256 -0 -0 -0 -3 -0xf1cb -0xa70a8a06 -256 -256 -0 -0 -0 -3 -0x488e -0x591f0663 -256 -256 -0 -0 -0 -3 -0x9eb2 -0xb96cf30c -256 -256 -1 -0 -0 -1 -0xab52 -0xb2c24387 -256 -256 -1 -0 -0 -2 -0x4959 -0xfba9f13d -256 -256 -1 -0 -0 -4 -0x9598 -0x568d7ce -256 -256 -0 -0 -0 -3 -0x22fd -0x93f2bd6c -256 -256 -1 -0 -0 -3 -0x7d2a -0xbdc2311d -256 -256 -1 -0 -0 -3 -0x58f -0x20fdb96a -256 -256 -0 -0 -0 -1 -0x5a0a -0x89522323 -256 -256 -0 -0 -0 -1 -0x28e2 -0x139e7590 -256 -256 -0 -0 -0 -2 -0x1b16 -0xae6a5723 -256 -256 -1 -0 -0 -1 -0xd040 -0x4ba46a29 -256 -256 -0 -0 -0 -1 -0x37f0 -0x368ba817 -256 -256 -1 -0 -0 -1 -0x4d7e -0xc0219973 -256 -256 -1 -0 -0 -1 -0x72e8 -0xeaf8288a -256 -256 -1 -0 -0 -2 -0x606d -0xc32c4f05 -256 -256 -0 -0 -0 -4 -0x62c1 -0x2c8fae5 -256 -256 -0 -0 -0 -1 -0xd177 -0x45a598f4 -256 -256 -1 -0 -0 -1 -0xa3e7 -0xb426a28f -256 -256 -0 -0 -0 -4 -0xd96 -0x8da7f206 -256 -256 -1 -0 -0 -1 -0x5f7b -0x1633022a -256 -256 -0 -0 -0 -4 -0xd05 -0x9d5b830c -256 -256 -1 -0 -0 -4 -0x1543 -0xa1c18595 -256 -256 -1 -0 -0 -4 -0x87fa -0x23830a82 -256 -256 -1 -0 -0 -4 -0x51e2 -0xb9404fd7 -256 -256 -0 -0 -0 -2 -0x6347 -0x659d4774 -256 -256 -0 -0 -0 -4 -0x55d3 -0x8f0592db -256 -256 -1 -0 -0 -2 -0x15f8 -0x4224ce6a -256 -256 -1 -0 -0 -3 -0xee7d -0xdbfbc694 -256 -256 -0 -0 -0 -3 -0xe05c -0x9a650a62 -256 -256 -0 -0 -0 -1 -0xca -0xc9fe9b0c -256 -256 -0 -0 -0 -2 -0xfa4f -0x4a6e4816 -256 -256 -1 -0 -0 -4 -0x2ee6 -0xc56991fa -256 -256 -1 -0 -0 -3 -0xfa73 -0xf382b235 -256 -256 -0 -0 -0 -2 -0x2a7d -0x4e9887ec -256 -256 -0 -0 -0 -3 -0xdfe2 -0x83e931d8 -256 -256 -0 -0 -0 -3 -0xa1eb -0x646c9c46 -256 -256 -1 -0 -0 -3 -0x462b -0xce8a78a5 -256 -256 -0 -0 -0 -1 -0x7617 -0xf786b6b8 -256 -256 -0 -0 -0 -2 -0x66e1 -0xf4704ac2 -256 -256 -0 -0 -0 -1 -0xf724 -0xf8fd3626 -256 -256 -0 -0 -0 -4 -0x13db -0xc1f96fee -256 -256 -1 -0 -0 -4 -0x8fd1 -0xf5515435 -256 -256 -0 -0 -0 -3 -0x4a31 -0x189ea0e5 -256 -256 -1 -0 -0 -4 -0xf054 -0x2297a2a4 -256 -256 -1 -0 -0 -1 -0xd090 -0xc61c2098 -256 -256 -0 -0 -0 -4 -0x53e -0x1146bc00 -256 -256 -1 -0 -0 -3 -0x9dc -0x3909b98c -256 -256 -1 -0 -0 -4 -0xc547 -0x9374d805 -256 -256 -1 -0 -0 -2 -0xfb7c -0x6c465769 -256 -256 -1 -0 -0 -4 -0x1ff8 -0xc551c375 -256 -256 -1 -0 -0 -2 -0x8dd9 -0x398d060a -256 -256 -0 -0 -0 -1 -0x2dd5 -0xfde51e24 -256 -256 -1 -0 -0 -3 -0x968d -0x59ee4c56 -256 -256 -0 -0 -0 -4 -0x88da -0xc04b1b05 -256 -256 -0 -0 -0 -2 -0xea5b -0x6c752d25 -256 -256 -1 -0 -0 -4 -0xcf13 -0xe65838c6 -256 -256 -1 -0 -0 -1 -0xc0e0 -0x85386f7d -256 -256 -0 -0 -0 -4 -0x5e6f -0xd60d2a56 -256 -256 -1 -0 -0 -4 -0x18ce -0x6d56cd65 -256 -256 -0 -0 -0 -3 -0x8ce7 -0x6b2cd598 -256 -256 -1 -0 -0 -1 -0x9dca -0xd008126 -256 -256 -0 -0 -0 -4 -0x8d18 -0xb7e142ce -256 -256 -1 -0 -0 -4 -0x1a5f -0x3fa85fa2 -256 -256 -0 -0 -0 -4 -0xf069 -0x4f4e36dd -256 -256 -0 -0 -0 -2 -0x5ce1 -0x3c6bb127 -256 -256 -0 -0 -0 -1 -0x99a2 -0xdff8d22a -256 -256 -1 -0 -0 -3 -0xea49 -0x2528866 -256 -256 -1 -0 -0 -2 -0x9462 -0x74340498 -256 -256 -1 -0 -0 -4 -0xe1f2 -0xd3e12623 -256 -256 -1 -0 -0 -2 -0x984 -0x2ddd8f74 -256 -256 -1 -0 -0 -3 -0x98ea -0xd2e478c0 -256 -256 -0 -0 -0 -2 -0x9c67 -0xe5898707 -256 -256 -0 -0 -0 -3 -0x7d23 -0xe02031fd -256 -256 -0 -0 -0 -1 -0xe37e -0xf7a7557d -256 -256 -0 -0 -0 -4 -0x7ea1 -0x6574bfc -256 -256 -1 -0 -0 -2 -0x5081 -0x2e3ea3b0 -256 -256 -0 -0 -0 -3 -0x69ed -0xab1ed78e -256 -256 -0 -0 -0 -2 -0x3ac -0x21ee4bcf -256 -256 -1 -0 -0 -4 -0x2073 -0xc471f9a6 -256 -256 -0 -0 -0 -3 -0x1472 -0x2ab3ee73 -256 -256 -1 -0 -0 -2 -0x36d0 -0xdc4ab135 -256 -256 -1 -0 -0 -4 -0x0 -0xe8b6f07 -256 -256 -1 -0 -0 -1 -0x7a72 -0xc25c8d94 -256 -256 -0 -0 -0 -4 -0x223e -0x7f4a6dea -256 -256 -1 -0 -0 -1 -0xd977 -0x82bcb843 -256 -256 -1 -0 -0 -4 -0x4d1e -0xbbc3bf3 -256 -256 -0 -0 -0 -3 -0x3c -0x212222c9 -256 -256 -0 -0 -0 -2 -0x3ede -0x3ef4353f -256 -256 -0 -0 -0 -4 -0x6e8c -0xd5f2d639 -256 -256 -1 -0 -0 -3 -0x78f -0xd3d1cf6 -256 -256 -1 -0 -0 -4 -0xc4b5 -0xf233eb90 -256 -256 -1 -0 -0 -4 -0x5f8d -0x233bd46c -256 -256 -0 -0 -0 -1 -0x2b63 -0xa85dc314 -256 -256 -1 -0 -0 -2 -0xfde0 -0xd826b2f6 -256 -256 -0 -0 -0 -3 -0xb797 -0x54bcc138 -256 -256 -0 -0 -0 -2 -0xe9fb -0x39623d2d -256 -256 -0 -0 -0 -4 -0xc70b -0x9b69635 -256 -256 -0 -0 -0 -3 -0xc0b -0x3a1f7cb8 -256 -256 -0 -0 -0 -3 -0xa509 -0xbcc37c63 -256 -256 -1 -0 -0 -3 -0x9a99 -0x77dd56f5 -256 -256 -0 -0 -0 -4 -0x6eb2 -0x6061a0b9 -256 -256 -1 -0 -0 -2 -0xa22f -0x1854d067 -256 -256 -0 -0 -0 -4 -0xfecb -0xab84c73a -256 -256 -1 -0 -0 -2 -0x946a -0x838d85fb -256 -256 -1 -0 -0 -2 -0x88d3 -0x64b2a5ad -256 -256 -0 -0 -0 -3 -0x748e -0x1f3e5bfc -256 -256 -0 -0 -0 -3 -0x7bdb -0xdfc175b7 -256 -256 -1 -0 -0 -2 -0x2b59 -0x7c66652a -256 -256 -1 -0 -0 -3 -0x4594 -0x1026016a -256 -256 -0 -0 -0 -3 -0x9c17 -0x2bd81ede -256 -256 -1 -0 -0 -4 -0xd09f -0x29ab6b96 -256 -256 -0 -0 -0 -3 -0xd656 -0x99fa5f71 -256 -256 -1 -0 -0 -3 -0xd65e -0xfc12dfdc -256 -256 -0 -0 -0 -3 -0xa734 -0x71da1171 -256 -256 -0 -0 -0 -4 -0x5fc8 -0x402165a7 -256 -256 -1 -0 -0 -3 -0x3475 -0x1fbcc82b -256 -256 -0 -0 -0 -4 -0x47e1 -0xa144f339 -256 -256 -1 -0 -0 -2 -0xa788 -0x4a8b57b0 -256 -256 -0 -0 -0 -3 -0x2644 -0xdb0e40d5 -256 -256 -0 -0 -0 -3 -0x38d4 -0x35cc6169 -256 -256 -0 -0 -0 -4 -0x75ed -0xa468bf7f -256 -256 -1 -0 -0 -3 -0xe370 -0xfc7afb25 -256 -256 -1 -0 -0 -2 -0x5fa3 -0x3d3b65c7 -256 -256 -1 -0 -0 -1 -0x6dd8 -0xcfdd44e3 -256 -256 -1 -0 -0 -3 -0x9d6d -0xbb9d6e6c -256 -256 -0 -0 -0 -1 -0xe3ec -0xb8d5478f -256 -256 -1 -0 -0 -3 -0x10a7 -0xeec6ecac -256 -256 -0 -0 -0 -4 -0xc453 -0xef014a54 -256 -256 -1 -0 -0 -1 -0x411e -0xb2942a9 -256 -256 -1 -0 -0 -2 -0x7bbc -0x262e62b2 -256 -256 -0 -0 -0 -4 -0x3b84 -0x4b831f40 -256 -256 -1 -0 -0 -4 -0x726b -0xea2d2a04 -256 -256 -0 -0 -0 -2 -0x82fe -0xd9db8373 -256 -256 -1 -0 -0 -4 -0x94e1 -0xfcbd8b2e -256 -256 -1 -0 -0 -3 -0x3cb2 -0x7b811dd9 -256 -256 -0 -0 -0 -4 -0xf1fe -0x57ecf6a4 -256 -256 -1 -0 -0 -3 -0xa441 -0x6836b9a1 -256 -256 -1 -0 -0 -3 -0x9c2b -0xe7809e9e -256 -256 -0 -0 -0 -1 -0x2adb -0x7d73a328 -256 -256 -1 -0 -0 -1 -0xd852 -0x7ac91cac -256 -256 -1 -0 -0 -1 -0x4192 -0xdd556af9 -256 -256 -0 -0 -0 -3 -0xfc07 -0xe1835b8e -256 -256 -1 -0 -0 -3 -0x1cfa -0xf0d679c3 -256 -256 -1 -0 -0 -4 -0xebd1 -0xb8cc70a9 -256 -256 -0 -0 -0 -2 -0x482d -0x5c2db5ee -256 -256 -1 -0 -0 -3 -0x6714 -0x5e4a06f8 -256 -256 -0 -0 -0 -2 -0xa2fc -0xe36857a6 -256 -256 -0 -0 -0 -1 -0xe290 -0x986b9cc7 -256 -256 -1 -0 -0 -1 -0x52cc -0xf08cfb7e -256 -256 -1 -0 -0 -4 -0x4c23 -0x38755d5c -256 -256 -1 -0 -0 -2 -0x30a3 -0xe95071c0 -256 -256 -0 -0 -0 -4 -0xd045 -0xb573c814 -256 -256 -0 -0 -0 -3 -0xbe30 -0x899f495d -256 -256 -0 -0 -0 -2 -0xe844 -0x6205ce06 -256 -256 -0 -0 -0 -1 -0xce7f -0xc63da007 -256 -256 -1 -0 -0 -2 -0xd76a -0x130601c6 -256 -256 -1 -0 -0 -4 -0xf6fe -0xe8a51ced -256 -256 -1 -0 -0 -4 -0x9fbf -0xf08d856f -256 -256 -0 -0 -0 -3 -0xcaf6 -0x889fbe94 -256 -256 -1 -0 -0 -4 -0x7ea7 -0x7e135e18 -256 -256 -0 -0 -0 -4 -0x3ab5 -0x8d129995 -256 -256 -1 -0 -0 -1 -0x892b -0x99e7247c -256 -256 -0 -0 -0 -4 -0x1ba0 -0xe39efbcf -256 -256 -1 -0 -0 -1 -0x9a1d -0xb2dc536a -256 -256 -0 -0 -0 -3 -0x56aa -0x1d20df6f -256 -256 -0 -0 -0 -3 -0x8ca1 -0x422e36b5 -256 -256 -0 -0 -0 -2 -0x5dad -0x7ac4007f -256 -256 -0 -0 -0 -4 -0xdfc1 -0x3a54e06e -256 -256 -1 -0 -0 -4 -0xf3a2 -0x762660bb -256 -256 -1 -0 -0 -3 -0x5b6b -0xa6fc2644 -256 -256 -1 -0 -0 -3 -0x34cc -0x96170442 -256 -256 -1 -0 -0 -4 -0x9227 -0xb373611c -256 -256 -0 -0 -0 -4 -0xf980 -0x802fe1b4 -256 -256 -1 -0 -0 -2 -0xb7ad -0x62fdbfa8 -256 -256 -1 -0 -0 -2 -0xbf49 -0x4c6dfee6 -256 -256 -0 -0 -0 -1 -0x7122 -0x710c0022 -256 -256 -1 -0 -0 -4 -0x5a12 -0x7e43a5c3 -256 -256 -1 -0 -0 -4 -0x8cb -0x20ef634f -256 -256 -0 -0 -0 -1 -0xd386 -0x27c41b55 -256 -256 -0 -0 -0 -2 -0x41b4 -0xf4ecd7f4 -256 -256 -1 -0 -0 -2 -0x8435 -0x8fc94e46 -256 -256 -0 -0 -0 -2 -0x1df4 -0xbf50accd -256 -256 -1 -0 -0 -3 -0x1018 -0x564911c7 -256 -256 -0 -0 -0 -1 -0x9620 -0x8628bb35 -256 -256 -1 -0 -0 -4 -0xc0bb -0x5c500a6 -256 -256 -1 -0 -0 -1 -0x3e67 -0x7f8cfccf -256 -256 -0 -0 -0 -3 -0x52e2 -0x16632d78 -256 -256 -0 -0 -0 -4 -0x672b -0xb57b175d -256 -256 -0 -0 -0 -3 -0xa460 -0x471c8ad7 -256 -256 -1 -0 -0 -1 -0x176c -0x8de53197 -256 -256 -1 -0 -0 -2 -0xdc2f -0x27fcb59f -256 -256 -0 -0 -0 -1 -0x4bc -0xdd66d6ef -256 -256 -1 -0 -0 -1 -0xd8d1 -0x1d6d78cc -256 -256 -0 -0 -0 -1 -0xe928 -0xead7d7fc -256 -256 -1 -0 -0 -2 -0x299c -0x4f124e95 -256 -256 -1 -0 -0 -2 -0x7232 -0x68b29693 -256 -256 -0 -0 -0 -1 -0x1c25 -0x187cb8cf -256 -256 -0 -0 -0 -4 -0x84e6 -0x7e827b60 -256 -256 -0 -0 -0 -4 -0x3ac4 -0x2ee3a93c -256 -256 -1 -0 -0 -4 -0x3a78 -0x7b171d51 -256 -256 -0 -0 -0 -4 -0x9f65 -0x6b3f1b6c -256 -256 -0 -0 -0 -4 -0xee2 -0x88e40679 -256 -256 -1 -0 -0 -1 -0xe812 -0x16743a31 -256 -256 -0 -0 -0 -4 -0xa2ea -0x34523e0b -256 -256 -1 -0 -0 -4 -0xa752 -0x19dfa196 -256 -256 -1 -0 -0 -1 -0xb0d6 -0xb85d39ec -256 -256 -0 -0 -0 -2 -0xb274 -0x74590276 -256 -256 -0 -0 -0 -2 -0xa49f -0xddf0399 -256 -256 -1 -0 -0 -2 -0xb9ac -0xc92e0b64 -256 -256 -0 -0 -0 -1 -0x5831 -0xd5099995 -256 -256 -1 -0 -0 -1 -0x4c05 -0x1fd3e417 -256 -256 -1 -0 -0 -3 -0x3670 -0x27a481c9 -256 -256 -0 -0 -0 -4 -0xc8a5 -0x4259fdfc -256 -256 -0 -0 -0 -4 -0x861f -0xd17a23af -256 -256 -1 -0 -0 -1 -0xfdc8 -0xf0b8ebd6 -256 -256 -1 -0 -0 -3 -0xd101 -0xe69b2182 -256 -256 -0 -0 -0 -2 -0x62b2 -0x2b7d30f -256 -256 -1 -0 -0 -2 -0xaa67 -0x5711979 -256 -256 -1 -0 -0 -3 -0x1703 -0x7803a6cb -256 -256 -0 -0 -0 -4 -0xb2e1 -0x4784679d -256 -256 -1 -0 -0 -4 -0x303a -0xdef70cc3 -256 -256 -1 -0 -0 -1 -0x4be2 -0x866c2898 -256 -256 -1 -0 -0 -1 -0x9028 -0x629911fd -256 -256 -0 -0 -0 -3 -0x7d5a -0xae876fc7 -256 -256 -0 -0 -0 -2 -0x7e17 -0xe6f0cf7b -256 -256 -1 -0 -0 -2 -0x92b5 -0xb7b97d00 -256 -256 -1 -0 -0 -3 -0x4f16 -0x7d604072 -256 -256 -0 -0 -0 -3 -0xbbfc -0xe6b9585d -256 -256 -0 -0 -0 -4 -0xdd32 -0x52acceb1 -256 -256 -1 -0 -0 -2 -0xb2a6 -0xbcbcd488 -256 -256 -1 -0 -0 -3 -0x143f -0xf303b32e -256 -256 -0 -0 -0 -1 -0xd28e -0xb586621a -256 -256 -1 -0 -0 -2 -0xd6f9 -0xbaa7b604 -256 -256 -1 -0 -0 -3 -0x94fb -0x613f88fb -256 -256 -1 -0 -0 -4 -0x700d -0x935e913b -256 -256 -0 -0 -0 -1 -0x8c73 -0x29340c42 -256 -256 -1 -0 -0 -4 -0xd0cb -0x6126b154 -256 -256 -1 -0 -0 -4 -0x2356 -0xf6c1a27d -256 -256 -1 -0 -0 -1 -0xa887 -0x4e21e934 -256 -256 -1 -0 -0 -1 -0xdacf -0xeea3d65d -256 -256 -0 -0 -0 -1 -0xd390 -0x1333b599 -256 -256 -0 -0 -0 -1 -0xbce6 -0xe5d9e53d -256 -256 -1 -0 -0 -3 -0xdf7b -0xf4902e17 -256 -256 -0 -0 -0 -3 -0x76a4 -0x9fef9687 -256 -256 -0 -0 -0 -2 -0x3875 -0x510b15ba -256 -256 -1 -0 -0 -1 -0x3bd9 -0xbd059975 -256 -256 -1 -0 -0 -2 -0xe2d0 -0xeff9fa4e -256 -256 -0 -0 -0 -3 -0x3d4b -0x58801eea -256 -256 -1 -0 -0 -4 -0xeb0d -0xe3bb4c0b -256 -256 -0 -0 -0 -2 -0x3a97 -0xe55cf84f -256 -256 -0 -0 -0 -3 -0x76e -0x82d85659 -256 -256 -1 -0 -0 -3 -0x1f3e -0x85ada704 -256 -256 -1 -0 -0 -3 -0x9b22 -0xa53904f3 -256 -256 -0 -0 -0 -4 -0xb597 -0xbf3f815c -256 -256 -1 -0 -0 -3 -0x3367 -0xcdb7a0bf -256 -256 -1 -0 -0 -2 -0x227f -0x6a529b71 -256 -256 -1 -0 -0 -2 -0xf885 -0x84d6f4e0 -256 -256 -0 -0 -0 -2 -0x521f -0x6b434214 -256 -256 -1 -0 -0 -2 -0x2d26 -0xc17a5fd6 -256 -256 -1 -0 -0 -1 -0x80c3 -0x523cc2db -256 -256 -1 -0 -0 -4 -0xd7b9 -0x424cf6f3 -256 -256 -1 -0 -0 -2 -0xdc71 -0xde318eab -256 -256 -1 -0 -0 -2 -0xed3d -0x431823e5 -256 -256 -0 -0 -0 -4 -0x9951 -0x9906b08e -256 -256 -1 -0 -0 -2 -0xec44 -0x4c8cd180 -256 -256 -0 -0 -0 -1 -0x48e4 -0x42bdf1b9 -256 -256 -1 -0 -0 -4 -0x39b0 -0x680ae940 -256 -256 -1 -0 -0 -3 -0x74f9 -0x5ac377c0 -256 -256 -1 -0 -0 -2 -0xadca -0xbf8cef27 -256 -256 -1 -0 -0 -1 -0x18fa -0x182d0ecc -256 -256 -0 -0 -0 -1 -0x1615 -0x7bbf20dd -256 -256 -0 -0 -0 -4 -0x1ae7 -0x143f611e -256 -256 -1 -0 -0 -4 -0x5207 -0x6f82f95f -256 -256 -1 -0 -0 -2 -0xdec0 -0x45180466 -256 -256 -1 -0 -0 -4 -0x1bfb -0x5bd0b2db -256 -256 -1 -0 -0 -3 -0x11b1 -0x1e9090d2 -256 -256 -1 -0 -0 -4 -0x8149 -0xbd996d1f -256 -256 -1 -0 -0 -3 -0xdda3 -0x34162648 -256 -256 -0 -0 -0 -4 -0x29d3 -0x546258a9 -256 -256 -1 -0 -0 -3 -0xadaa -0xe6d6937a -256 -256 -0 -0 -0 -2 -0x4337 -0x5e6183e8 -256 -256 -0 -0 -0 -2 -0x899f -0x2227d65 -256 -256 -0 -0 -0 -2 -0x2b98 -0x57fa10aa -256 -256 -1 -0 -0 -1 -0x3c8e -0xfb31722e -256 -256 -1 -0 -0 -2 -0x5235 -0x434ca9e4 -256 -256 -1 -0 -0 -2 -0xac20 -0xf881631b -256 -256 -1 -0 -0 -1 -0xbfd5 -0x44c10df1 -256 -256 -1 -0 -0 -3 -0x1da9 -0xf70f9f40 -256 -256 -1 -0 -0 -1 -0x23c -0x564a669e -256 -256 -0 -0 -0 -1 -0xafe6 -0x579e17b2 -256 -256 -1 -0 -0 -2 -0xed8b -0x63dddd38 -256 -256 -1 -0 -0 -4 -0x65b2 -0x38aafba2 -256 -256 -1 -0 -0 -3 -0x84b7 -0x3bdc2905 -256 -256 -0 -0 -0 -1 -0x5f43 -0x4f0a14ae -256 -256 -0 -0 -0 -3 -0xdf67 -0x26d3857b -256 -256 -1 -0 -0 -4 -0x2f7e -0xe8b373e8 -256 -256 -1 -0 -0 -2 -0x864f -0x7419d71c -256 -256 -1 -0 -0 -1 -0x5893 -0xddc2b2b3 -256 -256 -0 -0 -0 -3 -0xb4d0 -0x39f58442 -256 -256 -1 -0 -0 -3 -0xa19a -0x80f17078 -256 -256 -0 -0 -0 -3 -0x2c34 -0x29e8e615 -256 -256 -1 -0 -0 -4 -0xe098 -0x86a73eeb -256 -256 -1 -0 -0 -4 -0xfa9d -0x3f42a459 -256 -256 -1 -0 -0 -2 -0xb8ba -0xb347ebd7 -256 -256 -0 -0 -0 -2 -0x5ec1 -0x4f9d22d1 -256 -256 -1 -0 -0 -3 -0x8061 -0x30a3e5b2 -256 -256 -0 -0 -0 -3 -0x19ff -0xc49933c8 -256 -256 -1 -0 -0 -3 -0x2e9f -0xd68384dd -256 -256 -0 -0 -0 -2 -0x411e -0xd1d12313 -256 -256 -0 -0 -0 -1 -0x1d8e -0xa24a8a7c -256 -256 -1 -0 -0 -1 -0xc7ee -0x7b2b601d -256 -256 -0 -0 -0 -2 -0x88a8 -0x4afa5bff -256 -256 -0 -0 -0 -2 -0xb33f -0x725b142c -256 -256 -1 -0 -0 -4 -0x497a -0xa6fd21ef -256 -256 -1 -0 -0 -3 -0xf035 -0x43c4acdd -256 -256 -0 -0 -0 -2 -0x3ae7 -0xe4a8c78d -256 -256 -0 -0 -0 -4 -0x2b6b -0x7e46153a -256 -256 -0 -0 -0 -2 -0x8c77 -0x9309b375 -256 -256 -0 -0 -0 -1 -0x4b7c -0x7586956c -256 -256 -0 -0 -0 -4 -0x2c9b -0x7eec2c4 -256 -256 -1 -0 -0 -2 -0x77b2 -0xff73c53d -256 -256 -0 -0 -0 -2 -0x222b -0xa3c43183 -256 -256 -0 -0 -0 -1 -0x2f73 -0xa4f0f5e0 -256 -256 -1 -0 -0 -3 -0x8f58 -0x11cc5238 -256 -256 -0 -0 -0 -4 -0x468e -0x80d0208d -256 -256 -1 -0 -0 -1 -0x88a4 -0x1209f690 -256 -256 -1 -0 -0 -3 -0xd44b -0x5cf81b9a -256 -256 -1 -0 -0 -4 -0xff18 -0xe0a99d7d -256 -256 -1 -0 -0 -2 -0x7e5a -0x6360e636 -256 -256 -0 -0 -0 -2 -0x7d7b -0x5c731bdb -256 -256 -0 -0 -0 -2 -0x9555 -0xa42a72e1 -256 -256 -0 -0 -0 -3 -0x15f5 -0x454f9dd7 -256 -256 -1 -0 -0 -3 -0xd99a -0xb7b58f33 -256 -256 -1 -0 -0 -3 -0xcf40 -0xee2003e8 -256 -256 -1 -0 -0 -1 -0x419c -0xfffe57a2 -256 -256 -1 -0 -0 -3 -0x534f -0xf6201411 -256 -256 -0 -0 -0 -3 -0x2b64 -0x1ced92a5 -256 -256 -1 -0 -0 -2 -0xf9cf -0x121a3ab6 -256 -256 -0 -0 -0 -3 -0xd541 -0xc275b4e1 -256 -256 -0 -0 -0 -4 -0x6ee5 -0xfa7dbd33 -256 -256 -1 -0 -0 -4 -0x86b2 -0xeb665f29 -256 -256 -1 -0 -0 -1 -0xc04a -0xa459d1cb -256 -256 -1 -0 -0 -1 -0xcb13 -0x3768ddd9 -256 -256 -1 -0 -0 -2 -0x2180 -0xa0ba7260 -256 -256 -1 -0 -0 -2 -0x5b69 -0xa52dcad9 -256 -256 -0 -0 -0 -1 -0x2258 -0x68466bec -256 -256 -0 -0 -0 -1 -0x9347 -0xa8d77c63 -256 -256 -1 -0 -0 -2 -0x3f69 -0xcf27f963 -256 -256 -1 -0 -0 -3 -0x50eb -0x4057d917 -256 -256 -0 -0 -0 -2 -0x8028 -0x9b895094 -256 -256 -1 -0 -0 -1 -0x7d1e -0x8c5b207e -256 -256 -1 -0 -0 -3 -0x1c94 -0xbedc03de -256 -256 -1 -0 -0 -4 -0xec1d -0x32b9e04c -256 -256 -1 -0 -0 -4 -0x2ec5 -0x24c49c61 -256 -256 -0 -0 -0 -4 -0x355b -0x88ee3c3e -256 -256 -0 -0 -0 -4 -0x7685 -0x8ab82e06 -256 -256 -0 -0 -0 -2 -0x7f3f -0x5c766da1 -256 -256 -0 -0 -0 -2 -0x729f -0x212162c1 -256 -256 -1 -0 -0 -2 -0xe764 -0x43c903b8 -256 -256 -0 -0 -0 -4 -0x500b -0x6ede7a2d -256 -256 -0 -0 -0 -1 -0x524b -0x35fd712a -256 -256 -1 -0 -0 -2 -0xd925 -0x4a21e267 -256 -256 -1 -0 -0 -4 -0x98dc -0x518e96c -256 -256 -1 -0 -0 -4 -0xd664 -0xca5ecf1f -256 -256 -0 -0 -0 -4 -0x5d0f -0xbf56daf0 -256 -256 -1 -0 -0 -3 -0x72f6 -0x359a7f35 -256 -256 -1 -0 -0 -3 -0x7637 -0xac881854 -256 -256 -1 -0 -0 -2 -0xb4df -0x4568ff3e -256 -256 -1 -0 -0 -2 -0xebd8 -0x335f6cdf -256 -256 -0 -0 -0 -3 -0x1ea -0x509a6710 -256 -256 -1 -0 -0 -4 -0x3eb7 -0xf0b7567c -256 -256 -0 -0 -0 -3 -0x9f30 -0xcd6cb4e8 -256 -256 -0 -0 -0 -2 -0x6558 -0x7e6304f2 -256 -256 -0 -0 -0 -3 -0xdfd9 -0x120c1299 -256 -256 -0 -0 -0 -2 -0xde4d -0xb8e8599c -256 -256 -0 -0 -0 -2 -0xb8c8 -0x4b53f0bb -256 -256 -1 -0 -0 -4 -0x653e -0x2f9febbc -256 -256 -0 -0 -0 -4 -0x9c20 -0x16393e76 -256 -256 -1 -0 -0 -1 -0x18c3 -0x5bedcd28 -256 -256 -0 -0 -0 -4 -0x801e -0x5098c6c8 -256 -256 -1 -0 -0 -3 -0x2162 -0x2d954a4e -256 -256 -1 -0 -0 -4 -0x6bde -0x9262de8e -256 -256 -1 -0 -0 -3 -0xd84 -0x8d464218 -256 -256 -1 -0 -0 -2 -0x3211 -0x614e0ad0 -256 -256 -0 -0 -0 -2 -0x9ecd -0x9958e568 -256 -256 -1 -0 -0 -3 -0x3dd1 -0xb6a537ca -256 -256 -1 -0 -0 -3 -0x4301 -0xa3b954b9 -256 -256 -1 -0 -0 -1 -0x24a4 -0xa424ee83 -256 -256 -1 -0 -0 -2 -0x378 -0x2c732ff0 -256 -256 -1 -0 -0 -4 -0x4020 -0x5d3ac68e -256 -256 -1 -0 -0 -3 -0x216e -0x2c887e40 -256 -256 -0 -0 -0 -2 -0xe4a1 -0x71ae5eae -256 -256 -1 -0 -0 -4 -0xccd6 -0x3e2cc847 -256 -256 -1 -0 -0 -1 -0x949f -0x57d2c06f -256 -256 -0 -0 -0 -1 -0xf3d0 -0x765a61a5 -256 -256 -0 -0 -0 -2 -0xf165 -0xcb28440d -256 -256 -1 -0 -0 -2 -0xb3ac -0xd71c8abd -256 -256 -0 -0 -0 -2 -0xa222 -0xddc6d4c5 -256 -256 -1 -0 -0 -2 -0x347d -0x7f3f0f68 -256 -256 -1 -0 -0 -3 -0x7050 -0x4917ba5 -256 -256 -1 -0 -0 -3 -0x10f3 -0xc6f28b9b -256 -256 -0 -0 -0 -4 -0xbf90 -0x94997a51 -256 -256 -0 -0 -0 -2 -0xf766 -0x73e591e7 -256 -256 -1 -0 -0 -1 -0xe2a0 -0x41acdc8c -256 -256 -1 -0 -0 -1 -0x71d2 -0xfe5724cf -256 -256 -1 -0 -0 -3 -0xe596 -0xd1f17d7e -256 -256 -1 -0 -0 -2 -0xb363 -0xac018f33 -256 -256 -1 -0 -0 -4 -0x9f81 -0x2b6d4adf -256 -256 -0 -0 -0 -3 -0x6070 -0x92de8a8a -256 -256 -1 -0 -0 -1 -0x697f -0x60b7ad47 -256 -256 -0 -0 -0 -1 -0x6958 -0xef727e22 -256 -256 -1 -0 -0 -4 -0x4edf -0xfe711c3b -256 -256 -1 -0 -0 -1 -0x3313 -0x75b5c1a8 -256 -256 -1 -0 -0 -2 -0xeb62 -0x899cb53a -256 -256 -1 -0 -0 -1 -0x758b -0x40c9414 -256 -256 -0 -0 -0 -1 -0xa1ef -0xefc5e707 -256 -256 -0 -0 -0 -2 -0xa662 -0x3b8c0a97 -256 -256 -1 -0 -0 -1 -0xf7f8 -0xd3d22169 -256 -256 -1 -0 -0 -2 -0xc6dd -0xa14bb261 -256 -256 -1 -0 -0 -4 -0xaede -0x83e23978 -256 -256 -0 -0 -0 -2 -0x32b1 -0x3f8dedf5 -256 -256 -1 -0 -0 -1 -0xdcbc -0x3cbe652e -256 -256 -1 -0 -0 -1 -0x79eb -0xf4e4d081 -256 -256 -0 -0 -0 -3 -0xf02c -0x4a18b9c1 -256 -256 -1 -0 -0 -2 -0xb1a2 -0x6a1d2eb0 -256 -256 -1 -0 -0 -4 -0x5d1d -0x7e3b2b9 -256 -256 -1 -0 -0 -1 -0xb82f -0x566cfc10 -256 -256 -1 -0 -0 -2 -0x7370 -0x5195b5c3 -256 -256 -0 -0 -0 -4 -0x15a5 -0xad64c3d5 -256 -256 -0 -0 -0 -2 -0x7d04 -0xf530c015 -256 -256 -1 -0 -0 -3 -0x1543 -0x30abb46c -256 -256 -1 -0 -0 -1 -0x6a5b -0x16932fc7 -256 -256 -1 -0 -0 -4 -0xcd4a -0x9afec4de -256 -256 -0 -0 -0 -2 -0x3dd6 -0x2b16c082 -256 -256 -0 -0 -0 -2 -0x3382 -0x5c032de6 -256 -256 -0 -0 -0 -1 -0xfb46 -0xa2f212e5 -256 -256 -1 -0 -0 -4 -0x7122 -0x6c890ecb -256 -256 -0 -0 -0 -4 -0x1a21 -0xd7bdf930 -256 -256 -1 -0 -0 -2 -0xc8b0 -0xf833d66c -256 -256 -1 -0 -0 -4 -0xeda1 -0xb53e80a7 -256 -256 -0 -0 -0 -1 -0x7098 -0x94ee3226 -256 -256 -0 -0 -0 -4 -0xa0ae -0x8d7c85f4 -256 -256 -0 -0 -0 -2 -0xcd17 -0x1c97450f -256 -256 -1 -0 -0 -3 -0x1751 -0xce047c5e -256 -256 -0 -0 -0 -1 -0xc3b3 -0xd204ce82 -256 -256 -0 -0 -0 -2 -0x4f73 -0xfb894f -256 -256 -0 -0 -0 -1 -0x68df -0x97b80e40 -256 -256 -0 -0 -0 -4 -0x2577 -0xf6e04c75 -256 -256 -0 -0 -0 -4 -0xcc67 -0x1bba3bd2 -256 -256 -0 -0 -0 -1 -0x97a6 -0x54fa7c00 -256 -256 -0 -0 -0 -1 -0xc30e -0x6c788aba -256 -256 -1 -0 -0 -3 -0xbf85 -0x372ba326 -256 -256 -0 -0 -0 -2 -0x6984 -0x7ef01060 -256 -256 -1 -0 -0 -3 -0x3714 -0x58ade08b -256 -256 -0 -0 -0 -3 -0x1595 -0xc931154d -256 -256 -0 -0 -0 -4 -0x9af0 -0x1f35950 -256 -256 -1 -0 -0 -3 -0x5c0c -0xbdb22943 -256 -256 -1 -0 -0 -3 -0x7c98 -0x28cd8a4f -256 -256 -1 -0 -0 -2 -0xd4ba -0x5e31707a -256 -256 -0 -0 -0 -4 -0xd5b5 -0x86bb3ca5 -256 -256 -0 -0 -0 -2 -0x9595 -0x49f1cfd5 -256 -256 -0 -0 -0 -1 -0x8e8 -0x9fbff2f7 -256 -256 -0 -0 -0 -1 -0xdacf -0x1392d3be -256 -256 -1 -0 -0 -3 -0x4b5c -0xd654bcee -256 -256 -1 -0 -0 -3 -0x2ce8 -0xe733b293 -256 -256 -1 -0 -0 -1 -0x3c3f -0x630c4361 -256 -256 -1 -0 -0 -4 -0x62d0 -0xc2ba672 -256 -256 -0 -0 -0 -4 -0x2be2 -0x34b37342 -256 -256 -0 -0 -0 -4 -0xbd58 -0xaba1001 -256 -256 -0 -0 -0 -2 -0x7392 -0x915a6164 -256 -256 -0 -0 -0 -2 -0x9886 -0x28d7d657 -256 -256 -0 -0 -0 -4 -0x2662 -0x872b6a6c -256 -256 -0 -0 -0 -4 -0xa1f3 -0x79cca78a -256 -256 -1 -0 -0 -2 -0xdabd -0x68d2350b -256 -256 -0 -0 -0 -4 -0x6387 -0x183dfce2 -256 -256 -0 -0 -0 -4 -0x5fef -0xf0e479bb -256 -256 -1 -0 -0 -3 -0xe970 -0x16ecaecc -256 -256 -0 -0 -0 -1 -0x203 -0x646f08a8 -256 -256 -0 -0 -0 -2 -0x5168 -0x14a91d41 -256 -256 -0 -0 -0 -3 -0xaf75 -0xc0013c44 -256 -256 -0 -0 -0 -3 -0x7e46 -0x36b191bf -256 -256 -1 -0 -0 -4 -0xe7e0 -0x96b364bc -256 -256 -0 -0 -0 -4 -0xf97f -0x1c608eb8 -256 -256 -0 -0 -0 -1 -0x91 -0x22f0d2e6 -256 -256 -1 -0 -0 -1 -0x4b03 -0x3af0cb55 -256 -256 -0 -0 -0 -4 -0x8d0b -0xd1ef174 -256 -256 -0 -0 -0 -3 -0x8927 -0x929b5685 -256 -256 -0 -0 -0 -3 -0xfe5e -0x757be5b2 -256 -256 -1 -0 -0 -1 -0xdf0 -0xa8b9acd1 -256 -256 -0 -0 -0 -2 -0xbae8 -0xc379019d -256 -256 -0 -0 -0 -1 -0x1d88 -0xa3242777 -256 -256 -0 -0 -0 -3 -0x3ad3 -0x2b7cf677 -256 -256 -1 -0 -0 -2 -0x3a8 -0xe8fbf33f -256 -256 -0 -0 -0 -3 -0x7a63 -0xa824c016 -256 -256 -0 -0 -0 -1 -0x6903 -0xff18c314 -256 -256 -1 -0 -0 -2 -0xd894 -0x92538cad -256 -256 -0 -0 -0 -2 -0xac0d -0xcac17069 -256 -256 -1 -0 -0 -1 -0x8817 -0x930045a4 -256 -256 -1 -0 -0 -2 -0x7895 -0x384f6800 -256 -256 -0 -0 -0 -4 -0x37a7 -0xee792107 -256 -256 -0 -0 -0 -2 -0x49e2 -0xfb9e9511 -256 -256 -0 -0 -0 -4 -0x6b7e -0x589e5643 -256 -256 -1 -0 -0 -4 -0x8df5 -0x47c3015b -256 -256 -1 -0 -0 -2 -0x2b6e -0xcd07d88b -256 -256 -0 -0 -0 -2 -0x81b1 -0x8aa1d862 -256 -256 -1 -0 -0 -1 -0x807b -0x84afcf5 -256 -256 -1 -0 -0 -1 -0x4450 -0x1d3c836e -256 -256 -0 -0 -0 -2 -0x271c -0x5a8135e6 -256 -256 -0 -0 -0 -1 -0xe820 -0x78b87c10 -256 -256 -1 -0 -0 -3 -0xab90 -0x132ff644 -256 -256 -1 -0 -0 -2 -0x90db -0xa2ca4f64 -256 -256 -1 -0 -0 -1 -0xb88b -0x6470bbf2 -256 -256 -0 -0 -0 -2 -0x7d9b -0x57c61eae -256 -256 -0 -0 -0 -4 -0x5775 -0x64ae2086 -256 -256 -0 -0 -0 -3 -0xa2f6 -0x720d978 -256 -256 -0 -0 -0 -3 -0xa485 -0x2e62a4c1 -256 -256 -1 -0 -0 -3 -0x14c3 -0xfbb9ba2b -256 -256 -1 -0 -0 -2 -0x4220 -0x717c3ffd -256 -256 -0 -0 -0 -1 -0x88eb -0xe03a17c4 -256 -256 -0 -0 -0 -4 -0xfe07 -0x48dba1d5 -256 -256 -1 -0 -0 -3 -0x91e7 -0xfae28ac7 -256 -256 -1 -0 -0 -1 -0xf78d -0xd4aa65ae -256 -256 -1 -0 -0 -3 -0x6bdc -0xea19f530 -256 -256 -0 -0 -0 -3 -0x36d5 -0x7883bced -256 -256 -0 -0 -0 -1 -0xf984 -0xd0518f90 -256 -256 -0 -0 -0 -4 -0xbcd6 -0xa046c0b1 -256 -256 -0 -0 -0 -2 -0xa1b5 -0xb8d28abb -256 -256 -0 -0 -0 -1 -0xaf98 -0x2a4455b9 -256 -256 -1 -0 -0 -2 -0x4758 -0x7b1b02a8 -256 -256 -0 -0 -0 -1 -0x6aad -0x38b540c2 -256 -256 -0 -0 -0 -1 -0x5c4e -0x36a7b647 -256 -256 -1 -0 -0 -4 -0x7f03 -0x9ff158d3 -256 -256 -1 -0 -0 -4 -0x18d5 -0xbbb7901f -256 -256 -0 -0 -0 -3 -0xf922 -0x29e48f70 -256 -256 -0 -0 -0 -2 -0xb925 -0x1d6dfe94 -256 -256 -0 -0 -0 -1 -0x7efb -0x38029b38 -256 -256 -1 -0 -0 -3 -0x1d8d -0xcb2951df -256 -256 -0 -0 -0 -1 -0x6499 -0x45ba30e7 -256 -256 -1 -0 -0 -1 -0xd19 -0xc4e1d500 -256 -256 -0 -0 -0 -4 -0xb080 -0x3b37677f -256 -256 -1 -0 -0 -2 -0xcc1 -0x57148282 -256 -256 -1 -0 -0 -1 -0x76a3 -0x8de01b0b -256 -256 -1 -0 -0 -3 -0x72ed -0xfa57adf1 -256 -256 -1 -0 -0 -1 -0x521c -0xfe4d1387 -256 -256 -1 -0 -0 -2 -0x4068 -0x539d4def -256 -256 -1 -0 -0 -2 -0x2f6d -0xc332fd9b -256 -256 -0 -0 -0 -4 -0x776c -0x24901117 -256 -256 -1 -0 -0 -1 -0x255b -0xef550e6a -256 -256 -0 -0 -0 -2 -0xf0d5 -0xa23aab95 -256 -256 -0 -0 -0 -3 -0x1025 -0x751e1bba -256 -256 -0 -0 -0 -1 -0x9e94 -0xadf09181 -256 -256 -0 -0 -0 -1 -0xea1 -0xe3b846c2 -256 -256 -1 -0 -0 -1 -0xf685 -0xa1d09d15 -256 -256 -0 -0 -0 -1 -0x1828 -0xe831f970 -256 -256 -1 -0 -0 -2 -0x59fd -0x385257eb -256 -256 -1 -0 -0 -4 -0x6066 -0x39c74c31 -256 -256 -1 -0 -0 -3 -0x8e6c -0x7fbb2643 -256 -256 -0 -0 -0 -4 -0xc822 -0x34662183 -256 -256 -1 -0 -0 -2 -0x9e80 -0xff1167f9 -256 -256 -1 -0 -0 -4 -0x61ff -0xa77a4636 -256 -256 -0 -0 -0 -3 -0xe10a -0x2ee271f3 -256 -256 -0 -0 -0 -4 -0xac8c -0x825f1a27 -256 -256 -1 -0 -0 -4 -0x4e94 -0x44aa41cc -256 -256 -1 -0 -0 -2 -0xeddd -0xa69757bc -256 -256 -0 -0 -0 -3 -0x3523 -0xc2e74607 -256 -256 -0 -0 -0 -1 -0xb6be -0xcfe1015 -256 -256 -0 -0 -0 -4 -0x384 -0x8c1dfed -256 -256 -0 -0 -0 -2 -0x7a7f -0x1a5e1d72 -256 -256 -1 -0 -0 -1 -0x3f13 -0x67983a72 -256 -256 -1 -0 -0 -3 -0xcff0 -0x988d2865 -256 -256 -1 -0 -0 -4 -0xd7a3 -0x1022ab92 -256 -256 -1 -0 -0 -3 -0xbaff -0x758e7dfd -256 -256 -0 -0 -0 -4 -0x6984 -0x97fc4d82 -256 -256 -0 -0 -0 -1 -0x233e -0xbb0c5108 -256 -256 -1 -0 -0 -2 -0x4aa0 -0xd30f29a5 -256 -256 -1 -0 -0 -2 -0xbf2c -0xce20ffc9 -256 -256 -1 -0 -0 -3 -0x36b2 -0xee25e9e4 -256 -256 -1 -0 -0 -2 -0xe926 -0x6c975590 -256 -256 -0 -0 -0 -4 -0x7af8 -0x98269a92 -256 -256 -1 -0 -0 -3 -0x1454 -0x28e8543b -256 -256 -0 -0 -0 -2 -0x7a2f -0x95a0d3d6 -256 -256 -0 -0 -0 -2 -0x5094 -0x8817d9a6 -256 -256 -0 -0 -0 -4 -0x202c -0x88fb57f -256 -256 -1 -0 -0 -3 -0x21e -0x3643a7a8 -256 -256 -0 -0 -0 -2 -0x962d -0xe255b134 -256 -256 -1 -0 -0 -4 -0xa457 -0xe75ff99c -256 -256 -0 -0 -0 -2 -0xa233 -0xa37f5282 -256 -256 -0 -0 -0 -2 -0xe93a -0xcdacc945 -256 -256 -1 -0 -0 -1 -0xa0d6 -0xeeabd355 -256 -256 -0 -0 -0 -3 -0xe8c3 -0xfb1e0cbb -256 -256 -0 -0 -0 -1 -0xe3bf -0x592f7f85 -256 -256 -1 -0 -0 -4 -0x66bf -0x4af76838 -256 -256 -0 -0 -0 -2 -0x7789 -0x7095fcf6 -256 -256 -0 -0 -0 -1 -0x8c28 -0x13727780 -256 -256 -1 -0 -0 -3 -0x4e80 -0xaa6fc303 -256 -256 -1 -0 -0 -3 -0x80ff -0xecfa2fc9 -256 -256 -0 -0 -0 -4 -0xae58 -0x53994f83 -256 -256 -1 -0 -0 -3 -0x7410 -0x4c7fa250 -256 -256 -0 -0 -0 -3 -0x388c -0xec0a8631 -256 -256 -0 -0 -0 -1 -0x6213 -0x8f3e69ca -256 -256 -1 -0 -0 -1 -0x2946 -0x30458987 -256 -256 -0 -0 -0 -4 -0xb73c -0xa1bfd3de -256 -256 -1 -0 -0 -3 -0xf73b -0x140e3955 -256 -256 -1 -0 -0 -1 -0x1322 -0xea33e77 -256 -256 -1 -0 -0 -2 -0xfb65 -0x57c50f29 -256 -256 -0 -0 -0 -3 -0xd661 -0xec323b05 -256 -256 -0 -0 -0 -4 -0x9268 -0x128e7410 -256 -256 -1 -0 -0 -2 -0x5f47 -0x39ae54e3 -256 -256 -1 -0 -0 -3 -0x3cae -0x732fc2f5 -256 -256 -1 -0 -0 -3 -0x4a1a -0xa1694d6d -256 -256 -0 -0 -0 -3 -0x15e8 -0x9bfdcf8a -256 -256 -1 -0 -0 -1 -0x5a4f -0x8bc4ca96 -256 -256 -0 -0 -0 -4 -0x51a -0xe2e2ab56 -256 -256 -0 -0 -0 -2 -0x92e -0x6dfc94b1 -256 -256 -1 -0 -0 -2 -0x9720 -0xaf97ed8f -256 -256 -0 -0 -0 -1 -0xde32 -0xabbae589 -256 -256 -0 -0 -0 -1 -0xba19 -0x9b4d9749 -256 -256 -0 -0 -0 -3 -0xc4c9 -0x66ee3036 -256 -256 -0 -0 -0 -2 -0x8523 -0x6b2abe09 -256 -256 -0 -0 -0 -4 -0x36f6 -0xb2e17402 -256 -256 -0 -0 -0 -1 -0xcaf8 -0xe64300bd -256 -256 -0 -0 -0 -2 -0x6f41 -0x50f8c87d -256 -256 -1 -0 -0 -4 -0xb6bd -0x9adb8865 -256 -256 -0 -0 -0 -1 -0xb3b4 -0x7e068a40 -256 -256 -0 -0 -0 -3 -0x47c9 -0xdb26d82e -256 -256 -1 -0 -0 -3 -0x86c -0xa921b2e7 -256 -256 -0 -0 -0 -1 -0xbcc5 -0x265dbcc -256 -256 -0 -0 -0 -1 -0xf4d4 -0x7a465b7f -256 -256 -0 -0 -0 -1 -0x3499 -0xc78931ab -256 -256 -1 -0 -0 -1 -0x5965 -0xb16c2ffd -256 -256 -1 -0 -0 -4 -0xa444 -0x9065f2bc -256 -256 -1 -0 -0 -2 -0x41fa -0x9915a73f -256 -256 -0 -0 -0 -3 -0xffaa -0x9c1dafe4 -256 -256 -0 -0 -0 -3 -0xd1f7 -0x8416a38b -256 -256 -1 -0 -0 -4 -0xa9de -0xf9a99fd2 -256 -256 -1 -0 -0 -4 -0x5580 -0xe037398d -256 -256 -0 -0 -0 -4 -0x63a0 -0xc1cc4440 -256 -256 -0 -0 -0 -3 -0xceb3 -0x150602b9 -256 -256 -0 -0 -0 -1 -0xecae -0x447920a -256 -256 -1 -0 -0 -2 -0x6091 -0x45d47c5f -256 -256 -1 -0 -0 -2 -0xba15 -0x72169f73 -256 -256 -0 -0 -0 -1 -0x53a7 -0x6ce0725c -256 -256 -1 -0 -0 -4 -0x1fda -0xb350ba30 -256 -256 -1 -0 -0 -1 -0x2106 -0xcea1d3c1 -256 -256 -0 -0 -0 -2 -0xa7e3 -0xeb590de7 -256 -256 -1 -0 -0 -1 -0x1d41 -0x9e93f7d5 -256 -256 -0 -0 -0 -2 -0xf97b -0xb9b195d7 -256 -256 -0 -0 -0 -2 -0xd0ac -0x550ffc06 -256 -256 -0 -0 -0 -1 -0xa487 -0x480f8404 -256 -256 -1 -0 -0 -3 -0x8e19 -0x7e133c10 -256 -256 -1 -0 -0 -2 -0x6ee5 -0x8bbceee4 -256 -256 -0 -0 -0 -3 -0xb492 -0x23172402 -256 -256 -1 -0 -0 -4 -0x996 -0x59a6fa29 -256 -256 -1 -0 -0 -1 -0x3b58 -0x1416949c -256 -256 -0 -0 -0 -4 -0x8d26 -0xbce93029 -256 -256 -1 -0 -0 -4 -0xf09 -0x268cdfb3 -256 -256 -0 -0 -0 -3 -0x26c3 -0x9e2359eb -256 -256 -1 -0 -0 -3 -0x18df -0x49cdcc8f -256 -256 -0 -0 -0 -4 -0x43df -0x35021f37 -256 -256 -0 -0 -0 -3 -0x64d2 -0xebfa14b4 -256 -256 -0 -0 -0 -4 -0xa21f -0x995ccff -256 -256 -1 -0 -0 -3 -0xc6ee -0x15fde26d -256 -256 -0 -0 -0 -2 -0x2b28 -0x57ab577f -256 -256 -0 -0 -0 -1 -0xf183 -0xfbcec6f7 -256 -256 -0 -0 -0 -3 -0x491d -0x81221dc3 -256 -256 -1 -0 -0 -3 -0x5479 -0x6fe5b528 -256 -256 -1 -0 -0 -2 -0x21b1 -0x68a7ab8 -256 -256 -1 -0 -0 -1 -0x8db -0x77e4fd83 -256 -256 -0 -0 -0 -4 -0xe1bc -0xffa96001 -256 -256 -1 -0 -0 -2 -0x7f5a -0x654e5904 -256 -256 -0 -0 -0 -3 -0x9d52 -0xf42689ca -256 -256 -1 -0 -0 -2 -0xfd0a -0xb9766657 -256 -256 -0 -0 -0 -1 -0x6d7d -0x73ce2c52 -256 -256 -0 -0 -0 -2 -0xf131 -0x2253be98 -256 -256 -0 -0 -0 -3 -0xf796 -0xad54fc2a -256 -256 -0 -0 -0 -3 -0x925 -0x929bd456 -256 -256 -1 -0 -0 -3 -0xfe9b -0x2e7b173e -256 -256 -0 -0 -0 -2 -0x49d -0x1db83333 -256 -256 -1 -0 -0 -2 -0x1551 -0xb4d98837 -256 -256 -1 -0 -0 -4 -0x548b -0xf7ebc8c1 -256 -256 -0 -0 -0 -4 -0xadb3 -0xac31583f -256 -256 -1 -0 -0 -1 -0xa997 -0x4e1e3309 -256 -256 -0 -0 -0 -4 -0xdc19 -0xdfde461e -256 -256 -1 -0 -0 -2 -0x5273 -0x69f6425f -256 -256 -0 -0 -0 -1 -0x6c3d -0x4739186a -256 -256 -0 -0 -0 -4 -0x8c62 -0x883a1a22 -256 -256 -0 -0 -0 -4 -0x9477 -0x881f533e -256 -256 -0 -0 -0 -1 -0x5f9 -0x88544cc6 -256 -256 -0 -0 -0 -4 -0x5a08 -0x953def6f -256 -256 -1 -0 -0 -1 -0x557b -0x1ce6e80f -256 -256 -0 -0 -0 -3 -0x7764 -0x6b1f2a3d -256 -256 -0 -0 -0 -3 -0x11d6 -0xd67acad1 -256 -256 -0 -0 -0 -4 -0x21ce -0xe6a24cdc -256 -256 -0 -0 -0 -2 -0x2b79 -0xd2516691 -256 -256 -1 -0 -0 -2 -0x41dc -0xc259cd1 -256 -256 -1 -0 -0 -2 -0x576d -0x868009af -256 -256 -1 -0 -0 -3 -0xa7f2 -0x90eb567a -256 -256 -1 -0 -0 -3 -0x31a4 -0x6076d27 -256 -256 -1 -0 -0 -2 -0x5e8b -0x58a46be5 -256 -256 -1 -0 -0 -4 -0xc5c -0x7c4664a2 -256 -256 -1 -0 -0 -4 -0xb376 -0x1a267036 -256 -256 -0 -0 -0 -3 -0xf3b9 -0xa237e365 -256 -256 -0 -0 -0 -2 -0xfafd -0xa1ee8dfd -256 -256 -0 -0 -0 -1 -0x1388 -0x1540ecb9 -256 -256 -0 -0 -0 -3 -0x8286 -0xcabe9adc -256 -256 -1 -0 -0 -3 -0xa6b -0x9f2b82fd -256 -256 -0 -0 -0 -4 -0xda33 -0xf2137dc6 -256 -256 -0 -0 -0 -3 -0xe425 -0x3dfccda3 -256 -256 -1 -0 -0 -3 -0x68b4 -0x7227279e -256 -256 -1 -0 -0 -4 -0xfdc0 -0x1d9224e2 -256 -256 -0 -0 -0 -3 -0xbf4 -0x80d12ef6 -256 -256 -1 -0 -0 -1 -0xbcb -0xf790fe40 -256 -256 -1 -0 -0 -2 -0x1db -0xe63695fa -256 -256 -0 -0 -0 -4 -0x95cf -0x7694d49 -256 -256 -0 -0 -0 -4 -0xcfbb -0xb21a41e7 -256 -256 -1 -0 -0 -4 -0xc9a7 -0x418bb70e -256 -256 -0 -0 -0 -4 -0x3f06 -0x9b1b2a53 -256 -256 -1 -0 -0 -1 -0xe513 -0x7623259 -256 -256 -0 -0 -0 -1 -0x2ca9 -0x67774f77 -256 -256 -0 -0 -0 -1 -0x9f53 -0x82372d80 -256 -256 -1 -0 -0 -1 -0x577b -0xa299d00c -256 -256 -0 -0 -0 -4 -0xbdfd -0xdec53866 -256 -256 -1 -0 -0 -1 -0xadef -0x3ab418f1 -256 -256 -1 -0 -0 -3 -0x3966 -0xe6c940aa -256 -256 -1 -0 -0 -2 -0x3088 -0x43e6719a -256 -256 -0 -0 -0 -4 -0xb9fc -0xcd48e1c8 -256 -256 -1 -0 -0 -1 -0x5508 -0x59a4c0e0 -256 -256 -1 -0 -0 -4 -0x5487 -0x9fd37bf -256 -256 -1 -0 -0 -4 -0x3ef3 -0x66fdbf9a -256 -256 -0 -0 -0 -3 -0x3bda -0xc0ab2499 -256 -256 -0 -0 -0 -2 -0x8c4b -0x76e255c0 -256 -256 -1 -0 -0 -3 -0xa364 -0xbb2be2fe -256 -256 -1 -0 -0 -1 -0x5462 -0xb2e54509 -256 -256 -1 -0 -0 -3 -0xa809 -0x2a37511e -256 -256 -1 -0 -0 -1 -0xe366 -0xc1af995e -256 -256 -0 -0 -0 -3 -0xe8e6 -0xe8b6c15c -256 -256 -0 -0 -0 -1 -0xa037 -0xeac366b4 -256 -256 -0 -0 -0 -4 -0x7399 -0x500d9f1b -256 -256 -1 -0 -0 -1 -0xa2f6 -0x927740de -256 -256 -0 -0 -0 -3 -0xb249 -0x39f522c2 -256 -256 -1 -0 -0 -2 -0x601b -0x9f13f4ae -256 -256 -0 -0 -0 -1 -0x8d3a -0xe7e563f1 -256 -256 -0 -0 -0 -3 -0x4a10 -0xf0444966 -256 -256 -0 -0 -0 -4 -0xefb9 -0xf44560da -256 -256 -0 -0 -0 -3 -0x7f7d -0x62fd2b6 -256 -256 -0 -0 -0 -3 -0x2362 -0x5cf7eacb -256 -256 -0 -0 -0 -4 -0xf729 -0xa56e4928 -256 -256 -1 -0 -0 -3 -0x942 -0x17f87609 -256 -256 -0 -0 -0 -3 -0xc387 -0xbd4b966b -256 -256 -0 -0 -0 -1 -0x63e3 -0xa494da78 -256 -256 -0 -0 -0 -4 -0x2f0a -0x7d8ffb7a -256 -256 -1 -0 -0 -3 -0x5c9b -0x26c792cb -256 -256 -0 -0 -0 -3 -0xe49f -0x2651cf76 -256 -256 -0 -0 -0 -4 -0x5df3 -0xd7dc2c24 -256 -256 -0 -0 -0 -3 -0x47f9 -0xd7cbbcf1 -256 -256 -1 -0 -0 -1 -0x6db4 -0x83c2949f -256 -256 -0 -0 -0 -3 -0x4207 -0x7a35d10 -256 -256 -0 -0 -0 -2 -0x570 -0x1b40c843 -256 -256 -0 -0 -0 -3 -0xa3cf -0xe94c3fc4 -256 -256 -1 -0 -0 -1 -0xf1d8 -0x148ab62e -256 -256 -0 -0 -0 -3 -0x4c01 -0x3e4ffcd7 -256 -256 -0 -0 -0 -1 -0x3be9 -0x91a0a572 -256 -256 -1 -0 -0 -2 -0x3d3c -0xe51d3bfa -256 -256 -0 -0 -0 -2 -0x8b2d -0xa86ff8af -256 -256 -0 -0 -0 -3 -0x41f9 -0xd582f33a -256 -256 -1 -0 -0 -4 -0x8ed0 -0x6f2427f5 -256 -256 -1 -0 -0 -4 -0x8b6c -0xd2a3aabb -256 -256 -0 -0 -0 -3 -0x5e3a -0xa69c0504 -256 -256 -1 -0 -0 -3 -0xca78 -0xfb1eaad -256 -256 -1 -0 -0 -2 -0xd042 -0x91999754 -256 -256 -0 -0 -0 -3 -0x36c -0x3f911cac -256 -256 -1 -0 -0 -4 -0x6254 -0xb8f508c4 -256 -256 -1 -0 -0 -4 -0x2952 -0x223351da -256 -256 -1 -0 -0 -2 -0x4793 -0xe90f95a5 -256 -256 -1 -0 -0 -4 -0x92d9 -0xfb54d120 -256 -256 -0 -0 -0 -2 -0x7efa -0xb0b50023 -256 -256 -0 -0 -0 -1 -0x4556 -0xc80522a8 -256 -256 -1 -0 -0 -4 -0x8d86 -0xd353ade7 -256 -256 -0 -0 -0 -4 -0xb98f -0x1b0112ae -256 -256 -0 -0 -0 -2 -0xfde4 -0x7ebb9c52 -256 -256 -1 -0 -0 -2 -0x90fe -0x676d4e25 -256 -256 -0 -0 -0 -4 -0xb48d -0x3e428f74 -256 -256 -0 -0 -0 -1 -0x516b -0xc9cf3916 -256 -256 -0 -0 -0 -2 -0xe1e9 -0xa965d0e3 -256 -256 -0 -0 -0 -4 -0xb0d0 -0x343bb594 -256 -256 -1 -0 -0 -4 -0x148a -0xfa6f017 -256 -256 -0 -0 -0 -1 -0x3bc9 -0x3b9b2af6 -256 -256 -0 -0 -0 -1 -0xc023 -0x526dbaf2 -256 -256 -1 -0 -0 -2 -0xd42d -0xe02e9119 -256 -256 -0 -0 -0 -3 -0x7f98 -0x9a548b24 -256 -256 -1 -0 -0 -4 -0x480f -0x461e2a0e -256 -256 -1 -0 -0 -3 -0x1e37 -0x928303d7 -256 -256 -1 -0 -0 -2 -0x60ab -0x89faa794 -256 -256 -1 -0 -0 -2 -0x1e9b -0x9cc09e67 -256 -256 -1 -0 -0 -2 -0x3a38 -0x5c3183da -256 -256 -1 -0 -0 -4 -0x6882 -0xf8db390d -256 -256 -1 -0 -0 -4 -0x9cc4 -0x603b23b0 -256 -256 -0 -0 -0 -2 -0xafd -0x969bb6d1 -256 -256 -1 -0 -0 -3 -0x6522 -0xc3aa1c9e -256 -256 -0 -0 -0 -2 -0xefca -0x46f12048 -256 -256 -1 -0 -0 -4 -0xc7d9 -0x1dee3ca4 -256 -256 -0 -0 -0 -3 -0xe5ae -0x3c9e50bb -256 -256 -0 -0 -0 -2 -0x67df -0x936c2df5 -256 -256 -1 -0 -0 -3 -0xbe46 -0xd5fecbd0 -256 -256 -1 -0 -0 -1 -0xb16f -0xf48f27a2 -256 -256 -0 -0 -0 -4 -0x3066 -0x667a0b0c -256 -256 -0 -0 -0 -4 -0xc795 -0x13e5c798 -256 -256 -0 -0 -0 -3 -0x5bd -0x39c2258d -256 -256 -1 -0 -0 -4 -0xd796 -0xe6c26315 -256 -256 -1 -0 -0 -2 -0x113 -0xdd722a51 -256 -256 -1 -0 -0 -2 -0xd09 -0x5aa073ed -256 -256 -0 -0 -0 -3 -0xe0bb -0xe742d04e -256 -256 -0 -0 -0 -3 -0x876d -0xcabc1bce -256 -256 -0 -0 -0 -3 -0x766a -0x6a85652f -256 -256 -0 -0 -0 -3 -0xe0f7 -0xe9e9d202 -256 -256 -0 -0 -0 -4 -0x1446 -0x8ad76ad4 -256 -256 -1 -0 -0 -4 -0xe1c3 -0xc2291971 -256 -256 -0 -0 -0 -4 -0x5e4c -0x26d8adaa -256 -256 -0 -0 -0 -4 -0xf70a -0xf1595c24 -256 -256 -0 -0 -0 -1 -0x77ed -0xa97c6360 -256 -256 -0 -0 -0 -1 -0x9cd7 -0xd58c95c3 -256 -256 -0 -0 -0 -4 -0x23a7 -0xcea7ea12 -256 -256 -0 -0 -0 -2 -0x236 -0x7026146c -256 -256 -1 -0 -0 -1 -0xb0e9 -0x68751200 -256 -256 -0 -0 -0 -3 -0xb8e2 -0x4cb6cab2 -256 -256 -1 -0 -0 -3 -0x59f9 -0x3357e286 -256 -256 -0 -0 -0 -2 -0xd503 -0x7958c776 -256 -256 -0 -0 -0 -2 -0x2ea0 -0xa05fe4d0 -256 -256 -1 -0 -0 -4 -0x8087 -0x4cb24caf -256 -256 -0 -0 -0 -2 -0x11 -0xc24228da -256 -256 -1 -0 -0 -2 -0x5fbf -0x75750fd4 -256 -256 -1 -0 -0 -1 -0x4f21 -0xa23becef -256 -256 -1 -0 -0 -4 -0x4a11 -0xadced763 -256 -256 -0 -0 -0 -4 -0xc3db -0x7c6343df -256 -256 -1 -0 -0 -2 -0xae5 -0xfdb22fe8 -256 -256 -0 -0 -0 -2 -0x4f6f -0xa668121c -256 -256 -0 -0 -0 -3 -0x9e45 -0x258f1b4c -256 -256 -1 -0 -0 -3 -0xc85a -0x48738db1 -256 -256 -1 -0 -0 -4 -0x4b98 -0x625d1773 -256 -256 -0 -0 -0 -4 -0x7355 -0xe86d09a9 -256 -256 -0 -0 -0 -4 -0xa8bd -0xd8839e74 -256 -256 -1 -0 -0 -1 -0x8af0 -0xba0ddcfd -256 -256 -1 -0 -0 -4 -0xdc69 -0xe7e0133d -256 -256 -0 -0 -0 -2 -0xc3e1 -0xc85c63ed -256 -256 -0 -0 -0 -3 -0xb1d0 -0x2e92ca68 -256 -256 -0 -0 -0 -4 -0xb79a -0xe3d4da0f -256 -256 -1 -0 -0 -3 -0x31e3 -0xbdf00cb -256 -256 -0 -0 -0 -1 -0x9ff4 -0x7db719e2 -256 -256 -0 -0 -0 -2 -0x2008 -0xf2848ac -256 -256 -1 -0 -0 -3 -0x6770 -0xd6c8be4f -256 -256 -0 -0 -0 -4 -0x5a2 -0xecd76492 -256 -256 -1 -0 -0 -3 -0xc979 -0x6f3195c6 -256 -256 -0 -0 -0 -4 -0x5472 -0xb779e030 -256 -256 -1 -0 -0 -1 -0xf8d1 -0xc7195388 -256 -256 -1 -0 -0 -4 -0x2834 -0x43fbc848 -256 -256 -1 -0 -0 -4 -0x4d3f -0x49ed60d6 -256 -256 -1 -0 -0 -4 -0xc127 -0x631ca2d8 -256 -256 -1 -0 -0 -1 -0x3586 -0x1159a227 -256 -256 -0 -0 -0 -2 -0xa37e -0x85d27fe5 -256 -256 -0 -0 -0 -1 -0xc45b -0x3968e5da -256 -256 -1 -0 -0 -3 -0x2492 -0x694a0f38 -256 -256 -0 -0 -0 -1 -0x2c24 -0x988cbdf2 -256 -256 -0 -0 -0 -4 -0xc01b -0xbea071b5 -256 -256 -0 -0 -0 -3 -0x528a -0x3d255582 -256 -256 -0 -0 -0 -2 -0x32da -0x4eff8646 -256 -256 -1 -0 -0 -4 -0x213f -0xcd0908c2 -256 -256 -1 -0 -0 -2 -0xf171 -0xf956ea95 -256 -256 -0 -0 -0 -4 -0x7ba1 -0x64966a3b -256 -256 -0 -0 -0 -1 -0x7e79 -0xd1599dd2 -256 -256 -1 -0 -0 -3 -0x742b -0x3b091661 -256 -256 -0 -0 -0 -4 -0xfe8c -0x3f0e71d6 -256 -256 -1 -0 -0 -4 -0x4723 -0xb0f37ba -256 -256 -0 -0 -0 -3 -0x40eb -0xa8e5b8ab -256 -256 -1 -0 -0 -1 -0x22e3 -0xe0b0db11 -256 -256 -0 -0 -0 -3 -0x82d4 -0x6a8e791 -256 -256 -1 -0 -0 -3 -0xa3b1 -0xf412a7fd -256 -256 -0 -0 -0 -1 -0x5c88 -0x4a3db9d0 -256 -256 -0 -0 -0 -1 -0xb672 -0x6b03142a -256 -256 -0 -0 -0 -4 -0xba58 -0xa2c2b792 -256 -256 -1 -0 -0 -2 -0xdeda -0x1fd04a52 -256 -256 -0 -0 -0 -3 -0x2e1b -0x7995631a -256 -256 -1 -0 -0 -4 -0x5cd4 -0x9228ee61 -256 -256 -1 -0 -0 -4 -0x8510 -0xdf2e09fb -256 -256 -1 -0 -0 -4 -0xd007 -0x2034d52d -256 -256 -0 -0 -0 -4 -0xdf8e -0x3231cb8 -256 -256 -1 -0 -0 -2 -0x20ca -0x9f2f2f20 -256 -256 -0 -0 -0 -2 -0xadde -0x15659116 -256 -256 -1 -0 -0 -1 -0x6061 -0x64ec196 -256 -256 -0 -0 -0 -2 -0xc21c -0xf1cd9008 -256 -256 -1 -0 -0 -3 -0x8fd3 -0x6a4fccb -256 -256 -0 -0 -0 -4 -0xfee -0x91177059 -256 -256 -1 -0 -0 -3 -0xfdfc -0xe02540c1 -256 -256 -0 -0 -0 -1 -0x8e69 -0x2b189a4d -256 -256 -0 -0 -0 -1 -0x6f21 -0x75c2f651 -256 -256 -0 -0 -0 -1 -0x3575 -0x43c6bb05 -256 -256 -0 -0 -0 -4 -0xd7d5 -0xf0affcf9 -256 -256 -1 -0 -0 -3 -0x3aa0 -0xeb42b666 -256 -256 -1 -0 -0 -3 -0xdf5e -0x1df416e7 -256 -256 -1 -0 -0 -4 -0x2422 -0xfa98149d -256 -256 -1 -0 -0 -1 -0x733 -0xe228c4d4 -256 -256 -0 -0 -0 -3 -0xa30a -0x39dd2de1 -256 -256 -1 -0 -0 -4 -0x5626 -0x35a87012 -256 -256 -0 -0 -0 -4 -0x8042 -0xa93bdb83 -256 -256 -0 -0 -0 -2 -0xe8ce -0x30dfba35 -256 -256 -1 -0 -0 -2 -0x40e7 -0xa83adee -256 -256 -0 -0 -0 -1 -0xf4e -0x8e26659a -256 -256 -0 -0 -0 -4 -0xe8ae -0x6a64dbdf -256 -256 -1 -0 -0 -1 -0x8889 -0xc69045 -256 -256 -1 -0 -0 -1 -0x816a -0xc5fc428c -256 -256 -1 -0 -0 -4 -0x5124 -0xe9760d77 -256 -256 -1 -0 -0 -1 -0xa5de -0x6f4e2c0e -256 -256 -1 -0 -0 -4 -0xec89 -0x3fa7553b -256 -256 -1 -0 -0 -3 -0x19b0 -0xb12030f4 -256 -256 -1 -0 -0 -4 -0xcf3d -0x8f472931 -256 -256 -1 -0 -0 -1 -0x12c9 -0x4189b59a -256 -256 -0 -0 -0 -1 -0x17a1 -0x1972218d -256 -256 -0 -0 -0 -3 -0x16af -0xc7df8099 -256 -256 -1 -0 -0 -2 -0xc26c -0xaae548aa -256 -256 -0 -0 -0 -4 -0x7d0b -0x20aff5ee -256 -256 -1 -0 -0 -3 -0x3269 -0x71a0a8c -256 -256 -1 -0 -0 -3 -0xa4ea -0x2ad47d62 -256 -256 -1 -0 -0 -1 -0x7b43 -0x1859deb5 -256 -256 -1 -0 -0 -4 -0xe2f1 -0x94c9a0b3 -256 -256 -1 -0 -0 -4 -0x7e4e -0x2e97dbcf -256 -256 -0 -0 -0 -3 -0xd44c -0xc543c2cb -256 -256 -0 -0 -0 -4 -0x1bcd -0xc6cc78cb -256 -256 -0 -0 -0 -1 -0x46b -0xca017731 -256 -256 -1 -0 -0 -4 -0x4bcf -0xc59a341f -256 -256 -1 -0 -0 -1 -0x1853 -0x542931d2 -256 -256 -1 -0 -0 -3 -0xc8fd -0xda6bdc07 -256 -256 -0 -0 -0 -4 -0x41c -0xdebf1a1c -256 -256 -0 -0 -0 -2 -0x6871 -0x801123dc -256 -256 -1 -0 -0 -4 -0xbee9 -0xd341d783 -256 -256 -0 -0 -0 -4 -0x1697 -0xd19b1b64 -256 -256 -1 -0 -0 -2 -0xdd77 -0x699a381b -256 -256 -0 -0 -0 -4 -0x2bc4 -0xf3d9768c -256 -256 -0 -0 -0 -1 -0x10cc -0x3b6b3cc6 -256 -256 -1 -0 -0 -4 -0x7636 -0x7b2abd3 -256 -256 -0 -0 -0 -2 -0xd65e -0x814fa0f6 -256 -256 -1 -0 -0 -3 -0x6e2 -0x62f43111 -256 -256 -1 -0 -0 -4 -0x39ee -0xe5799533 -256 -256 -1 -0 -0 -4 -0x193e -0x66656949 -256 -256 -0 -0 -0 -2 -0x317 -0xfdba9fcc -256 -256 -1 -0 -0 -4 -0xdad8 -0xe7187327 -256 -256 -1 -0 -0 -2 -0xd9cb -0x5a47e0d1 -256 -256 -0 -0 -0 -3 -0xa3a3 -0xbaf932dc -256 -256 -0 -0 -0 -3 -0x5650 -0x87d5b713 -256 -256 -0 -0 -0 -3 -0x724c -0xfe7ad706 -256 -256 -1 -0 -0 -1 -0x9571 -0x7303fb9d -256 -256 -0 -0 -0 -1 -0xff06 -0xf2338bb1 -256 -256 -1 -0 -0 -1 -0xe8c9 -0x47e3f4cd -256 -256 -0 -0 -0 -4 -0xad66 -0x72fa80b -256 -256 -0 -0 -0 -1 -0x719f -0x2c25c97c -256 -256 -0 -0 -0 -1 -0xd800 -0x3672ec2b -256 -256 -1 -0 -0 -3 -0x5734 -0xcf558fd1 -256 -256 -1 -0 -0 -1 -0xfe4 -0xddefb732 -256 -256 -1 -0 -0 -2 -0x5d3a -0xc1bda47b -256 -256 -0 -0 -0 -4 -0x936b -0xc88def49 -256 -256 -0 -0 -0 -2 -0x6040 -0x1cf3fd4a -256 -256 -0 -0 -0 -3 -0x23db -0xae2cfd1b -256 -256 -1 -0 -0 -3 -0xe972 -0x2e51c0f6 -256 -256 -1 -0 -0 -2 -0x5f24 -0x5aa1bde6 -256 -256 -1 -0 -0 -3 -0x524f -0x13f87209 -256 -256 -0 -0 -0 -2 -0x7b86 -0x394aaf8c -256 -256 -1 -0 -0 -4 -0xa0c4 -0x3fea7441 -256 -256 -0 -0 -0 -3 -0x2762 -0x12444822 -256 -256 -0 -0 -0 -3 -0x7f6c -0xa54824d6 -256 -256 -0 -0 -0 -2 -0x7b3 -0x376705a1 -256 -256 -1 -0 -0 -3 -0x68f8 -0x5c834079 -256 -256 -1 -0 -0 -2 -0x23b4 -0xbd03cc55 -256 -256 -0 -0 -0 -4 -0x29ac -0x45b6d936 -256 -256 -0 -0 -0 -2 -0x49c4 -0x6f46d2a9 -256 -256 -0 -0 -0 -4 -0x1c73 -0x12669f53 -256 -256 -0 -0 -0 -4 -0x9b76 -0xf11e3f48 -256 -256 -0 -0 -0 -2 -0x117d -0x9e8c649b -256 -256 -1 -0 -0 -2 -0xfa62 -0x1c754305 -256 -256 -0 -0 -0 -1 -0x5235 -0x76322da3 -256 -256 -1 -0 -0 -2 -0x51f9 -0xa7a1edc9 -256 -256 -1 -0 -0 -2 -0x405f -0xe599039b -256 -256 -0 -0 -0 -3 -0x28a3 -0x9d8d391 -256 -256 -1 -0 -0 -3 -0xd8f8 -0x7ebe4dd2 -256 -256 -0 -0 -0 -1 -0xbe51 -0x4c367249 -256 -256 -0 -0 -0 -4 -0xcd9 -0xc4363baf -256 -256 -1 -0 -0 -4 -0xdc0 -0xf8b354b9 -256 -256 -1 -0 -0 -1 -0x4307 -0x6a621aa6 -256 -256 -0 -0 -0 -4 -0x6c1a -0x876ae7d2 -256 -256 -1 -0 -0 -3 -0xe6ba -0x4555a07b -256 -256 -0 -0 -0 -3 -0x1556 -0xdbe9e9b -256 -256 -0 -0 -0 -2 -0x1cef -0xd241b08e -256 -256 -1 -0 -0 -4 -0x1688 -0x321f36d1 -256 -256 -1 -0 -0 -4 -0xfc13 -0x55f0cb3f -256 -256 -1 -0 -0 -1 -0xfbf2 -0xc30a22df -256 -256 -0 -0 -0 -2 -0x9a8c -0x15915f06 -256 -256 -0 -0 -0 -1 -0xb93b -0x7a966069 -256 -256 -0 -0 -0 -2 -0x26de -0x6cb206de -256 -256 -1 -0 -0 -3 -0x868f -0xd9332cd4 -256 -256 -1 -0 -0 -3 -0xb65f -0x657e5a12 -256 -256 -1 -0 -0 -3 -0xe635 -0x8c8d8b93 -256 -256 -1 -0 -0 -2 -0xf999 -0xc6939830 -256 -256 -1 -0 -0 -2 -0x17c1 -0x949cbc58 -256 -256 -0 -0 -0 -4 -0x5549 -0x12beb190 -256 -256 -1 -0 -0 -1 -0xf266 -0xcd1fd688 -256 -256 -0 -0 -0 -4 -0x465f -0x8e9a609e -256 -256 -0 -0 -0 -3 -0xdfc9 -0x64ee5a10 -256 -256 -0 -0 -0 -3 -0x1bfe -0xb051b304 -256 -256 -0 -0 -0 -4 -0x848e -0x94a84a0b -256 -256 -1 -0 -0 -1 -0x1e65 -0x21a774b8 -256 -256 -0 -0 -0 -4 -0x5810 -0x85b6f0f9 -256 -256 -1 -0 -0 -2 -0xaf9f -0x90fd69e4 -256 -256 -1 -0 -0 -2 -0xf998 -0xcf3549d2 -256 -256 -1 -0 -0 -4 -0x904c -0xeb6e0bdd -256 -256 -1 -0 -0 -2 -0x1881 -0x5f7d1d6e -256 -256 -0 -0 -0 -1 -0xf225 -0x2a0f16cc -256 -256 -1 -0 -0 -4 -0x51 -0xdff43dc4 -256 -256 -1 -0 -0 -2 -0x6462 -0x8d28e719 -256 -256 -0 -0 -0 -2 -0xb015 -0x827de13 -256 -256 -1 -0 -0 -2 -0xa2e9 -0xc0615e80 -256 -256 -1 -0 -0 -1 -0x6647 -0x90658fa8 -256 -256 -1 -0 -0 -1 -0x902f -0xa10e4104 -256 -256 -0 -0 -0 -3 -0x4730 -0x46aedf55 -256 -256 -0 -0 -0 -1 -0x4019 -0x7e0fc4c0 -256 -256 -1 -0 -0 -3 -0x28f5 -0xaac8e17b -256 -256 -1 -0 -0 -2 -0xb9d6 -0xedff5771 -256 -256 -1 -0 -0 -4 -0xc54 -0xa5b5f518 -256 -256 -1 -0 -0 -4 -0xe01d -0xe1844a09 -256 -256 -0 -0 -0 -3 -0x302d -0xd6d5fd3a -256 -256 -0 -0 -0 -2 -0xa669 -0x4afc5428 -256 -256 -0 -0 -0 -1 -0x8023 -0x20787c0c -256 -256 -0 -0 -0 -3 -0x115e -0xc07d310a -256 -256 -0 -0 -0 -2 -0x49f9 -0xa9f59573 -256 -256 -0 -0 -0 -1 -0x5715 -0x273ecd09 -256 -256 -1 -0 -0 -2 -0x62a9 -0x95f21105 -256 -256 -1 -0 -0 -2 -0x8237 -0x7e0ea178 -256 -256 -0 -0 -0 -2 -0x4df5 -0x9e56f0a1 -256 -256 -0 -0 -0 -1 -0xa2ae -0x15349795 -256 -256 -0 -0 -0 -2 -0xb357 -0xe5a28b8f -256 -256 -1 -0 -0 -1 -0x63f2 -0xe6aacdd6 -256 -256 -0 -0 -0 -1 -0x6f82 -0x648399bd -256 -256 -1 -0 -0 -4 -0x77df -0xe1d1d454 -256 -256 -1 -0 -0 -3 -0xae56 -0x4e2534dd -256 -256 -0 -0 -0 -4 -0xa42d -0x827fcf1c -256 -256 -0 -0 -0 -1 -0x3837 -0x12da02c -256 -256 -0 -0 -0 -2 -0x8061 -0xdd79beca -256 -256 -1 -0 -0 -2 -0xc471 -0x9dbc7e61 -256 -256 -0 -0 -0 -2 -0x985 -0xad243afd -256 -256 -1 -0 -0 -3 -0x6075 -0x13bcf5b5 -256 -256 -1 -0 -0 -3 -0x1733 -0xbde35a2e -256 -256 -0 -0 -0 -4 -0xe8a3 -0x6b3d87d1 -256 -256 -1 -0 -0 -1 -0xb5bc -0xc12f6a97 -256 -256 -0 -0 -0 -1 -0x7258 -0x2524c47d -256 -256 -0 -0 -0 -4 -0x2729 -0x61e54986 -256 -256 -0 -0 -0 -3 -0x284c -0xab6758e4 -256 -256 -1 -0 -0 -3 -0xecbe -0x17dab753 -256 -256 -1 -0 -0 -1 -0x35e5 -0x2dbc8b38 -256 -256 -0 -0 -0 -4 -0x1d1c -0x9f0497b2 -256 -256 -0 -0 -0 -1 -0xb5a5 -0x2c4b9a70 -256 -256 -1 -0 -0 -2 -0xbe24 -0x429db302 -256 -256 -0 -0 -0 -3 -0x484 -0x277fabe6 -256 -256 -1 -0 -0 -2 -0xfe -0xd1e33253 -256 -256 -0 -0 -0 -1 -0x49e1 -0xd1387ff3 -256 -256 -1 -0 -0 -2 -0xb506 -0x33d9e1f -256 -256 -1 -0 -0 -1 -0xe4a2 -0xa767e4ad -256 -256 -0 -0 -0 -4 -0x6866 -0x55d5e457 -256 -256 -0 -0 -0 -4 -0x23c2 -0x7147ddbb -256 -256 -0 -0 -0 -1 -0x96b0 -0x1257d425 -256 -256 -1 -0 -0 -2 -0x4a5f -0xb35e3c91 -256 -256 -0 -0 -0 -4 -0x4b9 -0x431b9ad -256 -256 -0 -0 -0 -2 -0xffa6 -0xa7f4f0f1 -256 -256 -0 -0 -0 -1 -0x1156 -0x7823810b -256 -256 -1 -0 -0 -2 -0x7264 -0x745d1ec5 -256 -256 -0 -0 -0 -2 -0x22e1 -0x93010fcd -256 -256 -1 -0 -0 -1 -0x4254 -0xf8e52a41 -256 -256 -1 -0 -0 -4 -0x7f03 -0xd126ac6d -256 -256 -0 -0 -0 -1 -0x281 -0xdee4b55d -256 -256 -1 -0 -0 -2 -0xb00d -0xd467bb32 -256 -256 -1 -0 -0 -1 -0x53e3 -0x2ca0b6da -256 -256 -1 -0 -0 -3 -0xfe28 -0xe5f0dafd -256 -256 -1 -0 -0 -4 -0x74f9 -0xf938aac4 -256 -256 -1 -0 -0 -1 -0x1dc2 -0xa64afddd -256 -256 -1 -0 -0 -3 -0xf6ea -0x46b4396 -256 -256 -0 -0 -0 -4 -0x1c40 -0x5479f64a -256 -256 -0 -0 -0 -1 -0x73aa -0x4fcf3c3e -256 -256 -1 -0 -0 -1 -0x6101 -0x357988d7 -256 -256 -1 -0 -0 -3 -0xda1f -0xfdd8db7a -256 -256 -0 -0 -0 -2 -0x8c74 -0x6165cf3d -256 -256 -1 -0 -0 -4 -0x380b -0x72cca0e1 -256 -256 -0 -0 -0 -2 -0xf1a2 -0xf7e4f96a -256 -256 -0 -0 -0 -3 -0xd491 -0xb7d694d6 -256 -256 -1 -0 -0 -2 -0xb96e -0x232907b3 -256 -256 -1 -0 -0 -1 -0xfa50 -0xe95223e4 -256 -256 -0 -0 -0 -2 -0xf5dd -0x913b527 -256 -256 -1 -0 -0 -1 -0xd606 -0x7351acdc -256 -256 -0 -0 -0 -1 -0x2a33 -0xb373e87c -256 -256 -0 -0 -0 -1 -0xc6bc -0x55bd0db2 -256 -256 -1 -0 -0 -1 -0x6d0e -0xe199acb3 -256 -256 -1 -0 -0 -2 -0xcb20 -0x7e4294ab -256 -256 -0 -0 -0 -4 -0xebea -0x69771328 -256 -256 -1 -0 -0 -3 -0xccda -0x10e093e9 -256 -256 -1 -0 -0 -4 -0x904 -0x8390b8c4 -256 -256 -1 -0 -0 -1 -0x3e5b -0x27bb6bc5 -256 -256 -1 -0 -0 -2 -0xed3d -0xbbddabfa -256 -256 -0 -0 -0 -3 -0xe21d -0xfe2d4760 -256 -256 -0 -0 -0 -3 -0xd3fc -0x84e88cb5 -256 -256 -1 -0 -0 -3 -0xbb31 -0xb711f1b8 -256 -256 -1 -0 -0 -4 -0xb54e -0x96ffcc4a -256 -256 -0 -0 -0 -1 -0x97e4 -0x8bd71c2c -256 -256 -0 -0 -0 -4 -0x10d6 -0x6a999754 -256 -256 -0 -0 -0 -2 -0xd4fc -0xc2ce0830 -256 -256 -1 -0 -0 -4 -0x204d -0xf03afe34 -256 -256 -1 -0 -0 -2 -0x24df -0x4a92adad -256 -256 -1 -0 -0 -3 -0x56c6 -0x33202143 -256 -256 -0 -0 -0 -1 -0x89d7 -0xf25753ac -256 -256 -0 -0 -0 -2 -0x270f -0x9b22950a -256 -256 -1 -0 -0 -4 -0x8265 -0x143c063e -256 -256 -0 -0 -0 -4 -0x8a08 -0xa7b5683f -256 -256 -0 -0 -0 -2 -0xde0b -0x569a16c2 -256 -256 -1 -0 -0 -1 -0x55 -0xa5509dab -256 -256 -0 -0 -0 -2 -0xadd2 -0xc55afe2a -256 -256 -0 -0 -0 -2 -0xea54 -0xf180902a -256 -256 -1 -0 -0 -3 -0xe098 -0xd9411c80 -256 -256 -1 -0 -0 -2 -0x6755 -0x6be58681 -256 -256 -1 -0 -0 -4 -0x9e2f -0xf0974174 -256 -256 -0 -0 -0 -1 -0xec88 -0xcc814f2a -256 -256 -1 -0 -0 -4 -0xa18e -0xdf418327 -256 -256 -1 -0 -0 -2 -0xb346 -0x74441320 -256 -256 -1 -0 -0 -4 -0x7b09 -0x11aca69c -256 -256 -0 -0 -0 -3 -0xde06 -0x4dfa5d1e -256 -256 -1 -0 -0 -3 -0x3a4f -0x500a567a -256 -256 -0 -0 -0 -3 -0x9e3e -0x9ee1e3f5 -256 -256 -0 -0 -0 -1 -0xa465 -0x7c932ec4 -256 -256 -0 -0 -0 -3 -0xab1a -0xa527d5f1 -256 -256 -0 -0 -0 -3 -0x468d -0x1fafd8ed -256 -256 -1 -0 -0 -1 -0xcf65 -0x733cea41 -256 -256 -1 -0 -0 -3 -0x4574 -0x542459e6 -256 -256 -0 -0 -0 -1 -0x915 -0x275f10e4 -256 -256 -1 -0 -0 -3 -0x1fc9 -0xc706fcb0 -256 -256 -1 -0 -0 -2 -0xf16d -0x3a0919be -256 -256 -1 -0 -0 -1 -0xb769 -0x42b76e32 -256 -256 -1 -0 -0 -3 -0x5edb -0x7205c2bb -256 -256 -0 -0 -0 -3 -0x3d3a -0xf3e6a34f -256 -256 -1 -0 -0 -2 -0x3fb3 -0x1a9260b -256 -256 -1 -0 -0 -1 -0xf18d -0x582527c1 -256 -256 -0 -0 -0 -1 -0xa7dc -0xde3c977a -256 -256 -1 -0 -0 -1 -0x74b -0x85bd904a -256 -256 -0 -0 -0 -3 -0xf049 -0x48ddb257 -256 -256 -1 -0 -0 -4 -0xc50a -0x1875dff4 -256 -256 -1 -0 -0 -1 -0x1382 -0xf8f0b142 -256 -256 -0 -0 -0 -1 -0x2d50 -0x22228e90 -256 -256 -0 -0 -0 -1 -0x74fe -0x60d7284a -256 -256 -0 -0 -0 -4 -0xd8dd -0x65b33952 -256 -256 -0 -0 -0 -4 -0xf009 -0x8576b720 -256 -256 -1 -0 -0 -1 -0x18d9 -0x7a3734f3 -256 -256 -0 -0 -0 -3 -0x2e41 -0x5608587a -256 -256 -0 -0 -0 -3 -0xa836 -0xe308d972 -256 -256 -1 -0 -0 -3 -0x4f3f -0xa047a724 -256 -256 -0 -0 -0 -2 -0x3d -0xf8d5828b -256 -256 -1 -0 -0 -3 -0xbaf7 -0x26925835 -256 -256 -1 -0 -0 -4 -0xf122 -0xd312eea6 -256 -256 -1 -0 -0 -4 -0xdb88 -0x83914d25 -256 -256 -1 -0 -0 -2 -0xc61d -0x11dd69d7 -256 -256 -0 -0 -0 -4 -0x7b8c -0xf72005d9 -256 -256 -0 -0 -0 -3 -0x8c33 -0x82e2c08b -256 -256 -1 -0 -0 -4 -0x5757 -0xb71ec574 -256 -256 -0 -0 -0 -2 -0x3eec -0x29a272b -256 -256 -0 -0 -0 -1 -0xa7e1 -0x19cebeb3 -256 -256 -1 -0 -0 -1 -0x53dc -0x8ea46e72 -256 -256 -0 -0 -0 -2 -0x47db -0x947dd7f4 -256 -256 -1 -0 -0 -1 -0xcbbd -0x6ce1f89d -256 -256 -1 -0 -0 -4 -0xf4f9 -0xf58bc49d -256 -256 -0 -0 -0 -3 -0xbc1b -0x12e8a3ca -256 -256 -1 -0 -0 -2 -0x2f09 -0xce131401 -256 -256 -0 -0 -0 -2 -0x9d2 -0xd73d8be7 -256 -256 -0 -0 -0 -1 -0xb589 -0x1cf6a7de -256 -256 -1 -0 -0 -3 -0x25a8 -0x703f5981 -256 -256 -0 -0 -0 -2 -0x111f -0x61cbfbdf -256 -256 -1 -0 -0 -2 -0x9483 -0x58815a8 -256 -256 -1 -0 -0 -3 -0x6cd5 -0xd436440 -256 -256 -1 -0 -0 -2 -0xf884 -0xd79c8a9a -256 -256 -1 -0 -0 -2 -0x4f08 -0xc7b77a40 -256 -256 -1 -0 -0 -3 -0xaded -0x88a8a25c -256 -256 -1 -0 -0 -1 -0x8f65 -0xd88b17c8 -256 -256 -0 -0 -0 -3 -0x8a46 -0xa0d25c2a -256 -256 -0 -0 -0 -4 -0x35e -0x833f6d02 -256 -256 -0 -0 -0 -3 -0xd84e -0x232b0a21 -256 -256 -0 -0 -0 -3 -0x2d24 -0xf85fcc9a -256 -256 -1 -0 -0 -4 -0x1008 -0x6eaf60c0 -256 -256 -0 -0 -0 -1 -0xf9ea -0x48f8a071 -256 -256 -1 -0 -0 -3 -0xb2f5 -0xae332dc2 -256 -256 -1 -0 -0 -3 -0x810e -0xf3862633 -256 -256 -1 -0 -0 -1 -0x24a8 -0x77fcc6bc -256 -256 -0 -0 -0 -3 -0xcdc7 -0x66ab120e -256 -256 -1 -0 -0 -2 -0xa056 -0x5af095ee -256 -256 -1 -0 -0 -4 -0xcfd8 -0xe8f6ec76 -256 -256 -0 -0 -0 -3 -0x8c58 -0x1c2c8eb5 -256 -256 -1 -0 -0 -2 -0xbfa1 -0x4587d89c -256 -256 -1 -0 -0 -3 -0x93ca -0xc70e3e8a -256 -256 -1 -0 -0 -2 -0x861f -0xcd6162b7 -256 -256 -1 -0 -0 -3 -0xbee7 -0x2021043e -256 -256 -1 -0 -0 -3 -0x37d7 -0xc5d806b0 -256 -256 -0 -0 -0 -2 -0x33fe -0x5e2fa39f -256 -256 -0 -0 -0 -1 -0x132d -0xb6500f48 -256 -256 -1 -0 -0 -2 -0x38cb -0x8eb14ff6 -256 -256 -0 -0 -0 -3 -0x86f -0xf09a8ed5 -256 -256 -1 -0 -0 -1 -0x8bb2 -0xb83be018 -256 -256 -0 -0 -0 -4 -0xb61b -0x3b8e1cb5 -256 -256 -1 -0 -0 -3 -0xa047 -0x852fa616 -256 -256 -0 -0 -0 -2 -0x3082 -0x3861316f -256 -256 -1 -0 -0 -1 -0x5245 -0x9e434249 -256 -256 -0 -0 -0 -2 -0xa5a -0xe8bf6de7 -256 -256 -0 -0 -0 -3 -0x264c -0x1d627fb9 -256 -256 -0 -0 -0 -3 -0x6d03 -0xfc5b3f76 -256 -256 -0 -0 -0 -4 -0x6fc -0x2631ca4 -256 -256 -0 -0 -0 -4 -0x433f -0x7587af8b -256 -256 -0 -0 -0 -4 -0xe4ee -0x4a5e1166 -256 -256 -1 -0 -0 -4 -0x372c -0x8765f989 -256 -256 -1 -0 -0 -2 -0x25a4 -0xab0c42d8 -256 -256 -0 -0 -0 -4 -0xebe8 -0xbcc77aca -256 -256 -0 -0 -0 -3 -0x68ec -0xfd07e6a9 -256 -256 -0 -0 -0 -2 -0x8013 -0xe84bfa98 -256 -256 -1 -0 -0 -4 -0x4778 -0x47410c9d -256 -256 -0 -0 -0 -3 -0x9e3b -0xaf09a5f2 -256 -256 -0 -0 -0 -4 -0x4f01 -0xfc669375 -256 -256 -0 -0 -0 -2 -0x3324 -0xbc64274b -256 -256 -1 -0 -0 -4 -0x87f0 -0x5566a79a -256 -256 -0 -0 -0 -4 -0x8f1a -0xc995c17e -256 -256 -1 -0 -0 -2 -0x9537 -0x2e6ec8aa -256 -256 -0 -0 -0 -2 -0x3689 -0xb1574003 -256 -256 -1 -0 -0 -1 -0xf1b2 -0x7e37f2f6 -256 -256 -0 -0 -0 -1 -0xf107 -0xe9d6e2c7 -256 -256 -1 -0 -0 -3 -0xf362 -0x52691c39 -256 -256 -1 -0 -0 -1 -0xa130 -0xcd153df4 -256 -256 -0 -0 -0 -3 -0xb57 -0xdfcc3502 -256 -256 -1 -0 -0 -3 -0xcf73 -0x278a09cb -256 -256 -1 -0 -0 -1 -0x80fb -0x1f94beb0 -256 -256 -0 -0 -0 -3 -0x5e1c -0x164940 -256 -256 -1 -0 -0 -4 -0x23c0 -0xa2280f58 -256 -256 -1 -0 -0 -3 -0xe5b6 -0x3ccd011f -256 -256 -1 -0 -0 -3 -0x21f3 -0xac5b4dc2 -256 -256 -1 -0 -0 -3 -0x93b2 -0x67a330e8 -256 -256 -0 -0 -0 -4 -0xa2f6 -0x3e00b0e5 -256 -256 -0 -0 -0 -3 -0xf425 -0x60fcf281 -256 -256 -0 -0 -0 -4 -0xb5f6 -0xe2ed194a -256 -256 -0 -0 -0 -1 -0xc6fc -0x13bcf362 -256 -256 -0 -0 -0 -4 -0x296d -0xbeda8f2d -256 -256 -0 -0 -0 -1 -0x2c89 -0x69d29c79 -256 -256 -0 -0 -0 -3 -0x8897 -0xb6ce1aa0 -256 -256 -1 -0 -0 -2 -0x600d -0xc1e61180 -256 -256 -0 -0 -0 -2 -0x7bf2 -0x27f987a2 -256 -256 -0 -0 -0 -1 -0xbb2b -0x626ac1a9 -256 -256 -1 -0 -0 -4 -0xc90e -0x3d1eef66 -256 -256 -0 -0 -0 -3 -0xdac9 -0x2d910cd0 -256 -256 -1 -0 -0 -2 -0xd7f3 -0x3325428a -256 -256 -1 -0 -0 -4 -0xbe26 -0xafdc2b5c -256 -256 -0 -0 -0 -1 -0x31c9 -0x64cd8f5d -256 -256 -1 -0 -0 -1 -0x2008 -0xc6cb21fd -256 -256 -0 -0 -0 -4 -0x7e11 -0xc745770c -256 -256 -1 -0 -0 -4 -0xc69e -0x8e2aecaf -256 -256 -1 -0 -0 -3 -0xecf4 -0x949d0f31 -256 -256 -1 -0 -0 -4 -0x7b1f -0xccb0d4c8 -256 -256 -1 -0 -0 -2 -0x93c2 -0xb1218014 -256 -256 -1 -0 -0 -2 -0x235 -0x364b7fd6 -256 -256 -1 -0 -0 -1 -0x59ec -0x2d88910f -256 -256 -0 -0 -0 -1 -0xa64 -0xc25ddf06 -256 -256 -0 -0 -0 -4 -0x36d3 -0xe5c3c6bf -256 -256 -1 -0 -0 -3 -0xd343 -0x3b1e60c5 -256 -256 -0 -0 -0 -1 -0xff4f -0x3f838355 -256 -256 -0 -0 -0 -2 -0x956b -0x66f48755 -256 -256 -0 -0 -0 -1 -0x1100 -0x9748146 -256 -256 -0 -0 -0 -2 -0x8082 -0xdf7bf712 -256 -256 -1 -0 -0 -1 -0x9885 -0x8c42c3b3 -256 -256 -1 -0 -0 -2 -0x7ac9 -0x834f55db -256 -256 -0 -0 -0 -2 -0x6458 -0x1ab2dd18 -256 -256 -0 -0 -0 -1 -0x12ca -0x57a6441f -256 -256 -1 -0 -0 -4 -0x827e -0x97bb9662 -256 -256 -1 -0 -0 -3 -0x9fe6 -0x86978244 -256 -256 -1 -0 -0 -2 -0xfbc6 -0xe5dceff9 -256 -256 -0 -0 -0 -1 -0xf4ce -0x58da7407 -256 -256 -1 -0 -0 -2 -0xd1f7 -0xa21f6bc8 -256 -256 -0 -0 -0 -3 -0x5cc8 -0xd27247dc -256 -256 -1 -0 -0 -2 -0x8e56 -0x850f7ea8 -256 -256 -0 -0 -0 -4 -0x90d1 -0x45adcffa -256 -256 -1 -0 -0 -4 -0x31ea -0xd81a39b9 -256 -256 -0 -0 -0 -3 -0x7575 -0xd0b27cd6 -256 -256 -1 -0 -0 -3 -0xa264 -0x4bd743f0 -256 -256 -0 -0 -0 -4 -0x4c24 -0x157293cd -256 -256 -1 -0 -0 -2 -0x2374 -0x450f9914 -256 -256 -0 -0 -0 -3 -0xf510 -0x731f9cbf -256 -256 -0 -0 -0 -3 -0xe303 -0xd2985e88 -256 -256 -1 -0 -0 -4 -0xc92b -0xa47dcbbd -256 -256 -1 -0 -0 -2 -0x2ac1 -0x13738457 -256 -256 -0 -0 -0 -4 -0xc8cf -0x10f7b6ae -256 -256 -0 -0 -0 -3 -0xbd72 -0xe7be7fde -256 -256 -0 -0 -0 -2 -0x287b -0xc226be0b -256 -256 -0 -0 -0 -3 -0x3bd4 -0x7357fe67 -256 -256 -1 -0 -0 -2 -0xa36d -0x3e090be0 -256 -256 -0 -0 -0 -2 -0x26a8 -0x56a80caa -256 -256 -1 -0 -0 -2 -0x5a20 -0xac613d7f -256 -256 -1 -0 -0 -2 -0x8aa4 -0x54f888a5 -256 -256 -0 -0 -0 -2 -0x461c -0x52178382 -256 -256 -1 -0 -0 -2 -0x22b6 -0x9b178c30 -256 -256 -0 -0 -0 -4 -0xfd4c -0xc7080f1e -256 -256 -0 -0 -0 -4 -0x6e1b -0x29ad3c85 -256 -256 -0 -0 -0 -2 -0x68f4 -0x17ef7107 -256 -256 -1 -0 -0 -2 -0x21bc -0x4f88369c -256 -256 -1 -0 -0 -2 -0x3c24 -0xdd088ed6 -256 -256 -0 -0 -0 -1 -0x23ea -0x43923172 -256 -256 -1 -0 -0 -1 -0xab66 -0x6f7fa59f -256 -256 -0 -0 -0 -4 -0x79bd -0x98529f97 -256 -256 -1 -0 -0 -4 -0x61d6 -0x38830de0 -256 -256 -1 -0 -0 -3 -0xa5dd -0x697c1c4e -256 -256 -0 -0 -0 -2 -0x5391 -0x8ce95743 -256 -256 -0 -0 -0 -4 -0x2bcd -0x3c368f24 -256 -256 -0 -0 -0 -4 -0x9fc8 -0x808f7542 -256 -256 -1 -0 -0 -2 -0x57a5 -0x6a53f622 -256 -256 -0 -0 -0 -1 -0x412 -0x7fd231ab -256 -256 -1 -0 -0 -2 -0x6336 -0x47822f96 -256 -256 -0 -0 -0 -1 -0x56c1 -0x850fddb -256 -256 -0 -0 -0 -3 -0xd7ee -0x281bc511 -256 -256 -1 -0 -0 -3 -0xb6c7 -0x50731635 -256 -256 -0 -0 -0 -4 -0xc2d4 -0xdf303120 -256 -256 -0 -0 -0 -4 -0xd3b9 -0x1df2f5b -256 -256 -0 -0 -0 -3 -0x7e07 -0xde89a58 -256 -256 -1 -0 -0 -4 -0x3d1d -0x4d8576dd -256 -256 -1 -0 -0 -3 -0xabe9 -0x44c8c61f -256 -256 -0 -0 -0 -1 -0xbf34 -0x9abfe147 -256 -256 -0 -0 -0 -4 -0x168e -0x4f935b81 -256 -256 -1 -0 -0 -4 -0xc56e -0xb7d527fc -256 -256 -0 -0 -0 -4 -0x1eeb -0x4ddbd6be -256 -256 -0 -0 -0 -3 -0x6234 -0x4bf68f88 -256 -256 -1 -0 -0 -1 -0xaefd -0x8162738d -256 -256 -1 -0 -0 -2 -0xc8ae -0x3395ac90 -256 -256 -1 -0 -0 -2 -0xbedc -0x1666d7d0 -256 -256 -1 -0 -0 -1 -0x65c8 -0xf16dc4aa -256 -256 -0 -0 -0 -3 -0x143b -0x3338c0dd -256 -256 -0 -0 -0 -2 -0x71f3 -0x4c3e5ab9 -256 -256 -1 -0 -0 -2 -0x25b9 -0xffad7fa2 -256 -256 -0 -0 -0 -2 -0xf900 -0x3931c802 -256 -256 -0 -0 -0 -1 -0x459a -0x9267f2fa -256 -256 -0 -0 -0 -1 -0x4d77 -0x8c9c2a7c -256 -256 -0 -0 -0 -4 -0xd865 -0x88e907e8 -256 -256 -1 -0 -0 -4 -0x5bc2 -0xf6c5a92c -256 -256 -1 -0 -0 -2 -0x956b -0x49806129 -256 -256 -1 -0 -0 -1 -0x922c -0xbaff3ed4 -256 -256 -1 -0 -0 -1 -0x337d -0xf0d03034 -256 -256 -0 -0 -0 -3 -0xaa1b -0x8505f3c3 -256 -256 -0 -0 -0 -2 -0xd6c3 -0x9bbf99be -256 -256 -1 -0 -0 -4 -0x7a27 -0x8bf3061e -256 -256 -1 -0 -0 -4 -0x1da8 -0xcf51916f -256 -256 -0 -0 -0 -4 -0x6dd0 -0xa56343f6 -256 -256 -0 -0 -0 -1 -0x4039 -0x1bc4e14c -256 -256 -1 -0 -0 -2 -0xa9cd -0xc1f30b17 -256 -256 -0 -0 -0 -2 -0x2ca2 -0x3845bfe4 -256 -256 -1 -0 -0 -1 -0x20d -0x31c49e58 -256 -256 -0 -0 -0 -1 -0xf30b -0x264e7bf4 -256 -256 -1 -0 -0 -1 -0x2980 -0xf89bba9b -256 -256 -1 -0 -0 -3 -0x7580 -0xa0e22edb -256 -256 -1 -0 -0 -2 -0xbc8a -0xb1692f25 -256 -256 -1 -0 -0 -2 -0xf5db -0x6286bf8d -256 -256 -0 -0 -0 -3 -0xdaca -0xf433c833 -256 -256 -1 -0 -0 -1 -0xc593 -0x682aa93a -256 -256 -1 -0 -0 -3 -0x4337 -0x272ba1d1 -256 -256 -1 -0 -0 -1 -0x3c3b -0xbd2243e7 -256 -256 -1 -0 -0 -1 -0x735d -0x9117f3fe -256 -256 -1 -0 -0 -2 -0xdeeb -0xde1410d0 -256 -256 -1 -0 -0 -4 -0x231 -0xcb1a8eb7 -256 -256 -1 -0 -0 -3 -0xccf2 -0xf75ecba0 -256 -256 -1 -0 -0 -3 -0x662c -0x154950b5 -256 -256 -1 -0 -0 -1 -0xfca2 -0xa538eb5c -256 -256 -1 -0 -0 -3 -0x4a4b -0x52542831 -256 -256 -1 -0 -0 -2 -0xdd79 -0x35242a0 -256 -256 -1 -0 -0 -2 -0x31f5 -0x6f2b7e82 -256 -256 -1 -0 -0 -4 -0xe461 -0x4d7f1fa -256 -256 -1 -0 -0 -2 -0x7e7 -0xa4b759e -256 -256 -0 -0 -0 -4 -0x55be -0x2420b625 -256 -256 -1 -0 -0 -3 -0x9536 -0xa0b54d7e -256 -256 -0 -0 -0 -2 -0x8992 -0x5acfd571 -256 -256 -1 -0 -0 -3 -0x4e7f -0x5f7851b6 -256 -256 -0 -0 -0 -3 -0xe02f -0x50b7642b -256 -256 -0 -0 -0 -3 -0xfc9d -0xc14bfdbd -256 -256 -0 -0 -0 -4 -0x135a -0x1a636228 -256 -256 -1 -0 -0 -4 -0x534f -0x3756f9e3 -256 -256 -0 -0 -0 -3 -0xe4 -0xc9eff136 -256 -256 -1 -0 -0 -1 -0x6625 -0x3e5ad7fe -256 -256 -1 -0 -0 -3 -0xf83c -0x6ffab53e -256 -256 -0 -0 -0 -1 -0xcf8f -0x5512f9f9 -256 -256 -1 -0 -0 -2 -0xafef -0x4f50c79e -256 -256 -0 -0 -0 -1 -0xe90c -0xd00f8c5d -256 -256 -0 -0 -0 -3 -0x5bbe -0xcc5e4a8 -256 -256 -1 -0 -0 -3 -0xc62 -0x3abc05c5 -256 -256 -0 -0 -0 -1 -0xda49 -0x76e5165d -256 -256 -1 -0 -0 -1 -0xa9fc -0x9897649b -256 -256 -0 -0 -0 -1 -0x43b6 -0xffdfa3c2 -256 -256 -0 -0 -0 -2 -0x199 -0xfc68ee9a -256 -256 -0 -0 -0 -3 -0xed0e -0x537533bd -256 -256 -1 -0 -0 -3 -0x2aa4 -0x5ff8b85c -256 -256 -0 -0 -0 -4 -0xf9a9 -0x89348667 -256 -256 -0 -0 -0 -4 -0x2289 -0x61bdfd2a -256 -256 -0 -0 -0 -2 -0x98cf -0xea836310 -256 -256 -0 -0 -0 -2 -0x786f -0x8bc0bc6a -256 -256 -1 -0 -0 -1 -0x938c -0x809fc24a -256 -256 -1 -0 -0 -1 -0x125e -0x280f65b -256 -256 -1 -0 -0 -2 -0x6619 -0xa4eb0dec -256 -256 -1 -0 -0 -1 -0xde00 -0x878bd288 -256 -256 -1 -0 -0 -1 -0xf8d1 -0xe735fddb -256 -256 -1 -0 -0 -3 -0xff59 -0x9910d752 -256 -256 -0 -0 -0 -3 -0x5489 -0x45ee7a5e -256 -256 -1 -0 -0 -1 -0x7a22 -0x32d317cd -256 -256 -0 -0 -0 -2 -0x5fb8 -0x32bbd71b -256 -256 -1 -0 -0 -1 -0x9e8e -0xc5d8e6db -256 -256 -1 -0 -0 -1 -0x2558 -0x27938e36 -256 -256 -1 -0 -0 -1 -0x2d9c -0x5c1914bc -256 -256 -1 -0 -0 -4 -0xac49 -0xdf20a3da -256 -256 -1 -0 -0 -2 -0x188a -0x971d31f4 -256 -256 -0 -0 -0 -3 -0x13b -0x3eb34f2e -256 -256 -1 -0 -0 -2 -0x35d9 -0xb82e9c26 -256 -256 -0 -0 -0 -3 -0xa517 -0xd911b12b -256 -256 -1 -0 -0 -2 -0x7ea3 -0xf168ea8c -256 -256 -1 -0 -0 -1 -0x5fb8 -0x98d7ee65 -256 -256 -0 -0 -0 -1 -0xf9da -0xb63d607c -256 -256 -0 -0 -0 -4 -0xdc5f -0x2f44f0ec -256 -256 -0 -0 -0 -3 -0xa31 -0x7ee4d35b -256 -256 -0 -0 -0 -1 -0x9f55 -0xa23fde8f -256 -256 -0 -0 -0 -1 -0xd070 -0xacbe9a97 -256 -256 -0 -0 -0 -2 -0x98a4 -0x60beb75 -256 -256 -1 -0 -0 -2 -0xa1e1 -0xbfe4cbe1 -256 -256 -0 -0 -0 -2 -0x5db1 -0x3aaef875 -256 -256 -1 -0 -0 -2 -0x658a -0x679d2be0 -256 -256 -1 -0 -0 -4 -0x631d -0x7bc6c970 -256 -256 -0 -0 -0 -1 -0x5594 -0xfbaa5e13 -256 -256 -1 -0 -0 -3 -0x1b82 -0x96eaa3e6 -256 -256 -1 -0 -0 -3 -0x4574 -0x3080b617 -256 -256 -0 -0 -0 -4 -0xbe74 -0x2bd0424 -256 -256 -1 -0 -0 -2 -0xa6e3 -0xf266c4e7 -256 -256 -1 -0 -0 -2 -0xd66d -0xaf17b8b6 -256 -256 -0 -0 -0 -3 -0x6ffe -0xe6afa86d -256 -256 -1 -0 -0 -4 -0x8cf8 -0x4483bdaf -256 -256 -1 -0 -0 -2 -0xd04e -0x5183b86f -256 -256 -1 -0 -0 -4 -0xb39a -0x12cb33a1 -256 -256 -1 -0 -0 -1 -0x5eaf -0xf05916c0 -256 -256 -1 -0 -0 -3 -0xe19c -0xc9f19149 -256 -256 -1 -0 -0 -1 -0x7d2d -0x4df9fa2d -256 -256 -0 -0 -0 -4 -0xca00 -0x371e0043 -256 -256 -0 -0 -0 -1 -0x6fce -0xa5bad2dc -256 -256 -0 -0 -0 -4 -0x1478 -0x255b4dd1 -256 -256 -0 -0 -0 -4 -0x15d5 -0xffdf275b -256 -256 -0 -0 -0 -3 -0x4aff -0x91afcdab -256 -256 -0 -0 -0 -3 -0x2b7e -0xcfc4fe88 -256 -256 -1 -0 -0 -3 -0x15c0 -0xc80e8aa3 -256 -256 -1 -0 -0 -1 -0x54db -0x12c182cb -256 -256 -1 -0 -0 -2 -0x7e66 -0x472c40eb -256 -256 -1 -0 -0 -1 -0x88ea -0x4019f462 -256 -256 -0 -0 -0 -1 -0x3a0f -0x1e52eeaf -256 -256 -0 -0 -0 -3 -0xd5fd -0x22a8b781 -256 -256 -1 -0 -0 -2 -0xd910 -0x8f6ae56 -256 -256 -0 -0 -0 -3 -0x65a8 -0x67034b16 -256 -256 -0 -0 -0 -2 -0x1247 -0x3767e019 -256 -256 -0 -0 -0 -4 -0x3c22 -0xdbe76327 -256 -256 -0 -0 -0 -2 -0xce49 -0x8cd2d9fd -256 -256 -1 -0 -0 -4 -0x85fb -0xc0ef31ba -256 -256 -1 -0 -0 -3 -0x1310 -0x678ed1 -256 -256 -1 -0 -0 -3 -0x2457 -0xdebf0d4c -256 -256 -0 -0 -0 -4 -0xbc4e -0x77a0cd05 -256 -256 -0 -0 -0 -4 -0x77d2 -0x32aa7f36 -256 -256 -0 -0 -0 -2 -0x56de -0xc348ffbe -256 -256 -1 -0 -0 -1 -0xacab -0x66a212b0 -256 -256 -1 -0 -0 -1 -0x5748 -0xcf21a85a -256 -256 -0 -0 -0 -2 -0x15ed -0x10144a2d -256 -256 -1 -0 -0 -3 -0x217b -0xb1e9585a -256 -256 -0 -0 -0 -1 -0xf76c -0xfedb8fb6 -256 -256 -0 -0 -0 -4 -0x1142 -0xe7cc6a61 -256 -256 -1 -0 -0 -2 -0xa50c -0xcce344fb -256 -256 -1 -0 -0 -3 -0x7b0 -0x7f405a06 -256 -256 -0 -0 -0 -4 -0x98d6 -0x2593c49e -256 -256 -0 -0 -0 -4 -0x58fe -0x33c184f9 -256 -256 -1 -0 -0 -2 -0xcfd3 -0x84bd3bd0 -256 -256 -1 -0 -0 -4 -0xaf36 -0x468b5612 -256 -256 -0 -0 -0 -2 -0x72f0 -0xc97720b8 -256 -256 -1 -0 -0 -3 -0xc16f -0xeea3e59b -256 -256 -0 -0 -0 -4 -0x4d5b -0xf287c4ba -256 -256 -1 -0 -0 -4 -0xfaac -0xa9f1e99b -256 -256 -1 -0 -0 -1 -0x78d8 -0x8f12fe37 -256 -256 -0 -0 -0 -1 -0x10c7 -0x830c6c90 -256 -256 -1 -0 -0 -3 -0x7ea1 -0x7b269422 -256 -256 -1 -0 -0 -2 -0x4862 -0x7b3af3b7 -256 -256 -0 -0 -0 -3 -0x40e5 -0x6bd6f7bd -256 -256 -1 -0 -0 -2 -0x30df -0xf5869634 -256 -256 -1 -0 -0 -2 -0xd04a -0xc8adf0e -256 -256 -1 -0 -0 -3 -0x9b03 -0x2dcefa62 -256 -256 -0 -0 -0 -2 -0x9ad3 -0x13d3881e -256 -256 -1 -0 -0 -4 -0xc4ee -0x39c1f83b -256 -256 -1 -0 -0 -1 -0xd083 -0x1b4aa7b0 -256 -256 -1 -0 -0 -1 -0x4c17 -0x9f1cdd9a -256 -256 -1 -0 -0 -2 -0xb8cd -0x35bc53dc -256 -256 -0 -0 -0 -3 -0x4812 -0x26006f11 -256 -256 -1 -0 -0 -4 -0xf51e -0x4e6e5b61 -256 -256 -1 -0 -0 -1 -0x4436 -0x11e6221e -256 -256 -0 -0 -0 -2 -0xfe4a -0x5fc158d3 -256 -256 -1 -0 -0 -3 -0xc5c8 -0xd5fe7545 -256 -256 -0 -0 -0 -2 -0x3f36 -0x44c4e018 -256 -256 -0 -0 -0 -2 -0x3a71 -0x724816ba -256 -256 -1 -0 -0 -3 -0xdc7f -0x9d31d01f -256 -256 -0 -0 -0 -4 -0x5f44 -0x1294cb67 -256 -256 -0 -0 -0 -3 -0xa037 -0x37fc2a3c -256 -256 -0 -0 -0 -4 -0x7586 -0x8b8f2c2e -256 -256 -1 -0 -0 -2 -0x33fc -0x52610a59 -256 -256 -1 -0 -0 -2 -0xe9ff -0xb892dda9 -256 -256 -1 -0 -0 -1 -0x36cc -0x6fdc281c -256 -256 -1 -0 -0 -2 -0x532b -0xd217733 -256 -256 -1 -0 -0 -1 -0xa221 -0x2a79ab93 -256 -256 -0 -0 -0 -1 -0xef90 -0xca947a5f -256 -256 -1 -0 -0 -4 -0x4c18 -0xf4b3d3e4 -256 -256 -1 -0 -0 -4 -0x8983 -0x81d00026 -256 -256 -1 -0 -0 -1 -0xa11e -0xf46bbc9d -256 -256 -0 -0 -0 -2 -0xf071 -0xe83be6b8 -256 -256 -1 -0 -0 -4 -0x56cd -0xd0f5c6f8 -256 -256 -1 -0 -0 -4 -0xbe29 -0xfe23a68c -256 -256 -0 -0 -0 -1 -0xe5f3 -0xdee61e5d -256 -256 -1 -0 -0 -1 -0x933b -0x1d7f7f -256 -256 -0 -0 -0 -4 -0xd948 -0xcc654d0f -256 -256 -1 -0 -0 -2 -0xa11f -0xf4edaa9e -256 -256 -1 -0 -0 -3 -0x11f1 -0xa433e2ed -256 -256 -1 -0 -0 -1 -0x3e3d -0xb2b7b85f -256 -256 -1 -0 -0 -1 -0x7764 -0x591bf75f -256 -256 -1 -0 -0 -2 -0x7e39 -0x89cd39a7 -256 -256 -0 -0 -0 -3 -0x4437 -0x22e23110 -256 -256 -1 -0 -0 -4 -0xfbe5 -0x8f47b824 -256 -256 -1 -0 -0 -3 -0x622c -0xd5abba0 -256 -256 -1 -0 -0 -1 -0x74e0 -0x36165e35 -256 -256 -0 -0 -0 -4 -0xa70d -0xdac71858 -256 -256 -0 -0 -0 -2 -0xbfc3 -0xbbe465e5 -256 -256 -0 -0 -0 -2 -0xd17d -0x72436b28 -256 -256 -0 -0 -0 -2 -0x7a9e -0xb8d78b94 -256 -256 -1 -0 -0 -1 -0x310c -0x42828a58 -256 -256 -1 -0 -0 -4 -0x3199 -0x982cd3cb -256 -256 -0 -0 -0 -3 -0xb158 -0x528ef5d4 -256 -256 -1 -0 -0 -2 -0x6db7 -0xdcbcab2f -256 -256 -1 -0 -0 -4 -0xf059 -0xd822949a -256 -256 -1 -0 -0 -4 -0x8c0 -0x3b907f97 -256 -256 -0 -0 -0 -1 -0xcbda -0xa67950fd -256 -256 -0 -0 -0 -3 -0x3f27 -0x7356b556 -256 -256 -1 -0 -0 -2 -0xb1e1 -0x5e56054b -256 -256 -1 -0 -0 -2 -0xcdf -0x41f3cfa3 -256 -256 -1 -0 -0 -4 -0xa79e -0x748644fa -256 -256 -0 -0 -0 -4 -0x3cc8 -0x2512c854 -256 -256 -0 -0 -0 -1 -0x5813 -0xd339b576 -256 -256 -0 -0 -0 -2 -0xad67 -0x30c229d3 -256 -256 -0 -0 -0 -3 -0xf66e -0x6de3f3d8 -256 -256 -1 -0 -0 -3 -0xced5 -0xf0509c58 -256 -256 -0 -0 -0 -1 -0xaa10 -0x27079b04 -256 -256 -1 -0 -0 -4 -0x1ca8 -0x562d2add -256 -256 -1 -0 -0 -4 -0x413c -0x2ee80252 -256 -256 -0 -0 -0 -1 -0xdafa -0xb32d9b11 -256 -256 -1 -0 -0 -1 -0x8b16 -0x43f64aeb -256 -256 -0 -0 -0 -4 -0x1f1b -0x73d862e4 -256 -256 -1 -0 -0 -3 -0xc22d -0x4319c11 -256 -256 -0 -0 -0 -4 -0x2f9f -0x2bbed09a -256 -256 -0 -0 -0 -4 -0x15a6 -0x39841be6 -256 -256 -1 -0 -0 -4 -0x78c7 -0xf3070c93 -256 -256 -1 -0 -0 -3 -0x7855 -0x3dbf0b05 -256 -256 -0 -0 -0 -4 -0xd38e -0xdbf9ff54 -256 -256 -1 -0 -0 -2 -0x5b6f -0x4e5392e8 -256 -256 -0 -0 -0 -4 -0x35a5 -0xcf742bb -256 -256 -1 -0 -0 -4 -0xfe37 -0xc118c894 -256 -256 -1 -0 -0 -2 -0x658a -0x472ec5c1 -256 -256 -1 -0 -0 -3 -0x16a6 -0x7171c15c -256 -256 -0 -0 -0 -4 -0x278f -0xa6237965 -256 -256 -0 -0 -0 -2 -0x7953 -0xaae19eb7 -256 -256 -0 -0 -0 -1 -0x5c78 -0x63e11acf -256 -256 -1 -0 -0 -4 -0xd877 -0x4ab02949 -256 -256 -1 -0 -0 -1 -0x288 -0x1bc46a8 -256 -256 -1 -0 -0 -1 -0x1f62 -0x83d68320 -256 -256 -1 -0 -0 -2 -0x12b9 -0xc6fe831a -256 -256 -1 -0 -0 -4 -0x4a73 -0x218bdc0a -256 -256 -1 -0 -0 -2 -0xe121 -0x8f331928 -256 -256 -1 -0 -0 -2 -0x1e00 -0xbac00381 -256 -256 -1 -0 -0 -1 -0x1863 -0x7b694f0c -256 -256 -1 -0 -0 -3 -0xa286 -0xa6ecb58c -256 -256 -0 -0 -0 -3 -0xd8c9 -0x5b4db58d -256 -256 -0 -0 -0 -3 -0x2e64 -0xbeb41e16 -256 -256 -1 -0 -0 -3 -0x9961 -0x8224b67f -256 -256 -0 -0 -0 -1 -0x244b -0x15bd763f -256 -256 -1 -0 -0 -4 -0x2733 -0xe7570354 -256 -256 -1 -0 -0 -1 -0x9344 -0x19be335e -256 -256 -1 -0 -0 -1 -0x917d -0x43728dc8 -256 -256 -1 -0 -0 -3 -0x338f -0x53c0d919 -256 -256 -0 -0 -0 -2 -0xd6b8 -0x55d97605 -256 -256 -1 -0 -0 -4 -0xf24a -0xdbd80c36 -256 -256 -1 -0 -0 -4 -0x6080 -0x83e090b2 -256 -256 -0 -0 -0 -3 -0xb14f -0xcf47c581 -256 -256 -1 -0 -0 -4 -0x94f0 -0x90f543d6 -256 -256 -0 -0 -0 -3 -0xbc00 -0x8f837e1d -256 -256 -1 -0 -0 -4 -0x675c -0x750deb3c -256 -256 -0 -0 -0 -4 -0xef9b -0x7e869a00 -256 -256 -0 -0 -0 -2 -0x8bbc -0xa04a120c -256 -256 -1 -0 -0 -4 -0x490e -0x15054524 -256 -256 -1 -0 -0 -4 -0x58a9 -0xfed24350 -256 -256 -1 -0 -0 -3 -0x70cc -0xcef2a5a7 -256 -256 -1 -0 -0 -4 -0x9f43 -0x44924fdd -256 -256 -1 -0 -0 -2 -0x9d96 -0x90e7558a -256 -256 -0 -0 -0 -2 -0x2244 -0xe24c365d -256 -256 -0 -0 -0 -4 -0x530f -0xbc6d5e3a -256 -256 -0 -0 -0 -4 -0xbb85 -0x98acb83c -256 -256 -1 -0 -0 -1 -0x8a95 -0x9991ad09 -256 -256 -1 -0 -0 -1 -0xe518 -0xd6bfad85 -256 -256 -0 -0 -0 -4 -0xb7a -0x8577866e -256 -256 -1 -0 -0 -1 -0x6a35 -0xc644f03f -256 -256 -0 -0 -0 -1 -0x7196 -0xdd450fd6 -256 -256 -0 -0 -0 -3 -0xe91d -0x2dbd4417 -256 -256 -0 -0 -0 -2 -0xd3f4 -0x55097319 -256 -256 -1 -0 -0 -2 -0x497e -0xbd9ec767 -256 -256 -0 -0 -0 -1 -0xa00f -0xa3fa0cb5 -256 -256 -1 -0 -0 -4 -0xe05b -0xef24510a -256 -256 -0 -0 -0 -1 -0x8321 -0xaabfbc14 -256 -256 -0 -0 -0 -1 -0x5293 -0x5d546c7 -256 -256 -0 -0 -0 -4 -0x5575 -0xf6af45dd -256 -256 -0 -0 -0 -4 -0xc2c2 -0x42bd716 -256 -256 -0 -0 -0 -3 -0xa0fb -0xda8d435c -256 -256 -1 -0 -0 -4 -0xecc9 -0xad67afc7 -256 -256 -0 -0 -0 -4 -0x133a -0xdb5d4eb0 -256 -256 -0 -0 -0 -2 -0x9ef0 -0xd5c65a6b -256 -256 -0 -0 -0 -1 -0x800b -0xf18db8bd -256 -256 -1 -0 -0 -2 -0x1463 -0x3301e0e0 -256 -256 -1 -0 -0 -1 -0x37ba -0x6a08fe1d -256 -256 -0 -0 -0 -3 -0x1a16 -0x84672663 -256 -256 -0 -0 -0 -3 -0x37e7 -0x3c241633 -256 -256 -1 -0 -0 -1 -0x1c3a -0x9542e21b -256 -256 -1 -0 -0 -2 -0xfe56 -0x33e6b5dd -256 -256 -0 -0 -0 -3 -0x5d49 -0x1fb97f5d -256 -256 -1 -0 -0 -2 -0x2e5f -0xf76d0546 -256 -256 -1 -0 -0 -2 -0x9d06 -0x1b034245 -256 -256 -1 -0 -0 -4 -0xe8f1 -0x4d59eaf7 -256 -256 -0 -0 -0 -1 -0x5f16 -0x7afd6f64 -256 -256 -0 -0 -0 -1 -0xafe6 -0x3d96dc60 -256 -256 -1 -0 -0 -1 -0x45bd -0xe060e17b -256 -256 -1 -0 -0 -4 -0xba95 -0xf8b3dac8 -256 -256 -0 -0 -0 -3 -0xcd60 -0x2d01c0ee -256 -256 -1 -0 -0 -4 -0xadab -0xe23e994b -256 -256 -1 -0 -0 -3 -0xc558 -0x45a5bb6c -256 -256 -0 -0 -0 -4 -0x720d -0x4af67ab6 -256 -256 -0 -0 -0 -1 -0x14e6 -0x714b0e27 -256 -256 -0 -0 -0 -1 -0xa298 -0x80412236 -256 -256 -0 -0 -0 -1 -0xe63f -0xe5916345 -256 -256 -1 -0 -0 -3 -0xb0fd -0x17f59c29 -256 -256 -0 -0 -0 -4 -0x2256 -0x2bdaa86e -256 -256 -1 -0 -0 -1 -0x873e -0xe919b58 -256 -256 -0 -0 -0 -1 -0xef71 -0x6e235d6b -256 -256 -1 -0 -0 -3 -0xe3a0 -0xc969acf -256 -256 -1 -0 -0 -1 -0x278e -0x85b38009 -256 -256 -0 -0 -0 -1 -0xee6b -0x5ffc9b00 -256 -256 -1 -0 -0 -3 -0xd35f -0xe511e9c3 -256 -256 -0 -0 -0 -2 -0x8b35 -0xa978248a -256 -256 -0 -0 -0 -2 -0xf866 -0x4c91a733 -256 -256 -0 -0 -0 -4 -0x40e3 -0x6ac6bf9a -256 -256 -1 -0 -0 -3 -0x202c -0xa95c614c -256 -256 -0 -0 -0 -3 -0xc9b6 -0x3e930ac8 -256 -256 -0 -0 -0 -4 -0xa342 -0xa0f13758 -256 -256 -1 -0 -0 -1 -0xd873 -0xa13d7c8a -256 -256 -1 -0 -0 -2 -0x72c6 -0xa45672cb -256 -256 -1 -0 -0 -2 -0x8902 -0x95487122 -256 -256 -0 -0 -0 -3 -0x8f45 -0xac68c7c7 -256 -256 -1 -0 -0 -2 -0xb66f -0xd0bd5300 -256 -256 -1 -0 -0 -2 -0xf595 -0xf502db2a -256 -256 -0 -0 -0 -2 -0x9d0b -0x46d99927 -256 -256 -1 -0 -0 -4 -0xed00 -0x7901daae -256 -256 -1 -0 -0 -4 -0x970c -0xfdb4eb8 -256 -256 -1 -0 -0 -3 -0x88c2 -0x2246d879 -256 -256 -0 -0 -0 -3 -0x258b -0xbfdf5bed -256 -256 -1 -0 -0 -2 -0xec0b -0x63ff5117 -256 -256 -0 -0 -0 -1 -0x99b9 -0x16123415 -256 -256 -1 -0 -0 -1 -0xdbb9 -0x51ffc4ca -256 -256 -0 -0 -0 -2 -0xe8be -0x9c795e6 -256 -256 -0 -0 -0 -3 -0xeda3 -0x18cfc281 -256 -256 -0 -0 -0 -2 -0x56ed -0x3cee09bd -256 -256 -1 -0 -0 -1 -0x8158 -0xa4a48f62 -256 -256 -1 -0 -0 -4 -0x7348 -0x1d523cfc -256 -256 -1 -0 -0 -2 -0x7c8b -0x155b224 -256 -256 -1 -0 -0 -4 -0xb076 -0xbcba12d7 -256 -256 -0 -0 -0 -3 -0x28c2 -0xe1a64827 -256 -256 -1 -0 -0 -2 -0xecba -0xee284e81 -256 -256 -1 -0 -0 -2 -0x852f -0x7fd877b4 -256 -256 -1 -0 -0 -2 -0x91cd -0xfaf3c160 -256 -256 -1 -0 -0 -3 -0x81ee -0x371e3a20 -256 -256 -1 -0 -0 -1 -0x51fb -0x86ef0ac0 -256 -256 -1 -0 -0 -4 -0x811e -0xa1974c76 -256 -256 -1 -0 -0 -1 -0xb784 -0x4e7d0bfa -256 -256 -0 -0 -0 -1 -0x4411 -0xa2c386aa -256 -256 -0 -0 -0 -1 -0xd11 -0x9e20bcf7 -256 -256 -0 -0 -0 -1 -0x8c75 -0x669799b8 -256 -256 -0 -0 -0 -2 -0x94f6 -0x70286b4f -256 -256 -1 -0 -0 -1 -0x4be4 -0x61b5fb22 -256 -256 -0 -0 -0 -3 -0x742c -0x815c906a -256 -256 -0 -0 -0 -2 -0x6eae -0xfa389fee -256 -256 -1 -0 -0 -2 -0x6e75 -0x580458dc -256 -256 -1 -0 -0 -1 -0x2ffb -0x7198b10e -256 -256 -1 -0 -0 -3 -0xa572 -0x67cb2dac -256 -256 -0 -0 -0 -3 -0xb0a1 -0x1fff98 -256 -256 -0 -0 -0 -4 -0x7dd3 -0xe138927b -256 -256 -0 -0 -0 -3 -0xcace -0x44fa5fa3 -256 -256 -0 -0 -0 -3 -0xce87 -0x340b9783 -256 -256 -0 -0 -0 -3 -0xcf2e -0xe5a26551 -256 -256 -1 -0 -0 -4 -0xd12d -0x95e34bd4 -256 -256 -0 -0 -0 -4 -0xcb27 -0x22c8ee44 -256 -256 -0 -0 -0 -1 -0x68ca -0x8ae23188 -256 -256 -1 -0 -0 -2 -0x11d8 -0x46266d47 -256 -256 -0 -0 -0 -3 -0xbad5 -0x55bf327e -256 -256 -0 -0 -0 -1 -0x1aa3 -0xcbaedfe6 -256 -256 -0 -0 -0 -1 -0x6759 -0xc89d6903 -256 -256 -0 -0 -0 -4 -0xed6 -0xdf3bf7f7 -256 -256 -1 -0 -0 -4 -0xc269 -0x3060b2b -256 -256 -1 -0 -0 -4 -0xc5eb -0xef05d13d -256 -256 -0 -0 -0 -4 -0xd484 -0xcd210b30 -256 -256 -0 -0 -0 -4 -0x6c82 -0x2e3812a7 -256 -256 -0 -0 -0 -2 -0x174b -0x6e32572 -256 -256 -1 -0 -0 -2 -0x5a2c -0x533ca45a -256 -256 -0 -0 -0 -2 -0xd968 -0x9479d451 -256 -256 -0 -0 -0 -3 -0x2b37 -0x24f8bed8 -256 -256 -0 -0 -0 -4 -0xf4ac -0x399a2321 -256 -256 -0 -0 -0 -4 -0xa4ae -0xde40a57b -256 -256 -0 -0 -0 -2 -0xd396 -0x194e16ed -256 -256 -0 -0 -0 -4 -0x823b -0xf05bac45 -256 -256 -0 -0 -0 -2 -0x621f -0xcbb931f6 -256 -256 -1 -0 -0 -2 -0xe668 -0x90082672 -256 -256 -0 -0 -0 -2 -0xf786 -0x61feda95 -256 -256 -1 -0 -0 -1 -0x5df8 -0x9db25830 -256 -256 -0 -0 -0 -3 -0xe7d2 -0xee043ca5 -256 -256 -0 -0 -0 -1 -0x1642 -0x667e5d75 -256 -256 -0 -0 -0 -3 -0x34a7 -0xe340dbef -256 -256 -0 -0 -0 -4 -0x8c7 -0xabab95b -256 -256 -0 -0 -0 -3 -0x873c -0x131bf266 -256 -256 -0 -0 -0 -1 -0x4a89 -0x898201bd -256 -256 -1 -0 -0 -3 -0x3b42 -0x8f686877 -256 -256 -0 -0 -0 -1 -0xcd94 -0xbc350199 -256 -256 -0 -0 -0 -4 -0x287b -0xaf32049f -256 -256 -1 -0 -0 -3 -0x4f74 -0x6b0fdd70 -256 -256 -0 -0 -0 -3 -0xb314 -0xf1a99ada -256 -256 -0 -0 -0 -4 -0xe30f -0xc30894f5 -256 -256 -0 -0 -0 -1 -0x5388 -0x1e6e8068 -256 -256 -0 -0 -0 -3 -0xfd61 -0x16c74407 -256 -256 -1 -0 -0 -1 -0x7742 -0x395f9d31 -256 -256 -0 -0 -0 -3 -0x7c93 -0x21302bd -256 -256 -1 -0 -0 -4 -0x31ef -0xeca8d365 -256 -256 -1 -0 -0 -1 -0x6106 -0x6c3e87f2 -256 -256 -1 -0 -0 -1 -0xe044 -0x712c6d19 -256 -256 -1 -0 -0 -3 -0xe587 -0xb03c4d6e -256 -256 -1 -0 -0 -1 -0x5e7b -0x99070091 -256 -256 -0 -0 -0 -1 -0x9348 -0x7aae24be -256 -256 -0 -0 -0 -2 -0xe11 -0x34d53856 -256 -256 -0 -0 -0 -3 -0xd987 -0xa2cc1f45 -256 -256 -0 -0 -0 -1 -0x7075 -0x409cf7fd -256 -256 -1 -0 -0 -2 -0x6765 -0xeb363aac -256 -256 -1 -0 -0 -2 -0xa08a -0x5867bad2 -256 -256 -0 -0 -0 -4 -0xf1e1 -0xb8c0a55 -256 -256 -0 -0 -0 -1 -0xbe9b -0xc6a8762a -256 -256 -0 -0 -0 -3 -0xc6c1 -0x97635ada -256 -256 -0 -0 -0 -3 -0xbbc1 -0xa8542ee0 -256 -256 -0 -0 -0 -3 -0xc483 -0xfedc2025 -256 -256 -1 -0 -0 -1 -0x5b5 -0x4106d046 -256 -256 -1 -0 -0 -4 -0xca85 -0x6a208bb -256 -256 -1 -0 -0 -3 -0x4abc -0x3da2e448 -256 -256 -0 -0 -0 -3 -0xe758 -0xff64f74d -256 -256 -1 -0 -0 -2 -0xb39a -0x153287ee -256 -256 -1 -0 -0 -4 -0x7d6e -0x73858a78 -256 -256 -0 -0 -0 -3 -0xc7a8 -0x2424d322 -256 -256 -1 -0 -0 -3 -0x9b43 -0x89aa7080 -256 -256 -1 -0 -0 -4 -0xee25 -0xc2f621d1 -256 -256 -0 -0 -0 -4 -0x8a69 -0x4d7300bd -256 -256 -1 -0 -0 -1 -0x4933 -0x1851402a -256 -256 -0 -0 -0 -3 -0x6a47 -0x9cd4160d -256 -256 -1 -0 -0 -1 -0x5e6d -0x75ebc044 -256 -256 -0 -0 -0 -3 -0x1ea -0x46af7bdb -256 -256 -0 -0 -0 -4 -0x8293 -0x75fe9a49 -256 -256 -1 -0 -0 -3 -0xbf36 -0x6ea91d0c -256 -256 -1 -0 -0 -2 -0xbcde -0x1c432a21 -256 -256 -0 -0 -0 -1 -0x3bc7 -0xd3b2cf1b -256 -256 -0 -0 -0 -4 -0x786 -0x21b70035 -256 -256 -1 -0 -0 -1 -0xd563 -0xa2b62d9d -256 -256 -0 -0 -0 -4 -0x191e -0x8ac25b93 -256 -256 -0 -0 -0 -3 -0x6ac9 -0x2bd5c47e -256 -256 -1 -0 -0 -4 -0x8ad8 -0xbfc2bcdb -256 -256 -0 -0 -0 -1 -0x6419 -0xefdec0cb -256 -256 -0 -0 -0 -1 -0xcd4a -0xfa01783d -256 -256 -1 -0 -0 -2 -0xe139 -0x3b6d962b -256 -256 -1 -0 -0 -2 -0xe746 -0xa33f14fc -256 -256 -0 -0 -0 -3 -0x13e8 -0x7af0577a -256 -256 -1 -0 -0 -3 -0x9960 -0x9ec1fcbc -256 -256 -1 -0 -0 -1 -0xf07e -0xc5bffafa -256 -256 -1 -0 -0 -1 -0xa3bf -0x681e169 -256 -256 -1 -0 -0 -3 -0x2ed -0xbea988cb -256 -256 -0 -0 -0 -4 -0x7192 -0x98bc43cf -256 -256 -1 -0 -0 -3 -0x8144 -0x517e616c -256 -256 -1 -0 -0 -2 -0x373 -0x8f27eca2 -256 -256 -1 -0 -0 -4 -0xc1a5 -0x22666ef3 -256 -256 -1 -0 -0 -1 -0x8fd2 -0x1628c6a8 -256 -256 -1 -0 -0 -2 -0x81fd -0xd0ee3912 -256 -256 -0 -0 -0 -2 -0x381c -0xfa944d0 -256 -256 -0 -0 -0 -3 -0x9ed2 -0x6e798618 -256 -256 -1 -0 -0 -2 -0x38e -0x29c56388 -256 -256 -0 -0 -0 -2 -0xcc5 -0x5c2c79c1 -256 -256 -0 -0 -0 -4 -0xf800 -0x1c935c0c -256 -256 -0 -0 -0 -1 -0xe603 -0xbceb9bb7 -256 -256 -0 -0 -0 -1 -0x912c -0x43daeacd -256 -256 -0 -0 -0 -4 -0x7106 -0x6c13bc92 -256 -256 -0 -0 -0 -2 -0x8447 -0x916fdac1 -256 -256 -0 -0 -0 -3 -0x3ef7 -0x6b0fc31b -256 -256 -1 -0 -0 -1 -0xd6b6 -0x35cf96bd -256 -256 -1 -0 -0 -2 -0xabfa -0x68578067 -256 -256 -0 -0 -0 -2 -0xb368 -0xf6444372 -256 -256 -0 -0 -0 -4 -0xd763 -0x9749281d -256 -256 -1 -0 -0 -1 -0xd8dd -0x4f2a1c44 -256 -256 -0 -0 -0 -2 -0x3a8 -0x5772b1e0 -256 -256 -1 -0 -0 -1 -0xe218 -0x35813c62 -256 -256 -0 -0 -0 -3 -0xb893 -0x6076c7c -256 -256 -0 -0 -0 -2 -0x9364 -0x707d12e9 -256 -256 -1 -0 -0 -2 -0x11b0 -0x94923a6e -256 -256 -0 -0 -0 -4 -0xbe0d -0xd0965b01 -256 -256 -1 -0 -0 -4 -0xe240 -0x74fe88ff -256 -256 -0 -0 -0 -2 -0xd34c -0xc2a1a5e1 -256 -256 -0 -0 -0 -2 -0x223a -0xb076a37b -256 -256 -0 -0 -0 -2 -0x5da6 -0x6227983e -256 -256 -1 -0 -0 -2 -0xc1ff -0xb9843206 -256 -256 -0 -0 -0 -4 -0xc490 -0xba169c99 -256 -256 -0 -0 -0 -1 -0x8572 -0x48e57e05 -256 -256 -0 -0 -0 -1 -0x428b -0xb7df9d59 -256 -256 -1 -0 -0 -3 -0x536e -0x46562461 -256 -256 -0 -0 -0 -2 -0xcb62 -0x7603911c -256 -256 -0 -0 -0 -1 -0x9481 -0x54f09b1c -256 -256 -0 -0 -0 -3 -0x572e -0x93375faa -256 -256 -0 -0 -0 -2 -0x87c3 -0xb38b2f5b -256 -256 -0 -0 -0 -2 -0x9cd7 -0x2a611503 -256 -256 -1 -0 -0 -1 -0x578f -0xd6e1cbff -256 -256 -0 -0 -0 -1 -0x7973 -0x38e73e2 -256 -256 -0 -0 -0 -1 -0x5ae6 -0xc13d6df3 -256 -256 -1 -0 -0 -4 -0x9d2d -0x88a5075c -256 -256 -1 -0 -0 -4 -0x60cd -0xedbebb65 -256 -256 -0 -0 -0 -4 -0x6f94 -0x31e99e8c -256 -256 -1 -0 -0 -4 -0xd25f -0x9ea7cf6c -256 -256 -0 -0 -0 -4 -0x9cd8 -0x1c22e718 -256 -256 -1 -0 -0 -3 -0xb48c -0xa7985766 -256 -256 -0 -0 -0 -2 -0xaf19 -0x4ba17648 -256 -256 -0 -0 -0 -3 -0x8790 -0x660f19be -256 -256 -0 -0 -0 -4 -0x970 -0x4c79c6bb -256 -256 -0 -0 -0 -3 -0xcba5 -0x68092af2 -256 -256 -1 -0 -0 -3 -0x5436 -0x259c8259 -256 -256 -1 -0 -0 -3 -0x205d -0x89e85c5e -256 -256 -0 -0 -0 -1 -0x24f0 -0x22b2385b -256 -256 -0 -0 -0 -3 -0xdfa1 -0xf5695688 -256 -256 -0 -0 -0 -1 -0x3462 -0x278a5090 -256 -256 -1 -0 -0 -4 -0xa32a -0x681f75e0 -256 -256 -0 -0 -0 -2 -0x5331 -0xa1dfc39a -256 -256 -0 -0 -0 -2 -0xf76c -0xcf13c2c3 -256 -256 -1 -0 -0 -2 -0x12e -0x50f9e80 -256 -256 -1 -0 -0 -3 -0x460f -0xd8b8d237 -256 -256 -1 -0 -0 -1 -0xdcd1 -0x2adbc82a -256 -256 -1 -0 -0 -2 -0x5f03 -0x62ca64fa -256 -256 -1 -0 -0 -2 -0x638b -0x6bf87553 -256 -256 -0 -0 -0 -2 -0xc66e -0x565c1f7f -256 -256 -0 -0 -0 -1 -0x2e4c -0x54fa15a1 -256 -256 -0 -0 -0 -1 -0x528c -0xd1774e92 -256 -256 -0 -0 -0 -2 -0xdc5d -0x57b5e94d -256 -256 -0 -0 -0 -4 -0xb0aa -0xc3f692e0 -256 -256 -1 -0 -0 -1 -0xa84 -0xa55c79f4 -256 -256 -0 -0 -0 -3 -0x53e7 -0x16a2957c -256 -256 -0 -0 -0 -4 -0x440b -0x3429003a -256 -256 -1 -0 -0 -3 -0x4a37 -0xdabd68e0 -256 -256 -1 -0 -0 -4 -0x840e -0x4fe70960 -256 -256 -1 -0 -0 -3 -0x40dd -0x32407702 -256 -256 -1 -0 -0 -1 -0xe599 -0x356627d4 -256 -256 -1 -0 -0 -1 -0x89ae -0x4d0af8eb -256 -256 -1 -0 -0 -3 -0x8d6c -0x68886244 -256 -256 -1 -0 -0 -1 -0xd890 -0x85176906 -256 -256 -1 -0 -0 -2 -0x3cc6 -0xf1efc2b2 -256 -256 -1 -0 -0 -1 -0x224e -0x23958d57 -256 -256 -0 -0 -0 -4 -0x54b9 -0x46fc09cb -256 -256 -0 -0 -0 -2 -0x9ef6 -0x5c77176e -256 -256 -1 -0 -0 -3 -0x985 -0x160da9e8 -256 -256 -1 -0 -0 -4 -0x35a2 -0xf06aa9ee -256 -256 -0 -0 -0 -3 -0x7f4d -0xd4eb7d32 -256 -256 -1 -0 -0 -4 -0xccfc -0xb3b9f230 -256 -256 -1 -0 -0 -4 -0xdd38 -0xcfb28ad4 -256 -256 -0 -0 -0 -3 -0x34b4 -0xf65ddc52 -256 -256 -0 -0 -0 -4 -0xdd9 -0x60c479bb -256 -256 -0 -0 -0 -1 -0x6612 -0xa7fa1e46 -256 -256 -0 -0 -0 -2 -0x1298 -0xf6a8c3f2 -256 -256 -0 -0 -0 -3 -0x2f98 -0xa7fa01a4 -256 -256 -0 -0 -0 -4 -0x2d17 -0xdc58e6da -256 -256 -1 -0 -0 -1 -0x5054 -0xdef9eb09 -256 -256 -0 -0 -0 -3 -0xda1a -0x223bba10 -256 -256 -1 -0 -0 -2 -0xdd04 -0x1ae52425 -256 -256 -1 -0 -0 -1 -0x5178 -0xef9f99d3 -256 -256 -0 -0 -0 -4 -0x23f3 -0xb540b4da -256 -256 -0 -0 -0 -1 -0x5493 -0x41162a4 -256 -256 -0 -0 -0 -4 -0x710c -0x3fed10e0 -256 -256 -1 -0 -0 -2 -0x6040 -0x4252d305 -256 -256 -0 -0 -0 -4 -0x3fca -0xd89f8c7c -256 -256 -1 -0 -0 -2 -0x5b31 -0x6af79a4d -256 -256 -0 -0 -0 -4 -0xaf7d -0x44b19252 -256 -256 -0 -0 -0 -4 -0xafc1 -0xf8f79f31 -256 -256 -1 -0 -0 -3 -0xacfb -0xa9f2da39 -256 -256 -1 -0 -0 -3 -0x2223 -0x5d823517 -256 -256 -0 -0 -0 -4 -0xb9a7 -0xa4a3c3da -256 -256 -1 -0 -0 -2 -0xb0b0 -0xa94fd9a3 -256 -256 -0 -0 -0 -2 -0x6f63 -0xeba5e077 -256 -256 -0 -0 -0 -4 -0x6bb1 -0xa25216d8 -256 -256 -1 -0 -0 -2 -0x6fff -0x3e346bf0 -256 -256 -0 -0 -0 -4 -0x7c48 -0xecbdd590 -256 -256 -1 -0 -0 -1 -0x170c -0x57d8349c -256 -256 -1 -0 -0 -1 -0xb640 -0xc3d9bb15 -256 -256 -0 -0 -0 -1 -0xfdf -0x8a1345d8 -256 -256 -1 -0 -0 -1 -0xcf31 -0x91002157 -256 -256 -0 -0 -0 -1 -0xf23b -0x3ab98093 -256 -256 -0 -0 -0 -3 -0xec12 -0x7ad0e741 -256 -256 -0 -0 -0 -3 -0x4adb -0x8d8217c9 -256 -256 -1 -0 -0 -4 -0xb86b -0x5ef7322 -256 -256 -1 -0 -0 -3 -0x2a81 -0x53300f87 -256 -256 -0 -0 -0 -2 -0x2bac -0x162d79dc -256 -256 -0 -0 -0 -1 -0xc65a -0x567bfd75 -256 -256 -0 -0 -0 -1 -0xa3a -0xb739789c -256 -256 -1 -0 -0 -2 -0xc633 -0x33c8dbb5 -256 -256 -0 -0 -0 -4 -0xe4cf -0xce31ebc7 -256 -256 -0 -0 -0 -4 -0xa0eb -0x67a9ec12 -256 -256 -1 -0 -0 -2 -0x5cde -0x4fea002f -256 -256 -0 -0 -0 -4 -0x3a92 -0xf599263c -256 -256 -1 -0 -0 -3 -0x6328 -0xc06ac27a -256 -256 -0 -0 -0 -2 -0x66c4 -0x6f283651 -256 -256 -0 -0 -0 -2 -0xe9e9 -0x9bc10684 -256 -256 -1 -0 -0 -4 -0x5ed3 -0x8b7deaa8 -256 -256 -1 -0 -0 -1 -0xc364 -0x657a6d59 -256 -256 -0 -0 -0 -2 -0x8cc3 -0x338e3ba3 -256 -256 -1 -0 -0 -4 -0x68fb -0xe95efba7 -256 -256 -1 -0 -0 -3 -0x38ea -0x35aeb5ab -256 -256 -0 -0 -0 -4 -0x7ce9 -0x32ec4805 -256 -256 -1 -0 -0 -3 -0x4cd6 -0xbc0da7e -256 -256 -1 -0 -0 -2 -0x1641 -0x97163e12 -256 -256 -1 -0 -0 -2 -0x57cc -0xe859bdd4 -256 -256 -1 -0 -0 -2 -0x1cc1 -0x3b79a3b5 -256 -256 -1 -0 -0 -3 -0x3ef -0x8879757e -256 -256 -1 -0 -0 -3 -0x6536 -0xd12e0212 -256 -256 -1 -0 -0 -3 -0x934f -0xc532ba91 -256 -256 -0 -0 -0 -3 -0x9abb -0x8b9d0f0f -256 -256 -0 -0 -0 -1 -0x2373 -0x7ebab1f2 -256 -256 -0 -0 -0 -2 -0xc163 -0x5ec59f2a -256 -256 -1 -0 -0 -3 -0xab59 -0xd7ceed74 -256 -256 -0 -0 -0 -2 -0xa516 -0x8cb66ef1 -256 -256 -1 -0 -0 -4 -0xcbf8 -0xc717e829 -256 -256 -0 -0 -0 -1 -0x607d -0xcc34b2d5 -256 -256 -1 -0 -0 -4 -0x3254 -0xfd6fc0c1 -256 -256 -0 -0 -0 -3 -0x3fa7 -0xd19bfb9f -256 -256 -1 -0 -0 -4 -0xaeb5 -0x8f492bd6 -256 -256 -1 -0 -0 -1 -0x8640 -0x4613e31b -256 -256 -0 -0 -0 -2 -0x9565 -0x1cb1c8ee -256 -256 -0 -0 -0 -2 -0x5935 -0x248e717e -256 -256 -1 -0 -0 -3 -0xad2d -0xfa951b2e -256 -256 -1 -0 -0 -4 -0x3a6d -0x8a7d5af9 -256 -256 -1 -0 -0 -3 -0xa8fd -0x5f07070c -256 -256 -1 -0 -0 -1 -0xce80 -0x5383a6ee -256 -256 -0 -0 -0 -2 -0x1e5c -0xcb97b926 -256 -256 -1 -0 -0 -2 -0xb4fd -0x5ba48475 -256 -256 -1 -0 -0 -3 -0x86e3 -0xe6c576c5 -256 -256 -1 -0 -0 -1 -0x1f5d -0xcaa18210 -256 -256 -1 -0 -0 -3 -0x68a5 -0xe3a2fbb -256 -256 -0 -0 -0 -2 -0x71a -0x7afc5def -256 -256 -0 -0 -0 -3 -0x4536 -0xccc31b38 -256 -256 -1 -0 -0 -2 -0xa812 -0xe10a9d3b -256 -256 -1 -0 -0 -1 -0x8867 -0xd5e3fe16 -256 -256 -0 -0 -0 -2 -0xf9c5 -0xc77c7578 -256 -256 -1 -0 -0 -3 -0xa13a -0x2c774628 -256 -256 -1 -0 -0 -4 -0x5f37 -0x85516900 -256 -256 -1 -0 -0 -3 -0x3720 -0xc950c9ab -256 -256 -1 -0 -0 -3 -0x92e2 -0xbb68ee91 -256 -256 -0 -0 -0 -1 -0xfe0b -0x70846c9a -256 -256 -1 -0 -0 -2 -0xdef9 -0x3e90a18a -256 -256 -0 -0 -0 -4 -0x689c -0xff43906b -256 -256 -1 -0 -0 -3 -0x9b7d -0x52d9bc63 -256 -256 -1 -0 -0 -3 -0x4a97 -0xeb92a1aa -256 -256 -0 -0 -0 -3 -0xc88e -0xf6262477 -256 -256 -1 -0 -0 -2 -0x5f75 -0x1cf4818a -256 -256 -0 -0 -0 -1 -0x2014 -0xe946e1be -256 -256 -0 -0 -0 -2 -0x2f9a -0xe4bdda64 -256 -256 -1 -0 -0 -2 -0xb297 -0xeacb01f1 -256 -256 -1 -0 -0 -1 -0x13c7 -0x9afa74aa -256 -256 -1 -0 -0 -2 -0x8122 -0x36ad2bd3 -256 -256 -0 -0 -0 -4 -0x62fd -0x881ba214 -256 -256 -0 -0 -0 -4 -0x6f2c -0x355b4f21 -256 -256 -1 -0 -0 -3 -0x17c8 -0xe07e1803 -256 -256 -1 -0 -0 -1 -0x4e9d -0xf758f56a -256 -256 -1 -0 -0 -2 -0xb4a2 -0x1a61d3f0 -256 -256 -0 -0 -0 -1 -0x4aa9 -0x1abf92be -256 -256 -0 -0 -0 -1 -0x1ba0 -0x2fc2afbd -256 -256 -1 -0 -0 -3 -0x9327 -0x171ea888 -256 -256 -0 -0 -0 -2 -0x6180 -0x5f3b4d30 -256 -256 -1 -0 -0 -3 -0x7227 -0x3a53fc87 -256 -256 -0 -0 -0 -2 -0x9cc8 -0x9f677d0e -256 -256 -0 -0 -0 -2 -0x194e -0x59124a0e -256 -256 -0 -0 -0 -4 -0x6895 -0x4d3b18a0 -256 -256 -0 -0 -0 -2 -0x10fd -0x5a5e7baa -256 -256 -1 -0 -0 -1 -0xffdd -0xc7e1cd4f -256 -256 -0 -0 -0 -3 -0x6ab9 -0x6036ca -256 -256 -0 -0 -0 -1 -0xac7f -0x560e2d3e -256 -256 -0 -0 -0 -4 -0x2ef7 -0xa18a057 -256 -256 -0 -0 -0 -1 -0x24a5 -0xd65e4b9 -256 -256 -1 -0 -0 -1 -0x1eaf -0x62cfc798 -256 -256 -1 -0 -0 -2 -0x1277 -0x14bd15a6 -256 -256 -0 -0 -0 -3 -0x89ca -0xbb9f91ab -256 -256 -0 -0 -0 -1 -0x318 -0x3dec0b58 -256 -256 -0 -0 -0 -1 -0xa838 -0x330b995e -256 -256 -1 -0 -0 -4 -0xb589 -0xdaf825a8 -256 -256 -0 -0 -0 -4 -0x4bc2 -0x30d85610 -256 -256 -0 -0 -0 -4 -0x77a3 -0x16177536 -256 -256 -0 -0 -0 -2 -0xefa8 -0x5f4375e8 -256 -256 -0 -0 -0 -2 -0x5cfa -0x6d989952 -256 -256 -0 -0 -0 -3 -0x43b6 -0xa72853a -256 -256 -0 -0 -0 -2 -0x5105 -0x7b4f8e87 -256 -256 -1 -0 -0 -4 -0x34de -0x7d44ac8d -256 -256 -1 -0 -0 -1 -0x5659 -0x9cc97541 -256 -256 -1 -0 -0 -2 -0x472f -0xeb7b7c60 -256 -256 -1 -0 -0 -3 -0x3759 -0xc6289a61 -256 -256 -0 -0 -0 -2 -0x6a15 -0x76506f6a -256 -256 -1 -0 -0 -4 -0x3356 -0x5862d0cc -256 -256 -1 -0 -0 -3 -0x41fe -0x5bfcacdc -256 -256 -0 -0 -0 -1 -0x76da -0x3c3f8672 -256 -256 -1 -0 -0 -2 -0x4492 -0xa60c8051 -256 -256 -0 -0 -0 -3 -0x7e43 -0x47fe4ba9 -256 -256 -1 -0 -0 -1 -0xa071 -0xf6ae940a -256 -256 -1 -0 -0 -3 -0x3672 -0x7446514c -256 -256 -0 -0 -0 -2 -0x49aa -0x8d67b9ae -256 -256 -0 -0 -0 -1 -0xce09 -0xfdaab766 -256 -256 -1 -0 -0 -4 -0x1129 -0x9be5d1b2 -256 -256 -0 -0 -0 -1 -0x1c0e -0xf5abf12 -256 -256 -0 -0 -0 -4 -0x95d0 -0x7586ec20 -256 -256 -1 -0 -0 -1 -0x774c -0x84e85d1b -256 -256 -0 -0 -0 -2 -0x4916 -0x693b96e2 -256 -256 -1 -0 -0 -3 -0x2634 -0xffe798cc -256 -256 -1 -0 -0 -4 -0x9823 -0xd8fdf83 -256 -256 -1 -0 -0 -1 -0xa879 -0xee012f4b -256 -256 -1 -0 -0 -3 -0x85ff -0x1599e48c -256 -256 -0 -0 -0 -2 -0xd63b -0x2ae6ae62 -256 -256 -1 -0 -0 -2 -0x8f2a -0x3a27900e -256 -256 -0 -0 -0 -1 -0x6da6 -0xd386210 -256 -256 -1 -0 -0 -1 -0xc3ff -0xc9d879a2 -256 -256 -1 -0 -0 -3 -0x625f -0x28c41590 -256 -256 -1 -0 -0 -1 -0x5612 -0xc194f9d3 -256 -256 -0 -0 -0 -2 -0x93a5 -0x7768ab65 -256 -256 -0 -0 -0 -2 -0x804f -0xa016d440 -256 -256 -0 -0 -0 -2 -0xfb26 -0x37d236e6 -256 -256 -0 -0 -0 -4 -0x8f29 -0xa1589000 -256 -256 -1 -0 -0 -4 -0xc0dc -0x714ea699 -256 -256 -1 -0 -0 -4 -0x446c -0x657fef66 -256 -256 -1 -0 -0 -3 -0x8782 -0x541b5aaf -256 -256 -1 -0 -0 -1 -0x8169 -0x67a0ca8b -256 -256 -1 -0 -0 -2 -0xa231 -0xeda72b4c -256 -256 -1 -0 -0 -2 -0x2267 -0xf53d4e7a -256 -256 -0 -0 -0 -3 -0x3cfd -0x9d614174 -256 -256 -1 -0 -0 -4 -0x5ff6 -0x66dc5cbf -256 -256 -1 -0 -0 -2 -0xee58 -0xb3398a61 -256 -256 -1 -0 -0 -1 -0x62b8 -0xce441441 -256 -256 -1 -0 -0 -3 -0xc715 -0x6e429175 -256 -256 -1 -0 -0 -2 -0x7e50 -0xf5226755 -256 -256 -1 -0 -0 -1 -0x4577 -0x9755573c -256 -256 -0 -0 -0 -3 -0xd83a -0x47cd7e63 -256 -256 -1 -0 -0 -4 -0x7a7a -0x99c09647 -256 -256 -1 -0 -0 -2 -0xe88a -0x24d1e5cd -256 -256 -1 -0 -0 -3 -0x3699 -0xbe9088be -256 -256 -0 -0 -0 -2 -0x4ddc -0x4d5e1196 -256 -256 -0 -0 -0 -3 -0x80e3 -0x38b7357a -256 -256 -1 -0 -0 -1 -0x476a -0x9018e61a -256 -256 -1 -0 -0 -3 -0xc99c -0x8981f04c -256 -256 -1 -0 -0 -4 -0x9716 -0x40114000 -256 -256 -1 -0 -0 -2 -0x4a87 -0x93a99780 -256 -256 -1 -0 -0 -2 -0x9a8f -0xd5d3bffd -256 -256 -0 -0 -0 -4 -0xe0ed -0x974f94f4 -256 -256 -0 -0 -0 -1 -0xe2fc -0x2b48a9d3 -256 -256 -0 -0 -0 -2 -0xb878 -0x1c27ec3c -256 -256 -1 -0 -0 -2 -0x80bc -0x13b55b1 -256 -256 -1 -0 -0 -1 -0xfb10 -0xa3de4ab -256 -256 -0 -0 -0 -1 -0xb42d -0x8053c408 -256 -256 -1 -0 -0 -1 -0xe26b -0x6848fbb6 -256 -256 -0 -0 -0 -2 -0xbe7d -0xc56c4ffc -256 -256 -0 -0 -0 -2 -0x9368 -0xa590be41 -256 -256 -1 -0 -0 -4 -0x14a1 -0xe6e3821c -256 -256 -1 -0 -0 -3 -0xa5ef -0x8e452263 -256 -256 -0 -0 -0 -3 -0xfa -0xbdefa1ce -256 -256 -1 -0 -0 -3 -0x104f -0xf7efa436 -256 -256 -0 -0 -0 -1 -0x3ae0 -0xd0ca1723 -256 -256 -1 -0 -0 -1 -0x84aa -0x2e7acf7d -256 -256 -1 -0 -0 -1 -0xcb35 -0xebfd867 -256 -256 -0 -0 -0 -1 -0xe36e -0x4929b4fd -256 -256 -1 -0 -0 -1 -0x43cb -0x269b1173 -256 -256 -1 -0 -0 -4 -0xa231 -0xc2c5ffb1 -256 -256 -1 -0 -0 -4 -0x6f62 -0xc44673ba -256 -256 -0 -0 -0 -4 -0xdbab -0x83780bd6 -256 -256 -0 -0 -0 -1 -0x90bf -0x5e00770a -256 -256 -1 -0 -0 -3 -0x133a -0xc0b873e6 -256 -256 -1 -0 -0 -3 -0xd383 -0xaa60b4d9 -256 -256 -1 -0 -0 -2 -0x9882 -0xfd409390 -256 -256 -1 -0 -0 -2 -0x33e3 -0x7a6daa19 -256 -256 -0 -0 -0 -4 -0xdea6 -0x5c06b9de -256 -256 -1 -0 -0 -4 -0x45ab -0x827a3582 -256 -256 -0 -0 -0 -1 -0xd1c0 -0x29927484 -256 -256 -0 -0 -0 -2 -0xdc02 -0xfa57b329 -256 -256 -0 -0 -0 -1 -0x13e7 -0x68cf6dc6 -256 -256 -1 -0 -0 -4 -0x8a4e -0x6d9c2afa -256 -256 -0 -0 -0 -1 -0x3138 -0x7fa80815 -256 -256 -0 -0 -0 -2 -0x5109 -0xb47511fa -256 -256 -1 -0 -0 -3 -0x3868 -0x8ecb5d88 -256 -256 -0 -0 -0 -3 -0x186e -0xf10989fe -256 -256 -0 -0 -0 -1 -0x5dd9 -0x5e4d6d5a -256 -256 -1 -0 -0 -1 -0xa9d -0x1353d9a -256 -256 -1 -0 -0 -1 -0x187d -0x7c4f0f36 -256 -256 -1 -0 -0 -2 -0x5e7 -0x402927fd -256 -256 -1 -0 -0 -3 -0x82fb -0x98bf0d77 -256 -256 -0 -0 -0 -3 -0xa2e4 -0xb067fe0f -256 -256 -0 -0 -0 -3 -0x24f5 -0x38260f4f -256 -256 -1 -0 -0 -4 -0x330e -0xea26ddfa -256 -256 -0 -0 -0 -1 -0x49a2 -0xbef9cea8 -256 -256 -1 -0 -0 -2 -0xda03 -0x46cc0c9d -256 -256 -1 -0 -0 -4 -0xdf9a -0xd9bd089e -256 -256 -0 -0 -0 -1 -0x1e69 -0xf15d6b23 -256 -256 -1 -0 -0 -2 -0xc326 -0x16bc32d8 -256 -256 -1 -0 -0 -3 -0x874c -0x11762ef8 -256 -256 -1 -0 -0 -4 -0xf915 -0xa943a436 -256 -256 -1 -0 -0 -1 -0xde06 -0x154f5c7b -256 -256 -0 -0 -0 -2 -0x712d -0x1ac3adde -256 -256 -0 -0 -0 -3 -0x97e3 -0x6f98861e -256 -256 -0 -0 -0 -3 -0xe556 -0xe652e6a4 -256 -256 -1 -0 -0 -1 -0x38bf -0x7c949048 -256 -256 -1 -0 -0 -1 -0x2c8f -0x7239adc2 -256 -256 -1 -0 -0 -4 -0xae0b -0x7784bbb5 -256 -256 -1 -0 -0 -4 -0x9681 -0xf6c37413 -256 -256 -1 -0 -0 -1 -0x8094 -0xce132454 -256 -256 -1 -0 -0 -1 -0x4034 -0xc29a8927 -256 -256 -0 -0 -0 -3 -0x77c4 -0x7f6ad5e -256 -256 -0 -0 -0 -3 -0xb00e -0x75ff58fd -256 -256 -0 -0 -0 -2 -0x5d7d -0xb898dd31 -256 -256 -0 -0 -0 -3 -0x7f0d -0xb9d7ad8d -256 -256 -0 -0 -0 -1 -0x2c1e -0x390880f3 -256 -256 -1 -0 -0 -4 -0x721c -0x5ecf0191 -256 -256 -0 -0 -0 -3 -0x490a -0xfc1eaa86 -256 -256 -1 -0 -0 -1 -0xf531 -0x559efafb -256 -256 -1 -0 -0 -2 -0xd6e0 -0x2b2fcd7a -256 -256 -0 -0 -0 -1 -0x3f1a -0xdf04415a -256 -256 -1 -0 -0 -3 -0xd6f -0xb5bc065a -256 -256 -1 -0 -0 -3 -0x821e -0x19e1821c -256 -256 -0 -0 -0 -4 -0xc055 -0x5f0d2cdf -256 -256 -1 -0 -0 -4 -0x1a47 -0xda01c3f3 -256 -256 -1 -0 -0 -3 -0xc1de -0xa3aa2ffe -256 -256 -1 -0 -0 -1 -0xd642 -0x7452ccf9 -256 -256 -0 -0 -0 -4 -0x4869 -0x17d890b9 -256 -256 -0 -0 -0 -2 -0x93ce -0x19aec755 -256 -256 -1 -0 -0 -1 -0x7051 -0xcb408be9 -256 -256 -1 -0 -0 -1 -0x87f -0x660c3a49 -256 -256 -0 -0 -0 -4 -0xfee1 -0x48d6786b -256 -256 -0 -0 -0 -4 -0x5145 -0x77c706af -256 -256 -1 -0 -0 -4 -0xd290 -0x84567d59 -256 -256 -1 -0 -0 -3 -0x968e -0xd8abdd74 -256 -256 -1 -0 -0 -4 -0x4808 -0x48c48303 -256 -256 -1 -0 -0 -1 -0x57d2 -0x460cb130 -256 -256 -0 -0 -0 -1 -0x6431 -0xc71189b8 -256 -256 -0 -0 -0 -1 -0x6ac6 -0xfc54b12e -256 -256 -0 -0 -0 -1 -0xdcbd -0x21ed20 -256 -256 -1 -0 -0 -4 -0x7c56 -0xeec3b551 -256 -256 -1 -0 -0 -2 -0xf42 -0xe2e25846 -256 -256 -0 -0 -0 -2 -0xce77 -0x3f6149fb -256 -256 -0 -0 -0 -2 -0xc60c -0x6073536e -256 -256 -1 -0 -0 -1 -0x18de -0x2c7c0d0f -256 -256 -0 -0 -0 -3 -0x2e60 -0x3beb9447 -256 -256 -0 -0 -0 -3 -0x35bb -0xb77741f2 -256 -256 -0 -0 -0 -2 -0x9e38 -0x51cc9809 -256 -256 -1 -0 -0 -3 -0x72c7 -0x81332afb -256 -256 -1 -0 -0 -1 -0xf676 -0xbb4503bc -256 -256 -0 -0 -0 -2 -0x9a14 -0x9a916e70 -256 -256 -1 -0 -0 -1 -0xf3d2 -0x9e55322e -256 -256 -0 -0 -0 -4 -0xe61f -0x3a2846d2 -256 -256 -0 -0 -0 -1 -0xa27b -0x6cd59938 -256 -256 -1 -0 -0 -4 -0x333d -0x8f05b675 -256 -256 -0 -0 -0 -2 -0x554b -0x9b9e9bd6 -256 -256 -1 -0 -0 -4 -0x88e5 -0xb59db1a0 -256 -256 -0 -0 -0 -3 -0xa8cd -0x1ab8461e -256 -256 -1 -0 -0 -3 -0x3eb4 -0x2c4b67d0 -256 -256 -1 -0 -0 -2 -0xbfbb -0x199a6af9 -256 -256 -1 -0 -0 -2 -0x11c8 -0x1e12a6f1 -256 -256 -0 -0 -0 -1 -0x1482 -0x5f1565cb -256 -256 -1 -0 -0 -2 -0x89e -0xdadce7f8 -256 -256 -0 -0 -0 -4 -0x469e -0x1808485 -256 -256 -1 -0 -0 -3 -0x4e99 -0x6c40d826 -256 -256 -0 -0 -0 -3 -0xeeac -0xf723848f -256 -256 -0 -0 -0 -1 -0x7016 -0x239dba62 -256 -256 -0 -0 -0 -3 -0x6224 -0xfc4d78f0 -256 -256 -1 -0 -0 -2 -0x41a7 -0xf51196d4 -256 -256 -0 -0 -0 -3 -0x4662 -0x5ff4f682 -256 -256 -0 -0 -0 -2 -0xb076 -0x1d9b4db5 -256 -256 -1 -0 -0 -4 -0x7381 -0x5f87eea5 -256 -256 -1 -0 -0 -4 -0xdbde -0xa1dbbc85 -256 -256 -0 -0 -0 -1 -0xb05a -0xe7bc2b2b -256 -256 -0 -0 -0 -4 -0x5e3f -0xe76ad905 -256 -256 -1 -0 -0 -2 -0x2760 -0xfad224dd -256 -256 -0 -0 -0 -3 -0x8ffc -0xab1d9a69 -256 -256 -0 -0 -0 -2 -0xf6e5 -0x56e1ce6c -256 -256 -1 -0 -0 -4 -0xb8aa -0xd5816dc8 -256 -256 -1 -0 -0 -2 -0xffcf -0x9e330317 -256 -256 -0 -0 -0 -1 -0x409d -0x216fe291 -256 -256 -1 -0 -0 -3 -0xcc81 -0x17c65561 -256 -256 -1 -0 -0 -1 -0x74b0 -0x49f2d3b6 -256 -256 -0 -0 -0 -3 -0x36da -0x95ae123 -256 -256 -0 -0 -0 -1 -0x84cf -0xb6797813 -256 -256 -1 -0 -0 -2 -0x9f6f -0x9ac541d6 -256 -256 -0 -0 -0 -2 -0x62fa -0x8765060c -256 -256 -0 -0 -0 -4 -0x44f3 -0x36e72a24 -256 -256 -1 -0 -0 -2 -0x1100 -0x179916ee -256 -256 -1 -0 -0 -4 -0xc948 -0xc87154f3 -256 -256 -1 -0 -0 -2 -0x1d8 -0x4a695027 -256 -256 -1 -0 -0 -1 -0x62da -0x6ca209f -256 -256 -0 -0 -0 -1 -0x1e00 -0x79ca97c8 -256 -256 -0 -0 -0 -4 -0x5e46 -0x1a31639c -256 -256 -1 -0 -0 -2 -0x4b35 -0x55fd0138 -256 -256 -1 -0 -0 -1 -0x3d58 -0xa6640342 -256 -256 -1 -0 -0 -1 -0x7068 -0x42502947 -256 -256 -1 -0 -0 -1 -0xc2f4 -0xacd23581 -256 -256 -0 -0 -0 -2 -0x6efa -0xa47ac5bb -256 -256 -0 -0 -0 -4 -0x1ba3 -0x34ba4f38 -256 -256 -1 -0 -0 -3 -0xbca2 -0x694a53 -256 -256 -0 -0 -0 -2 -0x4219 -0x9c30625c -256 -256 -0 -0 -0 -4 -0xa4bb -0x7a07e80c -256 -256 -0 -0 -0 -3 -0x48f2 -0x50b91e0e -256 -256 -0 -0 -0 -2 -0x68d7 -0x8585dd7e -256 -256 -1 -0 -0 -1 -0xb7f8 -0x7058802c -256 -256 -0 -0 -0 -2 -0x302e -0x31d7c8d1 -256 -256 -0 -0 -0 -2 -0xf591 -0xbc82459 -256 -256 -0 -0 -0 -1 -0x142b -0xa7ea7413 -256 -256 -0 -0 -0 -1 -0xdc3a -0x86a7967c -256 -256 -0 -0 -0 -1 -0x3775 -0x17ebb4b6 -256 -256 -0 -0 -0 -2 -0xd22c -0xc7af5566 -256 -256 -1 -0 -0 -4 -0x6309 -0xfbfef84b -256 -256 -1 -0 -0 -4 -0x4075 -0x7c013003 -256 -256 -0 -0 -0 -4 -0x37b4 -0x24f7489f -256 -256 -0 -0 -0 -3 -0x8428 -0x1eccb925 -256 -256 -1 -0 -0 -2 -0xe592 -0xef2ed4e6 -256 -256 -1 -0 -0 -3 -0x6c80 -0x9ed4b2d4 -256 -256 -1 -0 -0 -3 -0x93f6 -0x84470d75 -256 -256 -0 -0 -0 -2 -0x3345 -0x6d8d7009 -256 -256 -1 -0 -0 -4 -0x740c -0xed0d98cd -256 -256 -0 -0 -0 -1 -0x4c1b -0x66cc22e8 -256 -256 -0 -0 -0 -1 -0xf31b -0xbffc5d87 -256 -256 -1 -0 -0 -3 -0xa7f9 -0xb85fd525 -256 -256 -0 -0 -0 -3 -0x114c -0x798e2df2 -256 -256 -0 -0 -0 -4 -0x704 -0x78490c6 -256 -256 -0 -0 -0 -1 -0x506a -0xc38dc004 -256 -256 -1 -0 -0 -4 -0x451 -0x9a5354ec -256 -256 -1 -0 -0 -4 -0xbfb7 -0x7a9ed382 -256 -256 -0 -0 -0 -4 -0x112c -0x356ef252 -256 -256 -1 -0 -0 -3 -0x19dc -0x73a51053 -256 -256 -0 -0 -0 -3 -0xb8c4 -0xfd4cbf23 -256 -256 -1 -0 -0 -1 -0xabda -0x2fb59afc -256 -256 -1 -0 -0 -4 -0x8bed -0x2dbc83a3 -256 -256 -0 -0 -0 -3 -0xb2d1 -0x3f2d13c5 -256 -256 -0 -0 -0 -3 -0x2b2b -0x1c9d40ec -256 -256 -0 -0 -0 -3 -0xed6c -0x509c0bd3 -256 -256 -0 -0 -0 -4 -0xfe8e -0x2ada565d -256 -256 -1 -0 -0 -3 -0x7148 -0xc04d1c0e -256 -256 -0 -0 -0 -1 -0x1a50 -0xbed0af64 -256 -256 -0 -0 -0 -4 -0x863f -0xec8918ad -256 -256 -1 -0 -0 -3 -0x4f7b -0xe54737a -256 -256 -0 -0 -0 -3 -0xb110 -0xbbb4665f -256 -256 -0 -0 -0 -4 -0x9ff -0xfebd1d47 -256 -256 -0 -0 -0 -4 -0xa53c -0x4c3fa3e1 -256 -256 -0 -0 -0 -1 -0xaa03 -0x625485e4 -256 -256 -1 -0 -0 -4 -0xef3b -0x252c4e06 -256 -256 -0 -0 -0 -4 -0x4f83 -0xac208851 -256 -256 -0 -0 -0 -4 -0x805e -0x59079ce7 -256 -256 -0 -0 -0 -1 -0xbe75 -0xa3b0bb56 -256 -256 -1 -0 -0 -4 -0x834b -0x6abd0369 -256 -256 -0 -0 -0 -4 -0x5ffc -0xebcafe36 -256 -256 -1 -0 -0 -3 -0x98f3 -0xd07128fd -256 -256 -1 -0 -0 -1 -0x761b -0x9bba5dc0 -256 -256 -0 -0 -0 -2 -0x5561 -0x5a34a2e0 -256 -256 -1 -0 -0 -2 -0x863d -0x74a145a2 -256 -256 -0 -0 -0 -3 -0x75bf -0xd11c797c -256 -256 -1 -0 -0 -1 -0x5af6 -0xd97b87ab -256 -256 -0 -0 -0 -2 -0x278 -0x5527bd09 -256 -256 -1 -0 -0 -4 -0xb89b -0x857f8f06 -256 -256 -0 -0 -0 -3 -0xd5d9 -0x42f0f110 -256 -256 -0 -0 -0 -3 -0xc318 -0xd436d0dd -256 -256 -0 -0 -0 -2 -0xa604 -0x86ba4aaf -256 -256 -0 -0 -0 -3 -0x20fe -0x9a98df3e -256 -256 -0 -0 -0 -4 -0xa3b2 -0x8aa11f99 -256 -256 -0 -0 -0 -3 -0x6486 -0xd141aaf6 -256 -256 -1 -0 -0 -2 -0xdb9d -0x124fd5ea -256 -256 -0 -0 -0 -1 -0x95f7 -0x24d1dc41 -256 -256 -0 -0 -0 -4 -0xc19d -0xcb52a6d1 -256 -256 -1 -0 -0 -1 -0x4d0a -0xf66073f9 -256 -256 -1 -0 -0 -2 -0x3050 -0xfc7f4790 -256 -256 -0 -0 -0 -2 -0x5e53 -0xa798cf30 -256 -256 -1 -0 -0 -3 -0x54f8 -0xad768b08 -256 -256 -0 -0 -0 -4 -0x3603 -0xfb25cd5d -256 -256 -1 -0 -0 -4 -0x2b9e -0x7c3424f1 -256 -256 -0 -0 -0 -1 -0x69a3 -0x42f754db -256 -256 -0 -0 -0 -2 -0xc6ba -0xdfeaa9aa -256 -256 -1 -0 -0 -2 -0x86ac -0xf007b1e2 -256 -256 -1 -0 -0 -3 -0xb6cf -0x5d58a227 -256 -256 -0 -0 -0 -4 -0x2d8 -0x2c01ec25 -256 -256 -1 -0 -0 -2 -0x18e -0x23d6140e -256 -256 -1 -0 -0 -3 -0xe019 -0x23ac9116 -256 -256 -1 -0 -0 -2 -0x3394 -0x1d7b3bf2 -256 -256 -0 -0 -0 -3 -0x65a2 -0x3e37dbbe -256 -256 -1 -0 -0 -3 -0xa852 -0x2e4274f5 -256 -256 -0 -0 -0 -4 -0x362 -0x59865f54 -256 -256 -1 -0 -0 -3 -0x86f7 -0x3d55ea17 -256 -256 -0 -0 -0 -3 -0xf756 -0x426358be -256 -256 -1 -0 -0 -1 -0x472e -0x4bca9c5e -256 -256 -0 -0 -0 -3 -0xd716 -0x82e28571 -256 -256 -1 -0 -0 -1 -0xb694 -0xdb3d0fbf -256 -256 -0 -0 -0 -1 -0xe3ab -0xe4ea7aa9 -256 -256 -1 -0 -0 -3 -0xe5a -0x7d80bd37 -256 -256 -1 -0 -0 -3 -0x3e2c -0xfb9ffeba -256 -256 -1 -0 -0 -2 -0xd538 -0xf7fd570b -256 -256 -1 -0 -0 -1 -0x3407 -0xca1d0095 -256 -256 -0 -0 -0 -1 -0x9d38 -0xfd34a06a -256 -256 -0 -0 -0 -4 -0x33c8 -0xec735424 -256 -256 -1 -0 -0 -1 -0x1641 -0xa59286a6 -256 -256 -1 -0 -0 -1 -0x2f10 -0x10ba6c36 -256 -256 -1 -0 -0 -2 -0x1322 -0xc0868b5d -256 -256 -0 -0 -0 -3 -0xe20e -0x422f6dc3 -256 -256 -0 -0 -0 -4 -0xca8e -0xbacb66b7 -256 -256 -1 -0 -0 -2 -0x42e7 -0x710dedea -256 -256 -1 -0 -0 -4 -0xd890 -0xbaf50baf -256 -256 -1 -0 -0 -2 -0xbb88 -0xb1c774c4 -256 -256 -1 -0 -0 -3 -0x9690 -0xac41744e -256 -256 -0 -0 -0 -3 -0x3a90 -0x460eacf6 -256 -256 -0 -0 -0 -4 -0xfa41 -0x686321ae -256 -256 -1 -0 -0 -3 -0xf8ab -0x3c76487f -256 -256 -0 -0 -0 -4 -0xbfaa -0xaa2b9a74 -256 -256 -0 -0 -0 -4 -0x3033 -0x2f36dc91 -256 -256 -0 -0 -0 -1 -0x2c1f -0x9b6c35f9 -256 -256 -0 -0 -0 -2 -0x8aa9 -0x3c332f00 -256 -256 -1 -0 -0 -2 -0x16f0 -0xc76a1a1a -256 -256 -1 -0 -0 -1 -0x2c0d -0xfb64c8fe -256 -256 -0 -0 -0 -3 -0x9719 -0x4d2b57c -256 -256 -0 -0 -0 -2 -0x8569 -0xa3aea511 -256 -256 -0 -0 -0 -4 -0xe864 -0x927466a5 -256 -256 -1 -0 -0 -1 -0x3d11 -0x657ca09a -256 -256 -1 -0 -0 -2 -0xb34f -0x47d5e0ce -256 -256 -1 -0 -0 -3 -0xb44e -0x7aa41b27 -256 -256 -1 -0 -0 -3 -0x4f70 -0x5b65ad3f -256 -256 -0 -0 -0 -2 -0x7df5 -0x9cf21984 -256 -256 -0 -0 -0 -1 -0x9a6a -0x239812b -256 -256 -0 -0 -0 -4 -0xa758 -0xe573cc99 -256 -256 -0 -0 -0 -4 -0x31eb -0xec2c07ef -256 -256 -1 -0 -0 -3 -0xbb0b -0xd57cad81 -256 -256 -0 -0 -0 -2 -0xe594 -0x792914cd -256 -256 -1 -0 -0 -2 -0x9675 -0xd6b200ab -256 -256 -0 -0 -0 -4 -0x61e -0x2c09c86 -256 -256 -0 -0 -0 -1 -0xf621 -0x51170b5b -256 -256 -0 -0 -0 -3 -0x6393 -0x2af06fb6 -256 -256 -1 -0 -0 -4 -0x5b5c -0xcab2ad9b -256 -256 -0 -0 -0 -2 -0xc236 -0x5f68c821 -256 -256 -1 -0 -0 -2 -0x11fa -0xaea7e59c -256 -256 -0 -0 -0 -2 -0xa81b -0xcd9a3e1f -256 -256 -1 -0 -0 -2 -0x316f -0x59e7f144 -256 -256 -1 -0 -0 -3 -0x149b -0x687e59fa -256 -256 -1 -0 -0 -3 -0x960 -0x99805100 -256 -256 -1 -0 -0 -2 -0x4c78 -0xb7f48662 -256 -256 -0 -0 -0 -4 -0xb241 -0xf326526b -256 -256 -0 -0 -0 -3 -0x26dd -0x58627d6d -256 -256 -1 -0 -0 -4 -0x8eb4 -0x1166e0b2 -256 -256 -1 -0 -0 -4 -0xbe41 -0x2c326f25 -256 -256 -1 -0 -0 -3 -0x97b7 -0xc939d4ac -256 -256 -0 -0 -0 -1 -0xc231 -0x41bbabb2 -256 -256 -1 -0 -0 -4 -0x9476 -0xd541007e -256 -256 -1 -0 -0 -2 -0x92ba -0x675cb25a -256 -256 -0 -0 -0 -3 -0xb610 -0xc141dd22 -256 -256 -1 -0 -0 -3 -0xed9f -0x34ffcaec -256 -256 -1 -0 -0 -1 -0xe3bd -0xc0884874 -256 -256 -1 -0 -0 -2 -0x2bf0 -0x6ef2c7d1 -256 -256 -0 -0 -0 -1 -0x91e -0x12d2c665 -256 -256 -0 -0 -0 -1 -0x85c4 -0xa5823d19 -256 -256 -0 -0 -0 -3 -0x7f81 -0xa66a5612 -256 -256 -1 -0 -0 -4 -0xe5cc -0x907d4923 -256 -256 -0 -0 -0 -1 -0xcc24 -0x8b7457d8 -256 -256 -1 -0 -0 -1 -0x3e6f -0x7c8ce193 -256 -256 -0 -0 -0 -3 -0xd021 -0x688764e5 -256 -256 -0 -0 -0 -1 -0x650b -0xf2e30301 -256 -256 -1 -0 -0 -4 -0x9e08 -0x48b3e52 -256 -256 -1 -0 -0 -4 -0x8549 -0xae117cba -256 -256 -0 -0 -0 -4 -0xa825 -0x6fb42729 -256 -256 -0 -0 -0 -1 -0x57fd -0xb457906e -256 -256 -1 -0 -0 -1 -0xd658 -0x438e96da -256 -256 -1 -0 -0 -4 -0x9b26 -0xa9eb96b3 -256 -256 -0 -0 -0 -3 -0xdd9b -0xa0ca734 -256 -256 -0 -0 -0 -3 -0xf11 -0x517c608 -256 -256 -1 -0 -0 -2 -0xf757 -0x41c7987b -256 -256 -0 -0 -0 -4 -0x69ae -0x5285fa41 -256 -256 -1 -0 -0 -3 -0xf5e1 -0xd2a965aa -256 -256 -0 -0 -0 -1 -0x2b29 -0x441cb72c -256 -256 -1 -0 -0 -3 -0x778 -0x1a7e867c -256 -256 -1 -0 -0 -4 -0x9a2a -0x77275bbe -256 -256 -1 -0 -0 -4 -0xa589 -0xc48cde2b -256 -256 -0 -0 -0 -3 -0x4181 -0x1f51728c -256 -256 -0 -0 -0 -3 -0x821 -0x42722b5 -256 -256 -0 -0 -0 -3 -0xb271 -0x3aa316e -256 -256 -1 -0 -0 -4 -0x46d9 -0x9d1b6fcc -256 -256 -1 -0 -0 -1 -0x12e1 -0x831c3ceb -256 -256 -1 -0 -0 -4 -0xffac -0xdb83b661 -256 -256 -0 -0 -0 -1 -0x3d41 -0x4e65a431 -256 -256 -1 -0 -0 -4 -0x9001 -0x9c04116b -256 -256 -0 -0 -0 -2 -0xf9b4 -0x4177542c -256 -256 -1 -0 -0 -3 -0xdc78 -0x6ce55b10 -256 -256 -1 -0 -0 -2 -0xe2ec -0xcce069b -256 -256 -1 -0 -0 -3 -0x7576 -0xcfcd1071 -256 -256 -1 -0 -0 -1 -0xa617 -0xe80afdb1 -256 -256 -1 -0 -0 -3 -0x6641 -0x4f353cba -256 -256 -0 -0 -0 -4 -0xb31d -0xdb694350 -256 -256 -1 -0 -0 -1 -0xad17 -0x1f383d5c -256 -256 -0 -0 -0 -4 -0x3cb8 -0xc3d3f9d5 -256 -256 -0 -0 -0 -3 -0xc496 -0x288edce7 -256 -256 -0 -0 -0 -2 -0x9cad -0x839190c3 -256 -256 -0 -0 -0 -3 -0x5c46 -0xb7f51a59 -256 -256 -0 -0 -0 -2 -0xd608 -0x57d4f871 -256 -256 -0 -0 -0 -4 -0xaf0f -0x44a39e4c -256 -256 -1 -0 -0 -1 -0x3599 -0x119e27b1 -256 -256 -0 -0 -0 -4 -0xae57 -0x38f4e31e -256 -256 -0 -0 -0 -2 -0x9959 -0xa4393476 -256 -256 -0 -0 -0 -1 -0xf7b5 -0x596940aa -256 -256 -0 -0 -0 -3 -0xefdc -0xd86429b7 -256 -256 -0 -0 -0 -2 -0xca36 -0x4292a7ce -256 -256 -0 -0 -0 -4 -0x25ee -0x98034805 -256 -256 -0 -0 -0 -4 -0x807d -0xe4e560fa -256 -256 -0 -0 -0 -2 -0x673d -0xce51fca6 -256 -256 -0 -0 -0 -1 -0xb288 -0x919a2137 -256 -256 -1 -0 -0 -1 -0x4f9f -0x1fcf87c1 -256 -256 -0 -0 -0 -3 -0x1 -0x83dcccf1 -256 -256 -0 -0 -0 -4 -0xa78c -0x98f56075 -256 -256 -1 -0 -0 -3 -0x77da -0x239d9225 -256 -256 -1 -0 -0 -4 -0x1f5c -0x6fb89464 -256 -256 -1 -0 -0 -4 -0xb953 -0x2d077d11 -256 -256 -0 -0 -0 -2 -0x48ec -0xd2fe620 -256 -256 -0 -0 -0 -3 -0xe4c1 -0xd22119fb -256 -256 -0 -0 -0 -4 -0x64b6 -0xfc83d35f -256 -256 -1 -0 -0 -1 -0x8c19 -0x6ee9bdd -256 -256 -1 -0 -0 -3 -0xbc00 -0x32e5b548 -256 -256 -0 -0 -0 -4 -0xe0eb -0x98c3191d -256 -256 -0 -0 -0 -2 -0x1d87 -0x923a3c7e -256 -256 -0 -0 -0 -3 -0x4a8d -0xdfd5566d -256 -256 -1 -0 -0 -1 -0xfade -0x7c5d906f -256 -256 -1 -0 -0 -1 -0x9c55 -0x7e14694c -256 -256 -1 -0 -0 -3 -0xfc19 -0xd05c59a1 -256 -256 -0 -0 -0 -1 -0xfe28 -0x6c4ccc6f -256 -256 -1 -0 -0 -1 -0x5357 -0x4658c507 -256 -256 -1 -0 -0 -1 -0x5cb -0x1bfb4681 -256 -256 -0 -0 -0 -4 -0xe0de -0x1b77b79 -256 -256 -1 -0 -0 -2 -0x2e37 -0xf45722fe -256 -256 -0 -0 -0 -4 -0xf62e -0xe2196a2d -256 -256 -0 -0 -0 -3 -0x7ee3 -0x4757f670 -256 -256 -1 -0 -0 -3 -0xbbbf -0xaf2a71e2 -256 -256 -1 -0 -0 -2 -0x92a4 -0xd033c54d -256 -256 -1 -0 -0 -4 -0x7630 -0xe6d1cf88 -256 -256 -0 -0 -0 -1 -0xd2a5 -0x813876f5 -256 -256 -1 -0 -0 -2 -0x8d9a -0xd3dcb4cd -256 -256 -0 -0 -0 -2 -0xc2c1 -0x3dc5546b -256 -256 -1 -0 -0 -2 -0xc055 -0xb4c2c976 -256 -256 -1 -0 -0 -1 -0x2263 -0xc5103840 -256 -256 -1 -0 -0 -4 -0x87b1 -0x699cd462 -256 -256 -0 -0 -0 -3 -0x6a8d -0xbc0f8b48 -256 -256 -0 -0 -0 -1 -0x4f01 -0x93dc7988 -256 -256 -1 -0 -0 -2 -0x8fcf -0x8ab85dd7 -256 -256 -1 -0 -0 -2 -0x826e -0x4d7ee5a2 -256 -256 -0 -0 -0 -3 -0xe2dd -0xcccffd51 -256 -256 -0 -0 -0 -3 -0x4a61 -0x5d196cf1 -256 -256 -0 -0 -0 -3 -0x86e4 -0x7cc60381 -256 -256 -0 -0 -0 -2 -0xfa4f -0xa1ceab45 -256 -256 -1 -0 -0 -3 -0x4088 -0x725f4a14 -256 -256 -1 -0 -0 -1 -0xd81 -0xa52ff4ae -256 -256 -0 -0 -0 -2 -0x721 -0xd315fdb3 -256 -256 -0 -0 -0 -4 -0xfb1 -0x26c71bfc -256 -256 -1 -0 -0 -1 -0x4f57 -0x419397b2 -256 -256 -0 -0 -0 -2 -0x65ee -0x6b1b8252 -256 -256 -1 -0 -0 -1 -0xd78e -0x5a3b69b0 -256 -256 -0 -0 -0 -2 -0x11ea -0x18953acd -256 -256 -1 -0 -0 -2 -0xf213 -0xa0026dee -256 -256 -0 -0 -0 -3 -0x67a9 -0xa82cb75f -256 -256 -0 -0 -0 -3 -0x6112 -0xb471775d -256 -256 -0 -0 -0 -4 -0xeecf -0xcc921a74 -256 -256 -1 -0 -0 -2 -0x3f01 -0x7e136799 -256 -256 -0 -0 -0 -4 -0x87f7 -0xb0ec25cb -256 -256 -1 -0 -0 -2 -0xc878 -0x2de64a21 -256 -256 -1 -0 -0 -4 -0x6598 -0x23cc0c80 -256 -256 -0 -0 -0 -3 -0xe22b -0xe5396aec -256 -256 -1 -0 -0 -1 -0xa9d0 -0x42488ff7 -256 -256 -1 -0 -0 -3 -0x5725 -0x2af28663 -256 -256 -1 -0 -0 -3 -0x43a9 -0xcca593ef -256 -256 -0 -0 -0 -1 -0x8475 -0x301b8eae -256 -256 -1 -0 -0 -4 -0xb535 -0x683279d7 -256 -256 -0 -0 -0 -2 -0x5fca -0x597bd0dc -256 -256 -0 -0 -0 -3 -0x7e08 -0x1f29b0a1 -256 -256 -0 -0 -0 -2 -0x1448 -0x4487af6d -256 -256 -1 -0 -0 -4 -0xf5dc -0xec1a8a8e -256 -256 -0 -0 -0 -1 -0xd3b1 -0x1ee3d2be -256 -256 -0 -0 -0 -4 -0x975c -0x979033fc -256 -256 -0 -0 -0 -1 -0x2f8b -0xc8308c9b -256 -256 -0 -0 -0 -3 -0x3b99 -0xa2de2738 -256 -256 -0 -0 -0 -2 -0xa615 -0x2bf9bebf -256 -256 -1 -0 -0 -4 -0x1f88 -0x20769b60 -256 -256 -0 -0 -0 -2 -0xdc4 -0x1b9201f9 -256 -256 -1 -0 -0 -1 -0x8d2 -0x3c174bbc -256 -256 -1 -0 -0 -2 -0x941a -0xd851f416 -256 -256 -1 -0 -0 -3 -0xb3aa -0xfc349751 -256 -256 -0 -0 -0 -3 -0x9c15 -0xfe10b9b8 -256 -256 -1 -0 -0 -1 -0x9fd7 -0x1c5b0fd5 -256 -256 -0 -0 -0 -1 -0xcab7 -0x1043a558 -256 -256 -1 -0 -0 -2 -0xa734 -0x8aa5302e -256 -256 -0 -0 -0 -2 -0xc341 -0xcdaec6c2 -256 -256 -1 -0 -0 -1 -0xa6b2 -0xe99c28e4 -256 -256 -0 -0 -0 -4 -0x2a5 -0x5e240f82 -256 -256 -1 -0 -0 -2 -0xfe51 -0x2ab2ee5 -256 -256 -0 -0 -0 -2 -0xbfe6 -0x46b98eac -256 -256 -0 -0 -0 -2 -0xa98f -0x92be9392 -256 -256 -1 -0 -0 -4 -0x2f86 -0x169ab951 -256 -256 -1 -0 -0 -4 -0x75ff -0xe3ba3a91 -256 -256 -1 -0 -0 -2 -0x6a4d -0xaa1df72d -256 -256 -1 -0 -0 -1 -0xbf31 -0x34efb07e -256 -256 -1 -0 -0 -4 -0x9fe6 -0xfec887e3 -256 -256 -0 -0 -0 -4 -0xe264 -0xc455702b -256 -256 -0 -0 -0 -4 -0x4987 -0xe608a43b -256 -256 -1 -0 -0 -3 -0x14a8 -0xcfa7a46a -256 -256 -0 -0 -0 -3 -0xd9c0 -0x6b369446 -256 -256 -1 -0 -0 -4 -0xf0c3 -0xd1b6d4f2 -256 -256 -1 -0 -0 -1 -0xaefd -0xde584c16 -256 -256 -1 -0 -0 -1 -0x762c -0x73e929aa -256 -256 -0 -0 -0 -3 -0x483a -0xe319c2f9 -256 -256 -1 -0 -0 -4 -0xc4a0 -0x9ff1f0aa -256 -256 -1 -0 -0 -4 -0xef6f -0xcbcae1da -256 -256 -0 -0 -0 -3 -0xbab8 -0xd16bb1c2 -256 -256 -0 -0 -0 -3 -0x9f5c -0x290fc594 -256 -256 -0 -0 -0 -4 -0x314c -0x6dedced8 -256 -256 -0 -0 -0 -3 -0x7346 -0xc3c9a3d1 -256 -256 -0 -0 -0 -3 -0x6b3c -0x1e9830ae -256 -256 -1 -0 -0 -4 -0x25cc -0x3876e16f -256 -256 -1 -0 -0 -3 -0xe30e -0x98ab64e8 -256 -256 -0 -0 -0 -3 -0x1893 -0xd01d2f01 -256 -256 -1 -0 -0 -3 -0xece7 -0x18307e71 -256 -256 -1 -0 -0 -3 -0x3e2a -0x5f7c4d6e -256 -256 -0 -0 -0 -3 -0xf6f2 -0xf374db21 -256 -256 -0 -0 -0 -4 -0x1100 -0x5c8f66b5 -256 -256 -1 -0 -0 -3 -0x9c59 -0x79551f27 -256 -256 -1 -0 -0 -1 -0x6808 -0xfd80c6bb -256 -256 -0 -0 -0 -1 -0x8a1e -0x1fc78772 -256 -256 -1 -0 -0 -2 -0xc820 -0x34972cd3 -256 -256 -0 -0 -0 -3 -0xb447 -0xe787ed70 -256 -256 -0 -0 -0 -4 -0xad1b -0xc4fbf80c -256 -256 -1 -0 -0 -1 -0x1eb2 -0xfd31b702 -256 -256 -0 -0 -0 -1 -0x2383 -0x463515c5 -256 -256 -1 -0 -0 -3 -0x11c0 -0xe679872e -256 -256 -0 -0 -0 -4 -0x584e -0x6e831624 -256 -256 -1 -0 -0 -2 -0xc741 -0xe73fb23b -256 -256 -0 -0 -0 -2 -0x7178 -0x22466473 -256 -256 -1 -0 -0 -3 -0x75fc -0xe9ab952a -256 -256 -1 -0 -0 -2 -0x9566 -0x694f7ff8 -256 -256 -1 -0 -0 -3 -0x3096 -0xea7685e3 -256 -256 -1 -0 -0 -2 -0x2d76 -0x98115457 -256 -256 -1 -0 -0 -1 -0x4f2a -0xdc0e1b76 -256 -256 -1 -0 -0 -2 -0x3e77 -0xf8cfcbc7 -256 -256 -0 -0 -0 -4 -0xee57 -0x2021c10f -256 -256 -1 -0 -0 -4 -0x2db7 -0x46da210c -256 -256 -0 -0 -0 -1 -0xf309 -0xf358aeab -256 -256 -0 -0 -0 -2 -0xe5b7 -0x30fef719 -256 -256 -1 -0 -0 -2 -0x7cd9 -0x57193e9c -256 -256 -1 -0 -0 -1 -0xfb7f -0x78b4732b -256 -256 -0 -0 -0 -3 -0x87bb -0x54fad7a5 -256 -256 -0 -0 -0 -1 -0x27df -0x64f6d4d5 -256 -256 -1 -0 -0 -2 -0xa5c8 -0x336b7f5a -256 -256 -0 -0 -0 -4 -0x1ca -0xe168a311 -256 -256 -0 -0 -0 -4 -0xfcd6 -0x87ce4bc6 -256 -256 -1 -0 -0 -4 -0x135f -0x7c5b21e2 -256 -256 -0 -0 -0 -4 -0x94f3 -0xb4fdc4e2 -256 -256 -0 -0 -0 -1 -0x6134 -0x8a569806 -256 -256 -1 -0 -0 -1 -0xcbed -0xc8551c80 -256 -256 -1 -0 -0 -2 -0x2313 -0xf9c75bbe -256 -256 -1 -0 -0 -1 -0x1f40 -0xf7c829bb -256 -256 -0 -0 -0 -3 -0x1519 -0xec8bea28 -256 -256 -0 -0 -0 -4 -0x349a -0xcc3ac801 -256 -256 -1 -0 -0 -4 -0xadb -0x309b008c -256 -256 -0 -0 -0 -3 -0x2a0c -0xee4b39f3 -256 -256 -0 -0 -0 -3 -0x36c7 -0x2b2ec4d5 -256 -256 -1 -0 -0 -3 -0x99b9 -0x9a18463e -256 -256 -1 -0 -0 -1 -0xce8 -0xc1e8929b -256 -256 -0 -0 -0 -1 -0x899e -0x841f3492 -256 -256 -0 -0 -0 -4 -0xc8b8 -0x1dba4e14 -256 -256 -0 -0 -0 -4 -0xb3b2 -0x1a718aad -256 -256 -0 -0 -0 -2 -0xd382 -0xe24c6648 -256 -256 -1 -0 -0 -4 -0x9436 -0x1a37434d -256 -256 -1 -0 -0 -1 -0xb5b6 -0x78999c7f -256 -256 -0 -0 -0 -3 -0x86a5 -0x1ed95677 -256 -256 -1 -0 -0 -2 -0x7a32 -0xa0baa640 -256 -256 -0 -0 -0 -1 -0x480 -0x805ed68d -256 -256 -0 -0 -0 -3 -0x508a -0xddf8325d -256 -256 -1 -0 -0 -3 -0xa66e -0xd14cc21f -256 -256 -1 -0 -0 -2 -0x87e4 -0x57602a93 -256 -256 -0 -0 -0 -2 -0x9ce -0x382285de -256 -256 -0 -0 -0 -2 -0x2906 -0xbf15e8df -256 -256 -1 -0 -0 -2 -0x5acd -0xfbedb740 -256 -256 -0 -0 -0 -4 -0x68b5 -0x81f74204 -256 -256 -1 -0 -0 -3 -0x470e -0x2a16fe36 -256 -256 -1 -0 -0 -1 -0x3da6 -0xe4fe1943 -256 -256 -0 -0 -0 -3 -0x559 -0x19cd12f5 -256 -256 -0 -0 -0 -2 -0x9 -0x41e44f8a -256 -256 -1 -0 -0 -2 -0xdda3 -0xdcb84736 -256 -256 -1 -0 -0 -3 -0xeaf5 -0x4b0f237e -256 -256 -0 -0 -0 -1 -0x2641 -0xaad95157 -256 -256 -0 -0 -0 -4 -0x42fa -0xd3410073 -256 -256 -0 -0 -0 -2 -0x2fac -0x350acea2 -256 -256 -1 -0 -0 -2 -0xf6c3 -0xcbb9afa7 -256 -256 -0 -0 -0 -3 -0x5b90 -0xdc2ea400 -256 -256 -1 -0 -0 -3 -0xc295 -0x3f361361 -256 -256 -1 -0 -0 -2 -0x952b -0xc06abcaa -256 -256 -0 -0 -0 -4 -0xdd13 -0xe89503b7 -256 -256 -1 -0 -0 -2 -0x2cba -0xb544b931 -256 -256 -0 -0 -0 -2 -0x86af -0xd1bdf27a -256 -256 -1 -0 -0 -4 -0x4ace -0x8710163e -256 -256 -0 -0 -0 -3 -0xab9e -0xb4c472f -256 -256 -0 -0 -0 -2 -0xc3d7 -0xf23bac0 -256 -256 -0 -0 -0 -3 -0x3df0 -0x6b5668f5 -256 -256 -0 -0 -0 -4 -0xf26f -0x76a913bb -256 -256 -0 -0 -0 -2 -0x98a4 -0xf46c47c3 -256 -256 -0 -0 -0 -3 -0x6a3f -0x36cb889b -256 -256 -1 -0 -0 -3 -0x2493 -0xb0df2422 -256 -256 -0 -0 -0 -4 -0x5224 -0x50e9d651 -256 -256 -1 -0 -0 -1 -0x23a0 -0x8b64808d -256 -256 -1 -0 -0 -3 -0x3941 -0x8e0730d4 -256 -256 -0 -0 -0 -1 -0xa38e -0x3b35474c -256 -256 -0 -0 -0 -3 -0xd48f -0x8c73d163 -256 -256 -0 -0 -0 -1 -0x8c6e -0x3ee4b0e0 -256 -256 -1 -0 -0 -2 -0x2216 -0x714c9768 -256 -256 -0 -0 -0 -1 -0x89b0 -0x543c5906 -256 -256 -0 -0 -0 -2 -0xa662 -0x6348b0f0 -256 -256 -0 -0 -0 -3 -0x2c2d -0x864ccd18 -256 -256 -0 -0 -0 -2 -0xa862 -0xc7ca930 -256 -256 -1 -0 -0 -3 -0xe66d -0xcf621ae1 -256 -256 -1 -0 -0 -3 -0xdd49 -0xa92f110b -256 -256 -1 -0 -0 -4 -0x47f2 -0x81e397e3 -256 -256 -0 -0 -0 -1 -0x8d18 -0x8c80f511 -256 -256 -0 -0 -0 -1 -0x6fd2 -0xe7bed9cc -256 -256 -1 -0 -0 -3 -0xa940 -0x8c0b0721 -256 -256 -1 -0 -0 -2 -0xab7d -0xe7b9ab2 -256 -256 -1 -0 -0 -1 -0xc326 -0xd744a2a4 -256 -256 -1 -0 -0 -3 -0x9224 -0xddeb601e -256 -256 -1 -0 -0 -1 -0x3263 -0x6173925a -256 -256 -1 -0 -0 -1 -0x21cf -0x80474f1c -256 -256 -1 -0 -0 -2 -0x6a39 -0x7f50743d -256 -256 -0 -0 -0 -3 -0x4696 -0x49da7fed -256 -256 -0 -0 -0 -1 -0x2704 -0x593d0cdc -256 -256 -0 -0 -0 -1 -0x41ec -0xdbdbf6eb -256 -256 -0 -0 -0 -2 -0x7647 -0x2c058654 -256 -256 -1 -0 -0 -4 -0x93dc -0xa79882cd -256 -256 -0 -0 -0 -1 -0xa856 -0xdfd8ad1 -256 -256 -1 -0 -0 -3 -0x9b19 -0x23d4b6bd -256 -256 -0 -0 -0 -2 -0x577f -0x298b7580 -256 -256 -0 -0 -0 -1 -0x3112 -0x621a7f3d -256 -256 -0 -0 -0 -3 -0x3a6e -0xb2f063d5 -256 -256 -0 -0 -0 -2 -0x684b -0x74d6069d -256 -256 -1 -0 -0 -2 -0x6b45 -0x7a798150 -256 -256 -1 -0 -0 -2 -0x5db9 -0x72c54bbd -256 -256 -1 -0 -0 -3 -0xc1bd -0x607aafeb -256 -256 -0 -0 -0 -1 -0x6dcc -0x36a11bb -256 -256 -1 -0 -0 -3 -0xf2a2 -0xd91acca9 -256 -256 -1 -0 -0 -4 -0x9e88 -0x9b553403 -256 -256 -1 -0 -0 -2 -0xb7f3 -0x14d4f9ec -256 -256 -0 -0 -0 -4 -0x5ac6 -0x7a97c0ce -256 -256 -0 -0 -0 -2 -0x7389 -0x7f764b67 -256 -256 -1 -0 -0 -1 -0xbcb2 -0x9f07b148 -256 -256 -0 -0 -0 -2 -0xec9e -0x776eb7cc -256 -256 -0 -0 -0 -3 -0x6ae1 -0x3d285085 -256 -256 -1 -0 -0 -2 -0xec7c -0xd4d72d0c -256 -256 -1 -0 -0 -2 -0x3740 -0xf5bd3df6 -256 -256 -0 -0 -0 -2 -0x126e -0xcf3cbe43 -256 -256 -0 -0 -0 -4 -0xdf1f -0x90fc5020 -256 -256 -0 -0 -0 -1 -0x91a5 -0x8a54f504 -256 -256 -1 -0 -0 -1 -0xed98 -0x454d123b -256 -256 -1 -0 -0 -2 -0x3ead -0xee275a8c -256 -256 -0 -0 -0 -2 -0x1a51 -0x4589a046 -256 -256 -1 -0 -0 -3 -0x9e41 -0x3dc9c861 -256 -256 -1 -0 -0 -4 -0x6e1f -0x6f61908d -256 -256 -1 -0 -0 -2 -0x8321 -0x4891563 -256 -256 -0 -0 -0 -4 -0xb850 -0x125b4fb0 -256 -256 -0 -0 -0 -1 -0x46ae -0x85e822a2 -256 -256 -0 -0 -0 -1 -0x837b -0xb8207d64 -256 -256 -0 -0 -0 -4 -0x38d -0x2b133807 -256 -256 -0 -0 -0 -4 -0x8d5f -0x5d8216a2 -256 -256 -0 -0 -0 -4 -0x3e84 -0x146f07f8 -256 -256 -1 -0 -0 -3 -0x321e -0xff3460f2 -256 -256 -1 -0 -0 -3 -0xc9a8 -0x17446c0 -256 -256 -1 -0 -0 -2 -0xb1bc -0x9c0e6db8 -256 -256 -0 -0 -0 -1 -0xed26 -0xb6b95502 -256 -256 -0 -0 -0 -3 -0x2be8 -0xd50cdb7b -256 -256 -1 -0 -0 -4 -0x7e48 -0xc4168e70 -256 -256 -0 -0 -0 -1 -0x78e6 -0xc5878c07 -256 -256 -1 -0 -0 -3 -0x6d9e -0xeee03ba0 -256 -256 -0 -0 -0 -2 -0xd72a -0x85929217 -256 -256 -0 -0 -0 -2 -0xb96f -0xc4f849ff -256 -256 -1 -0 -0 -1 -0xcd2a -0x67126a57 -256 -256 -1 -0 -0 -2 -0x61b6 -0xa1007dd3 -256 -256 -1 -0 -0 -1 -0xafaa -0xb67cb3a2 -256 -256 -0 -0 -0 -2 -0xfa4c -0x9db51cca -256 -256 -1 -0 -0 -1 -0x8e36 -0x5416e89d -256 -256 -1 -0 -0 -1 -0xceae -0x1e25b0ce -256 -256 -0 -0 -0 -1 -0x9437 -0xe105e193 -256 -256 -0 -0 -0 -3 -0x39fd -0xf8adce63 -256 -256 -1 -0 -0 -2 -0xd44b -0xa4956add -256 -256 -1 -0 -0 -3 -0x4468 -0x68c4caa9 -256 -256 -0 -0 -0 -4 -0x8871 -0x3221a441 -256 -256 -1 -0 -0 -1 -0xbaa9 -0x99857c5c -256 -256 -0 -0 -0 -4 -0xb131 -0xfd804f7 -256 -256 -0 -0 -0 -3 -0xd140 -0x2ec97c55 -256 -256 -0 -0 -0 -4 -0x21f8 -0x92ce38a9 -256 -256 -0 -0 -0 -4 -0x97f3 -0x30f96524 -256 -256 -1 -0 -0 -3 -0xfd9a -0x35ff2a45 -256 -256 -1 -0 -0 -4 -0xe56 -0x80cc8392 -256 -256 -1 -0 -0 -3 -0xd10e -0x634c1f70 -256 -256 -1 -0 -0 -4 -0xb10c -0x73c7833 -256 -256 -0 -0 -0 -2 -0xdc9c -0x3e09f891 -256 -256 -0 -0 -0 -1 -0xe70d -0xb07e3dee -256 -256 -1 -0 -0 -2 -0xe9be -0x60396f2e -256 -256 -1 -0 -0 -3 -0x5edd -0xc5acce7 -256 -256 -0 -0 -0 -2 -0xbca2 -0x94bfa39d -256 -256 -0 -0 -0 -2 -0x841b -0xf8712b3 -256 -256 -1 -0 -0 -2 -0x1f5d -0x7adb0a58 -256 -256 -0 -0 -0 -3 -0x5d3d -0x877de54d -256 -256 -1 -0 -0 -2 -0x1fcf -0x8405f7ff -256 -256 -1 -0 -0 -4 -0xd195 -0x7165b09b -256 -256 -0 -0 -0 -2 -0xd925 -0x6736af49 -256 -256 -1 -0 -0 -3 -0xac0b -0xbb04b937 -256 -256 -0 -0 -0 -2 -0x5abf -0x2f08b71c -256 -256 -0 -0 -0 -4 -0x60b5 -0x1478778d -256 -256 -0 -0 -0 -4 -0x7a2e -0x89b46709 -256 -256 -0 -0 -0 -4 -0xfb96 -0x686d8a6c -256 -256 -0 -0 -0 -2 -0x6429 -0x3ead3863 -256 -256 -1 -0 -0 -3 -0xbf0f -0x1c12cae2 -256 -256 -0 -0 -0 -2 -0x502c -0x73695e61 -256 -256 -0 -0 -0 -1 -0xd441 -0xd3ccbf4a -256 -256 -0 -0 -0 -2 -0x14d3 -0x6da6b491 -256 -256 -0 -0 -0 -4 -0x8823 -0xc97b0548 -256 -256 -1 -0 -0 -3 -0xfb0a -0x58fca777 -256 -256 -1 -0 -0 -3 -0xe5ae -0xec70823a -256 -256 -1 -0 -0 -1 -0x2401 -0x139397a5 -256 -256 -0 -0 -0 -4 -0xec1e -0x9393a599 -256 -256 -1 -0 -0 -2 -0xf6af -0x7a4e2225 -256 -256 -1 -0 -0 -4 -0x130f -0x3d600fb -256 -256 -1 -0 -0 -3 -0x1d7b -0xfae1e045 -256 -256 -0 -0 -0 -4 -0x8ef8 -0x177073d7 -256 -256 -0 -0 -0 -4 -0x952d -0xbc8cc7cc -256 -256 -1 -0 -0 -1 -0xd392 -0x617e7427 -256 -256 -1 -0 -0 -3 -0x9e59 -0xd5db8cd7 -256 -256 -1 -0 -0 -4 -0x2516 -0x488b6c89 -256 -256 -0 -0 -0 -4 -0x1890 -0x355f4264 -256 -256 -0 -0 -0 -2 -0x1ee3 -0x3f308f56 -256 -256 -0 -0 -0 -1 -0x9a4f -0xfb4bedd7 -256 -256 -1 -0 -0 -3 -0x55ac -0x79e6684e -256 -256 -1 -0 -0 -4 -0xe3c4 -0x31a914ea -256 -256 -0 -0 -0 -3 -0x8784 -0xbb35b2e7 -256 -256 -0 -0 -0 -4 -0xaa3b -0x34fbba88 -256 -256 -1 -0 -0 -1 -0x31d0 -0x578dfa74 -256 -256 -0 -0 -0 -1 -0x31ee -0xc98a7141 -256 -256 -0 -0 -0 -4 -0xd5da -0x12945910 -256 -256 -0 -0 -0 -1 -0xad61 -0x217fc87c -256 -256 -0 -0 -0 -1 -0xa935 -0xfc538337 -256 -256 -0 -0 -0 -2 -0x446f -0x1a17b8a0 -256 -256 -0 -0 -0 -4 -0x6207 -0x247f82cd -256 -256 -1 -0 -0 -1 -0x33e -0x54582402 -256 -256 -1 -0 -0 -1 -0xc2ea -0xb0106e14 -256 -256 -0 -0 -0 -3 -0xe80b -0x303db766 -256 -256 -0 -0 -0 -2 -0x7b3e -0x600499aa -256 -256 -0 -0 -0 -2 -0xd79 -0x569e7194 -256 -256 -1 -0 -0 -2 -0x4757 -0xc79549bf -256 -256 -1 -0 -0 -2 -0x3885 -0x97887184 -256 -256 -1 -0 -0 -3 -0x1c87 -0xcdf3d5cd -256 -256 -0 -0 -0 -2 -0xe0d9 -0x9f01109a -256 -256 -0 -0 -0 -3 -0xf1e1 -0x5359ba10 -256 -256 -0 -0 -0 -1 -0xba38 -0xfdfc6e1 -256 -256 -1 -0 -0 -4 -0x11fa -0x3a70aca7 -256 -256 -1 -0 -0 -1 -0x9f4 -0x9200987e -256 -256 -1 -0 -0 -3 -0x82b7 -0xe8577e59 -256 -256 -0 -0 -0 -3 -0x63e5 -0x5df2fd7d -256 -256 -1 -0 -0 -1 -0x41b -0xb212295f -256 -256 -1 -0 -0 -2 -0x85d3 -0x102d426c -256 -256 -1 -0 -0 -2 -0x66e7 -0x518923c2 -256 -256 -1 -0 -0 -3 -0x479e -0xfd6a10bd -256 -256 -0 -0 -0 -4 -0x85d9 -0x12f88a45 -256 -256 -0 -0 -0 -1 -0xf8d3 -0x37c8624a -256 -256 -0 -0 -0 -4 -0x67db -0x3303979 -256 -256 -0 -0 -0 -2 -0xbdc2 -0xac464f2a -256 -256 -1 -0 -0 -4 -0xe2cd -0x528c2be4 -256 -256 -1 -0 -0 -4 -0x7ec7 -0x169b2ef8 -256 -256 -1 -0 -0 -1 -0x768f -0xebaf47dc -256 -256 -1 -0 -0 -4 -0xd248 -0xb8414517 -256 -256 -0 -0 -0 -3 -0xa5ed -0x55c7d426 -256 -256 -0 -0 -0 -1 -0x36d2 -0xbcbc14e -256 -256 -1 -0 -0 -1 -0x373a -0x971caa -256 -256 -1 -0 -0 -4 -0x1543 -0xda0d225e -256 -256 -1 -0 -0 -4 -0xd683 -0xc723a462 -256 -256 -1 -0 -0 -4 -0x67f0 -0x39e694cc -256 -256 -1 -0 -0 -2 -0x2c0 -0x637134ea -256 -256 -1 -0 -0 -1 -0x4ae9 -0x27a3669c -256 -256 -1 -0 -0 -1 -0x595a -0x1746644b -256 -256 -0 -0 -0 -1 -0x75c -0x2a046aea -256 -256 -1 -0 -0 -3 -0xe53a -0x44460ab3 -256 -256 -1 -0 -0 -3 -0xead5 -0x91cb3343 -256 -256 -1 -0 -0 -4 -0xf5f6 -0x955a808c -256 -256 -0 -0 -0 -3 -0xe57d -0x8accf492 -256 -256 -0 -0 -0 -4 -0x139f -0x5554c8b2 -256 -256 -1 -0 -0 -1 -0x8910 -0xdb60adca -256 -256 -1 -0 -0 -4 -0xdd7 -0x846d1664 -256 -256 -1 -0 -0 -3 -0xea14 -0x962c7e3 -256 -256 -1 -0 -0 -1 -0xd52e -0x1d44b29d -256 -256 -0 -0 -0 -4 -0x521b -0xdb6bc93f -256 -256 -1 -0 -0 -1 -0x12bd -0x8d4a3164 -256 -256 -0 -0 -0 -4 -0x2de7 -0xfa417b80 -256 -256 -1 -0 -0 -4 -0x6722 -0x80f5b2f1 -256 -256 -1 -0 -0 -4 -0x4006 -0xd47376c4 -256 -256 -1 -0 -0 -4 -0x1fde -0x53d0c089 -256 -256 -1 -0 -0 -1 -0x473e -0x3e820651 -256 -256 -1 -0 -0 -3 -0xe420 -0x5f45284c -256 -256 -0 -0 -0 -3 -0x48da -0x6efa3d13 -256 -256 -1 -0 -0 -1 -0x30c4 -0x473a1fa3 -256 -256 -1 -0 -0 -3 -0x3c04 -0xf3cd7fe8 -256 -256 -0 -0 -0 -2 -0xd2ca -0x42144451 -256 -256 -0 -0 -0 -4 -0x7df7 -0x1dbcf1a6 -256 -256 -0 -0 -0 -3 -0x17a8 -0xd81b4201 -256 -256 -1 -0 -0 -4 -0x170b -0xb0b6a059 -256 -256 -1 -0 -0 -2 -0xd8fe -0x618c8edc -256 -256 -1 -0 -0 -1 -0x6d37 -0x5e7d2f32 -256 -256 -1 -0 -0 -2 -0xeaaf -0x9c31fcca -256 -256 -1 -0 -0 -1 -0x7b7c -0xfccad735 -256 -256 -0 -0 -0 -3 -0x6d7d -0x722374e3 -256 -256 -0 -0 -0 -1 -0xbf86 -0x972e9df8 -256 -256 -0 -0 -0 -2 -0x71bc -0x371a6320 -256 -256 -0 -0 -0 -2 -0x2245 -0xea5f6ca7 -256 -256 -1 -0 -0 -4 -0x91f1 -0xda12cc4e -256 -256 -1 -0 -0 -1 -0x30ea -0x3de096b3 -256 -256 -1 -0 -0 -1 -0x99d0 -0xd0532385 -256 -256 -0 -0 -0 -1 -0x19ed -0xad83f293 -256 -256 -0 -0 -0 -3 -0xbcfc -0xb6678958 -256 -256 -0 -0 -0 -1 -0x6040 -0xfcce6f41 -256 -256 -0 -0 -0 -4 -0x10f9 -0x50b1cd6e -256 -256 -1 -0 -0 -4 -0xef97 -0x574fd05f -256 -256 -0 -0 -0 -1 -0x76a2 -0x4c89c737 -256 -256 -1 -0 -0 -1 -0x5a2 -0xfd0495b6 -256 -256 -1 -0 -0 -4 -0x5768 -0x8d2d38d8 -256 -256 -0 -0 -0 -3 -0x9b2 -0x94524561 -256 -256 -1 -0 -0 -4 -0x144f -0x3a40457 -256 -256 -1 -0 -0 -3 -0x29d3 -0x771416f2 -256 -256 -1 -0 -0 -2 -0xbf9b -0x70010996 -256 -256 -1 -0 -0 -3 -0x90c2 -0x87bacddb -256 -256 -0 -0 -0 -4 -0xd792 -0xe2537f87 -256 -256 -0 -0 -0 -1 -0x7ebf -0x5408f606 -256 -256 -1 -0 -0 -4 -0xe246 -0x9f943e6f -256 -256 -0 -0 -0 -1 -0xfe0c -0x9af8a440 -256 -256 -0 -0 -0 -2 -0xfae0 -0xf89a46bc -256 -256 -0 -0 -0 -1 -0x3c7 -0x666acf22 -256 -256 -1 -0 -0 -4 -0x6279 -0x84228788 -256 -256 -1 -0 -0 -2 -0xeec1 -0xdc7c10ae -256 -256 -1 -0 -0 -3 -0xd0ef -0xe49f5872 -256 -256 -0 -0 -0 -4 -0xa012 -0x9986f3e3 -256 -256 -0 -0 -0 -4 -0xd860 -0x3147844f -256 -256 -0 -0 -0 -3 -0xb07b -0xf39e2bad -256 -256 -0 -0 -0 -1 -0x88e7 -0x60fb22dc -256 -256 -1 -0 -0 -2 -0xc8d -0x220b73ef -256 -256 -0 -0 -0 -2 -0xa848 -0x37ee71c -256 -256 -1 -0 -0 -3 -0x6de9 -0x8c7fd200 -256 -256 -0 -0 -0 -3 -0x4ee2 -0x7831d25c -256 -256 -1 -0 -0 -1 -0xd30c -0xf38a3d2a -256 -256 -0 -0 -0 -3 -0x42fd -0xfa4f8e0d -256 -256 -0 -0 -0 -4 -0xc10a -0x56e5eede -256 -256 -0 -0 -0 -4 -0xb8bd -0xef145581 -256 -256 -1 -0 -0 -1 -0xae6b -0xe0a07a70 -256 -256 -1 -0 -0 -3 -0xe913 -0xa41cf19b -256 -256 -0 -0 -0 -3 -0xc8f1 -0xe85cb0ce -256 -256 -0 -0 -0 -3 -0x936f -0xf43a4871 -256 -256 -0 -0 -0 -1 -0x388 -0x5afcf5af -256 -256 -0 -0 -0 -2 -0x44c6 -0x2f20479f -256 -256 -1 -0 -0 -2 -0x5775 -0x8f269703 -256 -256 -1 -0 -0 -1 -0x83d5 -0x75fc44da -256 -256 -0 -0 -0 -2 -0x1b6f -0xbf6b4b97 -256 -256 -0 -0 -0 -3 -0x4f70 -0x6857f9ac -256 -256 -1 -0 -0 -1 -0xa190 -0x3e136c5e -256 -256 -1 -0 -0 -1 -0x1cf4 -0x1615e8df -256 -256 -1 -0 -0 -2 -0xcc22 -0xcd5d9140 -256 -256 -0 -0 -0 -3 -0xe045 -0xbc4d1f7d -256 -256 -0 -0 -0 -4 -0x601f -0x8ba7d7f0 -256 -256 -0 -0 -0 -4 -0x109 -0x526448dd -256 -256 -1 -0 -0 -3 -0x91cb -0xb71347da -256 -256 -1 -0 -0 -4 -0xf5de -0x6b452b59 -256 -256 -0 -0 -0 -4 -0xdcfa -0xcf7a7a08 -256 -256 -0 -0 -0 -1 -0x6b6a -0xa61a9713 -256 -256 -0 -0 -0 -1 -0x3020 -0xafa3e85c -256 -256 -0 -0 -0 -3 -0x6fa0 -0x690d587f -256 -256 -0 -0 -0 -1 -0x69b6 -0xa16ad90 -256 -256 -1 -0 -0 -2 -0xe463 -0xf3d79b09 -256 -256 -0 -0 -0 -2 -0x6b2a -0xcd6092d2 -256 -256 -1 -0 -0 -2 -0x84c6 -0x6518e512 -256 -256 -0 -0 -0 -1 -0xf632 -0x10ad74fa -256 -256 -1 -0 -0 -3 -0x393f -0x2ace0862 -256 -256 -0 -0 -0 -2 -0x2ad3 -0xbf51bfeb -256 -256 -1 -0 -0 -3 -0x92ca -0xfd5f17a1 -256 -256 -1 -0 -0 -3 -0x2b47 -0xf6719bd0 -256 -256 -0 -0 -0 -3 -0x66b8 -0x87b813d2 -256 -256 -1 -0 -0 -4 -0x869b -0x76fb4c6d -256 -256 -0 -0 -0 -2 -0x6a83 -0xed2576cf -256 -256 -1 -0 -0 -2 -0x3ff6 -0x11cdebb -256 -256 -0 -0 -0 -1 -0x4e61 -0x93033177 -256 -256 -0 -0 -0 -4 -0xeb7 -0x106f5c66 -256 -256 -1 -0 -0 -2 -0xa8f8 -0x2d71f5a8 -256 -256 -1 -0 -0 -4 -0x54b7 -0xd6bc90ac -256 -256 -1 -0 -0 -4 -0x7caf -0x2c61077a -256 -256 -0 -0 -0 -4 -0x6c19 -0x40c58d8a -256 -256 -1 -0 -0 -2 -0x4d90 -0xf0825b27 -256 -256 -1 -0 -0 -3 -0xcf9a -0xe510c507 -256 -256 -1 -0 -0 -4 -0xe81f -0x42c0af75 -256 -256 -0 -0 -0 -1 -0x366f -0x1e4661b0 -256 -256 -1 -0 -0 -3 -0x5c9 -0xfa404460 -256 -256 -0 -0 -0 -3 -0xb43c -0xf812b18a -256 -256 -0 -0 -0 -1 -0x1fc -0x334dd27c -256 -256 -0 -0 -0 -3 -0xc84e -0xb7297b6c -256 -256 -0 -0 -0 -4 -0x747d -0xb4b3050e -256 -256 -0 -0 -0 -4 -0x240 -0x868845b9 -256 -256 -0 -0 -0 -2 -0xced2 -0x5d4a60df -256 -256 -0 -0 -0 -3 -0xbc7e -0xc3eb36b -256 -256 -0 -0 -0 -2 -0xa625 -0x58a0d9f1 -256 -256 -0 -0 -0 -1 -0x3e70 -0x6fa90f35 -256 -256 -1 -0 -0 -2 -0x5ea4 -0x42fae42c -256 -256 -1 -0 -0 -1 -0x99ba -0xf7adc723 -256 -256 -0 -0 -0 -3 -0x2e8a -0xcede65b7 -256 -256 -1 -0 -0 -1 -0x454 -0x57aba84e -256 -256 -1 -0 -0 -2 -0xd0c1 -0x6719c5f7 -256 -256 -0 -0 -0 -1 -0x8481 -0x5ceaa0b8 -256 -256 -0 -0 -0 -3 -0x32da -0xd6461b9c -256 -256 -0 -0 -0 -2 -0xff18 -0x92df4656 -256 -256 -0 -0 -0 -4 -0x5a42 -0x3848c7db -256 -256 -0 -0 -0 -4 -0x3c0 -0x3947716a -256 -256 -0 -0 -0 -2 -0x57d1 -0x14be0c2e -256 -256 -1 -0 -0 -3 -0x277f -0x178c01f8 -256 -256 -1 -0 -0 -4 -0x524f -0xf7ce5a81 -256 -256 -0 -0 -0 -3 -0xb6e9 -0x59e30078 -256 -256 -1 -0 -0 -3 -0xe116 -0xbc10e564 -256 -256 -1 -0 -0 -4 -0xe8d3 -0xaed6383b -256 -256 -1 -0 -0 -3 -0xdbf -0xd6bba31d -256 -256 -0 -0 -0 -4 -0xbb8 -0x2c04cb25 -256 -256 -1 -0 -0 -1 -0x25c8 -0x7e801254 -256 -256 -0 -0 -0 -1 -0x974 -0xb95bff92 -256 -256 -0 -0 -0 -2 -0x11f6 -0xf0a093ee -256 -256 -1 -0 -0 -4 -0xff5e -0x8831ff28 -256 -256 -0 -0 -0 -4 -0xe8d4 -0xec3d2d72 -256 -256 -0 -0 -0 -2 -0x6f96 -0x84aad465 -256 -256 -1 -0 -0 -3 -0xea35 -0xbcfedeb1 -256 -256 -0 -0 -0 -2 -0x2da2 -0x993a35f2 -256 -256 -0 -0 -0 -4 -0x4bfb -0x178f32d8 -256 -256 -0 -0 -0 -2 -0x5bfa -0xbf908ea7 -256 -256 -1 -0 -0 -3 -0xbcdd -0xeef087e6 -256 -256 -1 -0 -0 -4 -0xe6f8 -0xf3709f59 -256 -256 -0 -0 -0 -1 -0xbbc7 -0x8756a544 -256 -256 -0 -0 -0 -2 -0x48c1 -0x7898fe4a -256 -256 -1 -0 -0 -4 -0x217 -0xfad132b8 -256 -256 -0 -0 -0 -3 -0xbaeb -0x2560dab4 -256 -256 -1 -0 -0 -2 -0xacc6 -0xab12af59 -256 -256 -0 -0 -0 -1 -0x1298 -0x9e1ddf4a -256 -256 -1 -0 -0 -4 -0x93b -0x860bd466 -256 -256 -1 -0 -0 -1 -0x4463 -0xaa273d63 -256 -256 -1 -0 -0 -1 -0x749b -0xc785b0ea -256 -256 -0 -0 -0 -3 -0x9dfe -0x284aedf2 -256 -256 -0 -0 -0 -1 -0x95e7 -0xd5aff79d -256 -256 -0 -0 -0 -4 -0xc30f -0xa123fc1a -256 -256 -1 -0 -0 -2 -0xf900 -0x8597b8a7 -256 -256 -0 -0 -0 -2 -0xc5ef -0x6b6b9db6 -256 -256 -0 -0 -0 -2 -0x5732 -0x3ebd2895 -256 -256 -0 -0 -0 -2 -0x2a5e -0xf3721e6 -256 -256 -0 -0 -0 -2 -0x550e -0xc882725 -256 -256 -0 -0 -0 -4 -0xf335 -0xcffdf703 -256 -256 -0 -0 -0 -4 -0x4600 -0x3ec64d2d -256 -256 -1 -0 -0 -3 -0x8edb -0x20d4c5b4 -256 -256 -1 -0 -0 -1 -0xec6c -0x2710d28d -256 -256 -1 -0 -0 -3 -0x3bc8 -0x899b0f5 -256 -256 -1 -0 -0 -4 -0x5ee2 -0xa0f860c8 -256 -256 -1 -0 -0 -3 -0x9874 -0x161daa97 -256 -256 -1 -0 -0 -1 -0x3297 -0xf6f30344 -256 -256 -1 -0 -0 -4 -0x7235 -0x4269be09 -256 -256 -1 -0 -0 -3 -0xc763 -0x7c7fd030 -256 -256 -1 -0 -0 -2 -0xc2be -0x59acf54e -256 -256 -1 -0 -0 -4 -0xe02b -0x9372e6f1 -256 -256 -0 -0 -0 -1 -0x9582 -0x4b2f90ee -256 -256 -0 -0 -0 -3 -0xda93 -0x83960719 -256 -256 -0 -0 -0 -3 -0x3ecb -0x42e8b24c -256 -256 -0 -0 -0 -4 -0xf4c1 -0xe41d201f -256 -256 -0 -0 -0 -2 -0x68c -0x2b4864b7 -256 -256 -0 -0 -0 -1 -0x135e -0x5742c248 -256 -256 -0 -0 -0 -3 -0xc34 -0x9db2f34d -256 -256 -1 -0 -0 -3 -0x443f -0xf44dc797 -256 -256 -1 -0 -0 -4 -0xb097 -0x35473482 -256 -256 -0 -0 -0 -3 -0x4f67 -0x96091496 -256 -256 -1 -0 -0 -3 -0xe176 -0xb2fb7153 -256 -256 -1 -0 -0 -2 -0x1e35 -0xdb7dfff3 -256 -256 -0 -0 -0 -4 -0x6cde -0x78b81e52 -256 -256 -1 -0 -0 -4 -0x4207 -0x40ce000f -256 -256 -0 -0 -0 -1 -0x477c -0x91848792 -256 -256 -0 -0 -0 -4 -0x9492 -0xdd0c06a5 -256 -256 -1 -0 -0 -2 -0x9705 -0x64b4ee69 -256 -256 -0 -0 -0 -2 -0x1d08 -0x6eeef40d -256 -256 -1 -0 -0 -2 -0x111b -0x18aaf6a8 -256 -256 -0 -0 -0 -3 -0x186f -0x64c3715c -256 -256 -1 -0 -0 -2 -0x9c98 -0xe1243d4a -256 -256 -1 -0 -0 -1 -0x30fc -0xc5b28d9f -256 -256 -1 -0 -0 -1 -0x987d -0x5f92653 -256 -256 -1 -0 -0 -4 -0x354b -0xd2cf260b -256 -256 -0 -0 -0 -2 -0xf25a -0xe4b2fddc -256 -256 -0 -0 -0 -4 -0x7b21 -0xac9310a6 -256 -256 -1 -0 -0 -2 -0xf7e5 -0xe86c64e -256 -256 -0 -0 -0 -1 -0x1f2 -0xbc79b4ff -256 -256 -1 -0 -0 -1 -0x273f -0x2723a361 -256 -256 -1 -0 -0 -3 -0x39dc -0x32ac47d1 -256 -256 -1 -0 -0 -2 -0x8776 -0x18e3832d -256 -256 -1 -0 -0 -4 -0xdf -0x3cb5e78d -256 -256 -0 -0 -0 -4 -0x1a45 -0x1e2c6025 -256 -256 -1 -0 -0 -4 -0x634e -0x7beba690 -256 -256 -0 -0 -0 -1 -0xcd67 -0x65c315aa -256 -256 -1 -0 -0 -2 -0x3995 -0xd1540831 -256 -256 -1 -0 -0 -3 -0x4b1 -0xd32c2ae6 -256 -256 -0 -0 -0 -3 -0x9de3 -0x522cd17f -256 -256 -1 -0 -0 -4 -0x7e71 -0xf4dd9c4c -256 -256 -0 -0 -0 -3 -0x437e -0x648772ce -256 -256 -1 -0 -0 -3 -0x8ee2 -0x3e3b6845 -256 -256 -0 -0 -0 -2 -0x4991 -0xf5d4ba91 -256 -256 -1 -0 -0 -1 -0x8484 -0x515be0f5 -256 -256 -0 -0 -0 -4 -0xf6a9 -0x50133a3e -256 -256 -0 -0 -0 -3 -0x91f7 -0x7def4d46 -256 -256 -1 -0 -0 -4 -0xd5ae -0x523d213e -256 -256 -1 -0 -0 -3 -0xf216 -0xc1fe7815 -256 -256 -1 -0 -0 -3 -0x9591 -0x1c55794e -256 -256 -1 -0 -0 -1 -0xe58a -0xd6b989a3 -256 -256 -1 -0 -0 -2 -0x3f21 -0xeb127792 -256 -256 -1 -0 -0 -4 -0x1e4f -0x3bf60a4a -256 -256 -0 -0 -0 -3 -0x251d -0xf684da42 -256 -256 -0 -0 -0 -2 -0xd771 -0x9dbba207 -256 -256 -0 -0 -0 -1 -0x7e83 -0xe990b9c1 -256 -256 -1 -0 -0 -3 -0xcddd -0x1cad6eed -256 -256 -1 -0 -0 -1 -0xd640 -0x5a9ec683 -256 -256 -1 -0 -0 -2 -0x1dee -0x3e836f77 -256 -256 -1 -0 -0 -3 -0xa4a6 -0x661cd4df -256 -256 -1 -0 -0 -3 -0xc1bd -0xae250541 -256 -256 -0 -0 -0 -1 -0x85d9 -0xe299cae -256 -256 -0 -0 -0 -1 -0xa45a -0x34a285a0 -256 -256 -1 -0 -0 -1 -0x3818 -0x3404fb35 -256 -256 -0 -0 -0 -4 -0x34ba -0x101d247a -256 -256 -1 -0 -0 -4 -0xdfe2 -0x24832efd -256 -256 -0 -0 -0 -3 -0x910c -0x5ebae1f -256 -256 -1 -0 -0 -2 -0x47a6 -0xc90eb23f -256 -256 -0 -0 -0 -4 -0x7e31 -0xed2fc383 -256 -256 -1 -0 -0 -2 -0x5682 -0x3da60135 -256 -256 -1 -0 -0 -2 -0x9c92 -0x88eb8ae -256 -256 -1 -0 -0 -1 -0x8b -0x8a680c7f -256 -256 -1 -0 -0 -1 -0x55b6 -0x4596a4b4 -256 -256 -1 -0 -0 -4 -0xdb47 -0x9d0c8011 -256 -256 -0 -0 -0 -2 -0xcdc2 -0x727903d4 -256 -256 -1 -0 -0 -3 -0x7161 -0x9c1651af -256 -256 -1 -0 -0 -3 -0x464d -0x78f1e43a -256 -256 -1 -0 -0 -1 -0x4300 -0x7e6af732 -256 -256 -1 -0 -0 -4 -0x29e3 -0x94570134 -256 -256 -1 -0 -0 -3 -0x8a40 -0xe8577d36 -256 -256 -1 -0 -0 -2 -0x7a18 -0x8bbacac0 -256 -256 -1 -0 -0 -2 -0xc213 -0x580ec0a9 -256 -256 -1 -0 -0 -4 -0x244d -0x42cf071a -256 -256 -0 -0 -0 -1 -0x3707 -0x98f8da81 -256 -256 -1 -0 -0 -4 -0x44ce -0x1cee0078 -256 -256 -1 -0 -0 -4 -0x46f6 -0x50e5b076 -256 -256 -1 -0 -0 -1 -0xac58 -0x371843e4 -256 -256 -1 -0 -0 -3 -0x1de -0xa717530f -256 -256 -0 -0 -0 -4 -0x48a8 -0x98d7f03d -256 -256 -0 -0 -0 -2 -0xd2ad -0xf52d58d3 -256 -256 -0 -0 -0 -2 -0x788d -0x7d7bae45 -256 -256 -0 -0 -0 -2 -0xd8a0 -0x5f99e7c6 -256 -256 -0 -0 -0 -2 -0x1893 -0x544bdeef -256 -256 -1 -0 -0 -4 -0x7569 -0x577ea8f7 -256 -256 -1 -0 -0 -3 -0x8776 -0x7a4afbb1 -256 -256 -1 -0 -0 -1 -0x909e -0x2b3325c9 -256 -256 -0 -0 -0 -3 -0x7f4e -0xed2892d -256 -256 -0 -0 -0 -1 -0x18aa -0xe139ada6 -256 -256 -1 -0 -0 -2 -0x3d8c -0x96f4df3a -256 -256 -1 -0 -0 -2 -0x9854 -0xc91a02ad -256 -256 -1 -0 -0 -4 -0xb694 -0x2457b70e -256 -256 -1 -0 -0 -2 -0x9825 -0x1d1bcdae -256 -256 -1 -0 -0 -1 -0xfc97 -0xf98d6372 -256 -256 -0 -0 -0 -2 -0x966c -0xdbe2e395 -256 -256 -1 -0 -0 -3 -0x4520 -0xc5cd25aa -256 -256 -0 -0 -0 -2 -0xdfc5 -0xcfed8b83 -256 -256 -0 -0 -0 -3 -0xbfeb -0x85f3e336 -256 -256 -0 -0 -0 -4 -0xe69c -0x53742b54 -256 -256 -0 -0 -0 -4 -0xdfbb -0x85a29fa6 -256 -256 -1 -0 -0 -3 -0xfc40 -0xf3c8e744 -256 -256 -0 -0 -0 -2 -0xb910 -0x65499529 -256 -256 -1 -0 -0 -2 -0x6440 -0xf844261d -256 -256 -0 -0 -0 -2 -0xf3cf -0x6293b639 -256 -256 -0 -0 -0 -1 -0xe536 -0xdd984424 -256 -256 -1 -0 -0 -4 -0xa14 -0xa2c4a2dc -256 -256 -1 -0 -0 -3 -0xc815 -0x312f9b8d -256 -256 -1 -0 -0 -3 -0x80e7 -0x35e6389e -256 -256 -1 -0 -0 -2 -0x753f -0xa625c7d2 -256 -256 -1 -0 -0 -1 -0x9421 -0x9b10553e -256 -256 -1 -0 -0 -4 -0xeaa3 -0x117b15c -256 -256 -1 -0 -0 -3 -0x323 -0xe2433bb4 -256 -256 -0 -0 -0 -2 -0xd19a -0x3b11b7ed -256 -256 -0 -0 -0 -3 -0xc49 -0x1bce6f5 -256 -256 -1 -0 -0 -4 -0x14af -0x38b1503b -256 -256 -0 -0 -0 -1 -0x89bd -0x9411c334 -256 -256 -1 -0 -0 -1 -0x2f7b -0xdf508a25 -256 -256 -1 -0 -0 -3 -0xdbef -0xd61f52e1 -256 -256 -0 -0 -0 -4 -0xa518 -0xe5b9f0ba -256 -256 -0 -0 -0 -3 -0x5f21 -0x9124a857 -256 -256 -0 -0 -0 -2 -0xf4e2 -0x818a8a5c -256 -256 -0 -0 -0 -4 -0x28f8 -0x897cdedc -256 -256 -1 -0 -0 -4 -0xbf3e -0x2f498ccc -256 -256 -1 -0 -0 -3 -0x994f -0x74afc675 -256 -256 -0 -0 -0 -4 -0x9ef2 -0x89cc4bdc -256 -256 -0 -0 -0 -3 -0x923b -0x51f22538 -256 -256 -0 -0 -0 -1 -0xf889 -0x3c5e403 -256 -256 -1 -0 -0 -4 -0x20af -0xa03403f2 -256 -256 -0 -0 -0 -2 -0x2a8b -0xfdccdc24 -256 -256 -1 -0 -0 -1 -0xe96f -0x81f28bd4 -256 -256 -1 -0 -0 -4 -0x268b -0xeae81d28 -256 -256 -1 -0 -0 -4 -0x40da -0x9184bac9 -256 -256 -0 -0 -0 -4 -0x92b2 -0x11970e9a -256 -256 -1 -0 -0 -1 -0xcb0f -0x95768885 -256 -256 -1 -0 -0 -3 -0x2f15 -0xbf563bbf -256 -256 -1 -0 -0 -2 -0x279f -0x57a7b682 -256 -256 -0 -0 -0 -3 -0xf928 -0xdce18351 -256 -256 -1 -0 -0 -1 -0x7df -0x9e5078d2 -256 -256 -1 -0 -0 -1 -0x3e13 -0x53cde6ad -256 -256 -0 -0 -0 -4 -0x18be -0x3657ce82 -256 -256 -1 -0 -0 -2 -0x2222 -0x5b32cd88 -256 -256 -1 -0 -0 -4 -0xe326 -0x59609db7 -256 -256 -0 -0 -0 -3 -0x9cec -0x6f04b272 -256 -256 -1 -0 -0 -4 -0x5e03 -0x2283bce1 -256 -256 -0 -0 -0 -3 -0xc88d -0xeb3dc08a -256 -256 -0 -0 -0 -2 -0xd24d -0x24ad0a41 -256 -256 -1 -0 -0 -2 -0x3483 -0x7fd8edf9 -256 -256 -0 -0 -0 -3 -0xbc68 -0x2d404a41 -256 -256 -0 -0 -0 -4 -0x56ac -0x66e82c79 -256 -256 -1 -0 -0 -3 -0x5944 -0x61cab76f -256 -256 -0 -0 -0 -1 -0x9e13 -0xd06ab0bb -256 -256 -0 -0 -0 -1 -0xe3cd -0x6b67d2d4 -256 -256 -0 -0 -0 -4 -0x2ab3 -0x73637596 -256 -256 -0 -0 -0 -2 -0xf56b -0xbeeca9b5 -256 -256 -1 -0 -0 -4 -0x3dec -0x5037ef43 -256 -256 -1 -0 -0 -3 -0xa981 -0x11670665 -256 -256 -1 -0 -0 -1 -0x9ad6 -0xf0cdf50 -256 -256 -1 -0 -0 -2 -0x965d -0x32c3a882 -256 -256 -1 -0 -0 -2 -0x53d3 -0x5544c4f4 -256 -256 -1 -0 -0 -4 -0x2074 -0xacd0499c -256 -256 -1 -0 -0 -1 -0x1bf1 -0xdb963c7a -256 -256 -0 -0 -0 -4 -0xd4b9 -0x296607f9 -256 -256 -0 -0 -0 -2 -0xfda3 -0x70e30f55 -256 -256 -0 -0 -0 -4 -0x6971 -0xfd34e372 -256 -256 -1 -0 -0 -4 -0x80bd -0xb96b150e -256 -256 -0 -0 -0 -1 -0x6c85 -0x6c13ec37 -256 -256 -1 -0 -0 -1 -0x946d -0xc9b06ae -256 -256 -1 -0 -0 -2 -0x83af -0xb2e345ab -256 -256 -1 -0 -0 -1 -0xde8d -0x59719a2b -256 -256 -1 -0 -0 -2 -0x6866 -0x31e0d12b -256 -256 -1 -0 -0 -3 -0x67b8 -0xe6f2cb99 -256 -256 -1 -0 -0 -2 -0x77dd -0x1345ca4c -256 -256 -1 -0 -0 -1 -0xe3e0 -0x87085916 -256 -256 -1 -0 -0 -4 -0x119c -0xfec742d8 -256 -256 -0 -0 -0 -3 -0x156a -0xa5bb2d69 -256 -256 -1 -0 -0 -1 -0x6e5d -0x428949e4 -256 -256 -0 -0 -0 -4 -0x1c5e -0x4f41a82a -256 -256 -1 -0 -0 -3 -0x5489 -0x7373f2fb -256 -256 -1 -0 -0 -1 -0x9353 -0x77c1b360 -256 -256 -1 -0 -0 -4 -0xa8bd -0x7bf813a9 -256 -256 -0 -0 -0 -1 -0x2327 -0xa3d34fc2 -256 -256 -1 -0 -0 -3 -0xc6c7 -0xb6f132de -256 -256 -0 -0 -0 -4 -0xaa3d -0x99979336 -256 -256 -0 -0 -0 -4 -0x68f2 -0x2c8983f6 -256 -256 -0 -0 -0 -4 -0x4dc8 -0x2926b56d -256 -256 -0 -0 -0 -1 -0x1f7d -0x665be44 -256 -256 -0 -0 -0 -4 -0x7a4e -0x674afac5 -256 -256 -1 -0 -0 -4 -0x58fe -0x600c2bb5 -256 -256 -1 -0 -0 -4 -0x7b5a -0xd76d2844 -256 -256 -0 -0 -0 -3 -0xe18d -0xfd77a252 -256 -256 -0 -0 -0 -1 -0xf0cf -0x6aa54cf9 -256 -256 -1 -0 -0 -1 -0xa19a -0xc54599d6 -256 -256 -0 -0 -0 -4 -0xbaab -0xf18c6685 -256 -256 -0 -0 -0 -4 -0x1b9e -0xaf91c5c8 -256 -256 -0 -0 -0 -2 -0xf324 -0x950a852a -256 -256 -0 -0 -0 -3 -0x96ef -0x151fd484 -256 -256 -1 -0 -0 -3 -0x2f36 -0x36ebfa27 -256 -256 -0 -0 -0 -3 -0x3bba -0x6d24a389 -256 -256 -1 -0 -0 -3 -0x6e79 -0xf92f432d -256 -256 -1 -0 -0 -3 -0xd07c -0xf4f3c189 -256 -256 -1 -0 -0 -2 -0xda4e -0x91ddef1b -256 -256 -1 -0 -0 -4 -0x14a4 -0xf916984f -256 -256 -0 -0 -0 -4 -0x79b1 -0xea0816d3 -256 -256 -0 -0 -0 -2 -0xdb07 -0xeb195136 -256 -256 -0 -0 -0 -1 -0xc179 -0x3da2a080 -256 -256 -0 -0 -0 -1 -0x9212 -0x6125ab14 -256 -256 -1 -0 -0 -3 -0x6049 -0x34ffc8df -256 -256 -1 -0 -0 -2 -0x85a6 -0xd7d2a487 -256 -256 -0 -0 -0 -2 -0x1d1e -0xae01c40a -256 -256 -0 -0 -0 -4 -0x2278 -0x636e6e53 -256 -256 -0 -0 -0 -1 -0x5827 -0x10bb7484 -256 -256 -1 -0 -0 -4 -0x94fe -0x5bcc1660 -256 -256 -1 -0 -0 -2 -0x4d61 -0x133d4944 -256 -256 -0 -0 -0 -4 -0x3140 -0x83cd6bc1 -256 -256 -1 -0 -0 -3 -0x2e -0x3c0fd07d -256 -256 -1 -0 -0 -1 -0xa192 -0x5f6a186e -256 -256 -1 -0 -0 -3 -0xa054 -0x3866a1e9 -256 -256 -1 -0 -0 -1 -0xff6f -0x2e0d40e7 -256 -256 -0 -0 -0 -1 -0x1906 -0xaf7616d0 -256 -256 -1 -0 -0 -4 -0x55b7 -0x1df98a4b -256 -256 -0 -0 -0 -4 -0x2c8b -0xe8712752 -256 -256 -1 -0 -0 -2 -0xfd77 -0xe3f341f2 -256 -256 -1 -0 -0 -2 -0xe534 -0xccbe28a7 -256 -256 -1 -0 -0 -4 -0xfa78 -0xccef9af4 -256 -256 -1 -0 -0 -2 -0xfd12 -0xa3347066 -256 -256 -0 -0 -0 -4 -0x6f4c -0xce021fdf -256 -256 -1 -0 -0 -3 -0xb165 -0x422fc528 -256 -256 -0 -0 -0 -4 -0xf386 -0x6afae77f -256 -256 -1 -0 -0 -3 -0xbeb -0xccd10336 -256 -256 -1 -0 -0 -3 -0x4ee0 -0xe8ecd59c -256 -256 -0 -0 -0 -2 -0x55e -0x16dbb7ed -256 -256 -0 -0 -0 -3 -0x61f2 -0x737aea3d -256 -256 -0 -0 -0 -4 -0x31f7 -0xcc9c5dd8 -256 -256 -0 -0 -0 -2 -0x9e99 -0x580b065e -256 -256 -0 -0 -0 -1 -0xe2f9 -0xab9206e9 -256 -256 -0 -0 -0 -1 -0xe3b1 -0x21cabff0 -256 -256 -1 -0 -0 -4 -0xa394 -0xdfe01eb3 -256 -256 -1 -0 -0 -4 -0x9c5c -0xe4ee604f -256 -256 -0 -0 -0 -2 -0x4fb5 -0xd88bbd6b -256 -256 -1 -0 -0 -3 -0x6c2f -0xfb066b59 -256 -256 -1 -0 -0 -4 -0xea80 -0x9525eeb -256 -256 -1 -0 -0 -1 -0xc365 -0xd6323d61 -256 -256 -1 -0 -0 -2 -0xdf63 -0xf9959b46 -256 -256 -1 -0 -0 -1 -0x2ccd -0x99a072ec -256 -256 -1 -0 -0 -1 -0xe86c -0x9c18f508 -256 -256 -0 -0 -0 -1 -0xe70 -0xed1d3371 -256 -256 -1 -0 -0 -3 -0x448c -0xc98d9073 -256 -256 -1 -0 -0 -3 -0x2835 -0x9a360f80 -256 -256 -1 -0 -0 -1 -0x1627 -0x5c46e97 -256 -256 -1 -0 -0 -4 -0xcbbc -0xe2cd8244 -256 -256 -0 -0 -0 -3 -0x18c6 -0x1eaaaae0 -256 -256 -1 -0 -0 -1 -0x2fbf -0xfd7bedb4 -256 -256 -1 -0 -0 -1 -0xe5a0 -0xc3e73977 -256 -256 -1 -0 -0 -4 -0x1d91 -0x84b1c698 -256 -256 -1 -0 -0 -1 -0xead -0x96183aba -256 -256 -0 -0 -0 -2 -0x6d54 -0xd74383d3 -256 -256 -1 -0 -0 -2 -0x9973 -0xe2046c6a -256 -256 -1 -0 -0 -3 -0x97f3 -0xc93d9744 -256 -256 -1 -0 -0 -4 -0x2ce9 -0x4705d47e -256 -256 -1 -0 -0 -4 -0xaa74 -0x55461a4c -256 -256 -1 -0 -0 -3 -0x9102 -0xe92c19d2 -256 -256 -1 -0 -0 -4 -0x48e9 -0xd0a712d0 -256 -256 -0 -0 -0 -1 -0x5f97 -0x40db55a4 -256 -256 -0 -0 -0 -3 -0x8e12 -0x7a7cc318 -256 -256 -0 -0 -0 -1 -0x7ea6 -0x4a189200 -256 -256 -0 -0 -0 -1 -0x52d6 -0x74e07f37 -256 -256 -1 -0 -0 -3 -0xec41 -0xe1e1552d -256 -256 -0 -0 -0 -4 -0x5dc0 -0x227354e4 -256 -256 -1 -0 -0 -1 -0x6ae2 -0xd6b90ee6 -256 -256 -1 -0 -0 -1 -0x9605 -0xbbcccf2c -256 -256 -0 -0 -0 -4 -0x5ee4 -0x53b95193 -256 -256 -1 -0 -0 -4 -0xfbab -0x3eb21d0 -256 -256 -0 -0 -0 -3 -0x4e50 -0x5cfec70f -256 -256 -0 -0 -0 -4 -0x809f -0xf8880023 -256 -256 -0 -0 -0 -1 -0x50e2 -0x4beaf1b2 -256 -256 -1 -0 -0 -2 -0xa52b -0x46b1e1dd -256 -256 -1 -0 -0 -4 -0x3e08 -0x994d6051 -256 -256 -1 -0 -0 -1 -0xe3a3 -0x7fd0bc8c -256 -256 -0 -0 -0 -4 -0x8798 -0xc609a2ba -256 -256 -1 -0 -0 -4 -0x38aa -0xfb6f6bca -256 -256 -0 -0 -0 -2 -0xb531 -0xd0550d98 -256 -256 -1 -0 -0 -2 -0x1baf -0x3404e7eb -256 -256 -1 -0 -0 -4 -0x9302 -0x19eeb2bf -256 -256 -1 -0 -0 -3 -0x8871 -0xb09312f1 -256 -256 -0 -0 -0 -1 -0xce2d -0x12de7bde -256 -256 -0 -0 -0 -4 -0x9084 -0x86828dbf -256 -256 -0 -0 -0 -4 -0xc2de -0x673e4bdf -256 -256 -0 -0 -0 -4 -0xb2a4 -0x52837753 -256 -256 -0 -0 -0 -1 -0xb524 -0xb160641f -256 -256 -0 -0 -0 -3 -0x9c21 -0xf0ab6dad -256 -256 -0 -0 -0 -1 -0xc989 -0x885a643b -256 -256 -1 -0 -0 -2 -0xf42b -0x213d0d70 -256 -256 -1 -0 -0 -4 -0x83a4 -0x81b7380 -256 -256 -1 -0 -0 -3 -0xc974 -0x9c7e89e -256 -256 -0 -0 -0 -3 -0x85a0 -0x603f8896 -256 -256 -1 -0 -0 -1 -0x5745 -0xc8805dd -256 -256 -1 -0 -0 -4 -0x38d7 -0xa873b84f -256 -256 -1 -0 -0 -1 -0xe3f5 -0xa30c22f2 -256 -256 -1 -0 -0 -3 -0x89a8 -0xa2c26d6a -256 -256 -0 -0 -0 -2 -0xaf0a -0x584f6635 -256 -256 -1 -0 -0 -4 -0x2b78 -0x1d8e2862 -256 -256 -1 -0 -0 -1 -0x79ae -0xdc6cdd35 -256 -256 -1 -0 -0 -1 -0x9630 -0xb2900871 -256 -256 -0 -0 -0 -4 -0x5da9 -0xd2534611 -256 -256 -1 -0 -0 -4 -0xbb65 -0x1fe0010a -256 -256 -1 -0 -0 -1 -0x68c -0x5e37c328 -256 -256 -1 -0 -0 -3 -0xe5b1 -0xe5d3060a -256 -256 -1 -0 -0 -3 -0x3c6e -0xfb455fdd -256 -256 -0 -0 -0 -1 -0x2153 -0x17fe2d1b -256 -256 -0 -0 -0 -4 -0xb4ca -0xe81925c1 -256 -256 -0 -0 -0 -1 -0x601a -0x16f6c685 -256 -256 -0 -0 -0 -2 -0x536e -0x31db42f4 -256 -256 -1 -0 -0 -2 -0x7143 -0x1f892a87 -256 -256 -1 -0 -0 -4 -0x8e64 -0x7e678319 -256 -256 -0 -0 -0 -3 -0x415b -0x18af2588 -256 -256 -0 -0 -0 -4 -0x995c -0xbdfa220 -256 -256 -1 -0 -0 -4 -0x5381 -0x51fb43a7 -256 -256 -0 -0 -0 -1 -0xf9ca -0xf5e8ee23 -256 -256 -0 -0 -0 -4 -0xa81b -0xc95760bd -256 -256 -0 -0 -0 -1 -0x1833 -0xddbf07aa -256 -256 -1 -0 -0 -4 -0xd467 -0x67f7dd8f -256 -256 -1 -0 -0 -1 -0xe58b -0x52705986 -256 -256 -0 -0 -0 -3 -0x5e57 -0xee5f6621 -256 -256 -0 -0 -0 -1 -0xc66e -0xc5f12aa2 -256 -256 -0 -0 -0 -3 -0xe831 -0x470b689b -256 -256 -1 -0 -0 -1 -0xf8b0 -0x1d6673c7 -256 -256 -0 -0 -0 -2 -0x48fd -0xc7f64692 -256 -256 -1 -0 -0 -2 -0xfd1c -0xa2843eb0 -256 -256 -0 -0 -0 -1 -0x205c -0xe31e0b33 -256 -256 -0 -0 -0 -2 -0x4387 -0x2a72e367 -256 -256 -0 -0 -0 -1 -0x3275 -0x19f3f068 -256 -256 -0 -0 -0 -4 -0x8b38 -0x2ba3facd -256 -256 -1 -0 -0 -3 -0xb935 -0x73ddb341 -256 -256 -1 -0 -0 -1 -0x62e8 -0xba99a677 -256 -256 -0 -0 -0 -1 -0x8cda -0x6a419d04 -256 -256 -1 -0 -0 -1 -0x8091 -0xdd0854e9 -256 -256 -1 -0 -0 -1 -0xf01d -0xad86acd1 -256 -256 -0 -0 -0 -2 -0xdfc7 -0x785c678c -256 -256 -0 -0 -0 -1 -0xc90e -0x5685fd8f -256 -256 -1 -0 -0 -3 -0xd03b -0x6ff1fc42 -256 -256 -0 -0 -0 -3 -0xeed6 -0x6e61b8b4 -256 -256 -0 -0 -0 -3 -0x485e -0xd6d432f5 -256 -256 -0 -0 -0 -2 -0xb17f -0x48f2c4ea -256 -256 -0 -0 -0 -4 -0x5fd9 -0x23f55ed5 -256 -256 -0 -0 -0 -2 -0x2228 -0xe5024817 -256 -256 -0 -0 -0 -1 -0x5215 -0x3843c89a -256 -256 -0 -0 -0 -3 -0x42f -0xa59820ed -256 -256 -1 -0 -0 -1 -0xd6f3 -0xcfe5f03c -256 -256 -1 -0 -0 -3 -0x7b80 -0xbcea861e -256 -256 -1 -0 -0 -1 -0x35d2 -0x190d3d67 -256 -256 -0 -0 -0 -1 -0xafe7 -0xe833c372 -256 -256 -1 -0 -0 -2 -0x40b0 -0x64169e79 -256 -256 -1 -0 -0 -3 -0xd8c5 -0xc63558e3 -256 -256 -0 -0 -0 -3 -0xf369 -0xb74e7452 -256 -256 -0 -0 -0 -4 -0xa516 -0x26c73374 -256 -256 -1 -0 -0 -2 -0xac36 -0x5c189155 -256 -256 -1 -0 -0 -3 -0x65c5 -0x8cc4fda1 -256 -256 -0 -0 -0 -4 -0xb2db -0xd4a2d255 -256 -256 -0 -0 -0 -3 -0xefbf -0xe75c03 -256 -256 -1 -0 -0 -1 -0xecb5 -0x21c5f642 -256 -256 -1 -0 -0 -1 -0x5b6f -0x21a35979 -256 -256 -1 -0 -0 -3 -0xec33 -0x19825a8c -256 -256 -0 -0 -0 -4 -0xe4c3 -0x91ce3c35 -256 -256 -1 -0 -0 -2 -0x7df6 -0x63ca72df -256 -256 -1 -0 -0 -1 -0xb9d9 -0x9cea2d40 -256 -256 -1 -0 -0 -1 -0x8c12 -0x58f8c72c -256 -256 -0 -0 -0 -2 -0x74d9 -0x5fcc429d -256 -256 -0 -0 -0 -4 -0x215b -0x782ab87c -256 -256 -1 -0 -0 -3 -0x79c0 -0xda36c913 -256 -256 -1 -0 -0 -4 -0xd011 -0x25d5760a -256 -256 -1 -0 -0 -1 -0x2fae -0x636ce12e -256 -256 -1 -0 -0 -1 -0xa294 -0x7c3a3c0f -256 -256 -1 -0 -0 -2 -0xc2bb -0xd32255b6 -256 -256 -0 -0 -0 -1 -0xb63a -0x5b6f16d5 -256 -256 -1 -0 -0 -3 -0x818b -0xc5cfb628 -256 -256 -1 -0 -0 -4 -0x73d2 -0x44b220c2 -256 -256 -1 -0 -0 -4 -0xbaa2 -0x37737053 -256 -256 -0 -0 -0 -3 -0x22fb -0xa0e54378 -256 -256 -1 -0 -0 -2 -0x78c0 -0xf271576f -256 -256 -1 -0 -0 -4 -0x6332 -0x8d73d9a9 -256 -256 -1 -0 -0 -1 -0xd890 -0x8b710b77 -256 -256 -0 -0 -0 -1 -0xfa25 -0x5fde4e81 -256 -256 -0 -0 -0 -4 -0x52d1 -0xd97ea9bb -256 -256 -1 -0 -0 -1 -0x501 -0x9f9bf1ff -256 -256 -0 -0 -0 -3 -0x141 -0xb4cdd7dd -256 -256 -1 -0 -0 -4 -0x2139 -0x90ec3207 -256 -256 -1 -0 -0 -4 -0x9986 -0xa7fabfcf -256 -256 -0 -0 -0 -3 -0xa414 -0x2bcf6855 -256 -256 -1 -0 -0 -1 -0xbf39 -0x45ba1419 -256 -256 -1 -0 -0 -1 -0x3c0e -0xd870c339 -256 -256 -0 -0 -0 -3 -0xb317 -0xf40ea68d -256 -256 -0 -0 -0 -2 -0x8ecd -0xe43d3954 -256 -256 -0 -0 -0 -3 -0xa569 -0xd4a1027d -256 -256 -1 -0 -0 -3 -0xa629 -0x2135c50a -256 -256 -1 -0 -0 -1 -0xe54d -0x7f784868 -256 -256 -0 -0 -0 -4 -0x1627 -0x7c96fec9 -256 -256 -1 -0 -0 -3 -0xccd4 -0x7c3f15ee -256 -256 -1 -0 -0 -3 -0x5154 -0xc14420cb -256 -256 -0 -0 -0 -2 -0xca34 -0xa17d8bb8 -256 -256 -1 -0 -0 -4 -0xaf66 -0x690839c6 -256 -256 -0 -0 -0 -3 -0xcb93 -0xc7b848aa -256 -256 -0 -0 -0 -1 -0xa370 -0xe6c1307f -256 -256 -0 -0 -0 -3 -0x2050 -0x5652f172 -256 -256 -1 -0 -0 -3 -0xa593 -0x27be008e -256 -256 -0 -0 -0 -1 -0x16b6 -0xad83dbd1 -256 -256 -1 -0 -0 -4 -0xfce4 -0x5429244f -256 -256 -0 -0 -0 -1 -0xb07d -0x77fc4749 -256 -256 -1 -0 -0 -3 -0x902e -0x9a2dc60f -256 -256 -0 -0 -0 -3 -0x3292 -0xcc1b81ff -256 -256 -0 -0 -0 -4 -0x9a71 -0xd434ab0c -256 -256 -1 -0 -0 -1 -0x9df7 -0x2417f84a -256 -256 -0 -0 -0 -3 -0xe7de -0x938d1a7d -256 -256 -1 -0 -0 -4 -0x73b3 -0x4de66327 -256 -256 -0 -0 -0 -4 -0xb520 -0xbed4336c -256 -256 -1 -0 -0 -4 -0x6f48 -0xb68921b2 -256 -256 -1 -0 -0 -1 -0x1d4 -0x39674802 -256 -256 -0 -0 -0 -4 -0xfb24 -0x2c11b519 -256 -256 -1 -0 -0 -1 -0x836f -0x635ce186 -256 -256 -0 -0 -0 -2 -0x1819 -0x9899f8b3 -256 -256 -1 -0 -0 -1 -0x69b6 -0x6e888655 -256 -256 -0 -0 -0 -3 -0x60e9 -0xf4740084 -256 -256 -1 -0 -0 -1 -0xa4 -0xa460480d -256 -256 -1 -0 -0 -3 -0xd1ed -0x530dff37 -256 -256 -1 -0 -0 -3 -0x592 -0x8ab13c2 -256 -256 -0 -0 -0 -1 -0xd7b6 -0xfb21de15 -256 -256 -1 -0 -0 -4 -0x6b23 -0x4dc67ebd -256 -256 -1 -0 -0 -2 -0xeca4 -0xde8c9e5c -256 -256 -0 -0 -0 -4 -0x4917 -0xa551a25d -256 -256 -0 -0 -0 -3 -0x1554 -0x3b831443 -256 -256 -0 -0 -0 -4 -0xfb14 -0x15569da7 -256 -256 -0 -0 -0 -2 -0xaa3c -0x3814b84f -256 -256 -0 -0 -0 -2 -0xf0be -0xa8caa027 -256 -256 -1 -0 -0 -4 -0x3448 -0x1722d921 -256 -256 -0 -0 -0 -1 -0xaa05 -0xd35670f8 -256 -256 -1 -0 -0 -4 -0x8ae2 -0xde5faaed -256 -256 -0 -0 -0 -4 -0xefa7 -0x90caf530 -256 -256 -0 -0 -0 -2 -0x5872 -0xad227fea -256 -256 -1 -0 -0 -2 -0x96eb -0x9b300423 -256 -256 -0 -0 -0 -1 -0xad97 -0x6dbb939e -256 -256 -0 -0 -0 -2 -0x1b27 -0xfe5cd70e -256 -256 -0 -0 -0 -1 -0x8b10 -0xf513b12e -256 -256 -1 -0 -0 -1 -0xda59 -0x3b779990 -256 -256 -1 -0 -0 -1 -0xd976 -0x726c18f1 -256 -256 -0 -0 -0 -3 -0x4e63 -0xc9dacab5 -256 -256 -0 -0 -0 -1 -0xc162 -0xd5ecc97d -256 -256 -0 -0 -0 -4 -0x225d -0xd91cb10b -256 -256 -0 -0 -0 -4 -0xf78e -0xfce05e49 -256 -256 -1 -0 -0 -2 -0xe7ff -0x3fcee32e -256 -256 -1 -0 -0 -1 -0xd0f3 -0x9de2b8e8 -256 -256 -0 -0 -0 -1 -0xb3ff -0xa0a0711c -256 -256 -1 -0 -0 -1 -0x2b28 -0x7d516400 -256 -256 -0 -0 -0 -4 -0x30b5 -0x18a34190 -256 -256 -0 -0 -0 -4 -0x92ba -0xe009817b -256 -256 -1 -0 -0 -3 -0x3631 -0xc0ec855c -256 -256 -0 -0 -0 -3 -0x3643 -0x2b601c7f -256 -256 -1 -0 -0 -3 -0xa622 -0xbbe6ff12 -256 -256 -1 -0 -0 -1 -0xf843 -0x5d532206 -256 -256 -0 -0 -0 -1 -0xa647 -0x888dad26 -256 -256 -0 -0 -0 -1 -0xa281 -0xf7ac782d -256 -256 -0 -0 -0 -2 -0xe945 -0xdc624301 -256 -256 -0 -0 -0 -1 -0x4ff8 -0x3bf66fb9 -256 -256 -1 -0 -0 -2 -0xab77 -0x81c7a07a -256 -256 -1 -0 -0 -3 -0xf9e8 -0xcfc66226 -256 -256 -1 -0 -0 -3 -0x2ce4 -0x7b2bc55d -256 -256 -1 -0 -0 -4 -0xe5c3 -0x4da5b9b9 -256 -256 -1 -0 -0 -2 -0x2f61 -0xd6891ba4 -256 -256 -1 -0 -0 -1 -0x1a18 -0xe721e72 -256 -256 -0 -0 -0 -2 -0xed8b -0x4d4b8a81 -256 -256 -1 -0 -0 -3 -0x627e -0x4a863742 -256 -256 -1 -0 -0 -1 -0xd192 -0xda5aea51 -256 -256 -1 -0 -0 -3 -0x71b8 -0xdebf0e6f -256 -256 -0 -0 -0 -2 -0x202b -0xa0f45055 -256 -256 -1 -0 -0 -1 -0x6331 -0x824167b8 -256 -256 -0 -0 -0 -3 -0xcef3 -0x64995fb4 -256 -256 -0 -0 -0 -4 -0xe173 -0x8c27b956 -256 -256 -0 -0 -0 -1 -0x338 -0x40fe108a -256 -256 -0 -0 -0 -1 -0xbf24 -0xc9276b8a -256 -256 -1 -0 -0 -4 -0x24f4 -0x9a7de702 -256 -256 -1 -0 -0 -2 -0x1ae9 -0xfdee3111 -256 -256 -1 -0 -0 -2 -0x23cf -0x2b6e124d -256 -256 -0 -0 -0 -2 -0x2638 -0x1ff81ca6 -256 -256 -0 -0 -0 -2 -0xf6e1 -0xb273ded9 -256 -256 -0 -0 -0 -3 -0x4a08 -0xe1725bbb -256 -256 -1 -0 -0 -1 -0x2021 -0xb75c0b5d -256 -256 -0 -0 -0 -3 -0x562a -0xf7d38145 -256 -256 -1 -0 -0 -2 -0x8d15 -0xfe114c17 -256 -256 -1 -0 -0 -3 -0xf881 -0x6327cf6 -256 -256 -1 -0 -0 -3 -0x5d9f -0x1ec52afd -256 -256 -1 -0 -0 -2 -0x3076 -0x1fa34bd1 -256 -256 -1 -0 -0 -3 -0xfa3d -0xd03a30a7 -256 -256 -0 -0 -0 -2 -0x4a1d -0x4c9c45e0 -256 -256 -0 -0 -0 -3 -0x9671 -0x2362f9a5 -256 -256 -1 -0 -0 -2 -0x767d -0x8bd7363c -256 -256 -0 -0 -0 -3 -0x6e09 -0x26e1ef88 -256 -256 -1 -0 -0 -3 -0xde5d -0x61c4e1ea -256 -256 -1 -0 -0 -4 -0x4341 -0x982ae429 -256 -256 -1 -0 -0 -4 -0xc6ca -0x78316bad -256 -256 -1 -0 -0 -1 -0xb3ed -0xde822a9b -256 -256 -1 -0 -0 -3 -0xff05 -0x8b960d8a -256 -256 -0 -0 -0 -3 -0xb14c -0x1af41502 -256 -256 -0 -0 -0 -1 -0xb82d -0x4a8eb748 -256 -256 -0 -0 -0 -1 -0x9f2 -0x74faf06 -256 -256 -1 -0 -0 -2 -0xbccb -0x987dc457 -256 -256 -1 -0 -0 -1 -0xdf96 -0x988421da -256 -256 -0 -0 -0 -1 -0x6cba -0xa23705cb -256 -256 -1 -0 -0 -4 -0xd8be -0x70dc4f1e -256 -256 -1 -0 -0 -4 -0x5bf9 -0x62cce702 -256 -256 -0 -0 -0 -3 -0x6b50 -0x4ca0d384 -256 -256 -1 -0 -0 -3 -0x5e5c -0x93b6c864 -256 -256 -0 -0 -0 -3 -0xd6a9 -0xad839cb9 -256 -256 -1 -0 -0 -1 -0xace1 -0x8d6b62a1 -256 -256 -1 -0 -0 -3 -0xd47c -0x247ea542 -256 -256 -0 -0 -0 -1 -0xc140 -0x65e67a83 -256 -256 -1 -0 -0 -3 -0x882b -0xa6423796 -256 -256 -1 -0 -0 -3 -0xc9a9 -0x4137b3c9 -256 -256 -1 -0 -0 -1 -0xf559 -0xf919ce0 -256 -256 -0 -0 -0 -3 -0x5f1e -0x42e00b42 -256 -256 -1 -0 -0 -1 -0xc68 -0x8c1d8356 -256 -256 -0 -0 -0 -1 -0xda7e -0xc79002e4 -256 -256 -0 -0 -0 -4 -0x3a -0xb47905a9 -256 -256 -1 -0 -0 -3 -0xebc3 -0x44994f0 -256 -256 -0 -0 -0 -3 -0xf1b1 -0x438223ae -256 -256 -0 -0 -0 -3 -0xaa75 -0xf8c14e3c -256 -256 -0 -0 -0 -3 -0xfab4 -0x354be310 -256 -256 -1 -0 -0 -2 -0xa39c -0xf11539db -256 -256 -0 -0 -0 -1 -0xd6c3 -0x28422532 -256 -256 -1 -0 -0 -3 -0xb275 -0x9a96719c -256 -256 -0 -0 -0 -4 -0xf9f0 -0x2e4b89da -256 -256 -1 -0 -0 -3 -0x5f58 -0xbf95a5de -256 -256 -0 -0 -0 -1 -0xfa16 -0xda19ee9f -256 -256 -1 -0 -0 -3 -0xd057 -0xf3a46aa -256 -256 -0 -0 -0 -4 -0x61f9 -0x61620f95 -256 -256 -1 -0 -0 -4 -0xb7a1 -0x743275d2 -256 -256 -1 -0 -0 -1 -0x9640 -0xf6e02e57 -256 -256 -1 -0 -0 -4 -0x9b9d -0xc56672ec -256 -256 -1 -0 -0 -3 -0xefff -0xa95475cf -256 -256 -0 -0 -0 -2 -0x1990 -0x34ea60d2 -256 -256 -1 -0 -0 -2 -0x4463 -0x2da7c345 -256 -256 -0 -0 -0 -4 -0xfb84 -0x6a2fd72b -256 -256 -0 -0 -0 -4 -0xa780 -0x626e9721 -256 -256 -0 -0 -0 -1 -0xe59f -0x71e63531 -256 -256 -1 -0 -0 -2 -0x17c5 -0x1f4c9fa4 -256 -256 -1 -0 -0 -3 -0x8ca0 -0xcb9173e9 -256 -256 -1 -0 -0 -3 -0x848a -0x138d3710 -256 -256 -0 -0 -0 -3 -0x44b3 -0x39650623 -256 -256 -0 -0 -0 -1 -0x5ac0 -0x987d6d71 -256 -256 -0 -0 -0 -1 -0xdd3a -0x5b7bbd64 -256 -256 -0 -0 -0 -3 -0x4cd3 -0x82668d9b -256 -256 -1 -0 -0 -4 -0xa834 -0xccf0d739 -256 -256 -0 -0 -0 -2 -0x5149 -0x945e9032 -256 -256 -0 -0 -0 -3 -0x43d6 -0x6f0ecae0 -256 -256 -1 -0 -0 -4 -0x1891 -0xa016a5a -256 -256 -0 -0 -0 -3 -0x6ce -0xab92a230 -256 -256 -0 -0 -0 -4 -0xc7b3 -0x18bddd4 -256 -256 -0 -0 -0 -1 -0x4723 -0xd9acd5a0 -256 -256 -1 -0 -0 -1 -0x13c0 -0x41d102d7 -256 -256 -0 -0 -0 -4 -0x3b06 -0xedbeaffb -256 -256 -1 -0 -0 -1 -0x7806 -0x4e0aa186 -256 -256 -1 -0 -0 -2 -0xa4c8 -0x7373b5a5 -256 -256 -0 -0 -0 -3 -0x59d9 -0x3c11a39e -256 -256 -1 -0 -0 -4 -0xc021 -0x7979d1f3 -256 -256 -0 -0 -0 -2 -0xef41 -0x406b5114 -256 -256 -1 -0 -0 -2 -0x5bc -0x2e113d52 -256 -256 -1 -0 -0 -3 -0xb9ac -0xb5563a25 -256 -256 -1 -0 -0 -4 -0xda0 -0x48eabdba -256 -256 -0 -0 -0 -2 -0x4bc8 -0xf54b8ead -256 -256 -0 -0 -0 -4 -0xb666 -0xc8a07560 -256 -256 -0 -0 -0 -3 -0xa699 -0xd73d7a16 -256 -256 -1 -0 -0 -1 -0xf8f2 -0x3aaeff5 -256 -256 -1 -0 -0 -1 -0xe0aa -0xef391f32 -256 -256 -1 -0 -0 -3 -0x9ab2 -0x7a65646 -256 -256 -1 -0 -0 -3 -0x5c46 -0x6526fe5a -256 -256 -0 -0 -0 -3 -0xffba -0xd137381f -256 -256 -0 -0 -0 -4 -0xaabc -0xb64d865 -256 -256 -1 -0 -0 -2 -0x5d1 -0xf4d8e1a5 -256 -256 -1 -0 -0 -2 -0x805f -0xc41b7693 -256 -256 -0 -0 -0 -4 -0x4eb7 -0x7283907f -256 -256 -0 -0 -0 -3 -0xd9e8 -0x79211df4 -256 -256 -1 -0 -0 -4 -0xae84 -0xce7d641e -256 -256 -0 -0 -0 -1 -0xc498 -0x4b961146 -256 -256 -0 -0 -0 -3 -0x7161 -0x596ab925 -256 -256 -1 -0 -0 -4 -0x7293 -0x9e7a9cda -256 -256 -1 -0 -0 -2 -0x6750 -0x9a2b4368 -256 -256 -1 -0 -0 -1 -0x98ad -0x7318753b -256 -256 -1 -0 -0 -1 -0xb70 -0xfe9e4c8f -256 -256 -1 -0 -0 -1 -0x7bfd -0xf60846a0 -256 -256 -0 -0 -0 -3 -0x348d -0x5307b552 -256 -256 -0 -0 -0 -3 -0x32b7 -0xe8abff3d -256 -256 -1 -0 -0 -1 -0xa721 -0x4441e590 -256 -256 -0 -0 -0 -2 -0xdad -0x4f3678e4 -256 -256 -1 -0 -0 -2 -0x147f -0x7f56f1e9 -256 -256 -1 -0 -0 -4 -0xf2fc -0x6ce6536 -256 -256 -0 -0 -0 -3 -0x92b3 -0x8305ff71 -256 -256 -0 -0 -0 -2 -0x4abc -0x381c2add -256 -256 -0 -0 -0 -3 -0x3d47 -0x6daff44 -256 -256 -1 -0 -0 -3 -0xbdbb -0x189e3877 -256 -256 -0 -0 -0 -4 -0x6f6 -0x66d95c11 -256 -256 -0 -0 -0 -3 -0x7bcb -0x1c3f51ff -256 -256 -0 -0 -0 -4 -0x52c7 -0x2d300645 -256 -256 -0 -0 -0 -3 -0x3724 -0x7e053365 -256 -256 -1 -0 -0 -3 -0x8edc -0xa8990b6e -256 -256 -0 -0 -0 -4 -0xe46 -0x990700d7 -256 -256 -0 -0 -0 -3 -0x5add -0x871723fc -256 -256 -0 -0 -0 -2 -0xd484 -0x6d65ab77 -256 -256 -0 -0 -0 -1 -0x42fb -0xff4143e1 -256 -256 -1 -0 -0 -4 -0x462f -0x6e3e8eec -256 -256 -0 -0 -0 -1 -0xadea -0x49456b8c -256 -256 -1 -0 -0 -1 -0xcc4a -0x366acfca -256 -256 -0 -0 -0 -1 -0xeb4d -0x81977d1 -256 -256 -0 -0 -0 -1 -0x9d82 -0x6cbf2c9d -256 -256 -1 -0 -0 -3 -0x434f -0x45ce28f2 -256 -256 -1 -0 -0 -4 -0x7e74 -0x8249184 -256 -256 -0 -0 -0 -1 -0x5c9a -0x26b7c547 -256 -256 -0 -0 -0 -1 -0x8fe4 -0x11107b59 -256 -256 -1 -0 -0 -2 -0x834c -0x7789674 -256 -256 -1 -0 -0 -2 -0x7947 -0xe340792d -256 -256 -0 -0 -0 -2 -0xca55 -0x616d737 -256 -256 -0 -0 -0 -3 -0xb9 -0x4743d665 -256 -256 -1 -0 -0 -4 -0x7cd8 -0xa23d8b2d -256 -256 -0 -0 -0 -2 -0x8585 -0x46de29d7 -256 -256 -0 -0 -0 -4 -0x77a8 -0x1b314c -256 -256 -0 -0 -0 -3 -0xad7d -0xacf37ccc -256 -256 -1 -0 -0 -2 -0xff2b -0xd615defd -256 -256 -0 -0 -0 -3 -0x9f4b -0xce3b70ba -256 -256 -1 -0 -0 -1 -0x51c8 -0x75de77cb -256 -256 -1 -0 -0 -2 -0xdefb -0x1b82cbc3 -256 -256 -0 -0 -0 -4 -0x31a4 -0xcc872088 -256 -256 -1 -0 -0 -4 -0x3c24 -0x194b884b -256 -256 -0 -0 -0 -2 -0x976 -0xb889c33b -256 -256 -0 -0 -0 -2 -0x268e -0x8f711f6f -256 -256 -1 -0 -0 -2 -0xe821 -0x9bbc616 -256 -256 -0 -0 -0 -4 -0xf1bf -0x6b66b3e0 -256 -256 -1 -0 -0 -2 -0xf90c -0xc5a34aae -256 -256 -0 -0 -0 -3 -0x946e -0xd27d778a -256 -256 -0 -0 -0 -1 -0x3358 -0x2eb5908 -256 -256 -1 -0 -0 -3 -0xd281 -0x8b0429ab -256 -256 -1 -0 -0 -1 -0xd271 -0x5f4f9f72 -256 -256 -0 -0 -0 -3 -0x3002 -0x193919b -256 -256 -1 -0 -0 -2 -0x1823 -0x73d901f5 -256 -256 -1 -0 -0 -4 -0xbb49 -0xd9c2cd2a -256 -256 -1 -0 -0 -2 -0xc19e -0x78c7ff7e -256 -256 -1 -0 -0 -3 -0x10a6 -0x803daa27 -256 -256 -0 -0 -0 -2 -0xbf1a -0xdb2e96c8 -256 -256 -0 -0 -0 -1 -0x8ebc -0x6085b228 -256 -256 -1 -0 -0 -3 -0x88eb -0x29e9082f -256 -256 -0 -0 -0 -2 -0xbf17 -0x55311357 -256 -256 -0 -0 -0 -3 -0xa098 -0xe31bb05b -256 -256 -1 -0 -0 -4 -0x116b -0xe50eb4bf -256 -256 -0 -0 -0 -3 -0xb441 -0xe17100ec -256 -256 -1 -0 -0 -2 -0x329e -0xe7413617 -256 -256 -0 -0 -0 -3 -0x88ad -0x6be8397e -256 -256 -1 -0 -0 -2 -0x8160 -0xc6367f88 -256 -256 -0 -0 -0 -2 -0xe4b1 -0x8aaf75c4 -256 -256 -1 -0 -0 -1 -0x277 -0x776f6abd -256 -256 -0 -0 -0 -1 -0x3120 -0x89c93ad1 -256 -256 -1 -0 -0 -2 -0xf1c8 -0x5968988e -256 -256 -0 -0 -0 -3 -0x2d3b -0x7a56835e -256 -256 -1 -0 -0 -2 -0xd0e2 -0x6bd00462 -256 -256 -0 -0 -0 -1 -0x6ba9 -0xb196b159 -256 -256 -0 -0 -0 -1 -0xc07d -0x6c2bcf8b -256 -256 -0 -0 -0 -3 -0x46eb -0xc8815542 -256 -256 -1 -0 -0 -2 -0x228d -0x33c88184 -256 -256 -0 -0 -0 -1 -0x5469 -0x8def006e -256 -256 -1 -0 -0 -1 -0x4776 -0x8298895d -256 -256 -0 -0 -0 -2 -0x772e -0x5c0362c7 -256 -256 -0 -0 -0 -3 -0x118a -0x582a9555 -256 -256 -1 -0 -0 -4 -0xfc2b -0xeb03499 -256 -256 -1 -0 -0 -1 -0xd9a8 -0xaca68608 -256 -256 -0 -0 -0 -2 -0x7236 -0x9e19666d -256 -256 -0 -0 -0 -1 -0x2202 -0xc2de69c5 -256 -256 -0 -0 -0 -3 -0xfe41 -0x92df0bf2 -256 -256 -1 -0 -0 -4 -0xa10b -0xb9d88810 -256 -256 -1 -0 -0 -3 -0xa270 -0xfa54f7cf -256 -256 -0 -0 -0 -2 -0x51d0 -0x52c53981 -256 -256 -0 -0 -0 -1 -0xf761 -0x13c74dd5 -256 -256 -0 -0 -0 -3 -0x77f8 -0xdd09a363 -256 -256 -1 -0 -0 -2 -0x8602 -0xea847646 -256 -256 -0 -0 -0 -4 -0x9e47 -0xb835adde -256 -256 -1 -0 -0 -1 -0xd3de -0xcef0744c -256 -256 -1 -0 -0 -1 -0xfb07 -0xdf44866f -256 -256 -1 -0 -0 -1 -0xacb8 -0xf46eb057 -256 -256 -1 -0 -0 -4 -0x1faa -0x526c291a -256 -256 -0 -0 -0 -4 -0xa3ea -0x165dd64d -256 -256 -1 -0 -0 -1 -0xf8f5 -0xa9b94423 -256 -256 -0 -0 -0 -3 -0xb0dd -0x2eeb9035 -256 -256 -1 -0 -0 -3 -0xb682 -0x34b87d7b -256 -256 -0 -0 -0 -3 -0x3f4 -0x625b192b -256 -256 -1 -0 -0 -4 -0x45bd -0xa727688e -256 -256 -0 -0 -0 -4 -0xe5e9 -0xd1f07deb -256 -256 -1 -0 -0 -2 -0xa764 -0xd7f88741 -256 -256 -0 -0 -0 -1 -0xe39f -0x7f428877 -256 -256 -0 -0 -0 -4 -0xb67c -0xbc297c60 -256 -256 -0 -0 -0 -2 -0xf4b0 -0xba6eaa54 -256 -256 -1 -0 -0 -2 -0xdede -0x78a57e99 -256 -256 -1 -0 -0 -4 -0x996e -0x4cd99d6e -256 -256 -0 -0 -0 -3 -0x56f7 -0x6380d507 -256 -256 -1 -0 -0 -4 -0x62bb -0x671fec71 -256 -256 -0 -0 -0 -4 -0x1bec -0x60804f72 -256 -256 -1 -0 -0 -3 -0x7e70 -0xfd2432f0 -256 -256 -1 -0 -0 -2 -0xd6ae -0x403ba2ed -256 -256 -0 -0 -0 -4 -0x35da -0xa2ad144d -256 -256 -0 -0 -0 -4 -0x543c -0x5a66927e -256 -256 -1 -0 -0 -2 -0x4b56 -0x3b71d156 -256 -256 -0 -0 -0 -1 -0xfa43 -0x94d5404c -256 -256 -0 -0 -0 -2 -0x1648 -0x7cf3f9f8 -256 -256 -0 -0 -0 -3 -0x864a -0xf15502e8 -256 -256 -0 -0 -0 -3 -0xedaf -0xe54abf59 -256 -256 -0 -0 -0 -2 -0x93a7 -0xc9eab8d5 -256 -256 -1 -0 -0 -4 -0xca0d -0x49c6d092 -256 -256 -1 -0 -0 -3 -0xb033 -0x77ad305c -256 -256 -1 -0 -0 -1 -0xd972 -0x1bc536ff -256 -256 -0 -0 -0 -2 -0xb3cf -0x8609b40b -256 -256 -1 -0 -0 -2 -0xaaf -0xd7a5c46f -256 -256 -1 -0 -0 -1 -0x2a67 -0xe674453a -256 -256 -0 -0 -0 -3 -0xf126 -0x4894adcb -256 -256 -0 -0 -0 -3 -0xc87d -0x25d0acf9 -256 -256 -0 -0 -0 -3 -0xfec4 -0x80a79a99 -256 -256 -1 -0 -0 -4 -0x553a -0x6bd91895 -256 -256 -0 -0 -0 -2 -0x1664 -0x808791a3 -256 -256 -1 -0 -0 -1 -0xb61b -0x18990431 -256 -256 -1 -0 -0 -2 -0x21c7 -0xedc0fa7b -256 -256 -0 -0 -0 -3 -0xceb8 -0x30992ae5 -256 -256 -0 -0 -0 -3 -0xec44 -0x489025b7 -256 -256 -0 -0 -0 -3 -0x1467 -0xd24b8778 -256 -256 -1 -0 -0 -2 -0xa751 -0x1cd08d66 -256 -256 -1 -0 -0 -2 -0x97b7 -0x1f820627 -256 -256 -1 -0 -0 -2 -0xcda6 -0xe1d9c579 -256 -256 -0 -0 -0 -2 -0xa2a7 -0xfe8da647 -256 -256 -0 -0 -0 -4 -0xc71a -0x2536a927 -256 -256 -1 -0 -0 -4 -0x89cc -0xda2654a2 -256 -256 -1 -0 -0 -3 -0xbea4 -0xfb1951f0 -256 -256 -1 -0 -0 -4 -0x2008 -0xc0316547 -256 -256 -0 -0 -0 -4 -0x8ce4 -0xae171fdf -256 -256 -0 -0 -0 -1 -0x4ff1 -0xf9477ac7 -256 -256 -0 -0 -0 -3 -0x9c56 -0x886ce637 -256 -256 -0 -0 -0 -4 -0xba3a -0x7ce266a9 -256 -256 -1 -0 -0 -1 -0x23d7 -0x1f7f46bc -256 -256 -1 -0 -0 -4 -0x565f -0x52da53f6 -256 -256 -0 -0 -0 -4 -0x23ea -0x9b96b021 -256 -256 -0 -0 -0 -2 -0x4924 -0x569a51b0 -256 -256 -1 -0 -0 -2 -0xda80 -0x462d99ff -256 -256 -0 -0 -0 -4 -0x323b -0xa512da36 -256 -256 -1 -0 -0 -3 -0x83a7 -0x83d24bab -256 -256 -1 -0 -0 -2 -0x3c9b -0x1b502231 -256 -256 -1 -0 -0 -3 -0xf3c5 -0x4fdd9fd3 -256 -256 -1 -0 -0 -3 -0x3963 -0xa5983924 -256 -256 -1 -0 -0 -4 -0xa8bc -0xb7638421 -256 -256 -0 -0 -0 -2 -0x9adb -0xca693dcd -256 -256 -0 -0 -0 -1 -0x5940 -0xc0311c35 -256 -256 -0 -0 -0 -1 -0x605 -0xcd42cd53 -256 -256 -0 -0 -0 -3 -0xded9 -0x2d345262 -256 -256 -1 -0 -0 -1 -0x67c -0x85ae24e4 -256 -256 -0 -0 -0 -1 -0x5e7c -0xe9044a27 -256 -256 -1 -0 -0 -1 -0x115f -0x7cb5979b -256 -256 -1 -0 -0 -3 -0xc02e -0x9d034d4b -256 -256 -1 -0 -0 -4 -0xcdf1 -0x9e18c0ea -256 -256 -1 -0 -0 -4 -0xf5eb -0x41f75010 -256 -256 -1 -0 -0 -3 -0x262e -0xa51ba06e -256 -256 -1 -0 -0 -4 -0xdbf8 -0x9b36798e -256 -256 -1 -0 -0 -3 -0x8796 -0xebcb0621 -256 -256 -0 -0 -0 -3 -0xfa9a -0x40228eba -256 -256 -1 -0 -0 -2 -0x14c0 -0x434476df -256 -256 -1 -0 -0 -3 -0x6bbf -0xfd9a1729 -256 -256 -0 -0 -0 -3 -0xac1f -0xdf2a3f2b -256 -256 -0 -0 -0 -4 -0xe9db -0xbbc497e5 -256 -256 -0 -0 -0 -3 -0xacd5 -0x5e47bf01 -256 -256 -0 -0 -0 -1 -0xe8ef -0xb9547813 -256 -256 -1 -0 -0 -1 -0x2b66 -0xbf45eb88 -256 -256 -0 -0 -0 -1 -0x4a65 -0x11a2b91c -256 -256 -1 -0 -0 -4 -0xaa24 -0xb7245c44 -256 -256 -0 -0 -0 -4 -0x8906 -0x486b072 -256 -256 -1 -0 -0 -4 -0xca60 -0xc1cdebb -256 -256 -1 -0 -0 -2 -0x715e -0x93843b39 -256 -256 -1 -0 -0 -4 -0xb8e8 -0xdc48b4d0 -256 -256 -1 -0 -0 -3 -0x9225 -0x418a9be0 -256 -256 -0 -0 -0 -1 -0xe6f5 -0xf4a79d0f -256 -256 -0 -0 -0 -3 -0x40f6 -0x70217b42 -256 -256 -0 -0 -0 -4 -0x42d0 -0x7676cfb6 -256 -256 -0 -0 -0 -3 -0x82a6 -0x96ea71aa -256 -256 -1 -0 -0 -2 -0xa838 -0xb4c7967f -256 -256 -0 -0 -0 -3 -0xb4f7 -0x4959f769 -256 -256 -1 -0 -0 -1 -0xd55c -0x74cfc86 -256 -256 -0 -0 -0 -4 -0x710e -0x7ead168b -256 -256 -0 -0 -0 -1 -0x449 -0x89b6313c -256 -256 -0 -0 -0 -4 -0xe978 -0x503df145 -256 -256 -0 -0 -0 -1 -0x2f7 -0x62f1888a -256 -256 -1 -0 -0 -3 -0xaf73 -0xdcc3b50 -256 -256 -1 -0 -0 -4 -0xfd7e -0x600ac815 -256 -256 -1 -0 -0 -1 -0x44e0 -0xc5dcdea -256 -256 -1 -0 -0 -2 -0xce21 -0xebd3ea9 -256 -256 -1 -0 -0 -4 -0x9840 -0x590b9cec -256 -256 -1 -0 -0 -4 -0xa4f2 -0x8f541a84 -256 -256 -1 -0 -0 -3 -0x48fb -0x507c93c9 -256 -256 -0 -0 -0 -2 -0xbdbd -0x2851fcc1 -256 -256 -0 -0 -0 -4 -0x2791 -0xc00d5a3a -256 -256 -1 -0 -0 -2 -0xb774 -0xda5cc00e -256 -256 -1 -0 -0 -3 -0x1ee3 -0x509d1968 -256 -256 -1 -0 -0 -2 -0xf904 -0x2282521b -256 -256 -1 -0 -0 -2 -0x355d -0x5055bf5b -256 -256 -0 -0 -0 -2 -0x5693 -0x975a05b6 -256 -256 -0 -0 -0 -4 -0xd341 -0xf58f84d4 -256 -256 -0 -0 -0 -1 -0xcab5 -0x48150243 -256 -256 -1 -0 -0 -3 -0xaf39 -0x3be2a436 -256 -256 -0 -0 -0 -1 -0x5770 -0x6b07f5c2 -256 -256 -0 -0 -0 -3 -0x1f0c -0x821714c4 -256 -256 -0 -0 -0 -2 -0x4902 -0x35b1f5d5 -256 -256 -0 -0 -0 -3 -0x4de -0xe4cc4016 -256 -256 -0 -0 -0 -2 -0x313e -0x911c98ba -256 -256 -1 -0 -0 -3 -0xa24a -0x2f185131 -256 -256 -1 -0 -0 -1 -0xe4d -0x9a1394b4 -256 -256 -0 -0 -0 -3 -0xa95c -0x72d568b9 -256 -256 -0 -0 -0 -4 -0x48fb -0x27adae66 -256 -256 -0 -0 -0 -1 -0x50a4 -0xb3177450 -256 -256 -0 -0 -0 -1 -0x9dab -0x8d8f5391 -256 -256 -1 -0 -0 -3 -0x2549 -0x9bf57665 -256 -256 -0 -0 -0 -3 -0xe4ab -0xc7eea87 -256 -256 -1 -0 -0 -2 -0x9307 -0xaec94361 -256 -256 -0 -0 -0 -2 -0x9d89 -0x5cbc864e -256 -256 -0 -0 -0 -4 -0x2de6 -0xb629c815 -256 -256 -0 -0 -0 -4 -0xaa64 -0x5200690a -256 -256 -1 -0 -0 -4 -0x9562 -0x88ab4255 -256 -256 -0 -0 -0 -3 -0xf947 -0x8b227273 -256 -256 -0 -0 -0 -2 -0x7d0b -0xa9194828 -256 -256 -0 -0 -0 -3 -0xde36 -0xf8119138 -256 -256 -1 -0 -0 -2 -0x47dd -0x85a5e01b -256 -256 -1 -0 -0 -1 -0x4d88 -0x147897d9 -256 -256 -1 -0 -0 -2 -0x2c4b -0x3672158a -256 -256 -0 -0 -0 -3 -0x64bd -0x8ec29630 -256 -256 -1 -0 -0 -4 -0x528d -0xbdca2eee -256 -256 -0 -0 -0 -4 -0x716f -0x5c74a117 -256 -256 -1 -0 -0 -1 -0xbbde -0x3c881772 -256 -256 -1 -0 -0 -3 -0xe714 -0x8668e898 -256 -256 -1 -0 -0 -4 -0x35e6 -0xf9b2cff7 -256 -256 -1 -0 -0 -4 -0xb53 -0x13631589 -256 -256 -1 -0 -0 -4 -0xc44a -0xc0890fad -256 -256 -0 -0 -0 -1 -0x20ca -0x52946dc6 -256 -256 -0 -0 -0 -3 -0x2856 -0x111b72d -256 -256 -0 -0 -0 -4 -0xf48e -0x4237ea27 -256 -256 -0 -0 -0 -3 -0x490b -0x9b9da4c7 -256 -256 -0 -0 -0 -3 -0xc987 -0xea607a48 -256 -256 -0 -0 -0 -2 -0x5868 -0xac5483da -256 -256 -0 -0 -0 -1 -0x30a8 -0xfad30fdd -256 -256 -1 -0 -0 -4 -0xffae -0x63326a5 -256 -256 -1 -0 -0 -3 -0xcfde -0x230808b3 -256 -256 -1 -0 -0 -2 -0x1bf2 -0xcf687e46 -256 -256 -0 -0 -0 -4 -0x7334 -0x39e39225 -256 -256 -0 -0 -0 -1 -0xcc2c -0xa34b59b7 -256 -256 -0 -0 -0 -3 -0x2415 -0x50d0af73 -256 -256 -0 -0 -0 -2 -0xf0ce -0xe0d2a6b -256 -256 -0 -0 -0 -3 -0x7edf -0x2fc71093 -256 -256 -0 -0 -0 -1 -0xac77 -0x546c8999 -256 -256 -1 -0 -0 -4 -0x7d31 -0x58923169 -256 -256 -1 -0 -0 -2 -0x2aba -0xb23a7975 -256 -256 -1 -0 -0 -1 -0x56cb -0x5ee9b480 -256 -256 -1 -0 -0 -4 -0xe969 -0xa1653e16 -256 -256 -0 -0 -0 -2 -0xc22c -0xceefdb7c -256 -256 -1 -0 -0 -4 -0x3557 -0xc829d84c -256 -256 -0 -0 -0 -1 -0xfede -0x90b7c6a3 -256 -256 -0 -0 -0 -4 -0x662b -0x4c0cbe3a -256 -256 -1 -0 -0 -4 -0x5e27 -0xe9d5a3d1 -256 -256 -1 -0 -0 -3 -0x6662 -0x21c0ebe4 -256 -256 -1 -0 -0 -4 -0xdace -0x6ff34d2c -256 -256 -1 -0 -0 -3 -0x8b4a -0x7b35e68c -256 -256 -0 -0 -0 -4 -0x42b6 -0x8a1f3b71 -256 -256 -0 -0 -0 -3 -0x738f -0x908e6599 -256 -256 -0 -0 -0 -1 -0x3cbb -0xbce524ce -256 -256 -0 -0 -0 -3 -0xa5b5 -0xd06a9692 -256 -256 -0 -0 -0 -4 -0x97f6 -0x90beaa5b -256 -256 -0 -0 -0 -2 -0x7a43 -0x58ae518 -256 -256 -0 -0 -0 -3 -0x51e8 -0xa308b77 -256 -256 -1 -0 -0 -1 -0x458d -0x499e1580 -256 -256 -1 -0 -0 -2 -0x3f9e -0xd30d5321 -256 -256 -0 -0 -0 -2 -0xd00f -0xc753678b -256 -256 -0 -0 -0 -3 -0x9e95 -0xeff57a1b -256 -256 -1 -0 -0 -1 -0x6b0c -0x2763729a -256 -256 -1 -0 -0 -1 -0x18de -0xc9f4469c -256 -256 -1 -0 -0 -4 -0x30ff -0x286b0cc4 -256 -256 -1 -0 -0 -4 -0xc5d6 -0xcd06e390 -256 -256 -1 -0 -0 -1 -0x42bd -0x17840fc8 -256 -256 -0 -0 -0 -3 -0xf430 -0xafa078bc -256 -256 -1 -0 -0 -4 -0xf71f -0x44a0b388 -256 -256 -1 -0 -0 -1 -0x44fd -0x52aa4ba6 -256 -256 -1 -0 -0 -2 -0x7dd4 -0xa48f9ed9 -256 -256 -0 -0 -0 -1 -0x36f4 -0xe121922a -256 -256 -1 -0 -0 -3 -0x7c63 -0x6123c573 -256 -256 -1 -0 -0 -3 -0xc72d -0xfaee6d08 -256 -256 -0 -0 -0 -2 -0xd292 -0xe1db46f5 -256 -256 -1 -0 -0 -2 -0x317f -0x5d090fd7 -256 -256 -0 -0 -0 -2 -0xed4e -0x825ab9a1 -256 -256 -1 -0 -0 -2 -0x734 -0x4af7090 -256 -256 -0 -0 -0 -2 -0x8f7a -0xb72ea6e9 -256 -256 -1 -0 -0 -4 -0xcc79 -0xc57a1458 -256 -256 -0 -0 -0 -4 -0x567b -0x256ed3d9 -256 -256 -1 -0 -0 -3 -0x3daf -0x58e2926d -256 -256 -0 -0 -0 -3 -0x7555 -0xe30726db -256 -256 -0 -0 -0 -4 -0x4fee -0xd1497990 -256 -256 -1 -0 -0 -3 -0xb8b0 -0x6a87ab7c -256 -256 -0 -0 -0 -1 -0xbb6e -0x352dea9b -256 -256 -0 -0 -0 -2 -0xe72b -0x885315a6 -256 -256 -1 -0 -0 -4 -0x726c -0x3b5b5ef1 -256 -256 -1 -0 -0 -2 -0x5a1f -0x59dfe1b4 -256 -256 -1 -0 -0 -2 -0xc4d1 -0x3067d593 -256 -256 -1 -0 -0 -2 -0xaac1 -0x2213301e -256 -256 -1 -0 -0 -3 -0x8b93 -0x4d28c893 -256 -256 -0 -0 -0 -1 -0x7134 -0x41105caa -256 -256 -1 -0 -0 -3 -0xe6fb -0x20462fc9 -256 -256 -1 -0 -0 -1 -0x21cc -0x2d92339 -256 -256 -0 -0 -0 -4 -0xbcc2 -0xd0342ecb -256 -256 -0 -0 -0 -3 -0xc11b -0xaa7b5f6b -256 -256 -0 -0 -0 -3 -0xe0cd -0x86b34a20 -256 -256 -0 -0 -0 -4 -0x6ece -0x1be7d998 -256 -256 -0 -0 -0 -1 -0x6bfc -0x10f69cec -256 -256 -0 -0 -0 -2 -0x416a -0x8248eddd -256 -256 -1 -0 -0 -3 -0xbdef -0xf92befe -256 -256 -0 -0 -0 -2 -0xac80 -0xf295e77 -256 -256 -0 -0 -0 -1 -0x9411 -0x5ebc9f12 -256 -256 -0 -0 -0 -4 -0x1c76 -0xab0ea593 -256 -256 -0 -0 -0 -4 -0xdbb8 -0x4bc2eeec -256 -256 -0 -0 -0 -4 -0xbe0c -0x1d63f6ce -256 -256 -1 -0 -0 -2 -0xe939 -0x30ca9614 -256 -256 -1 -0 -0 -2 -0xfa81 -0xaacb7a12 -256 -256 -0 -0 -0 -3 -0xd00a -0x2ebb7699 -256 -256 -0 -0 -0 -4 -0xe189 -0x656db020 -256 -256 -1 -0 -0 -4 -0xc1bc -0xce2136ab -256 -256 -1 -0 -0 -3 -0xf14a -0xed5929e4 -256 -256 -0 -0 -0 -1 -0x3ac5 -0xb068ff95 -256 -256 -0 -0 -0 -2 -0x2373 -0x120a0c8d -256 -256 -1 -0 -0 -4 -0xf1e4 -0x7d8b38dc -256 -256 -1 -0 -0 -2 -0x907f -0x5551d722 -256 -256 -0 -0 -0 -2 -0x60e1 -0x13169275 -256 -256 -0 -0 -0 -3 -0xd274 -0x2a7b35f5 -256 -256 -0 -0 -0 -3 -0x3f29 -0xc82d5f5a -256 -256 -0 -0 -0 -4 -0xbb12 -0x55f5fb20 -256 -256 -1 -0 -0 -4 -0x7f47 -0x5a546d51 -256 -256 -1 -0 -0 -4 -0x75e5 -0xd2cfea79 -256 -256 -1 -0 -0 -1 -0x9773 -0xd88359ae -256 -256 -1 -0 -0 -2 -0x415b -0xdc764f1c -256 -256 -0 -0 -0 -3 -0xbae -0x2a7ea421 -256 -256 -0 -0 -0 -3 -0x5644 -0xc0733966 -256 -256 -0 -0 -0 -3 -0x9287 -0x43fb37cf -256 -256 -0 -0 -0 -1 -0x9333 -0xf88a6681 -256 -256 -0 -0 -0 -2 -0xc765 -0xf4aae10e -256 -256 -1 -0 -0 -4 -0xad25 -0x201f99d0 -256 -256 -1 -0 -0 -1 -0xa94a -0xa02c12e1 -256 -256 -1 -0 -0 -2 -0x4c3d -0x84dad56f -256 -256 -0 -0 -0 -2 -0xdcf7 -0xc147377 -256 -256 -0 -0 -0 -2 -0xa978 -0xf01868aa -256 -256 -1 -0 -0 -2 -0x26ed -0x1a629159 -256 -256 -0 -0 -0 -1 -0x759a -0xdf6f91da -256 -256 -0 -0 -0 -2 -0x108b -0xd3ec1109 -256 -256 -0 -0 -0 -2 -0x12d9 -0xf997211e -256 -256 -0 -0 -0 -1 -0x3cbb -0xe21d02e9 -256 -256 -0 -0 -0 -2 -0x3b0d -0x7b7d0be4 -256 -256 -1 -0 -0 -4 -0xf29a -0x8f4d5e4b -256 -256 -0 -0 -0 -2 -0xf826 -0x42837826 -256 -256 -1 -0 -0 -2 -0xbe9c -0xeabbe693 -256 -256 -0 -0 -0 -4 -0xc5ec -0xda895b11 -256 -256 -0 -0 -0 -2 -0x9ee -0x50d18e7f -256 -256 -1 -0 -0 -2 -0x6b2d -0x3ed61063 -256 -256 -0 -0 -0 -4 -0x9830 -0x74ddf912 -256 -256 -0 -0 -0 -2 -0xc8cb -0x38c09863 -256 -256 -1 -0 -0 -3 -0xdae3 -0xe63bd345 -256 -256 -0 -0 -0 -1 -0xe12d -0x2a693128 -256 -256 -0 -0 -0 -3 -0x3a0 -0xae1a07a6 -256 -256 -1 -0 -0 -4 -0x521 -0xa6a3bcdf -256 -256 -1 -0 -0 -3 -0x37de -0x4fb16b06 -256 -256 -1 -0 -0 -2 -0x22a -0xd59acd23 -256 -256 -0 -0 -0 -4 -0x4694 -0x243dda5e -256 -256 -0 -0 -0 -2 -0x6246 -0x98293c89 -256 -256 -1 -0 -0 -3 -0x9655 -0x17633307 -256 -256 -0 -0 -0 -1 -0x1bdb -0xe1f03c42 -256 -256 -0 -0 -0 -2 -0x4d65 -0x71ff4af4 -256 -256 -0 -0 -0 -2 -0xce84 -0x2218970d -256 -256 -0 -0 -0 -4 -0xc915 -0x175645c9 -256 -256 -1 -0 -0 -3 -0xa9ef -0x82f693ac -256 -256 -0 -0 -0 -2 -0x1333 -0xdaf2e8cc -256 -256 -1 -0 -0 -2 -0x9f18 -0x127a9f28 -256 -256 -1 -0 -0 -1 -0x1dad -0x1f8779a6 -256 -256 -1 -0 -0 -1 -0x2516 -0x45e4057a -256 -256 -0 -0 -0 -3 -0x3e3c -0xbc0e01c0 -256 -256 -0 -0 -0 -3 -0x3071 -0x96897a4f -256 -256 -1 -0 -0 -3 -0x546e -0xb7abdf10 -256 -256 -1 -0 -0 -2 -0x65e5 -0x49c85f26 -256 -256 -1 -0 -0 -1 -0x9ee5 -0x436f0940 -256 -256 -0 -0 -0 -4 -0x6c6c -0x59054d83 -256 -256 -0 -0 -0 -4 -0xf9ac -0x927a5bc6 -256 -256 -1 -0 -0 -3 -0x405c -0x65cd80f8 -256 -256 -0 -0 -0 -4 -0x8ec7 -0xc9d818c6 -256 -256 -0 -0 -0 -3 -0xa5bf -0xa627c200 -256 -256 -1 -0 -0 -1 -0x297c -0x81d69c10 -256 -256 -1 -0 -0 -1 -0x3c65 -0xe62d40a3 -256 -256 -1 -0 -0 -2 -0x9a88 -0x9b7f6f2 -256 -256 -1 -0 -0 -4 -0xf115 -0x5656695d -256 -256 -1 -0 -0 -3 -0xdde2 -0xe11e4e1e -256 -256 -1 -0 -0 -4 -0xc4db -0xbb7419dc -256 -256 -1 -0 -0 -4 -0x576c -0xbf390b2b -256 -256 -1 -0 -0 -4 -0x8507 -0x451d2773 -256 -256 -0 -0 -0 -4 -0x323c -0x12618da3 -256 -256 -0 -0 -0 -1 -0xd66c -0xd37fe7e8 -256 -256 -0 -0 -0 -2 -0xe237 -0x25b0c238 -256 -256 -1 -0 -0 -1 -0x55c0 -0x2669931 -256 -256 -1 -0 -0 -4 -0x8f51 -0x791e08f8 -256 -256 -1 -0 -0 -4 -0xd6bf -0x73c82721 -256 -256 -0 -0 -0 -1 -0xcb60 -0x664089a7 -256 -256 -0 -0 -0 -1 -0xb2be -0xcb0dee7f -256 -256 -1 -0 -0 -4 -0x59a9 -0x84b79dd4 -256 -256 -1 -0 -0 -4 -0xc2c7 -0xe87a0501 -256 -256 -0 -0 -0 -4 -0x563f -0xfd16767a -256 -256 -0 -0 -0 -1 -0xf77b -0x279a70d3 -256 -256 -0 -0 -0 -4 -0xefad -0x13826e8e -256 -256 -0 -0 -0 -2 -0x8b6c -0x5ebf4e3c -256 -256 -0 -0 -0 -4 -0x72a8 -0x3cf6fdaa -256 -256 -1 -0 -0 -3 -0x535e -0x4219ec5 -256 -256 -0 -0 -0 -1 -0x4763 -0x3735bf08 -256 -256 -1 -0 -0 -2 -0x6a16 -0x402891a0 -256 -256 -1 -0 -0 -2 -0x451d -0x2ed377cb -256 -256 -0 -0 -0 -4 -0xca9f -0x5617d2ed -256 -256 -1 -0 -0 -2 -0x4a84 -0xde6c183e -256 -256 -0 -0 -0 -3 -0xf47a -0xfa5f31cd -256 -256 -1 -0 -0 -4 -0xf04b -0xa279e6d8 -256 -256 -1 -0 -0 -3 -0x95c1 -0x863c36d6 -256 -256 -1 -0 -0 -1 -0x8e4 -0x64881d04 -256 -256 -1 -0 -0 -1 -0x67c2 -0x4e02a3e0 -256 -256 -0 -0 -0 -1 -0x2cd8 -0xd16c15f4 -256 -256 -1 -0 -0 -1 -0xec79 -0x8e3baf58 -256 -256 -1 -0 -0 -4 -0x6980 -0x724226cb -256 -256 -1 -0 -0 -3 -0x1686 -0x7922af88 -256 -256 -1 -0 -0 -3 -0xd67c -0x7cd6b069 -256 -256 -1 -0 -0 -1 -0xc089 -0x3c508ba3 -256 -256 -0 -0 -0 -1 -0xed0a -0x5c57440c -256 -256 -1 -0 -0 -2 -0x54b -0x38415cf1 -256 -256 -1 -0 -0 -1 -0x5f13 -0x4dcecfc -256 -256 -1 -0 -0 -2 -0xb0bc -0x7344f475 -256 -256 -1 -0 -0 -1 -0xb630 -0xf0774e29 -256 -256 -1 -0 -0 -1 -0x65f1 -0x9727cb64 -256 -256 -1 -0 -0 -3 -0x9dce -0x56c76c3 -256 -256 -0 -0 -0 -3 -0xcd7e -0x135f0e6a -256 -256 -1 -0 -0 -1 -0x17d4 -0x170358d4 -256 -256 -0 -0 -0 -3 -0x31fd -0x3bf589d8 -256 -256 -0 -0 -0 -2 -0x51a1 -0xd1482c80 -256 -256 -0 -0 -0 -4 -0x577e -0xbaec9cb1 -256 -256 -1 -0 -0 -1 -0x6c51 -0x7c4b3024 -256 -256 -0 -0 -0 -4 -0x21bf -0xb62714c2 -256 -256 -1 -0 -0 -2 -0x403f -0xb89ebd7a -256 -256 -0 -0 -0 -1 -0x56c7 -0x233dd0e0 -256 -256 -1 -0 -0 -2 -0x4db6 -0xd90fcc16 -256 -256 -1 -0 -0 -4 -0xe0f -0xe780d1aa -256 -256 -1 -0 -0 -2 -0xeb27 -0x8792dab9 -256 -256 -0 -0 -0 -3 -0x500f -0x3618d719 -256 -256 -0 -0 -0 -1 -0xed9d -0x3a87e002 -256 -256 -1 -0 -0 -2 -0x52ef -0x55b28a76 -256 -256 -1 -0 -0 -4 -0x70e7 -0xc12d2452 -256 -256 -0 -0 -0 -4 -0x4e3c -0xa90d192a -256 -256 -1 -0 -0 -1 -0xd7e6 -0xbc2e972c -256 -256 -1 -0 -0 -1 -0x8919 -0x29759ede -256 -256 -1 -0 -0 -1 -0xae96 -0x90c2b883 -256 -256 -1 -0 -0 -2 -0x8db6 -0x32897c06 -256 -256 -1 -0 -0 -1 -0x961e -0x17cd5b38 -256 -256 -0 -0 -0 -3 -0x7c28 -0xc1720400 -256 -256 -0 -0 -0 -3 -0xc171 -0x388fa0a5 -256 -256 -1 -0 -0 -3 -0xe3fe -0x1c429b0c -256 -256 -1 -0 -0 -2 -0x8b0d -0x7e06fdc2 -256 -256 -1 -0 -0 -2 -0xde0a -0x1342d81b -256 -256 -0 -0 -0 -4 -0x50d9 -0xa79757cc -256 -256 -0 -0 -0 -3 -0xa5d4 -0xe4a6be04 -256 -256 -1 -0 -0 -3 -0xf192 -0xad05e292 -256 -256 -0 -0 -0 -1 -0x4bd8 -0x42a447af -256 -256 -0 -0 -0 -1 -0x6ed8 -0x438b4b7c -256 -256 -1 -0 -0 -4 -0x38a2 -0x6085246c -256 -256 -1 -0 -0 -4 -0x203e -0x59be7304 -256 -256 -1 -0 -0 -3 -0x4c1b -0x7fd6ea73 -256 -256 -1 -0 -0 -2 -0x9e89 -0xde1af143 -256 -256 -1 -0 -0 -3 -0xbf45 -0x25246f90 -256 -256 -1 -0 -0 -2 -0x4460 -0x446a5f75 -256 -256 -0 -0 -0 -2 -0x4852 -0x18aa934b -256 -256 -1 -0 -0 -1 -0xc476 -0xa880e583 -256 -256 -1 -0 -0 -1 -0xe1bd -0x5ca8e731 -256 -256 -1 -0 -0 -3 -0xd69a -0x7ca8ed73 -256 -256 -1 -0 -0 -1 -0x9e6 -0xc1130396 -256 -256 -0 -0 -0 -2 -0x4d28 -0xad730568 -256 -256 -1 -0 -0 -3 -0x27d7 -0x84ee7652 -256 -256 -1 -0 -0 -1 -0xfb8d -0xfce55131 -256 -256 -1 -0 -0 -3 -0x4262 -0x9c5e24fa -256 -256 -1 -0 -0 -4 -0x8122 -0x58cecd11 -256 -256 -0 -0 -0 -3 -0xad66 -0xd07b9012 -256 -256 -0 -0 -0 -3 -0xa566 -0x9749a5fb -256 -256 -1 -0 -0 -3 -0xe5bc -0x2908962a -256 -256 -0 -0 -0 -3 -0xbe05 -0xc084948d -256 -256 -0 -0 -0 -3 -0x2207 -0xe4918713 -256 -256 -1 -0 -0 -1 -0x4f56 -0x602d8af4 -256 -256 -1 -0 -0 -2 -0xe529 -0xa1fc2910 -256 -256 -0 -0 -0 -2 -0x375e -0xb3829c23 -256 -256 -1 -0 -0 -4 -0x1b49 -0x832476c3 -256 -256 -1 -0 -0 -4 -0x96e9 -0xca31ec15 -256 -256 -0 -0 -0 -3 -0x842d -0xdd992930 -256 -256 -1 -0 -0 -4 -0x1341 -0x9ae9d4dc -256 -256 -1 -0 -0 -3 -0xdd8f -0x9a43d3be -256 -256 -1 -0 -0 -3 -0x8eab -0xaf11dcdf -256 -256 -0 -0 -0 -4 -0xa680 -0x8001db52 -256 -256 -1 -0 -0 -2 -0xe8d8 -0xd7f4c43b -256 -256 -1 -0 -0 -4 -0xd63f -0x2c339db6 -256 -256 -1 -0 -0 -2 -0xdc45 -0x654d1dc1 -256 -256 -1 -0 -0 -2 -0x47aa -0xb1564415 -256 -256 -1 -0 -0 -1 -0x53f -0x24cea4d6 -256 -256 -1 -0 -0 -4 -0x7a70 -0xdd1b351b -256 -256 -1 -0 -0 -3 -0xf1cf -0xd57bc985 -256 -256 -1 -0 -0 -1 -0x569a -0x4c2492d -256 -256 -1 -0 -0 -3 -0x5a82 -0x2855939f -256 -256 -1 -0 -0 -3 -0xebfd -0xaebd588e -256 -256 -1 -0 -0 -4 -0xe1cc -0x7df5c1a6 -256 -256 -0 -0 -0 -3 -0x2477 -0xaeafe817 -256 -256 -1 -0 -0 -1 -0xf186 -0xf0975651 -256 -256 -0 -0 -0 -1 -0xbcef -0x4f53ec0a -256 -256 -1 -0 -0 -3 -0x4ce9 -0x34bb3557 -256 -256 -1 -0 -0 -1 -0xc74a -0x155c367b -256 -256 -0 -0 -0 -4 -0xb49f -0x8d24d2d0 -256 -256 -0 -0 -0 -4 -0x5ca6 -0xc9c02261 -256 -256 -0 -0 -0 -4 -0x6157 -0x52cf5e68 -256 -256 -0 -0 -0 -2 -0x605 -0xde791110 -256 -256 -1 -0 -0 -4 -0x80f1 -0xaba8670 -256 -256 -1 -0 -0 -1 -0xb047 -0x5be185ab -256 -256 -1 -0 -0 -4 -0xdd2e -0xd8e02102 -256 -256 -0 -0 -0 -2 -0xf77b -0xd62cfaf7 -256 -256 -1 -0 -0 -2 -0x30fb -0xee4e7432 -256 -256 -1 -0 -0 -3 -0x7188 -0x27682b67 -256 -256 -0 -0 -0 -3 -0x5a05 -0x1c1f5fd1 -256 -256 -0 -0 -0 -3 -0x133c -0xaf0e8952 -256 -256 -1 -0 -0 -4 -0xf256 -0x5dcc7ef -256 -256 -0 -0 -0 -2 -0x3b0b -0xe8b6b32 -256 -256 -0 -0 -0 -3 -0xb1af -0x2366d268 -256 -256 -1 -0 -0 -4 -0xbc07 -0xe12eeb90 -256 -256 -1 -0 -0 -4 -0xf5a0 -0xefde5703 -256 -256 -1 -0 -0 -1 -0x21d8 -0x5ac5fb3a -256 -256 -0 -0 -0 -2 -0x94c9 -0x54d4115a -256 -256 -1 -0 -0 -3 -0xb883 -0x5aeb940a -256 -256 -0 -0 -0 -4 -0x8b2c -0x1476db42 -256 -256 -0 -0 -0 -3 -0x4ffa -0xd572521e -256 -256 -0 -0 -0 -4 -0x4de3 -0xc68fa431 -256 -256 -1 -0 -0 -1 -0x37be -0x909152ab -256 -256 -0 -0 -0 -1 -0x604 -0x48a8574f -256 -256 -1 -0 -0 -1 -0x45bc -0xa6d37079 -256 -256 -0 -0 -0 -2 -0x669 -0x93a8f7bc -256 -256 -1 -0 -0 -1 -0xf1ac -0x43fe60d0 -256 -256 -1 -0 -0 -3 -0x5da1 -0xc19d8184 -256 -256 -1 -0 -0 -3 -0x5594 -0xbda3e883 -256 -256 -1 -0 -0 -2 -0xd63 -0x35b39f71 -256 -256 -0 -0 -0 -2 -0x47e4 -0x79863d21 -256 -256 -1 -0 -0 -1 -0x9d8a -0x171cb739 -256 -256 -1 -0 -0 -3 -0x165b -0x63cf88ae -256 -256 -0 -0 -0 -1 -0x422 -0xc80a7a65 -256 -256 -0 -0 -0 -4 -0xea7 -0xf14227cb -256 -256 -0 -0 -0 -4 -0x54f9 -0x9b96ba60 -256 -256 -1 -0 -0 -3 -0x233e -0x162aec50 -256 -256 -1 -0 -0 -4 -0xa21f -0xb528e3f3 -256 -256 -0 -0 -0 -1 -0x1f70 -0xa55af4e4 -256 -256 -0 -0 -0 -4 -0x20c8 -0x89168e0d -256 -256 -1 -0 -0 -3 -0xc49d -0x26114161 -256 -256 -1 -0 -0 -3 -0xbeec -0x23a5cc11 -256 -256 -0 -0 -0 -1 -0x89a2 -0x16e66f8d -256 -256 -1 -0 -0 -3 -0x6c7 -0xa2256be6 -256 -256 -1 -0 -0 -4 -0x3f18 -0x7d353bd6 -256 -256 -1 -0 -0 -4 -0xd979 -0x893040b2 -256 -256 -1 -0 -0 -3 -0xdc55 -0x71e98adc -256 -256 -1 -0 -0 -2 -0x47fd -0xb8a0a082 -256 -256 -1 -0 -0 -1 -0x2c1a -0x9004724c -256 -256 -1 -0 -0 -4 -0xfa09 -0x77964957 -256 -256 -1 -0 -0 -1 -0xfceb -0xa607ab1f -256 -256 -1 -0 -0 -4 -0x940d -0xeef35620 -256 -256 -0 -0 -0 -2 -0xfdb7 -0x18783ce5 -256 -256 -1 -0 -0 -2 -0xf6a0 -0x2f88c10d -256 -256 -0 -0 -0 -4 -0x36c1 -0x5b97aea8 -256 -256 -0 -0 -0 -2 -0x298d -0x5554b6bc -256 -256 -1 -0 -0 -2 -0x6e1d -0x82761202 -256 -256 -0 -0 -0 -2 -0xb0f5 -0xb8cf16c0 -256 -256 -0 -0 -0 -4 -0x2615 -0xaa9812dc -256 -256 -0 -0 -0 -2 -0x5fad -0xe8814df4 -256 -256 -0 -0 -0 -2 -0x4952 -0xeaf448b3 -256 -256 -1 -0 -0 -4 -0x46fe -0x3d0c92b0 -256 -256 -1 -0 -0 -1 -0xbf4f -0x7684563d -256 -256 -0 -0 -0 -1 -0x8136 -0xd8bed6aa -256 -256 -0 -0 -0 -4 -0x6be1 -0x20bd4e63 -256 -256 -0 -0 -0 -4 -0x56bc -0xa6edfb5d -256 -256 -1 -0 -0 -1 -0x5a1e -0xcbb68944 -256 -256 -0 -0 -0 -1 -0x1e0c -0xf2791cf7 -256 -256 -0 -0 -0 -3 -0x60c -0x27ee6e72 -256 -256 -0 -0 -0 -4 -0x53d0 -0x77b289c6 -256 -256 -1 -0 -0 -4 -0xce62 -0x6e06ed21 -256 -256 -1 -0 -0 -1 -0x8a81 -0xd27d2e03 -256 -256 -1 -0 -0 -1 -0x4ecc -0xac68f57f -256 -256 -0 -0 -0 -4 -0x7f35 -0xaf32f5f1 -256 -256 -0 -0 -0 -1 -0x2201 -0x9934b1b8 -256 -256 -0 -0 -0 -3 -0xebe6 -0xef72e16d -256 -256 -0 -0 -0 -2 -0x131 -0x65791c28 -256 -256 -0 -0 -0 -3 -0xd6f0 -0x5b7a78a1 -256 -256 -0 -0 -0 -2 -0x5a1d -0xbae6fdac -256 -256 -0 -0 -0 -2 -0xad42 -0xa564238b -256 -256 -1 -0 -0 -3 -0x5137 -0x76ee5be2 -256 -256 -0 -0 -0 -4 -0xbb1f -0x90052522 -256 -256 -1 -0 -0 -4 -0x8a30 -0x6be16ef8 -256 -256 -0 -0 -0 -2 -0xf27c -0x73f94fd0 -256 -256 -1 -0 -0 -4 -0x8f71 -0x107abe79 -256 -256 -0 -0 -0 -2 -0xfbd0 -0xe0e796bb -256 -256 -1 -0 -0 -1 -0xabd4 -0x9de5141f -256 -256 -1 -0 -0 -3 -0x1e7 -0x1e08117a -256 -256 -1 -0 -0 -1 -0x819b -0x7714daf4 -256 -256 -0 -0 -0 -4 -0xc488 -0xb3205977 -256 -256 -1 -0 -0 -2 -0x7570 -0xb5f805fc -256 -256 -1 -0 -0 -1 -0xd469 -0xd2a3ad9e -256 -256 -0 -0 -0 -1 -0x6319 -0x5df5258a -256 -256 -0 -0 -0 -1 -0xded6 -0x26a4b165 -256 -256 -1 -0 -0 -1 -0xcd91 -0x4f30d1a3 -256 -256 -0 -0 -0 -3 -0x12e0 -0x4e1cf71a -256 -256 -0 -0 -0 -4 -0x4471 -0x7f4827a2 -256 -256 -0 -0 -0 -2 -0x8a80 -0xf1739dd6 -256 -256 -1 -0 -0 -4 -0x3b7d -0x37a5311b -256 -256 -1 -0 -0 -3 -0x7ee0 -0x2315328c -256 -256 -0 -0 -0 -3 -0xfedb -0x15cf4b15 -256 -256 -0 -0 -0 -2 -0xa8f0 -0x7f24876 -256 -256 -1 -0 -0 -4 -0x9655 -0x618dd814 -256 -256 -0 -0 -0 -3 -0x5c8f -0x98132a8f -256 -256 -1 -0 -0 -3 -0xbe76 -0x88c07199 -256 -256 -0 -0 -0 -4 -0xd3bd -0xf0f0e124 -256 -256 -0 -0 -0 -2 -0x1c64 -0xb05da965 -256 -256 -0 -0 -0 -3 -0x145f -0x252c2e06 -256 -256 -1 -0 -0 -3 -0x303d -0x23326080 -256 -256 -0 -0 -0 -1 -0x5919 -0xc371718e -256 -256 -1 -0 -0 -2 -0x9419 -0x67fa92c4 -256 -256 -1 -0 -0 -1 -0x1ba7 -0x77894984 -256 -256 -1 -0 -0 -4 -0xeec7 -0x779aa2ee -256 -256 -0 -0 -0 -3 -0xdad1 -0x5fe38048 -256 -256 -0 -0 -0 -1 -0xf3e1 -0x4de1b8b1 -256 -256 -0 -0 -0 -4 -0x7f2e -0x620be0bd -256 -256 -0 -0 -0 -3 -0xac84 -0xc18cca15 -256 -256 -0 -0 -0 -2 -0xc3da -0x687b5fe6 -256 -256 -1 -0 -0 -1 -0x7b0 -0xef581b12 -256 -256 -0 -0 -0 -1 -0x6602 -0xa4c16007 -256 -256 -1 -0 -0 -1 -0x1665 -0x723266ba -256 -256 -0 -0 -0 -4 -0x3cb4 -0x26dd0bf -256 -256 -1 -0 -0 -2 -0x7a7 -0xad3de3e4 -256 -256 -0 -0 -0 -3 -0x6048 -0xa59e3b45 -256 -256 -1 -0 -0 -3 -0xde5b -0xcf1ecd4e -256 -256 -1 -0 -0 -3 -0xe46d -0xed77fd91 -256 -256 -1 -0 -0 -2 -0xef10 -0x1f8ea9bd -256 -256 -0 -0 -0 -1 -0x9ab7 -0xb2675fc1 -256 -256 -0 -0 -0 -4 -0x74fb -0xcbcafeeb -256 -256 -1 -0 -0 -1 -0xddcd -0x9239bb65 -256 -256 -1 -0 -0 -3 -0xdb09 -0x239f2521 -256 -256 -1 -0 -0 -1 -0xfd53 -0xc9c592b4 -256 -256 -1 -0 -0 -2 -0xa9d -0xadc0f894 -256 -256 -1 -0 -0 -1 -0x2196 -0x82a0520d -256 -256 -1 -0 -0 -1 -0x63d1 -0xb7bd17c7 -256 -256 -1 -0 -0 -1 -0xa6b8 -0x35365ab8 -256 -256 -1 -0 -0 -1 -0x8663 -0x914d9589 -256 -256 -0 -0 -0 -3 -0xe9b7 -0x9edcebd3 -256 -256 -1 -0 -0 -4 -0x8665 -0x7e6041fd -256 -256 -0 -0 -0 -2 -0xfdaf -0x7c311feb -256 -256 -0 -0 -0 -1 -0x2387 -0xb9829870 -256 -256 -0 -0 -0 -4 -0x93bd -0x6477d45 -256 -256 -1 -0 -0 -3 -0x2ba4 -0x1828e66a -256 -256 -0 -0 -0 -2 -0xa17 -0xb7db8c0a -256 -256 -1 -0 -0 -2 -0xc952 -0x63833183 -256 -256 -0 -0 -0 -1 -0x5a4f -0xf1e8ab7 -256 -256 -0 -0 -0 -3 -0xb1bf -0x93e90cb7 -256 -256 -1 -0 -0 -4 -0x5202 -0xe7c5dc87 -256 -256 -0 -0 -0 -2 -0x8cdb -0x94d859e2 -256 -256 -1 -0 -0 -2 -0x4cf6 -0xc1d95762 -256 -256 -0 -0 -0 -1 -0x244d -0x27a02918 -256 -256 -0 -0 -0 -1 -0x1387 -0x80e1f274 -256 -256 -1 -0 -0 -4 -0xf52a -0xd6a5bb64 -256 -256 -1 -0 -0 -3 -0xdb57 -0xf1d374ae -256 -256 -0 -0 -0 -1 -0xf2eb -0x2bb20178 -256 -256 -1 -0 -0 -1 -0xde1d -0xa15ec356 -256 -256 -1 -0 -0 -4 -0xb05e -0x60e0f611 -256 -256 -1 -0 -0 -2 -0x40fe -0x804a42ec -256 -256 -1 -0 -0 -4 -0x36b8 -0x2a921abd -256 -256 -0 -0 -0 -4 -0x13be -0x7a0a7904 -256 -256 -0 -0 -0 -2 -0x269d -0x364b81f8 -256 -256 -0 -0 -0 -4 -0x4b7 -0x2f5e11f9 -256 -256 -0 -0 -0 -3 -0xaf09 -0x66c2ffc8 -256 -256 -0 -0 -0 -1 -0x2e1f -0xb87704c5 -256 -256 -1 -0 -0 -1 -0x3f2a -0xd179d891 -256 -256 -1 -0 -0 -2 -0x8081 -0x955fa37f -256 -256 -1 -0 -0 -4 -0x27d4 -0xa198ce01 -256 -256 -0 -0 -0 -2 -0x2fea -0xf20e5077 -256 -256 -0 -0 -0 -1 -0xde8c -0x6c42fa8d -256 -256 -0 -0 -0 -3 -0xad14 -0xb096845c -256 -256 -0 -0 -0 -1 -0xaa9e -0xb81933de -256 -256 -1 -0 -0 -1 -0xd900 -0x51ddff5e -256 -256 -1 -0 -0 -4 -0x68c7 -0xd7a97cd8 -256 -256 -1 -0 -0 -4 -0x2df3 -0xb247db3e -256 -256 -1 -0 -0 -2 -0x53a4 -0x5a1ee874 -256 -256 -1 -0 -0 -1 -0x7a23 -0x8220d908 -256 -256 -0 -0 -0 -3 -0xd4d9 -0x8dfb2b29 -256 -256 -1 -0 -0 -2 -0xf60c -0x453ce2fa -256 -256 -0 -0 -0 -2 -0x40a9 -0x6032cd9f -256 -256 -0 -0 -0 -4 -0x6e14 -0x9006de3d -256 -256 -1 -0 -0 -4 -0x249a -0x807225f -256 -256 -1 -0 -0 -2 -0x8557 -0xff6c6fd6 -256 -256 -1 -0 -0 -3 -0x9557 -0xbed16bda -256 -256 -0 -0 -0 -2 -0x5a02 -0x85752482 -256 -256 -0 -0 -0 -4 -0xaf91 -0xbb155fb -256 -256 -0 -0 -0 -4 -0xdef1 -0x34013b62 -256 -256 -0 -0 -0 -1 -0xc630 -0x9de1d1e0 -256 -256 -1 -0 -0 -4 -0xac23 -0x58061d50 -256 -256 -0 -0 -0 -2 -0x600 -0x7de010b9 -256 -256 -1 -0 -0 -1 -0xa29e -0x2e9db557 -256 -256 -0 -0 -0 -3 -0x9499 -0x31c8d875 -256 -256 -1 -0 -0 -3 -0x3c8 -0x5a3bd76c -256 -256 -0 -0 -0 -2 -0x1bf2 -0x50bea04a -256 -256 -0 -0 -0 -1 -0xcc09 -0x2c0cb525 -256 -256 -0 -0 -0 -3 -0x7e7e -0xbd702b1 -256 -256 -1 -0 -0 -2 -0x9b5b -0x7e94ac92 -256 -256 -1 -0 -0 -2 -0x2dca -0xc870a98 -256 -256 -0 -0 -0 -1 -0x715b -0x359fc9f0 -256 -256 -0 -0 -0 -4 -0xc94f -0x65130cf8 -256 -256 -1 -0 -0 -2 -0x3a8c -0x21b0d127 -256 -256 -0 -0 -0 -4 -0x3997 -0x3c47ccd4 -256 -256 -0 -0 -0 -1 -0xa945 -0xd836ac14 -256 -256 -1 -0 -0 -4 -0x403b -0xe12f1970 -256 -256 -1 -0 -0 -1 -0xe7b4 -0x6c96abf0 -256 -256 -1 -0 -0 -4 -0x1da -0xd2b33580 -256 -256 -1 -0 -0 -3 -0xf817 -0x1e986e02 -256 -256 -1 -0 -0 -3 -0x50d -0x862c3fea -256 -256 -1 -0 -0 -4 -0x486f -0x10d97966 -256 -256 -1 -0 -0 -4 -0xe0ed -0x1187170e -256 -256 -0 -0 -0 -4 -0x6199 -0x9b2c455e -256 -256 -0 -0 -0 -4 -0x8777 -0x793d5b77 -256 -256 -0 -0 -0 -1 -0xac00 -0x4f49df90 -256 -256 -0 -0 -0 -2 -0xd19f -0x2cb9e495 -256 -256 -0 -0 -0 -3 -0x7b49 -0xef9379e1 -256 -256 -0 -0 -0 -1 -0x52fa -0xa3f826cf -256 -256 -0 -0 -0 -1 -0x58be -0x5e68d89b -256 -256 -0 -0 -0 -4 -0xdf5a -0xfc8902eb -256 -256 -1 -0 -0 -4 -0xd36b -0x48aab23c -256 -256 -0 -0 -0 -2 -0x4db3 -0x1bd4db38 -256 -256 -0 -0 -0 -3 -0x92dc -0x600606d5 -256 -256 -1 -0 -0 -1 -0xd03f -0x8879c2f3 -256 -256 -1 -0 -0 -3 -0xf48 -0xb00f5e20 -256 -256 -1 -0 -0 -3 -0xca72 -0x6cfaa2cb -256 -256 -0 -0 -0 -1 -0x3b26 -0x85fd5079 -256 -256 -1 -0 -0 -1 -0x9f15 -0xfcc4fb9b -256 -256 -1 -0 -0 -2 -0x4444 -0xa62f473d -256 -256 -0 -0 -0 -2 -0x71af -0x35ad9c9d -256 -256 -0 -0 -0 -3 -0xfb43 -0xf3273a56 -256 -256 -0 -0 -0 -3 -0x57c8 -0x1275beef -256 -256 -0 -0 -0 -3 -0x149d -0x39bdae0c -256 -256 -0 -0 -0 -4 -0x6b9f -0xe0dc9cfe -256 -256 -1 -0 -0 -4 -0xf075 -0x3089f334 -256 -256 -1 -0 -0 -3 -0xbd96 -0x4dce013b -256 -256 -1 -0 -0 -4 -0xfd93 -0xeacddc63 -256 -256 -1 -0 -0 -3 -0x6fc -0x8d42c03c -256 -256 -0 -0 -0 -2 -0xff16 -0xdaa08b97 -256 -256 -0 -0 -0 -3 -0x84 -0xbefecf16 -256 -256 -1 -0 -0 -3 -0xc9b6 -0x7cce925f -256 -256 -0 -0 -0 -1 -0xa47c -0x138e7cf8 -256 -256 -0 -0 -0 -2 -0x1bc1 -0x23555077 -256 -256 -1 -0 -0 -4 -0x6851 -0xd2777fce -256 -256 -1 -0 -0 -1 -0x459 -0xc0bd712d -256 -256 -0 -0 -0 -3 -0x6ed4 -0x4c887467 -256 -256 -0 -0 -0 -3 -0x6fe1 -0x88ccccf2 -256 -256 -0 -0 -0 -2 -0x6118 -0x6de41198 -256 -256 -1 -0 -0 -2 -0x86ce -0x95dd1063 -256 -256 -0 -0 -0 -2 -0x8550 -0xef2634d2 -256 -256 -1 -0 -0 -1 -0x75b3 -0x25498f4f -256 -256 -0 -0 -0 -3 -0xfa7e -0xe8ee40b4 -256 -256 -1 -0 -0 -2 -0xff0d -0xdd12db27 -256 -256 -1 -0 -0 -4 -0x6bd2 -0x996b9a50 -256 -256 -0 -0 -0 -4 -0x8c4a -0x819a04f3 -256 -256 -0 -0 -0 -3 -0xf812 -0x41033e59 -256 -256 -0 -0 -0 -1 -0x1584 -0xe6467b1e -256 -256 -1 -0 -0 -4 -0x58cc -0x52d143e5 -256 -256 -0 -0 -0 -2 -0x3446 -0x990b64e5 -256 -256 -1 -0 -0 -4 -0xc14e -0xd0f3ad05 -256 -256 -1 -0 -0 -2 -0x44e8 -0x2bd2fe78 -256 -256 -0 -0 -0 -1 -0x5e42 -0xf92fff1 -256 -256 -1 -0 -0 -4 -0x6503 -0x87ef3261 -256 -256 -0 -0 -0 -2 -0x9d2e -0x8bf3b304 -256 -256 -1 -0 -0 -1 -0xd6da -0xccdc7b3a -256 -256 -1 -0 -0 -3 -0xee96 -0xdf6b5d02 -256 -256 -0 -0 -0 -3 -0xcd8f -0x6c08df87 -256 -256 -1 -0 -0 -2 -0x2059 -0xc302f49d -256 -256 -0 -0 -0 -2 -0x7a75 -0x4f2d8618 -256 -256 -1 -0 -0 -1 -0xd630 -0x29599039 -256 -256 -1 -0 -0 -3 -0x49c9 -0xe9d21002 -256 -256 -1 -0 -0 -3 -0x665b -0xf7ea4bf8 -256 -256 -0 -0 -0 -3 -0x8fdd -0xca22f042 -256 -256 -1 -0 -0 -3 -0xc41c -0x5d462778 -256 -256 -0 -0 -0 -4 -0xc1c2 -0x65a6a178 -256 -256 -0 -0 -0 -3 -0xdc7c -0x3f1baeff -256 -256 -1 -0 -0 -2 -0xe217 -0x69f9482a -256 -256 -1 -0 -0 -3 -0xa006 -0xc794a33d -256 -256 -1 -0 -0 -1 -0x993c -0x30a30969 -256 -256 -1 -0 -0 -4 -0x4e2e -0x6462493c -256 -256 -1 -0 -0 -2 -0xb8a9 -0x95ed2450 -256 -256 -1 -0 -0 -1 -0x14a3 -0x4e3a7dea -256 -256 -0 -0 -0 -4 -0x28a1 -0xcbeeed09 -256 -256 -0 -0 -0 -1 -0xff15 -0x8798d94 -256 -256 -0 -0 -0 -1 -0xe897 -0x8a385305 -256 -256 -0 -0 -0 -4 -0xc13b -0x92d2ba18 -256 -256 -1 -0 -0 -1 -0xd244 -0x5091ff84 -256 -256 -1 -0 -0 -3 -0x955c -0xee61b695 -256 -256 -1 -0 -0 -4 -0x1327 -0x4b575b3d -256 -256 -0 -0 -0 -1 -0x3616 -0x2348570f -256 -256 -0 -0 -0 -4 -0xacb2 -0x5dbbbf2 -256 -256 -0 -0 -0 -4 -0x1477 -0xac18dfa0 -256 -256 -1 -0 -0 -4 -0x1d75 -0xae9f6cd7 -256 -256 -1 -0 -0 -2 -0xf94d -0x7fba4c50 -256 -256 -0 -0 -0 -2 -0xe4ed -0xb3f7413e -256 -256 -0 -0 -0 -3 -0x9314 -0x914da241 -256 -256 -0 -0 -0 -3 -0x7c30 -0x6bfda13 -256 -256 -0 -0 -0 -3 -0x3f8a -0x39df2945 -256 -256 -0 -0 -0 -4 -0x9bc5 -0xa8bb25f5 -256 -256 -1 -0 -0 -3 -0x2a3d -0x3ba04aa2 -256 -256 -1 -0 -0 -3 -0x203c -0x88076386 -256 -256 -1 -0 -0 -2 -0xa48a -0xb9ff1bfc -256 -256 -0 -0 -0 -2 -0x6af0 -0x5893c422 -256 -256 -0 -0 -0 -1 -0xf078 -0x3591782a -256 -256 -1 -0 -0 -1 -0x1d9c -0xcf09efa8 -256 -256 -1 -0 -0 -1 -0x98b9 -0x6f1b3449 -256 -256 -0 -0 -0 -3 -0xb69a -0xbed7d221 -256 -256 -1 -0 -0 -3 -0xc580 -0x1e8e55b3 -256 -256 -0 -0 -0 -3 -0x422 -0xc81237e0 -256 -256 -1 -0 -0 -2 -0x2932 -0xa4e5c929 -256 -256 -0 -0 -0 -2 -0x4c4c -0x1d0b994 -256 -256 -0 -0 -0 -3 -0x9a74 -0x2ae4e250 -256 -256 -0 -0 -0 -2 -0x6194 -0xa6d1f5d7 -256 -256 -1 -0 -0 -1 -0x6562 -0x99e249c4 -256 -256 -0 -0 -0 -3 -0x5203 -0x84e70b4a -256 -256 -0 -0 -0 -1 -0x58ad -0x9c65aaa1 -256 -256 -0 -0 -0 -2 -0x91ca -0xe468597a -256 -256 -1 -0 -0 -2 -0x3dc6 -0x4a1bead0 -256 -256 -1 -0 -0 -3 -0x8e92 -0xa283a1bc -256 -256 -1 -0 -0 -4 -0x47c9 -0x53ae73e3 -256 -256 -0 -0 -0 -3 -0x3828 -0xb3cab932 -256 -256 -1 -0 -0 -3 -0x8e1d -0x28d9ebad -256 -256 -1 -0 -0 -3 -0xa81f -0x13cd1efa -256 -256 -1 -0 -0 -1 -0x42c9 -0xe1b0c596 -256 -256 -1 -0 -0 -1 -0x7561 -0xa3edf7d9 -256 -256 -1 -0 -0 -3 -0x30e9 -0x304ed46e -256 -256 -1 -0 -0 -4 -0x69af -0xca88d3fb -256 -256 -0 -0 -0 -2 -0x6a11 -0x36c50ed0 -256 -256 -1 -0 -0 -2 -0xbb46 -0xe790876 -256 -256 -0 -0 -0 -1 -0x1028 -0x370cee7c -256 -256 -1 -0 -0 -1 -0xfc82 -0x1dd4c581 -256 -256 -1 -0 -0 -1 -0xa147 -0x310a409d -256 -256 -1 -0 -0 -1 -0x6398 -0xa54b198d -256 -256 -1 -0 -0 -2 -0xa0ad -0x6d54195c -256 -256 -0 -0 -0 -4 -0x158a -0xe894ab42 -256 -256 -1 -0 -0 -1 -0x521b -0x58c74990 -256 -256 -0 -0 -0 -4 -0x86c8 -0xad2c9b37 -256 -256 -0 -0 -0 -3 -0xca01 -0xfe257941 -256 -256 -1 -0 -0 -1 -0x22b4 -0x378d0046 -256 -256 -0 -0 -0 -4 -0x2f52 -0xa817706e -256 -256 -0 -0 -0 -1 -0x9679 -0x83636edb -256 -256 -1 -0 -0 -4 -0x40c6 -0x82011ce2 -256 -256 -0 -0 -0 -3 -0xbe68 -0x76d0c7c4 -256 -256 -0 -0 -0 -1 -0xbd26 -0x657f72ed -256 -256 -0 -0 -0 -4 -0x433f -0x44308632 -256 -256 -0 -0 -0 -4 -0x549f -0x672a92e0 -256 -256 -1 -0 -0 -2 -0x689e -0x5cf32f23 -256 -256 -1 -0 -0 -3 -0x466e -0x819275d3 -256 -256 -1 -0 -0 -2 -0x171d -0xd82804e3 -256 -256 -1 -0 -0 -2 -0x8d94 -0x6d931cce -256 -256 -1 -0 -0 -4 -0xb4d8 -0x55c02474 -256 -256 -1 -0 -0 -4 -0xc040 -0xdbeb5ae6 -256 -256 -0 -0 -0 -3 -0xb47c -0xfa631ada -256 -256 -0 -0 -0 -3 -0xbe88 -0xf5d812ac -256 -256 -0 -0 -0 -4 -0xa326 -0xc75747ac -256 -256 -1 -0 -0 -2 -0x2171 -0xda952f7 -256 -256 -1 -0 -0 -1 -0xf0ab -0x92001fa5 -256 -256 -1 -0 -0 -4 -0x718 -0xf2e8fb22 -256 -256 -1 -0 -0 -2 -0xaea1 -0x70c3b77b -256 -256 -1 -0 -0 -4 -0xc706 -0x948b32f0 -256 -256 -1 -0 -0 -3 -0xfbc4 -0x99f48a19 -256 -256 -1 -0 -0 -2 -0xbaa6 -0xdb80f946 -256 -256 -1 -0 -0 -1 -0x633d -0x25ab90f4 -256 -256 -0 -0 -0 -1 -0x9adb -0x60c96a4f -256 -256 -0 -0 -0 -1 -0x52d3 -0x88681d6c -256 -256 -0 -0 -0 -3 -0x4c3f -0xd4e1b552 -256 -256 -1 -0 -0 -1 -0x3a -0x88503c21 -256 -256 -0 -0 -0 -4 -0x3288 -0x3397b785 -256 -256 -0 -0 -0 -1 -0xc7eb -0xe70c698b -256 -256 -1 -0 -0 -3 -0x8dcb -0xd0d7b3b3 -256 -256 -0 -0 -0 -2 -0x60c5 -0xe89c4947 -256 -256 -0 -0 -0 -2 -0xa2ed -0xd61af106 -256 -256 -0 -0 -0 -2 -0x65c2 -0x4cc42f6 -256 -256 -0 -0 -0 -2 -0x6780 -0x3a02f96a -256 -256 -0 -0 -0 -3 -0x78af -0x56330e42 -256 -256 -1 -0 -0 -2 -0x4b3e -0x4b27bdac -256 -256 -1 -0 -0 -4 -0xf67e -0x35703359 -256 -256 -1 -0 -0 -3 -0x13a7 -0xc174bd53 -256 -256 -1 -0 -0 -2 -0x37d2 -0x389e1f66 -256 -256 -1 -0 -0 -2 -0xa039 -0xf29c01a1 -256 -256 -1 -0 -0 -3 -0x3e56 -0x13d79a3f -256 -256 -0 -0 -0 -3 -0xddf6 -0x2cbdce4e -256 -256 -1 -0 -0 -4 -0xd132 -0x1196389e -256 -256 -0 -0 -0 -1 -0xd704 -0x859debf8 -256 -256 -1 -0 -0 -1 -0x1f2 -0xc12f40d9 -256 -256 -0 -0 -0 -4 -0x7721 -0xa04441d2 -256 -256 -0 -0 -0 -1 -0x2b03 -0xf893155f -256 -256 -1 -0 -0 -4 -0xc11d -0x977c30b9 -256 -256 -1 -0 -0 -2 -0xe399 -0x813ce2b4 -256 -256 -1 -0 -0 -3 -0x4d4c -0xe59fddf4 -256 -256 -1 -0 -0 -3 -0x326a -0x65d9ecfb -256 -256 -0 -0 -0 -1 -0x1435 -0x27ea7f85 -256 -256 -1 -0 -0 -1 -0xac74 -0x475aae9a -256 -256 -0 -0 -0 -1 -0xc53e -0x8d6a015 -256 -256 -1 -0 -0 -2 -0x4928 -0xfa3fd960 -256 -256 -1 -0 -0 -3 -0x5852 -0x4ae47a4f -256 -256 -0 -0 -0 -2 -0xd583 -0x209da488 -256 -256 -0 -0 -0 -4 -0xd428 -0xc5510dda -256 -256 -0 -0 -0 -2 -0x7120 -0x701c7563 -256 -256 -1 -0 -0 -4 -0xa772 -0x260cae92 -256 -256 -1 -0 -0 -1 -0x7ed4 -0xf885f5d3 -256 -256 -1 -0 -0 -1 -0xfc7b -0x53220d84 -256 -256 -1 -0 -0 -4 -0x1376 -0xbb427f1c -256 -256 -0 -0 -0 -2 -0x9f25 -0x5808453f -256 -256 -0 -0 -0 -3 -0xecce -0xac23b503 -256 -256 -1 -0 -0 -1 -0x7644 -0xd1a213e9 -256 -256 -0 -0 -0 -3 -0x3146 -0x4ade0356 -256 -256 -1 -0 -0 -2 -0x5c08 -0x1cbea7ba -256 -256 -1 -0 -0 -1 -0xe59 -0x362af2ca -256 -256 -1 -0 -0 -4 -0xf307 -0x4d635978 -256 -256 -0 -0 -0 -1 -0x8ca5 -0xf3ff0ceb -256 -256 -1 -0 -0 -3 -0x9ae9 -0x60bec701 -256 -256 -0 -0 -0 -4 -0x4a45 -0x2f09792f -256 -256 -1 -0 -0 -1 -0xa89 -0xbf6b7f86 -256 -256 -1 -0 -0 -1 -0x16b5 -0x7015ae4a -256 -256 -0 -0 -0 -4 -0x7da3 -0x78b127d5 -256 -256 -1 -0 -0 -2 -0x7ffd -0x84929f4d -256 -256 -0 -0 -0 -4 -0xa057 -0xfbf9dca2 -256 -256 -0 -0 -0 -3 -0x1daf -0x9ee2390 -256 -256 -0 -0 -0 -1 -0x1d5 -0xc7b418f8 -256 -256 -1 -0 -0 -2 -0x351e -0x77d92947 -256 -256 -1 -0 -0 -1 -0x46ee -0x85774c4d -256 -256 -0 -0 -0 -2 -0xfae7 -0xae7af301 -256 -256 -1 -0 -0 -3 -0xab8b -0xdad1412f -256 -256 -0 -0 -0 -4 -0x1d68 -0x972fcbcf -256 -256 -0 -0 -0 -3 -0x44e2 -0xa5bf7c52 -256 -256 -1 -0 -0 -1 -0x2c72 -0x1b265d45 -256 -256 -1 -0 -0 -2 -0xf7c9 -0xad58cfd3 -256 -256 -0 -0 -0 -2 -0x935e -0xd0c53d6d -256 -256 -1 -0 -0 -4 -0x3c67 -0xc132ca68 -256 -256 -1 -0 -0 -3 -0xc8ab -0xf39471d -256 -256 -0 -0 -0 -4 -0x4a01 -0x86a5ab95 -256 -256 -0 -0 -0 -4 -0xbb8c -0x8cd8fdea -256 -256 -0 -0 -0 -4 -0x6dbf -0xe57d69c9 -256 -256 -1 -0 -0 -3 -0x8621 -0x96d42941 -256 -256 -1 -0 -0 -4 -0x218a -0xc5fe5268 -256 -256 -1 -0 -0 -1 -0xbeba -0xb6af594f -256 -256 -1 -0 -0 -1 -0x71f1 -0xb7b79932 -256 -256 -1 -0 -0 -4 -0x9419 -0xc195ee19 -256 -256 -1 -0 -0 -1 -0xf48e -0xb3256a7c -256 -256 -1 -0 -0 -4 -0xd573 -0x828c4d7a -256 -256 -0 -0 -0 -1 -0x61ed -0x99e65d81 -256 -256 -0 -0 -0 -2 -0x7266 -0xd15e9c4f -256 -256 -0 -0 -0 -3 -0xb5d6 -0x2def3afd -256 -256 -0 -0 -0 -4 -0xc7 -0x91ae5396 -256 -256 -0 -0 -0 -1 -0x8f0b -0xb86dbe66 -256 -256 -1 -0 -0 -4 -0x7fc6 -0x4dac653c -256 -256 -0 -0 -0 -4 -0x5cde -0xb5dc6b70 -256 -256 -0 -0 -0 -1 -0x52df -0xa1f7d2c2 -256 -256 -0 -0 -0 -2 -0x6867 -0x81045a6e -256 -256 -0 -0 -0 -4 -0xb8f2 -0xe5bf7f97 -256 -256 -1 -0 -0 -4 -0x9ec8 -0xfd067d5 -256 -256 -0 -0 -0 -3 -0x12e2 -0xcf840b16 -256 -256 -1 -0 -0 -4 -0xf185 -0x62ca77b7 -256 -256 -0 -0 -0 -1 -0x2d38 -0xacd83eeb -256 -256 -0 -0 -0 -3 -0x6663 -0xe41e3387 -256 -256 -1 -0 -0 -1 -0xbf45 -0x95848951 -256 -256 -0 -0 -0 -3 -0x443d -0xfbf36b1e -256 -256 -1 -0 -0 -3 -0xf8cd -0xda207914 -256 -256 -0 -0 -0 -1 -0xf61b -0x8eab8558 -256 -256 -1 -0 -0 -3 -0xc2a2 -0x6c239279 -256 -256 -0 -0 -0 -2 -0xd332 -0x3438285c -256 -256 -0 -0 -0 -4 -0x327b -0x68c74a6b -256 -256 -0 -0 -0 -2 -0x1cb7 -0x6366eca1 -256 -256 -1 -0 -0 -3 -0x5b9e -0xba9e50a2 -256 -256 -0 -0 -0 -2 -0x444f -0x44e0bac8 -256 -256 -0 -0 -0 -1 -0x5c0a -0xb518b4ae -256 -256 -1 -0 -0 -3 -0x515c -0x35937c46 -256 -256 -0 -0 -0 -3 -0xff67 -0x68b8b3a0 -256 -256 -0 -0 -0 -3 -0x52f1 -0x3e416b09 -256 -256 -1 -0 -0 -3 -0x7b0b -0x15145511 -256 -256 -0 -0 -0 -4 -0x6d66 -0xb58f89e5 -256 -256 -1 -0 -0 -1 -0x4e14 -0xb414f981 -256 -256 -0 -0 -0 -4 -0x4e4b -0x1b6d45e7 -256 -256 -0 -0 -0 -2 -0x542d -0x3115fd8 -256 -256 -0 -0 -0 -4 -0x9de8 -0xb782fd48 -256 -256 -0 -0 -0 -3 -0xe6b0 -0xed6cf14 -256 -256 -1 -0 -0 -4 -0x4471 -0x2645dba5 -256 -256 -1 -0 -0 -4 -0xd47a -0x9541091f -256 -256 -0 -0 -0 -2 -0xc506 -0xac0ed198 -256 -256 -0 -0 -0 -2 -0xe69e -0x7a558302 -256 -256 -1 -0 -0 -2 -0xbc4b -0x23689b87 -256 -256 -1 -0 -0 -1 -0x5745 -0x54e956c0 -256 -256 -0 -0 -0 -2 -0x3253 -0xfb5c63b -256 -256 -0 -0 -0 -3 -0xcca3 -0x15f2a879 -256 -256 -1 -0 -0 -3 -0x192f -0x13e0bfc9 -256 -256 -0 -0 -0 -3 -0xd028 -0x3645eb50 -256 -256 -0 -0 -0 -1 -0x3ad9 -0x3823f8c1 -256 -256 -1 -0 -0 -3 -0xfafb -0xb92316b0 -256 -256 -0 -0 -0 -2 -0x15c0 -0x59e23e0 -256 -256 -1 -0 -0 -3 -0x61da -0x18b71c7a -256 -256 -1 -0 -0 -1 -0x1f59 -0x4ee4e747 -256 -256 -1 -0 -0 -2 -0x3034 -0xb1873ea9 -256 -256 -0 -0 -0 -1 -0xbd5b -0x4e1b392d -256 -256 -0 -0 -0 -3 -0xbe41 -0xef40a150 -256 -256 -1 -0 -0 -4 -0x26e8 -0x5b10b634 -256 -256 -0 -0 -0 -1 -0x1b36 -0xc9839c6e -256 -256 -0 -0 -0 -4 -0x83b1 -0x8b828e95 -256 -256 -1 -0 -0 -1 -0x231e -0xe2cbb8d8 -256 -256 -1 -0 -0 -1 -0xd650 -0x83dda9ac -256 -256 -1 -0 -0 -2 -0xc8e1 -0x51bcfd18 -256 -256 -1 -0 -0 -4 -0x4d86 -0x867eca34 -256 -256 -0 -0 -0 -3 -0x8351 -0x9b3d80ba -256 -256 -1 -0 -0 -2 -0x67b4 -0xd8ff7981 -256 -256 -1 -0 -0 -4 -0xe322 -0xd28d24ee -256 -256 -1 -0 -0 -1 -0xe76b -0x32482d1d -256 -256 -0 -0 -0 -4 -0x3608 -0x8da53718 -256 -256 -1 -0 -0 -2 -0x447f -0xfd9b2bd3 -256 -256 -0 -0 -0 -2 -0x7ad1 -0x60f2a39b -256 -256 -1 -0 -0 -3 -0xf5c0 -0xbff12aa4 -256 -256 -1 -0 -0 -4 -0xf063 -0x6df76735 -256 -256 -1 -0 -0 -2 -0x25bc -0xf8f70f0f -256 -256 -1 -0 -0 -1 -0x5799 -0x435da318 -256 -256 -1 -0 -0 -2 -0xf15d -0xc8742aff -256 -256 -1 -0 -0 -1 -0xa8bb -0x7ec8ce48 -256 -256 -0 -0 -0 -3 -0x3866 -0x20e340bf -256 -256 -0 -0 -0 -2 -0xcb7b -0x68912adb -256 -256 -1 -0 -0 -2 -0xb231 -0xf1894247 -256 -256 -1 -0 -0 -3 -0x1947 -0xa2ba6787 -256 -256 -1 -0 -0 -4 -0x58cf -0xa7b78cd4 -256 -256 -0 -0 -0 -3 -0x4549 -0xdef3e0d7 -256 -256 -1 -0 -0 -1 -0x7d78 -0x2365b7bf -256 -256 -1 -0 -0 -4 -0x30fa -0xbde74dde -256 -256 -0 -0 -0 -1 -0x8d9c -0x1882c9b4 -256 -256 -0 -0 -0 -2 -0xda9d -0xedf1ad96 -256 -256 -1 -0 -0 -1 -0xfc08 -0x66778817 -256 -256 -1 -0 -0 -2 -0x81a9 -0x1a17a400 -256 -256 -1 -0 -0 -2 -0x4d73 -0x5f51d6bd -256 -256 -1 -0 -0 -4 -0x95d3 -0x67f81c4f -256 -256 -0 -0 -0 -2 -0xcc85 -0x98b82bc3 -256 -256 -1 -0 -0 -3 -0x9c4b -0x1b959e29 -256 -256 -0 -0 -0 -1 -0x293 -0xb1bd8811 -256 -256 -1 -0 -0 -4 -0xf28f -0xf75a4e9c -256 -256 -0 -0 -0 -4 -0x5b6d -0x26d75a1d -256 -256 -1 -0 -0 -2 -0x2c42 -0x75f2f299 -256 -256 -0 -0 -0 -4 -0x23ac -0xee3db7 -256 -256 -0 -0 -0 -4 -0xedb4 -0x5e6a7b1 -256 -256 -0 -0 -0 -4 -0xddad -0x5b368258 -256 -256 -0 -0 -0 -4 -0x91a3 -0x1c2ea670 -256 -256 -1 -0 -0 -3 -0xb92 -0x1616de5d -256 -256 -0 -0 -0 -1 -0x5a48 -0x263a7a58 -256 -256 -0 -0 -0 -2 -0x4580 -0x3207c51c -256 -256 -1 -0 -0 -2 -0x2d1a -0x3a362e1e -256 -256 -0 -0 -0 -4 -0x76cc -0xf3d9a0f0 -256 -256 -1 -0 -0 -1 -0xfeef -0x7f20ea04 -256 -256 -0 -0 -0 -4 -0xae3 -0x7eb52f25 -256 -256 -0 -0 -0 -2 -0xe9fa -0xf365b964 -256 -256 -0 -0 -0 -1 -0x2eb7 -0x9e33a4dc -256 -256 -1 -0 -0 -4 -0x6511 -0x27dba4a6 -256 -256 -0 -0 -0 -4 -0x73b -0x34bde8ab -256 -256 -1 -0 -0 -2 -0x25c7 -0x3aeb1fd -256 -256 -0 -0 -0 -4 -0xb137 -0x61f7a85b -256 -256 -0 -0 -0 -3 -0x8972 -0xc4b35813 -256 -256 -1 -0 -0 -4 -0x654e -0x210622ce -256 -256 -1 -0 -0 -1 -0x28f -0x3af44533 -256 -256 -1 -0 -0 -4 -0x9493 -0x798fb65a -256 -256 -0 -0 -0 -1 -0xd35f -0x263d6a76 -256 -256 -0 -0 -0 -3 -0x9f5f -0x68d21908 -256 -256 -1 -0 -0 -4 -0x9782 -0x81c98d6c -256 -256 -1 -0 -0 -3 -0x721f -0x3180fd2c -256 -256 -1 -0 -0 -4 -0x8dea -0xb39663bd -256 -256 -0 -0 -0 -2 -0x670f -0xd34d531c -256 -256 -1 -0 -0 -1 -0xaf5b -0xcf96e30 -256 -256 -0 -0 -0 -1 -0xf80e -0xa770051b -256 -256 -0 -0 -0 -1 -0x2e59 -0xd9dc8b99 -256 -256 -1 -0 -0 -4 -0x7594 -0x706c4e0c -256 -256 -0 -0 -0 -3 -0x5e7a -0xaa2ab42d -256 -256 -1 -0 -0 -1 -0x7590 -0x82a8eeab -256 -256 -0 -0 -0 -3 -0x2315 -0xca8c25a4 -256 -256 -0 -0 -0 -2 -0x8c4e -0xf73d2684 -256 -256 -0 -0 -0 -3 -0xb545 -0xa2e6cc69 -256 -256 -0 -0 -0 -3 -0x1f03 -0xd50009d1 -256 -256 -1 -0 -0 -3 -0x1310 -0x29b86217 -256 -256 -0 -0 -0 -2 -0xa0f8 -0x8880721a -256 -256 -1 -0 -0 -4 -0xa25 -0x5560c081 -256 -256 -0 -0 -0 -2 -0x5102 -0x29f9abb7 -256 -256 -0 -0 -0 -4 -0xf4ed -0x9d73afb1 -256 -256 -1 -0 -0 -2 -0x319a -0xae529f17 -256 -256 -0 -0 -0 -1 -0x8514 -0xfc185b31 -256 -256 -1 -0 -0 -4 -0x5315 -0x99e37eb -256 -256 -1 -0 -0 -1 -0x879f -0x7185fb39 -256 -256 -0 -0 -0 -4 -0xb47e -0x50d5a52c -256 -256 -1 -0 -0 -3 -0xa340 -0x20b6886e -256 -256 -1 -0 -0 -1 -0x12a3 -0x1dfe6d90 -256 -256 -0 -0 -0 -4 -0xcc06 -0xf27de5c4 -256 -256 -1 -0 -0 -3 -0x1590 -0x15cb0dd2 -256 -256 -1 -0 -0 -2 -0x4743 -0xd0161472 -256 -256 -0 -0 -0 -4 -0xb4de -0x5fef4761 -256 -256 -1 -0 -0 -3 -0x7822 -0x7647c133 -256 -256 -1 -0 -0 -4 -0xc54a -0x8a1b7e22 -256 -256 -1 -0 -0 -2 -0x657e -0x65f53be2 -256 -256 -1 -0 -0 -2 -0x133 -0x45d18517 -256 -256 -0 -0 -0 -2 -0x84c1 -0x92664d2b -256 -256 -1 -0 -0 -3 -0x1264 -0xa85b3dea -256 -256 -0 -0 -0 -2 -0x3436 -0x4b649686 -256 -256 -1 -0 -0 -3 -0x6a54 -0x7b54b87c -256 -256 -0 -0 -0 -3 -0xd885 -0x297f6342 -256 -256 -1 -0 -0 -2 -0x3cd4 -0x97bacdf1 -256 -256 -0 -0 -0 -2 -0x9c16 -0x7d01157 -256 -256 -1 -0 -0 -4 -0x2d3e -0xa8fd5fd0 -256 -256 -1 -0 -0 -2 -0x8117 -0x3febc0ce -256 -256 -0 -0 -0 -2 -0xa553 -0x5e9cb710 -256 -256 -1 -0 -0 -3 -0x88db -0xd3c993ab -256 -256 -0 -0 -0 -1 -0xce03 -0xd3061e46 -256 -256 -1 -0 -0 -1 -0xbd89 -0xd45f80c -256 -256 -0 -0 -0 -2 -0xcbbb -0x981f4d6a -256 -256 -0 -0 -0 -4 -0x544d -0xa4485e30 -256 -256 -1 -0 -0 -3 -0x914d -0xbe76539 -256 -256 -0 -0 -0 -1 -0xde61 -0xca6f9e03 -256 -256 -0 -0 -0 -1 -0x7e2a -0xd15f64ab -256 -256 -1 -0 -0 -4 -0x7684 -0x5584c5df -256 -256 -0 -0 -0 -2 -0x215f -0x311a9d8e -256 -256 -1 -0 -0 -1 -0x2222 -0xc0a1d31 -256 -256 -0 -0 -0 -4 -0xc18a -0x296211c -256 -256 -1 -0 -0 -4 -0xcc4f -0xfda9a1c0 -256 -256 -0 -0 -0 -4 -0x5c1b -0xb6e1fa3d -256 -256 -1 -0 -0 -3 -0xad24 -0x4ddcaea7 -256 -256 -1 -0 -0 -1 -0xf040 -0x9c27512b -256 -256 -1 -0 -0 -1 -0x27de -0x4d4802a2 -256 -256 -0 -0 -0 -4 -0xb157 -0x5e3de13c -256 -256 -0 -0 -0 -1 -0xa7cd -0xdc71bc7c -256 -256 -0 -0 -0 -4 -0xcfa1 -0x5d0328c9 -256 -256 -1 -0 -0 -2 -0xe2d0 -0xc5b1ef62 -256 -256 -1 -0 -0 -3 -0xd354 -0x57475cd3 -256 -256 -1 -0 -0 -3 -0xef1d -0x382eafde -256 -256 -0 -0 -0 -4 -0xbeab -0x4916a5a1 -256 -256 -0 -0 -0 -3 -0xc049 -0xad70e9e7 -256 -256 -0 -0 -0 -3 -0xc85a -0x2bb3a5cf -256 -256 -1 -0 -0 -4 -0xc1c6 -0x5e35915d -256 -256 -1 -0 -0 -4 -0xa49e -0x42339a5a -256 -256 -0 -0 -0 -3 -0x6d0b -0x1309ce6c -256 -256 -0 -0 -0 -1 -0xa1f5 -0xbd7ba2f5 -256 -256 -1 -0 -0 -2 -0x84d5 -0x68eaf236 -256 -256 -1 -0 -0 -2 -0xde1 -0xe0304887 -256 -256 -1 -0 -0 -1 -0x960c -0x6443e2ba -256 -256 -1 -0 -0 -3 -0xca90 -0x7b25ae9a -256 -256 -0 -0 -0 -1 -0xad69 -0x48cc26a6 -256 -256 -1 -0 -0 -3 -0xdb84 -0xcfdd585b -256 -256 -1 -0 -0 -4 -0xa219 -0xee2d8b19 -256 -256 -0 -0 -0 -1 -0xec54 -0x90e81f78 -256 -256 -1 -0 -0 -2 -0x22bd -0x4148196d -256 -256 -1 -0 -0 -4 -0x4844 -0x948376e7 -256 -256 -0 -0 -0 -4 -0x7370 -0xcf2cd8cd -256 -256 -1 -0 -0 -4 -0x5169 -0x79ab14d8 -256 -256 -0 -0 -0 -2 -0x2bea -0x7072f2aa -256 -256 -1 -0 -0 -2 -0xfca6 -0xc95adcf -256 -256 -0 -0 -0 -4 -0x1ba3 -0x1bfb57bb -256 -256 -1 -0 -0 -3 -0x2907 -0x61665e88 -256 -256 -0 -0 -0 -3 -0xce2e -0x447119ab -256 -256 -1 -0 -0 -3 -0x5aa7 -0x239657d9 -256 -256 -1 -0 -0 -4 -0xd3ad -0xb8e3094e -256 -256 -1 -0 -0 -2 -0xcf48 -0x1cddd88a -256 -256 -1 -0 -0 -2 -0x20b1 -0x576c4704 -256 -256 -0 -0 -0 -3 -0xf694 -0xd5ebbf77 -256 -256 -0 -0 -0 -4 -0x2632 -0x79de5be5 -256 -256 -1 -0 -0 -4 -0xf058 -0x598fc068 -256 -256 -1 -0 -0 -3 -0xb8fc -0x9b9f0057 -256 -256 -0 -0 -0 -1 -0xd412 -0xae64c999 -256 -256 -1 -0 -0 -1 -0xf6ba -0x8fea3bbc -256 -256 -1 -0 -0 -2 -0x55c9 -0x88de433e -256 -256 -0 -0 -0 -3 -0x21fa -0x1f372755 -256 -256 -1 -0 -0 -1 -0xe892 -0x79bafebc -256 -256 -0 -0 -0 -1 -0xa7e4 -0x89dfa63 -256 -256 -0 -0 -0 -3 -0x11bf -0xadb239d9 -256 -256 -1 -0 -0 -2 -0x3a1b -0x1b35202b -256 -256 -0 -0 -0 -3 -0x66b8 -0xaef80225 -256 -256 -1 -0 -0 -1 -0x1515 -0xbaf824bc -256 -256 -0 -0 -0 -1 -0xd60a -0xee64cbf5 -256 -256 -1 -0 -0 -1 -0x263d -0xdac589c -256 -256 -0 -0 -0 -3 -0x12b6 -0x3af9b26a -256 -256 -0 -0 -0 -1 -0xcdc2 -0x32b3c71a -256 -256 -0 -0 -0 -2 -0x9532 -0x135498cd -256 -256 -1 -0 -0 -3 -0xecf0 -0xf5323199 -256 -256 -1 -0 -0 -1 -0x1855 -0xe0acd988 -256 -256 -0 -0 -0 -1 -0x9c71 -0x1f502d0 -256 -256 -0 -0 -0 -4 -0x5d27 -0xd2f4fd51 -256 -256 -1 -0 -0 -1 -0xd81d -0xfec413f0 -256 -256 -0 -0 -0 -3 -0x41ad -0x515e79a5 -256 -256 -0 -0 -0 -2 -0xd49b -0xa4bd7219 -256 -256 -0 -0 -0 -4 -0x98b1 -0x50b42b2a -256 -256 -1 -0 -0 -1 -0x3bb9 -0xecd04b87 -256 -256 -0 -0 -0 -2 -0xe28 -0x49666587 -256 -256 -0 -0 -0 -1 -0xb0a5 -0x4092ff50 -256 -256 -0 -0 -0 -2 -0xf416 -0x1bc22cc1 -256 -256 -1 -0 -0 -1 -0xc398 -0x65dff387 -256 -256 -0 -0 -0 -2 -0x39cf -0xfabdcea1 -256 -256 -1 -0 -0 -3 -0xba75 -0xd4ffb4f8 -256 -256 -0 -0 -0 -3 -0x8d0a -0xee5f1b4b -256 -256 -0 -0 -0 -1 -0xc04f -0xd7912dd -256 -256 -0 -0 -0 -4 -0x297f -0x99658174 -256 -256 -1 -0 -0 -3 -0xf7c0 -0x437abd4e -256 -256 -0 -0 -0 -4 -0x4654 -0xddfa0803 -256 -256 -0 -0 -0 -3 -0xb31d -0x8ee6da5 -256 -256 -0 -0 -0 -2 -0xdc27 -0xd28c980f -256 -256 -1 -0 -0 -4 -0x3956 -0xc611fe19 -256 -256 -0 -0 -0 -1 -0x3fc9 -0x683fa7dc -256 -256 -1 -0 -0 -3 -0xf0ce -0x52c17346 -256 -256 -1 -0 -0 -3 -0xda8b -0xfb0dda9c -256 -256 -1 -0 -0 -4 -0x766f -0xa6a0369c -256 -256 -0 -0 -0 -1 -0xdd6d -0x38e80f6 -256 -256 -0 -0 -0 -2 -0x5c49 -0x18c67c74 -256 -256 -1 -0 -0 -3 -0xad8b -0x1f21c36a -256 -256 -1 -0 -0 -3 -0x1411 -0xd20ff395 -256 -256 -0 -0 -0 -3 -0xadec -0x776ec2bc -256 -256 -1 -0 -0 -2 -0x8567 -0xc2b87d09 -256 -256 -0 -0 -0 -3 -0xbaf -0x2ab1c172 -256 -256 -0 -0 -0 -3 -0x66 -0x372e6607 -256 -256 -0 -0 -0 -1 -0xdc74 -0x79b290fa -256 -256 -1 -0 -0 -4 -0x3576 -0x90dfedd2 -256 -256 -0 -0 -0 -1 -0x6fae -0xa877ba48 -256 -256 -0 -0 -0 -1 -0x7761 -0xfe81096e -256 -256 -0 -0 -0 -2 -0xc4b -0xd4cb461b -256 -256 -0 -0 -0 -1 -0x3a8d -0xaaf97cf1 -256 -256 -0 -0 -0 -4 -0x35f3 -0x24ac5ea -256 -256 -1 -0 -0 -2 -0x8987 -0x3a0ac270 -256 -256 -0 -0 -0 -1 -0x73da -0xa20088aa -256 -256 -0 -0 -0 -3 -0x1259 -0x1af7d02b -256 -256 -0 -0 -0 -2 -0x4279 -0x17121d66 -256 -256 -1 -0 -0 -2 -0x93e6 -0x3112c2b4 -256 -256 -1 -0 -0 -4 -0xceae -0x311d04be -256 -256 -1 -0 -0 -1 -0xd1c2 -0x55439d42 -256 -256 -0 -0 -0 -1 -0xa6b5 -0xecd9dce6 -256 -256 -0 -0 -0 -3 -0x4131 -0x2214be9 -256 -256 -1 -0 -0 -3 -0x44a3 -0xb030cd2 -256 -256 -1 -0 -0 -2 -0xd4cc -0x75ba5fd2 -256 -256 -0 -0 -0 -4 -0x982c -0x9c7e3389 -256 -256 -1 -0 -0 -1 -0x656c -0x955cc36b -256 -256 -1 -0 -0 -1 -0x22d9 -0x7001f893 -256 -256 -1 -0 -0 -1 -0xa870 -0xa35b69c4 -256 -256 -1 -0 -0 -1 -0xca38 -0x1b4eb2aa -256 -256 -1 -0 -0 -4 -0x6cd7 -0xa2b91ea0 -256 -256 -1 -0 -0 -4 -0x5bd9 -0x4f9061b5 -256 -256 -0 -0 -0 -4 -0x2d31 -0x30cf0a3d -256 -256 -1 -0 -0 -3 -0x272b -0x88405fe7 -256 -256 -1 -0 -0 -4 -0x15ac -0xee529f30 -256 -256 -0 -0 -0 -2 -0xed77 -0x4ed9eb3b -256 -256 -1 -0 -0 -4 -0x3070 -0x4124d13d -256 -256 -1 -0 -0 -2 -0xd895 -0x44682fae -256 -256 -1 -0 -0 -3 -0x6a8b -0xa243772 -256 -256 -1 -0 -0 -2 -0xf20b -0x8ca403ba -256 -256 -1 -0 -0 -2 -0xf559 -0xede6e127 -256 -256 -0 -0 -0 -1 -0xae7e -0x5a6ee9 -256 -256 -0 -0 -0 -2 -0xd302 -0xf2cb2008 -256 -256 -0 -0 -0 -2 -0xab30 -0x2a5ca048 -256 -256 -1 -0 -0 -3 -0x775b -0x90ecb3d0 -256 -256 -1 -0 -0 -1 -0x6404 -0x7e0d5180 -256 -256 -1 -0 -0 -1 -0x4df4 -0xedcc17e5 -256 -256 -0 -0 -0 -2 -0x64f4 -0x13f2210c -256 -256 -1 -0 -0 -2 -0x6f1 -0x22c629dc -256 -256 -0 -0 -0 -2 -0xe95b -0xd0b0a79e -256 -256 -1 -0 -0 -1 -0x4367 -0x1f868f2e -256 -256 -0 -0 -0 -1 -0xcfad -0xfc7de2e -256 -256 -1 -0 -0 -1 -0x9909 -0xbfcaa5a1 -256 -256 -1 -0 -0 -4 -0x1b79 -0xcea8317c -256 -256 -1 -0 -0 -1 -0x6185 -0x8d4ab128 -256 -256 -0 -0 -0 -2 -0xc22 -0xaf8212b1 -256 -256 -1 -0 -0 -3 -0xb19c -0x347103 -256 -256 -0 -0 -0 -1 -0x9037 -0xbc56a3e8 -256 -256 -0 -0 -0 -1 -0x7a9b -0x3c9e3f96 -256 -256 -0 -0 -0 -4 -0x5084 -0x8e633bcb -256 -256 -0 -0 -0 -1 -0x91c8 -0x414cd525 -256 -256 -0 -0 -0 -4 -0x38e8 -0x21bd83e6 -256 -256 -0 -0 -0 -1 -0xdfcd -0x6a9f82c9 -256 -256 -1 -0 -0 -4 -0x7cce -0xe2db4d32 -256 -256 -0 -0 -0 -2 -0xeff5 -0x6113677 -256 -256 -0 -0 -0 -2 -0xbed1 -0x153d5ab3 -256 -256 -0 -0 -0 -4 -0xf2d3 -0x3550fde2 -256 -256 -1 -0 -0 -4 -0xfffb -0x5298bc4b -256 -256 -1 -0 -0 -2 -0xb687 -0x6deabb31 -256 -256 -1 -0 -0 -1 -0x2d43 -0x68a15d9c -256 -256 -0 -0 -0 -2 -0xf240 -0x53bbe36 -256 -256 -1 -0 -0 -4 -0x68cf -0xe19e7b72 -256 -256 -1 -0 -0 -3 -0xd4e -0xc594bd6d -256 -256 -1 -0 -0 -2 -0xb584 -0xa7a8f207 -256 -256 -0 -0 -0 -4 -0xd6bc -0x11aba66d -256 -256 -0 -0 -0 -3 -0x1fda -0x9a165cc8 -256 -256 -0 -0 -0 -4 -0xdc84 -0x386d0971 -256 -256 -1 -0 -0 -2 -0x4b43 -0xcc0aeef0 -256 -256 -0 -0 -0 -2 -0xf5e6 -0x4611696e -256 -256 -0 -0 -0 -1 -0x32e1 -0xc37bd03c -256 -256 -1 -0 -0 -3 -0x8e90 -0x21f605c4 -256 -256 -0 -0 -0 -3 -0xe19f -0x5341d0f8 -256 -256 -0 -0 -0 -2 -0x8594 -0xa28773f9 -256 -256 -0 -0 -0 -1 -0x4095 -0x5acfde43 -256 -256 -0 -0 -0 -1 -0x5535 -0x6370ed39 -256 -256 -0 -0 -0 -1 -0x758e -0x68814bac -256 -256 -1 -0 -0 -2 -0xe13f -0x13fd7874 -256 -256 -1 -0 -0 -1 -0x6725 -0xbfc5582a -256 -256 -0 -0 -0 -2 -0xb056 -0xb4d70c86 -256 -256 -1 -0 -0 -1 -0x4dc4 -0x39e155a7 -256 -256 -1 -0 -0 -2 -0x8e9a -0xf4c7d426 -256 -256 -0 -0 -0 -3 -0xe3a -0x7cc1ba0b -256 -256 -0 -0 -0 -3 -0x9f0f -0xa1dc32ea -256 -256 -1 -0 -0 -3 -0xcb89 -0x988c46db -256 -256 -0 -0 -0 -4 -0x871 -0x8cdb1faa -256 -256 -1 -0 -0 -2 -0x9dd7 -0x3db7d89 -256 -256 -0 -0 -0 -3 -0x27cb -0x50f2fe6 -256 -256 -0 -0 -0 -3 -0xca94 -0xc462ba94 -256 -256 -0 -0 -0 -1 -0xc3d0 -0x886af31a -256 -256 -1 -0 -0 -1 -0x1ad7 -0x4d5596cd -256 -256 -0 -0 -0 -3 -0xf95b -0x86dbb19d -256 -256 -0 -0 -0 -1 -0x72e -0x431f2d45 -256 -256 -1 -0 -0 -4 -0x10e9 -0x2e5642f6 -256 -256 -0 -0 -0 -1 -0x51fb -0xa7589d0b -256 -256 -0 -0 -0 -2 -0x3535 -0x70427f71 -256 -256 -0 -0 -0 -3 -0xc13f -0x7669ee94 -256 -256 -0 -0 -0 -1 -0xd0d5 -0x6b9f2250 -256 -256 -1 -0 -0 -3 -0x48f9 -0x53746064 -256 -256 -1 -0 -0 -3 -0x4386 -0x4e1129a1 -256 -256 -0 -0 -0 -4 -0x6733 -0x9acee458 -256 -256 -1 -0 -0 -4 -0xe10c -0x47bdd846 -256 -256 -1 -0 -0 -3 -0xf839 -0xab2ad067 -256 -256 -1 -0 -0 -2 -0x7c7e -0x999102a2 -256 -256 -1 -0 -0 -4 -0x5956 -0x13f4e83 -256 -256 -0 -0 -0 -2 -0xd17a -0xa2875f7e -256 -256 -0 -0 -0 -1 -0xa985 -0xe0957d20 -256 -256 -0 -0 -0 -4 -0xcf52 -0x6d172bd2 -256 -256 -0 -0 -0 -3 -0x1cf3 -0xced12113 -256 -256 -0 -0 -0 -4 -0xa7e6 -0x14e3061e -256 -256 -1 -0 -0 -1 -0x2c89 -0x8c3222e8 -256 -256 -0 -0 -0 -4 -0xe792 -0x6252c861 -256 -256 -0 -0 -0 -3 -0x3cbe -0xa86d2a54 -256 -256 -1 -0 -0 -4 -0xca55 -0xe42e6d18 -256 -256 -1 -0 -0 -2 -0x3cd5 -0x9b946c13 -256 -256 -0 -0 -0 -2 -0xf5a6 -0xd7948df7 -256 -256 -1 -0 -0 -2 -0x1205 -0x183e8f14 -256 -256 -1 -0 -0 -4 -0x154b -0x4a2045f5 -256 -256 -1 -0 -0 -3 -0xcdcd -0xd7146818 -256 -256 -0 -0 -0 -1 -0x13cd -0xcb6f19e2 -256 -256 -0 -0 -0 -1 -0x1fbb -0xe320cc53 -256 -256 -1 -0 -0 -3 -0x6f86 -0x92111f3a -256 -256 -1 -0 -0 -2 -0xfee6 -0x5165ce2d -256 -256 -0 -0 -0 -3 -0xb8b1 -0xc2417ce -256 -256 -1 -0 -0 -2 -0x9b00 -0x5978550a -256 -256 -0 -0 -0 -2 -0xa5b3 -0xdc92f508 -256 -256 -1 -0 -0 -1 -0x8f17 -0x31dc571c -256 -256 -0 -0 -0 -2 -0x8cb5 -0xe437d87a -256 -256 -0 -0 -0 -3 -0x4515 -0x309d9e5 -256 -256 -1 -0 -0 -1 -0x35e6 -0x24df30d6 -256 -256 -0 -0 -0 -3 -0x52e8 -0xed00bb59 -256 -256 -0 -0 -0 -4 -0x4562 -0x5b96ce64 -256 -256 -0 -0 -0 -2 -0xb1d0 -0x18610ac0 -256 -256 -0 -0 -0 -4 -0xc296 -0x43eff25f -256 -256 -1 -0 -0 -2 -0x8f0f -0xb3e2e019 -256 -256 -1 -0 -0 -2 -0x808c -0xc06f1624 -256 -256 -0 -0 -0 -3 -0xf3d3 -0xa86f47a0 -256 -256 -0 -0 -0 -3 -0xa1a3 -0x4dd4c311 -256 -256 -1 -0 -0 -2 -0x4ee0 -0x1a51df8f -256 -256 -1 -0 -0 -3 -0x93e2 -0xeb51e501 -256 -256 -1 -0 -0 -3 -0xe923 -0x52153e8f -256 -256 -1 -0 -0 -3 -0xb0a9 -0xa6c827c2 -256 -256 -0 -0 -0 -4 -0x6be9 -0x5034411e -256 -256 -1 -0 -0 -4 -0x4f5e -0xe573100d -256 -256 -0 -0 -0 -3 -0x2ee8 -0xa1aa9550 -256 -256 -1 -0 -0 -2 -0x63bd -0xf6d594e3 -256 -256 -1 -0 -0 -1 -0xa906 -0x5fcf7e -256 -256 -1 -0 -0 -3 -0xfb11 -0xc8e2a69c -256 -256 -1 -0 -0 -2 -0x892e -0x39e26d4c -256 -256 -0 -0 -0 -1 -0x279a -0xc8c82407 -256 -256 -0 -0 -0 -4 -0x3911 -0xed0bc420 -256 -256 -1 -0 -0 -3 -0xd2d -0x4d5d767f -256 -256 -0 -0 -0 -4 -0x7f4f -0x3ffc6af9 -256 -256 -1 -0 -0 -1 -0xc00d -0xa58687b2 -256 -256 -0 -0 -0 -4 -0x7ac4 -0x2599a147 -256 -256 -1 -0 -0 -3 -0x9020 -0x29ae1519 -256 -256 -1 -0 -0 -2 -0x803b -0x9f011d59 -256 -256 -1 -0 -0 -3 -0x538e -0xbadf70e0 -256 -256 -1 -0 -0 -2 -0xc2e5 -0x9bf66a76 -256 -256 -0 -0 -0 -3 -0xb128 -0x9eedd887 -256 -256 -0 -0 -0 -3 -0x39c4 -0x8db34d0a -256 -256 -0 -0 -0 -2 -0x2df -0x43d8c335 -256 -256 -1 -0 -0 -4 -0x3429 -0x7dcdfd42 -256 -256 -0 -0 -0 -4 -0xe355 -0xe1347dac -256 -256 -0 -0 -0 -2 -0x2067 -0x4552dd68 -256 -256 -1 -0 -0 -2 -0x2ddd -0xd679df11 -256 -256 -0 -0 -0 -3 -0xa9ae -0x6283fc17 -256 -256 -0 -0 -0 -4 -0xdfaa -0x7b96502e -256 -256 -0 -0 -0 -2 -0x3 -0x19b93fc -256 -256 -1 -0 -0 -4 -0x1f80 -0xcfa3367c -256 -256 -0 -0 -0 -1 -0xaca5 -0xd591c4bf -256 -256 -0 -0 -0 -3 -0xc2ad -0x19e400b9 -256 -256 -1 -0 -0 -1 -0xd674 -0xb10300ed -256 -256 -1 -0 -0 -4 -0x189b -0x491b70d1 -256 -256 -0 -0 -0 -2 -0x6956 -0x4fb273ef -256 -256 -0 -0 -0 -1 -0x83a3 -0x59fb4de9 -256 -256 -1 -0 -0 -4 -0x2851 -0xc25865d8 -256 -256 -0 -0 -0 -1 -0x472d -0x616985d8 -256 -256 -0 -0 -0 -3 -0x6e23 -0x7a877199 -256 -256 -1 -0 -0 -3 -0x1ee4 -0x5dead165 -256 -256 -0 -0 -0 -3 -0x7499 -0x4650648a -256 -256 -0 -0 -0 -3 -0x6cfe -0x6e9bccf9 -256 -256 -1 -0 -0 -4 -0x2196 -0xa53e66b0 -256 -256 -0 -0 -0 -2 -0xbf64 -0xd24c15a -256 -256 -0 -0 -0 -2 -0xa6ac -0xb1e3f490 -256 -256 -1 -0 -0 -3 -0x849 -0x20ff223 -256 -256 -0 -0 -0 -4 -0x8b1a -0x2bc6cb5b -256 -256 -1 -0 -0 -2 -0x3891 -0x60790fed -256 -256 -1 -0 -0 -1 -0xc2ed -0x69a1e68e -256 -256 -0 -0 -0 -4 -0xe8b7 -0x77536ef1 -256 -256 -1 -0 -0 -1 -0x9770 -0xa6609aa5 -256 -256 -1 -0 -0 -2 -0x885c -0xce0d4077 -256 -256 -0 -0 -0 -1 -0x9d76 -0x34e75323 -256 -256 -0 -0 -0 -1 -0xd7a8 -0xf48ffc19 -256 -256 -1 -0 -0 -1 -0x7d70 -0x53b66224 -256 -256 -1 -0 -0 -1 -0x263e -0x6791c467 -256 -256 -0 -0 -0 -2 -0x9dad -0x5a8bcd4c -256 -256 -1 -0 -0 -2 -0x54dc -0x8e0ea9e -256 -256 -1 -0 -0 -3 -0x9576 -0x2053e4fa -256 -256 -0 -0 -0 -4 -0x1e03 -0x3137d802 -256 -256 -0 -0 -0 -2 -0x6ad2 -0x4cedcc34 -256 -256 -1 -0 -0 -4 -0x8452 -0x1060d963 -256 -256 -1 -0 -0 -4 -0xa49f -0xe3cce2c0 -256 -256 -0 -0 -0 -3 -0xb12d -0x66dcd2f2 -256 -256 -0 -0 -0 -3 -0x22a6 -0x3f43beb1 -256 -256 -1 -0 -0 -1 -0xa84a -0x55535d4e -256 -256 -1 -0 -0 -2 -0x9b19 -0x5754f036 -256 -256 -1 -0 -0 -2 -0x6efd -0xcfd1829e -256 -256 -0 -0 -0 -2 -0xa2e -0xe5f10771 -256 -256 -1 -0 -0 -1 -0x2273 -0x24e40eb0 -256 -256 -0 -0 -0 -3 -0x8913 -0xf3b7da6e -256 -256 -1 -0 -0 -2 -0xb1e5 -0x83703ca9 -256 -256 -0 -0 -0 -3 -0x9671 -0x82569872 -256 -256 -0 -0 -0 -2 -0x37ad -0x24913a79 -256 -256 -0 -0 -0 -3 -0xc518 -0x77aa2bf9 -256 -256 -1 -0 -0 -2 -0xa7f6 -0x2d483d46 -256 -256 -1 -0 -0 -4 -0x9af -0x908d326 -256 -256 -0 -0 -0 -3 -0xdab1 -0x539214e -256 -256 -1 -0 -0 -2 -0x33f3 -0xa0ab5d46 -256 -256 -1 -0 -0 -4 -0x371e -0x6b6c7ffc -256 -256 -1 -0 -0 -4 -0x5768 -0x846e0d8d -256 -256 -0 -0 -0 -4 -0x3018 -0x3dbb8f73 -256 -256 -1 -0 -0 -1 -0x8749 -0xb3863e26 -256 -256 -0 -0 -0 -4 -0xbfe0 -0xd4c814c1 -256 -256 -1 -0 -0 -4 -0xa18b -0x4cf5cd29 -256 -256 -0 -0 -0 -3 -0xd95f -0x134030d7 -256 -256 -1 -0 -0 -4 -0xd26d -0xd1fbe845 -256 -256 -1 -0 -0 -4 -0x409e -0x56835ac5 -256 -256 -1 -0 -0 -3 -0x96d6 -0x19d1b55 -256 -256 -0 -0 -0 -4 -0x7ddf -0x777c9bc2 -256 -256 -1 -0 -0 -2 -0xa70 -0x7b678ccb -256 -256 -1 -0 -0 -4 -0x6241 -0xd9154caa -256 -256 -0 -0 -0 -2 -0xdf97 -0xe6e0cfe2 -256 -256 -1 -0 -0 -2 -0xf345 -0x275ac0ed -256 -256 -0 -0 -0 -4 -0xd4a5 -0xfa874d99 -256 -256 -1 -0 -0 -2 -0xcde4 -0xfbe88c88 -256 -256 -1 -0 -0 -3 -0xf1c4 -0x9fa146b8 -256 -256 -1 -0 -0 -4 -0x3a44 -0x42b6b136 -256 -256 -1 -0 -0 -1 -0xc077 -0xa38dd2a2 -256 -256 -0 -0 -0 -4 -0x3c57 -0xce75c142 -256 -256 -0 -0 -0 -2 -0x2d57 -0xee2e901d -256 -256 -1 -0 -0 -4 -0xa2f0 -0x168845b1 -256 -256 -0 -0 -0 -1 -0x46db -0xc5887256 -256 -256 -1 -0 -0 -3 -0xc750 -0xadeb9a5f -256 -256 -1 -0 -0 -3 -0xa965 -0xd8424361 -256 -256 -1 -0 -0 -3 -0xed87 -0x679da324 -256 -256 -1 -0 -0 -3 -0x5108 -0xd790b3ac -256 -256 -0 -0 -0 -4 -0x184b -0x408f2755 -256 -256 -1 -0 -0 -1 -0xf42e -0xa74b420 -256 -256 -0 -0 -0 -4 -0x49c6 -0xe627d6bf -256 -256 -1 -0 -0 -3 -0x6b1f -0x739b1f8c -256 -256 -1 -0 -0 -3 -0xd452 -0x8dcc92c9 -256 -256 -0 -0 -0 -3 -0xc123 -0xdc0ab6c8 -256 -256 -0 -0 -0 -2 -0x12bc -0xb07326bc -256 -256 -0 -0 -0 -1 -0xb4fd -0x544266e2 -256 -256 -0 -0 -0 -3 -0x9ead -0x5cf27ecd -256 -256 -1 -0 -0 -1 -0x37b1 -0x5dde8d52 -256 -256 -1 -0 -0 -4 -0x814a -0x4d65e862 -256 -256 -1 -0 -0 -2 -0x672f -0x64a1a9e8 -256 -256 -0 -0 -0 -1 -0xdcb -0x2aa06f42 -256 -256 -0 -0 -0 -1 -0x547a -0xefcd12dd -256 -256 -1 -0 -0 -1 -0x7f39 -0x4bfd4f3e -256 -256 -1 -0 -0 -2 -0x77b1 -0x67f7f152 -256 -256 -1 -0 -0 -1 -0x2820 -0x95a5b5c6 -256 -256 -0 -0 -0 -3 -0x6dc3 -0x2ae929b -256 -256 -1 -0 -0 -1 -0xf99c -0xcdd040ba -256 -256 -1 -0 -0 -4 -0x21e7 -0x947bb30 -256 -256 -1 -0 -0 -2 -0xb89a -0x8163b9fb -256 -256 -1 -0 -0 -2 -0x1fd8 -0x8bc7b72d -256 -256 -0 -0 -0 -3 -0x527d -0xe336867e -256 -256 -0 -0 -0 -3 -0x5a5f -0xf633a254 -256 -256 -0 -0 -0 -4 -0x31c9 -0x3ff2d4b1 -256 -256 -1 -0 -0 -3 -0x7620 -0xa5df081d -256 -256 -1 -0 -0 -4 -0x2a0a -0x10b92ba6 -256 -256 -1 -0 -0 -1 -0x59c1 -0xe1cc875c -256 -256 -0 -0 -0 -4 -0xc6d4 -0xfaeb9957 -256 -256 -1 -0 -0 -2 -0x2699 -0x2de0f24d -256 -256 -1 -0 -0 -2 -0x626d -0x96f0bbc4 -256 -256 -1 -0 -0 -3 -0x1d77 -0x2ba71fb5 -256 -256 -0 -0 -0 -1 -0x70d9 -0xab01cb76 -256 -256 -1 -0 -0 -2 -0xac14 -0x7d76f39f -256 -256 -1 -0 -0 -1 -0xd0 -0xf6b63181 -256 -256 -0 -0 -0 -4 -0xe01d -0xa5b587a7 -256 -256 -0 -0 -0 -4 -0x23a5 -0x85855fa6 -256 -256 -1 -0 -0 -4 -0x4f09 -0x97d0606f -256 -256 -0 -0 -0 -3 -0x51b1 -0x1ea948a4 -256 -256 -1 -0 -0 -3 -0x673d -0xa8ad6785 -256 -256 -0 -0 -0 -1 -0xee16 -0x58c66f4b -256 -256 -0 -0 -0 -1 -0x66a3 -0xf7be0380 -256 -256 -0 -0 -0 -4 -0x689 -0x587d9a68 -256 -256 -0 -0 -0 -3 -0x6263 -0x67d56889 -256 -256 -0 -0 -0 -3 -0xcdaa -0xba0a87b2 -256 -256 -1 -0 -0 -2 -0xe8f -0x5922c21a -256 -256 -0 -0 -0 -1 -0xbacc -0x8563b5e7 -256 -256 -0 -0 -0 -4 -0x7ef2 -0xdb9e2ca9 -256 -256 -0 -0 -0 -3 -0xc46 -0xfc1b6ec7 -256 -256 -1 -0 -0 -3 -0xa378 -0x5aaba1e6 -256 -256 -1 -0 -0 -2 -0xf8f7 -0xcf61afc3 -256 -256 -0 -0 -0 -2 -0xab0d -0xd2036360 -256 -256 -1 -0 -0 -1 -0xd36f -0x60a423 -256 -256 -0 -0 -0 -3 -0x9cc6 -0xde0e7636 -256 -256 -1 -0 -0 -1 -0xb885 -0x8b0d9d3a -256 -256 -0 -0 -0 -2 -0xc51e -0x9f82d7f2 -256 -256 -1 -0 -0 -2 -0x2388 -0x76974627 -256 -256 -1 -0 -0 -1 -0x2c2b -0xc78b6fa0 -256 -256 -1 -0 -0 -4 -0x7e2f -0x3ebda407 -256 -256 -0 -0 -0 -4 -0x503b -0x8bcf70ff -256 -256 -1 -0 -0 -3 -0xd98 -0x47e24fab -256 -256 -0 -0 -0 -3 -0x58f2 -0x199ca567 -256 -256 -1 -0 -0 -4 -0x3a87 -0x1eed6db2 -256 -256 -0 -0 -0 -4 -0xd7fa -0x33bae270 -256 -256 -1 -0 -0 -2 -0x7f6f -0x7c4f239a -256 -256 -1 -0 -0 -4 -0x2bad -0xdc4cfd45 -256 -256 -0 -0 -0 -3 -0xb8ee -0x83b65488 -256 -256 -1 -0 -0 -3 -0xa68a -0x279f2a3a -256 -256 -1 -0 -0 -1 -0xa1c8 -0xb4e6380a -256 -256 -0 -0 -0 -4 -0x8aac -0xa79890bf -256 -256 -0 -0 -0 -2 -0x8c4c -0x551dcb2b -256 -256 -1 -0 -0 -4 -0x52f7 -0xcbab388c -256 -256 -0 -0 -0 -2 -0x8ab2 -0xdb5077a8 -256 -256 -0 -0 -0 -2 -0xa115 -0x2f816773 -256 -256 -1 -0 -0 -3 -0xbb84 -0x1aa0e595 -256 -256 -0 -0 -0 -1 -0xc45a -0x81e83f8 -256 -256 -0 -0 -0 -1 -0xf7ee -0x3865fa02 -256 -256 -1 -0 -0 -3 -0x3a66 -0xe5888fd5 -256 -256 -1 -0 -0 -3 -0x651e -0xdad3467b -256 -256 -0 -0 -0 -4 -0x60dd -0xeedfa661 -256 -256 -1 -0 -0 -3 -0xeca2 -0xb7652378 -256 -256 -0 -0 -0 -4 -0xb73f -0x90a550f2 -256 -256 -1 -0 -0 -1 -0xe05d -0x4a90111e -256 -256 -1 -0 -0 -1 -0x598b -0x236256c4 -256 -256 -1 -0 -0 -2 -0x256f -0x8baaf36 -256 -256 -1 -0 -0 -4 -0xafe4 -0xd8a64a78 -256 -256 -0 -0 -0 -2 -0x685f -0x50018014 -256 -256 -0 -0 -0 -1 -0xdae2 -0x40adc27e -256 -256 -1 -0 -0 -2 -0x9cd7 -0x9f4285a4 -256 -256 -0 -0 -0 -2 -0x8936 -0xf9f70602 -256 -256 -0 -0 -0 -1 -0xf05e -0x3fcd16fd -256 -256 -0 -0 -0 -1 -0x1515 -0xc6dfb9ca -256 -256 -0 -0 -0 -4 -0x4187 -0x8be77b85 -256 -256 -1 -0 -0 -1 -0xa22a -0x335386de -256 -256 -0 -0 -0 -2 -0x4e8f -0xa57a421a -256 -256 -0 -0 -0 -4 -0x85ae -0x454929d0 -256 -256 -1 -0 -0 -2 -0xf320 -0xabca651f -256 -256 -0 -0 -0 -2 -0x4362 -0x657c895 -256 -256 -0 -0 -0 -3 -0xd974 -0x1d14be4f -256 -256 -1 -0 -0 -3 -0xf5df -0xfc375bbd -256 -256 -1 -0 -0 -3 -0x612 -0x15db17db -256 -256 -1 -0 -0 -3 -0xab69 -0xc6c6af36 -256 -256 -1 -0 -0 -2 -0xece2 -0x87314a0 -256 -256 -1 -0 -0 -2 -0xf559 -0x2a3a0840 -256 -256 -0 -0 -0 -2 -0x886d -0xc7313b17 -256 -256 -0 -0 -0 -4 -0xc251 -0xde75f0df -256 -256 -0 -0 -0 -4 -0x1f61 -0x98dc6bc4 -256 -256 -1 -0 -0 -2 -0xf02c -0xfede9442 -256 -256 -1 -0 -0 -3 -0x9917 -0x75e84a7e -256 -256 -0 -0 -0 -4 -0x3fcf -0x6281164d -256 -256 -1 -0 -0 -4 -0x5fdb -0x1d204f14 -256 -256 -0 -0 -0 -1 -0x35c1 -0xf1f36e6c -256 -256 -0 -0 -0 -3 -0xc50a -0xdbf6e38d -256 -256 -1 -0 -0 -4 -0xf6f1 -0xcef04db5 -256 -256 -1 -0 -0 -1 -0xf3e6 -0x74c85339 -256 -256 -1 -0 -0 -3 -0x4fc4 -0xe12dd298 -256 -256 -0 -0 -0 -3 -0x606f -0xa49910f -256 -256 -0 -0 -0 -2 -0x6476 -0xb777b644 -256 -256 -0 -0 -0 -1 -0x47da -0x1ef52b46 -256 -256 -0 -0 -0 -1 -0xd573 -0xcb4fae0b -256 -256 -1 -0 -0 -1 -0x17b5 -0xa3c3797a -256 -256 -0 -0 -0 -1 -0x55a4 -0xa70ca13c -256 -256 -0 -0 -0 -1 -0xd717 -0x9d52b4f0 -256 -256 -1 -0 -0 -2 -0x5ff9 -0x2fee4da7 -256 -256 -1 -0 -0 -3 -0xa03d -0x4a4adcb9 -256 -256 -0 -0 -0 -3 -0x37ea -0xedcc792a -256 -256 -1 -0 -0 -3 -0xc812 -0x1a29e2dd -256 -256 -1 -0 -0 -4 -0xed2b -0x320cbb9f -256 -256 -0 -0 -0 -2 -0x406e -0x5b728ad4 -256 -256 -1 -0 -0 -4 -0x30d9 -0xa862e369 -256 -256 -0 -0 -0 -1 -0x6912 -0xa59ff29e -256 -256 -1 -0 -0 -1 -0x4f27 -0x52622ffa -256 -256 -1 -0 -0 -4 -0xbaa6 -0x684f2272 -256 -256 -1 -0 -0 -1 -0x1ebf -0xb3c63c22 -256 -256 -1 -0 -0 -2 -0x140e -0x4d3de722 -256 -256 -0 -0 -0 -3 -0x8eb0 -0x60ca7200 -256 -256 -0 -0 -0 -2 -0xc329 -0x10e09a54 -256 -256 -1 -0 -0 -1 -0x5651 -0x6a68a8e0 -256 -256 -0 -0 -0 -3 -0x1b6a -0xc3b9ae3f -256 -256 -0 -0 -0 -3 -0xd63e -0x7fa8f9d8 -256 -256 -1 -0 -0 -3 -0xf025 -0x5fec91ff -256 -256 -0 -0 -0 -3 -0x8360 -0xd751b5e -256 -256 -1 -0 -0 -3 -0xc634 -0xe15621bf -256 -256 -0 -0 -0 -2 -0x37d9 -0x7bf8f7da -256 -256 -0 -0 -0 -2 -0x5359 -0xfa8dd66d -256 -256 -0 -0 -0 -3 -0xdc00 -0x139c0579 -256 -256 -0 -0 -0 -3 -0x46c4 -0x3f39cfd5 -256 -256 -0 -0 -0 -1 -0x8cf1 -0xd85b3664 -256 -256 -0 -0 -0 -2 -0x11c7 -0xe2c820da -256 -256 -0 -0 -0 -2 -0xba06 -0x1c061700 -256 -256 -0 -0 -0 -2 -0x2c61 -0x5aa7de05 -256 -256 -0 -0 -0 -1 -0x8612 -0xad4b6e3a -256 -256 -1 -0 -0 -4 -0x2455 -0xb38aa5fc -256 -256 -1 -0 -0 -1 -0xa084 -0xed4a6e44 -256 -256 -0 -0 -0 -1 -0x5ffe -0x9dc949fa -256 -256 -0 -0 -0 -1 -0x2dc1 -0x253a15f5 -256 -256 -0 -0 -0 -2 -0x77df -0x15314d6f -256 -256 -0 -0 -0 -2 -0xad9 -0x6ee5c81e -256 -256 -0 -0 -0 -1 -0x2789 -0x410661a2 -256 -256 -0 -0 -0 -4 -0x2e16 -0x399526f2 -256 -256 -1 -0 -0 -1 -0xedfd -0x3f036d26 -256 -256 -1 -0 -0 -1 -0x438a -0x61d2150e -256 -256 -1 -0 -0 -4 -0xd59f -0xdbac630e -256 -256 -1 -0 -0 -1 -0x135d -0x35ff42fe -256 -256 -1 -0 -0 -4 -0x126f -0x9683263f -256 -256 -1 -0 -0 -1 -0xd5b9 -0xe5e2484c -256 -256 -1 -0 -0 -3 -0x7a1c -0x1b3722c0 -256 -256 -1 -0 -0 -1 -0x12b8 -0x625e4d4d -256 -256 -0 -0 -0 -1 -0xf34 -0xdb9fa7f5 -256 -256 -1 -0 -0 -2 -0x53ad -0x9c86627e -256 -256 -1 -0 -0 -2 -0xd793 -0x4a5dfe5d -256 -256 -0 -0 -0 -3 -0xcc87 -0x1e93bf6d -256 -256 -1 -0 -0 -4 -0x1c87 -0xe8a3ded4 -256 -256 -0 -0 -0 -4 -0xca9 -0xc929f94 -256 -256 -0 -0 -0 -3 -0x8dea -0x19ff0a9b -256 -256 -0 -0 -0 -4 -0xdb80 -0xf3525ebe -256 -256 -0 -0 -0 -4 -0x2d72 -0x51157833 -256 -256 -0 -0 -0 -4 -0x9e81 -0x44084d61 -256 -256 -0 -0 -0 -4 -0x358c -0x80f8d004 -256 -256 -0 -0 -0 -2 -0xdfe9 -0x74ba620c -256 -256 -1 -0 -0 -2 -0xf7a8 -0xec7e2a08 -256 -256 -1 -0 -0 -3 -0x59eb -0xdf10d6ff -256 -256 -1 -0 -0 -3 -0xeb2b -0x40f9234d -256 -256 -0 -0 -0 -2 -0xe46e -0xccd7bc63 -256 -256 -0 -0 -0 -3 -0x6e5d -0x68cad777 -256 -256 -1 -0 -0 -2 -0xaaa -0x9bfcf439 -256 -256 -1 -0 -0 -3 -0xac4c -0x86a1baa1 -256 -256 -0 -0 -0 -3 -0x40cb -0xb2c56350 -256 -256 -1 -0 -0 -2 -0xcd3b -0xaa86b267 -256 -256 -0 -0 -0 -1 -0xc417 -0x94e658c5 -256 -256 -0 -0 -0 -2 -0x5eaa -0x49884c46 -256 -256 -1 -0 -0 -4 -0x9cfb -0xf82bc413 -256 -256 -1 -0 -0 -1 -0x1f39 -0xc4e445eb -256 -256 -0 -0 -0 -3 -0xc863 -0xd501575f -256 -256 -1 -0 -0 -2 -0x3df7 -0x2ee81b77 -256 -256 -1 -0 -0 -3 -0x3fbc -0xec9f3314 -256 -256 -0 -0 -0 -2 -0x846a -0x7c2d273e -256 -256 -1 -0 -0 -1 -0x84f8 -0x84ab10f9 -256 -256 -0 -0 -0 -2 -0xe70c -0x2862fdfa -256 -256 -1 -0 -0 -2 -0xd02f -0xe8ab1223 -256 -256 -0 -0 -0 -1 -0xa822 -0xa4be6941 -256 -256 -1 -0 -0 -1 -0xebba -0x947f80b9 -256 -256 -1 -0 -0 -4 -0xc81 -0xef398e6d -256 -256 -1 -0 -0 -3 -0xd297 -0x27d4a6a8 -256 -256 -0 -0 -0 -3 -0xc592 -0xe10bf2b8 -256 -256 -0 -0 -0 -1 -0xc3df -0xafe134ad -256 -256 -0 -0 -0 -1 -0xcb2e -0x1c635d23 -256 -256 -0 -0 -0 -2 -0xbb18 -0xbcc1b92a -256 -256 -0 -0 -0 -1 -0x9675 -0xf03b157a -256 -256 -1 -0 -0 -2 -0xd789 -0x4adb36d -256 -256 -1 -0 -0 -4 -0x61a1 -0x493ca103 -256 -256 -1 -0 -0 -4 -0x2fa -0x2fd63250 -256 -256 -1 -0 -0 -2 -0x6f8c -0xab16107d -256 -256 -0 -0 -0 -2 -0x89f6 -0xd93bb345 -256 -256 -0 -0 -0 -4 -0x1096 -0xb9ea3940 -256 -256 -1 -0 -0 -1 -0x5429 -0x6a8e099a -256 -256 -0 -0 -0 -4 -0x7e60 -0x48a8ba3a -256 -256 -0 -0 -0 -3 -0x9aa1 -0xaf602b7f -256 -256 -1 -0 -0 -4 -0xa2a -0x85c44c67 -256 -256 -0 -0 -0 -3 -0x2e0a -0xf4032353 -256 -256 -1 -0 -0 -3 -0x80f -0xdd7da128 -256 -256 -1 -0 -0 -1 -0xf153 -0x2b590011 -256 -256 -0 -0 -0 -2 -0xc74f -0x490af68d -256 -256 -1 -0 -0 -2 -0x610c -0xc89f1e4d -256 -256 -1 -0 -0 -2 -0x93e9 -0x10394c42 -256 -256 -1 -0 -0 -3 -0x88aa -0x17cd51de -256 -256 -0 -0 -0 -3 -0x8427 -0x5a9b60fb -256 -256 -0 -0 -0 -3 -0xfb83 -0xd60d542a -256 -256 -0 -0 -0 -1 -0xc1bd -0x3563c89b -256 -256 -1 -0 -0 -4 -0x7f16 -0x59d8c21f -256 -256 -0 -0 -0 -1 -0x832a -0xe8f5a53d -256 -256 -1 -0 -0 -1 -0x302d -0x299c1a85 -256 -256 -0 -0 -0 -1 -0x19c7 -0x6ba7a42 -256 -256 -1 -0 -0 -4 -0x8c7f -0xf5815654 -256 -256 -1 -0 -0 -3 -0x2ab1 -0x3bc2f547 -256 -256 -0 -0 -0 -3 -0x15be -0x2ad57dd4 -256 -256 -0 -0 -0 -2 -0xdd9b -0x8afc011c -256 -256 -0 -0 -0 -2 -0x438 -0xb98e05b9 -256 -256 -0 -0 -0 -3 -0x79ff -0x81b5b302 -256 -256 -0 -0 -0 -1 -0xc220 -0x75f314be -256 -256 -0 -0 -0 -3 -0xcaf6 -0x88f1ba68 -256 -256 -1 -0 -0 -3 -0xff90 -0xb9395901 -256 -256 -1 -0 -0 -2 -0x5480 -0xe74dea7f -256 -256 -1 -0 -0 -1 -0xa2ab -0x60751cb5 -256 -256 -1 -0 -0 -2 -0x8c3b -0x79132f3e -256 -256 -1 -0 -0 -3 -0xcc76 -0x2c017157 -256 -256 -0 -0 -0 -1 -0xa9ec -0xe7038772 -256 -256 -0 -0 -0 -2 -0xa2cc -0x13012a68 -256 -256 -0 -0 -0 -4 -0xace3 -0x92eaf33f -256 -256 -0 -0 -0 -1 -0xda7e -0x65683599 -256 -256 -0 -0 -0 -2 -0x3e01 -0xcd360263 -256 -256 -1 -0 -0 -2 -0x83c -0x1dc7c3ef -256 -256 -1 -0 -0 -2 -0x490f -0x433865f2 -256 -256 -0 -0 -0 -3 -0x3e74 -0xc37d0c01 -256 -256 -0 -0 -0 -3 -0x1fee -0x5e8664d9 -256 -256 -1 -0 -0 -3 -0xb57e -0x3174f9ff -256 -256 -1 -0 -0 -3 -0x3813 -0xe900a71 -256 -256 -0 -0 -0 -1 -0x183a -0xad02e15b -256 -256 -1 -0 -0 -1 -0xfea6 -0x81eccbbb -256 -256 -1 -0 -0 -2 -0xee56 -0xcae8940d -256 -256 -1 -0 -0 -2 -0xd3c6 -0x8d69b52a -256 -256 -0 -0 -0 -2 -0xbe74 -0xa8f4c297 -256 -256 -0 -0 -0 -2 -0xd9c0 -0x6ff767b6 -256 -256 -1 -0 -0 -1 -0x488a -0x393bfd39 -256 -256 -1 -0 -0 -1 -0xe7d9 -0x9f6adfa7 -256 -256 -0 -0 -0 -2 -0xc8a9 -0xf03c0719 -256 -256 -0 -0 -0 -1 -0xe06e -0x7e99b6b5 -256 -256 -1 -0 -0 -4 -0x367d -0x51296cd9 -256 -256 -0 -0 -0 -4 -0xaca8 -0x6c2c9f48 -256 -256 -0 -0 -0 -2 -0x7d73 -0x39cb2801 -256 -256 -1 -0 -0 -3 -0x255e -0xd90a9bbb -256 -256 -0 -0 -0 -2 -0x9760 -0xd928cd20 -256 -256 -0 -0 -0 -2 -0xe02b -0xe3458c5c -256 -256 -0 -0 -0 -1 -0x7c8 -0xad8c9e2a -256 -256 -1 -0 -0 -2 -0x98f7 -0xb8b11e7c -256 -256 -0 -0 -0 -1 -0x5741 -0xb4a311f5 -256 -256 -0 -0 -0 -3 -0x84d3 -0x7da6faa2 -256 -256 -1 -0 -0 -2 -0x357e -0x93353d1d -256 -256 -0 -0 -0 -3 -0xb905 -0xbf94e4da -256 -256 -0 -0 -0 -3 -0xe790 -0x550503a3 -256 -256 -1 -0 -0 -1 -0x8777 -0xb36bd74f -256 -256 -0 -0 -0 -4 -0xb369 -0x8a55196d -256 -256 -1 -0 -0 -2 -0xb10f -0xa5b7ff97 -256 -256 -0 -0 -0 -1 -0xfb33 -0xa5f28a0f -256 -256 -0 -0 -0 -1 -0xf62b -0x4656b516 -256 -256 -1 -0 -0 -3 -0xfacf -0x1f50326d -256 -256 -1 -0 -0 -4 -0x287d -0xe6f554f2 -256 -256 -1 -0 -0 -1 -0x4003 -0x702dc130 -256 -256 -0 -0 -0 -1 -0x5841 -0x55d00a13 -256 -256 -0 -0 -0 -4 -0x9357 -0x603ab61a -256 -256 -1 -0 -0 -3 -0x7fb -0x58b24177 -256 -256 -0 -0 -0 -1 -0xb92b -0xeba77889 -256 -256 -1 -0 -0 -3 -0x37d3 -0xc7b24da1 -256 -256 -0 -0 -0 -2 -0x2db4 -0xd793d6bf -256 -256 -1 -0 -0 -3 -0x84bf -0x44bc2440 -256 -256 -1 -0 -0 -3 -0xa974 -0xc97d4f31 -256 -256 -0 -0 -0 -2 -0x5f37 -0xa99e5bbe -256 -256 -0 -0 -0 -3 -0xc8de -0x8f6a926 -256 -256 -1 -0 -0 -4 -0x1302 -0x20fa3165 -256 -256 -0 -0 -0 -1 -0x3947 -0x641a77f2 -256 -256 -0 -0 -0 -1 -0x3e56 -0x3afb810c -256 -256 -0 -0 -0 -1 -0x500c -0x7d7c9111 -256 -256 -0 -0 -0 -3 -0x11c -0x15fd086d -256 -256 -1 -0 -0 -4 -0x543d -0xf54753c6 -256 -256 -1 -0 -0 -3 -0xd723 -0x85d4ec36 -256 -256 -0 -0 -0 -2 -0x5c02 -0xe5628bca -256 -256 -0 -0 -0 -3 -0x359 -0xcc18abee -256 -256 -0 -0 -0 -3 -0xba52 -0x3c27f408 -256 -256 -1 -0 -0 -4 -0x40ea -0x3b83450c -256 -256 -1 -0 -0 -2 -0x7bf6 -0x1de3b414 -256 -256 -0 -0 -0 -1 -0x5ab0 -0xdc3cebc2 -256 -256 -1 -0 -0 -1 -0x67cc -0x59cb6dc1 -256 -256 -1 -0 -0 -1 -0xae94 -0x17420a7f -256 -256 -0 -0 -0 -1 -0xdcf9 -0xa2013e43 -256 -256 -0 -0 -0 -4 -0xf86b -0x8bea74e3 -256 -256 -0 -0 -0 -4 -0x3ce0 -0x9b9a47d7 -256 -256 -1 -0 -0 -3 -0x673 -0xcd364b77 -256 -256 -1 -0 -0 -4 -0xc5ef -0x9c3e6fa2 -256 -256 -0 -0 -0 -1 -0xb7e8 -0xc699dd2d -256 -256 -1 -0 -0 -1 -0x68a0 -0xb83ff78c -256 -256 -1 -0 -0 -3 -0x559a -0xcd8ca2d3 -256 -256 -1 -0 -0 -1 -0xe98c -0x6ddd43ae -256 -256 -1 -0 -0 -1 -0x9689 -0x6836af2e -256 -256 -0 -0 -0 -1 -0xf75d -0x6e66000 -256 -256 -0 -0 -0 -4 -0xab28 -0x46d8b495 -256 -256 -1 -0 -0 -3 -0xd6b6 -0xc77dc022 -256 -256 -0 -0 -0 -4 -0xbc80 -0xfa892bd1 -256 -256 -1 -0 -0 -3 -0xc266 -0xe8b21ad6 -256 -256 -1 -0 -0 -2 -0x2422 -0x8153974e -256 -256 -0 -0 -0 -3 -0xad9e -0xdf3fe87d -256 -256 -0 -0 -0 -4 -0xbb9b -0xef5ca23c -256 -256 -1 -0 -0 -4 -0x2ac3 -0xc18b6ac0 -256 -256 -1 -0 -0 -4 -0x692d -0xbf040ee0 -256 -256 -1 -0 -0 -4 -0xb04f -0xab2c0da1 -256 -256 -0 -0 -0 -4 -0x1fc4 -0xaa52264b -256 -256 -1 -0 -0 -2 -0x2b6d -0x910999bb -256 -256 -1 -0 -0 -3 -0x924 -0x588f4bd7 -256 -256 -0 -0 -0 -1 -0xfc5e -0xad97c443 -256 -256 -0 -0 -0 -2 -0x358a -0xf9ba09b2 -256 -256 -0 -0 -0 -4 -0x3a23 -0x94d9cd4f -256 -256 -0 -0 -0 -2 -0x4b53 -0x9db40ad5 -256 -256 -1 -0 -0 -3 -0x7bea -0x62f41f6d -256 -256 -1 -0 -0 -4 -0x6dbf -0xf9621ba1 -256 -256 -1 -0 -0 -1 -0xbcbb -0xace8f3e1 -256 -256 -0 -0 -0 -3 -0xf61 -0x99431db9 -256 -256 -0 -0 -0 -4 -0xedb0 -0xfbfb1a29 -256 -256 -0 -0 -0 -3 -0x8e38 -0x74f08927 -256 -256 -0 -0 -0 -1 -0x5fc9 -0xf3c15971 -256 -256 -0 -0 -0 -2 -0xfadd -0x88d8af6c -256 -256 -0 -0 -0 -2 -0x1630 -0xf8835dde -256 -256 -1 -0 -0 -1 -0x1440 -0x7a1a8716 -256 -256 -0 -0 -0 -2 -0xfafd -0xddc9faba -256 -256 -1 -0 -0 -2 -0x1f27 -0x963ed210 -256 -256 -1 -0 -0 -2 -0x6c5f -0xc81d5b0d -256 -256 -1 -0 -0 -2 -0x97bc -0x5342eb5e -256 -256 -0 -0 -0 -4 -0x2865 -0x748092a8 -256 -256 -1 -0 -0 -4 -0x56c0 -0xbd29c0be -256 -256 -0 -0 -0 -1 -0x2b1a -0xcf265ca3 -256 -256 -0 -0 -0 -4 -0xe019 -0x4d603a2c -256 -256 -0 -0 -0 -3 -0xb40e -0xd78c2590 -256 -256 -1 -0 -0 -1 -0xe9d9 -0x27deefdc -256 -256 -1 -0 -0 -3 -0xeffa -0x2c7e7ac5 -256 -256 -1 -0 -0 -2 -0x685d -0xcb019d5a -256 -256 -0 -0 -0 -3 -0x64ad -0x9c871cc -256 -256 -1 -0 -0 -3 -0xbc8d -0x160612da -256 -256 -1 -0 -0 -3 -0x5f02 -0xc4a3479 -256 -256 -1 -0 -0 -2 -0x9ea1 -0xe5e53a71 -256 -256 -1 -0 -0 -3 -0x3120 -0x3c165054 -256 -256 -1 -0 -0 -2 -0x6f00 -0xc0ce0f1b -256 -256 -0 -0 -0 -3 -0x8007 -0x6564f2d7 -256 -256 -1 -0 -0 -1 -0x3ac3 -0x2515a5ad -256 -256 -1 -0 -0 -1 -0x7fe1 -0xa3a7acca -256 -256 -1 -0 -0 -3 -0x478d -0x6be836ab -256 -256 -1 -0 -0 -4 -0x6b8e -0x9ac25a7f -256 -256 -0 -0 -0 -1 -0x7164 -0x17ce31bb -256 -256 -0 -0 -0 -3 -0x1d44 -0x42cb1e6 -256 -256 -0 -0 -0 -1 -0x5187 -0xc188c3f6 -256 -256 -0 -0 -0 -3 -0x17bc -0x9056f0ea -256 -256 -0 -0 -0 -3 -0xc167 -0xea2b234c -256 -256 -1 -0 -0 -4 -0xd6b6 -0xf2c7e7ce -256 -256 -1 -0 -0 -3 -0xd7a7 -0xc183bc0d -256 -256 -1 -0 -0 -3 -0xbb46 -0x7db1d064 -256 -256 -1 -0 -0 -1 -0x3482 -0x1297b34b -256 -256 -1 -0 -0 -3 -0x960 -0xd7138541 -256 -256 -1 -0 -0 -3 -0x2a35 -0xdce21f05 -256 -256 -1 -0 -0 -2 -0x811a -0x440e4134 -256 -256 -1 -0 -0 -1 -0x18ea -0x1059feaf -256 -256 -1 -0 -0 -1 -0xcd17 -0xb0a27d6b -256 -256 -0 -0 -0 -3 -0xdb02 -0x43a33224 -256 -256 -0 -0 -0 -4 -0x9f37 -0x2b6948e2 -256 -256 -0 -0 -0 -3 -0x4458 -0x23d9778a -256 -256 -0 -0 -0 -2 -0x9134 -0xd60d33f3 -256 -256 -0 -0 -0 -1 -0x1eab -0xe2d72994 -256 -256 -1 -0 -0 -1 -0x9e53 -0x895be800 -256 -256 -1 -0 -0 -2 -0x38a8 -0x9b5b25e9 -256 -256 -0 -0 -0 -1 -0x6461 -0x2969712c -256 -256 -0 -0 -0 -2 -0xcc87 -0xb4fc991b -256 -256 -0 -0 -0 -4 -0xfd01 -0xe7afa9c5 -256 -256 -1 -0 -0 -4 -0xb08 -0x5139b46a -256 -256 -0 -0 -0 -4 -0x301 -0x88810324 -256 -256 -1 -0 -0 -1 -0xdc45 -0xbdf54c46 -256 -256 -0 -0 -0 -1 -0x92ad -0xe52eecfb -256 -256 -1 -0 -0 -4 -0xbf63 -0x9dac29ad -256 -256 -1 -0 -0 -3 -0xfbf8 -0x596922c9 -256 -256 -1 -0 -0 -2 -0x1eea -0x25d60866 -256 -256 -0 -0 -0 -2 -0xc73b -0x83009cd1 -256 -256 -0 -0 -0 -4 -0xf14c -0x55d096a4 -256 -256 -0 -0 -0 -3 -0x297 -0x691367a6 -256 -256 -0 -0 -0 -1 -0x35b5 -0x91398032 -256 -256 -0 -0 -0 -1 -0xe88e -0x55f65afe -256 -256 -1 -0 -0 -3 -0xd35c -0x9e166202 -256 -256 -0 -0 -0 -3 -0x77d7 -0x4290baed -256 -256 -1 -0 -0 -1 -0x6ca -0xc637f8d0 -256 -256 -0 -0 -0 -4 -0xb192 -0xf67e9e7d -256 -256 -1 -0 -0 -1 -0xe5ab -0x9c074428 -256 -256 -1 -0 -0 -1 -0x79 -0xd804cb40 -256 -256 -0 -0 -0 -2 -0xc200 -0x3e736246 -256 -256 -1 -0 -0 -1 -0xd875 -0x3c65eae6 -256 -256 -1 -0 -0 -2 -0x6901 -0xa3b37807 -256 -256 -0 -0 -0 -1 -0x9d3d -0x2b5fcd3b -256 -256 -0 -0 -0 -4 -0xa7a6 -0xf3f93a34 -256 -256 -0 -0 -0 -4 -0x12b2 -0xafe3f87b -256 -256 -0 -0 -0 -3 -0x6b85 -0x43ecbe84 -256 -256 -1 -0 -0 -1 -0xd3f1 -0xa3d4d26a -256 -256 -1 -0 -0 -1 -0x727a -0x12aff076 -256 -256 -0 -0 -0 -2 -0x85a3 -0x68f2510d -256 -256 -0 -0 -0 -3 -0xe7e8 -0x539014eb -256 -256 -0 -0 -0 -3 -0xdf10 -0xd3239b52 -256 -256 -0 -0 -0 -3 -0x795a -0x6a6e1a06 -256 -256 -0 -0 -0 -1 -0xaa9c -0x9e89ebc8 -256 -256 -0 -0 -0 -1 -0x8099 -0x3558263b -256 -256 -1 -0 -0 -4 -0x411d -0xc03e8c06 -256 -256 -0 -0 -0 -1 -0x74ea -0xbaa394d9 -256 -256 -0 -0 -0 -1 -0x65c6 -0x9ae372a8 -256 -256 -1 -0 -0 -1 -0x7134 -0x34b33c29 -256 -256 -0 -0 -0 -2 -0x9b5c -0x16494b9f -256 -256 -1 -0 -0 -3 -0x8f9c -0xc38b4bd1 -256 -256 -0 -0 -0 -1 -0x56fc -0xfc035523 -256 -256 -0 -0 -0 -2 -0x5168 -0x768e87f6 -256 -256 -1 -0 -0 -4 -0xacb7 -0x5ec8061e -256 -256 -0 -0 -0 -1 -0xe7d -0xedb547ce -256 -256 -1 -0 -0 -2 -0x1cd3 -0x4c31dbc1 -256 -256 -1 -0 -0 -4 -0xe075 -0xa13dd395 -256 -256 -1 -0 -0 -1 -0xc1c3 -0xd5f9fc17 -256 -256 -0 -0 -0 -2 -0x5dfe -0x3a8bdee0 -256 -256 -0 -0 -0 -2 -0xc9b2 -0xba819868 -256 -256 -0 -0 -0 -3 -0xd354 -0x709e8f16 -256 -256 -1 -0 -0 -1 -0x7315 -0x9850a79d -256 -256 -1 -0 -0 -4 -0x2474 -0x947d0f42 -256 -256 -1 -0 -0 -2 -0x547c -0x17166f94 -256 -256 -0 -0 -0 -1 -0x56a9 -0xc02a26f7 -256 -256 -0 -0 -0 -2 -0xd68f -0xbe63eb6a -256 -256 -1 -0 -0 -1 -0xabc1 -0xdd8bac24 -256 -256 -0 -0 -0 -1 -0xd067 -0x309d5ca9 -256 -256 -1 -0 -0 -1 -0x53f4 -0x7f758338 -256 -256 -0 -0 -0 -2 -0x938a -0x3c56c5d2 -256 -256 -0 -0 -0 -3 -0x74f2 -0x32e46524 -256 -256 -0 -0 -0 -3 -0xf02a -0x4ebe29fa -256 -256 -0 -0 -0 -4 -0x2b9e -0xdb1c4b45 -256 -256 -1 -0 -0 -2 -0xf2b -0xe83135 -256 -256 -0 -0 -0 -3 -0x79c6 -0xdb2526e5 -256 -256 -1 -0 -0 -2 -0xbb08 -0x9c7dd4c4 -256 -256 -0 -0 -0 -3 -0x8156 -0x1e2aed8 -256 -256 -0 -0 -0 -3 -0x5f45 -0xcc37455d -256 -256 -1 -0 -0 -2 -0xc940 -0xe642b947 -256 -256 -1 -0 -0 -2 -0xd574 -0xd783cc56 -256 -256 -1 -0 -0 -1 -0xa1b2 -0xea3b139e -256 -256 -0 -0 -0 -1 -0xc24a -0x4caf1272 -256 -256 -1 -0 -0 -2 -0x13e0 -0x91817ff8 -256 -256 -1 -0 -0 -1 -0x115d -0xd0101f80 -256 -256 -1 -0 -0 -3 -0xeefd -0xf5e3533c -256 -256 -0 -0 -0 -3 -0xdc05 -0x471cd9ec -256 -256 -0 -0 -0 -2 -0x259a -0xdae29d31 -256 -256 -0 -0 -0 -1 -0xe4 -0x5d423806 -256 -256 -1 -0 -0 -3 -0x6e2f -0x610404b -256 -256 -0 -0 -0 -1 -0x8c00 -0x72848e28 -256 -256 -0 -0 -0 -1 -0xdaa8 -0xe57ad9cd -256 -256 -1 -0 -0 -1 -0xb9b5 -0x9a5f802c -256 -256 -0 -0 -0 -2 -0xd4a2 -0xcab6093f -256 -256 -1 -0 -0 -1 -0xc987 -0xed0412a4 -256 -256 -0 -0 -0 -4 -0x6eb5 -0x4d6d5f9f -256 -256 -0 -0 -0 -1 -0xe8df -0x21a9d1cf -256 -256 -0 -0 -0 -1 -0xff24 -0x74d84350 -256 -256 -0 -0 -0 -2 -0xdbf9 -0x80b8a0f5 -256 -256 -1 -0 -0 -2 -0xac40 -0x3a94d096 -256 -256 -0 -0 -0 -4 -0xb19c -0x6a210f3a -256 -256 -1 -0 -0 -3 -0x50ca -0x4095c4a -256 -256 -1 -0 -0 -2 -0xe21b -0x7df986b7 -256 -256 -0 -0 -0 -4 -0xd3fa -0x830452e -256 -256 -1 -0 -0 -1 -0x751f -0x95707fc2 -256 -256 -0 -0 -0 -2 -0x58e9 -0x58a1f055 -256 -256 -1 -0 -0 -1 -0xfa9a -0xd7c6bb66 -256 -256 -0 -0 -0 -2 -0xda3d -0xdc681236 -256 -256 -1 -0 -0 -2 -0x976e -0x35ca0eb9 -256 -256 -0 -0 -0 -2 -0x1903 -0xfb6b5646 -256 -256 -1 -0 -0 -3 -0xc60a -0x2040793a -256 -256 -1 -0 -0 -2 -0x5d9a -0xe9834c2a -256 -256 -0 -0 -0 -3 -0x74f -0xdb8914e5 -256 -256 -0 -0 -0 -2 -0xb640 -0xe4aeb3dd -256 -256 -1 -0 -0 -1 -0x3982 -0xf91d53a1 -256 -256 -0 -0 -0 -4 -0x7b75 -0xd0e7fd25 -256 -256 -1 -0 -0 -4 -0xfd -0x5f59819c -256 -256 -0 -0 -0 -2 -0xe012 -0x8726b47d -256 -256 -1 -0 -0 -4 -0x6223 -0xb006f6f1 -256 -256 -0 -0 -0 -1 -0x3e0e -0x705d8551 -256 -256 -0 -0 -0 -3 -0xb49d -0x956b748c -256 -256 -0 -0 -0 -2 -0x2f0a -0x6da42dfc -256 -256 -0 -0 -0 -2 -0xa673 -0x1ba5164 -256 -256 -1 -0 -0 -3 -0x53e0 -0xeb64e41a -256 -256 -0 -0 -0 -4 -0x767e -0x2e9316c -256 -256 -1 -0 -0 -1 -0xea86 -0x912dfc5d -256 -256 -1 -0 -0 -4 -0x3ea2 -0x2760056e -256 -256 -1 -0 -0 -3 -0xd2ea -0xc2f1a3b4 -256 -256 -0 -0 -0 -4 -0x91e5 -0x41385f0d -256 -256 -0 -0 -0 -2 -0xd64b -0x7370293b -256 -256 -1 -0 -0 -3 -0xdf97 -0x9d59f119 -256 -256 -0 -0 -0 -2 -0xcf9b -0x282bc63b -256 -256 -1 -0 -0 -3 -0xfcf3 -0xd6806bd9 -256 -256 -0 -0 -0 -1 -0x2e5a -0x3e0b340f -256 -256 -1 -0 -0 -1 -0x3a09 -0xf85e6d00 -256 -256 -1 -0 -0 -2 -0x1106 -0xaf38fe33 -256 -256 -0 -0 -0 -4 -0xc165 -0xd97ef94e -256 -256 -1 -0 -0 -4 -0x99d -0x408f84cf -256 -256 -1 -0 -0 -2 -0xa001 -0x91a5c036 -256 -256 -0 -0 -0 -1 -0xa1e4 -0xee1e4313 -256 -256 -1 -0 -0 -4 -0x5d8f -0xc4c5c40c -256 -256 -0 -0 -0 -3 -0xcc8c -0xce4bb3e3 -256 -256 -0 -0 -0 -3 -0xda56 -0x69845447 -256 -256 -0 -0 -0 -2 -0x6fce -0x7f1705f7 -256 -256 -1 -0 -0 -2 -0x41cc -0x1a62aeb0 -256 -256 -0 -0 -0 -4 -0x7fbd -0xc0307093 -256 -256 -0 -0 -0 -1 -0x6a9a -0x1c7f0798 -256 -256 -0 -0 -0 -3 -0xa89c -0x9a158393 -256 -256 -0 -0 -0 -4 -0x68c1 -0x66b167c0 -256 -256 -1 -0 -0 -3 -0xd2ae -0x6374e78e -256 -256 -1 -0 -0 -4 -0x694f -0x7e62bb4c -256 -256 -0 -0 -0 -3 -0x66e6 -0x4e3da60 -256 -256 -0 -0 -0 -2 -0x4185 -0xb2c7b588 -256 -256 -0 -0 -0 -1 -0x87d3 -0xf4c79281 -256 -256 -1 -0 -0 -1 -0xe1e8 -0x6fd4661a -256 -256 -1 -0 -0 -3 -0xfcab -0x2579a967 -256 -256 -1 -0 -0 -4 -0x494a -0x9444fcec -256 -256 -0 -0 -0 -1 -0x94b6 -0x88bba2e2 -256 -256 -0 -0 -0 -3 -0x306 -0xd297af35 -256 -256 -1 -0 -0 -2 -0x724 -0xaff04d1 -256 -256 -0 -0 -0 -2 -0xb406 -0xcd0b91a5 -256 -256 -1 -0 -0 -4 -0xeb77 -0xba26890a -256 -256 -0 -0 -0 -3 -0xf086 -0x5b979f3e -256 -256 -1 -0 -0 -3 -0x7ce3 -0xa754e372 -256 -256 -1 -0 -0 -1 -0xd5a9 -0xb152512c -256 -256 -1 -0 -0 -4 -0x4a7f -0xf16a2772 -256 -256 -0 -0 -0 -3 -0xf54c -0xc8baedf9 -256 -256 -0 -0 -0 -4 -0x6217 -0x548b469 -256 -256 -0 -0 -0 -4 -0x86b3 -0x963fbe5 -256 -256 -1 -0 -0 -4 -0x574e -0x1ad17d7a -256 -256 -1 -0 -0 -2 -0xf1af -0x6f67fa8c -256 -256 -1 -0 -0 -3 -0xca73 -0x31409893 -256 -256 -1 -0 -0 -2 -0xd3fc -0x828df226 -256 -256 -0 -0 -0 -2 -0x44af -0xa07a3923 -256 -256 -1 -0 -0 -3 -0xe235 -0xd37962bf -256 -256 -1 -0 -0 -4 -0x7b9f -0x7c51136a -256 -256 -0 -0 -0 -4 -0x75f6 -0x2fcf1e32 -256 -256 -0 -0 -0 -1 -0xa516 -0x40bdc667 -256 -256 -1 -0 -0 -4 -0x823b -0x4a01006 -256 -256 -0 -0 -0 -3 -0x64a0 -0xbf9ebc89 -256 -256 -0 -0 -0 -1 -0x56c7 -0xe8ae98b6 -256 -256 -0 -0 -0 -4 -0xa49a -0x3b70c9e3 -256 -256 -1 -0 -0 -3 -0x7d68 -0x37ea35a3 -256 -256 -1 -0 -0 -3 -0xf679 -0x9bf2d372 -256 -256 -1 -0 -0 -1 -0x1d59 -0x613c7b8f -256 -256 -1 -0 -0 -2 -0x36be -0x109a9e85 -256 -256 -0 -0 -0 -4 -0x9bea -0xf94cc296 -256 -256 -0 -0 -0 -1 -0x9b60 -0xa58bbedc -256 -256 -1 -0 -0 -3 -0xdc71 -0x3aad100a -256 -256 -1 -0 -0 -1 -0x3c62 -0xacd27fbb -256 -256 -1 -0 -0 -3 -0x20d9 -0xc89aeb6f -256 -256 -1 -0 -0 -3 -0x1d46 -0x4a58f9bd -256 -256 -0 -0 -0 -4 -0x9a8f -0x25e7b586 -256 -256 -1 -0 -0 -2 -0xc394 -0x31892cd9 -256 -256 -1 -0 -0 -1 -0xeda2 -0xa5a6192b -256 -256 -1 -0 -0 -3 -0x54b3 -0xc05f618e -256 -256 -0 -0 -0 -4 -0x44a7 -0x88fdf501 -256 -256 -0 -0 -0 -4 -0xde79 -0x65f614fd -256 -256 -1 -0 -0 -2 -0xf553 -0x7c5e9590 -256 -256 -0 -0 -0 -1 -0x946c -0x240471bf -256 -256 -1 -0 -0 -2 -0xc6ae -0x91563c28 -256 -256 -0 -0 -0 -3 -0x1c38 -0x45b61698 -256 -256 -0 -0 -0 -3 -0xcff4 -0xaf894b37 -256 -256 -1 -0 -0 -2 -0x2096 -0x747659c0 -256 -256 -0 -0 -0 -2 -0xb813 -0xba66bdf7 -256 -256 -0 -0 -0 -1 -0x1405 -0xde4f10ca -256 -256 -0 -0 -0 -3 -0xa82 -0xc755124d -256 -256 -1 -0 -0 -4 -0x26 -0x4128753b -256 -256 -0 -0 -0 -1 -0xa31 -0xd425abf0 -256 -256 -1 -0 -0 -3 -0x88 -0x856afc04 -256 -256 -1 -0 -0 -2 -0x2b6b -0x7a4cb329 -256 -256 -1 -0 -0 -2 -0x5ddb -0xf574b974 -256 -256 -0 -0 -0 -3 -0xd3fa -0xad49bfc1 -256 -256 -1 -0 -0 -2 -0x2cb7 -0x329bef9a -256 -256 -1 -0 -0 -3 -0x3619 -0xe17c0b7f -256 -256 -0 -0 -0 -1 -0xc558 -0x8f7e1669 -256 -256 -1 -0 -0 -4 -0xa299 -0xd708d36b -256 -256 -0 -0 -0 -3 -0x8759 -0xa67cad2 -256 -256 -0 -0 -0 -4 -0xe312 -0xc244a65c -256 -256 -0 -0 -0 -2 -0xdb22 -0xc5e61e30 -256 -256 -0 -0 -0 -4 -0xdb4d -0x9c140ea2 -256 -256 -1 -0 -0 -4 -0xf776 -0xf8042a94 -256 -256 -0 -0 -0 -4 -0x118c -0x9bcfe677 -256 -256 -0 -0 -0 -3 -0xddbf -0x1d1c6d5a -256 -256 -1 -0 -0 -3 -0xc68b -0xc602f6d8 -256 -256 -1 -0 -0 -1 -0x4c02 -0xe472db9 -256 -256 -0 -0 -0 -2 -0x367e -0x91436481 -256 -256 -1 -0 -0 -3 -0xd2d7 -0x776a07a5 -256 -256 -0 -0 -0 -3 -0x3948 -0xa7f17c9e -256 -256 -1 -0 -0 -3 -0x1e65 -0x7503b4b5 -256 -256 -1 -0 -0 -2 -0x6a08 -0x25d2e0d8 -256 -256 -1 -0 -0 -3 -0x5820 -0x191a2a5a -256 -256 -0 -0 -0 -1 -0x6e5b -0x4c0310d0 -256 -256 -1 -0 -0 -1 -0x2f35 -0x23e98acc -256 -256 -1 -0 -0 -1 -0x7326 -0x3f250b8a -256 -256 -1 -0 -0 -3 -0xca34 -0x7073cf4c -256 -256 -0 -0 -0 -4 -0xba11 -0x20206724 -256 -256 -0 -0 -0 -4 -0x821e -0xf4a78385 -256 -256 -0 -0 -0 -1 -0x5b6b -0x461748ce -256 -256 -0 -0 -0 -3 -0xcd22 -0xdc3b226d -256 -256 -0 -0 -0 -1 -0xb45c -0xa6f5315c -256 -256 -1 -0 -0 -1 -0x1fb3 -0x21ba5949 -256 -256 -1 -0 -0 -1 -0x42ca -0x451e542b -256 -256 -1 -0 -0 -4 -0x5911 -0xf484bb88 -256 -256 -0 -0 -0 -3 -0xe2a5 -0x635dc0ca -256 -256 -0 -0 -0 -2 -0x8414 -0xb1888b1b -256 -256 -0 -0 -0 -3 -0xfe2a -0x6bb8b1ee -256 -256 -1 -0 -0 -3 -0xcbfe -0x6327d446 -256 -256 -0 -0 -0 -2 -0x2223 -0x50ef46bf -256 -256 -0 -0 -0 -3 -0x5109 -0x2d5fcaee -256 -256 -0 -0 -0 -3 -0x3ece -0xace79bc3 -256 -256 -1 -0 -0 -3 -0x52c9 -0xbbf04c9d -256 -256 -0 -0 -0 -2 -0xc9f7 -0xc2ec8e67 -256 -256 -0 -0 -0 -3 -0x55c4 -0xa6f0cf8 -256 -256 -0 -0 -0 -4 -0xdb9e -0xb6a5c7ca -256 -256 -1 -0 -0 -3 -0xddd8 -0xf0949312 -256 -256 -0 -0 -0 -1 -0xa84c -0xd28b3053 -256 -256 -1 -0 -0 -4 -0x92f4 -0x47faf1a8 -256 -256 -0 -0 -0 -2 -0xc7b6 -0xb153dd4b -256 -256 -1 -0 -0 -2 -0xb5fe -0x131827c7 -256 -256 -1 -0 -0 -2 -0x65d9 -0xf93b6863 -256 -256 -1 -0 -0 -1 -0x61ed -0x3d6d2b35 -256 -256 -1 -0 -0 -3 -0x686e -0xecbb57f2 -256 -256 -0 -0 -0 -1 -0x11aa -0xdf3df1f6 -256 -256 -1 -0 -0 -2 -0xc3f7 -0xcc174536 -256 -256 -0 -0 -0 -2 -0xd53b -0x92b40bb0 -256 -256 -1 -0 -0 -2 -0xc3fa -0xf9638243 -256 -256 -1 -0 -0 -3 -0x86ee -0x37787d7a -256 -256 -1 -0 -0 -4 -0x4711 -0x8a8d43a1 -256 -256 -1 -0 -0 -1 -0x8dc0 -0x56b873c2 -256 -256 -0 -0 -0 -3 -0xb78e -0xbb2b64ec -256 -256 -0 -0 -0 -1 -0x9408 -0xc05849b3 -256 -256 -0 -0 -0 -3 -0x7bd1 -0xf211b10f -256 -256 -1 -0 -0 -3 -0x1666 -0xf9424535 -256 -256 -1 -0 -0 -1 -0x8d09 -0x213efe52 -256 -256 -0 -0 -0 -1 -0xfe3d -0x4ff4326e -256 -256 -0 -0 -0 -2 -0xff7a -0xfa847eed -256 -256 -1 -0 -0 -1 -0xc457 -0x4d377773 -256 -256 -0 -0 -0 -4 -0xb13b -0xcbe22536 -256 -256 -0 -0 -0 -1 -0x6416 -0xcbabc306 -256 -256 -1 -0 -0 -1 -0x8025 -0x8c172a2d -256 -256 -0 -0 -0 -2 -0x6447 -0xcdf2b735 -256 -256 -1 -0 -0 -2 -0xb5e3 -0xdf84f3e4 -256 -256 -0 -0 -0 -4 -0xf986 -0xfdc5aaae -256 -256 -0 -0 -0 -3 -0x5c1f -0x8a599281 -256 -256 -1 -0 -0 -2 -0x3705 -0x254c4d68 -256 -256 -0 -0 -0 -1 -0xc969 -0x2e6e4cef -256 -256 -0 -0 -0 -1 -0xb2a6 -0x18eeec02 -256 -256 -1 -0 -0 -3 -0xda81 -0x5b323bf2 -256 -256 -1 -0 -0 -1 -0xd2d -0x406039f4 -256 -256 -0 -0 -0 -3 -0x7c44 -0x603310d -256 -256 -0 -0 -0 -2 -0x98f1 -0x59f2f713 -256 -256 -1 -0 -0 -4 -0x9a3 -0x77bfedc8 -256 -256 -1 -0 -0 -1 -0xd8ab -0xec9b13fc -256 -256 -1 -0 -0 -2 -0xf465 -0x451df1f9 -256 -256 -1 -0 -0 -3 -0x5bcb -0x88031d53 -256 -256 -0 -0 -0 -2 -0xc038 -0x61c8acf3 -256 -256 -1 -0 -0 -1 -0xdc13 -0xa7ba11c5 -256 -256 -0 -0 -0 -2 -0xc84c -0xeeda6715 -256 -256 -0 -0 -0 -4 -0xd9bb -0xb3c751b -256 -256 -0 -0 -0 -3 -0x1f68 -0xbe76ad27 -256 -256 -0 -0 -0 -2 -0xab0f -0xff2399d4 -256 -256 -1 -0 -0 -1 -0x8012 -0xdd6b486f -256 -256 -1 -0 -0 -2 -0x7363 -0xe120fcd1 -256 -256 -1 -0 -0 -1 -0x8eca -0x6adff5a3 -256 -256 -1 -0 -0 -4 -0x8174 -0xeec7fca5 -256 -256 -1 -0 -0 -2 -0xad9e -0x65074141 -256 -256 -0 -0 -0 -4 -0x519c -0xbcdf6a45 -256 -256 -0 -0 -0 -3 -0xb20b -0x474e8797 -256 -256 -0 -0 -0 -2 -0xc492 -0x3ed53f7 -256 -256 -1 -0 -0 -2 -0x200 -0x4640ab65 -256 -256 -1 -0 -0 -2 -0x9d69 -0xa92ae0ff -256 -256 -1 -0 -0 -4 -0x1ad3 -0x849913ac -256 -256 -0 -0 -0 -1 -0x5911 -0x68a8e5bb -256 -256 -0 -0 -0 -3 -0xca1f -0x614431d2 -256 -256 -1 -0 -0 -4 -0x2f81 -0xc39d387c -256 -256 -1 -0 -0 -2 -0xb1de -0x38efe368 -256 -256 -1 -0 -0 -3 -0xc0c1 -0xc37659a7 -256 -256 -0 -0 -0 -1 -0xdccf -0xc73ad2da -256 -256 -1 -0 -0 -2 -0x64e0 -0xb4c6fb36 -256 -256 -1 -0 -0 -2 -0x7106 -0x91ce6873 -256 -256 -1 -0 -0 -4 -0xf0ec -0x71cceb85 -256 -256 -1 -0 -0 -3 -0x2346 -0x91763675 -256 -256 -0 -0 -0 -4 -0x1d0 -0x53aef0bd -256 -256 -1 -0 -0 -4 -0x3c01 -0x22d77f68 -256 -256 -1 -0 -0 -4 -0xdd0b -0x2e3c20a1 -256 -256 -1 -0 -0 -1 -0x68d8 -0xbffd86c -256 -256 -1 -0 -0 -3 -0x4851 -0xe2a7affd -256 -256 -0 -0 -0 -3 -0xa9b6 -0x2c40d204 -256 -256 -1 -0 -0 -1 -0xbfc -0x62e0fee2 -256 -256 -0 -0 -0 -1 -0xbf91 -0x1f02dd2d -256 -256 -0 -0 -0 -2 -0xc53a -0x37df82c7 -256 -256 -1 -0 -0 -1 -0xc2b -0x140b6c8d -256 -256 -0 -0 -0 -4 -0x5c9 -0x445ffc25 -256 -256 -0 -0 -0 -2 -0xe0eb -0xdee7e07 -256 -256 -1 -0 -0 -1 -0xd2af -0x4a9b0f65 -256 -256 -0 -0 -0 -2 -0xf7fb -0x984f6bb5 -256 -256 -1 -0 -0 -2 -0x6f55 -0xf31a2934 -256 -256 -1 -0 -0 -3 -0x4401 -0x2d451ee4 -256 -256 -1 -0 -0 -3 -0xe2ba -0xda838b43 -256 -256 -1 -0 -0 -4 -0x272e -0x81cce2b4 -256 -256 -0 -0 -0 -4 -0x6611 -0x9493ec5d -256 -256 -0 -0 -0 -3 -0xf609 -0xd4ebd942 -256 -256 -1 -0 -0 -2 -0x98e6 -0xd859cb6d -256 -256 -0 -0 -0 -1 -0xf727 -0x1812777b -256 -256 -1 -0 -0 -1 -0x3875 -0x166a2ea8 -256 -256 -1 -0 -0 -1 -0x8826 -0x68019936 -256 -256 -1 -0 -0 -2 -0x3c56 -0x2fd39ca5 -256 -256 -0 -0 -0 -4 -0x7683 -0xbe0709be -256 -256 -1 -0 -0 -4 -0x9e54 -0x98c6536f -256 -256 -0 -0 -0 -3 -0x950c -0x12ec7e86 -256 -256 -0 -0 -0 -2 -0x6814 -0xc4174753 -256 -256 -0 -0 -0 -3 -0x584c -0xe746ed1c -256 -256 -1 -0 -0 -1 -0x673d -0xc597b147 -256 -256 -0 -0 -0 -1 -0x6955 -0xc9d6d006 -256 -256 -0 -0 -0 -1 -0x31ca -0x6525bd08 -256 -256 -0 -0 -0 -1 -0x4d1d -0x7b2ae48f -256 -256 -0 -0 -0 -2 -0xb8f9 -0xb014acb3 -256 -256 -1 -0 -0 -4 -0xc070 -0x84f19a0 -256 -256 -0 -0 -0 -3 -0xcba0 -0x48a9499e -256 -256 -1 -0 -0 -4 -0xb778 -0xb8f3ecda -256 -256 -0 -0 -0 -3 -0xf2cd -0x11d96443 -256 -256 -1 -0 -0 -2 -0x3cde -0xd82db2 -256 -256 -0 -0 -0 -1 -0x4721 -0xd3d04ed4 -256 -256 -0 -0 -0 -1 -0x9301 -0x4d6ad380 -256 -256 -1 -0 -0 -4 -0x748d -0x4f0685e9 -256 -256 -0 -0 -0 -4 -0xd31c -0x9a139f7f -256 -256 -0 -0 -0 -2 -0x5ac6 -0xf4b8b223 -256 -256 -1 -0 -0 -2 -0x865a -0x7281a5c4 -256 -256 -0 -0 -0 -3 -0xc9f3 -0xf2d82e2d -256 -256 -0 -0 -0 -1 -0x7a44 -0xca39b449 -256 -256 -1 -0 -0 -4 -0xd26d -0xbeed9aa3 -256 -256 -1 -0 -0 -1 -0xd743 -0x63251dd4 -256 -256 -1 -0 -0 -3 -0x3a77 -0xa4baab51 -256 -256 -0 -0 -0 -4 -0xd828 -0x4467384f -256 -256 -0 -0 -0 -1 -0x92cb -0x7ac05058 -256 -256 -1 -0 -0 -3 -0x323 -0xf299d669 -256 -256 -0 -0 -0 -2 -0x89c -0xf5f19c61 -256 -256 -1 -0 -0 -1 -0xa6e5 -0xa4fe285b -256 -256 -1 -0 -0 -2 -0x689f -0xd7832193 -256 -256 -1 -0 -0 -4 -0x5f7e -0x813578cc -256 -256 -1 -0 -0 -2 -0x1600 -0x9d683565 -256 -256 -1 -0 -0 -2 -0x1a7b -0xe4c026ba -256 -256 -0 -0 -0 -1 -0xb91c -0xd202a08c -256 -256 -0 -0 -0 -2 -0x536a -0x11be5e0d -256 -256 -0 -0 -0 -3 -0xfc2d -0xf9162b21 -256 -256 -0 -0 -0 -3 -0xb850 -0x92679076 -256 -256 -0 -0 -0 -2 -0xfd3a -0xc1e1c1f0 -256 -256 -0 -0 -0 -2 -0xfc73 -0xccf54766 -256 -256 -0 -0 -0 -4 -0x30d1 -0xd2138822 -256 -256 -1 -0 -0 -3 -0xe825 -0xb5d21afc -256 -256 -1 -0 -0 -3 -0x2b8f -0xf6323363 -256 -256 -0 -0 -0 -3 -0x8841 -0x6b03afe2 -256 -256 -1 -0 -0 -1 -0xab47 -0x74f26d5b -256 -256 -0 -0 -0 -4 -0x93c6 -0x45f95228 -256 -256 -1 -0 -0 -3 -0x139d -0xaf65bebc -256 -256 -0 -0 -0 -1 -0xe4d6 -0x4a5b3ff0 -256 -256 -1 -0 -0 -4 -0xc913 -0x471444a3 -256 -256 -1 -0 -0 -4 -0xb29c -0x3be537ba -256 -256 -1 -0 -0 -3 -0xb6d4 -0x6a633679 -256 -256 -0 -0 -0 -1 -0x6ebc -0x1ec87c57 -256 -256 -0 -0 -0 -4 -0x688d -0x887efd34 -256 -256 -0 -0 -0 -3 -0x5b5b -0xbb50cbc0 -256 -256 -0 -0 -0 -1 -0x5e7a -0x8926ed81 -256 -256 -1 -0 -0 -1 -0x401e -0xa1b41422 -256 -256 -0 -0 -0 -1 -0x1a7a -0x986db01f -256 -256 -0 -0 -0 -4 -0xdb20 -0x8f50c6cd -256 -256 -0 -0 -0 -1 -0x5848 -0xceff80b8 -256 -256 -1 -0 -0 -3 -0x4bdf -0x47ef9693 -256 -256 -1 -0 -0 -4 -0x8330 -0x4e3a4158 -256 -256 -0 -0 -0 -3 -0x8570 -0xb5a7cdd3 -256 -256 -0 -0 -0 -2 -0x5d52 -0xe8f6016b -256 -256 -1 -0 -0 -4 -0xeeb6 -0x556b9d1c -256 -256 -1 -0 -0 -2 -0xbd34 -0x725e7269 -256 -256 -1 -0 -0 -4 -0xadac -0xf294cbeb -256 -256 -0 -0 -0 -4 -0xbf50 -0xd350a388 -256 -256 -0 -0 -0 -3 -0xc95 -0x6da3490 -256 -256 -1 -0 -0 -2 -0xc7e4 -0xc1c241ed -256 -256 -0 -0 -0 -2 -0x12ef -0x27bf8d09 -256 -256 -1 -0 -0 -4 -0x3dc4 -0x8d24a7db -256 -256 -1 -0 -0 -3 -0x34fe -0x3a5259a5 -256 -256 -1 -0 -0 -2 -0x8bd -0xbeb9d394 -256 -256 -0 -0 -0 -4 -0x71e6 -0x380326a3 -256 -256 -1 -0 -0 -1 -0xe906 -0x6f962c4e -256 -256 -0 -0 -0 -3 -0xb15 -0x7c9a7fcf -256 -256 -0 -0 -0 -1 -0x73e2 -0xb18ef301 -256 -256 -0 -0 -0 -4 -0x7d3b -0x8fbe83d2 -256 -256 -1 -0 -0 -2 -0x504d -0xf4f6edf5 -256 -256 -1 -0 -0 -1 -0x549f -0x6322a0d2 -256 -256 -0 -0 -0 -3 -0x6966 -0xa75291b2 -256 -256 -1 -0 -0 -1 -0x44e4 -0x2ea3a6b7 -256 -256 -0 -0 -0 -1 -0xf6cb -0xf838812c -256 -256 -0 -0 -0 -1 -0xe079 -0x858eb703 -256 -256 -1 -0 -0 -4 -0xa173 -0xf37813ea -256 -256 -1 -0 -0 -3 -0x1d23 -0x3fa072d2 -256 -256 -0 -0 -0 -2 -0xdea8 -0xae80cf6f -256 -256 -0 -0 -0 -1 -0xf4e2 -0x9e67c0c5 -256 -256 -0 -0 -0 -3 -0x693e -0x7ea3a878 -256 -256 -0 -0 -0 -1 -0xce25 -0xc6ed01cb -256 -256 -1 -0 -0 -4 -0x47ba -0x6f186c86 -256 -256 -0 -0 -0 -1 -0x4c9f -0x46d07e98 -256 -256 -0 -0 -0 -1 -0x47fb -0x11fbf784 -256 -256 -0 -0 -0 -2 -0x8ebf -0x572e59b1 -256 -256 -1 -0 -0 -4 -0xbd46 -0xcf49f3f7 -256 -256 -0 -0 -0 -1 -0xf63 -0x76daa2c0 -256 -256 -1 -0 -0 -2 -0xb1a -0x737a83cb -256 -256 -1 -0 -0 -2 -0x40f2 -0x5db0c211 -256 -256 -1 -0 -0 -4 -0x83b7 -0x3a98b2bc -256 -256 -1 -0 -0 -2 -0xa53c -0x15056cef -256 -256 -1 -0 -0 -4 -0x5281 -0xab987345 -256 -256 -0 -0 -0 -1 -0xdae4 -0xbaed3564 -256 -256 -0 -0 -0 -2 -0x10a7 -0x836329fd -256 -256 -0 -0 -0 -3 -0x248d -0x3fff5730 -256 -256 -0 -0 -0 -4 -0x5d2 -0xa310b91b -256 -256 -1 -0 -0 -4 -0x8717 -0xf262489 -256 -256 -0 -0 -0 -4 -0x835d -0x28563fcb -256 -256 -1 -0 -0 -3 -0x6d66 -0xd1b11880 -256 -256 -0 -0 -0 -3 -0xd19e -0x7949c0be -256 -256 -1 -0 -0 -3 -0x1bf3 -0x7aff97d4 -256 -256 -1 -0 -0 -3 -0xcf74 -0xc8fb8c08 -256 -256 -0 -0 -0 -3 -0xc837 -0xd0a64358 -256 -256 -0 -0 -0 -3 -0xa56c -0xb5e9ba52 -256 -256 -1 -0 -0 -1 -0xccc2 -0x10ff7a09 -256 -256 -0 -0 -0 -3 -0x89f6 -0x41a550f4 -256 -256 -0 -0 -0 -1 -0xa086 -0x5aaf2671 -256 -256 -1 -0 -0 -2 -0x6bf5 -0x188bb7db -256 -256 -0 -0 -0 -3 -0x3c6 -0x77c84122 -256 -256 -0 -0 -0 -2 -0x71ee -0x5b5c8e16 -256 -256 -0 -0 -0 -3 -0x1b35 -0x2c08883a -256 -256 -1 -0 -0 -3 -0x2d10 -0x52f057d -256 -256 -1 -0 -0 -2 -0xed85 -0x16d29c08 -256 -256 -1 -0 -0 -4 -0xbd58 -0x2896e2b8 -256 -256 -0 -0 -0 -2 -0x160 -0x5cb6449d -256 -256 -1 -0 -0 -2 -0x3dc9 -0xe34b02c5 -256 -256 -0 -0 -0 -2 -0x794b -0x1265cc73 -256 -256 -1 -0 -0 -4 -0x7f07 -0xfc2971f -256 -256 -0 -0 -0 -2 -0x5665 -0x614fb8db -256 -256 -0 -0 -0 -2 -0x4409 -0x2f1b4744 -256 -256 -1 -0 -0 -1 -0xdca0 -0xd6aa5601 -256 -256 -1 -0 -0 -4 -0xd084 -0xd2909999 -256 -256 -0 -0 -0 -4 -0xbae -0xec9d18b4 -256 -256 -0 -0 -0 -3 -0xae7e -0xd2d329fd -256 -256 -0 -0 -0 -4 -0x7f0e -0x4730e625 -256 -256 -0 -0 -0 -3 -0x3506 -0x4aaa2d08 -256 -256 -1 -0 -0 -3 -0x5f59 -0x37bd67ac -256 -256 -1 -0 -0 -4 -0xb494 -0xf75304ad -256 -256 -0 -0 -0 -3 -0x14e0 -0x9bffc9b2 -256 -256 -1 -0 -0 -4 -0xea2 -0x46dfdddf -256 -256 -1 -0 -0 -3 -0x31 -0xd19a6e68 -256 -256 -1 -0 -0 -1 -0x33b -0x19600277 -256 -256 -0 -0 -0 -4 -0xb02c -0x91e90508 -256 -256 -0 -0 -0 -2 -0xc756 -0xef8b6fe3 -256 -256 -1 -0 -0 -4 -0x2486 -0x9b8afc7d -256 -256 -1 -0 -0 -2 -0xc9c5 -0xb42031f4 -256 -256 -0 -0 -0 -4 -0x5792 -0xcc6ff4c3 -256 -256 -1 -0 -0 -1 -0x74e6 -0x5cef4cd8 -256 -256 -1 -0 -0 -2 -0x3187 -0xb10988e4 -256 -256 -1 -0 -0 -1 -0x696b -0x5f838ff1 -256 -256 -0 -0 -0 -3 -0xe729 -0x73a45a9d -256 -256 -1 -0 -0 -1 -0x5f0b -0xd0204825 -256 -256 -1 -0 -0 -4 -0x274d -0x5d37f0b0 -256 -256 -1 -0 -0 -3 -0x35e1 -0xd2983b0 -256 -256 -0 -0 -0 -3 -0x8732 -0x535dcf1 -256 -256 -0 -0 -0 -3 -0x7dfe -0x40f5b243 -256 -256 -1 -0 -0 -4 -0xe22f -0x4728f6a3 -256 -256 -0 -0 -0 -2 -0xbe65 -0xedd049fa -256 -256 -1 -0 -0 -2 -0x3d28 -0x20472d8b -256 -256 -1 -0 -0 -1 -0xa7f9 -0x8690d536 -256 -256 -0 -0 -0 -3 -0xfb0d -0x4b4c6e8e -256 -256 -1 -0 -0 -3 -0xfbef -0x5ca29cf6 -256 -256 -1 -0 -0 -4 -0x63d1 -0xa8b8c932 -256 -256 -0 -0 -0 -4 -0xb5ae -0x42b1f953 -256 -256 -1 -0 -0 -3 -0xa946 -0xfbfe0677 -256 -256 -1 -0 -0 -2 -0x3ad -0x2461942 -256 -256 -0 -0 -0 -2 -0x905b -0xcaa6d24a -256 -256 -0 -0 -0 -2 -0xe653 -0x34ee2fbe -256 -256 -1 -0 -0 -3 -0x1b06 -0x6af667dd -256 -256 -1 -0 -0 -4 -0x951d -0x99f5ff3d -256 -256 -0 -0 -0 -3 -0xede0 -0xedcb91f6 -256 -256 -0 -0 -0 -2 -0x3cda -0xfb360e9e -256 -256 -0 -0 -0 -3 -0xfa79 -0x143f3e9a -256 -256 -1 -0 -0 -3 -0x3794 -0xc29164ac -256 -256 -0 -0 -0 -1 -0xa221 -0xbbebf6bd -256 -256 -0 -0 -0 -1 -0x9c5 -0xc6838fe5 -256 -256 -0 -0 -0 -4 -0x33e3 -0x38f5fb41 -256 -256 -0 -0 -0 -1 -0xdeb1 -0x12c3b988 -256 -256 -1 -0 -0 -3 -0x4564 -0x20c699b -256 -256 -1 -0 -0 -3 -0x3224 -0xed978164 -256 -256 -1 -0 -0 -3 -0xce13 -0x1533ecd2 -256 -256 -1 -0 -0 -2 -0xf7b9 -0x62464244 -256 -256 -1 -0 -0 -1 -0xec17 -0xe1c38602 -256 -256 -1 -0 -0 -2 -0xc0e0 -0x6a48bb20 -256 -256 -0 -0 -0 -3 -0x61a6 -0x61d1b633 -256 -256 -1 -0 -0 -4 -0xf74f -0x99467c4e -256 -256 -0 -0 -0 -2 -0x35fe -0x3efe9436 -256 -256 -0 -0 -0 -1 -0xe913 -0xbdb81511 -256 -256 -1 -0 -0 -4 -0xfc8b -0xbe5be0d3 -256 -256 -0 -0 -0 -3 -0xa40d -0x735a744b -256 -256 -0 -0 -0 -1 -0xc6ad -0xeb97270b -256 -256 -1 -0 -0 -1 -0xa239 -0xba700832 -256 -256 -0 -0 -0 -2 -0xf060 -0x2e399ca1 -256 -256 -0 -0 -0 -4 -0xeba8 -0x5017773a -256 -256 -0 -0 -0 -1 -0x93d6 -0xff5f94b7 -256 -256 -0 -0 -0 -4 -0xcc07 -0xe74b99a3 -256 -256 -1 -0 -0 -3 -0x366e -0xd3bade21 -256 -256 -0 -0 -0 -3 -0xfc28 -0xa9425344 -256 -256 -0 -0 -0 -3 -0x9515 -0x17fa0315 -256 -256 -1 -0 -0 -2 -0x2974 -0x9a021457 -256 -256 -1 -0 -0 -1 -0x35d -0x224151be -256 -256 -1 -0 -0 -2 -0x74f -0xc109beba -256 -256 -1 -0 -0 -3 -0x48b5 -0x6f93459a -256 -256 -1 -0 -0 -3 -0x5347 -0x5f1c37d8 -256 -256 -1 -0 -0 -1 -0xfb86 -0x5082b10c -256 -256 -0 -0 -0 -4 -0xe3ac -0xdde43c6b -256 -256 -0 -0 -0 -2 -0x31a9 -0x8a17cc8a -256 -256 -0 -0 -0 -1 -0x327 -0x67fa8d78 -256 -256 -1 -0 -0 -2 -0x795 -0xee278759 -256 -256 -1 -0 -0 -4 -0xe11b -0x884a35ae -256 -256 -0 -0 -0 -1 -0xb875 -0x413a6b33 -256 -256 -0 -0 -0 -1 -0xd60d -0x126ce01f -256 -256 -1 -0 -0 -1 -0x7297 -0xb5926f73 -256 -256 -1 -0 -0 -2 -0xd0b8 -0x41896dfd -256 -256 -1 -0 -0 -2 -0xe1db -0x87ce0bb1 -256 -256 -0 -0 -0 -4 -0xef7b -0xadf9e6e -256 -256 -1 -0 -0 -1 -0x3135 -0xb786a257 -256 -256 -1 -0 -0 -1 -0xeaec -0x69392d34 -256 -256 -0 -0 -0 -4 -0x3ad9 -0x7f7c8501 -256 -256 -1 -0 -0 -2 -0x5d24 -0x5a58e191 -256 -256 -1 -0 -0 -3 -0x51fb -0x2ab8070 -256 -256 -0 -0 -0 -2 -0x7ee3 -0x71bebe7c -256 -256 -0 -0 -0 -3 -0xe23b -0x2812eeee -256 -256 -1 -0 -0 -4 -0x130a -0x71da7d2d -256 -256 -0 -0 -0 -4 -0xb0f0 -0x363ca74 -256 -256 -1 -0 -0 -1 -0x6570 -0x46819444 -256 -256 -1 -0 -0 -4 -0x2250 -0x3c832bae -256 -256 -1 -0 -0 -3 -0x9941 -0x94bb3ca8 -256 -256 -1 -0 -0 -3 -0x9081 -0x47ef58e2 -256 -256 -1 -0 -0 -1 -0xefa0 -0xf9ec5246 -256 -256 -0 -0 -0 -2 -0xd77f -0x82e06994 -256 -256 -0 -0 -0 -4 -0x3b3f -0x6dc0888f -256 -256 -1 -0 -0 -3 -0xa261 -0x937ed04c -256 -256 -1 -0 -0 -1 -0xa05b -0x448bbb42 -256 -256 -0 -0 -0 -2 -0xe91 -0x50b1240c -256 -256 -1 -0 -0 -1 -0x857a -0x558d36ee -256 -256 -0 -0 -0 -3 -0x8737 -0x98e8987 -256 -256 -1 -0 -0 -3 -0xe7a0 -0x208914ab -256 -256 -0 -0 -0 -4 -0xea7c -0x5506a839 -256 -256 -1 -0 -0 -3 -0x2964 -0x1a04338e -256 -256 -0 -0 -0 -1 -0x1eff -0xb19d6df1 -256 -256 -0 -0 -0 -4 -0x94c3 -0x4cd367d -256 -256 -0 -0 -0 -4 -0xa1e5 -0x46be1034 -256 -256 -0 -0 -0 -4 -0x3dbf -0xd8a61631 -256 -256 -1 -0 -0 -3 -0x6446 -0x6df1cc80 -256 -256 -0 -0 -0 -3 -0xf1f1 -0x4a0d77c3 -256 -256 -0 -0 -0 -4 -0xdd32 -0x2e0a079e -256 -256 -1 -0 -0 -3 -0x562d -0xbea2024 -256 -256 -1 -0 -0 -4 -0xd047 -0x5fc23f3a -256 -256 -0 -0 -0 -3 -0xa845 -0x24e05a6 -256 -256 -0 -0 -0 -4 -0xc918 -0xbea21f13 -256 -256 -1 -0 -0 -2 -0x8333 -0x107f1d5 -256 -256 -0 -0 -0 -1 -0xfec4 -0x7d0269be -256 -256 -1 -0 -0 -2 -0xac63 -0x139a740c -256 -256 -1 -0 -0 -4 -0x4a32 -0xcb090efa -256 -256 -1 -0 -0 -3 -0x3e55 -0x66773cbc -256 -256 -0 -0 -0 -3 -0xe940 -0xf1e010fc -256 -256 -1 -0 -0 -1 -0x2691 -0xd552c8f -256 -256 -1 -0 -0 -4 -0xfb12 -0x72794eb4 -256 -256 -0 -0 -0 -4 -0xdd7a -0x58084b17 -256 -256 -0 -0 -0 -3 -0xb0a2 -0x425dcc54 -256 -256 -1 -0 -0 -1 -0xca29 -0xcb0bd643 -256 -256 -0 -0 -0 -3 -0xc6a1 -0xc3bbf148 -256 -256 -1 -0 -0 -2 -0x9add -0x16e6a0f6 -256 -256 -1 -0 -0 -2 -0x586 -0x4f1b260f -256 -256 -1 -0 -0 -2 -0x67e1 -0x41311b11 -256 -256 -1 -0 -0 -4 -0x6ce8 -0xbf8b0d3f -256 -256 -0 -0 -0 -1 -0x6620 -0x2d1e8605 -256 -256 -1 -0 -0 -4 -0xd233 -0x91a8d1e3 -256 -256 -1 -0 -0 -3 -0x4922 -0x9e4c3cca -256 -256 -1 -0 -0 -3 -0xdbf1 -0x853f3e12 -256 -256 -0 -0 -0 -1 -0x6319 -0x6b7d0971 -256 -256 -0 -0 -0 -3 -0x7920 -0xc8aafd78 -256 -256 -1 -0 -0 -2 -0x899e -0x33fbb9e9 -256 -256 -1 -0 -0 -2 -0x3ec7 -0x34b4d8da -256 -256 -1 -0 -0 -1 -0xb6eb -0x3030c846 -256 -256 -1 -0 -0 -2 -0xe5a9 -0x83e87f4b -256 -256 -1 -0 -0 -4 -0x58d -0xb8567813 -256 -256 -0 -0 -0 -3 -0x80ef -0xbae8e914 -256 -256 -0 -0 -0 -3 -0xa225 -0x776619c6 -256 -256 -1 -0 -0 -1 -0x770b -0xee2f985a -256 -256 -0 -0 -0 -4 -0x4e78 -0x76f41576 -256 -256 -0 -0 -0 -3 -0x191e -0x3594554 -256 -256 -0 -0 -0 -2 -0x921a -0x4db54ee -256 -256 -0 -0 -0 -2 -0x6c55 -0x3be87678 -256 -256 -0 -0 -0 -2 -0x23d9 -0xb2037726 -256 -256 -0 -0 -0 -3 -0xe879 -0xe02c6a99 -256 -256 -0 -0 -0 -2 -0xc413 -0x47183137 -256 -256 -0 -0 -0 -2 -0x3901 -0xc6fb22ad -256 -256 -0 -0 -0 -3 -0xb6b5 -0xc11c015c -256 -256 -0 -0 -0 -4 -0x6081 -0x400d3d8f -256 -256 -1 -0 -0 -4 -0x41 -0xe01393b7 -256 -256 -0 -0 -0 -2 -0x9f2f -0x951f6c9d -256 -256 -0 -0 -0 -1 -0xfd82 -0xfd9a54b6 -256 -256 -0 -0 -0 -4 -0x7bee -0xfb225d0d -256 -256 -1 -0 -0 -3 -0x437 -0xe3107ca0 -256 -256 -1 -0 -0 -3 -0x3b45 -0x6e9763ea -256 -256 -0 -0 -0 -4 -0xa3e7 -0xb7f094cc -256 -256 -0 -0 -0 -2 -0x5dae -0xf2eb2849 -256 -256 -1 -0 -0 -4 -0xa7d0 -0xf8a9cbe9 -256 -256 -0 -0 -0 -2 -0x44cc -0xbdc17f83 -256 -256 -0 -0 -0 -2 -0x963b -0xc2450a18 -256 -256 -1 -0 -0 -4 -0x2357 -0x824b84a0 -256 -256 -0 -0 -0 -3 -0x66ec -0xb1289ba5 -256 -256 -0 -0 -0 -1 -0xc150 -0xebfe0b81 -256 -256 -0 -0 -0 -3 -0xeaca -0xe431d7b7 -256 -256 -1 -0 -0 -4 -0x10b9 -0x8caa65be -256 -256 -0 -0 -0 -3 -0xd216 -0xd3510719 -256 -256 -1 -0 -0 -1 -0x983f -0x48621fb0 -256 -256 -1 -0 -0 -1 -0x4700 -0xdda726a4 -256 -256 -0 -0 -0 -2 -0xe95e -0xf6c3b6e4 -256 -256 -0 -0 -0 -3 -0x552f -0xa400b3e7 -256 -256 -1 -0 -0 -1 -0xb63a -0x3810cfc7 -256 -256 -1 -0 -0 -1 -0xf364 -0xb38ef681 -256 -256 -1 -0 -0 -3 -0xc297 -0x6fbfd760 -256 -256 -1 -0 -0 -2 -0x37a9 -0x32514f7a -256 -256 -0 -0 -0 -2 -0xfcf -0x648d7d2 -256 -256 -1 -0 -0 -2 -0xbed2 -0xd7e8b2b7 -256 -256 -1 -0 -0 -4 -0x3a0a -0xa533e0c4 -256 -256 -1 -0 -0 -1 -0x88a9 -0x7f4166d3 -256 -256 -1 -0 -0 -4 -0x95d -0x31018823 -256 -256 -0 -0 -0 -4 -0x49e1 -0x2e5c4258 -256 -256 -1 -0 -0 -4 -0x1b8c -0x4b216092 -256 -256 -0 -0 -0 -3 -0x8fca -0xbe5b2ccf -256 -256 -0 -0 -0 -4 -0x86b9 -0x23bd38d3 -256 -256 -0 -0 -0 -1 -0x578b -0xa994e849 -256 -256 -0 -0 -0 -2 -0xa79 -0x8bc7759c -256 -256 -1 -0 -0 -1 -0x9316 -0x82a68c54 -256 -256 -0 -0 -0 -1 -0x30f7 -0x88cdd16f -256 -256 -0 -0 -0 -1 -0x8ddc -0x3ad5ef36 -256 -256 -0 -0 -0 -4 -0x2379 -0x59ead3de -256 -256 -1 -0 -0 -3 -0xb44f -0xf2bdad36 -256 -256 -1 -0 -0 -3 -0x9ea2 -0x13179a77 -256 -256 -1 -0 -0 -1 -0x39c1 -0x92fea676 -256 -256 -0 -0 -0 -2 -0x508b -0xfa6a0653 -256 -256 -0 -0 -0 -4 -0x3ca8 -0x6ba19f94 -256 -256 -0 -0 -0 -2 -0x9149 -0xab38f91f -256 -256 -1 -0 -0 -1 -0x33fd -0xe3f0d5dc -256 -256 -1 -0 -0 -2 -0xd075 -0x9209cfe8 -256 -256 -1 -0 -0 -4 -0x58ae -0x444f5dd2 -256 -256 -0 -0 -0 -4 -0x1341 -0xfd72d20a -256 -256 -0 -0 -0 -2 -0xe26a -0x21ada7d3 -256 -256 -1 -0 -0 -2 -0xf02a -0xf2778506 -256 -256 -1 -0 -0 -4 -0x68c6 -0x4edd8f21 -256 -256 -0 -0 -0 -3 -0x954d -0xdb416221 -256 -256 -1 -0 -0 -4 -0xdf94 -0x64257d13 -256 -256 -0 -0 -0 -2 -0x62d2 -0x50d35a21 -256 -256 -0 -0 -0 -1 -0x1a2c -0x632c1b89 -256 -256 -1 -0 -0 -2 -0x908a -0x33f36261 -256 -256 -0 -0 -0 -4 -0x564d -0x6b7c1425 -256 -256 -1 -0 -0 -2 -0x7ff1 -0xfe94508c -256 -256 -0 -0 -0 -1 -0x3d1d -0x933735c3 -256 -256 -1 -0 -0 -3 -0x8de8 -0x246f7e0d -256 -256 -0 -0 -0 -1 -0xbc21 -0x4ea26885 -256 -256 -0 -0 -0 -1 -0xcd9c -0x2ade6f50 -256 -256 -1 -0 -0 -2 -0x3185 -0x4734478f -256 -256 -1 -0 -0 -3 -0x5fd6 -0x4533c047 -256 -256 -1 -0 -0 -3 -0xe07a -0xca4bb69a -256 -256 -0 -0 -0 -4 -0x5193 -0x908df4b2 -256 -256 -1 -0 -0 -3 -0xd715 -0x8de604f2 -256 -256 -1 -0 -0 -4 -0xe080 -0x6e582f52 -256 -256 -1 -0 -0 -1 -0x7b94 -0x3657cf64 -256 -256 -0 -0 -0 -3 -0x826 -0x36eeb132 -256 -256 -1 -0 -0 -2 -0xe5d4 -0x55341a8c -256 -256 -0 -0 -0 -1 -0xb824 -0x52b88b07 -256 -256 -1 -0 -0 -4 -0xe01 -0xfe186fe7 -256 -256 -1 -0 -0 -1 -0x9eb6 -0xfa687903 -256 -256 -0 -0 -0 -2 -0x54ce -0x44248e6c -256 -256 -0 -0 -0 -1 -0x7678 -0xb4f7e243 -256 -256 -0 -0 -0 -3 -0x6642 -0x1b55a918 -256 -256 -0 -0 -0 -3 -0xe521 -0x3f273382 -256 -256 -1 -0 -0 -1 -0x92e7 -0x6532a514 -256 -256 -0 -0 -0 -1 -0x5c75 -0x85a521ce -256 -256 -0 -0 -0 -3 -0x1f6e -0xeaaed663 -256 -256 -1 -0 -0 -3 -0x56e6 -0xef4ecb53 -256 -256 -1 -0 -0 -2 -0xf6b6 -0xcafd8660 -256 -256 -1 -0 -0 -1 -0xe398 -0x4f6684c6 -256 -256 -1 -0 -0 -3 -0xe12b -0x18bfb9e9 -256 -256 -0 -0 -0 -2 -0x1785 -0xc0859f36 -256 -256 -0 -0 -0 -4 -0xbc45 -0xcdf473fd -256 -256 -0 -0 -0 -3 -0x34c1 -0xe763ab75 -256 -256 -1 -0 -0 -2 -0x5594 -0x73a2733e -256 -256 -1 -0 -0 -3 -0x91b9 -0x2f33b2e -256 -256 -1 -0 -0 -4 -0x6c4b -0xd45fc4c6 -256 -256 -0 -0 -0 -3 -0x813c -0xcc2d24ff -256 -256 -1 -0 -0 -1 -0xe31d -0xcd781a10 -256 -256 -0 -0 -0 -2 -0x6bf -0x46c0a6d -256 -256 -1 -0 -0 -3 -0x9b58 -0x638fac6f -256 -256 -1 -0 -0 -4 -0x9271 -0x16c15a46 -256 -256 -1 -0 -0 -2 -0xf126 -0xbc153711 -256 -256 -0 -0 -0 -2 -0x20f8 -0x4a27d243 -256 -256 -1 -0 -0 -3 -0x436f -0xca18d84a -256 -256 -0 -0 -0 -4 -0xb0f7 -0x3942cd33 -256 -256 -0 -0 -0 -4 -0x5bbc -0xeabf36d3 -256 -256 -1 -0 -0 -4 -0x7502 -0x45fb4d1d -256 -256 -0 -0 -0 -1 -0x3368 -0x5e89514c -256 -256 -1 -0 -0 -3 -0x884b -0x7ae88d70 -256 -256 -1 -0 -0 -2 -0xc26c -0xc8a6d751 -256 -256 -1 -0 -0 -1 -0xba42 -0x850256b3 -256 -256 -1 -0 -0 -3 -0x28d6 -0x92a6a1f2 -256 -256 -1 -0 -0 -1 -0xef65 -0x6023357d -256 -256 -0 -0 -0 -3 -0x8002 -0xa2abbe7e -256 -256 -1 -0 -0 -4 -0x7f94 -0x7b89c0b -256 -256 -0 -0 -0 -4 -0x851a -0x28598acd -256 -256 -0 -0 -0 -2 -0x85b0 -0x4e522e89 -256 -256 -0 -0 -0 -4 -0x3ef1 -0x6a29c7c9 -256 -256 -1 -0 -0 -2 -0xe61f -0xd6fdfb1f -256 -256 -0 -0 -0 -1 -0x2c9a -0x41dd841c -256 -256 -0 -0 -0 -1 -0x29d1 -0xc60d1b72 -256 -256 -1 -0 -0 -1 -0xd386 -0x8b7dbd8 -256 -256 -0 -0 -0 -2 -0x4f8e -0xcc74c0f7 -256 -256 -0 -0 -0 -2 -0xaa66 -0x2a6ab62d -256 -256 -0 -0 -0 -4 -0x4313 -0xa2d63818 -256 -256 -1 -0 -0 -2 -0x311e -0xebc43298 -256 -256 -1 -0 -0 -3 -0x1717 -0x2c72c36 -256 -256 -1 -0 -0 -3 -0x3473 -0x3cdc07db -256 -256 -1 -0 -0 -1 -0xf00 -0x3fb14d10 -256 -256 -0 -0 -0 -3 -0x5bb2 -0xcb8c308 -256 -256 -0 -0 -0 -1 -0xe41e -0xe6b9f318 -256 -256 -1 -0 -0 -4 -0x4dee -0x5eb040aa -256 -256 -1 -0 -0 -1 -0x7f58 -0xe2a3adc6 -256 -256 -1 -0 -0 -2 -0x5603 -0xb80dfdc7 -256 -256 -0 -0 -0 -2 -0x9f0e -0x29a66110 -256 -256 -1 -0 -0 -2 -0xa56 -0x1ca300ce -256 -256 -1 -0 -0 -2 -0x5443 -0xd31cfe61 -256 -256 -0 -0 -0 -4 -0xbc8f -0x96d578ed -256 -256 -1 -0 -0 -2 -0xdade -0x5f29610e -256 -256 -0 -0 -0 -1 -0x4cb1 -0x440f2c84 -256 -256 -0 -0 -0 -2 -0xcd8b -0x66d70f4f -256 -256 -0 -0 -0 -1 -0x5659 -0xdf2db8b2 -256 -256 -1 -0 -0 -1 -0x8e3c -0x367583c1 -256 -256 -1 -0 -0 -2 -0x6f50 -0x3650bee5 -256 -256 -1 -0 -0 -1 -0x8842 -0xf4da0017 -256 -256 -1 -0 -0 -3 -0x27fa -0xf0b0c5c5 -256 -256 -0 -0 -0 -1 -0xc23a -0xe8a5d82 -256 -256 -1 -0 -0 -2 -0xeb7e -0xbfe4730e -256 -256 -1 -0 -0 -2 -0xf6b2 -0xb46226a3 -256 -256 -1 -0 -0 -1 -0x3372 -0x8b471ad9 -256 -256 -0 -0 -0 -2 -0x525c -0xcd14ed15 -256 -256 -1 -0 -0 -4 -0x5b58 -0xa731043c -256 -256 -0 -0 -0 -3 -0xa2f7 -0x21c2593a -256 -256 -1 -0 -0 -4 -0xcb26 -0x4cc69c59 -256 -256 -1 -0 -0 -2 -0xaaa6 -0xe8c4c18c -256 -256 -1 -0 -0 -4 -0xdabe -0x53d9d482 -256 -256 -0 -0 -0 -4 -0x4d94 -0xba87e274 -256 -256 -0 -0 -0 -3 -0xb7b0 -0x8be83d31 -256 -256 -0 -0 -0 -2 -0x8456 -0x3bedf866 -256 -256 -1 -0 -0 -3 -0x2830 -0x96158db4 -256 -256 -1 -0 -0 -3 -0x34d9 -0x5b0d9a89 -256 -256 -0 -0 -0 -2 -0xc49d -0x2109b09 -256 -256 -0 -0 -0 -2 -0xec8c -0x2b70c750 -256 -256 -0 -0 -0 -2 -0x104b -0x612aa5d5 -256 -256 -1 -0 -0 -1 -0xe11b -0xcc17ff5a -256 -256 -1 -0 -0 -1 -0xae43 -0xff98b803 -256 -256 -1 -0 -0 -3 -0x7c80 -0x767006ed -256 -256 -1 -0 -0 -3 -0xd273 -0x3fc81cd0 -256 -256 -1 -0 -0 -4 -0x4795 -0x1cdec065 -256 -256 -1 -0 -0 -4 -0x7dcd -0xd349c6a1 -256 -256 -0 -0 -0 -1 -0xb4d3 -0x3a0d4b31 -256 -256 -0 -0 -0 -4 -0x1b44 -0x818de6da -256 -256 -1 -0 -0 -2 -0x51bf -0x8125201c -256 -256 -1 -0 -0 -2 -0xc190 -0x333fa173 -256 -256 -1 -0 -0 -4 -0x1d7d -0xcaa2a8f7 -256 -256 -1 -0 -0 -4 -0x8026 -0x118827db -256 -256 -0 -0 -0 -3 -0xd938 -0x5a553d8c -256 -256 -1 -0 -0 -3 -0x9f55 -0x6897aa86 -256 -256 -0 -0 -0 -3 -0x5ff5 -0x9b70a194 -256 -256 -0 -0 -0 -1 -0x58 -0xe251158a -256 -256 -0 -0 -0 -1 -0x41f4 -0x89058e45 -256 -256 -1 -0 -0 -4 -0xf94b -0xd04f4c11 -256 -256 -0 -0 -0 -2 -0x9b5c -0xbd2c47c -256 -256 -1 -0 -0 -1 -0x4b9d -0x1958610 -256 -256 -1 -0 -0 -1 -0xe16 -0xa8d9c12a -256 -256 -0 -0 -0 -1 -0xf5a2 -0x69573f6d -256 -256 -1 -0 -0 -3 -0x652f -0x47364bb3 -256 -256 -0 -0 -0 -3 -0x1b28 -0x1bc7bc50 -256 -256 -0 -0 -0 -3 -0x33c0 -0xe51ec4c8 -256 -256 -0 -0 -0 -2 -0x7e6a -0x2523e867 -256 -256 -1 -0 -0 -4 -0xb862 -0x9cb1b35a -256 -256 -0 -0 -0 -2 -0x9b97 -0x14aa0801 -256 -256 -1 -0 -0 -2 -0x9093 -0xfe629792 -256 -256 -0 -0 -0 -2 -0x2349 -0x965143c -256 -256 -0 -0 -0 -2 -0xf2af -0xe91c3c2a -256 -256 -0 -0 -0 -4 -0x62f7 -0x2f17c55b -256 -256 -1 -0 -0 -3 -0xbb9c -0x1ef13b3d -256 -256 -0 -0 -0 -3 -0xb641 -0x7d3dcbe0 -256 -256 -1 -0 -0 -2 -0xf404 -0x76020ab5 -256 -256 -1 -0 -0 -4 -0x876a -0xe0467e8c -256 -256 -0 -0 -0 -3 -0x90d3 -0xe564a0c1 -256 -256 -0 -0 -0 -3 -0x742a -0x78becc75 -256 -256 -0 -0 -0 -1 -0x9e2a -0x932879bd -256 -256 -0 -0 -0 -1 -0xb1e -0xf35af42a -256 -256 -1 -0 -0 -4 -0xc607 -0x97556bb3 -256 -256 -1 -0 -0 -2 -0x1e8b -0x3ec0231a -256 -256 -1 -0 -0 -3 -0x4832 -0xd88db292 -256 -256 -0 -0 -0 -3 -0xea59 -0xaae3b7a2 -256 -256 -0 -0 -0 -1 -0xcc0b -0xebc2872e -256 -256 -1 -0 -0 -1 -0x2c30 -0x741a616a -256 -256 -0 -0 -0 -2 -0x995b -0x6598b454 -256 -256 -0 -0 -0 -2 -0x1626 -0xf4663997 -256 -256 -0 -0 -0 -3 -0x6336 -0xf1f5332a -256 -256 -1 -0 -0 -1 -0xb49d -0xacad16ce -256 -256 -0 -0 -0 -4 -0xf0d2 -0xa6552b7c -256 -256 -0 -0 -0 -3 -0xa9eb -0x1ab6c02c -256 -256 -0 -0 -0 -1 -0xaa25 -0x93309a3d -256 -256 -0 -0 -0 -2 -0x4e01 -0x94ee7b4a -256 -256 -0 -0 -0 -4 -0x9d29 -0xff4e0f0a -256 -256 -1 -0 -0 -1 -0x452f -0xc00513e4 -256 -256 -1 -0 -0 -3 -0xebc8 -0x65cea09a -256 -256 -0 -0 -0 -4 -0x4116 -0x6183c1a2 -256 -256 -0 -0 -0 -1 -0x825 -0x3e6736e4 -256 -256 -0 -0 -0 -2 -0xd5d9 -0x3bfb5a2b -256 -256 -0 -0 -0 -3 -0xb97f -0xe7522632 -256 -256 -1 -0 -0 -2 -0x3215 -0x966aed42 -256 -256 -0 -0 -0 -3 -0x207c -0x803fe677 -256 -256 -1 -0 -0 -1 -0x13ea -0xc48a1a47 -256 -256 -0 -0 -0 -1 -0xcd94 -0x4d9f0a53 -256 -256 -1 -0 -0 -4 -0x1bf5 -0xddf8143 -256 -256 -0 -0 -0 -2 -0x4c24 -0x28051db -256 -256 -1 -0 -0 -2 -0x5f75 -0x7c8979c9 -256 -256 -0 -0 -0 -4 -0x8ca1 -0x76c91e7b -256 -256 -1 -0 -0 -1 -0x1f21 -0xd82604e7 -256 -256 -0 -0 -0 -1 -0x670b -0x5257b481 -256 -256 -1 -0 -0 -4 -0x177c -0x110d3654 -256 -256 -1 -0 -0 -3 -0x8c61 -0xd36eca58 -256 -256 -1 -0 -0 -3 -0xfa32 -0x657d9524 -256 -256 -0 -0 -0 -3 -0xcc88 -0x5496b6bd -256 -256 -1 -0 -0 -3 -0x7b37 -0x15c06002 -256 -256 -1 -0 -0 -1 -0x702b -0x85f24637 -256 -256 -0 -0 -0 -4 -0x3bdd -0xfef9d680 -256 -256 -0 -0 -0 -1 -0x9e74 -0x8ca97d86 -256 -256 -0 -0 -0 -4 -0xc4b9 -0x6385b51e -256 -256 -1 -0 -0 -2 -0x6095 -0xa5eac3e3 -256 -256 -1 -0 -0 -4 -0x60f -0x75a6d2f5 -256 -256 -0 -0 -0 -2 -0xfb1e -0xdcbd4d13 -256 -256 -0 -0 -0 -1 -0x140b -0x61a0f01a -256 -256 -0 -0 -0 -4 -0x7ac8 -0xbda62604 -256 -256 -0 -0 -0 -3 -0x3218 -0xb20c2dba -256 -256 -0 -0 -0 -1 -0xcec2 -0xeeff50cc -256 -256 -0 -0 -0 -3 -0xc0e1 -0xf3680b1e -256 -256 -1 -0 -0 -4 -0xeee5 -0xffbba26d -256 -256 -1 -0 -0 -2 -0xeab6 -0xaa072ef3 -256 -256 -1 -0 -0 -4 -0x22cb -0x75ebbe42 -256 -256 -1 -0 -0 -2 -0x53b0 -0x2431376a -256 -256 -0 -0 -0 -1 -0x37cd -0xdc5b3560 -256 -256 -1 -0 -0 -1 -0xa56c -0x4a4a4be3 -256 -256 -0 -0 -0 -2 -0xb992 -0xa89a7400 -256 -256 -1 -0 -0 -3 -0x1592 -0x78c4ef27 -256 -256 -1 -0 -0 -3 -0x1ec2 -0xd0af20c9 -256 -256 -0 -0 -0 -3 -0x4704 -0x39a8d54d -256 -256 -0 -0 -0 -1 -0xd6f3 -0x36d00152 -256 -256 -0 -0 -0 -3 -0xa366 -0x6b546dd1 -256 -256 -1 -0 -0 -1 -0xb6b0 -0xe95c989f -256 -256 -0 -0 -0 -1 -0xed79 -0xd5549b2d -256 -256 -1 -0 -0 -3 -0x9444 -0x49addc6f -256 -256 -0 -0 -0 -2 -0x4c80 -0x7ab15c83 -256 -256 -0 -0 -0 -1 -0x767e -0x65de7583 -256 -256 -1 -0 -0 -1 -0x5a7c -0x52387e2f -256 -256 -0 -0 -0 -2 -0xdb93 -0xbe33517 -256 -256 -1 -0 -0 -1 -0xea03 -0x3cb32730 -256 -256 -0 -0 -0 -3 -0x8f2e -0xb5d1fe3b -256 -256 -0 -0 -0 -4 -0x120e -0x19ff7cd9 -256 -256 -0 -0 -0 -1 -0xbcae -0x49c5d1c5 -256 -256 -0 -0 -0 -2 -0x8072 -0x5b919247 -256 -256 -0 -0 -0 -1 -0x3704 -0x6a48f10a -256 -256 -1 -0 -0 -4 -0x2d6d -0xd3880ad7 -256 -256 -1 -0 -0 -1 -0xcbe1 -0xa4d2a50e -256 -256 -0 -0 -0 -4 -0xd150 -0xe4a64cb0 -256 -256 -1 -0 -0 -3 -0xdef5 -0xcba614d2 -256 -256 -1 -0 -0 -4 -0x4e91 -0x1f7758fb -256 -256 -1 -0 -0 -4 -0x7d7c -0x39fd4629 -256 -256 -1 -0 -0 -2 -0x4f47 -0xedd83308 -256 -256 -0 -0 -0 -1 -0x4a26 -0x914dac00 -256 -256 -0 -0 -0 -1 -0xe88c -0x9cbf0ce7 -256 -256 -1 -0 -0 -2 -0xa789 -0xa1844314 -256 -256 -0 -0 -0 -4 -0xb4e6 -0xd70a8e93 -256 -256 -1 -0 -0 -1 -0xa958 -0x2fc619da -256 -256 -0 -0 -0 -2 -0xce -0xc604ff8a -256 -256 -1 -0 -0 -4 -0x2af -0xf40c586f -256 -256 -1 -0 -0 -4 -0xbdc0 -0x9057659f -256 -256 -0 -0 -0 -2 -0x731 -0x36d928fb -256 -256 -1 -0 -0 -1 -0xd496 -0xc599e616 -256 -256 -0 -0 -0 -3 -0xb3d3 -0xcb20ad9b -256 -256 -0 -0 -0 -2 -0xe406 -0x1220c167 -256 -256 -0 -0 -0 -3 -0x5499 -0xc4758099 -256 -256 -0 -0 -0 -3 -0xb1d6 -0x1016f01a -256 -256 -0 -0 -0 -2 -0x2dce -0x342fc7ee -256 -256 -1 -0 -0 -3 -0xf0d1 -0xd1e28917 -256 -256 -0 -0 -0 -3 -0x7a09 -0x8e68fb43 -256 -256 -1 -0 -0 -1 -0x50c8 -0x712704c1 -256 -256 -0 -0 -0 -2 -0xc8b6 -0x3b6189b7 -256 -256 -1 -0 -0 -1 -0xe095 -0x6e1912f5 -256 -256 -0 -0 -0 -3 -0xdef -0xbcdf5b3 -256 -256 -0 -0 -0 -4 -0x4fdd -0x364cc186 -256 -256 -0 -0 -0 -4 -0x95a1 -0x630c6b21 -256 -256 -1 -0 -0 -1 -0xf3ea -0xb976aa1a -256 -256 -0 -0 -0 -1 -0x2751 -0x4e6398 -256 -256 -0 -0 -0 -1 -0x9031 -0x5063a916 -256 -256 -0 -0 -0 -3 -0xa6e0 -0x984aa07 -256 -256 -0 -0 -0 -2 -0x6083 -0x312cf66b -256 -256 -0 -0 -0 -3 -0xbcb7 -0x6fe6bcc6 -256 -256 -1 -0 -0 -1 -0x9496 -0xa3bef73a -256 -256 -1 -0 -0 -4 -0x2614 -0xabed92d3 -256 -256 -0 -0 -0 -3 -0xd012 -0xe655a774 -256 -256 -0 -0 -0 -1 -0x4948 -0xe07171ae -256 -256 -1 -0 -0 -4 -0x7347 -0x6130ead8 -256 -256 -0 -0 -0 -4 -0xfc40 -0x62e8b621 -256 -256 -0 -0 -0 -4 -0xe2c4 -0xa821d126 -256 -256 -0 -0 -0 -4 -0xca45 -0xc4010246 -256 -256 -1 -0 -0 -4 -0xe06f -0x780b66f3 -256 -256 -0 -0 -0 -4 -0xdfc3 -0x60527aa9 -256 -256 -0 -0 -0 -3 -0x1daa -0x7d8a23fe -256 -256 -0 -0 -0 -1 -0x9146 -0xd49b310a -256 -256 -0 -0 -0 -2 -0xad3 -0x81c6e24a -256 -256 -0 -0 -0 -3 -0x75fa -0xb697d81b -256 -256 -1 -0 -0 -1 -0xca96 -0x8a4b0a62 -256 -256 -1 -0 -0 -1 -0x371a -0x4bd2af6f -256 -256 -0 -0 -0 -3 -0xbed0 -0x6e431c75 -256 -256 -0 -0 -0 -2 -0xe64d -0x909a945a -256 -256 -1 -0 -0 -4 -0xaa88 -0xbe6f2f41 -256 -256 -1 -0 -0 -1 -0x2d -0x9e3e77f1 -256 -256 -1 -0 -0 -2 -0xe0b8 -0xfe92e541 -256 -256 -1 -0 -0 -4 -0xdae9 -0xb5b5fd63 -256 -256 -0 -0 -0 -1 -0x6413 -0xb96d96b0 -256 -256 -0 -0 -0 -1 -0x86bc -0xbc5d3da3 -256 -256 -0 -0 -0 -2 -0xabb0 -0x511401a3 -256 -256 -0 -0 -0 -2 -0x28bf -0x3307b413 -256 -256 -1 -0 -0 -4 -0x54a7 -0xc88adc69 -256 -256 -1 -0 -0 -3 -0x32e1 -0x4ae25aac -256 -256 -0 -0 -0 -3 -0xd5fd -0x6e878378 -256 -256 -1 -0 -0 -1 -0x5690 -0xaf31a4b4 -256 -256 -1 -0 -0 -1 -0xcd06 -0x85ffb338 -256 -256 -1 -0 -0 -4 -0xefd0 -0xd3dad194 -256 -256 -1 -0 -0 -1 -0x8f0c -0xb7e6b1e3 -256 -256 -1 -0 -0 -1 -0x4775 -0xfea6f46f -256 -256 -1 -0 -0 -1 -0x5b01 -0x5a15b5e0 -256 -256 -0 -0 -0 -4 -0x6dcf -0xa17fc7b7 -256 -256 -1 -0 -0 -4 -0xdef4 -0x333d6f6b -256 -256 -0 -0 -0 -1 -0x54fd -0x48aa292e -256 -256 -0 -0 -0 -1 -0xe525 -0x9dcb7ceb -256 -256 -0 -0 -0 -2 -0x7a0c -0xb15eca96 -256 -256 -1 -0 -0 -1 -0xc04c -0xf7731a42 -256 -256 -1 -0 -0 -2 -0x8bcb -0x84aad680 -256 -256 -1 -0 -0 -4 -0xd593 -0xfdd13248 -256 -256 -1 -0 -0 -3 -0xc1a3 -0x76ce7a1c -256 -256 -0 -0 -0 -1 -0x3657 -0x4c0aebe7 -256 -256 -0 -0 -0 -2 -0x33b2 -0x615dc8dc -256 -256 -1 -0 -0 -4 -0xe9b7 -0xe07faf7d -256 -256 -1 -0 -0 -4 -0xbfb1 -0x85fecdcc -256 -256 -0 -0 -0 -3 -0x79d8 -0x8f25fbda -256 -256 -1 -0 -0 -3 -0x9cd9 -0x34736784 -256 -256 -1 -0 -0 -2 -0x1134 -0xf22b46fe -256 -256 -1 -0 -0 -4 -0x3fad -0x7f258aaa -256 -256 -1 -0 -0 -1 -0x4c73 -0x661e0a6d -256 -256 -0 -0 -0 -1 -0xf401 -0x2d7e4177 -256 -256 -0 -0 -0 -2 -0xcb52 -0xe2ef705d -256 -256 -1 -0 -0 -1 -0x5943 -0xa4a1b89b -256 -256 -0 -0 -0 -3 -0xabb1 -0xcea9f730 -256 -256 -0 -0 -0 -4 -0xc145 -0x89504dd7 -256 -256 -1 -0 -0 -4 -0x9f3 -0x8fca0fd1 -256 -256 -0 -0 -0 -1 -0x8571 -0x87067c25 -256 -256 -0 -0 -0 -3 -0xa42a -0xfd3b1e1a -256 -256 -0 -0 -0 -1 -0x76f0 -0x6fe39ae7 -256 -256 -1 -0 -0 -4 -0x28f7 -0x2d509051 -256 -256 -0 -0 -0 -1 -0x8e64 -0x5400e855 -256 -256 -1 -0 -0 -4 -0xde38 -0x2b945e32 -256 -256 -0 -0 -0 -2 -0xbe40 -0x7813e4ec -256 -256 -0 -0 -0 -1 -0x4026 -0x4cbd8b93 -256 -256 -1 -0 -0 -3 -0x3476 -0xbc3f2678 -256 -256 -0 -0 -0 -2 -0xa8a7 -0xdb7a2132 -256 -256 -1 -0 -0 -3 -0x66b2 -0x7e36ded5 -256 -256 -1 -0 -0 -4 -0x22 -0xd4fdeb10 -256 -256 -1 -0 -0 -1 -0xb19b -0xfd4ea378 -256 -256 -1 -0 -0 -1 -0xee87 -0x297c38f6 -256 -256 -0 -0 -0 -2 -0x7773 -0x7cfd24e6 -256 -256 -0 -0 -0 -2 -0xaf6a -0xe0642cb9 -256 -256 -1 -0 -0 -1 -0xaf9 -0xe3d58f3d -256 -256 -1 -0 -0 -4 -0x3813 -0x5a4f6130 -256 -256 -1 -0 -0 -1 -0x2496 -0x74af7f76 -256 -256 -1 -0 -0 -3 -0x815f -0x3bbfa61d -256 -256 -1 -0 -0 -4 -0x8d8a -0x9a5e1dc7 -256 -256 -1 -0 -0 -2 -0x8445 -0x4e30b5d9 -256 -256 -1 -0 -0 -3 -0x23c1 -0x9311bdeb -256 -256 -1 -0 -0 -3 -0x216d -0xb3db89b2 -256 -256 -1 -0 -0 -4 -0x1edc -0xe258f247 -256 -256 -0 -0 -0 -1 -0xb0a7 -0xbd4ab1db -256 -256 -0 -0 -0 -4 -0xc1c5 -0xdd9e65b6 -256 -256 -1 -0 -0 -2 -0x8d36 -0x353f66b0 -256 -256 -0 -0 -0 -4 -0x1c44 -0xa10be735 -256 -256 -1 -0 -0 -4 -0x1ec7 -0x1341bb2e -256 -256 -0 -0 -0 -4 -0xd653 -0x22ee48bb -256 -256 -1 -0 -0 -1 -0xa4d0 -0x1684d28a -256 -256 -1 -0 -0 -3 -0x94f1 -0x98358c59 -256 -256 -0 -0 -0 -2 -0x243d -0xf2ba106b -256 -256 -0 -0 -0 -3 -0x515a -0xea72b410 -256 -256 -0 -0 -0 -4 -0x736a -0xfbe52986 -256 -256 -1 -0 -0 -2 -0x87ce -0x743bf4f7 -256 -256 -1 -0 -0 -1 -0x1579 -0x126e8012 -256 -256 -0 -0 -0 -3 -0x507 -0x3714d7f9 -256 -256 -1 -0 -0 -1 -0x2113 -0xf55ecbe7 -256 -256 -0 -0 -0 -2 -0xc4dd -0x5a45a97e -256 -256 -1 -0 -0 -1 -0x58f2 -0xf8d71e54 -256 -256 -0 -0 -0 -4 -0x2c49 -0x261a2e60 -256 -256 -1 -0 -0 -1 -0x86bb -0xe5800200 -256 -256 -0 -0 -0 -4 -0xbd93 -0x9e4e804d -256 -256 -0 -0 -0 -2 -0x3f51 -0x7e474cf7 -256 -256 -0 -0 -0 -4 -0xdbc1 -0x7a5cd4be -256 -256 -1 -0 -0 -4 -0xaaf5 -0xad0152fd -256 -256 -1 -0 -0 -3 -0xb5d7 -0x7cf27b1a -256 -256 -0 -0 -0 -3 -0xd9dc -0xe8276b31 -256 -256 -1 -0 -0 -1 -0x2242 -0x9d814c00 -256 -256 -0 -0 -0 -4 -0x9072 -0x6c78e95b -256 -256 -0 -0 -0 -1 -0xd559 -0xc5b661e4 -256 -256 -1 -0 -0 -3 -0x75a2 -0x2b90e20c -256 -256 -0 -0 -0 -2 -0xe5d -0x682a7723 -256 -256 -1 -0 -0 -4 -0xd849 -0xed8935db -256 -256 -0 -0 -0 -2 -0x2153 -0x6ec6fc88 -256 -256 -0 -0 -0 -1 -0x2dba -0x42733688 -256 -256 -0 -0 -0 -3 -0x1e3c -0x78e60cbc -256 -256 -0 -0 -0 -1 -0xa407 -0x936acd17 -256 -256 -0 -0 -0 -2 -0x243b -0x28a1a8b1 -256 -256 -0 -0 -0 -2 -0x10c4 -0xe8878c9c -256 -256 -1 -0 -0 -4 -0xe450 -0x9950cf1d -256 -256 -1 -0 -0 -4 -0xa631 -0x54455578 -256 -256 -0 -0 -0 -2 -0x1382 -0x8ec410e1 -256 -256 -0 -0 -0 -3 -0xe586 -0xadc1de5d -256 -256 -1 -0 -0 -4 -0x8344 -0x6cf06aa0 -256 -256 -0 -0 -0 -3 -0x5263 -0x278a44fc -256 -256 -0 -0 -0 -4 -0x9e1f -0x8ef3e25b -256 -256 -0 -0 -0 -2 -0x3d0f -0x2ec5f45f -256 -256 -1 -0 -0 -3 -0xfc0 -0xdd791e45 -256 -256 -1 -0 -0 -3 -0x16fc -0xe251b7a -256 -256 -1 -0 -0 -4 -0x6037 -0xf7769309 -256 -256 -1 -0 -0 -4 -0xf5f2 -0xf19cae40 -256 -256 -1 -0 -0 -1 -0xae39 -0x1f273898 -256 -256 -0 -0 -0 -4 -0x6e2a -0xf3d8d6ab -256 -256 -1 -0 -0 -2 -0xd89a -0x1fefff32 -256 -256 -0 -0 -0 -1 -0xe188 -0x9b58b4ae -256 -256 -0 -0 -0 -3 -0xc551 -0x811528ed -256 -256 -0 -0 -0 -3 -0x53c9 -0xa58290b1 -256 -256 -1 -0 -0 -4 -0x74ea -0xb752d3f -256 -256 -1 -0 -0 -3 -0xdb4d -0x3690e6ea -256 -256 -1 -0 -0 -3 -0x7bb2 -0xbc75c79e -256 -256 -0 -0 -0 -4 -0x30b -0xc2686a43 -256 -256 -0 -0 -0 -3 -0xae82 -0x33bebfc4 -256 -256 -1 -0 -0 -3 -0x508d -0x5b8966fe -256 -256 -1 -0 -0 -1 -0x5ef6 -0xfee4b7c2 -256 -256 -0 -0 -0 -3 -0xb30 -0x3efe2501 -256 -256 -0 -0 -0 -3 -0x9c1b -0x9e498aa4 -256 -256 -1 -0 -0 -2 -0x7ee6 -0x762bfb1b -256 -256 -1 -0 -0 -1 -0xac34 -0x7df15a01 -256 -256 -1 -0 -0 -2 -0xc9ac -0x531b0914 -256 -256 -0 -0 -0 -4 -0x7b2a -0xf00a9617 -256 -256 -0 -0 -0 -2 -0xb5a -0x7b5e3b18 -256 -256 -1 -0 -0 -3 -0xe759 -0x1e6a70fc -256 -256 -1 -0 -0 -1 -0x962e -0x7dc084e3 -256 -256 -1 -0 -0 -2 -0x9ede -0xe53f74bc -256 -256 -1 -0 -0 -2 -0xb689 -0x87aa2a48 -256 -256 -0 -0 -0 -4 -0x728f -0xe7926386 -256 -256 -0 -0 -0 -4 -0x6498 -0x2311e55e -256 -256 -0 -0 -0 -2 -0xe791 -0x34c05963 -256 -256 -1 -0 -0 -2 -0x1f9 -0x8afb8e4c -256 -256 -1 -0 -0 -3 -0x8e8c -0x1fd0f808 -256 -256 -1 -0 -0 -3 -0x287b -0x7aa109bb -256 -256 -0 -0 -0 -4 -0xc628 -0xa348c6df -256 -256 -1 -0 -0 -2 -0x12c3 -0x9b6fee8d -256 -256 -0 -0 -0 -3 -0x24c6 -0x88f7f18b -256 -256 -0 -0 -0 -4 -0xe856 -0xfb75a28e -256 -256 -0 -0 -0 -4 -0x3c08 -0x41ccfa92 -256 -256 -1 -0 -0 -1 -0x41e9 -0x19559672 -256 -256 -1 -0 -0 -2 -0x6916 -0xbd26d95 -256 -256 -0 -0 -0 -1 -0x1a64 -0x573a95e4 -256 -256 -1 -0 -0 -1 -0xc8a8 -0x736d0366 -256 -256 -0 -0 -0 -2 -0x6594 -0x7dfbd4fe -256 -256 -0 -0 -0 -4 -0x1cb0 -0xbafb6281 -256 -256 -1 -0 -0 -2 -0xdff4 -0x12bb9ebe -256 -256 -1 -0 -0 -2 -0x9f6c -0xffe49d5e -256 -256 -1 -0 -0 -1 -0x5af1 -0x8e5706ef -256 -256 -1 -0 -0 -1 -0x3d23 -0xe621808f -256 -256 -1 -0 -0 -2 -0x417d -0x6fb06622 -256 -256 -0 -0 -0 -2 -0xb0cf -0xe477f56d -256 -256 -0 -0 -0 -4 -0x9368 -0x365ac56 -256 -256 -1 -0 -0 -1 -0xce48 -0x12b71478 -256 -256 -0 -0 -0 -3 -0x5670 -0xd6ede280 -256 -256 -1 -0 -0 -4 -0xd229 -0xead33dd2 -256 -256 -1 -0 -0 -2 -0xc427 -0x90ef995c -256 -256 -1 -0 -0 -2 -0x7937 -0x1751759f -256 -256 -0 -0 -0 -3 -0xa7bf -0xb78c54c3 -256 -256 -1 -0 -0 -2 -0xdce0 -0x1fa33d3e -256 -256 -0 -0 -0 -3 -0x96e2 -0xa3a252ed -256 -256 -1 -0 -0 -4 -0x4905 -0x492a7a36 -256 -256 -0 -0 -0 -4 -0xb -0x29555e59 -256 -256 -0 -0 -0 -3 -0x6423 -0xe986325d -256 -256 -0 -0 -0 -3 -0x5b2c -0xf324c3c4 -256 -256 -1 -0 -0 -4 -0x85f8 -0xb199c6a5 -256 -256 -1 -0 -0 -2 -0xeaa -0xcd5caaaa -256 -256 -0 -0 -0 -4 -0x833b -0x56903a80 -256 -256 -1 -0 -0 -1 -0x8cf8 -0xea243ae9 -256 -256 -1 -0 -0 -4 -0x73ca -0x291636e0 -256 -256 -1 -0 -0 -3 -0x7a5e -0x62949877 -256 -256 -1 -0 -0 -3 -0x727 -0x22e86d90 -256 -256 -1 -0 -0 -1 -0xc0b7 -0x22fcccee -256 -256 -1 -0 -0 -4 -0x2cb9 -0x56216df1 -256 -256 -1 -0 -0 -1 -0x6635 -0x908172da -256 -256 -0 -0 -0 -1 -0x9d78 -0xfb65c228 -256 -256 -0 -0 -0 -1 -0xc9a9 -0xa6a5bfa5 -256 -256 -0 -0 -0 -3 -0xd5e9 -0x86d667ce -256 -256 -0 -0 -0 -3 -0xf5b2 -0xdddff5f7 -256 -256 -0 -0 -0 -2 -0x5e46 -0x4260187e -256 -256 -1 -0 -0 -4 -0x3661 -0x591f5c70 -256 -256 -0 -0 -0 -4 -0x2c6 -0x6d6eec6d -256 -256 -0 -0 -0 -1 -0x109a -0x64817311 -256 -256 -1 -0 -0 -4 -0x4038 -0x30fb537a -256 -256 -0 -0 -0 -2 -0x426b -0xc55633c7 -256 -256 -0 -0 -0 -4 -0x7a04 -0x1eff249e -256 -256 -1 -0 -0 -1 -0x1531 -0x7b6d356 -256 -256 -0 -0 -0 -4 -0x39bd -0xc5192e7f -256 -256 -0 -0 -0 -4 -0x71b7 -0x46fb6033 -256 -256 -1 -0 -0 -4 -0xa391 -0x3bf0065d -256 -256 -0 -0 -0 -3 -0x54ca -0xa508caa3 -256 -256 -1 -0 -0 -2 -0x9be3 -0x653000e5 -256 -256 -0 -0 -0 -2 -0x7ab0 -0xbe12e755 -256 -256 -0 -0 -0 -3 -0x595a -0xe46e4efe -256 -256 -0 -0 -0 -1 -0xcca -0x7f4986bd -256 -256 -1 -0 -0 -1 -0x6dac -0x7c996c5b -256 -256 -1 -0 -0 -4 -0xc9bb -0x6d88638e -256 -256 -0 -0 -0 -4 -0x5d34 -0xd4857dc2 -256 -256 -1 -0 -0 -3 -0xbb2 -0x573ca19 -256 -256 -1 -0 -0 -3 -0x8535 -0xd98a7855 -256 -256 -0 -0 -0 -2 -0xa766 -0xdf1b31a4 -256 -256 -0 -0 -0 -2 -0xd98b -0x16be53be -256 -256 -1 -0 -0 -2 -0x47c1 -0x94d1fe0b -256 -256 -1 -0 -0 -2 -0x7e44 -0xeec087e0 -256 -256 -1 -0 -0 -1 -0x7295 -0x5bda969b -256 -256 -1 -0 -0 -1 -0x34b0 -0x745ae255 -256 -256 -1 -0 -0 -4 -0x6575 -0xeeca1acc -256 -256 -1 -0 -0 -1 -0x4d24 -0x78f83aa0 -256 -256 -0 -0 -0 -2 -0xb57c -0x4eb7e57a -256 -256 -1 -0 -0 -3 -0x85d1 -0x950fe8dc -256 -256 -0 -0 -0 -2 -0x7251 -0x401cec1f -256 -256 -0 -0 -0 -4 -0xaba3 -0x7ca5cb3e -256 -256 -1 -0 -0 -2 -0xbaff -0xdf3cb835 -256 -256 -1 -0 -0 -1 -0xb65a -0x51c526d0 -256 -256 -0 -0 -0 -2 -0x77d -0x2d3444eb -256 -256 -1 -0 -0 -4 -0x9f7 -0x61a9132a -256 -256 -0 -0 -0 -4 -0xd1d5 -0x8db000c8 -256 -256 -0 -0 -0 -1 -0x7a0b -0xd26105dd -256 -256 -0 -0 -0 -3 -0x9fa0 -0x110fe76f -256 -256 -0 -0 -0 -4 -0xc877 -0x647d13bc -256 -256 -0 -0 -0 -3 -0x5eae -0xbcd2c41c -256 -256 -0 -0 -0 -2 -0x207d -0x1eae08e6 -256 -256 -1 -0 -0 -4 -0xe4f4 -0x4ead2b69 -256 -256 -0 -0 -0 -1 -0xaf54 -0xef4f5f05 -256 -256 -0 -0 -0 -2 -0xb750 -0xb8f23e72 -256 -256 -0 -0 -0 -3 -0x5420 -0x26a793bc -256 -256 -0 -0 -0 -4 -0x8c97 -0x5de0c2ce -256 -256 -1 -0 -0 -4 -0x4d37 -0x335ed750 -256 -256 -0 -0 -0 -1 -0xb482 -0xf4098641 -256 -256 -1 -0 -0 -3 -0x70a1 -0xf2ad5996 -256 -256 -0 -0 -0 -2 -0xc8fb -0xa9e3c7b2 -256 -256 -1 -0 -0 -1 -0xb4cd -0x61525c0f -256 -256 -1 -0 -0 -2 -0x1ebe -0x6d0780ab -256 -256 -0 -0 -0 -3 -0x3558 -0x62474376 -256 -256 -0 -0 -0 -1 -0x4f02 -0x5a5b64ff -256 -256 -1 -0 -0 -3 -0x49e9 -0xd05e12ee -256 -256 -0 -0 -0 -1 -0xe2af -0x8202cea3 -256 -256 -1 -0 -0 -2 -0xf8fa -0x67eba9ca -256 -256 -1 -0 -0 -3 -0xbe00 -0x9871a7b5 -256 -256 -0 -0 -0 -2 -0x4085 -0x672229ea -256 -256 -0 -0 -0 -3 -0xc4fa -0x2b7d448a -256 -256 -0 -0 -0 -1 -0x9bff -0xaadd8529 -256 -256 -0 -0 -0 -2 -0xc72c -0x23f8345d -256 -256 -0 -0 -0 -3 -0xbb02 -0x38f8c8a6 -256 -256 -1 -0 -0 -3 -0x5a14 -0xde7cf2ea -256 -256 -1 -0 -0 -1 -0x23a8 -0x724d1a08 -256 -256 -1 -0 -0 -4 -0x33ac -0x668b8a57 -256 -256 -0 -0 -0 -4 -0x9461 -0xc03b2288 -256 -256 -0 -0 -0 -1 -0x7249 -0xd8863eeb -256 -256 -1 -0 -0 -1 -0x5455 -0x7d10bf89 -256 -256 -0 -0 -0 -3 -0xb88c -0x4c60cfdb -256 -256 -1 -0 -0 -1 -0x53c7 -0x1383898d -256 -256 -1 -0 -0 -4 -0xbdca -0x730cb5f6 -256 -256 -0 -0 -0 -4 -0x73e9 -0x423b5c31 -256 -256 -1 -0 -0 -2 -0xb8c9 -0x268413ca -256 -256 -1 -0 -0 -1 -0x9132 -0x9f472940 -256 -256 -1 -0 -0 -2 -0x4a4c -0x8b930ed8 -256 -256 -1 -0 -0 -3 -0xc4fc -0x6b6f51fc -256 -256 -1 -0 -0 -3 -0x1836 -0x8d5a5826 -256 -256 -1 -0 -0 -3 -0xdf15 -0xbbb7f662 -256 -256 -0 -0 -0 -2 -0x771e -0x2c6db1b6 -256 -256 -1 -0 -0 -3 -0xff3d -0x7de921d3 -256 -256 -0 -0 -0 -4 -0xe932 -0x864f6787 -256 -256 -0 -0 -0 -4 -0x23c -0xa21a7a84 -256 -256 -1 -0 -0 -2 -0x3570 -0x151f4760 -256 -256 -1 -0 -0 -4 -0xc238 -0x8594cd45 -256 -256 -1 -0 -0 -3 -0xf355 -0x2388522f -256 -256 -1 -0 -0 -3 -0xc984 -0x92b5bb45 -256 -256 -0 -0 -0 -4 -0xb28a -0xe4265b04 -256 -256 -1 -0 -0 -1 -0xd8ff -0x6f8b1e26 -256 -256 -0 -0 -0 -1 -0xdb78 -0x9e604a5d -256 -256 -0 -0 -0 -1 -0x2ca9 -0xc533635a -256 -256 -0 -0 -0 -1 -0x3f72 -0xe9265946 -256 -256 -1 -0 -0 -2 -0x9c09 -0xabe22a58 -256 -256 -1 -0 -0 -3 -0xf95c -0xc3b30d11 -256 -256 -1 -0 -0 -2 -0x291a -0x7dd3134b -256 -256 -1 -0 -0 -2 -0x48f7 -0xc3cf155a -256 -256 -0 -0 -0 -4 -0xbc82 -0xf72e898e -256 -256 -1 -0 -0 -2 -0x7d14 -0x1e6716e8 -256 -256 -1 -0 -0 -3 -0xbc96 -0x5b0f4cf6 -256 -256 -1 -0 -0 -2 -0x56f3 -0xd560540d -256 -256 -1 -0 -0 -1 -0xd84e -0x8840b08d -256 -256 -0 -0 -0 -4 -0xbbb8 -0xc874cfae -256 -256 -1 -0 -0 -3 -0xed17 -0x8a390bff -256 -256 -1 -0 -0 -3 -0x7481 -0x1d6accad -256 -256 -0 -0 -0 -4 -0x1a42 -0x3a63b5ba -256 -256 -0 -0 -0 -4 -0xf0d6 -0x1deb9eb3 -256 -256 -0 -0 -0 -4 -0xff2d -0x541fcfbc -256 -256 -0 -0 -0 -1 -0x305f -0xc8cc7a8b -256 -256 -0 -0 -0 -1 -0xf992 -0xb3bae158 -256 -256 -1 -0 -0 -4 -0x227d -0x30b9713a -256 -256 -1 -0 -0 -4 -0x7ffb -0x8419ffc6 -256 -256 -1 -0 -0 -2 -0x2fdf -0xff2edd24 -256 -256 -0 -0 -0 -3 -0x1e69 -0x13d1a9ad -256 -256 -1 -0 -0 -4 -0x5728 -0xff233c0b -256 -256 -1 -0 -0 -4 -0x6750 -0xaf523f46 -256 -256 -0 -0 -0 -2 -0x3f42 -0x79561937 -256 -256 -0 -0 -0 -4 -0x8164 -0x7488c8bd -256 -256 -0 -0 -0 -3 -0xe94e -0x5b8067b8 -256 -256 -1 -0 -0 -2 -0x2234 -0xd7c5a427 -256 -256 -0 -0 -0 -3 -0x14b8 -0x2745f971 -256 -256 -1 -0 -0 -1 -0xfd6d -0x4a66f89e -256 -256 -0 -0 -0 -1 -0x9cbd -0x3d3ad78d -256 -256 -1 -0 -0 -3 -0xd40c -0x91b61ff4 -256 -256 -1 -0 -0 -3 -0xacb6 -0x28ceacae -256 -256 -0 -0 -0 -4 -0x6f75 -0xacba5cf5 -256 -256 -0 -0 -0 -2 -0xff69 -0xe755c06 -256 -256 -0 -0 -0 -2 -0x3877 -0x61195e84 -256 -256 -0 -0 -0 -2 -0xe5f3 -0x57335ec4 -256 -256 -1 -0 -0 -2 -0x8f54 -0x96779625 -256 -256 -0 -0 -0 -4 -0x4605 -0x7b4109d -256 -256 -1 -0 -0 -4 -0x7ef0 -0x92016640 -256 -256 -0 -0 -0 -1 -0x4805 -0x328282b2 -256 -256 -0 -0 -0 -1 -0xee4b -0x30aada52 -256 -256 -0 -0 -0 -2 -0xa1ef -0xc6f030a8 -256 -256 -1 -0 -0 -2 -0x5681 -0x804b2f9e -256 -256 -0 -0 -0 -4 -0x70e3 -0xdc56a138 -256 -256 -1 -0 -0 -3 -0x8e26 -0xb364678d -256 -256 -1 -0 -0 -4 -0x6fbe -0x6681ca03 -256 -256 -1 -0 -0 -4 -0xafd1 -0x6a1465e5 -256 -256 -0 -0 -0 -2 -0x6027 -0xaed9ed72 -256 -256 -0 -0 -0 -2 -0xac01 -0xe1ee9cda -256 -256 -0 -0 -0 -3 -0x1139 -0x6f4cf139 -256 -256 -0 -0 -0 -2 -0x707f -0x999f8929 -256 -256 -1 -0 -0 -4 -0xfdaf -0xccb724fa -256 -256 -0 -0 -0 -4 -0x4d3c -0x2f3e8393 -256 -256 -1 -0 -0 -2 -0x2308 -0x43383ab3 -256 -256 -0 -0 -0 -1 -0x2820 -0xf660a96e -256 -256 -0 -0 -0 -3 -0xd48 -0xe3321788 -256 -256 -0 -0 -0 -3 -0xf6f -0x1cfb94f8 -256 -256 -1 -0 -0 -4 -0x8788 -0xb49ba704 -256 -256 -0 -0 -0 -1 -0x53eb -0x5c70d52e -256 -256 -0 -0 -0 -3 -0x588 -0xe5dd94ee -256 -256 -1 -0 -0 -4 -0xc2c2 -0xb7bcffe3 -256 -256 -0 -0 -0 -3 -0x5f17 -0xfd5cfa78 -256 -256 -1 -0 -0 -3 -0x43dd -0x13485535 -256 -256 -0 -0 -0 -1 -0x41c6 -0x48ff20e5 -256 -256 -1 -0 -0 -4 -0x7fdb -0x2721c5dd -256 -256 -0 -0 -0 -3 -0x3538 -0xc7075326 -256 -256 -0 -0 -0 -2 -0x5aae -0xce3e70b1 -256 -256 -1 -0 -0 -1 -0x6797 -0x3e028ff6 -256 -256 -1 -0 -0 -2 -0x9838 -0xe39d8e82 -256 -256 -0 -0 -0 -2 -0x2df4 -0x43d26614 -256 -256 -0 -0 -0 -3 -0x9ae5 -0xf4e71f92 -256 -256 -1 -0 -0 -4 -0xa9d6 -0xda0a574c -256 -256 -0 -0 -0 -3 -0xe6ad -0x200450c7 -256 -256 -0 -0 -0 -3 -0xbdd -0xb4107ac9 -256 -256 -0 -0 -0 -2 -0x201e -0x671200fa -256 -256 -0 -0 -0 -4 -0xdf52 -0xe867c3fa -256 -256 -1 -0 -0 -1 -0x157f -0xbac5fdd8 -256 -256 -1 -0 -0 -1 -0x6e97 -0xe2845e79 -256 -256 -0 -0 -0 -3 -0x2c4 -0x4e9fe9ec -256 -256 -0 -0 -0 -4 -0xb5b5 -0xd531de4a -256 -256 -1 -0 -0 -1 -0xf069 -0xf301633e -256 -256 -1 -0 -0 -4 -0x64f -0x95a36dd0 -256 -256 -1 -0 -0 -2 -0xe4c0 -0xc2855c85 -256 -256 -1 -0 -0 -4 -0x45e -0x7102fd29 -256 -256 -0 -0 -0 -3 -0xca3c -0x5d2cff22 -256 -256 -1 -0 -0 -3 -0x7f8a -0x827ef39c -256 -256 -1 -0 -0 -1 -0xd328 -0xf60b7a8d -256 -256 -1 -0 -0 -2 -0x5d88 -0x308d48ec -256 -256 -1 -0 -0 -1 -0xb620 -0x635b3003 -256 -256 -0 -0 -0 -3 -0x8d82 -0x9f50dbe9 -256 -256 -1 -0 -0 -3 -0xf79 -0xc7a9ae44 -256 -256 -0 -0 -0 -4 -0xe4b8 -0x11325228 -256 -256 -0 -0 -0 -2 -0x10ec -0xb43b938b -256 -256 -0 -0 -0 -2 -0xd900 -0x892cc125 -256 -256 -1 -0 -0 -2 -0x844f -0x2885e3 -256 -256 -0 -0 -0 -1 -0xa1f0 -0x5cee72e -256 -256 -1 -0 -0 -4 -0xe4ea -0xb2ef4272 -256 -256 -1 -0 -0 -3 -0x7c6a -0x1584d473 -256 -256 -1 -0 -0 -1 -0xf4f6 -0x3fe6a3ac -256 -256 -0 -0 -0 -3 -0xf2b5 -0x211cca3b -256 -256 -0 -0 -0 -4 -0xa0c6 -0x5c7d3fd4 -256 -256 -1 -0 -0 -1 -0x1d9b -0x1e5aba35 -256 -256 -0 -0 -0 -1 -0x6f08 -0xbc936aad -256 -256 -0 -0 -0 -4 -0x243 -0x46a233de -256 -256 -0 -0 -0 -1 -0xbf52 -0xa2fdd18c -256 -256 -0 -0 -0 -2 -0xc21c -0x514e40fe -256 -256 -0 -0 -0 -1 -0xb92b -0x88e0f4c8 -256 -256 -1 -0 -0 -4 -0xca5d -0x74b34c4f -256 -256 -1 -0 -0 -3 -0x5647 -0x187d77cd -256 -256 -0 -0 -0 -3 -0x73d6 -0xeb99dbf3 -256 -256 -1 -0 -0 -1 -0x6163 -0xc623deec -256 -256 -1 -0 -0 -2 -0xaf30 -0xddf37d2b -256 -256 -0 -0 -0 -2 -0x9e90 -0xb21b425f -256 -256 -0 -0 -0 -1 -0xb0c4 -0xe0a6952b -256 -256 -1 -0 -0 -3 -0x9e7a -0x8e1aac0 -256 -256 -0 -0 -0 -2 -0x39be -0x9ea41696 -256 -256 -1 -0 -0 -3 -0xde0 -0x3d42081e -256 -256 -0 -0 -0 -4 -0x4882 -0xcf6a23ba -256 -256 -0 -0 -0 -1 -0xfa47 -0x6e1cfd3c -256 -256 -1 -0 -0 -2 -0x8afc -0x51d61c05 -256 -256 -0 -0 -0 -2 -0x5ff2 -0x15828f7d -256 -256 -0 -0 -0 -3 -0x8daa -0x96e361b4 -256 -256 -0 -0 -0 -2 -0x42f9 -0x60221dc3 -256 -256 -1 -0 -0 -3 -0xb717 -0xef72306e -256 -256 -0 -0 -0 -1 -0xfca1 -0xf11cb99b -256 -256 -1 -0 -0 -3 -0x362a -0x5d957565 -256 -256 -0 -0 -0 -4 -0x2bb5 -0x9521e459 -256 -256 -0 -0 -0 -1 -0x7f45 -0x2052139b -256 -256 -0 -0 -0 -1 -0xa988 -0x6bd132da -256 -256 -0 -0 -0 -1 -0x4009 -0x74586ea8 -256 -256 -0 -0 -0 -3 -0x8cf2 -0x581a33fd -256 -256 -0 -0 -0 -4 -0x549 -0x1241563a -256 -256 -1 -0 -0 -2 -0x7776 -0x86013ff7 -256 -256 -0 -0 -0 -2 -0x5a30 -0xfcb4f56e -256 -256 -1 -0 -0 -2 -0x87fb -0x31c6f2a5 -256 -256 -1 -0 -0 -1 -0xe56a -0x49c30b3b -256 -256 -1 -0 -0 -1 -0x8f5c -0x926167e -256 -256 -0 -0 -0 -4 -0xcd56 -0x55562d81 -256 -256 -1 -0 -0 -4 -0x832e -0x3f2e9a0f -256 -256 -1 -0 -0 -1 -0xc3a3 -0xda5765a6 -256 -256 -1 -0 -0 -3 -0xe229 -0x4e0d1a16 -256 -256 -1 -0 -0 -1 -0x793d -0x69a6a0f5 -256 -256 -0 -0 -0 -2 -0x3479 -0x4412859a -256 -256 -1 -0 -0 -1 -0x7871 -0xc28ad6f5 -256 -256 -0 -0 -0 -1 -0xcf3 -0x5c1bc59a -256 -256 -1 -0 -0 -3 -0x6525 -0x9109e503 -256 -256 -1 -0 -0 -1 -0x4482 -0xdb40e381 -256 -256 -0 -0 -0 -1 -0x6ebe -0x925bf416 -256 -256 -1 -0 -0 -2 -0x9e4c -0x29c02490 -256 -256 -1 -0 -0 -1 -0xff09 -0x84219dbd -256 -256 -1 -0 -0 -2 -0x33d1 -0x100987e6 -256 -256 -1 -0 -0 -3 -0x8689 -0x3f6d1adb -256 -256 -0 -0 -0 -1 -0x3a5b -0xa0361b8b -256 -256 -0 -0 -0 -3 -0x6c99 -0xa8167cdb -256 -256 -0 -0 -0 -3 -0x9800 -0xa58e8b55 -256 -256 -0 -0 -0 -1 -0xe08c -0x128c2fb -256 -256 -0 -0 -0 -1 -0x782f -0xcf3d5ba0 -256 -256 -1 -0 -0 -3 -0x3bbb -0xb7afc96 -256 -256 -0 -0 -0 -3 -0x5f93 -0x3b3230a0 -256 -256 -1 -0 -0 -3 -0x8835 -0xb4e3f5c8 -256 -256 -0 -0 -0 -1 -0x4ba0 -0x90950d46 -256 -256 -0 -0 -0 -4 -0x3f95 -0x49e25de7 -256 -256 -1 -0 -0 -1 -0xe1eb -0xdca67906 -256 -256 -0 -0 -0 -1 -0xcf77 -0x694e560b -256 -256 -0 -0 -0 -1 -0xf9bb -0x88f2e573 -256 -256 -1 -0 -0 -2 -0x30aa -0x1bd5b5ed -256 -256 -0 -0 -0 -4 -0xb9cc -0x8a9925d5 -256 -256 -0 -0 -0 -3 -0x4c3d -0x1d1aa7d7 -256 -256 -1 -0 -0 -2 -0xb096 -0x118b1c99 -256 -256 -0 -0 -0 -4 -0xf46b -0x68395485 -256 -256 -1 -0 -0 -2 -0x9b51 -0x152839a3 -256 -256 -1 -0 -0 -1 -0x50c2 -0xcc7e891e -256 -256 -1 -0 -0 -4 -0x425e -0xc93ca7d1 -256 -256 -0 -0 -0 -4 -0x5bcb -0xc6635026 -256 -256 -1 -0 -0 -1 -0xd47d -0x5ea13c30 -256 -256 -1 -0 -0 -1 -0x6e4a -0xb14b4591 -256 -256 -0 -0 -0 -3 -0x431c -0x5b63838b -256 -256 -0 -0 -0 -3 -0xcbec -0x19068a7d -256 -256 -0 -0 -0 -4 -0x887d -0x4591edcc -256 -256 -1 -0 -0 -4 -0xa0a3 -0xda19f527 -256 -256 -1 -0 -0 -2 -0xfa0c -0xfd554867 -256 -256 -1 -0 -0 -4 -0x8c47 -0xebc35de -256 -256 -1 -0 -0 -3 -0x845c -0x7c81cb28 -256 -256 -1 -0 -0 -1 -0x594c -0x2cc49a4a -256 -256 -0 -0 -0 -4 -0xf2dd -0x8c4b484e -256 -256 -0 -0 -0 -4 -0x525b -0x91c0efec -256 -256 -1 -0 -0 -3 -0xca59 -0x9ed828d7 -256 -256 -0 -0 -0 -2 -0x9a8b -0x1acf29cc -256 -256 -1 -0 -0 -2 -0xaab3 -0x9809bf36 -256 -256 -0 -0 -0 -4 -0x6b71 -0xedd79045 -256 -256 -1 -0 -0 -3 -0x65e6 -0xcbf0eea7 -256 -256 -1 -0 -0 -4 -0x5fa -0x9cafebaf -256 -256 -0 -0 -0 -4 -0x2da5 -0x30604854 -256 -256 -1 -0 -0 -1 -0xe77d -0x620c9efc -256 -256 -0 -0 -0 -4 -0x82e3 -0xd367373f -256 -256 -0 -0 -0 -4 -0x336a -0x792f5dd3 -256 -256 -1 -0 -0 -2 -0xca99 -0xa59524f0 -256 -256 -1 -0 -0 -4 -0xb655 -0x2d67b401 -256 -256 -0 -0 -0 -4 -0x2e6d -0x569cefc0 -256 -256 -1 -0 -0 -1 -0x2e00 -0xee3670a3 -256 -256 -0 -0 -0 -3 -0x4da4 -0xde1cf6c2 -256 -256 -1 -0 -0 -2 -0x92d5 -0x725108f3 -256 -256 -0 -0 -0 -2 -0xeb0b -0x6446c117 -256 -256 -0 -0 -0 -4 -0x40c0 -0xdef6d60d -256 -256 -1 -0 -0 -2 -0x3b89 -0xbbe6760d -256 -256 -0 -0 -0 -1 -0x2725 -0xa8f61480 -256 -256 -1 -0 -0 -3 -0x7565 -0xeca326b5 -256 -256 -0 -0 -0 -4 -0x63ee -0x6ce528d7 -256 -256 -1 -0 -0 -4 -0x4c26 -0x82ff8bde -256 -256 -0 -0 -0 -1 -0x1d36 -0x9b9a6aed -256 -256 -1 -0 -0 -4 -0xc3d6 -0xf474c5e9 -256 -256 -1 -0 -0 -3 -0x1e94 -0x4f31295c -256 -256 -0 -0 -0 -4 -0xa7c3 -0xa35fee37 -256 -256 -0 -0 -0 -4 -0xfa38 -0xa5d81053 -256 -256 -0 -0 -0 -1 -0x17a5 -0x4a90307a -256 -256 -1 -0 -0 -3 -0x7bef -0xb453f673 -256 -256 -0 -0 -0 -2 -0x2236 -0x97bea5a9 -256 -256 -0 -0 -0 -4 -0xff8e -0x27ad2aec -256 -256 -1 -0 -0 -2 -0x3edb -0x4825ea67 -256 -256 -1 -0 -0 -2 -0xb1b4 -0x9c474fd3 -256 -256 -0 -0 -0 -1 -0x7564 -0xb83e9c2f -256 -256 -1 -0 -0 -1 -0x53c1 -0x2e11b61b -256 -256 -0 -0 -0 -2 -0xf87b -0xd249acc1 -256 -256 -0 -0 -0 -3 -0x3764 -0xbb23285c -256 -256 -0 -0 -0 -2 -0x70cf -0x4abb1ed7 -256 -256 -0 -0 -0 -3 -0xcfbf -0x505841da -256 -256 -1 -0 -0 -3 -0xcc1e -0xb4d41947 -256 -256 -0 -0 -0 -3 -0xd1c8 -0x22dcaf -256 -256 -1 -0 -0 -2 -0xb05b -0x25df7284 -256 -256 -1 -0 -0 -4 -0xf877 -0x4b5b8485 -256 -256 -0 -0 -0 -4 -0xffdf -0xbea836c4 -256 -256 -1 -0 -0 -3 -0x851d -0xd5e20382 -256 -256 -1 -0 -0 -3 -0x81a7 -0x9656f9b9 -256 -256 -0 -0 -0 -2 -0xcc67 -0xdd343e26 -256 -256 -0 -0 -0 -4 -0x80ef -0x281986ee -256 -256 -1 -0 -0 -4 -0xadd3 -0x4dd5587a -256 -256 -1 -0 -0 -3 -0xc926 -0xebc8ffcb -256 -256 -0 -0 -0 -3 -0x1d5 -0xf208d978 -256 -256 -0 -0 -0 -2 -0xf9cc -0x1b5f0002 -256 -256 -0 -0 -0 -2 -0x89f9 -0xc5c93930 -256 -256 -0 -0 -0 -1 -0xa263 -0x2979b6bf -256 -256 -0 -0 -0 -4 -0xb20d -0x107faade -256 -256 -1 -0 -0 -4 -0xc5ac -0x8abda199 -256 -256 -1 -0 -0 -1 -0x3b0b -0x84568053 -256 -256 -0 -0 -0 -4 -0x8546 -0xa5e4117d -256 -256 -1 -0 -0 -3 -0x4093 -0x1b466d3e -256 -256 -1 -0 -0 -4 -0xe337 -0x559dd760 -256 -256 -1 -0 -0 -1 -0x4987 -0x53175353 -256 -256 -1 -0 -0 -3 -0xf83b -0x3e6c51a3 -256 -256 -1 -0 -0 -3 -0x23c2 -0xae67e360 -256 -256 -0 -0 -0 -3 -0xe642 -0x5c62dd81 -256 -256 -0 -0 -0 -3 -0x51c1 -0xc7921227 -256 -256 -0 -0 -0 -2 -0x4057 -0x55cfbba4 -256 -256 -1 -0 -0 -3 -0xd238 -0xac64d025 -256 -256 -0 -0 -0 -1 -0xa8c2 -0x4e118818 -256 -256 -0 -0 -0 -4 -0x6cf8 -0x26d5147e -256 -256 -0 -0 -0 -2 -0xb48 -0xc4636dd6 -256 -256 -0 -0 -0 -2 -0xe565 -0x163a2c96 -256 -256 -0 -0 -0 -3 -0xcfd5 -0x431ccf60 -256 -256 -0 -0 -0 -3 -0x4a2a -0x3726cf37 -256 -256 -1 -0 -0 -1 -0x1433 -0xc9acb0fb -256 -256 -1 -0 -0 -3 -0x198e -0x6986c76e -256 -256 -0 -0 -0 -1 -0x11d8 -0x396461c0 -256 -256 -0 -0 -0 -1 -0xb160 -0xbde4e4a8 -256 -256 -0 -0 -0 -3 -0xbbb1 -0xb9801d87 -256 -256 -1 -0 -0 -1 -0xa1d8 -0x48018405 -256 -256 -0 -0 -0 -1 -0x56fe -0xbd76d20f -256 -256 -0 -0 -0 -3 -0x95ca -0xaf0f0b14 -256 -256 -0 -0 -0 -4 -0xf23d -0x5b3b81a -256 -256 -1 -0 -0 -3 -0x11d0 -0x70022720 -256 -256 -1 -0 -0 -3 -0x9a3b -0x523aa82b -256 -256 -1 -0 -0 -3 -0xb473 -0xd0dffef4 -256 -256 -1 -0 -0 -1 -0xc391 -0x85e76ccf -256 -256 -0 -0 -0 -3 -0xa250 -0xedeb5af5 -256 -256 -0 -0 -0 -1 -0xc1e5 -0x175d7ce8 -256 -256 -0 -0 -0 -1 -0x3a71 -0x4e652ea0 -256 -256 -0 -0 -0 -1 -0x10ed -0xdee042bc -256 -256 -0 -0 -0 -2 -0xf9ad -0xa92cfdb9 -256 -256 -0 -0 -0 -2 -0x6c93 -0x2669570c -256 -256 -0 -0 -0 -1 -0x40ee -0x8a88b241 -256 -256 -0 -0 -0 -3 -0x7128 -0xe225221 -256 -256 -1 -0 -0 -4 -0x982c -0x365c68b5 -256 -256 -0 -0 -0 -3 -0xe5f6 -0xa575fe4d -256 -256 -0 -0 -0 -3 -0x662a -0x252aff3f -256 -256 -1 -0 -0 -3 -0x4aae -0xedffbbc7 -256 -256 -0 -0 -0 -3 -0x95d3 -0x2da7b899 -256 -256 -0 -0 -0 -2 -0x857 -0x87c5b811 -256 -256 -0 -0 -0 -3 -0x8cb1 -0x3938c697 -256 -256 -1 -0 -0 -2 -0x784a -0x4c595e6e -256 -256 -0 -0 -0 -3 -0x2f73 -0x8685f5c2 -256 -256 -1 -0 -0 -2 -0x6813 -0x324feee4 -256 -256 -0 -0 -0 -3 -0x9863 -0x786f02b -256 -256 -0 -0 -0 -3 -0x3975 -0xa3d00929 -256 -256 -1 -0 -0 -2 -0xbf53 -0xd0a438a -256 -256 -1 -0 -0 -3 -0xaed0 -0xb8154805 -256 -256 -1 -0 -0 -3 -0x1d23 -0x4ecc5d60 -256 -256 -0 -0 -0 -4 -0x7675 -0xf383576f -256 -256 -1 -0 -0 -4 -0x14e8 -0x8fea592f -256 -256 -1 -0 -0 -2 -0xcc8c -0x386e3626 -256 -256 -0 -0 -0 -4 -0xbf96 -0x652d0f21 -256 -256 -0 -0 -0 -3 -0xba8a -0x36e07f6c -256 -256 -1 -0 -0 -1 -0xefce -0xccffdd75 -256 -256 -1 -0 -0 -3 -0xb8b3 -0x6840668b -256 -256 -1 -0 -0 -2 -0xee32 -0x130fb583 -256 -256 -1 -0 -0 -2 -0xceea -0xf9814d63 -256 -256 -1 -0 -0 -4 -0xcf56 -0x5ec71edd -256 -256 -1 -0 -0 -2 -0x135b -0x31a6bfcb -256 -256 -0 -0 -0 -1 -0xb7f2 -0xaa2bb1be -256 -256 -1 -0 -0 -2 -0x5cb5 -0x6deaf448 -256 -256 -0 -0 -0 -4 -0xc319 -0x20b8f995 -256 -256 -0 -0 -0 -4 -0xa2cb -0x7b572b35 -256 -256 -1 -0 -0 -3 -0xd4a -0xfb8de669 -256 -256 -1 -0 -0 -2 -0x27a8 -0x6f8ad446 -256 -256 -0 -0 -0 -1 -0xcf12 -0xdc7929aa -256 -256 -1 -0 -0 -3 -0x7533 -0xac853f0e -256 -256 -1 -0 -0 -4 -0x93e1 -0x8f7b679e -256 -256 -0 -0 -0 -4 -0x12d6 -0x50226560 -256 -256 -0 -0 -0 -1 -0x568 -0xd05fd36f -256 -256 -1 -0 -0 -3 -0x4a70 -0x23499be0 -256 -256 -0 -0 -0 -1 -0x6ab2 -0x7557b55d -256 -256 -1 -0 -0 -2 -0x97e3 -0xe0e4c11c -256 -256 -0 -0 -0 -2 -0xd08a -0xd8cab04b -256 -256 -1 -0 -0 -2 -0x108b -0x4aad5df4 -256 -256 -1 -0 -0 -3 -0x288b -0xfc5ff659 -256 -256 -0 -0 -0 -1 -0x23db -0xd0a990aa -256 -256 -1 -0 -0 -1 -0xc0fc -0x7e8adf95 -256 -256 -1 -0 -0 -1 -0xc70d -0x212bd517 -256 -256 -0 -0 -0 -4 -0xfb81 -0x9b767cbc -256 -256 -0 -0 -0 -2 -0xbcfe -0xd7b5d782 -256 -256 -0 -0 -0 -4 -0x8e51 -0xb2d5ea3d -256 -256 -0 -0 -0 -3 -0xe241 -0xf1d37d6b -256 -256 -1 -0 -0 -1 -0xd4a5 -0x65affd53 -256 -256 -0 -0 -0 -1 -0x27fb -0x31f0af29 -256 -256 -0 -0 -0 -2 -0x2366 -0x1daa43ae -256 -256 -1 -0 -0 -2 -0x2b5b -0xde3585cb -256 -256 -1 -0 -0 -4 -0x6a92 -0xadcb5db1 -256 -256 -0 -0 -0 -1 -0x3233 -0x50a833ca -256 -256 -0 -0 -0 -3 -0xad92 -0xcfa42ab8 -256 -256 -1 -0 -0 -2 -0xa984 -0x597325b0 -256 -256 -1 -0 -0 -2 -0x5c19 -0xb7d544f1 -256 -256 -1 -0 -0 -4 -0x7a47 -0xd81134dc -256 -256 -0 -0 -0 -2 -0x28af -0x76b08765 -256 -256 -1 -0 -0 -1 -0x791 -0x9992acae -256 -256 -0 -0 -0 -3 -0x2d81 -0x6be738ca -256 -256 -1 -0 -0 -3 -0xaa54 -0x8ac4f35e -256 -256 -0 -0 -0 -2 -0x8dbc -0xc0c151ca -256 -256 -1 -0 -0 -4 -0x1e77 -0x435898c2 -256 -256 -1 -0 -0 -3 -0x268c -0xa7190126 -256 -256 -0 -0 -0 -1 -0x7e68 -0xc58c98ec -256 -256 -0 -0 -0 -3 -0x4848 -0xf49164e3 -256 -256 -0 -0 -0 -4 -0x48c3 -0xfd8b72df -256 -256 -0 -0 -0 -2 -0x2477 -0x8237a071 -256 -256 -0 -0 -0 -1 -0x7982 -0xd7211c01 -256 -256 -1 -0 -0 -2 -0x2ed8 -0xad17d991 -256 -256 -1 -0 -0 -4 -0xfab5 -0x9babbda2 -256 -256 -0 -0 -0 -2 -0x3492 -0x17c89668 -256 -256 -1 -0 -0 -4 -0xb53d -0x1bd251f3 -256 -256 -1 -0 -0 -1 -0x446d -0x72026541 -256 -256 -0 -0 -0 -3 -0x41d9 -0x2651e7e3 -256 -256 -0 -0 -0 -3 -0x8bf4 -0xe3811473 -256 -256 -0 -0 -0 -3 -0x87e7 -0xf97c146a -256 -256 -1 -0 -0 -1 -0x1126 -0xec4357c9 -256 -256 -1 -0 -0 -3 -0x9099 -0x8e72fe3d -256 -256 -1 -0 -0 -2 -0x2da2 -0x2ce876ac -256 -256 -0 -0 -0 -4 -0xf9b7 -0xc18fcd4d -256 -256 -1 -0 -0 -3 -0x446e -0xd669e4dd -256 -256 -1 -0 -0 -4 -0x1189 -0xb8447036 -256 -256 -1 -0 -0 -2 -0x87d1 -0xebe4aaa6 -256 -256 -0 -0 -0 -3 -0xb61b -0x41c5e373 -256 -256 -0 -0 -0 -3 -0x2f36 -0x8fe22d82 -256 -256 -0 -0 -0 -3 -0x6b30 -0x279b3a2f -256 -256 -0 -0 -0 -4 -0x876c -0xaf2261a3 -256 -256 -1 -0 -0 -4 -0xe83b -0xaa8a5beb -256 -256 -0 -0 -0 -4 -0x4f14 -0x5cc89d9e -256 -256 -1 -0 -0 -2 -0x94eb -0xd77a54c3 -256 -256 -1 -0 -0 -2 -0xdfbf -0x387fcae6 -256 -256 -0 -0 -0 -4 -0x4765 -0x3dc7d925 -256 -256 -0 -0 -0 -4 -0x5d92 -0xabef3cbc -256 -256 -0 -0 -0 -4 -0xb037 -0x63fae4f8 -256 -256 -0 -0 -0 -3 -0xd8f6 -0x90c1c7b -256 -256 -1 -0 -0 -1 -0xeed0 -0x6046dd5b -256 -256 -0 -0 -0 -4 -0xa89e -0xf962b426 -256 -256 -0 -0 -0 -2 -0xc13e -0x678414b9 -256 -256 -0 -0 -0 -1 -0x40e4 -0x688e1da9 -256 -256 -0 -0 -0 -1 -0xa302 -0x374eb0d3 -256 -256 -1 -0 -0 -2 -0x25 -0x2c1a4c6c -256 -256 -0 -0 -0 -4 -0x51f -0x8f3bf658 -256 -256 -1 -0 -0 -1 -0xab0e -0xf4eba735 -256 -256 -1 -0 -0 -2 -0x746b -0x16a7b6 -256 -256 -1 -0 -0 -1 -0xeeeb -0x79581de7 -256 -256 -0 -0 -0 -3 -0xe817 -0x1a20c65d -256 -256 -1 -0 -0 -1 -0x6516 -0xd4cfe9ab -256 -256 -0 -0 -0 -1 -0x923c -0x1c3fcc67 -256 -256 -0 -0 -0 -2 -0xcb48 -0xa09467b2 -256 -256 -0 -0 -0 -2 -0xcc4b -0x1e897fd9 -256 -256 -0 -0 -0 -4 -0x4364 -0xed3eb50a -256 -256 -0 -0 -0 -2 -0xa685 -0x9cd7a355 -256 -256 -0 -0 -0 -2 -0x9f9f -0x234d49e4 -256 -256 -0 -0 -0 -1 -0x733 -0x6627452a -256 -256 -1 -0 -0 -4 -0xf8c4 -0xcb190c60 -256 -256 -1 -0 -0 -4 -0x3d24 -0x3b1f2ed7 -256 -256 -1 -0 -0 -1 -0x3661 -0x20073138 -256 -256 -1 -0 -0 -4 -0x6b6f -0x30a2b42e -256 -256 -1 -0 -0 -1 -0x7f67 -0x3dc1205f -256 -256 -0 -0 -0 -4 -0xb3bd -0x152bd305 -256 -256 -1 -0 -0 -1 -0x87b9 -0x40227f5f -256 -256 -1 -0 -0 -2 -0x18b0 -0x18d8e99b -256 -256 -1 -0 -0 -1 -0x1bab -0x1d3c442d -256 -256 -0 -0 -0 -4 -0xff73 -0x3120d34e -256 -256 -1 -0 -0 -2 -0xb220 -0xf71a6ddf -256 -256 -0 -0 -0 -1 -0x933a -0x671009b7 -256 -256 -1 -0 -0 -3 -0xc6f5 -0x41c3f20d -256 -256 -1 -0 -0 -3 -0x8859 -0x9164acba -256 -256 -0 -0 -0 -3 -0xb5a1 -0x5ff19df3 -256 -256 -0 -0 -0 -4 -0xc5 -0x60ab48ba -256 -256 -0 -0 -0 -4 -0x9b8c -0x1546fec0 -256 -256 -0 -0 -0 -3 -0xb0d9 -0x63f885db -256 -256 -1 -0 -0 -3 -0x5b46 -0xb256b2d1 -256 -256 -1 -0 -0 -3 -0x3fd2 -0xcedfbe41 -256 -256 -0 -0 -0 -4 -0x7a96 -0x85bc1770 -256 -256 -1 -0 -0 -2 -0xd0a4 -0xb424577d -256 -256 -1 -0 -0 -2 -0x144d -0xd565765e -256 -256 -1 -0 -0 -4 -0xf46a -0x3d671bc8 -256 -256 -0 -0 -0 -1 -0x330e -0xd09ddb05 -256 -256 -1 -0 -0 -2 -0xa227 -0xd16f9f8 -256 -256 -1 -0 -0 -1 -0xca39 -0xfca23a84 -256 -256 -1 -0 -0 -4 -0x704a -0xcb7b9f39 -256 -256 -0 -0 -0 -4 -0x42c2 -0x8b241a29 -256 -256 -1 -0 -0 -3 -0x267f -0xde7112a -256 -256 -0 -0 -0 -2 -0x3437 -0xcd902070 -256 -256 -1 -0 -0 -1 -0x5212 -0xc30c46ff -256 -256 -0 -0 -0 -3 -0xda42 -0xdaeb59eb -256 -256 -1 -0 -0 -4 -0x6290 -0x6bf95117 -256 -256 -1 -0 -0 -4 -0xc177 -0x24a62a66 -256 -256 -1 -0 -0 -4 -0x835a -0xf8f4d91d -256 -256 -0 -0 -0 -2 -0xd094 -0x838b8628 -256 -256 -0 -0 -0 -1 -0xb4ab -0x5ac564d0 -256 -256 -1 -0 -0 -4 -0x8773 -0x39a7b0ac -256 -256 -0 -0 -0 -1 -0x83bd -0x3e6a54e8 -256 -256 -0 -0 -0 -4 -0x3932 -0x487df411 -256 -256 -0 -0 -0 -3 -0x51a1 -0xa301e4bf -256 -256 -0 -0 -0 -2 -0x371c -0xf1564f4f -256 -256 -1 -0 -0 -4 -0xb4f9 -0x46b6b853 -256 -256 -1 -0 -0 -1 -0x2ed0 -0xc406153d -256 -256 -0 -0 -0 -3 -0x2de2 -0x2029775b -256 -256 -0 -0 -0 -1 -0x3e04 -0xc2c9ff52 -256 -256 -1 -0 -0 -3 -0x3954 -0x452661ba -256 -256 -1 -0 -0 -1 -0xb6fb -0x8c01640b -256 -256 -1 -0 -0 -1 -0x3944 -0x966f4211 -256 -256 -0 -0 -0 -3 -0x4f09 -0x559ec7c7 -256 -256 -1 -0 -0 -1 -0x64a7 -0x2ce692ef -256 -256 -0 -0 -0 -1 -0x99ff -0x9453b371 -256 -256 -1 -0 -0 -3 -0xa4b7 -0x93482e5d -256 -256 -0 -0 -0 -4 -0x123b -0xde52b99f -256 -256 -1 -0 -0 -3 -0xe720 -0x1095bb00 -256 -256 -1 -0 -0 -1 -0x4ee -0xff22d39b -256 -256 -0 -0 -0 -4 -0xdd1a -0x77b2519f -256 -256 -1 -0 -0 -1 -0x933a -0x6eb7cde1 -256 -256 -0 -0 -0 -1 -0xffe8 -0xef722b17 -256 -256 -0 -0 -0 -4 -0x890d -0x4db2c042 -256 -256 -1 -0 -0 -2 -0xa417 -0x76f06a0e -256 -256 -0 -0 -0 -4 -0xc2d4 -0xff1793d9 -256 -256 -1 -0 -0 -1 -0x531b -0x1f4ac19b -256 -256 -1 -0 -0 -2 -0x3371 -0xae9f781b -256 -256 -1 -0 -0 -1 -0x52c9 -0x6a720bad -256 -256 -1 -0 -0 -4 -0x736e -0x64dd74b7 -256 -256 -1 -0 -0 -2 -0xae39 -0xe84cb628 -256 -256 -0 -0 -0 -3 -0x51a5 -0x97b91bd6 -256 -256 -0 -0 -0 -2 -0xc971 -0xc8fee3f7 -256 -256 -0 -0 -0 -4 -0x1d79 -0xb4e275be -256 -256 -0 -0 -0 -4 -0xe947 -0x7746e455 -256 -256 -0 -0 -0 -4 -0xb159 -0x34a76939 -256 -256 -0 -0 -0 -2 -0xd3bb -0x81579683 -256 -256 -1 -0 -0 -4 -0x96c5 -0x2fe6017e -256 -256 -1 -0 -0 -3 -0x9fdb -0x3d5fb25c -256 -256 -1 -0 -0 -2 -0x48d0 -0xb64f967b -256 -256 -1 -0 -0 -1 -0xb675 -0x797dcee4 -256 -256 -1 -0 -0 -4 -0xee81 -0x3dcf51dd -256 -256 -1 -0 -0 -4 -0x2134 -0x90b279bc -256 -256 -0 -0 -0 -2 -0xc80 -0xaad11d3f -256 -256 -1 -0 -0 -2 -0xd0f -0xa1967250 -256 -256 -0 -0 -0 -2 -0xa3f -0x25275af0 -256 -256 -0 -0 -0 -2 -0xda37 -0xa9bf86f4 -256 -256 -1 -0 -0 -1 -0x3c67 -0x2b7e1e90 -256 -256 -1 -0 -0 -1 -0xbc6 -0xe8d852fa -256 -256 -1 -0 -0 -1 -0xc2c0 -0xc7d4b738 -256 -256 -0 -0 -0 -2 -0x30ae -0xcab808cf -256 -256 -1 -0 -0 -4 -0xc4ae -0xd38bf42c -256 -256 -0 -0 -0 -3 -0xe39a -0x2660ead2 -256 -256 -1 -0 -0 -1 -0x848f -0xa013b4e5 -256 -256 -1 -0 -0 -4 -0xa97 -0x5d34d12d -256 -256 -0 -0 -0 -4 -0xcc3 -0xe3dce8bb -256 -256 -1 -0 -0 -1 -0xcda3 -0x9d1f04f5 -256 -256 -1 -0 -0 -2 -0xa38a -0x76472208 -256 -256 -1 -0 -0 -2 -0x8d0d -0x2be69541 -256 -256 -1 -0 -0 -2 -0x31bc -0xd564efac -256 -256 -1 -0 -0 -1 -0x4ec2 -0x6593a656 -256 -256 -0 -0 -0 -2 -0xbfe4 -0x9fc790e8 -256 -256 -0 -0 -0 -4 -0x58b3 -0x9d544ca3 -256 -256 -1 -0 -0 -1 -0xdc7f -0xab73c0ff -256 -256 -1 -0 -0 -3 -0x266c -0xa8d8b480 -256 -256 -1 -0 -0 -3 -0xe396 -0x7e4df7c -256 -256 -0 -0 -0 -1 -0x7871 -0x3701dbfe -256 -256 -0 -0 -0 -1 -0xa3d0 -0xdc8f85ac -256 -256 -0 -0 -0 -2 -0x1420 -0xa113c51b -256 -256 -1 -0 -0 -2 -0x4d38 -0xbebd913f -256 -256 -0 -0 -0 -1 -0xb9db -0x263de181 -256 -256 -0 -0 -0 -4 -0x6779 -0x546687e3 -256 -256 -1 -0 -0 -1 -0xda7d -0xf7a183fb -256 -256 -1 -0 -0 -3 -0x76b8 -0xa8db0749 -256 -256 -1 -0 -0 -1 -0x8bde -0x497314b1 -256 -256 -0 -0 -0 -1 -0xd791 -0x2671f124 -256 -256 -0 -0 -0 -4 -0x52b8 -0xc617b58c -256 -256 -1 -0 -0 -3 -0xd8f5 -0x7685e98c -256 -256 -1 -0 -0 -2 -0x65c1 -0xd7b9db7 -256 -256 -0 -0 -0 -4 -0x25f0 -0xd7ebb016 -256 -256 -0 -0 -0 -3 -0xd4ac -0xbbffec82 -256 -256 -1 -0 -0 -2 -0x73a9 -0x9590ee89 -256 -256 -0 -0 -0 -3 -0xb367 -0x9b184b93 -256 -256 -1 -0 -0 -3 -0x971b -0xed837c57 -256 -256 -0 -0 -0 -2 -0xac59 -0x4a485321 -256 -256 -0 -0 -0 -2 -0x9ba1 -0x123232d0 -256 -256 -1 -0 -0 -1 -0xb6fc -0x6c0e0735 -256 -256 -0 -0 -0 -2 -0xa9c4 -0x2e2ab169 -256 -256 -1 -0 -0 -3 -0x5a68 -0x694ed54d -256 -256 -0 -0 -0 -2 -0x402d -0x57944bea -256 -256 -0 -0 -0 -2 -0x1e2d -0x941ff6ba -256 -256 -0 -0 -0 -1 -0x26dd -0x32eb4dd2 -256 -256 -1 -0 -0 -1 -0xdf30 -0xcf88b72f -256 -256 -1 -0 -0 -2 -0x731 -0x9b51568f -256 -256 -1 -0 -0 -1 -0xf69b -0x945d0773 -256 -256 -1 -0 -0 -4 -0x58b -0x15097ea4 -256 -256 -1 -0 -0 -1 -0x2b50 -0x4eaef20 -256 -256 -0 -0 -0 -2 -0xdc05 -0x1a06cee5 -256 -256 -0 -0 -0 -2 -0xc5c9 -0x9dee5dd -256 -256 -1 -0 -0 -3 -0xab02 -0x86009d7e -256 -256 -0 -0 -0 -2 -0x2e1c -0x75f3e820 -256 -256 -0 -0 -0 -2 -0x4901 -0x3116fd27 -256 -256 -0 -0 -0 -1 -0x2839 -0xf1d4eb0a -256 -256 -0 -0 -0 -2 -0x2ba1 -0x1b9485c8 -256 -256 -0 -0 -0 -4 -0x45ea -0xe50276f6 -256 -256 -1 -0 -0 -2 -0xdeb2 -0x54c10a60 -256 -256 -1 -0 -0 -3 -0x3421 -0xf16a977a -256 -256 -0 -0 -0 -4 -0xddc5 -0xcc0d5c95 -256 -256 -1 -0 -0 -1 -0xe00f -0xea4b9a17 -256 -256 -0 -0 -0 -3 -0x6253 -0x846fe2c8 -256 -256 -1 -0 -0 -4 -0x74a -0xa5b3ddbf -256 -256 -0 -0 -0 -1 -0xeb97 -0x3c23aa8a -256 -256 -0 -0 -0 -3 -0x7330 -0xd495c569 -256 -256 -1 -0 -0 -3 -0x67ca -0xdfe01571 -256 -256 -0 -0 -0 -2 -0x52f3 -0x36e7d590 -256 -256 -0 -0 -0 -4 -0x32f6 -0xa2197bb -256 -256 -1 -0 -0 -1 -0x76a9 -0x6d3cb46e -256 -256 -0 -0 -0 -2 -0x9bdc -0xb6c4cf0 -256 -256 -0 -0 -0 -2 -0x1ef2 -0x1018dd6a -256 -256 -0 -0 -0 -1 -0x9e65 -0x3455e19b -256 -256 -1 -0 -0 -1 -0x3f41 -0x651d2c1f -256 -256 -0 -0 -0 -1 -0x8768 -0xdb458f88 -256 -256 -1 -0 -0 -1 -0xd645 -0x6c84cac7 -256 -256 -0 -0 -0 -4 -0xf82b -0x90688f17 -256 -256 -0 -0 -0 -3 -0xdea2 -0x9d8c18f0 -256 -256 -1 -0 -0 -4 -0xad76 -0xde3ae7bb -256 -256 -0 -0 -0 -1 -0x27b6 -0x9c1eeb5e -256 -256 -1 -0 -0 -3 -0x33f -0x91626455 -256 -256 -0 -0 -0 -3 -0x935b -0xe69793e4 -256 -256 -0 -0 -0 -1 -0x6b1e -0xad7b5600 -256 -256 -1 -0 -0 -1 -0xde9d -0x78a1e0a5 -256 -256 -1 -0 -0 -1 -0x67ab -0x6cff7d30 -256 -256 -0 -0 -0 -1 -0x8c2c -0x4fa5bb0f -256 -256 -0 -0 -0 -2 -0x92f -0xcbaf15c7 -256 -256 -1 -0 -0 -1 -0xb475 -0x6db01f90 -256 -256 -0 -0 -0 -2 -0x2892 -0x70713d17 -256 -256 -1 -0 -0 -2 -0xea10 -0xe1d49d17 -256 -256 -0 -0 -0 -1 -0x662d -0x7773463 -256 -256 -0 -0 -0 -4 -0xb611 -0x170e29d -256 -256 -0 -0 -0 -1 -0x936f -0xb26e2411 -256 -256 -0 -0 -0 -2 -0xfead -0xa02a5366 -256 -256 -1 -0 -0 -1 -0x883d -0x2e12820b -256 -256 -0 -0 -0 -4 -0xea7e -0xdf1aa131 -256 -256 -0 -0 -0 -2 -0xc616 -0xf9f83a -256 -256 -0 -0 -0 -3 -0xfb6e -0xea6f279b -256 -256 -1 -0 -0 -3 -0x8556 -0x486f90cb -256 -256 -1 -0 -0 -4 -0x7d50 -0x5dbc334b -256 -256 -1 -0 -0 -2 -0x280c -0xbf3fe6fc -256 -256 -1 -0 -0 -4 -0x64b0 -0xe689e6be -256 -256 -1 -0 -0 -4 -0x41ec -0xd3ec538a -256 -256 -0 -0 -0 -1 -0x81a -0x834f0c61 -256 -256 -0 -0 -0 -4 -0x7059 -0x78a57c25 -256 -256 -0 -0 -0 -2 -0xa7e0 -0xfbf3b00b -256 -256 -0 -0 -0 -3 -0x2cf3 -0x2ca80013 -256 -256 -1 -0 -0 -4 -0xb097 -0xe127caf9 -256 -256 -1 -0 -0 -4 -0xfcc2 -0x4303c542 -256 -256 -1 -0 -0 -1 -0xaaf4 -0xc7656a22 -256 -256 -1 -0 -0 -1 -0x1a9a -0x87798a85 -256 -256 -1 -0 -0 -4 -0x263 -0x6c59b109 -256 -256 -1 -0 -0 -2 -0x768b -0xce6dd4df -256 -256 -1 -0 -0 -3 -0x11e3 -0xf808f8ac -256 -256 -0 -0 -0 -1 -0x17f -0xe8131137 -256 -256 -1 -0 -0 -2 -0xfdfd -0xed05b5df -256 -256 -1 -0 -0 -1 -0xca96 -0xdfe7651 -256 -256 -0 -0 -0 -1 -0xbc55 -0xb32a0885 -256 -256 -0 -0 -0 -3 -0x62f2 -0xf8ca918 -256 -256 -1 -0 -0 -4 -0x9ee2 -0xd1b59553 -256 -256 -1 -0 -0 -4 -0x579c -0xf4c21084 -256 -256 -0 -0 -0 -3 -0xa9a6 -0x9410757a -256 -256 -1 -0 -0 -1 -0x1e38 -0xe612bbc5 -256 -256 -0 -0 -0 -4 -0x51b0 -0x2b326709 -256 -256 -1 -0 -0 -3 -0x8a51 -0x1d6bd33c -256 -256 -0 -0 -0 -3 -0x710d -0x887cef2a -256 -256 -0 -0 -0 -2 -0x68a4 -0x71654a70 -256 -256 -0 -0 -0 -4 -0xf3b9 -0xf5aa3ab6 -256 -256 -0 -0 -0 -1 -0x7a4c -0x9a1a4d0a -256 -256 -1 -0 -0 -4 -0xd1df -0xe443191 -256 -256 -0 -0 -0 -2 -0x55df -0x59756d1 -256 -256 -0 -0 -0 -3 -0x2659 -0xb28ae067 -256 -256 -1 -0 -0 -4 -0x346a -0x11896b2f -256 -256 -0 -0 -0 -1 -0xce52 -0xe3cf1c9f -256 -256 -1 -0 -0 -4 -0xfda9 -0xd633ded6 -256 -256 -1 -0 -0 -2 -0xbc17 -0x3f9e71cb -256 -256 -1 -0 -0 -4 -0xa282 -0x25fbe59b -256 -256 -1 -0 -0 -4 -0xba32 -0x96ec8843 -256 -256 -0 -0 -0 -3 -0xa34d -0x8a88565c -256 -256 -1 -0 -0 -4 -0x70aa -0x393a504d -256 -256 -0 -0 -0 -4 -0xb0fe -0xdaddbb0d -256 -256 -1 -0 -0 -2 -0x9bdc -0xef448a9d -256 -256 -1 -0 -0 -2 -0xcfef -0xdbfb583 -256 -256 -0 -0 -0 -3 -0x1f86 -0x9484e2fe -256 -256 -0 -0 -0 -4 -0x2946 -0x1a06a4db -256 -256 -0 -0 -0 -3 -0x65b6 -0x84dea365 -256 -256 -0 -0 -0 -4 -0xaf08 -0x5750f5e7 -256 -256 -0 -0 -0 -1 -0x7afa -0xa0f5d110 -256 -256 -0 -0 -0 -1 -0xd584 -0x59f4ccad -256 -256 -0 -0 -0 -4 -0x7c2c -0x57614cbc -256 -256 -1 -0 -0 -3 -0xf6d6 -0x3a10de44 -256 -256 -0 -0 -0 -1 -0xb2e9 -0xb9039696 -256 -256 -1 -0 -0 -1 -0xf908 -0x87c25348 -256 -256 -1 -0 -0 -4 -0x5c72 -0xfac43a10 -256 -256 -0 -0 -0 -2 -0x1538 -0x1d03c5a4 -256 -256 -1 -0 -0 -2 -0x66ae -0x9e2eee7e -256 -256 -1 -0 -0 -1 -0xf9b2 -0xce1952bc -256 -256 -0 -0 -0 -2 -0x73fe -0xe1327615 -256 -256 -0 -0 -0 -4 -0x7958 -0x61d27165 -256 -256 -1 -0 -0 -1 -0xb0a2 -0x2c6cecbd -256 -256 -0 -0 -0 -3 -0x77a2 -0x4ab3a5ab -256 -256 -1 -0 -0 -3 -0x534b -0xb374fcc9 -256 -256 -1 -0 -0 -3 -0xc659 -0xa16ce135 -256 -256 -1 -0 -0 -4 -0xcfab -0x4b644255 -256 -256 -1 -0 -0 -1 -0xbde0 -0x5e56e7aa -256 -256 -0 -0 -0 -1 -0x5c91 -0x9c51f0b4 -256 -256 -1 -0 -0 -1 -0x6df -0x8a542c18 -256 -256 -1 -0 -0 -1 -0x213c -0x44f77857 -256 -256 -0 -0 -0 -3 -0x4735 -0x51a3146b -256 -256 -1 -0 -0 -1 -0x848d -0x58057180 -256 -256 -1 -0 -0 -4 -0x1a -0x535d91b5 -256 -256 -0 -0 -0 -3 -0xb34 -0x95e16dc3 -256 -256 -1 -0 -0 -2 -0xb025 -0xf5da5911 -256 -256 -1 -0 -0 -4 -0xa25f -0xea51239 -256 -256 -1 -0 -0 -1 -0xd4a6 -0x168e2f17 -256 -256 -1 -0 -0 -2 -0x11f1 -0xfe6638ac -256 -256 -0 -0 -0 -3 -0x8219 -0x6f4a54d3 -256 -256 -0 -0 -0 -2 -0xbbab -0x8e6970f2 -256 -256 -0 -0 -0 -4 -0xe6f7 -0x5b80045 -256 -256 -0 -0 -0 -1 -0x316 -0x9870e010 -256 -256 -0 -0 -0 -1 -0x3801 -0x6fbfff1a -256 -256 -1 -0 -0 -3 -0x2875 -0xdc3b6109 -256 -256 -1 -0 -0 -4 -0x9925 -0xa66899ba -256 -256 -0 -0 -0 -1 -0x50e -0xa92027cd -256 -256 -1 -0 -0 -1 -0xbb5c -0xa33b9358 -256 -256 -0 -0 -0 -4 -0x3af0 -0xfe954128 -256 -256 -0 -0 -0 -4 -0x1e87 -0x1e19ddc1 -256 -256 -1 -0 -0 -3 -0xe6c8 -0x6b35cce8 -256 -256 -0 -0 -0 -3 -0xa17f -0x86b94503 -256 -256 -0 -0 -0 -1 -0x5f51 -0xded6019e -256 -256 -1 -0 -0 -4 -0x324b -0x2f3be943 -256 -256 -0 -0 -0 -3 -0x50d8 -0xb4074c -256 -256 -0 -0 -0 -3 -0xdf2d -0x837a0465 -256 -256 -1 -0 -0 -1 -0xe8bc -0xc8dbd754 -256 -256 -0 -0 -0 -2 -0x1fc -0xd3e4bff5 -256 -256 -1 -0 -0 -4 -0x6cdf -0x8cf7c9d0 -256 -256 -1 -0 -0 -1 -0x316 -0x30ccc019 -256 -256 -1 -0 -0 -4 -0xc3ab -0x6575098e -256 -256 -0 -0 -0 -2 -0x6f03 -0x6b7c2c2f -256 -256 -1 -0 -0 -2 -0x544c -0x8229b086 -256 -256 -0 -0 -0 -3 -0x6b52 -0x885b7499 -256 -256 -1 -0 -0 -1 -0x7899 -0x91f33794 -256 -256 -1 -0 -0 -1 -0x1070 -0x41b8cc12 -256 -256 -1 -0 -0 -3 -0x70ad -0x9092dc30 -256 -256 -1 -0 -0 -2 -0x4218 -0x90d0a4eb -256 -256 -0 -0 -0 -2 -0x992b -0x382727a2 -256 -256 -1 -0 -0 -1 -0xf893 -0xf203246e -256 -256 -0 -0 -0 -3 -0x6b22 -0x30fdf268 -256 -256 -0 -0 -0 -1 -0xee50 -0x9334d327 -256 -256 -0 -0 -0 -2 -0x5cb8 -0x92ed4f6c -256 -256 -0 -0 -0 -2 -0xbfd0 -0x702073a6 -256 -256 -1 -0 -0 -4 -0x1e78 -0x30eb0b8a -256 -256 -0 -0 -0 -1 -0xb5f7 -0x8bae242 -256 -256 -0 -0 -0 -3 -0x5889 -0xf46dbc58 -256 -256 -0 -0 -0 -1 -0x3870 -0x546a6d00 -256 -256 -1 -0 -0 -2 -0x7a39 -0xd3cba22c -256 -256 -1 -0 -0 -4 -0x720a -0x5daaaac5 -256 -256 -1 -0 -0 -1 -0x9443 -0xc483e117 -256 -256 -1 -0 -0 -3 -0x9858 -0xba2af119 -256 -256 -1 -0 -0 -2 -0x8c91 -0x1abaf5c0 -256 -256 -1 -0 -0 -3 -0x229a -0x8c0ca4be -256 -256 -1 -0 -0 -2 -0x6604 -0x44086b56 -256 -256 -1 -0 -0 -4 -0xd1d8 -0x65316f8b -256 -256 -0 -0 -0 -3 -0x7e00 -0x8124d1ae -256 -256 -0 -0 -0 -2 -0x7882 -0x5fe7a168 -256 -256 -1 -0 -0 -3 -0x3e72 -0x63c6af37 -256 -256 -1 -0 -0 -2 -0x143f -0xe5426a4e -256 -256 -1 -0 -0 -1 -0x8b0f -0x466381ae -256 -256 -1 -0 -0 -3 -0xcf9b -0x63025a84 -256 -256 -1 -0 -0 -2 -0x5af5 -0x1e1ade5b -256 -256 -1 -0 -0 -3 -0xd26f -0xbf116107 -256 -256 -1 -0 -0 -4 -0xa295 -0xc3dcbd88 -256 -256 -0 -0 -0 -4 -0x381e -0xb707e2b7 -256 -256 -0 -0 -0 -4 -0x1bc2 -0x8f2bf6a -256 -256 -1 -0 -0 -3 -0x724e -0x93abbab4 -256 -256 -0 -0 -0 -3 -0x17d5 -0xe0fd59db -256 -256 -1 -0 -0 -2 -0xee0e -0x2302420d -256 -256 -1 -0 -0 -1 -0x10a1 -0x4bb1d080 -256 -256 -0 -0 -0 -3 -0x219f -0x1cba313 -256 -256 -0 -0 -0 -4 -0xd2c -0xffb093fe -256 -256 -0 -0 -0 -4 -0xa11d -0x7b29f58e -256 -256 -0 -0 -0 -4 -0xf67b -0xa30317f -256 -256 -0 -0 -0 -4 -0x5b5 -0x17ef77e6 -256 -256 -1 -0 -0 -1 -0xab86 -0xaf59da61 -256 -256 -1 -0 -0 -2 -0x621 -0x24de8c55 -256 -256 -1 -0 -0 -2 -0x868 -0x35d316e9 -256 -256 -0 -0 -0 -1 -0xd55a -0x3a47d465 -256 -256 -1 -0 -0 -2 -0xdde6 -0x330e287d -256 -256 -1 -0 -0 -2 -0xe5cd -0xa100896f -256 -256 -1 -0 -0 -2 -0x894f -0xb41e3c4b -256 -256 -0 -0 -0 -3 -0xa479 -0x52286695 -256 -256 -1 -0 -0 -1 -0xedad -0x84cb841d -256 -256 -0 -0 -0 -4 -0x3bad -0x5fb3afde -256 -256 -1 -0 -0 -4 -0x9057 -0x204872a9 -256 -256 -0 -0 -0 -3 -0x65ce -0x3fe8950 -256 -256 -0 -0 -0 -4 -0x724 -0x3c28c70d -256 -256 -1 -0 -0 -3 -0x6f54 -0xceba13a -256 -256 -1 -0 -0 -4 -0x34bc -0x388cbc22 -256 -256 -1 -0 -0 -2 -0x6796 -0xac9048af -256 -256 -0 -0 -0 -2 -0x8f07 -0xec797571 -256 -256 -0 -0 -0 -4 -0x905d -0x3a596a1b -256 -256 -1 -0 -0 -2 -0x66bc -0xca7259b7 -256 -256 -0 -0 -0 -3 -0xf200 -0xa4d64448 -256 -256 -1 -0 -0 -4 -0xfc40 -0xb0022d96 -256 -256 -1 -0 -0 -2 -0x84fd -0x9c7aaf37 -256 -256 -1 -0 -0 -4 -0x6894 -0x87fdd8c0 -256 -256 -0 -0 -0 -2 -0x7659 -0x86513fe3 -256 -256 -1 -0 -0 -2 -0x13f7 -0xb2435ab7 -256 -256 -1 -0 -0 -1 -0xa92b -0x67a5ecc2 -256 -256 -1 -0 -0 -1 -0x2beb -0x2b362f3 -256 -256 -1 -0 -0 -1 -0xfeff -0x9e0e2c2a -256 -256 -1 -0 -0 -3 -0xafb3 -0x574a9e1b -256 -256 -1 -0 -0 -4 -0x18bd -0x3dcabf7d -256 -256 -0 -0 -0 -4 -0xd902 -0xf836b567 -256 -256 -1 -0 -0 -2 -0x1ef1 -0xc22ee081 -256 -256 -1 -0 -0 -2 -0x79f8 -0x4395d601 -256 -256 -1 -0 -0 -2 -0xe34f -0x607b9569 -256 -256 -1 -0 -0 -1 -0x8ab2 -0x7d90dbe -256 -256 -0 -0 -0 -2 -0xa536 -0x45db6e63 -256 -256 -0 -0 -0 -3 -0x5d39 -0x8e75a5fe -256 -256 -0 -0 -0 -2 -0xcbd6 -0xd73a620f -256 -256 -0 -0 -0 -2 -0x736d -0x43ed089b -256 -256 -1 -0 -0 -4 -0xe682 -0x64df0975 -256 -256 -0 -0 -0 -2 -0xa046 -0xb33b0c88 -256 -256 -0 -0 -0 -3 -0x8fe3 -0x8eca63c2 -256 -256 -0 -0 -0 -3 -0x5fd3 -0xadaee4a8 -256 -256 -0 -0 -0 -1 -0x6fa7 -0xe31c653b -256 -256 -1 -0 -0 -4 -0x8bf8 -0x192381cc -256 -256 -0 -0 -0 -4 -0xa33a -0x4d4185d7 -256 -256 -1 -0 -0 -2 -0xb0bf -0x33024aaf -256 -256 -0 -0 -0 -3 -0x324e -0x412eb395 -256 -256 -0 -0 -0 -2 -0x4e64 -0xaa911fa1 -256 -256 -1 -0 -0 -2 -0xf052 -0x3bc26e2 -256 -256 -1 -0 -0 -2 -0x28df -0x7804b30b -256 -256 -1 -0 -0 -2 -0x15b0 -0x3581ea07 -256 -256 -0 -0 -0 -1 -0xee71 -0xb91a3a72 -256 -256 -1 -0 -0 -3 -0xede8 -0xf6675c17 -256 -256 -1 -0 -0 -4 -0x978e -0xeea84868 -256 -256 -1 -0 -0 -1 -0xae4c -0xf3461865 -256 -256 -0 -0 -0 -2 -0x1e97 -0x55c5e69e -256 -256 -0 -0 -0 -4 -0x291d -0x576e93b4 -256 -256 -0 -0 -0 -2 -0x6052 -0x45c8f39d -256 -256 -1 -0 -0 -1 -0x1dc9 -0xede3af56 -256 -256 -0 -0 -0 -3 -0x1cc8 -0x107d94ca -256 -256 -1 -0 -0 -4 -0x875 -0x1f5e97fb -256 -256 -1 -0 -0 -2 -0x537b -0xed0e4e36 -256 -256 -1 -0 -0 -4 -0x49a3 -0x17f373ed -256 -256 -1 -0 -0 -3 -0xc7e5 -0x7d6be9c6 -256 -256 -0 -0 -0 -4 -0x4886 -0x3cb0c7df -256 -256 -0 -0 -0 -3 -0x991 -0x5a9418d6 -256 -256 -1 -0 -0 -1 -0xabab -0xb108f059 -256 -256 -1 -0 -0 -2 -0xa1f6 -0x269c4d14 -256 -256 -1 -0 -0 -2 -0x12af -0x14cf1017 -256 -256 -0 -0 -0 -3 -0xac33 -0x2814018d -256 -256 -0 -0 -0 -4 -0xd859 -0xdc4fa75c -256 -256 -1 -0 -0 -1 -0xb25 -0x272c2c55 -256 -256 -1 -0 -0 -4 -0x3a -0x1b8c0b44 -256 -256 -0 -0 -0 -2 -0xc6b8 -0x3c806ab9 -256 -256 -0 -0 -0 -2 -0xd574 -0x2d033741 -256 -256 -0 -0 -0 -1 -0x9849 -0xc6ec42a1 -256 -256 -0 -0 -0 -2 -0x247d -0x1848e5ff -256 -256 -0 -0 -0 -3 -0x1182 -0x15718bd4 -256 -256 -0 -0 -0 -3 -0xe1bd -0x4c8ff707 -256 -256 -1 -0 -0 -3 -0x8bd0 -0xc867a1e6 -256 -256 -0 -0 -0 -2 -0x295a -0x1409b189 -256 -256 -1 -0 -0 -1 -0xb0dd -0xe828c280 -256 -256 -1 -0 -0 -4 -0xcddd -0xe5a15d60 -256 -256 -0 -0 -0 -1 -0xcbd4 -0x8d1466fd -256 -256 -0 -0 -0 -4 -0x15f0 -0xd26eb014 -256 -256 -0 -0 -0 -2 -0xe91 -0xc6dc04b1 -256 -256 -1 -0 -0 -1 -0xaa7 -0x7c283345 -256 -256 -0 -0 -0 -4 -0x9c83 -0xcd490c83 -256 -256 -1 -0 -0 -3 -0x1d29 -0x3832b557 -256 -256 -1 -0 -0 -3 -0xab5c -0x6e18086a -256 -256 -0 -0 -0 -4 -0x8ba2 -0x9497a41f -256 -256 -1 -0 -0 -2 -0x77bd -0x425bb2eb -256 -256 -0 -0 -0 -3 -0x205b -0xb7c209a9 -256 -256 -0 -0 -0 -2 -0x109e -0x23c30a07 -256 -256 -0 -0 -0 -2 -0x8fa5 -0x1990132c -256 -256 -0 -0 -0 -2 -0xd755 -0x3f72e040 -256 -256 -1 -0 -0 -2 -0x90d9 -0x40f6cba -256 -256 -0 -0 -0 -2 -0x7d86 -0x7d81efce -256 -256 -0 -0 -0 -3 -0x10bf -0x9cf15e95 -256 -256 -0 -0 -0 -4 -0x567 -0xd5f05f00 -256 -256 -1 -0 -0 -4 -0x7900 -0x766f2a0c -256 -256 -1 -0 -0 -4 -0x3dd8 -0x46e9644 -256 -256 -0 -0 -0 -3 -0xf866 -0x12926bbe -256 -256 -1 -0 -0 -2 -0xb8c1 -0xfb49f4f8 -256 -256 -1 -0 -0 -2 -0x392e -0x1d580bcc -256 -256 -0 -0 -0 -1 -0xcfac -0x760568da -256 -256 -1 -0 -0 -1 -0x6a9a -0xd762308e -256 -256 -0 -0 -0 -4 -0xc632 -0xd32ab0cb -256 -256 -0 -0 -0 -2 -0x5b2f -0xff4fcd3c -256 -256 -0 -0 -0 -4 -0xa893 -0x815b1f65 -256 -256 -1 -0 -0 -4 -0x44a4 -0xeb434004 -256 -256 -0 -0 -0 -2 -0x9609 -0x1dd45455 -256 -256 -1 -0 -0 -4 -0x96bf -0x35ca02f3 -256 -256 -0 -0 -0 -3 -0xdfb3 -0xfb40716d -256 -256 -0 -0 -0 -1 -0xe66f -0xbc30312e -256 -256 -0 -0 -0 -1 -0x308d -0xd481abe9 -256 -256 -0 -0 -0 -3 -0x69a9 -0x36d7e896 -256 -256 -0 -0 -0 -3 -0x8563 -0x38abf368 -256 -256 -1 -0 -0 -3 -0x477 -0x9443cb1d -256 -256 -0 -0 -0 -4 -0xec40 -0x5f57c7ce -256 -256 -1 -0 -0 -1 -0x6743 -0x6c69553e -256 -256 -1 -0 -0 -1 -0x8d58 -0xe84ad28c -256 -256 -0 -0 -0 -3 -0x7f51 -0xe392ef7d -256 -256 -1 -0 -0 -2 -0xc871 -0x83f46839 -256 -256 -1 -0 -0 -1 -0x3ce0 -0x8667eff2 -256 -256 -1 -0 -0 -3 -0x1520 -0x3125e6cf -256 -256 -1 -0 -0 -3 -0xa2dd -0x9de94641 -256 -256 -1 -0 -0 -3 -0x4e1f -0xb896f915 -256 -256 -0 -0 -0 -1 -0x3d61 -0x1067842b -256 -256 -1 -0 -0 -2 -0x3640 -0x7838d605 -256 -256 -0 -0 -0 -1 -0xbe4 -0xb9ecccdf -256 -256 -1 -0 -0 -4 -0xfc55 -0xe6067cc1 -256 -256 -0 -0 -0 -2 -0xc14 -0x66292e73 -256 -256 -1 -0 -0 -3 -0x8b82 -0x7809f2ce -256 -256 -1 -0 -0 -2 -0xb036 -0x78b0136a -256 -256 -0 -0 -0 -3 -0x7f89 -0xaa7c7631 -256 -256 -0 -0 -0 -4 -0x774a -0x9593dead -256 -256 -0 -0 -0 -3 -0x5310 -0xf925281a -256 -256 -1 -0 -0 -2 -0xef0a -0x11b3e85d -256 -256 -1 -0 -0 -4 -0xec55 -0x311953ea -256 -256 -0 -0 -0 -3 -0x9ad8 -0x4ccbf447 -256 -256 -0 -0 -0 -3 -0xbd0e -0xd75c73cb -256 -256 -0 -0 -0 -4 -0xe094 -0x54fa9bb1 -256 -256 -0 -0 -0 -2 -0xf8a0 -0xcb7028ec -256 -256 -0 -0 -0 -2 -0xc04c -0x6573304e -256 -256 -1 -0 -0 -4 -0x1f39 -0xd164012b -256 -256 -0 -0 -0 -2 -0xbdf9 -0x24ae7431 -256 -256 -0 -0 -0 -3 -0x1c40 -0x9f58fb05 -256 -256 -1 -0 -0 -2 -0xd7da -0x7fd3b385 -256 -256 -0 -0 -0 -4 -0x9074 -0x9f66b8d2 -256 -256 -0 -0 -0 -4 -0x5fae -0xd138632f -256 -256 -0 -0 -0 -3 -0x6ab8 -0xcdd752ce -256 -256 -0 -0 -0 -2 -0x2930 -0x7652637a -256 -256 -1 -0 -0 -4 -0x726c -0xed5ff655 -256 -256 -1 -0 -0 -1 -0x8913 -0x91f050e4 -256 -256 -1 -0 -0 -4 -0x9bf0 -0x6bc97f34 -256 -256 -0 -0 -0 -2 -0x8386 -0x7e00f48e -256 -256 -0 -0 -0 -1 -0xa42e -0x20926f55 -256 -256 -1 -0 -0 -4 -0xa128 -0x9b60aabf -256 -256 -0 -0 -0 -4 -0xa809 -0xba169c26 -256 -256 -0 -0 -0 -1 -0xec21 -0xc8a5d081 -256 -256 -1 -0 -0 -1 -0x757b -0xd3ce0af4 -256 -256 -1 -0 -0 -4 -0x6890 -0xa2cca775 -256 -256 -1 -0 -0 -3 -0xddc4 -0x5ccee303 -256 -256 -0 -0 -0 -2 -0x7855 -0xf57c7d59 -256 -256 -0 -0 -0 -2 -0x5e96 -0xd484b8e5 -256 -256 -0 -0 -0 -3 -0x99f6 -0x5c8d7f58 -256 -256 -1 -0 -0 -1 -0x1159 -0x9009f34d -256 -256 -1 -0 -0 -2 -0x1586 -0x723b1cdd -256 -256 -1 -0 -0 -1 -0x2c81 -0xfc2b67db -256 -256 -0 -0 -0 -1 -0x1d8c -0x34ad6602 -256 -256 -0 -0 -0 -3 -0x6e83 -0x3ec2fcba -256 -256 -0 -0 -0 -4 -0x6a67 -0x6888e958 -256 -256 -1 -0 -0 -1 -0x3c25 -0x763f02ff -256 -256 -1 -0 -0 -1 -0x3d4c -0xff2c4f0 -256 -256 -1 -0 -0 -1 -0xe952 -0x2770efe3 -256 -256 -0 -0 -0 -3 -0x69b2 -0x8c2c7993 -256 -256 -0 -0 -0 -4 -0xd410 -0x21fcfbdb -256 -256 -0 -0 -0 -3 -0xc79f -0x84fbb08e -256 -256 -1 -0 -0 -4 -0x75ed -0xdd06a088 -256 -256 -0 -0 -0 -1 -0x3ad6 -0x8b9502f9 -256 -256 -1 -0 -0 -4 -0x9292 -0x8809e3ea -256 -256 -1 -0 -0 -4 -0x9dde -0xb1ed869a -256 -256 -0 -0 -0 -2 -0xe13e -0xf28bbc01 -256 -256 -0 -0 -0 -3 -0xdc32 -0xd068883f -256 -256 -0 -0 -0 -1 -0x473a -0x6aacbb34 -256 -256 -0 -0 -0 -2 -0xc82e -0x723380a2 -256 -256 -0 -0 -0 -3 -0x28bd -0xfb58413 -256 -256 -0 -0 -0 -4 -0xa5b2 -0xd2382c71 -256 -256 -1 -0 -0 -4 -0x9ec7 -0xab2304e1 -256 -256 -0 -0 -0 -3 -0xa682 -0xc678cc18 -256 -256 -0 -0 -0 -1 -0xd5a2 -0xbe3318ae -256 -256 -1 -0 -0 -3 -0x3ccf -0x821a94e -256 -256 -1 -0 -0 -3 -0x970b -0x956963bb -256 -256 -0 -0 -0 -4 -0x2767 -0xb4d6c100 -256 -256 -0 -0 -0 -2 -0xa5d3 -0xa3a38ff9 -256 -256 -1 -0 -0 -3 -0x2282 -0x2fe154ea -256 -256 -1 -0 -0 -1 -0xdc2a -0x98d122c2 -256 -256 -1 -0 -0 -3 -0x3b76 -0x5522d72 -256 -256 -0 -0 -0 -3 -0x280 -0xd1b2d20 -256 -256 -0 -0 -0 -3 -0x7f39 -0x51a9c147 -256 -256 -1 -0 -0 -3 -0x7f4a -0x9ed526a7 -256 -256 -1 -0 -0 -2 -0xcef -0x5c50c29b -256 -256 -0 -0 -0 -1 -0x7baa -0x18470474 -256 -256 -0 -0 -0 -3 -0x9887 -0x97473030 -256 -256 -0 -0 -0 -4 -0x8c9b -0xbc42570d -256 -256 -0 -0 -0 -2 -0xd337 -0x5cab07b4 -256 -256 -1 -0 -0 -1 -0xe9d2 -0xe6f2b3c9 -256 -256 -1 -0 -0 -1 -0x6536 -0xc81a1a90 -256 -256 -0 -0 -0 -1 -0x2869 -0x3a64827f -256 -256 -1 -0 -0 -3 -0x7cc4 -0xef3b7e56 -256 -256 -0 -0 -0 -3 -0xe358 -0x4a4d43ae -256 -256 -0 -0 -0 -4 -0xf37e -0xfa5032e2 -256 -256 -1 -0 -0 -1 -0xbf35 -0x8abde8f7 -256 -256 -1 -0 -0 -1 -0x9f0a -0x362eaa9 -256 -256 -0 -0 -0 -2 -0x66cf -0x4613b2c8 -256 -256 -0 -0 -0 -2 -0xc13b -0x557d734e -256 -256 -1 -0 -0 -2 -0xc1b6 -0xb9636e82 -256 -256 -1 -0 -0 -4 -0xb9da -0x32294fcb -256 -256 -1 -0 -0 -2 -0x2c92 -0x47a85307 -256 -256 -0 -0 -0 -3 -0xfced -0xfcdb1353 -256 -256 -1 -0 -0 -2 -0xebee -0x7132deb0 -256 -256 -1 -0 -0 -1 -0xf06c -0x74ce4482 -256 -256 -1 -0 -0 -1 -0x36d4 -0xafec81c2 -256 -256 -0 -0 -0 -4 -0x44a3 -0xe7a84263 -256 -256 -0 -0 -0 -1 -0x820d -0x24cc676b -256 -256 -0 -0 -0 -2 -0x510a -0x5b2109f3 -256 -256 -1 -0 -0 -2 -0xf2ee -0x48dfc1c4 -256 -256 -1 -0 -0 -1 -0x3908 -0xe999906c -256 -256 -1 -0 -0 -3 -0x9ad7 -0x53641540 -256 -256 -1 -0 -0 -2 -0x9c69 -0x2fe0921d -256 -256 -1 -0 -0 -2 -0x8784 -0x1babf4c -256 -256 -0 -0 -0 -4 -0x5597 -0x30ff8f17 -256 -256 -1 -0 -0 -3 -0xe602 -0x3c72d1da -256 -256 -1 -0 -0 -2 -0xcd30 -0x316ab8e3 -256 -256 -1 -0 -0 -4 -0x2be4 -0x852c5357 -256 -256 -0 -0 -0 -3 -0x902f -0xe465477b -256 -256 -1 -0 -0 -2 -0x20d -0x5297c55b -256 -256 -0 -0 -0 -2 -0xa4ea -0x2a73a2c7 -256 -256 -1 -0 -0 -2 -0x2b5d -0x907697f7 -256 -256 -1 -0 -0 -3 -0x6288 -0xc964cb2e -256 -256 -0 -0 -0 -3 -0x40af -0x16a9f810 -256 -256 -0 -0 -0 -1 -0x399f -0xe4549e7 -256 -256 -0 -0 -0 -2 -0xd357 -0x8290cc85 -256 -256 -1 -0 -0 -4 -0x85fd -0xcf22efbb -256 -256 -1 -0 -0 -1 -0x791d -0xd953d923 -256 -256 -0 -0 -0 -1 -0x3fe7 -0xcfd07176 -256 -256 -1 -0 -0 -1 -0xd011 -0xbe1582cf -256 -256 -0 -0 -0 -4 -0x1a15 -0xe389f1c0 -256 -256 -0 -0 -0 -3 -0xecfa -0x14651b9f -256 -256 -0 -0 -0 -3 -0x843e -0x67986999 -256 -256 -1 -0 -0 -2 -0x2735 -0xdef039eb -256 -256 -0 -0 -0 -2 -0x1cda -0x73fe41be -256 -256 -1 -0 -0 -2 -0xef0d -0x22153659 -256 -256 -0 -0 -0 -4 -0x3ea -0xc6bc4f87 -256 -256 -1 -0 -0 -1 -0xf7e0 -0xedd41342 -256 -256 -1 -0 -0 -4 -0xd3d7 -0x215eb0fa -256 -256 -0 -0 -0 -4 -0x10ec -0x71e34ef1 -256 -256 -0 -0 -0 -4 -0x73df -0xcb071093 -256 -256 -0 -0 -0 -1 -0xc06d -0x41f38568 -256 -256 -1 -0 -0 -4 -0x399c -0xf026dbc6 -256 -256 -1 -0 -0 -2 -0xa36c -0x977c05b1 -256 -256 -1 -0 -0 -3 -0xb6c0 -0x17b8491 -256 -256 -1 -0 -0 -2 -0xd6fb -0xa98fdff -256 -256 -0 -0 -0 -1 -0xec06 -0x124cfa71 -256 -256 -1 -0 -0 -3 -0x847b -0xba790e5f -256 -256 -1 -0 -0 -2 -0x8493 -0xb66cd6ec -256 -256 -1 -0 -0 -3 -0xeb88 -0x43091884 -256 -256 -1 -0 -0 -4 -0xeee9 -0xcf5803e -256 -256 -1 -0 -0 -3 -0xd6b9 -0xbdea452d -256 -256 -1 -0 -0 -2 -0x6892 -0x8c5d3900 -256 -256 -1 -0 -0 -4 -0x7dc4 -0x3ce7acff -256 -256 -0 -0 -0 -4 -0x9658 -0x62c0bb6b -256 -256 -0 -0 -0 -4 -0x26b3 -0x84beb205 -256 -256 -0 -0 -0 -3 -0x5dc4 -0x1f4f8c5c -256 -256 -0 -0 -0 -2 -0x6a4e -0x5a89a1ea -256 -256 -1 -0 -0 -1 -0xffd7 -0xda4d15cf -256 -256 -1 -0 -0 -1 -0x45aa -0x4a0ef450 -256 -256 -0 -0 -0 -3 -0x1a56 -0x1c71eb8b -256 -256 -0 -0 -0 -3 -0xd838 -0xd9b007de -256 -256 -1 -0 -0 -1 -0xa538 -0x865b028d -256 -256 -1 -0 -0 -1 -0x1936 -0x644d9f40 -256 -256 -0 -0 -0 -3 -0xfaa8 -0x54407107 -256 -256 -0 -0 -0 -3 -0x225d -0xa56f8190 -256 -256 -0 -0 -0 -3 -0xceb8 -0x1177441e -256 -256 -1 -0 -0 -4 -0xe0c6 -0x42706b68 -256 -256 -0 -0 -0 -2 -0xba6e -0x62846db2 -256 -256 -0 -0 -0 -2 -0x8120 -0xf3e44584 -256 -256 -1 -0 -0 -2 -0xef8f -0x4619e976 -256 -256 -0 -0 -0 -4 -0x940b -0x448ad4b2 -256 -256 -0 -0 -0 -4 -0x676 -0x4311dc78 -256 -256 -1 -0 -0 -3 -0x5286 -0x127ad50c -256 -256 -1 -0 -0 -4 -0x761e -0xe5e69175 -256 -256 -1 -0 -0 -1 -0xd01 -0x58fdbc86 -256 -256 -1 -0 -0 -4 -0x3154 -0xf43e7b93 -256 -256 -1 -0 -0 -2 -0x85f8 -0x3aa1c6c9 -256 -256 -1 -0 -0 -1 -0x85aa -0x2c98ea25 -256 -256 -1 -0 -0 -4 -0xce56 -0xbe9344a2 -256 -256 -0 -0 -0 -4 -0x45ff -0x3dc4279 -256 -256 -1 -0 -0 -2 -0x24ea -0xab70c2c5 -256 -256 -0 -0 -0 -3 -0x67a7 -0x173ad0cd -256 -256 -0 -0 -0 -2 -0xcd32 -0x7f8de8e5 -256 -256 -1 -0 -0 -4 -0x3902 -0x821ac20c -256 -256 -1 -0 -0 -4 -0x4775 -0xaad1ebbe -256 -256 -0 -0 -0 -1 -0x7ec2 -0xac5e8361 -256 -256 -1 -0 -0 -3 -0x2c7c -0xdcbb323f -256 -256 -1 -0 -0 -4 -0xa4ac -0x52328f67 -256 -256 -0 -0 -0 -4 -0x7926 -0xb6683b13 -256 -256 -0 -0 -0 -4 -0x1972 -0x1453204 -256 -256 -0 -0 -0 -4 -0x7f7b -0x70607218 -256 -256 -1 -0 -0 -4 -0x4eee -0x2d2911f2 -256 -256 -0 -0 -0 -1 -0x1c20 -0x26e635eb -256 -256 -0 -0 -0 -3 -0x1f78 -0xbba172f1 -256 -256 -0 -0 -0 -4 -0xb564 -0xb3eab987 -256 -256 -0 -0 -0 -2 -0x313f -0x51e113db -256 -256 -0 -0 -0 -3 -0xe266 -0x715f39ce -256 -256 -0 -0 -0 -1 -0xbfa5 -0xd52858f6 -256 -256 -1 -0 -0 -4 -0xd3bf -0xff902f28 -256 -256 -0 -0 -0 -1 -0xaeba -0xca460c52 -256 -256 -1 -0 -0 -2 -0x5e -0x193cd46c -256 -256 -1 -0 -0 -3 -0x611 -0x71ff605b -256 -256 -1 -0 -0 -1 -0x5d0b -0x35df48d1 -256 -256 -0 -0 -0 -3 -0x1e1b -0x4b68b934 -256 -256 -0 -0 -0 -1 -0x30c3 -0x72f9d80 -256 -256 -1 -0 -0 -3 -0x1768 -0x83d1ab4f -256 -256 -1 -0 -0 -3 -0x924e -0xc56806c2 -256 -256 -0 -0 -0 -4 -0x6296 -0x5ba4876b -256 -256 -0 -0 -0 -2 -0xb81f -0x99aeebaf -256 -256 -1 -0 -0 -1 -0x5397 -0xedf4dbf2 -256 -256 -0 -0 -0 -2 -0xbb93 -0x7e6f5824 -256 -256 -1 -0 -0 -1 -0xf581 -0xde304fdf -256 -256 -1 -0 -0 -3 -0x3637 -0xa685a142 -256 -256 -0 -0 -0 -3 -0xf0db -0x9a1e4d1a -256 -256 -0 -0 -0 -3 -0x3352 -0x4b286f60 -256 -256 -0 -0 -0 -1 -0xde62 -0x482f1ccc -256 -256 -1 -0 -0 -1 -0xf50c -0x842bc704 -256 -256 -0 -0 -0 -3 -0xbddb -0x43af053f -256 -256 -1 -0 -0 -2 -0xd47c -0x99a16d9d -256 -256 -1 -0 -0 -4 -0xb136 -0x9c60f814 -256 -256 -1 -0 -0 -4 -0xe738 -0x94d20e96 -256 -256 -0 -0 -0 -2 -0x58ed -0x59df9840 -256 -256 -1 -0 -0 -1 -0xc24f -0x56d71cf6 -256 -256 -0 -0 -0 -3 -0xdaa8 -0x7c0ef610 -256 -256 -1 -0 -0 -4 -0xcf74 -0x18c3a973 -256 -256 -0 -0 -0 -4 -0x6770 -0x585f24fa -256 -256 -1 -0 -0 -2 -0x493f -0xc7801446 -256 -256 -1 -0 -0 -2 -0x1c2e -0x7e408e13 -256 -256 -0 -0 -0 -1 -0x223c -0x6ec39520 -256 -256 -1 -0 -0 -4 -0x93be -0x9465aef7 -256 -256 -0 -0 -0 -1 -0x60e2 -0x927bb4e5 -256 -256 -1 -0 -0 -3 -0x390 -0x6ca02874 -256 -256 -1 -0 -0 -3 -0xa5e -0x570bc63c -256 -256 -1 -0 -0 -3 -0x56fe -0xa64b6e70 -256 -256 -1 -0 -0 -1 -0x9f73 -0x15daf7e9 -256 -256 -1 -0 -0 -4 -0xc6a4 -0xba55e318 -256 -256 -0 -0 -0 -4 -0x1547 -0x7960d858 -256 -256 -0 -0 -0 -4 -0x9674 -0xad1fa491 -256 -256 -1 -0 -0 -1 -0xe26a -0xe19ecf5e -256 -256 -1 -0 -0 -1 -0x977a -0x297414cb -256 -256 -0 -0 -0 -3 -0xb912 -0x84febe9b -256 -256 -0 -0 -0 -3 -0x7a3b -0xcaaf3025 -256 -256 -1 -0 -0 -3 -0x1e96 -0xd220b034 -256 -256 -1 -0 -0 -2 -0x6577 -0x47e453b2 -256 -256 -0 -0 -0 -2 -0xdddd -0xf4bf812c -256 -256 -0 -0 -0 -1 -0xa15c -0x7079db8e -256 -256 -1 -0 -0 -4 -0xf831 -0x35ec75ee -256 -256 -0 -0 -0 -1 -0x18e5 -0xd42dcc3b -256 -256 -0 -0 -0 -3 -0x4f05 -0x64fbad0c -256 -256 -0 -0 -0 -1 -0x33b7 -0x3aa6f79a -256 -256 -1 -0 -0 -3 -0x712b -0xb71e6da3 -256 -256 -1 -0 -0 -3 -0xea9c -0x111847af -256 -256 -1 -0 -0 -3 -0xdeb3 -0xb8566896 -256 -256 -1 -0 -0 -3 -0xce6f -0x136fb39d -256 -256 -0 -0 -0 -1 -0xc2ee -0xe6d044c9 -256 -256 -1 -0 -0 -2 -0x34d6 -0xa7aa1637 -256 -256 -1 -0 -0 -1 -0xc711 -0x417a18ca -256 -256 -1 -0 -0 -3 -0x379f -0x873676c4 -256 -256 -0 -0 -0 -3 -0x7630 -0xf50ba347 -256 -256 -0 -0 -0 -4 -0xec90 -0xa7eb9b8 -256 -256 -1 -0 -0 -2 -0xc655 -0x8e2a25db -256 -256 -0 -0 -0 -1 -0xdee6 -0xa914ae33 -256 -256 -0 -0 -0 -1 -0x11b7 -0x46f897d1 -256 -256 -0 -0 -0 -1 -0xb677 -0xf6d13d8d -256 -256 -1 -0 -0 -3 -0xf9f3 -0xf62b8472 -256 -256 -0 -0 -0 -4 -0x94de -0x5b25287c -256 -256 -1 -0 -0 -3 -0xc8f6 -0x728987c5 -256 -256 -0 -0 -0 -1 -0x7fd9 -0x15103066 -256 -256 -0 -0 -0 -2 -0xa4e6 -0xd8e0cf76 -256 -256 -1 -0 -0 -2 -0x5865 -0xbaf1c1e0 -256 -256 -1 -0 -0 -2 -0x34fe -0x90fc34b8 -256 -256 -0 -0 -0 -4 -0x6b8b -0x619dd9e8 -256 -256 -0 -0 -0 -2 -0xe22f -0x60149753 -256 -256 -1 -0 -0 -1 -0x3a5f -0x497dc082 -256 -256 -0 -0 -0 -4 -0x5272 -0xf73f7 -256 -256 -1 -0 -0 -3 -0xeb37 -0xa1c2685d -256 -256 -0 -0 -0 -1 -0xb40b -0x11438017 -256 -256 -1 -0 -0 -2 -0xd72c -0xb74d44cb -256 -256 -1 -0 -0 -2 -0x7b95 -0xde8a02f2 -256 -256 -1 -0 -0 -1 -0xc9f8 -0xbc4e219f -256 -256 -1 -0 -0 -4 -0x8bbe -0x9ea9b12 -256 -256 -1 -0 -0 -3 -0xb883 -0xbd91c93b -256 -256 -1 -0 -0 -2 -0x129d -0xe24ba679 -256 -256 -0 -0 -0 -2 -0x6d98 -0xb18ef1e8 -256 -256 -0 -0 -0 -4 -0x3250 -0x3b727b0f -256 -256 -0 -0 -0 -1 -0x17b5 -0x254b247 -256 -256 -0 -0 -0 -1 -0x5d74 -0x20d9d689 -256 -256 -1 -0 -0 -4 -0xa0a4 -0xcb28558f -256 -256 -0 -0 -0 -2 -0x33d6 -0x41cd86a8 -256 -256 -0 -0 -0 -2 -0x8aa4 -0xe4aae0f2 -256 -256 -0 -0 -0 -1 -0x4b91 -0x880f76c0 -256 -256 -1 -0 -0 -2 -0xc577 -0x800eb067 -256 -256 -1 -0 -0 -3 -0x77c1 -0x27f20b79 -256 -256 -1 -0 -0 -4 -0x5c8c -0x8b8b571c -256 -256 -1 -0 -0 -4 -0xa2db -0xe8fe6374 -256 -256 -0 -0 -0 -3 -0xa0ed -0x661af7a2 -256 -256 -1 -0 -0 -2 -0x80de -0x5d55cacc -256 -256 -1 -0 -0 -1 -0x39c2 -0x7fc586b4 -256 -256 -0 -0 -0 -1 -0x7c16 -0xb412fe8e -256 -256 -1 -0 -0 -1 -0xb25b -0xf449389 -256 -256 -0 -0 -0 -1 -0x8ce0 -0xac0e01bc -256 -256 -0 -0 -0 -2 -0x99a2 -0x9e9a26 -256 -256 -1 -0 -0 -4 -0x1076 -0xc43a8e1 -256 -256 -1 -0 -0 -1 -0x8b5e -0x6ff33ee -256 -256 -1 -0 -0 -4 -0x2ef7 -0x59798838 -256 -256 -1 -0 -0 -3 -0xe4a8 -0x3578813b -256 -256 -1 -0 -0 -4 -0xc157 -0x69e34301 -256 -256 -0 -0 -0 -1 -0xf0f -0xf5714fe9 -256 -256 -0 -0 -0 -1 -0x3af4 -0x6ee88986 -256 -256 -0 -0 -0 -3 -0xf41d -0x1ecb6973 -256 -256 -1 -0 -0 -3 -0xa533 -0xc20119da -256 -256 -1 -0 -0 -4 -0xecd7 -0xe30777e2 -256 -256 -1 -0 -0 -2 -0x412a -0x93e89adc -256 -256 -0 -0 -0 -2 -0x8d4d -0xaa197c4e -256 -256 -1 -0 -0 -1 -0xf7ad -0x1d2ab2bd -256 -256 -0 -0 -0 -3 -0xca15 -0x9de0a250 -256 -256 -0 -0 -0 -4 -0x51f9 -0x16fc72d5 -256 -256 -0 -0 -0 -1 -0xc777 -0x4a471a3a -256 -256 -0 -0 -0 -3 -0x4950 -0x63fd000c -256 -256 -0 -0 -0 -4 -0x4df -0xf0efec7a -256 -256 -0 -0 -0 -3 -0xa13 -0x4e2064c7 -256 -256 -1 -0 -0 -1 -0x7124 -0xf8492d73 -256 -256 -1 -0 -0 -4 -0x1f0 -0x149afc38 -256 -256 -0 -0 -0 -2 -0x9ff -0x8fdd23d5 -256 -256 -0 -0 -0 -4 -0x14c2 -0xbdda5e56 -256 -256 -0 -0 -0 -1 -0x1025 -0x7ee7cabe -256 -256 -1 -0 -0 -4 -0xc7d1 -0xb897a4d0 -256 -256 -1 -0 -0 -2 -0x3243 -0xaf88221b -256 -256 -1 -0 -0 -2 -0x539 -0xe8b19c4c -256 -256 -0 -0 -0 -2 -0x501 -0xf640a62c -256 -256 -0 -0 -0 -2 -0x703d -0x3474cae4 -256 -256 -1 -0 -0 -4 -0x5f7 -0x7755ae7d -256 -256 -1 -0 -0 -3 -0x62d3 -0xb64d29ab -256 -256 -1 -0 -0 -3 -0xd021 -0x337f7df9 -256 -256 -1 -0 -0 -1 -0xd8c7 -0x9aad38ec -256 -256 -0 -0 -0 -4 -0x8d61 -0x2e4be147 -256 -256 -1 -0 -0 -4 -0xae00 -0xbe02166b -256 -256 -0 -0 -0 -4 -0x369a -0xf28009e7 -256 -256 -0 -0 -0 -1 -0xb454 -0xef7e3b23 -256 -256 -1 -0 -0 -1 -0x1982 -0x8beaf474 -256 -256 -0 -0 -0 -2 -0x5b17 -0x16650884 -256 -256 -0 -0 -0 -4 -0xe872 -0x83b5608b -256 -256 -0 -0 -0 -2 -0x76e7 -0x66f1efd -256 -256 -0 -0 -0 -4 -0x445f -0x82ceb57d -256 -256 -1 -0 -0 -3 -0xff6c -0xb3e28a35 -256 -256 -0 -0 -0 -4 -0x7ecd -0x77a98745 -256 -256 -1 -0 -0 -3 -0x61f -0xf2044cbf -256 -256 -0 -0 -0 -3 -0x79f4 -0xa66ce218 -256 -256 -0 -0 -0 -4 -0xdcf0 -0x6ab64d41 -256 -256 -0 -0 -0 -1 -0xd312 -0xf0577cea -256 -256 -0 -0 -0 -2 -0xc3c6 -0x24b3e0a6 -256 -256 -0 -0 -0 -2 -0x2ac1 -0x1c88db6e -256 -256 -1 -0 -0 -2 -0x9391 -0x835c6487 -256 -256 -1 -0 -0 -4 -0xeee5 -0xa32bf325 -256 -256 -1 -0 -0 -4 -0xdf9 -0x255882dd -256 -256 -0 -0 -0 -2 -0xf8a -0x57f0b0d1 -256 -256 -1 -0 -0 -2 -0xb2c3 -0xfc71b67e -256 -256 -1 -0 -0 -4 -0xf992 -0x1234367c -256 -256 -1 -0 -0 -1 -0xa320 -0x9466efba -256 -256 -0 -0 -0 -1 -0x9e4b -0xd100d304 -256 -256 -0 -0 -0 -4 -0x7eb1 -0x90448264 -256 -256 -1 -0 -0 -1 -0xbad7 -0x7c31f579 -256 -256 -1 -0 -0 -2 -0x943f -0xc87553e -256 -256 -0 -0 -0 -4 -0xa1dd -0x9be9e8e0 -256 -256 -0 -0 -0 -3 -0x18ee -0x3a185de7 -256 -256 -0 -0 -0 -2 -0x3c75 -0xee5948db -256 -256 -0 -0 -0 -4 -0x39a6 -0x744bfdc1 -256 -256 -0 -0 -0 -1 -0xc669 -0x118e8482 -256 -256 -0 -0 -0 -2 -0x5584 -0x98dd241 -256 -256 -1 -0 -0 -2 -0xe7df -0x96bc308d -256 -256 -0 -0 -0 -2 -0x2a45 -0x10d3c98c -256 -256 -0 -0 -0 -3 -0xb2f6 -0xd1d68ec4 -256 -256 -0 -0 -0 -2 -0x40a9 -0x87f8d029 -256 -256 -1 -0 -0 -1 -0x4f90 -0x700dec8b -256 -256 -1 -0 -0 -1 -0x5c47 -0xcf6d1017 -256 -256 -1 -0 -0 -1 -0xa1ae -0x521a1f72 -256 -256 -1 -0 -0 -1 -0x4650 -0x8727648c -256 -256 -1 -0 -0 -3 -0x8e34 -0xbfab0c20 -256 -256 -1 -0 -0 -2 -0x5db8 -0xcbe43c49 -256 -256 -1 -0 -0 -4 -0x9ef1 -0x7e0b44fa -256 -256 -1 -0 -0 -3 -0x2d54 -0xd1e3ddc7 -256 -256 -1 -0 -0 -4 -0x51b3 -0xe47c1800 -256 -256 -1 -0 -0 -2 -0x2a8f -0x24dda928 -256 -256 -0 -0 -0 -3 -0xcd86 -0x729096ea -256 -256 -0 -0 -0 -1 -0xda43 -0xeb344f9e -256 -256 -0 -0 -0 -4 -0xf54c -0xb9cf391e -256 -256 -1 -0 -0 -4 -0xbe6f -0x56bbbaeb -256 -256 -0 -0 -0 -1 -0x1511 -0xe34cdd82 -256 -256 -1 -0 -0 -3 -0x490f -0x53d57678 -256 -256 -1 -0 -0 -3 -0xad19 -0x680f83a3 -256 -256 -0 -0 -0 -1 -0x10b5 -0xd757fc94 -256 -256 -0 -0 -0 -3 -0x80e6 -0x3cc1fdb9 -256 -256 -1 -0 -0 -4 -0x2aa -0xf4208150 -256 -256 -1 -0 -0 -1 -0x7b09 -0x35b97b6f -256 -256 -0 -0 -0 -2 -0x7cb1 -0x469e320 -256 -256 -1 -0 -0 -1 -0x898d -0x7bcb2eff -256 -256 -0 -0 -0 -3 -0x115a -0x59450e7b -256 -256 -1 -0 -0 -4 -0x97f -0x7979e3e2 -256 -256 -1 -0 -0 -2 -0x3714 -0xf43cc518 -256 -256 -0 -0 -0 -2 -0x518c -0x2c851130 -256 -256 -0 -0 -0 -4 -0xf70 -0xbaf7ce28 -256 -256 -0 -0 -0 -4 -0x13e -0x226946f6 -256 -256 -1 -0 -0 -4 -0xd144 -0xe70cf3eb -256 -256 -0 -0 -0 -1 -0xbe8 -0x95050f3c -256 -256 -1 -0 -0 -1 -0xc133 -0xcf43a78b -256 -256 -1 -0 -0 -3 -0x6aed -0x2bb061ab -256 -256 -1 -0 -0 -1 -0x188d -0x3fcd01e4 -256 -256 -1 -0 -0 -2 -0x8522 -0xb156bbf5 -256 -256 -0 -0 -0 -3 -0x3f6b -0x319f839a -256 -256 -1 -0 -0 -4 -0x3536 -0x3596e604 -256 -256 -0 -0 -0 -2 -0x4506 -0xc565c3ff -256 -256 -1 -0 -0 -1 -0xb7c -0x3d68f986 -256 -256 -0 -0 -0 -1 -0x2dbf -0x728a1499 -256 -256 -0 -0 -0 -4 -0x2ee1 -0x47ab0c0e -256 -256 -0 -0 -0 -1 -0xc332 -0xa7faf8f -256 -256 -1 -0 -0 -4 -0xdfbc -0xe752c989 -256 -256 -0 -0 -0 -1 -0xb753 -0x4376f62b -256 -256 -1 -0 -0 -2 -0x3f38 -0xf9c1803d -256 -256 -0 -0 -0 -2 -0xf079 -0x8387dd60 -256 -256 -1 -0 -0 -3 -0xed53 -0x61da2cd -256 -256 -1 -0 -0 -3 -0x7c78 -0xd4c873ef -256 -256 -1 -0 -0 -3 -0xbd4f -0xd359c60a -256 -256 -1 -0 -0 -3 -0x27fb -0x14b8f45 -256 -256 -1 -0 -0 -2 -0x67a7 -0xbfb9e72c -256 -256 -0 -0 -0 -1 -0x50f -0x35b3c11d -256 -256 -0 -0 -0 -3 -0x43b9 -0x4ce6cced -256 -256 -0 -0 -0 -3 -0x552f -0x98e20a8f -256 -256 -1 -0 -0 -3 -0xecb9 -0x4f2610f5 -256 -256 -0 -0 -0 -3 -0xc7d1 -0xf6333ebd -256 -256 -0 -0 -0 -3 -0x47dd -0xf55f5203 -256 -256 -1 -0 -0 -2 -0x26c8 -0x9105854e -256 -256 -0 -0 -0 -4 -0x46b8 -0x2c326f27 -256 -256 -1 -0 -0 -4 -0xa61 -0x67923bd3 -256 -256 -0 -0 -0 -1 -0x97a3 -0xaef66835 -256 -256 -1 -0 -0 -1 -0xc721 -0xf4e3579d -256 -256 -0 -0 -0 -2 -0xb15a -0x1ccdf010 -256 -256 -1 -0 -0 -3 -0xe8ca -0x2ec17cda -256 -256 -1 -0 -0 -3 -0xbf20 -0x11209c71 -256 -256 -1 -0 -0 -2 -0x483c -0x24bd77df -256 -256 -1 -0 -0 -2 -0x8bfd -0xda0aaa6 -256 -256 -1 -0 -0 -1 -0xae17 -0x501b665 -256 -256 -0 -0 -0 -1 -0xc9ac -0xc7c0f98 -256 -256 -1 -0 -0 -1 -0xcc6a -0xe48e3e43 -256 -256 -1 -0 -0 -1 -0x64c4 -0x86ade214 -256 -256 -1 -0 -0 -4 -0x99b4 -0x8f0dbac2 -256 -256 -1 -0 -0 -1 -0xc861 -0x5f67bac6 -256 -256 -1 -0 -0 -3 -0xb7a0 -0x189bd93e -256 -256 -1 -0 -0 -1 -0x6ae0 -0x19887088 -256 -256 -0 -0 -0 -4 -0x59fe -0xca31c07d -256 -256 -1 -0 -0 -3 -0xa4aa -0x43c9aa37 -256 -256 -0 -0 -0 -1 -0x7ce -0xa4119e75 -256 -256 -0 -0 -0 -4 -0x6d13 -0x315d57d3 -256 -256 -1 -0 -0 -1 -0xf108 -0xebf59965 -256 -256 -0 -0 -0 -1 -0xf224 -0xed903dde -256 -256 -1 -0 -0 -2 -0xee6d -0x7138bf61 -256 -256 -1 -0 -0 -3 -0x76e6 -0xfaa9ed3f -256 -256 -1 -0 -0 -2 -0x6e1e -0x28ed34fd -256 -256 -0 -0 -0 -3 -0x287c -0x56f94b6e -256 -256 -0 -0 -0 -4 -0x3f29 -0xf3ee63a8 -256 -256 -1 -0 -0 -2 -0x4148 -0xfecca6db -256 -256 -1 -0 -0 -1 -0x79b1 -0x6a8c498 -256 -256 -1 -0 -0 -3 -0x8ec3 -0xc337ee55 -256 -256 -1 -0 -0 -3 -0x8fef -0xfc4a886c -256 -256 -1 -0 -0 -3 -0xfb7 -0x66504c0e -256 -256 -1 -0 -0 -4 -0x182a -0xef7be420 -256 -256 -0 -0 -0 -1 -0x8729 -0x34edd326 -256 -256 -0 -0 -0 -4 -0xfa70 -0x5bb6120d -256 -256 -1 -0 -0 -3 -0xc29e -0x42fb7c0e -256 -256 -1 -0 -0 -1 -0x4891 -0xcc56b71e -256 -256 -1 -0 -0 -1 -0x5cde -0xf0ec1958 -256 -256 -0 -0 -0 -3 -0x4f31 -0xf42ac472 -256 -256 -1 -0 -0 -4 -0x67f -0xeb1d0c7a -256 -256 -1 -0 -0 -2 -0x8f11 -0xa84b4636 -256 -256 -0 -0 -0 -4 -0xc340 -0x2941f7b7 -256 -256 -1 -0 -0 -4 -0x7497 -0x8f5c638d -256 -256 -0 -0 -0 -1 -0x57ab -0x2f649ee3 -256 -256 -0 -0 -0 -2 -0x79e7 -0xfe6807f7 -256 -256 -1 -0 -0 -1 -0x4aed -0x9c9233f -256 -256 -1 -0 -0 -2 -0xe950 -0xc5f71d87 -256 -256 -1 -0 -0 -3 -0xa9e3 -0xc0739bc4 -256 -256 -0 -0 -0 -4 -0xfe3d -0x2c26f17d -256 -256 -0 -0 -0 -4 -0x4a5e -0x3e71cf74 -256 -256 -1 -0 -0 -1 -0x5365 -0x48d73b45 -256 -256 -1 -0 -0 -4 -0x8cb8 -0xa57de70b -256 -256 -0 -0 -0 -3 -0x4b2b -0xaa67c253 -256 -256 -0 -0 -0 -1 -0x9a9d -0xb13514b0 -256 -256 -0 -0 -0 -3 -0x8657 -0x4dcd2e28 -256 -256 -0 -0 -0 -2 -0xb856 -0x695e4d66 -256 -256 -1 -0 -0 -2 -0x627d -0x73804440 -256 -256 -1 -0 -0 -3 -0xf44e -0xdfc5efd7 -256 -256 -1 -0 -0 -1 -0x52be -0x3f047e54 -256 -256 -0 -0 -0 -4 -0xbe95 -0x7de8ac14 -256 -256 -0 -0 -0 -1 -0x1bcf -0xfba356db -256 -256 -1 -0 -0 -4 -0x96 -0x7dcac2de -256 -256 -1 -0 -0 -1 -0xb12a -0x832bcb12 -256 -256 -0 -0 -0 -2 -0xab09 -0x4c1c4665 -256 -256 -0 -0 -0 -2 -0x8ebf -0x5ed116c5 -256 -256 -1 -0 -0 -4 -0x3159 -0xa967fb63 -256 -256 -1 -0 -0 -4 -0x2ae -0xe986aaf7 -256 -256 -1 -0 -0 -4 -0xced8 -0x5b54c5bb -256 -256 -1 -0 -0 -4 -0x5f7e -0x7482233b -256 -256 -1 -0 -0 -1 -0x1c42 -0x30353534 -256 -256 -0 -0 -0 -3 -0xcdd3 -0x951d46a6 -256 -256 -1 -0 -0 -4 -0x9dbd -0xc4c60eb -256 -256 -0 -0 -0 -3 -0xef2 -0xf8b28d03 -256 -256 -0 -0 -0 -4 -0xbf35 -0xe354d853 -256 -256 -1 -0 -0 -4 -0xaa61 -0x44e2ebfa -256 -256 -0 -0 -0 -3 -0xeb8 -0x691f778e -256 -256 -0 -0 -0 -2 -0xf56c -0x6330f299 -256 -256 -1 -0 -0 -1 -0xb696 -0x9b42e40e -256 -256 -1 -0 -0 -2 -0x7fc8 -0xc044ba77 -256 -256 -1 -0 -0 -2 -0x9383 -0x4c3ad569 -256 -256 -0 -0 -0 -1 -0x6df4 -0xe3779ea2 -256 -256 -1 -0 -0 -4 -0x8c84 -0xfe841ebb -256 -256 -0 -0 -0 -1 -0x5472 -0xb000b0de -256 -256 -0 -0 -0 -4 -0xc64a -0x84dbb5df -256 -256 -0 -0 -0 -4 -0xc04d -0xa7b45c86 -256 -256 -0 -0 -0 -3 -0x3082 -0xc311713a -256 -256 -0 -0 -0 -1 -0xeec8 -0x3034926d -256 -256 -1 -0 -0 -4 -0xf376 -0x2a01d9f0 -256 -256 -0 -0 -0 -1 -0xd6db -0x6f07e683 -256 -256 -1 -0 -0 -2 -0x1725 -0x6234e3c6 -256 -256 -1 -0 -0 -1 -0xe0 -0x3bac9702 -256 -256 -1 -0 -0 -2 -0x69f8 -0xa026cf6f -256 -256 -0 -0 -0 -1 -0x28e3 -0x7dfb5bff -256 -256 -0 -0 -0 -4 -0x1fbb -0xcd13d255 -256 -256 -1 -0 -0 -4 -0x270d -0x1cbeed1f -256 -256 -0 -0 -0 -2 -0xc49b -0xf3264a52 -256 -256 -0 -0 -0 -3 -0x321e -0x8a5299b -256 -256 -0 -0 -0 -2 -0xfd6a -0x9d08815e -256 -256 -1 -0 -0 -2 -0x4d6d -0x75b112e2 -256 -256 -1 -0 -0 -3 -0xdea3 -0x522982ae -256 -256 -1 -0 -0 -4 -0x3445 -0x5d64abd6 -256 -256 -0 -0 -0 -3 -0x90a1 -0x29de9abe -256 -256 -0 -0 -0 -2 -0xc820 -0x896b3ae3 -256 -256 -1 -0 -0 -2 -0x570 -0xb4fad9b1 -256 -256 -1 -0 -0 -4 -0x99e7 -0x9fb7ba09 -256 -256 -0 -0 -0 -2 -0xff26 -0x31a525a3 -256 -256 -0 -0 -0 -3 -0x6b95 -0xf273fc13 -256 -256 -0 -0 -0 -2 -0x228 -0x8478e7b1 -256 -256 -1 -0 -0 -2 -0xd3f3 -0xd4bc279e -256 -256 -1 -0 -0 -4 -0x6a22 -0xf7769003 -256 -256 -0 -0 -0 -4 -0xabc2 -0x70e9cf1c -256 -256 -1 -0 -0 -2 -0xf9d8 -0xe0fdcb19 -256 -256 -0 -0 -0 -4 -0x7211 -0x3556f50c -256 -256 -1 -0 -0 -4 -0x81e6 -0x6974822e -256 -256 -1 -0 -0 -2 -0xcd5b -0xf2f85fab -256 -256 -1 -0 -0 -1 -0x3dbc -0x2c5d7350 -256 -256 -0 -0 -0 -3 -0x3b2c -0x2eadf56e -256 -256 -1 -0 -0 -1 -0x6304 -0xa1e584dd -256 -256 -0 -0 -0 -1 -0x4828 -0xf75be78f -256 -256 -0 -0 -0 -1 -0xab0d -0xd1d49c8f -256 -256 -0 -0 -0 -4 -0x77df -0xbf3ee2e3 -256 -256 -0 -0 -0 -2 -0xc8ce -0xc29d7b77 -256 -256 -1 -0 -0 -1 -0xd5a4 -0x3a537a08 -256 -256 -0 -0 -0 -4 -0x3141 -0x84815d86 -256 -256 -1 -0 -0 -2 -0xf542 -0x59bf8ba2 -256 -256 -0 -0 -0 -3 -0x8ab8 -0xf8df35a5 -256 -256 -1 -0 -0 -1 -0xa295 -0x43a868f0 -256 -256 -1 -0 -0 -3 -0x5750 -0x9f8ff8b8 -256 -256 -1 -0 -0 -4 -0x7a9a -0x50bb8f02 -256 -256 -0 -0 -0 -3 -0x3024 -0x515e8f97 -256 -256 -1 -0 -0 -2 -0x4554 -0x299d1f7d -256 -256 -0 -0 -0 -4 -0x2b38 -0x4b129f0e -256 -256 -0 -0 -0 -1 -0xe89c -0x59ece416 -256 -256 -0 -0 -0 -3 -0x8576 -0x31e7fdce -256 -256 -1 -0 -0 -3 -0x4d09 -0xca307eb6 -256 -256 -0 -0 -0 -3 -0xc361 -0x393d1e78 -256 -256 -0 -0 -0 -4 -0xea87 -0x847e9d7 -256 -256 -1 -0 -0 -4 -0xa782 -0xa56bc43b -256 -256 -0 -0 -0 -1 -0x2b73 -0x85836a8d -256 -256 -0 -0 -0 -3 -0x57f2 -0xd62d07fd -256 -256 -1 -0 -0 -2 -0x7de1 -0x2308aaae -256 -256 -0 -0 -0 -1 -0x6ebe -0xb077b806 -256 -256 -0 -0 -0 -1 -0x17a1 -0x407f5928 -256 -256 -1 -0 -0 -1 -0xe4c9 -0xcaee8d96 -256 -256 -0 -0 -0 -4 -0xdab7 -0x3a46ed30 -256 -256 -1 -0 -0 -1 -0x603c -0xff16c344 -256 -256 -1 -0 -0 -4 -0x745c -0xac21f556 -256 -256 -1 -0 -0 -2 -0x6710 -0x7c9e5958 -256 -256 -0 -0 -0 -2 -0xc50 -0x17a5c070 -256 -256 -0 -0 -0 -2 -0xcda8 -0x194611ad -256 -256 -0 -0 -0 -2 -0x719 -0x799987ad -256 -256 -1 -0 -0 -2 -0x2d50 -0x5525b02f -256 -256 -1 -0 -0 -1 -0xc963 -0x82f9bd65 -256 -256 -1 -0 -0 -2 -0x134a -0xe3dad6c7 -256 -256 -1 -0 -0 -4 -0x73f1 -0x303c24a3 -256 -256 -1 -0 -0 -2 -0xd05a -0x5f2a5160 -256 -256 -0 -0 -0 -1 -0x4246 -0xfe29d830 -256 -256 -0 -0 -0 -4 -0xd634 -0xfe99a8bf -256 -256 -1 -0 -0 -4 -0xe1d7 -0x9aaad65b -256 -256 -0 -0 -0 -2 -0x1531 -0x4ea0eaa -256 -256 -1 -0 -0 -2 -0xaf92 -0x4d63ad65 -256 -256 -1 -0 -0 -3 -0xa1db -0xde0a46de -256 -256 -0 -0 -0 -4 -0x45d -0x78791d0b -256 -256 -1 -0 -0 -3 -0x7325 -0xa9df7eb9 -256 -256 -0 -0 -0 -3 -0xf72f -0x674e41e -256 -256 -1 -0 -0 -1 -0x5fb8 -0xb765ef5c -256 -256 -0 -0 -0 -2 -0xe87d -0xe1aea4c9 -256 -256 -0 -0 -0 -2 -0x86b8 -0xda3b6068 -256 -256 -1 -0 -0 -4 -0xe53a -0x403960d8 -256 -256 -0 -0 -0 -2 -0x2813 -0x7f21070b -256 -256 -1 -0 -0 -4 -0xdddc -0xdbc76f7f -256 -256 -1 -0 -0 -3 -0xc90f -0x22cf54b5 -256 -256 -0 -0 -0 -1 -0x3b0 -0xd629dc92 -256 -256 -1 -0 -0 -1 -0x3a76 -0xea0bfaaf -256 -256 -1 -0 -0 -4 -0x627 -0xf99ec966 -256 -256 -1 -0 -0 -3 -0xfd59 -0xbfc59b8c -256 -256 -0 -0 -0 -3 -0x7065 -0x73f3fb48 -256 -256 -0 -0 -0 -3 -0x3817 -0x81b2fe38 -256 -256 -0 -0 -0 -4 -0x7e62 -0x14b6b035 -256 -256 -1 -0 -0 -4 -0x92d -0xdc76780 -256 -256 -0 -0 -0 -1 -0x92c9 -0x4cc5eeb3 -256 -256 -0 -0 -0 -4 -0x7a33 -0xe125c628 -256 -256 -0 -0 -0 -3 -0x8f2d -0xc39819d5 -256 -256 -0 -0 -0 -3 -0x4e76 -0xa6e52898 -256 -256 -0 -0 -0 -1 -0xa68 -0x2d134b2f -256 -256 -0 -0 -0 -1 -0xf64a -0x731861df -256 -256 -1 -0 -0 -3 -0x7ba3 -0xc9754297 -256 -256 -0 -0 -0 -4 -0xd82a -0x61eb25ef -256 -256 -1 -0 -0 -3 -0xa3e4 -0x581d43aa -256 -256 -0 -0 -0 -1 -0x9f5d -0x4a75e247 -256 -256 -0 -0 -0 -3 -0x61e1 -0x96e1e960 -256 -256 -0 -0 -0 -1 -0x6a22 -0x9067ffe2 -256 -256 -1 -0 -0 -2 -0x761b -0xd49b1307 -256 -256 -0 -0 -0 -4 -0x3b1 -0x44253f40 -256 -256 -0 -0 -0 -4 -0x6889 -0x4e140481 -256 -256 -0 -0 -0 -4 -0xd6d4 -0x629f95c9 -256 -256 -1 -0 -0 -1 -0x25ec -0xeec1ddbb -256 -256 -1 -0 -0 -4 -0xf8b -0xcbad7021 -256 -256 -0 -0 -0 -4 -0x18a0 -0x5be6e360 -256 -256 -1 -0 -0 -4 -0x6898 -0x527b28ae -256 -256 -1 -0 -0 -1 -0x7c9c -0x3c3d1c49 -256 -256 -1 -0 -0 -1 -0x8e19 -0x212dd1dd -256 -256 -0 -0 -0 -1 -0x4a5 -0x919ba54b -256 -256 -0 -0 -0 -2 -0xe738 -0xce530eec -256 -256 -1 -0 -0 -2 -0x48f2 -0x8634029c -256 -256 -1 -0 -0 -2 -0x220d -0x3357b8e5 -256 -256 -0 -0 -0 -3 -0x516c -0x928988c9 -256 -256 -1 -0 -0 -4 -0xa9bb -0x6e16a7ac -256 -256 -1 -0 -0 -4 -0x85b -0x36b81b4 -256 -256 -1 -0 -0 -4 -0x2dce -0xce644d60 -256 -256 -0 -0 -0 -4 -0xe09c -0xb4d2a4ea -256 -256 -0 -0 -0 -1 -0x2793 -0x3dcc180a -256 -256 -1 -0 -0 -2 -0x2dc2 -0x636b2c4d -256 -256 -1 -0 -0 -2 -0x78b9 -0x489ef680 -256 -256 -1 -0 -0 -3 -0xf04b -0xb3ab7106 -256 -256 -0 -0 -0 -1 -0x49aa -0x5f3f7b27 -256 -256 -0 -0 -0 -2 -0x9739 -0xf1986795 -256 -256 -1 -0 -0 -4 -0xd570 -0xd92f7927 -256 -256 -0 -0 -0 -4 -0xaecf -0x83ab9a1a -256 -256 -1 -0 -0 -4 -0x5460 -0x1ab5cc4 -256 -256 -0 -0 -0 -4 -0x622d -0x3562e782 -256 -256 -0 -0 -0 -2 -0x14be -0x237b4447 -256 -256 -0 -0 -0 -2 -0xb85c -0xe1a2fe4c -256 -256 -0 -0 -0 -3 -0xbd66 -0x221e47ac -256 -256 -1 -0 -0 -3 -0x22ee -0x7342615c -256 -256 -1 -0 -0 -1 -0xd221 -0x3e3628ad -256 -256 -0 -0 -0 -3 -0x6cea -0x75683ee0 -256 -256 -0 -0 -0 -2 -0x8386 -0xa68898d5 -256 -256 -1 -0 -0 -1 -0x9f17 -0xb9841bd -256 -256 -0 -0 -0 -3 -0x1689 -0x683b805c -256 -256 -1 -0 -0 -2 -0xeafb -0x9aa989fb -256 -256 -1 -0 -0 -3 -0x4386 -0x3d312bb4 -256 -256 -1 -0 -0 -2 -0x5987 -0x7182cd21 -256 -256 -1 -0 -0 -1 -0x8ff1 -0x67b412 -256 -256 -1 -0 -0 -3 -0x407c -0xf8fe6b3 -256 -256 -1 -0 -0 -2 -0x56ba -0x417219c4 -256 -256 -1 -0 -0 -4 -0xc241 -0xc33284c3 -256 -256 -0 -0 -0 -1 -0x4082 -0x96796fad -256 -256 -0 -0 -0 -1 -0x408b -0x19c1a81 -256 -256 -1 -0 -0 -3 -0x4f32 -0xd37ad9e4 -256 -256 -1 -0 -0 -2 -0xf351 -0x23d41ec6 -256 -256 -1 -0 -0 -2 -0xad01 -0xa6660260 -256 -256 -1 -0 -0 -2 -0x5ca -0xe521f4d7 -256 -256 -0 -0 -0 -1 -0xf7ed -0x8ee401c5 -256 -256 -0 -0 -0 -4 -0x85e -0x9b5c149a -256 -256 -0 -0 -0 -4 -0x9b1e -0x3721dfb1 -256 -256 -1 -0 -0 -3 -0x3c04 -0x600d2e6 -256 -256 -0 -0 -0 -1 -0xec64 -0x78641c92 -256 -256 -1 -0 -0 -4 -0x9922 -0xb9b58e67 -256 -256 -0 -0 -0 -4 -0xeed7 -0x53e471e7 -256 -256 -1 -0 -0 -3 -0x81a2 -0x1917f3d9 -256 -256 -0 -0 -0 -1 -0xadce -0xd23f444b -256 -256 -1 -0 -0 -1 -0x460a -0xeec5689 -256 -256 -1 -0 -0 -4 -0x87c9 -0xef234e3f -256 -256 -0 -0 -0 -3 -0x3f0b -0x3207d078 -256 -256 -1 -0 -0 -1 -0x61c0 -0x30dd21a -256 -256 -1 -0 -0 -2 -0x32ba -0x4085c1be -256 -256 -0 -0 -0 -4 -0xbd97 -0xc70aaf96 -256 -256 -0 -0 -0 -3 -0x4e1c -0xe171475e -256 -256 -1 -0 -0 -1 -0xee3d -0x4d3856ab -256 -256 -1 -0 -0 -2 -0xc7dc -0x4d9ab6fe -256 -256 -1 -0 -0 -1 -0xdd14 -0xaa872c2c -256 -256 -0 -0 -0 -3 -0x4ab0 -0x5cbd6113 -256 -256 -1 -0 -0 -4 -0xd8d3 -0x67c96098 -256 -256 -0 -0 -0 -4 -0x3dbf -0x82810f0c -256 -256 -1 -0 -0 -3 -0x2a4b -0xce599770 -256 -256 -0 -0 -0 -1 -0x9f29 -0x36bb019b -256 -256 -0 -0 -0 -4 -0xca50 -0xbe9816d8 -256 -256 -1 -0 -0 -4 -0x6398 -0x1c09fcf7 -256 -256 -1 -0 -0 -2 -0xef30 -0x816f2e6b -256 -256 -0 -0 -0 -1 -0xa08b -0x52d625b9 -256 -256 -0 -0 -0 -2 -0xafa1 -0x6f260ab7 -256 -256 -1 -0 -0 -4 -0xcb -0x6af6b649 -256 -256 -0 -0 -0 -4 -0x75e -0x69b693fb -256 -256 -1 -0 -0 -2 -0x14a3 -0x25153733 -256 -256 -1 -0 -0 -2 -0xc779 -0xce0b441 -256 -256 -1 -0 -0 -2 -0x940b -0x7677e7eb -256 -256 -0 -0 -0 -1 -0xe04c -0x46288f70 -256 -256 -1 -0 -0 -4 -0x14b7 -0x4906ec7a -256 -256 -1 -0 -0 -2 -0xdd6d -0xb0faa192 -256 -256 -1 -0 -0 -3 -0x1bba -0xfd43cb74 -256 -256 -1 -0 -0 -1 -0xbbab -0x5779f280 -256 -256 -0 -0 -0 -1 -0x3278 -0x46edae93 -256 -256 -0 -0 -0 -2 -0x144d -0xde75d8ab -256 -256 -1 -0 -0 -2 -0x426c -0x9ddd3f48 -256 -256 -1 -0 -0 -2 -0xecf5 -0x1e972de2 -256 -256 -0 -0 -0 -2 -0x223c -0x2fa87ef7 -256 -256 -0 -0 -0 -3 -0x2d89 -0x3b701ca4 -256 -256 -1 -0 -0 -3 -0xd3b -0x3b967e43 -256 -256 -0 -0 -0 -1 -0xa94e -0x975317e9 -256 -256 -1 -0 -0 -1 -0x57d3 -0x1c0ea0c9 -256 -256 -1 -0 -0 -3 -0xbd4a -0xbeeb9602 -256 -256 -0 -0 -0 -2 -0x5be1 -0xee519ae7 -256 -256 -1 -0 -0 -1 -0x635c -0xaaca7545 -256 -256 -1 -0 -0 -2 -0xfb73 -0x3ecb3df2 -256 -256 -0 -0 -0 -3 -0x668a -0xac809c61 -256 -256 -0 -0 -0 -1 -0x9021 -0x21e5b559 -256 -256 -1 -0 -0 -2 -0x53cc -0x57415f2b -256 -256 -0 -0 -0 -4 -0x6828 -0x14df97af -256 -256 -1 -0 -0 -3 -0x5340 -0xa9b6bec0 -256 -256 -0 -0 -0 -3 -0xb477 -0x681935fd -256 -256 -0 -0 -0 -4 -0x64c7 -0xa056799e -256 -256 -1 -0 -0 -3 -0x1003 -0xd3390cd6 -256 -256 -1 -0 -0 -4 -0x7a05 -0x842f882 -256 -256 -0 -0 -0 -3 -0x1ad9 -0x785edcca -256 -256 -1 -0 -0 -2 -0x4f78 -0x91527fb4 -256 -256 -1 -0 -0 -4 -0x6faf -0x34af6318 -256 -256 -0 -0 -0 -4 -0xecb5 -0xd56d20b1 -256 -256 -1 -0 -0 -4 -0x12a1 -0x89ce3453 -256 -256 -1 -0 -0 -4 -0x6752 -0x83d3b0da -256 -256 -1 -0 -0 -2 -0x9661 -0xe08c5429 -256 -256 -1 -0 -0 -3 -0x7643 -0x8b994947 -256 -256 -1 -0 -0 -1 -0x8c2e -0xeb1791 -256 -256 -0 -0 -0 -2 -0x7389 -0xc332e2bf -256 -256 -1 -0 -0 -1 -0xcb51 -0x35b92e41 -256 -256 -0 -0 -0 -4 -0xf061 -0x1decde90 -256 -256 -0 -0 -0 -3 -0xb2c6 -0x99284765 -256 -256 -1 -0 -0 -1 -0xfd3a -0xc09dbd23 -256 -256 -1 -0 -0 -2 -0xa10a -0x16a22ae3 -256 -256 -1 -0 -0 -4 -0xb646 -0x4480ccdb -256 -256 -0 -0 -0 -2 -0x3609 -0x6d8d29ae -256 -256 -0 -0 -0 -3 -0x510e -0x3870198a -256 -256 -0 -0 -0 -2 -0xfcf7 -0xd582df35 -256 -256 -1 -0 -0 -2 -0x8174 -0xef87834d -256 -256 -0 -0 -0 -3 -0xe0f3 -0x8517e985 -256 -256 -0 -0 -0 -3 -0xfef9 -0x8b3ac1bb -256 -256 -0 -0 -0 -4 -0xc962 -0xdda2ba83 -256 -256 -1 -0 -0 -3 -0x8763 -0xaa425c97 -256 -256 -0 -0 -0 -4 -0x2a5f -0x70e86207 -256 -256 -0 -0 -0 -3 -0x5144 -0xfa242e81 -256 -256 -0 -0 -0 -2 -0x7297 -0xcededecf -256 -256 -0 -0 -0 -3 -0x13e9 -0xdece1269 -256 -256 -0 -0 -0 -4 -0x9371 -0x3bb7d834 -256 -256 -1 -0 -0 -4 -0x6fc9 -0xff6f172a -256 -256 -1 -0 -0 -3 -0x6d36 -0x7bb36337 -256 -256 -1 -0 -0 -2 -0x8e29 -0x96834843 -256 -256 -0 -0 -0 -1 -0x90d -0x14ce5b36 -256 -256 -0 -0 -0 -3 -0x2955 -0x850c38b6 -256 -256 -0 -0 -0 -2 -0x9ef0 -0x15e2c77b -256 -256 -1 -0 -0 -3 -0xfe69 -0xdbc84e7c -256 -256 -0 -0 -0 -1 -0xd54 -0xcfc537dc -256 -256 -0 -0 -0 -3 -0x9a6 -0xcf0ba2b1 -256 -256 -0 -0 -0 -2 -0x1d72 -0xea49ebd4 -256 -256 -0 -0 -0 -2 -0xe287 -0x59517195 -256 -256 -1 -0 -0 -1 -0x2f5a -0x40ff7d34 -256 -256 -0 -0 -0 -3 -0x50d7 -0xd2c9f5e1 -256 -256 -0 -0 -0 -2 -0x1abc -0x51eb2c90 -256 -256 -1 -0 -0 -4 -0xe7c3 -0xee4d3542 -256 -256 -0 -0 -0 -2 -0xf433 -0x2fb1bd7b -256 -256 -1 -0 -0 -1 -0x96a7 -0x38d03108 -256 -256 -0 -0 -0 -2 -0x44ad -0xd613ed85 -256 -256 -0 -0 -0 -4 -0xd865 -0x1ba85a8c -256 -256 -0 -0 -0 -2 -0x8b3f -0x29744e45 -256 -256 -1 -0 -0 -1 -0x8fbd -0x2f88ee4a -256 -256 -0 -0 -0 -1 -0xff22 -0x480088f3 -256 -256 -1 -0 -0 -4 -0x74a5 -0x3d18eb4b -256 -256 -0 -0 -0 -4 -0x6735 -0x576938f5 -256 -256 -1 -0 -0 -3 -0xb78e -0xd3c86ec8 -256 -256 -0 -0 -0 -1 -0xe065 -0xea36693f -256 -256 -1 -0 -0 -2 -0xbacb -0x70848c56 -256 -256 -0 -0 -0 -4 -0x2af0 -0xcf961de3 -256 -256 -0 -0 -0 -4 -0xe71c -0x64133b49 -256 -256 -0 -0 -0 -4 -0xf8a -0xcbd71a37 -256 -256 -1 -0 -0 -4 -0x65c6 -0xfd733e74 -256 -256 -1 -0 -0 -3 -0x6c58 -0x412e1d47 -256 -256 -1 -0 -0 -4 -0x54e2 -0x6c4a5a4d -256 -256 -1 -0 -0 -4 -0xfc46 -0x63ffbb92 -256 -256 -1 -0 -0 -3 -0xc3a6 -0x67146d6c -256 -256 -1 -0 -0 -1 -0xcc -0x7e10bba2 -256 -256 -0 -0 -0 -4 -0x8c6b -0x42f48685 -256 -256 -0 -0 -0 -4 -0x8e0 -0x31fb8f65 -256 -256 -1 -0 -0 -4 -0x4a72 -0xdefcb1a4 -256 -256 -1 -0 -0 -2 -0x4406 -0xa7ebdb81 -256 -256 -1 -0 -0 -3 -0x8707 -0x97d9e64f -256 -256 -1 -0 -0 -4 -0x9a88 -0xf1853a2f -256 -256 -1 -0 -0 -2 -0x6a67 -0xafd2ca9a -256 -256 -0 -0 -0 -4 -0xb5fd -0xd3062784 -256 -256 -1 -0 -0 -4 -0x20be -0x6fdd04e2 -256 -256 -1 -0 -0 -3 -0xb338 -0xb428c0b8 -256 -256 -1 -0 -0 -2 -0x25d -0x9de20787 -256 -256 -0 -0 -0 -4 -0x1531 -0x9ecd0f8f -256 -256 -1 -0 -0 -4 -0xcae0 -0xa6fe74f0 -256 -256 -0 -0 -0 -4 -0x32d0 -0x42c12e17 -256 -256 -0 -0 -0 -2 -0x8c92 -0xf680f436 -256 -256 -0 -0 -0 -1 -0xb19 -0xcbb0f8d1 -256 -256 -1 -0 -0 -3 -0x2923 -0x3216280e -256 -256 -1 -0 -0 -2 -0x131e -0x46cd530c -256 -256 -1 -0 -0 -3 -0x9225 -0x7241434c -256 -256 -0 -0 -0 -4 -0xb42d -0x9116c2dd -256 -256 -1 -0 -0 -3 -0x4186 -0x2f804247 -256 -256 -1 -0 -0 -1 -0xa3d2 -0xe9d45a70 -256 -256 -1 -0 -0 -2 -0x71a5 -0x4086a693 -256 -256 -1 -0 -0 -4 -0x8f27 -0x68d0aeba -256 -256 -0 -0 -0 -4 -0x142c -0xaa6ac514 -256 -256 -1 -0 -0 -4 -0x7f51 -0x9d767ba3 -256 -256 -1 -0 -0 -4 -0xc623 -0xdabb77f8 -256 -256 -0 -0 -0 -2 -0x287d -0x20eb90a9 -256 -256 -0 -0 -0 -4 -0x7524 -0x8278cdc -256 -256 -1 -0 -0 -1 -0x2124 -0xaa922023 -256 -256 -1 -0 -0 -4 -0xf8cf -0xde569f9c -256 -256 -0 -0 -0 -1 -0x1b82 -0x66f4f69 -256 -256 -0 -0 -0 -2 -0x317d -0x180890b5 -256 -256 -1 -0 -0 -1 -0x5dc2 -0x8d53ce2a -256 -256 -1 -0 -0 -3 -0x303c -0x918cb3c1 -256 -256 -0 -0 -0 -1 -0x720 -0xa28bf36 -256 -256 -0 -0 -0 -2 -0xf448 -0xb215f2f0 -256 -256 -0 -0 -0 -3 -0xc47e -0xb9c9dc24 -256 -256 -1 -0 -0 -4 -0x916b -0xaace15c4 -256 -256 -0 -0 -0 -3 -0xebbe -0x137ad1dd -256 -256 -0 -0 -0 -3 -0xaf3 -0x5c21d9a2 -256 -256 -1 -0 -0 -1 -0xd623 -0xe918f14b -256 -256 -1 -0 -0 -1 -0xdbbc -0x9da34f36 -256 -256 -1 -0 -0 -1 -0xc576 -0x54008542 -256 -256 -0 -0 -0 -4 -0x8481 -0xce7bb8eb -256 -256 -0 -0 -0 -3 -0xf4c1 -0x122eccd1 -256 -256 -1 -0 -0 -3 -0xfc9 -0xd0b6a7af -256 -256 -1 -0 -0 -3 -0x13a9 -0xcc789196 -256 -256 -1 -0 -0 -4 -0x5ad3 -0xf9e58806 -256 -256 -0 -0 -0 -1 -0xe4bc -0x70488f9e -256 -256 -1 -0 -0 -4 -0xe09e -0x50e5a9a3 -256 -256 -1 -0 -0 -2 -0xe063 -0x9d5775dc -256 -256 -1 -0 -0 -2 -0xc7d7 -0x522f9c67 -256 -256 -1 -0 -0 -1 -0x8e26 -0x1a82477a -256 -256 -0 -0 -0 -4 -0x7db2 -0x6a08f458 -256 -256 -0 -0 -0 -4 -0x51a3 -0x418a1cc2 -256 -256 -0 -0 -0 -3 -0x8e41 -0x8f8557cb -256 -256 -0 -0 -0 -4 -0xdbd0 -0xeb36c51d -256 -256 -1 -0 -0 -1 -0x2a4e -0x1ad88d69 -256 -256 -0 -0 -0 -3 -0x270e -0x9936bcd7 -256 -256 -0 -0 -0 -3 -0x7e4c -0x807e83e4 -256 -256 -1 -0 -0 -2 -0x7ae -0xa105f5df -256 -256 -1 -0 -0 -2 -0xe4b8 -0x6c0d9faa -256 -256 -0 -0 -0 -2 -0xed4a -0xb9f81b11 -256 -256 -1 -0 -0 -2 -0x841a -0x6d28b660 -256 -256 -1 -0 -0 -2 -0x35be -0x84a00773 -256 -256 -1 -0 -0 -3 -0x9626 -0x3143e3a7 -256 -256 -1 -0 -0 -3 -0xfd8c -0x3d9658c -256 -256 -0 -0 -0 -3 -0xe141 -0x6873f56e -256 -256 -0 -0 -0 -3 -0xe815 -0x51cd1156 -256 -256 -1 -0 -0 -4 -0x8ad -0x87744b16 -256 -256 -1 -0 -0 -2 -0x3b41 -0x4c5bbaf9 -256 -256 -0 -0 -0 -1 -0x4823 -0x2bc47d8b -256 -256 -0 -0 -0 -2 -0xb75d -0xc2a10794 -256 -256 -0 -0 -0 -4 -0xa5dc -0x886604d6 -256 -256 -0 -0 -0 -3 -0x77c1 -0xbb8f090c -256 -256 -1 -0 -0 -3 -0x940b -0xf5152406 -256 -256 -0 -0 -0 -3 -0x14d6 -0xcf5de0c2 -256 -256 -1 -0 -0 -1 -0x90a6 -0x1e1c7cd9 -256 -256 -1 -0 -0 -4 -0x42df -0x85e1aa0a -256 -256 -0 -0 -0 -3 -0x819e -0x5b4de402 -256 -256 -1 -0 -0 -4 -0x2400 -0x8cab93a1 -256 -256 -0 -0 -0 -3 -0x7a1f -0x33f88ec5 -256 -256 -1 -0 -0 -1 -0x28 -0x5c92add0 -256 -256 -1 -0 -0 -2 -0x69c6 -0xccef3f0e -256 -256 -1 -0 -0 -1 -0x55c0 -0x8ad78632 -256 -256 -1 -0 -0 -3 -0x3be3 -0xa26c3feb -256 -256 -0 -0 -0 -2 -0x9c4b -0x985b8752 -256 -256 -1 -0 -0 -2 -0x912f -0x4cd6f0eb -256 -256 -0 -0 -0 -3 -0x288d -0xfd3ff26f -256 -256 -1 -0 -0 -2 -0xecec -0x66e4e11 -256 -256 -0 -0 -0 -3 -0xa279 -0x7ea339d6 -256 -256 -0 -0 -0 -4 -0x23a -0xe085f8e8 -256 -256 -0 -0 -0 -2 -0xf3dd -0xce61f989 -256 -256 -1 -0 -0 -4 -0x4133 -0x3c54ee45 -256 -256 -1 -0 -0 -3 -0x7c49 -0xf067593a -256 -256 -1 -0 -0 -1 -0x5e6f -0x3328f0e2 -256 -256 -1 -0 -0 -3 -0xbecf -0xd1bdd09e -256 -256 -1 -0 -0 -3 -0x646e -0xc0a18a20 -256 -256 -0 -0 -0 -2 -0x51e1 -0x2270c0fd -256 -256 -0 -0 -0 -3 -0x200 -0xf5187e6d -256 -256 -0 -0 -0 -2 -0xc3c8 -0x5dd7a2d1 -256 -256 -0 -0 -0 -1 -0xd94b -0x5265aed0 -256 -256 -0 -0 -0 -1 -0x84ff -0x45f0a2de -256 -256 -1 -0 -0 -1 -0xa661 -0xef14d01b -256 -256 -1 -0 -0 -4 -0x902b -0xc29fc5c4 -256 -256 -1 -0 -0 -4 -0x7d51 -0x69118744 -256 -256 -1 -0 -0 -2 -0x3bf -0xa6e6e776 -256 -256 -1 -0 -0 -3 -0x63a9 -0xfdfd36f4 -256 -256 -0 -0 -0 -4 -0xb553 -0x28b8df74 -256 -256 -0 -0 -0 -1 -0x4bb1 -0x7dafd535 -256 -256 -0 -0 -0 -2 -0x8d54 -0xe6f40e94 -256 -256 -0 -0 -0 -4 -0x65b0 -0x54dd1f82 -256 -256 -1 -0 -0 -1 -0xcdbd -0x7a3d644b -256 -256 -1 -0 -0 -1 -0xbfe4 -0xb1aa5f6e -256 -256 -0 -0 -0 -2 -0x7141 -0xbc3ce7f7 -256 -256 -0 -0 -0 -3 -0x4163 -0xc206d5a4 -256 -256 -0 -0 -0 -2 -0xe5ea -0xe4347ffa -256 -256 -0 -0 -0 -4 -0x98e9 -0x50d14542 -256 -256 -1 -0 -0 -3 -0x9989 -0xb2350287 -256 -256 -0 -0 -0 -4 -0xcd2b -0x176e9c31 -256 -256 -1 -0 -0 -1 -0x19a7 -0x4db3db90 -256 -256 -1 -0 -0 -4 -0x3f8f -0x89811622 -256 -256 -1 -0 -0 -3 -0x8c78 -0x5fc5c12a -256 -256 -1 -0 -0 -3 -0xaed3 -0x80ada02e -256 -256 -1 -0 -0 -3 -0x2678 -0xe3d6b5fe -256 -256 -0 -0 -0 -3 -0x943 -0x6485f59 -256 -256 -0 -0 -0 -3 -0x8e78 -0xece2e938 -256 -256 -1 -0 -0 -3 -0xea46 -0x9884d9d4 -256 -256 -1 -0 -0 -3 -0xfa41 -0x9ee2cb9d -256 -256 -1 -0 -0 -1 -0xb740 -0xdadf4e56 -256 -256 -0 -0 -0 -2 -0xfcc1 -0x51a2e4a0 -256 -256 -1 -0 -0 -1 -0x6e63 -0x8ec57bcb -256 -256 -0 -0 -0 -4 -0xc743 -0x31af28ea -256 -256 -1 -0 -0 -4 -0xb1f6 -0xa6e49a0 -256 -256 -0 -0 -0 -2 -0x972d -0xc6a8cf71 -256 -256 -0 -0 -0 -1 -0x329f -0x1081fca6 -256 -256 -0 -0 -0 -2 -0x3b10 -0x4c1a54f5 -256 -256 -0 -0 -0 -2 -0x9048 -0x94537301 -256 -256 -0 -0 -0 -4 -0xdfbe -0xaef89e36 -256 -256 -1 -0 -0 -2 -0xe14b -0x3a74b1d8 -256 -256 -0 -0 -0 -4 -0xe660 -0x4fd7ae46 -256 -256 -1 -0 -0 -2 -0xa73a -0x534d8380 -256 -256 -1 -0 -0 -3 -0x29c7 -0xd6e3d172 -256 -256 -0 -0 -0 -3 -0xb614 -0xa7130a2c -256 -256 -1 -0 -0 -2 -0x9d97 -0x5149ecaf -256 -256 -1 -0 -0 -1 -0xa606 -0x69167f13 -256 -256 -0 -0 -0 -2 -0x11f9 -0x8ee62731 -256 -256 -1 -0 -0 -3 -0xb01e -0xa88cf1fc -256 -256 -0 -0 -0 -2 -0xd916 -0x581f87eb -256 -256 -1 -0 -0 -4 -0x2630 -0x74dabe2 -256 -256 -1 -0 -0 -3 -0x9eb0 -0xf3f7c0ab -256 -256 -1 -0 -0 -3 -0x6f40 -0x9bc29d9d -256 -256 -1 -0 -0 -3 -0x3164 -0x73017a2d -256 -256 -0 -0 -0 -1 -0xc06a -0x9d3c7c28 -256 -256 -1 -0 -0 -1 -0x4784 -0xd2f4af4d -256 -256 -1 -0 -0 -2 -0xecf0 -0x861f158f -256 -256 -1 -0 -0 -3 -0x5112 -0x5882e4b0 -256 -256 -1 -0 -0 -4 -0x7515 -0xc461ea92 -256 -256 -0 -0 -0 -4 -0x4d17 -0xd8e95ba4 -256 -256 -1 -0 -0 -1 -0xd6cb -0xa750df4b -256 -256 -0 -0 -0 -4 -0x351e -0x7aa67a8d -256 -256 -1 -0 -0 -1 -0x6905 -0x932cbe0e -256 -256 -0 -0 -0 -4 -0x75d6 -0x20153431 -256 -256 -1 -0 -0 -1 -0xa2d6 -0xb83b3f26 -256 -256 -0 -0 -0 -3 -0x5674 -0xb6fd759f -256 -256 -1 -0 -0 -4 -0xd1f -0x28e6ab3e -256 -256 -0 -0 -0 -4 -0x9721 -0x4b817ade -256 -256 -0 -0 -0 -4 -0x5f62 -0x26773044 -256 -256 -0 -0 -0 -1 -0x5272 -0xd2d4974f -256 -256 -0 -0 -0 -2 -0x72a8 -0xc47a4e99 -256 -256 -0 -0 -0 -2 -0xacf0 -0x96d72bcb -256 -256 -1 -0 -0 -4 -0x39e5 -0x120cbb5e -256 -256 -0 -0 -0 -2 -0x8a60 -0xf212c02a -256 -256 -1 -0 -0 -4 -0xcb4f -0xa4b98250 -256 -256 -0 -0 -0 -3 -0xd885 -0xabdad2fb -256 -256 -0 -0 -0 -3 -0x692b -0x25c0acac -256 -256 -0 -0 -0 -4 -0xd566 -0x2f0d5ba0 -256 -256 -1 -0 -0 -4 -0xd4d2 -0x5c356bca -256 -256 -1 -0 -0 -4 -0x10bc -0xb49b570f -256 -256 -1 -0 -0 -2 -0xc5ea -0x7629f6d3 -256 -256 -1 -0 -0 -2 -0x9b89 -0x3a30936e -256 -256 -0 -0 -0 -1 -0x35c5 -0x20ef7663 -256 -256 -1 -0 -0 -1 -0x4adc -0xb29d4bba -256 -256 -0 -0 -0 -3 -0xb8d -0xe11870bb -256 -256 -0 -0 -0 -4 -0xcee0 -0x2f089185 -256 -256 -0 -0 -0 -3 -0x9789 -0x4f557a84 -256 -256 -0 -0 -0 -2 -0xdb72 -0x185af13 -256 -256 -1 -0 -0 -4 -0xb775 -0x739fffbe -256 -256 -0 -0 -0 -2 -0xff71 -0x58372f36 -256 -256 -1 -0 -0 -3 -0xd218 -0xa788553f -256 -256 -0 -0 -0 -2 -0xdf85 -0x9fcaff81 -256 -256 -0 -0 -0 -1 -0x7838 -0xde6882e5 -256 -256 -1 -0 -0 -3 -0x3361 -0x757bf0ee -256 -256 -0 -0 -0 -1 -0x334 -0x71e2f54e -256 -256 -0 -0 -0 -4 -0xe457 -0x4b37dd5a -256 -256 -0 -0 -0 -3 -0xc4ae -0x38ef6b8 -256 -256 -1 -0 -0 -1 -0x7858 -0x61446a8 -256 -256 -0 -0 -0 -3 -0x9799 -0xaadf5e26 -256 -256 -0 -0 -0 -2 -0xdc58 -0xbe829230 -256 -256 -0 -0 -0 -4 -0xabe8 -0x48ae0f05 -256 -256 -0 -0 -0 -2 -0xd7c -0xab07680 -256 -256 -0 -0 -0 -2 -0x1e21 -0x14977ae9 -256 -256 -0 -0 -0 -4 -0x10ee -0x13b16ec -256 -256 -1 -0 -0 -2 -0x1d87 -0x4946fa57 -256 -256 -0 -0 -0 -3 -0x80c7 -0xb0ace686 -256 -256 -0 -0 -0 -2 -0xff8a -0xc41fa7d -256 -256 -0 -0 -0 -1 -0xf83a -0xb0aa49a4 -256 -256 -0 -0 -0 -4 -0x404c -0xa2aec763 -256 -256 -1 -0 -0 -4 -0x55cc -0xfe4d91b5 -256 -256 -0 -0 -0 -3 -0x6be8 -0xdc409b50 -256 -256 -1 -0 -0 -2 -0x9eb8 -0x4e192883 -256 -256 -1 -0 -0 -2 -0xffd9 -0x1c939e79 -256 -256 -0 -0 -0 -4 -0xc897 -0xab27d111 -256 -256 -1 -0 -0 -2 -0x563 -0xeb3fa8ee -256 -256 -1 -0 -0 -2 -0x2f45 -0xd47720ea -256 -256 -0 -0 -0 -4 -0xec95 -0xcb94de5f -256 -256 -0 -0 -0 -1 -0xfb0 -0xb816d381 -256 -256 -1 -0 -0 -1 -0xd7ab -0x36a85a50 -256 -256 -0 -0 -0 -4 -0x1160 -0x8f89c18c -256 -256 -0 -0 -0 -1 -0xd609 -0x56b4a74b -256 -256 -1 -0 -0 -4 -0x4deb -0x3a1eaef5 -256 -256 -1 -0 -0 -2 -0xce1b -0x43fb654e -256 -256 -0 -0 -0 -2 -0xb1c6 -0x86a60b92 -256 -256 -0 -0 -0 -4 -0x6f8d -0x3bb59752 -256 -256 -0 -0 -0 -4 -0x450 -0x13d3944 -256 -256 -1 -0 -0 -4 -0xd219 -0xb3da06c4 -256 -256 -1 -0 -0 -1 -0x2b8a -0x8996efe4 -256 -256 -1 -0 -0 -1 -0xcabc -0x3f241cc0 -256 -256 -1 -0 -0 -1 -0x467a -0x1a3112cc -256 -256 -1 -0 -0 -2 -0x9dba -0x26e51c3e -256 -256 -0 -0 -0 -4 -0xdeb1 -0xb1a66afc -256 -256 -0 -0 -0 -2 -0x6cd8 -0x1c57f77 -256 -256 -0 -0 -0 -1 -0xa133 -0x6386c6a4 -256 -256 -1 -0 -0 -3 -0x1659 -0x72e88acf -256 -256 -0 -0 -0 -2 -0x7547 -0xb5ee1e2c -256 -256 -0 -0 -0 -2 -0x6e3f -0xcb7b187d -256 -256 -1 -0 -0 -1 -0x4276 -0x3d4bdbc1 -256 -256 -1 -0 -0 -3 -0x745b -0xeb0ba131 -256 -256 -1 -0 -0 -1 -0x6d68 -0xddea5dc7 -256 -256 -0 -0 -0 -3 -0xe774 -0x964b95e8 -256 -256 -0 -0 -0 -3 -0x13b9 -0xd055bec8 -256 -256 -1 -0 -0 -2 -0x8d89 -0xf3572ead -256 -256 -0 -0 -0 -1 -0xabc5 -0xb059999a -256 -256 -0 -0 -0 -2 -0xdc5c -0x404bfcd8 -256 -256 -1 -0 -0 -3 -0xaf04 -0x4531effb -256 -256 -0 -0 -0 -4 -0x6a28 -0x5b773baf -256 -256 -1 -0 -0 -3 -0x5ab6 -0xa9c379d8 -256 -256 -0 -0 -0 -4 -0xe8a6 -0xaeabd3db -256 -256 -1 -0 -0 -4 -0x5908 -0x6292aac0 -256 -256 -0 -0 -0 -1 -0xf84f -0xe44e572a -256 -256 -1 -0 -0 -3 -0x6920 -0x2c59b905 -256 -256 -0 -0 -0 -2 -0x192f -0xc2d0689a -256 -256 -0 -0 -0 -3 -0xdb4 -0x3c3ab2d5 -256 -256 -1 -0 -0 -3 -0x108d -0x79cb0477 -256 -256 -0 -0 -0 -2 -0xcd6c -0x1e601d96 -256 -256 -0 -0 -0 -1 -0x7cb9 -0x91b26d55 -256 -256 -1 -0 -0 -3 -0x207e -0xd314779 -256 -256 -0 -0 -0 -4 -0xcbec -0x8312cb34 -256 -256 -0 -0 -0 -4 -0xb32e -0xb4bb88a8 -256 -256 -0 -0 -0 -3 -0x671b -0x4c955a70 -256 -256 -1 -0 -0 -4 -0x4c75 -0x7b28b33c -256 -256 -1 -0 -0 -2 -0x5f45 -0x1d1facf9 -256 -256 -0 -0 -0 -3 -0x52 -0x2dc66633 -256 -256 -1 -0 -0 -4 -0x36ef -0x80bdff20 -256 -256 -1 -0 -0 -1 -0x988b -0x20989507 -256 -256 -1 -0 -0 -1 -0x9f8d -0xfad69a45 -256 -256 -0 -0 -0 -1 -0xe66a -0x7a38e1b6 -256 -256 -1 -0 -0 -4 -0x2a3c -0xd41009ce -256 -256 -1 -0 -0 -1 -0x9dd0 -0x833af17c -256 -256 -1 -0 -0 -2 -0x26de -0xf142c671 -256 -256 -0 -0 -0 -2 -0x206d -0xc51b77de -256 -256 -0 -0 -0 -3 -0x5445 -0x82c7664 -256 -256 -1 -0 -0 -4 -0x56e0 -0xd5565ef3 -256 -256 -1 -0 -0 -3 -0x2745 -0xb120b809 -256 -256 -1 -0 -0 -3 -0x3ac4 -0xe5417609 -256 -256 -1 -0 -0 -4 -0x7889 -0x56fb198f -256 -256 -0 -0 -0 -3 -0xa273 -0xf3350190 -256 -256 -1 -0 -0 -3 -0xa618 -0xb5da2703 -256 -256 -1 -0 -0 -4 -0x8ae7 -0x749dddb7 -256 -256 -1 -0 -0 -3 -0x5f64 -0x482d0ad -256 -256 -1 -0 -0 -1 -0xb706 -0xe960de22 -256 -256 -1 -0 -0 -3 -0x1819 -0x7595f091 -256 -256 -1 -0 -0 -1 -0x9702 -0xbaa915aa -256 -256 -0 -0 -0 -3 -0x929b -0xcbefe4 -256 -256 -0 -0 -0 -4 -0x75e1 -0xab740bd9 -256 -256 -1 -0 -0 -2 -0xb1c5 -0x4f882950 -256 -256 -0 -0 -0 -1 -0xd1e -0xe044176a -256 -256 -0 -0 -0 -4 -0xa37e -0xa0af479f -256 -256 -0 -0 -0 -1 -0x62b2 -0xd36fac97 -256 -256 -1 -0 -0 -2 -0x29d5 -0xfe225703 -256 -256 -1 -0 -0 -2 -0x7c27 -0x5d757e8d -256 -256 -1 -0 -0 -4 -0xf150 -0x35883f24 -256 -256 -1 -0 -0 -4 -0x2c80 -0x36eff42 -256 -256 -1 -0 -0 -2 -0x6019 -0xd1bf1c53 -256 -256 -1 -0 -0 -1 -0x41c -0xe28a5251 -256 -256 -0 -0 -0 -1 -0xbf61 -0xb362feb0 -256 -256 -1 -0 -0 -4 -0xcc47 -0x25f6e0a8 -256 -256 -1 -0 -0 -3 -0x6a90 -0x787a570a -256 -256 -1 -0 -0 -4 -0xa94f -0xd6b3dcb5 -256 -256 -1 -0 -0 -1 -0x30ce -0xc8e8e609 -256 -256 -1 -0 -0 -2 -0xba66 -0x70621b67 -256 -256 -1 -0 -0 -1 -0xd925 -0x2ba90349 -256 -256 -0 -0 -0 -1 -0x2707 -0xfdfea7ff -256 -256 -0 -0 -0 -2 -0x9d42 -0x4f69203d -256 -256 -1 -0 -0 -2 -0x8a02 -0x1fdc66ff -256 -256 -1 -0 -0 -2 -0xc7e6 -0x47bae8cc -256 -256 -0 -0 -0 -2 -0x52e7 -0x9f6084b4 -256 -256 -0 -0 -0 -3 -0xf080 -0x54044ba -256 -256 -1 -0 -0 -4 -0xe3b4 -0xf1769044 -256 -256 -0 -0 -0 -2 -0x109a -0x26997e37 -256 -256 -1 -0 -0 -4 -0x5e2d -0xdcf08a04 -256 -256 -0 -0 -0 -1 -0x61d7 -0x85ab3eb4 -256 -256 -0 -0 -0 -1 -0x3d8 -0xfa8f1cdd -256 -256 -0 -0 -0 -4 -0x932b -0x71e9d1f5 -256 -256 -1 -0 -0 -2 -0x4939 -0x855484ba -256 -256 -1 -0 -0 -1 -0x86b3 -0xfa672cf0 -256 -256 -0 -0 -0 -3 -0xe1d5 -0x887adea -256 -256 -1 -0 -0 -2 -0xdd57 -0x523e88e5 -256 -256 -1 -0 -0 -1 -0x1de3 -0x6483b639 -256 -256 -1 -0 -0 -2 -0x6eb1 -0x96052409 -256 -256 -1 -0 -0 -1 -0xe7c0 -0x19002670 -256 -256 -0 -0 -0 -2 -0xf1e3 -0xf160ad0c -256 -256 -1 -0 -0 -2 -0x8507 -0xae0f8a38 -256 -256 -1 -0 -0 -4 -0xcb3f -0x4522d79f -256 -256 -0 -0 -0 -1 -0xbd48 -0x51269481 -256 -256 -1 -0 -0 -3 -0x50c7 -0xf6cd3c5c -256 -256 -0 -0 -0 -1 -0x35a2 -0x3c7c548a -256 -256 -0 -0 -0 -3 -0xc512 -0xede13474 -256 -256 -0 -0 -0 -1 -0x780b -0xc8b787b3 -256 -256 -0 -0 -0 -4 -0x6025 -0x42984455 -256 -256 -0 -0 -0 -4 -0x6020 -0x351ded84 -256 -256 -0 -0 -0 -2 -0xe9a5 -0xca366cab -256 -256 -1 -0 -0 -1 -0xe566 -0x3f208122 -256 -256 -1 -0 -0 -3 -0x59e -0x91c942f2 -256 -256 -0 -0 -0 -4 -0xc1a3 -0x58f5e62 -256 -256 -1 -0 -0 -2 -0xb82c -0xa673f67d -256 -256 -0 -0 -0 -2 -0x9750 -0xf51a2ad -256 -256 -0 -0 -0 -4 -0x4e6d -0x379fb80c -256 -256 -0 -0 -0 -4 -0xcb9f -0xbe7636b1 -256 -256 -0 -0 -0 -2 -0xb6ba -0x33499f52 -256 -256 -1 -0 -0 -4 -0x1d7d -0x59e5d80f -256 -256 -1 -0 -0 -2 -0xfe3d -0x58ad6591 -256 -256 -1 -0 -0 -3 -0x99a1 -0xbf82ba32 -256 -256 -1 -0 -0 -3 -0x655f -0x5370c4cf -256 -256 -1 -0 -0 -2 -0x337f -0xd3eb9ff6 -256 -256 -1 -0 -0 -2 -0x2892 -0x7df9da3c -256 -256 -1 -0 -0 -1 -0xca64 -0xfd412e39 -256 -256 -1 -0 -0 -3 -0x4d0d -0xa78dc8c5 -256 -256 -0 -0 -0 -3 -0xd1b3 -0x928f138d -256 -256 -1 -0 -0 -4 -0x7ce -0xdd8f5fe2 -256 -256 -1 -0 -0 -2 -0x28eb -0x270dff79 -256 -256 -1 -0 -0 -1 -0xd080 -0xd04a5227 -256 -256 -1 -0 -0 -4 -0x4dfc -0x5869a7ba -256 -256 -0 -0 -0 -3 -0x85d4 -0x4fe908c9 -256 -256 -1 -0 -0 -2 -0x3812 -0x9acd9716 -256 -256 -0 -0 -0 -4 -0x358a -0x5a57736c -256 -256 -1 -0 -0 -3 -0xb190 -0x609e9411 -256 -256 -0 -0 -0 -2 -0xe333 -0x6243070f -256 -256 -0 -0 -0 -4 -0x134c -0x226fde8d -256 -256 -0 -0 -0 -3 -0xcef4 -0x6899f123 -256 -256 -0 -0 -0 -1 -0xbb6 -0xef423f4d -256 -256 -0 -0 -0 -4 -0xbdb9 -0x5fedff01 -256 -256 -0 -0 -0 -4 -0x846f -0x49712851 -256 -256 -0 -0 -0 -4 -0x3318 -0xe5a93458 -256 -256 -1 -0 -0 -4 -0x4a98 -0x8c9f1c81 -256 -256 -1 -0 -0 -3 -0x3262 -0x14657e19 -256 -256 -1 -0 -0 -2 -0x558 -0x41a07f5d -256 -256 -1 -0 -0 -4 -0x6eec -0xe1c4b1d8 -256 -256 -1 -0 -0 -3 -0x31a5 -0x35701bcd -256 -256 -0 -0 -0 -4 -0x5262 -0x8c916882 -256 -256 -1 -0 -0 -2 -0xe668 -0xb13c86f5 -256 -256 -0 -0 -0 -4 -0xa164 -0x8feb3541 -256 -256 -1 -0 -0 -3 -0x2935 -0x392e2343 -256 -256 -0 -0 -0 -4 -0xe7d5 -0xf3a9b16a -256 -256 -0 -0 -0 -4 -0x9bbe -0x5588199b -256 -256 -1 -0 -0 -4 -0xa947 -0x8cd888d9 -256 -256 -0 -0 -0 -2 -0xbaaf -0x88b5a6bc -256 -256 -1 -0 -0 -2 -0x2aa0 -0x1a3f2012 -256 -256 -1 -0 -0 -1 -0xd772 -0xa3751a29 -256 -256 -0 -0 -0 -1 -0xf38e -0x8bcf87aa -256 -256 -1 -0 -0 -3 -0x472 -0x4aef9df1 -256 -256 -0 -0 -0 -1 -0xf058 -0x886a719d -256 -256 -1 -0 -0 -3 -0x4470 -0xf03c66a8 -256 -256 -1 -0 -0 -1 -0xa2e0 -0xe41e9c5e -256 -256 -1 -0 -0 -2 -0x6851 -0xbe05465 -256 -256 -1 -0 -0 -2 -0x1fa2 -0x9556bc6 -256 -256 -1 -0 -0 -3 -0x12fd -0x9bb9d345 -256 -256 -0 -0 -0 -1 -0x827f -0xc375e66d -256 -256 -0 -0 -0 -1 -0x5cb1 -0xf267dced -256 -256 -1 -0 -0 -2 -0x31d3 -0xca8542cf -256 -256 -1 -0 -0 -3 -0x5f8f -0x4fd0530e -256 -256 -0 -0 -0 -1 -0xa5c0 -0xe7931f6d -256 -256 -1 -0 -0 -4 -0xbff4 -0x792d98e8 -256 -256 -1 -0 -0 -2 -0x8de0 -0xaab7b77f -256 -256 -1 -0 -0 -4 -0x4784 -0x4e174052 -256 -256 -0 -0 -0 -4 -0x4f71 -0xaac76b63 -256 -256 -1 -0 -0 -2 -0x6cc -0xc1a1583 -256 -256 -1 -0 -0 -4 -0x7283 -0xecf33f51 -256 -256 -0 -0 -0 -2 -0xbd2 -0x95d724c9 -256 -256 -0 -0 -0 -3 -0xba6c -0x6a8e1bcd -256 -256 -0 -0 -0 -2 -0x589d -0x40fcd201 -256 -256 -1 -0 -0 -4 -0xf365 -0xd0af4b00 -256 -256 -1 -0 -0 -4 -0x9e48 -0x80144464 -256 -256 -0 -0 -0 -2 -0xf80b -0x45777a9c -256 -256 -0 -0 -0 -1 -0x1a04 -0x32ef6d1c -256 -256 -1 -0 -0 -3 -0x8f4a -0xd684e6ff -256 -256 -1 -0 -0 -1 -0xd1ff -0x4dcac8c4 -256 -256 -0 -0 -0 -3 -0x3d13 -0xc470d915 -256 -256 -0 -0 -0 -1 -0xc426 -0xfe2cbc89 -256 -256 -0 -0 -0 -2 -0x1f8b -0x425c353a -256 -256 -1 -0 -0 -3 -0x7c52 -0x4bbd6f0e -256 -256 -1 -0 -0 -4 -0x443f -0x48ffdc8c -256 -256 -1 -0 -0 -3 -0xfeac -0xb771352d -256 -256 -0 -0 -0 -2 -0x6a81 -0x8995a31f -256 -256 -1 -0 -0 -1 -0x4d00 -0xf20f0836 -256 -256 -1 -0 -0 -2 -0xcb14 -0xa597e4da -256 -256 -1 -0 -0 -1 -0x5210 -0xf7a362c9 -256 -256 -0 -0 -0 -1 -0x69da -0x2f60656d -256 -256 -0 -0 -0 -2 -0x8428 -0x6e85b6d9 -256 -256 -1 -0 -0 -4 -0x3e03 -0x8224ad3c -256 -256 -1 -0 -0 -1 -0x7c9a -0x461af980 -256 -256 -0 -0 -0 -4 -0xf807 -0x7ee952d1 -256 -256 -1 -0 -0 -1 -0x6027 -0xb911566 -256 -256 -0 -0 -0 -4 -0x8233 -0xe7af9352 -256 -256 -0 -0 -0 -2 -0x610 -0x9c28cfef -256 -256 -0 -0 -0 -3 -0xb2d1 -0xff39c028 -256 -256 -0 -0 -0 -3 -0x6958 -0xa202efb8 -256 -256 -1 -0 -0 -2 -0x233 -0xf7d2edef -256 -256 -1 -0 -0 -3 -0x8a59 -0x583b1b9e -256 -256 -0 -0 -0 -2 -0xc5e2 -0x704aea7d -256 -256 -1 -0 -0 -2 -0x47e0 -0xfad60b57 -256 -256 -1 -0 -0 -1 -0x5285 -0xaf74aff5 -256 -256 -0 -0 -0 -1 -0xd8e4 -0xbae4eff0 -256 -256 -0 -0 -0 -1 -0xdd9f -0xb8c39e47 -256 -256 -1 -0 -0 -1 -0x558 -0x6308d1e2 -256 -256 -0 -0 -0 -3 -0x9813 -0xc631a84 -256 -256 -0 -0 -0 -4 -0xe15e -0x68f104de -256 -256 -1 -0 -0 -1 -0x3b73 -0x618dff43 -256 -256 -1 -0 -0 -4 -0x55f9 -0x3592f156 -256 -256 -1 -0 -0 -3 -0xe570 -0xe1307c8e -256 -256 -1 -0 -0 -2 -0x57d6 -0xb209da8a -256 -256 -0 -0 -0 -4 -0x8aff -0x92c8cc22 -256 -256 -1 -0 -0 -3 -0x8556 -0xdaa474a4 -256 -256 -1 -0 -0 -4 -0xf0cc -0x32cd2725 -256 -256 -1 -0 -0 -4 -0x5db2 -0xf584434e -256 -256 -0 -0 -0 -2 -0x8ab -0x6cfbe6d3 -256 -256 -1 -0 -0 -4 -0xbbe4 -0x22b0e63e -256 -256 -0 -0 -0 -1 -0x52 -0xe7ef22ce -256 -256 -0 -0 -0 -1 -0x9af -0xd226b1b0 -256 -256 -0 -0 -0 -2 -0xbd04 -0x383fd358 -256 -256 -0 -0 -0 -3 -0x4bb0 -0x800bbce8 -256 -256 -0 -0 -0 -2 -0x9d81 -0x1133e04a -256 -256 -1 -0 -0 -3 -0xbfd7 -0xa933892e -256 -256 -0 -0 -0 -2 -0xe206 -0xfb867561 -256 -256 -0 -0 -0 -4 -0x96c9 -0xbc510d98 -256 -256 -1 -0 -0 -2 -0xea0b -0x918fca71 -256 -256 -1 -0 -0 -3 -0xb634 -0x6fd0f784 -256 -256 -0 -0 -0 -1 -0x1584 -0xd7e8fbff -256 -256 -0 -0 -0 -4 -0x107 -0x75d064c1 -256 -256 -0 -0 -0 -4 -0xd313 -0xfda787f -256 -256 -0 -0 -0 -1 -0x91e -0x72e9e924 -256 -256 -0 -0 -0 -3 -0x8d72 -0xcf27e401 -256 -256 -1 -0 -0 -1 -0x10b3 -0xb25330e -256 -256 -0 -0 -0 -1 -0x6c72 -0x7d53ee21 -256 -256 -1 -0 -0 -4 -0x6060 -0x38eec954 -256 -256 -1 -0 -0 -3 -0xde2 -0xc6ef11f0 -256 -256 -1 -0 -0 -3 -0x7086 -0x13d6c1f4 -256 -256 -0 -0 -0 -3 -0xdfd4 -0x129d238a -256 -256 -0 -0 -0 -3 -0x6b42 -0x4b48128b -256 -256 -0 -0 -0 -1 -0x7805 -0x85da81b6 -256 -256 -0 -0 -0 -1 -0xbb96 -0x85775552 -256 -256 -0 -0 -0 -2 -0x7c28 -0x3196ae28 -256 -256 -1 -0 -0 -1 -0x1ee -0xedd11966 -256 -256 -0 -0 -0 -1 -0x3acc -0xfb65c6b -256 -256 -0 -0 -0 -1 -0xf83b -0x7d69093b -256 -256 -0 -0 -0 -3 -0x985 -0x34a08a61 -256 -256 -0 -0 -0 -1 -0xc784 -0x51373f99 -256 -256 -1 -0 -0 -3 -0x2b55 -0xe467c2ce -256 -256 -1 -0 -0 -4 -0x2ba5 -0xb018f932 -256 -256 -0 -0 -0 -2 -0xa6c3 -0x48caeb78 -256 -256 -0 -0 -0 -4 -0x9b1c -0xa438cdf5 -256 -256 -0 -0 -0 -4 -0x5bf -0x737b184a -256 -256 -1 -0 -0 -2 -0x8650 -0x2cac082e -256 -256 -1 -0 -0 -3 -0x832b -0x115ba846 -256 -256 -1 -0 -0 -1 -0xd012 -0xb9a82064 -256 -256 -0 -0 -0 -2 -0x151b -0x8fe2c24a -256 -256 -0 -0 -0 -1 -0x40f2 -0x34ea50d5 -256 -256 -1 -0 -0 -1 -0x7495 -0x1468d8eb -256 -256 -0 -0 -0 -4 -0xe333 -0xa360674c -256 -256 -0 -0 -0 -1 -0xa08c -0xd5dfbee8 -256 -256 -1 -0 -0 -2 -0x2684 -0x8d51a231 -256 -256 -1 -0 -0 -4 -0x39b3 -0xe37c00e2 -256 -256 -1 -0 -0 -3 -0x8cee -0x2f1adec1 -256 -256 -0 -0 -0 -1 -0xa083 -0xbc8a7eef -256 -256 -0 -0 -0 -1 -0xc8a9 -0x4fa529f5 -256 -256 -1 -0 -0 -2 -0x4649 -0xb51363c9 -256 -256 -1 -0 -0 -2 -0x3812 -0x1c4d6ef8 -256 -256 -0 -0 -0 -4 -0x8f7b -0xcbca663b -256 -256 -1 -0 -0 -2 -0x7be3 -0x8724a3b3 -256 -256 -1 -0 -0 -2 -0x4504 -0x654f72ac -256 -256 -1 -0 -0 -2 -0x81f5 -0xff6e10d3 -256 -256 -1 -0 -0 -2 -0xf7a9 -0xa0111bd8 -256 -256 -1 -0 -0 -3 -0xb5e1 -0xf4d6672c -256 -256 -0 -0 -0 -3 -0x2f57 -0xab4eb34f -256 -256 -1 -0 -0 -3 -0x4463 -0x70dae4af -256 -256 -1 -0 -0 -2 -0x9fab -0x553888f7 -256 -256 -1 -0 -0 -2 -0x969f -0xfe3b773 -256 -256 -1 -0 -0 -1 -0x76a1 -0xb1525ea5 -256 -256 -0 -0 -0 -4 -0x5edf -0x63262b68 -256 -256 -1 -0 -0 -3 -0x6fbe -0xdbadab75 -256 -256 -1 -0 -0 -1 -0xa3ff -0x3dc6e6e8 -256 -256 -0 -0 -0 -1 -0xaeee -0x49ff1da1 -256 -256 -1 -0 -0 -1 -0xfc30 -0x66cd4b10 -256 -256 -1 -0 -0 -2 -0x973e -0xecef5e1c -256 -256 -0 -0 -0 -4 -0x5d08 -0x9019ab0e -256 -256 -0 -0 -0 -1 -0x51e3 -0xe011fb1b -256 -256 -1 -0 -0 -4 -0x4389 -0xc3885545 -256 -256 -0 -0 -0 -2 -0xbb3d -0x419e0bbf -256 -256 -0 -0 -0 -2 -0x85d -0x5aab2a7a -256 -256 -1 -0 -0 -4 -0x5541 -0xb4f491ae -256 -256 -0 -0 -0 -3 -0xfe85 -0x128cf1cd -256 -256 -1 -0 -0 -4 -0x5210 -0x28a23a18 -256 -256 -1 -0 -0 -3 -0x690f -0xba6c1dea -256 -256 -1 -0 -0 -2 -0xadd0 -0x1b530d1d -256 -256 -1 -0 -0 -1 -0xb512 -0xc80473cc -256 -256 -1 -0 -0 -1 -0x9e37 -0x867f9fa6 -256 -256 -0 -0 -0 -1 -0x48e4 -0xee33c207 -256 -256 -0 -0 -0 -4 -0xee8f -0x6dd3b158 -256 -256 -1 -0 -0 -3 -0xe281 -0x9c089512 -256 -256 -1 -0 -0 -2 -0xd3e -0xad724e90 -256 -256 -0 -0 -0 -4 -0xc3d -0x6291cb3d -256 -256 -1 -0 -0 -1 -0xb69a -0xe54db86f -256 -256 -0 -0 -0 -1 -0x55a9 -0x346bd827 -256 -256 -0 -0 -0 -3 -0x1022 -0x5ed27ca4 -256 -256 -0 -0 -0 -1 -0x1b13 -0xaac70082 -256 -256 -1 -0 -0 -3 -0xa63f -0x458acaa9 -256 -256 -1 -0 -0 -1 -0x387a -0x166750b1 -256 -256 -1 -0 -0 -1 -0x7834 -0x81444b28 -256 -256 -0 -0 -0 -2 -0xbd6b -0x6dacf0d5 -256 -256 -0 -0 -0 -1 -0xba2e -0xbcd997d0 -256 -256 -0 -0 -0 -3 -0x69ac -0xcf402e68 -256 -256 -1 -0 -0 -4 -0xe258 -0xa4aa0e31 -256 -256 -0 -0 -0 -3 -0x62f4 -0x14a2b0d7 -256 -256 -1 -0 -0 -1 -0xdea -0xafd975 -256 -256 -0 -0 -0 -2 -0xdff3 -0x92e8bf16 -256 -256 -0 -0 -0 -3 -0x1f99 -0x5bab5b71 -256 -256 -0 -0 -0 -4 -0xfe3a -0xd492cc9b -256 -256 -0 -0 -0 -3 -0xfd16 -0x178e5a26 -256 -256 -0 -0 -0 -3 -0x32f6 -0x8ac4dda5 -256 -256 -1 -0 -0 -4 -0xa7aa -0x3b1a126d -256 -256 -1 -0 -0 -4 -0x8bc6 -0x14cadf8a -256 -256 -1 -0 -0 -2 -0xe5d9 -0x9e74e51a -256 -256 -1 -0 -0 -1 -0xce8b -0xaf3ab1b0 -256 -256 -0 -0 -0 -3 -0xa58 -0x25b50a4e -256 -256 -0 -0 -0 -2 -0xcb58 -0x784303de -256 -256 -1 -0 -0 -1 -0x1869 -0xae321c43 -256 -256 -1 -0 -0 -1 -0x87a -0xe03c4247 -256 -256 -0 -0 -0 -1 -0x1c42 -0xd1f378a4 -256 -256 -0 -0 -0 -2 -0x1a5c -0x2f0ada0e -256 -256 -1 -0 -0 -4 -0xd348 -0xf5a64bf -256 -256 -0 -0 -0 -4 -0x2b68 -0x8a56385a -256 -256 -0 -0 -0 -2 -0xc85a -0xc509040b -256 -256 -0 -0 -0 -2 -0x94cd -0xf77eef95 -256 -256 -0 -0 -0 -4 -0x6aec -0xd3263d5f -256 -256 -0 -0 -0 -1 -0xbcc0 -0xc70057fa -256 -256 -0 -0 -0 -3 -0x5305 -0x4a66a513 -256 -256 -1 -0 -0 -1 -0x16be -0x7d3f5c31 -256 -256 -1 -0 -0 -4 -0x48a2 -0xf948638c -256 -256 -0 -0 -0 -1 -0xf96e -0x68a3d498 -256 -256 -0 -0 -0 -4 -0x7419 -0x94194d62 -256 -256 -0 -0 -0 -1 -0xb29e -0xfa7dae5e -256 -256 -0 -0 -0 -4 -0x69e1 -0xf0b2eb97 -256 -256 -1 -0 -0 -2 -0xc155 -0xec4dec9f -256 -256 -0 -0 -0 -3 -0x9cec -0x469e1b0b -256 -256 -1 -0 -0 -4 -0x325f -0x30a6243 -256 -256 -0 -0 -0 -2 -0x24d5 -0x8779583 -256 -256 -1 -0 -0 -1 -0x8413 -0xfe0d73a1 -256 -256 -1 -0 -0 -4 -0xc61b -0x2b3f9ff9 -256 -256 -0 -0 -0 -2 -0x7fd8 -0x459c63ee -256 -256 -1 -0 -0 -3 -0x1e06 -0x143b7578 -256 -256 -1 -0 -0 -3 -0xcc4f -0x5d7340db -256 -256 -1 -0 -0 -2 -0xa101 -0x478076bf -256 -256 -1 -0 -0 -2 -0xcbe2 -0x6af8f67 -256 -256 -0 -0 -0 -2 -0x33de -0xa78330b4 -256 -256 -0 -0 -0 -3 -0xb0e2 -0xc9deca99 -256 -256 -1 -0 -0 -4 -0xbdb0 -0x9d6e0a6 -256 -256 -1 -0 -0 -4 -0x5f5f -0xe1bcd8df -256 -256 -1 -0 -0 -4 -0x84f -0x842fd47d -256 -256 -1 -0 -0 -3 -0x80fd -0x331deaba -256 -256 -1 -0 -0 -4 -0x4fbe -0xc0f1da06 -256 -256 -1 -0 -0 -1 -0xf39c -0xf0ea7a8f -256 -256 -0 -0 -0 -3 -0x9549 -0x72e006f5 -256 -256 -1 -0 -0 -4 -0xa19e -0x763dc9e8 -256 -256 -0 -0 -0 -1 -0x6eaa -0xbbb5526e -256 -256 -1 -0 -0 -2 -0x66d6 -0xf8918d99 -256 -256 -1 -0 -0 -3 -0x8f2a -0x3e51c286 -256 -256 -1 -0 -0 -3 -0xaa51 -0x49f36511 -256 -256 -1 -0 -0 -2 -0xca22 -0x3d4bd7ff -256 -256 -1 -0 -0 -1 -0xb542 -0xde340c50 -256 -256 -1 -0 -0 -1 -0xec97 -0x9d0227e -256 -256 -0 -0 -0 -2 -0xd48e -0xaf20196a -256 -256 -0 -0 -0 -2 -0x4fe2 -0x1fbeab5e -256 -256 -0 -0 -0 -4 -0xa91b -0xa5f1c902 -256 -256 -0 -0 -0 -1 -0xb850 -0x26d19523 -256 -256 -1 -0 -0 -3 -0xf0f8 -0x2611c8f4 -256 -256 -1 -0 -0 -2 -0x4e91 -0x9512149a -256 -256 -0 -0 -0 -1 -0x2acd -0x93b59407 -256 -256 -0 -0 -0 -1 -0x156c -0xd8cd9965 -256 -256 -0 -0 -0 -1 -0x677b -0xef2a7836 -256 -256 -1 -0 -0 -2 -0x9ab8 -0x91714682 -256 -256 -0 -0 -0 -1 -0x50dc -0x2541c479 -256 -256 -1 -0 -0 -2 -0x987e -0x31600078 -256 -256 -1 -0 -0 -3 -0x43be -0x370c7708 -256 -256 -1 -0 -0 -3 -0x5f27 -0xafa3df4f -256 -256 -0 -0 -0 -2 -0xc2a0 -0x2616b750 -256 -256 -0 -0 -0 -1 -0xdc71 -0x486ebb4 -256 -256 -0 -0 -0 -2 -0x4121 -0x2ee00665 -256 -256 -0 -0 -0 -4 -0x92d2 -0x2442fdcf -256 -256 -0 -0 -0 -3 -0x6a28 -0xe3fdbdea -256 -256 -0 -0 -0 -2 -0x9d21 -0x3222e3c8 -256 -256 -0 -0 -0 -3 -0x426b -0xdd708fe3 -256 -256 -0 -0 -0 -4 -0x787c -0xd5d4b7c3 -256 -256 -0 -0 -0 -3 -0x6550 -0xf783d3e1 -256 -256 -1 -0 -0 -1 -0xdec9 -0xf12b11f2 -256 -256 -1 -0 -0 -3 -0x539c -0x4875b8b5 -256 -256 -0 -0 -0 -1 -0x85f4 -0x21915119 -256 -256 -0 -0 -0 -1 -0xdddf -0x28b44807 -256 -256 -1 -0 -0 -4 -0xc35f -0x3cf82698 -256 -256 -0 -0 -0 -3 -0xe99d -0xb2a8f1c9 -256 -256 -0 -0 -0 -2 -0xfde8 -0xc45d3642 -256 -256 -1 -0 -0 -1 -0xe595 -0x4b0f279e -256 -256 -1 -0 -0 -2 -0xf0b6 -0xd15277a4 -256 -256 -1 -0 -0 -3 -0x520c -0x27b7ea6e -256 -256 -1 -0 -0 -3 -0xca2d -0x200aae81 -256 -256 -1 -0 -0 -4 -0x5987 -0x8ae7dc3a -256 -256 -1 -0 -0 -3 -0x2755 -0x7cf9cd0c -256 -256 -0 -0 -0 -2 -0xfba2 -0x2c9111d9 -256 -256 -0 -0 -0 -3 -0xa85b -0xbec6537b -256 -256 -1 -0 -0 -1 -0x2153 -0x6cbb4dbb -256 -256 -0 -0 -0 -3 -0xe201 -0xdfd53b0a -256 -256 -1 -0 -0 -3 -0x5999 -0xd4df7ae1 -256 -256 -0 -0 -0 -1 -0x7db6 -0xe723394 -256 -256 -0 -0 -0 -4 -0x4f45 -0xb390b3e1 -256 -256 -1 -0 -0 -2 -0x6e08 -0x11357b07 -256 -256 -1 -0 -0 -2 -0xf0f1 -0x7fd9de7 -256 -256 -1 -0 -0 -1 -0x68f -0xfd9c7fcc -256 -256 -1 -0 -0 -2 -0xca91 -0x6564291 -256 -256 -0 -0 -0 -4 -0xdc5f -0xd9e60414 -256 -256 -1 -0 -0 -1 -0x3d4b -0x6d7a293 -256 -256 -0 -0 -0 -3 -0x15cf -0xf2931df9 -256 -256 -1 -0 -0 -2 -0x11df -0xcc6807e6 -256 -256 -0 -0 -0 -1 -0xdea -0x9de7b6be -256 -256 -1 -0 -0 -2 -0x6580 -0x2f865bd2 -256 -256 -0 -0 -0 -1 -0xdf0a -0xe6fc8d09 -256 -256 -1 -0 -0 -2 -0x3336 -0xed8270a8 -256 -256 -0 -0 -0 -1 -0x4f9f -0xc4c092c9 -256 -256 -0 -0 -0 -4 -0x25ac -0x584080b0 -256 -256 -1 -0 -0 -1 -0x288e -0x7edefa52 -256 -256 -1 -0 -0 -4 -0x192b -0x7257967a -256 -256 -0 -0 -0 -2 -0x9bb2 -0x12a368ed -256 -256 -0 -0 -0 -4 -0x621e -0x689041b -256 -256 -1 -0 -0 -2 -0x5d3a -0xf354f43c -256 -256 -1 -0 -0 -1 -0xb019 -0x66d2cdc9 -256 -256 -0 -0 -0 -2 -0xaa0c -0xc9e7988c -256 -256 -1 -0 -0 -4 -0xdc2b -0xe659ec85 -256 -256 -0 -0 -0 -1 -0x3876 -0xdd868aec -256 -256 -1 -0 -0 -2 -0x8ca6 -0x7d8932de -256 -256 -0 -0 -0 -1 -0xcb89 -0xeebafeb6 -256 -256 -1 -0 -0 -1 -0x61ac -0xf392d7cb -256 -256 -0 -0 -0 -3 -0x39ed -0x16fdc97d -256 -256 -0 -0 -0 -4 -0xddad -0x886aa6a3 -256 -256 -1 -0 -0 -1 -0x6c7c -0xb101f336 -256 -256 -0 -0 -0 -1 -0x87e1 -0x53726b5e -256 -256 -0 -0 -0 -1 -0x293b -0xdac9511a -256 -256 -1 -0 -0 -4 -0x8ce7 -0xcf72f74a -256 -256 -1 -0 -0 -2 -0x26a9 -0x9af9213e -256 -256 -1 -0 -0 -2 -0xf36d -0xac67e2bb -256 -256 -1 -0 -0 -2 -0x5515 -0x19dbe36e -256 -256 -0 -0 -0 -4 -0xeb2 -0xae8f4006 -256 -256 -0 -0 -0 -4 -0x93af -0xa67e674e -256 -256 -0 -0 -0 -1 -0x355c -0xbdf64ca6 -256 -256 -1 -0 -0 -2 -0xab2c -0x6ce924a -256 -256 -1 -0 -0 -1 -0xd734 -0x1fd0ffc2 -256 -256 -1 -0 -0 -2 -0x15ec -0xe9ec85dd -256 -256 -0 -0 -0 -2 -0x4091 -0x807a4d21 -256 -256 -1 -0 -0 -1 -0x15a8 -0xacd14fac -256 -256 -0 -0 -0 -1 -0x229b -0xa4e367f0 -256 -256 -0 -0 -0 -2 -0xaaa6 -0x16f5dd21 -256 -256 -0 -0 -0 -2 -0xf14d -0x1147d4d4 -256 -256 -0 -0 -0 -1 -0xb8d4 -0xf2eedc6e -256 -256 -1 -0 -0 -3 -0xd9e9 -0xd592b364 -256 -256 -1 -0 -0 -3 -0x29a9 -0x46c37b6a -256 -256 -0 -0 -0 -1 -0x1c7 -0xf88f7da8 -256 -256 -0 -0 -0 -1 -0x67c4 -0xab74573 -256 -256 -1 -0 -0 -3 -0xe457 -0x5a049da6 -256 -256 -0 -0 -0 -4 -0x8c70 -0xe8d18ff7 -256 -256 -1 -0 -0 -1 -0x2131 -0x283dcc08 -256 -256 -0 -0 -0 -2 -0xa0c0 -0x5a0b38e0 -256 -256 -0 -0 -0 -1 -0x8181 -0x271bab84 -256 -256 -1 -0 -0 -3 -0x3100 -0xe5a39d07 -256 -256 -1 -0 -0 -2 -0x6361 -0xcbf9fe73 -256 -256 -1 -0 -0 -2 -0x6c7a -0x95f85ec0 -256 -256 -0 -0 -0 -1 -0xebdc -0xafa7fd6f -256 -256 -1 -0 -0 -1 -0x8c4a -0x81a05a08 -256 -256 -1 -0 -0 -1 -0xe687 -0x7331e73f -256 -256 -1 -0 -0 -1 -0x42ae -0xf7b464b5 -256 -256 -1 -0 -0 -3 -0x7ecc -0x952b9208 -256 -256 -1 -0 -0 -4 -0x9652 -0x83e27fc -256 -256 -1 -0 -0 -3 -0x202a -0xf526d73c -256 -256 -1 -0 -0 -3 -0xc963 -0x588e40e5 -256 -256 -0 -0 -0 -1 -0xb585 -0x5e607e5d -256 -256 -1 -0 -0 -4 -0xcad0 -0x66203568 -256 -256 -0 -0 -0 -2 -0x81a1 -0xcc728588 -256 -256 -1 -0 -0 -2 -0xf89d -0x8b10e61b -256 -256 -1 -0 -0 -2 -0x576d -0xaf82fd38 -256 -256 -0 -0 -0 -3 -0x4f9e -0x8430dff -256 -256 -0 -0 -0 -1 -0x5159 -0xfa625765 -256 -256 -1 -0 -0 -4 -0x8289 -0x2aa98bb8 -256 -256 -1 -0 -0 -4 -0xebe0 -0x22d775 -256 -256 -0 -0 -0 -3 -0xf4f8 -0x9846695f -256 -256 -1 -0 -0 -2 -0x4a3d -0xb2963057 -256 -256 -0 -0 -0 -3 -0x4cbd -0xb65cc85b -256 -256 -1 -0 -0 -3 -0xf599 -0x9e30cedb -256 -256 -1 -0 -0 -4 -0xec8a -0xf5da074f -256 -256 -1 -0 -0 -3 -0x8c56 -0xb1293ee4 -256 -256 -0 -0 -0 -1 -0x5560 -0xf2bd2f9f -256 -256 -0 -0 -0 -1 -0x262e -0x80a7cecf -256 -256 -0 -0 -0 -1 -0x168c -0x3232d7c7 -256 -256 -0 -0 -0 -2 -0xda3d -0xec960cff -256 -256 -1 -0 -0 -2 -0x6eb8 -0x5f3b2179 -256 -256 -1 -0 -0 -4 -0x83d5 -0xb6b50e6d -256 -256 -1 -0 -0 -4 -0xc357 -0x7efee072 -256 -256 -0 -0 -0 -2 -0x6768 -0x7bf46d6e -256 -256 -0 -0 -0 -3 -0x12e2 -0xa1ed660e -256 -256 -0 -0 -0 -3 -0xc45d -0x532907e3 -256 -256 -0 -0 -0 -3 -0x5c07 -0x22748f9a -256 -256 -0 -0 -0 -1 -0xb942 -0xd6e98ced -256 -256 -1 -0 -0 -4 -0x7d11 -0x48e61544 -256 -256 -0 -0 -0 -4 -0xb0f9 -0x6c40f3d7 -256 -256 -0 -0 -0 -2 -0x84ef -0xe117fa35 -256 -256 -1 -0 -0 -3 -0x12db -0xf09cfc90 -256 -256 -1 -0 -0 -2 -0xb28a -0x376d5c8f -256 -256 -0 -0 -0 -3 -0xb99c -0x1c7c0460 -256 -256 -1 -0 -0 -1 -0x8a37 -0x5bf4e22c -256 -256 -1 -0 -0 -1 -0xc3c0 -0x54afec22 -256 -256 -0 -0 -0 -4 -0x5993 -0x892bcc7c -256 -256 -1 -0 -0 -4 -0xca6e -0xcb052a3e -256 -256 -1 -0 -0 -3 -0xa562 -0x79fc263f -256 -256 -1 -0 -0 -2 -0xafc0 -0x601804e6 -256 -256 -1 -0 -0 -2 -0x6ea8 -0xeadd0ff5 -256 -256 -1 -0 -0 -1 -0xe0b7 -0x6c5f4984 -256 -256 -1 -0 -0 -3 -0x4ef4 -0xf7c04c97 -256 -256 -0 -0 -0 -4 -0xd7bf -0x828df238 -256 -256 -1 -0 -0 -3 -0x4c66 -0x87b91a2 -256 -256 -1 -0 -0 -1 -0xd5fd -0xa3570ef4 -256 -256 -0 -0 -0 -3 -0xc913 -0x96f6ce6d -256 -256 -1 -0 -0 -4 -0xcb04 -0x2c765211 -256 -256 -1 -0 -0 -1 -0x7201 -0x6da4c101 -256 -256 -0 -0 -0 -1 -0x87b7 -0x1b638f65 -256 -256 -1 -0 -0 -4 -0x46d8 -0xd43aba62 -256 -256 -0 -0 -0 -2 -0xb615 -0xf8bb029f -256 -256 -0 -0 -0 -3 -0xa352 -0xf23614a8 -256 -256 -0 -0 -0 -1 -0x5993 -0xf3b0b1c8 -256 -256 -0 -0 -0 -4 -0x9594 -0x823aa4f9 -256 -256 -1 -0 -0 -3 -0xd687 -0x16f690fe -256 -256 -0 -0 -0 -2 -0xbd36 -0x3772ae4e -256 -256 -1 -0 -0 -3 -0xd305 -0xfa980359 -256 -256 -0 -0 -0 -1 -0xffbe -0xdbacbdd2 -256 -256 -1 -0 -0 -1 -0xfba4 -0x5e1c3a40 -256 -256 -0 -0 -0 -2 -0x3078 -0x44fc8410 -256 -256 -0 -0 -0 -3 -0xfbac -0x5548c277 -256 -256 -1 -0 -0 -3 -0xf397 -0x96916ad -256 -256 -0 -0 -0 -2 -0x8ff4 -0x90336d17 -256 -256 -1 -0 -0 -3 -0xcf11 -0xb03d6008 -256 -256 -1 -0 -0 -2 -0x6bf8 -0x1982a567 -256 -256 -1 -0 -0 -3 -0x282 -0xb0d23ff7 -256 -256 -0 -0 -0 -3 -0x7355 -0x7afeb413 -256 -256 -0 -0 -0 -1 -0xd41b -0x5771ae6 -256 -256 -1 -0 -0 -2 -0xcdb4 -0xe1444c62 -256 -256 -0 -0 -0 -4 -0x4d79 -0xd17ee94d -256 -256 -0 -0 -0 -4 -0x51a0 -0x6988707b -256 -256 -1 -0 -0 -2 -0x29f4 -0x8de6f612 -256 -256 -1 -0 -0 -4 -0xfed6 -0x8d53a5b4 -256 -256 -1 -0 -0 -4 -0x385 -0xa325c4bf -256 -256 -0 -0 -0 -2 -0xadb2 -0x22da1a2d -256 -256 -0 -0 -0 -3 -0x3613 -0x918e8cb0 -256 -256 -1 -0 -0 -4 -0x63ee -0x11a2b5de -256 -256 -1 -0 -0 -4 -0x207 -0x163ab24 -256 -256 -1 -0 -0 -1 -0xd1bd -0xe4555c70 -256 -256 -0 -0 -0 -4 -0xecda -0xaaf60c90 -256 -256 -1 -0 -0 -3 -0x2afa -0xfe8b8a70 -256 -256 -1 -0 -0 -2 -0xabdd -0x801fa00b -256 -256 -0 -0 -0 -2 -0xc208 -0xb9b5db94 -256 -256 -1 -0 -0 -3 -0x1436 -0x1fe400 -256 -256 -0 -0 -0 -2 -0x75e3 -0xe6a95777 -256 -256 -1 -0 -0 -4 -0xc23a -0x10afd0ed -256 -256 -1 -0 -0 -2 -0xe7eb -0xf6b865f5 -256 -256 -1 -0 -0 -1 -0xb60f -0xae83a77d -256 -256 -0 -0 -0 -3 -0xaa7 -0xdaf7c564 -256 -256 -0 -0 -0 -4 -0xf502 -0x797a60e5 -256 -256 -0 -0 -0 -3 -0x1349 -0xcc87acc6 -256 -256 -0 -0 -0 -3 -0x7a92 -0x421e2649 -256 -256 -1 -0 -0 -4 -0x975 -0x838947de -256 -256 -1 -0 -0 -3 -0xd075 -0x5b261e84 -256 -256 -1 -0 -0 -1 -0x63a -0x36e192b4 -256 -256 -0 -0 -0 -3 -0x56a4 -0xfe9668e5 -256 -256 -0 -0 -0 -4 -0x3be1 -0x4def19f -256 -256 -0 -0 -0 -4 -0x6c47 -0x328d22a -256 -256 -1 -0 -0 -1 -0xf4a5 -0xcfcf788f -256 -256 -0 -0 -0 -4 -0xd792 -0xaa1b0fb9 -256 -256 -0 -0 -0 -1 -0xeb84 -0x212a3ad0 -256 -256 -0 -0 -0 -4 -0x50f6 -0xe71afa54 -256 -256 -0 -0 -0 -2 -0xfecb -0xa3ec559c -256 -256 -0 -0 -0 -1 -0x89d3 -0x4925e5ad -256 -256 -1 -0 -0 -2 -0x204 -0xeede3175 -256 -256 -1 -0 -0 -1 -0xb3c7 -0x5c11172d -256 -256 -0 -0 -0 -3 -0xc4b3 -0x197ed002 -256 -256 -0 -0 -0 -3 -0xc278 -0x79b6c4aa -256 -256 -1 -0 -0 -3 -0xc076 -0x4c613507 -256 -256 -0 -0 -0 -4 -0xca88 -0x8f0a5632 -256 -256 -1 -0 -0 -2 -0x6d40 -0x5b3fe4a7 -256 -256 -0 -0 -0 -3 -0xb3eb -0x456b0be -256 -256 -0 -0 -0 -4 -0x1d07 -0x820212dc -256 -256 -0 -0 -0 -3 -0x96ec -0xf01165bb -256 -256 -1 -0 -0 -4 -0x78ab -0xe640b358 -256 -256 -0 -0 -0 -4 -0x43b8 -0x358ce15e -256 -256 -1 -0 -0 -4 -0x814 -0xc5ffe9c4 -256 -256 -0 -0 -0 -3 -0x4a2c -0xd76bedfa -256 -256 -0 -0 -0 -3 -0x8492 -0x22bd962f -256 -256 -0 -0 -0 -1 -0x5676 -0x7d972a89 -256 -256 -0 -0 -0 -1 -0xb7d6 -0x630111e6 -256 -256 -1 -0 -0 -1 -0x17de -0xa43e6b99 -256 -256 -0 -0 -0 -1 -0x3653 -0xa03776a6 -256 -256 -1 -0 -0 -3 -0xed13 -0xc61ea2a7 -256 -256 -1 -0 -0 -4 -0x5349 -0xaf155853 -256 -256 -0 -0 -0 -4 -0xe25b -0xc2dce848 -256 -256 -1 -0 -0 -4 -0xb8ce -0x1c66794a -256 -256 -1 -0 -0 -3 -0xb476 -0x8853b132 -256 -256 -0 -0 -0 -2 -0xe4 -0x2b6005d3 -256 -256 -0 -0 -0 -3 -0xb106 -0xbecef517 -256 -256 -1 -0 -0 -3 -0x7fb7 -0x67ba719c -256 -256 -1 -0 -0 -2 -0xda18 -0x3315a2 -256 -256 -0 -0 -0 -3 -0xb25f -0x95716411 -256 -256 -0 -0 -0 -2 -0x8d45 -0xae7d1577 -256 -256 -1 -0 -0 -1 -0xe41 -0x69824a80 -256 -256 -0 -0 -0 -3 -0x574e -0xeb3420f2 -256 -256 -0 -0 -0 -1 -0xf4e4 -0xb78f09b8 -256 -256 -0 -0 -0 -1 -0x302c -0x6273f83d -256 -256 -1 -0 -0 -2 -0x89cf -0x68aa0358 -256 -256 -1 -0 -0 -3 -0x88fe -0x48233e5e -256 -256 -0 -0 -0 -2 -0xedd3 -0x7f024b1 -256 -256 -0 -0 -0 -3 -0x2db -0xb52825f8 -256 -256 -0 -0 -0 -3 -0xa328 -0x3818a39f -256 -256 -1 -0 -0 -3 -0xeb7a -0xac90c1dc -256 -256 -0 -0 -0 -1 -0xd8e7 -0x3071002a -256 -256 -1 -0 -0 -2 -0x9ced -0x4ecea39a -256 -256 -1 -0 -0 -2 -0xfff0 -0x8157a9db -256 -256 -1 -0 -0 -2 -0x53a6 -0x3ac3c250 -256 -256 -1 -0 -0 -3 -0xf46e -0xb660feae -256 -256 -1 -0 -0 -3 -0xbb45 -0x93a39b -256 -256 -1 -0 -0 -3 -0x66c2 -0x841472fd -256 -256 -1 -0 -0 -3 -0x4aad -0x22fd31ca -256 -256 -0 -0 -0 -1 -0xa524 -0x192a3d38 -256 -256 -1 -0 -0 -2 -0xb4bd -0x5840e9bf -256 -256 -1 -0 -0 -4 -0x2c85 -0xd534fc4c -256 -256 -0 -0 -0 -4 -0x85df -0xc2441080 -256 -256 -0 -0 -0 -2 -0x2af8 -0xd2921b79 -256 -256 -0 -0 -0 -4 -0x8cf8 -0xfbd01e6b -256 -256 -1 -0 -0 -3 -0x5d32 -0xf356af47 -256 -256 -1 -0 -0 -1 -0x94d9 -0xd1772de8 -256 -256 -0 -0 -0 -1 -0x7d10 -0xa321c457 -256 -256 -1 -0 -0 -1 -0x5aac -0xaba907d5 -256 -256 -1 -0 -0 -2 -0xe2f6 -0x337bdbc4 -256 -256 -0 -0 -0 -2 -0x71f5 -0x3de52245 -256 -256 -0 -0 -0 -1 -0xfb12 -0x4bca05e3 -256 -256 -1 -0 -0 -1 -0x65a9 -0x5311b562 -256 -256 -0 -0 -0 -1 -0xa06d -0x4eb70f74 -256 -256 -0 -0 -0 -4 -0x7f6a -0xa812517f -256 -256 -1 -0 -0 -2 -0x482 -0x5f331ed6 -256 -256 -1 -0 -0 -3 -0xecc6 -0x86636747 -256 -256 -0 -0 -0 -2 -0xeb2b -0x8676d36 -256 -256 -1 -0 -0 -4 -0x766e -0xb4244a24 -256 -256 -1 -0 -0 -1 -0xef66 -0x90b4d2c9 -256 -256 -1 -0 -0 -3 -0x1505 -0xe9d6f37b -256 -256 -1 -0 -0 -3 -0x532b -0x3cb455ab -256 -256 -0 -0 -0 -2 -0x4f6a -0xe6dc97b -256 -256 -1 -0 -0 -2 -0x84d9 -0x177d884e -256 -256 -1 -0 -0 -1 -0x4b78 -0x72eb56e1 -256 -256 -0 -0 -0 -2 -0x85da -0x40a8154d -256 -256 -0 -0 -0 -1 -0x761d -0xd9a30506 -256 -256 -0 -0 -0 -4 -0x9b74 -0x8bd34b2a -256 -256 -0 -0 -0 -2 -0xcdea -0x62983af1 -256 -256 -1 -0 -0 -3 -0xaa4e -0x1a0b3eb7 -256 -256 -0 -0 -0 -4 -0x6521 -0xa1ce26f7 -256 -256 -1 -0 -0 -4 -0xd65a -0x7c727d52 -256 -256 -0 -0 -0 -3 -0xe4d6 -0xad54a9d9 -256 -256 -0 -0 -0 -2 -0x2ad4 -0x55a608ea -256 -256 -1 -0 -0 -3 -0x62af -0x43957daa -256 -256 -1 -0 -0 -3 -0x9c0a -0x3540f02d -256 -256 -1 -0 -0 -1 -0x9550 -0x31c595d2 -256 -256 -1 -0 -0 -4 -0xe969 -0xb07aff17 -256 -256 -1 -0 -0 -2 -0xf1ac -0x32d47e8a -256 -256 -0 -0 -0 -1 -0x3b76 -0x9fd5e337 -256 -256 -0 -0 -0 -4 -0x46fa -0xbf46fd15 -256 -256 -1 -0 -0 -3 -0x495 -0x8c3a9541 -256 -256 -1 -0 -0 -3 -0xa81f -0x40455fa2 -256 -256 -0 -0 -0 -4 -0x33cd -0x1db3a390 -256 -256 -1 -0 -0 -1 -0x2a37 -0x959cdead -256 -256 -0 -0 -0 -4 -0xd886 -0xfa6558be -256 -256 -0 -0 -0 -3 -0x37d7 -0x3619cb24 -256 -256 -1 -0 -0 -4 -0xc5b3 -0xd8ff0426 -256 -256 -0 -0 -0 -1 -0x1f8a -0xed9ec10b -256 -256 -0 -0 -0 -3 -0xbaaa -0x104d6479 -256 -256 -1 -0 -0 -2 -0xa4cd -0xf9417dbc -256 -256 -0 -0 -0 -2 -0x97f6 -0x626dbd2a -256 -256 -0 -0 -0 -4 -0x6b8f -0x74f24f9b -256 -256 -0 -0 -0 -4 -0x30d5 -0x4f2db43d -256 -256 -0 -0 -0 -4 -0xa1f3 -0xebae4588 -256 -256 -1 -0 -0 -4 -0xd349 -0x15d0cdd8 -256 -256 -1 -0 -0 -2 -0x129d -0xd78ae775 -256 -256 -0 -0 -0 -2 -0xd67 -0x27c4710 -256 -256 -1 -0 -0 -2 -0x141e -0xea22503e -256 -256 -0 -0 -0 -4 -0x25f6 -0x782e32de -256 -256 -1 -0 -0 -2 -0x2379 -0x1b86294f -256 -256 -0 -0 -0 -4 -0x8550 -0x8b208309 -256 -256 -1 -0 -0 -3 -0x8286 -0xd7d36dd2 -256 -256 -0 -0 -0 -3 -0x904b -0xba6799b6 -256 -256 -1 -0 -0 -1 -0x366 -0x9649b3d9 -256 -256 -0 -0 -0 -1 -0xca34 -0xd623a6d4 -256 -256 -1 -0 -0 -3 -0xcb4d -0x884d2490 -256 -256 -0 -0 -0 -1 -0xa3ce -0xfd69703e -256 -256 -0 -0 -0 -1 -0xf4af -0x4ba576fb -256 -256 -0 -0 -0 -3 -0x436 -0x5fca5e55 -256 -256 -1 -0 -0 -3 -0xea8 -0x53b67b35 -256 -256 -1 -0 -0 -2 -0x7a00 -0x5bf74b31 -256 -256 -0 -0 -0 -3 -0xc154 -0xa6e5c3be -256 -256 -0 -0 -0 -4 -0xb85 -0xf5ce827b -256 -256 -0 -0 -0 -4 -0x5721 -0x1e9b6478 -256 -256 -0 -0 -0 -3 -0x1c10 -0x725f9cd0 -256 -256 -1 -0 -0 -4 -0x24f -0xfe1825da -256 -256 -0 -0 -0 -1 -0x47f -0xb6667211 -256 -256 -1 -0 -0 -2 -0xe3a6 -0x7a60d5a9 -256 -256 -0 -0 -0 -2 -0x5607 -0x1598e79d -256 -256 -0 -0 -0 -3 -0x6ce3 -0xc0f0f3e3 -256 -256 -0 -0 -0 -2 -0xf43c -0xa0f91685 -256 -256 -0 -0 -0 -3 -0x8406 -0xbab42c68 -256 -256 -1 -0 -0 -3 -0xbeca -0x6bdf563d -256 -256 -0 -0 -0 -4 -0x5155 -0xe9d2b2b3 -256 -256 -0 -0 -0 -4 -0x6521 -0xdeeacb8 -256 -256 -0 -0 -0 -1 -0x1901 -0xf3ab662f -256 -256 -1 -0 -0 -1 -0x6d45 -0x3e6fb62f -256 -256 -1 -0 -0 -2 -0xb99d -0x3814d703 -256 -256 -1 -0 -0 -2 -0x3443 -0x1b020575 -256 -256 -1 -0 -0 -1 -0x6006 -0xa0907ad1 -256 -256 -1 -0 -0 -3 -0xa287 -0x17de631e -256 -256 -0 -0 -0 -4 -0xd047 -0x6322c98b -256 -256 -0 -0 -0 -4 -0xb33f -0x9a124f34 -256 -256 -1 -0 -0 -1 -0xf01f -0x4e27e5d -256 -256 -1 -0 -0 -4 -0x15c9 -0xb013a106 -256 -256 -1 -0 -0 -4 -0xa395 -0x2c9fec0d -256 -256 -1 -0 -0 -1 -0x3897 -0xd7e3c9c8 -256 -256 -0 -0 -0 -2 -0x1e3d -0x849cac6 -256 -256 -1 -0 -0 -2 -0xcbf0 -0x758b1531 -256 -256 -1 -0 -0 -3 -0xd211 -0xcc80330f -256 -256 -0 -0 -0 -2 -0x7d26 -0x71a33aab -256 -256 -0 -0 -0 -4 -0x82b1 -0xe54e6289 -256 -256 -0 -0 -0 -3 -0xa490 -0x3e314a22 -256 -256 -0 -0 -0 -3 -0x3fab -0x794f804c -256 -256 -1 -0 -0 -2 -0x6472 -0x2d0969ac -256 -256 -1 -0 -0 -4 -0x8dac -0x70dcb98f -256 -256 -0 -0 -0 -3 -0xcf4f -0x53fe862 -256 -256 -1 -0 -0 -2 -0x4bd9 -0x27a0e8b0 -256 -256 -0 -0 -0 -3 -0x37e5 -0x477b39c7 -256 -256 -0 -0 -0 -3 -0xcf89 -0xc222eb81 -256 -256 -0 -0 -0 -1 -0x515d -0x1895a926 -256 -256 -1 -0 -0 -3 -0xb3b0 -0xdc0ef9a0 -256 -256 -1 -0 -0 -3 -0xee2c -0xd4cad50f -256 -256 -1 -0 -0 -4 -0xb517 -0xad8d3de7 -256 -256 -0 -0 -0 -3 -0x3c11 -0xc9bbff61 -256 -256 -0 -0 -0 -3 -0xde7d -0x3f6d0482 -256 -256 -0 -0 -0 -4 -0x50fd -0x449c04e8 -256 -256 -0 -0 -0 -4 -0xaf79 -0x60cc1e53 -256 -256 -1 -0 -0 -3 -0xd60c -0x70613c9 -256 -256 -0 -0 -0 -4 -0x2094 -0xfc1cd81c -256 -256 -1 -0 -0 -2 -0x7a6d -0x4ce24ebd -256 -256 -0 -0 -0 -3 -0x2c3e -0x3008d8f -256 -256 -1 -0 -0 -3 -0x333a -0xfcd505a6 -256 -256 -0 -0 -0 -2 -0x5ed0 -0x575fee1a -256 -256 -0 -0 -0 -1 -0xc8e4 -0x2fda0317 -256 -256 -1 -0 -0 -2 -0xd4ee -0xa1d9ea88 -256 -256 -1 -0 -0 -4 -0x97af -0x67fb9534 -256 -256 -0 -0 -0 -4 -0xa9d8 -0xb23b2ab1 -256 -256 -1 -0 -0 -3 -0xa7a2 -0x64531653 -256 -256 -1 -0 -0 -3 -0x239f -0xaea7673 -256 -256 -0 -0 -0 -4 -0xcbb2 -0xe9487dd2 -256 -256 -0 -0 -0 -4 -0x7ea8 -0x3797b4b8 -256 -256 -0 -0 -0 -4 -0x7fcf -0x7829242 -256 -256 -0 -0 -0 -3 -0xd42f -0x487719f0 -256 -256 -0 -0 -0 -2 -0x7629 -0x399b8323 -256 -256 -1 -0 -0 -1 -0xec5 -0xa3d38dd1 -256 -256 -0 -0 -0 -2 -0x95fe -0xa06ba925 -256 -256 -1 -0 -0 -3 -0x31f -0xb7e9933b -256 -256 -0 -0 -0 -3 -0x7275 -0xb50f53a4 -256 -256 -1 -0 -0 -2 -0xd30 -0xce990e5d -256 -256 -0 -0 -0 -1 -0xc45a -0xc743a4be -256 -256 -1 -0 -0 -3 -0x1c42 -0x6d7032c1 -256 -256 -0 -0 -0 -3 -0x5089 -0xa47bc327 -256 -256 -0 -0 -0 -4 -0xafa2 -0x812175c7 -256 -256 -0 -0 -0 -4 -0xa928 -0x5dfababe -256 -256 -1 -0 -0 -3 -0x53f2 -0xc82a77b1 -256 -256 -0 -0 -0 -3 -0x6798 -0x38e48129 -256 -256 -1 -0 -0 -1 -0xfa66 -0xb07b1b46 -256 -256 -1 -0 -0 -4 -0xd -0xb3bf4e56 -256 -256 -0 -0 -0 -1 -0xf413 -0x4668428d -256 -256 -1 -0 -0 -1 -0xd3a4 -0x6c2cfcec -256 -256 -0 -0 -0 -1 -0x9e60 -0xd470b6d4 -256 -256 -1 -0 -0 -2 -0x7d58 -0x4c341232 -256 -256 -0 -0 -0 -3 -0xc2eb -0xc4840bf6 -256 -256 -0 -0 -0 -4 -0xa3c3 -0x88f487b1 -256 -256 -0 -0 -0 -3 -0xeb98 -0x41d0f49f -256 -256 -0 -0 -0 -3 -0xfe2d -0xe11e619 -256 -256 -1 -0 -0 -4 -0x8979 -0x43736562 -256 -256 -1 -0 -0 -1 -0x4c13 -0xc01fca15 -256 -256 -0 -0 -0 -1 -0x3b6 -0x458ac6d1 -256 -256 -0 -0 -0 -2 -0x401 -0x80a853e2 -256 -256 -1 -0 -0 -1 -0x39a7 -0x4c851152 -256 -256 -1 -0 -0 -1 -0x474b -0x9bd409cc -256 -256 -0 -0 -0 -2 -0xb359 -0x2d2ba74f -256 -256 -0 -0 -0 -1 -0x7828 -0xc09b64ac -256 -256 -0 -0 -0 -3 -0xa88b -0x8bc77c32 -256 -256 -1 -0 -0 -1 -0x6881 -0x323a8cc6 -256 -256 -0 -0 -0 -4 -0x70f6 -0xcaea1ec7 -256 -256 -1 -0 -0 -2 -0xbbe7 -0xae13fe5d -256 -256 -1 -0 -0 -3 -0x16c0 -0x33ccce6b -256 -256 -0 -0 -0 -2 -0xa7e4 -0xb5b5ebf8 -256 -256 -0 -0 -0 -4 -0xd960 -0xaf5086e0 -256 -256 -0 -0 -0 -1 -0x8aea -0x491b12f7 -256 -256 -0 -0 -0 -2 -0x305d -0xbf1d38d2 -256 -256 -1 -0 -0 -1 -0x92f4 -0x72b900e2 -256 -256 -1 -0 -0 -1 -0x94c9 -0xefe90c9b -256 -256 -1 -0 -0 -3 -0x7cfe -0x544e3c79 -256 -256 -1 -0 -0 -1 -0x617d -0x7048b95d -256 -256 -1 -0 -0 -4 -0x45bc -0x8436800b -256 -256 -0 -0 -0 -3 -0x38a9 -0x27b314be -256 -256 -1 -0 -0 -2 -0xaa2d -0xf32e772f -256 -256 -1 -0 -0 -1 -0xe7e0 -0xf95c5e93 -256 -256 -1 -0 -0 -1 -0x2a6f -0x680a2b9d -256 -256 -1 -0 -0 -2 -0xf0ce -0x57da4e6 -256 -256 -1 -0 -0 -2 -0x2e8c -0xc93e2d51 -256 -256 -1 -0 -0 -3 -0x8cfb -0xd04b9be1 -256 -256 -1 -0 -0 -2 -0xdc1c -0x5b041afc -256 -256 -1 -0 -0 -3 -0x6d41 -0x27c6662e -256 -256 -0 -0 -0 -4 -0xe3d -0x9a91e250 -256 -256 -1 -0 -0 -3 -0x12 -0x90168fe9 -256 -256 -1 -0 -0 -4 -0x4914 -0x24f5c895 -256 -256 -1 -0 -0 -2 -0x1c34 -0x3f695b29 -256 -256 -0 -0 -0 -2 -0xdb7f -0x95ae2345 -256 -256 -0 -0 -0 -2 -0x738f -0xcb2e67d6 -256 -256 -0 -0 -0 -2 -0x8bfa -0xb210069e -256 -256 -0 -0 -0 -1 -0xaee8 -0x37787bfc -256 -256 -1 -0 -0 -2 -0xc7aa -0x9a41596e -256 -256 -0 -0 -0 -3 -0xad0e -0x83eda25b -256 -256 -1 -0 -0 -1 -0x382c -0xa5c18b94 -256 -256 -1 -0 -0 -4 -0x9940 -0xc9050011 -256 -256 -0 -0 -0 -4 -0x75f2 -0xeb385a51 -256 -256 -0 -0 -0 -3 -0x345e -0x6c808b47 -256 -256 -0 -0 -0 -4 -0xd54c -0x549ba764 -256 -256 -1 -0 -0 -1 -0xa9d6 -0xa4617d6e -256 -256 -0 -0 -0 -4 -0x190d -0x11d73a63 -256 -256 -1 -0 -0 -1 -0x90e4 -0xe79d6c88 -256 -256 -0 -0 -0 -2 -0xb078 -0xdafca529 -256 -256 -1 -0 -0 -3 -0x28 -0xf4cd581 -256 -256 -0 -0 -0 -3 -0xb0af -0x5d960bb7 -256 -256 -1 -0 -0 -4 -0xcdcd -0x7582a1a3 -256 -256 -0 -0 -0 -1 -0xea4f -0x653c365d -256 -256 -1 -0 -0 -2 -0x16c5 -0xbfe10071 -256 -256 -0 -0 -0 -2 -0xa55b -0x79e8c640 -256 -256 -0 -0 -0 -4 -0x6766 -0xdf970b0d -256 -256 -0 -0 -0 -4 -0xd5ea -0x2b921568 -256 -256 -1 -0 -0 -3 -0x94c2 -0xa3c317ef -256 -256 -0 -0 -0 -1 -0xbc87 -0x4306a77a -256 -256 -0 -0 -0 -1 -0x167 -0x2a41f456 -256 -256 -1 -0 -0 -4 -0xaae8 -0x4ce3dcac -256 -256 -0 -0 -0 -3 -0x4c93 -0xc6cde1b3 -256 -256 -0 -0 -0 -3 -0x1ff4 -0x87623c8c -256 -256 -1 -0 -0 -3 -0x34bf -0xd28b9c5a -256 -256 -0 -0 -0 -4 -0x1c02 -0xff351241 -256 -256 -1 -0 -0 -4 -0xa606 -0xf7d57e63 -256 -256 -0 -0 -0 -2 -0x39ea -0x9c56b72f -256 -256 -1 -0 -0 -4 -0x14b7 -0xd215e060 -256 -256 -0 -0 -0 -3 -0xcd4d -0xd98b5aa3 -256 -256 -0 -0 -0 -4 -0x2387 -0x45661afc -256 -256 -1 -0 -0 -4 -0x5d0c -0xbb2629c6 -256 -256 -1 -0 -0 -2 -0x3138 -0x9faf838e -256 -256 -1 -0 -0 -1 -0xf1fc -0x7ae4343c -256 -256 -0 -0 -0 -2 -0xfff4 -0xc00a3c1f -256 -256 -1 -0 -0 -1 -0x65f -0xb33890a0 -256 -256 -1 -0 -0 -3 -0x918e -0x815a5103 -256 -256 -1 -0 -0 -4 -0xeb4a -0x608a45f1 -256 -256 -0 -0 -0 -4 -0x17bb -0xdbff9704 -256 -256 -0 -0 -0 -2 -0x5e7b -0x7338a85c -256 -256 -0 -0 -0 -1 -0x803 -0xad384af3 -256 -256 -1 -0 -0 -1 -0x8e24 -0x778c234 -256 -256 -1 -0 -0 -4 -0x8d61 -0x120316e7 -256 -256 -1 -0 -0 -2 -0xa21a -0x3c0c5776 -256 -256 -0 -0 -0 -3 -0xdd82 -0x2d556b39 -256 -256 -1 -0 -0 -2 -0x6949 -0x8d27c2a6 -256 -256 -1 -0 -0 -2 -0x917e -0x1e7d5c08 -256 -256 -0 -0 -0 -2 -0xd8cd -0xbb7040a7 -256 -256 -0 -0 -0 -2 -0xb5fa -0xbc9157ad -256 -256 -1 -0 -0 -2 -0xed2a -0xb89cd0bc -256 -256 -0 -0 -0 -2 -0xa977 -0x949420d8 -256 -256 -1 -0 -0 -4 -0xb5e5 -0xee04e407 -256 -256 -0 -0 -0 -1 -0x762f -0x3a580096 -256 -256 -1 -0 -0 -1 -0x8aac -0x50d6a707 -256 -256 -1 -0 -0 -4 -0xa665 -0xa05e8dad -256 -256 -0 -0 -0 -2 -0x224e -0xa9ac680d -256 -256 -0 -0 -0 -1 -0x91b8 -0x91910f62 -256 -256 -1 -0 -0 -4 -0x2c8e -0xd7f02b9f -256 -256 -1 -0 -0 -2 -0x2b09 -0x175ecd82 -256 -256 -1 -0 -0 -2 -0x33e6 -0x8145a51b -256 -256 -1 -0 -0 -4 -0x4f37 -0x65c39b23 -256 -256 -0 -0 -0 -3 -0x117e -0x2e398c51 -256 -256 -1 -0 -0 -1 -0xb9cc -0xc542e08b -256 -256 -1 -0 -0 -1 -0x4aec -0x873d86e6 -256 -256 -0 -0 -0 -4 -0x9a9b -0xbf3fe68 -256 -256 -0 -0 -0 -1 -0x93ae -0xe87d028d -256 -256 -0 -0 -0 -1 -0xefc8 -0xb63fd3c3 -256 -256 -1 -0 -0 -1 -0x69d7 -0x193c960b -256 -256 -0 -0 -0 -3 -0x2185 -0x58dd1117 -256 -256 -0 -0 -0 -2 -0xdcac -0xb4417b61 -256 -256 -1 -0 -0 -2 -0xdaed -0x567222ab -256 -256 -0 -0 -0 -2 -0xe4d9 -0xc9aa790c -256 -256 -1 -0 -0 -1 -0x2d16 -0xb46e2977 -256 -256 -1 -0 -0 -3 -0x32ed -0xfb6eab64 -256 -256 -0 -0 -0 -4 -0x9676 -0x53350252 -256 -256 -0 -0 -0 -4 -0x9fd7 -0xfe43ed79 -256 -256 -1 -0 -0 -4 -0x5b18 -0x55fcc50b -256 -256 -1 -0 -0 -2 -0xf1ad -0x9144d99d -256 -256 -0 -0 -0 -4 -0x4fc3 -0xc04bf058 -256 -256 -1 -0 -0 -1 -0x60ec -0x3eb8c2c6 -256 -256 -0 -0 -0 -1 -0xf718 -0x53fa44e5 -256 -256 -0 -0 -0 -4 -0x3b0c -0x7bd44200 -256 -256 -0 -0 -0 -3 -0x6fb6 -0x700612e9 -256 -256 -0 -0 -0 -2 -0x3c2 -0xac58bd8e -256 -256 -0 -0 -0 -3 -0xf5f2 -0x1aa5d9cd -256 -256 -0 -0 -0 -1 -0x8414 -0x47450fd1 -256 -256 -1 -0 -0 -3 -0xb67 -0x865ca9a9 -256 -256 -1 -0 -0 -3 -0x1da4 -0x93e98b8a -256 -256 -0 -0 -0 -3 -0xfc44 -0x603b3429 -256 -256 -1 -0 -0 -1 -0x1895 -0xa0ed52bc -256 -256 -0 -0 -0 -2 -0x5b5d -0x8ba03bf9 -256 -256 -0 -0 -0 -4 -0xaec2 -0x7fa99cc3 -256 -256 -1 -0 -0 -1 -0x4d1 -0xd68015ee -256 -256 -1 -0 -0 -2 -0xdd42 -0x425b5130 -256 -256 -0 -0 -0 -3 -0x92cc -0x918d611f -256 -256 -1 -0 -0 -4 -0x3bb0 -0x63f3736f -256 -256 -0 -0 -0 -3 -0x36f3 -0xf07bad5c -256 -256 -0 -0 -0 -4 -0x5083 -0xcdd919ce -256 -256 -0 -0 -0 -1 -0xe33 -0x91d7dc6f -256 -256 -1 -0 -0 -3 -0x102f -0x87f9e65 -256 -256 -0 -0 -0 -3 -0x7498 -0x243c335 -256 -256 -0 -0 -0 -2 -0xd8ce -0x35514d81 -256 -256 -0 -0 -0 -4 -0xa728 -0xc5f07e55 -256 -256 -0 -0 -0 -1 -0xb9ef -0xe1517db0 -256 -256 -0 -0 -0 -3 -0x977f -0xeec0ff7c -256 -256 -1 -0 -0 -3 -0xf41b -0x703c7c0d -256 -256 -1 -0 -0 -2 -0xdfa4 -0x3775a09e -256 -256 -1 -0 -0 -4 -0x381c -0x65284db7 -256 -256 -1 -0 -0 -2 -0xebf6 -0x38e5106c -256 -256 -1 -0 -0 -1 -0x8e7f -0xaa90a881 -256 -256 -1 -0 -0 -2 -0x2efb -0x9bb5f734 -256 -256 -1 -0 -0 -2 -0x5f43 -0x40577371 -256 -256 -0 -0 -0 -4 -0x7c17 -0x994dc9d5 -256 -256 -1 -0 -0 -2 -0x3d12 -0xd8baf736 -256 -256 -1 -0 -0 -4 -0xe8ec -0x38ee51b6 -256 -256 -0 -0 -0 -2 -0x4b15 -0xab13dd92 -256 -256 -0 -0 -0 -3 -0x1e94 -0x68de6573 -256 -256 -1 -0 -0 -4 -0xa7d2 -0xb2b48706 -256 -256 -0 -0 -0 -2 -0x3386 -0x20760e84 -256 -256 -0 -0 -0 -2 -0x4571 -0x9c14aafa -256 -256 -0 -0 -0 -2 -0x6277 -0x2078faa2 -256 -256 -1 -0 -0 -2 -0x9851 -0x38554a51 -256 -256 -1 -0 -0 -1 -0x3c40 -0xc48c05ca -256 -256 -0 -0 -0 -4 -0x6fbf -0x35118ebe -256 -256 -0 -0 -0 -4 -0x4a65 -0x20faf475 -256 -256 -1 -0 -0 -1 -0x24e8 -0xc904b195 -256 -256 -1 -0 -0 -4 -0xe010 -0x3826c07d -256 -256 -0 -0 -0 -2 -0xfb8f -0x7b107d45 -256 -256 -0 -0 -0 -3 -0x2f13 -0x357e3686 -256 -256 -1 -0 -0 -2 -0x2eee -0xa3aecb60 -256 -256 -0 -0 -0 -3 -0x32bf -0xa44eeb3f -256 -256 -0 -0 -0 -1 -0x694c -0x7b377d3f -256 -256 -0 -0 -0 -1 -0xb9e2 -0xa721dc23 -256 -256 -0 -0 -0 -2 -0xe176 -0x5af6f53 -256 -256 -1 -0 -0 -3 -0xec83 -0xb7801983 -256 -256 -1 -0 -0 -3 -0x1b32 -0x29ddcd2f -256 -256 -0 -0 -0 -1 -0x5537 -0xe2fb7d95 -256 -256 -0 -0 -0 -4 -0x212e -0x6d93bec -256 -256 -0 -0 -0 -4 -0xe625 -0x65171d -256 -256 -1 -0 -0 -2 -0xa5d8 -0x178780d4 -256 -256 -0 -0 -0 -4 -0x435d -0xe7536e5a -256 -256 -0 -0 -0 -1 -0xa3f9 -0x7cd13ec3 -256 -256 -1 -0 -0 -4 -0x1c15 -0x3f273f70 -256 -256 -0 -0 -0 -4 -0xcd32 -0x4bb03e60 -256 -256 -1 -0 -0 -4 -0xa2bd -0xa8c82600 -256 -256 -1 -0 -0 -3 -0xa288 -0x28d97c77 -256 -256 -0 -0 -0 -1 -0x23ab -0x6fa64408 -256 -256 -1 -0 -0 -1 -0xfc9 -0x49af0a09 -256 -256 -0 -0 -0 -3 -0xd4df -0xa0815e77 -256 -256 -1 -0 -0 -4 -0xc25f -0x4c7a5250 -256 -256 -0 -0 -0 -4 -0x79d7 -0xe2847e32 -256 -256 -1 -0 -0 -1 -0xede4 -0x432291f1 -256 -256 -0 -0 -0 -2 -0x231a -0x78d4d4c -256 -256 -0 -0 -0 -4 -0x6e75 -0xa3109a6e -256 -256 -0 -0 -0 -1 -0x540e -0xd03e8440 -256 -256 -1 -0 -0 -3 -0x40a3 -0xe3bf0ca7 -256 -256 -0 -0 -0 -1 -0x67e0 -0xad017a47 -256 -256 -0 -0 -0 -1 -0x43dc -0x79f6585 -256 -256 -1 -0 -0 -3 -0xd91e -0x11663627 -256 -256 -1 -0 -0 -4 -0xdb76 -0x52af09a0 -256 -256 -1 -0 -0 -4 -0xcf1e -0x2fccd875 -256 -256 -1 -0 -0 -4 -0xd7df -0x22d5c2b8 -256 -256 -0 -0 -0 -4 -0x3cd3 -0x405503a6 -256 -256 -0 -0 -0 -1 -0x71e5 -0x94dc4691 -256 -256 -1 -0 -0 -4 -0xd8b8 -0x5f4c709e -256 -256 -1 -0 -0 -1 -0x23d5 -0x2971c594 -256 -256 -0 -0 -0 -3 -0xf0b7 -0xa828d386 -256 -256 -0 -0 -0 -4 -0xa1e2 -0x8110cfc -256 -256 -1 -0 -0 -4 -0xc378 -0x495c5ec4 -256 -256 -1 -0 -0 -2 -0x2ff4 -0xd5c19df5 -256 -256 -0 -0 -0 -2 -0xb7c9 -0xed7fa6c0 -256 -256 -1 -0 -0 -1 -0xbcb3 -0xf2d4afb2 -256 -256 -0 -0 -0 -3 -0x4ff4 -0x28c12560 -256 -256 -0 -0 -0 -2 -0x2b18 -0x20c2fe04 -256 -256 -0 -0 -0 -1 -0xd71a -0x3e5894ee -256 -256 -0 -0 -0 -4 -0x7903 -0x5926b439 -256 -256 -1 -0 -0 -2 -0x7314 -0x192434f -256 -256 -1 -0 -0 -4 -0x810b -0x6a79d5c4 -256 -256 -0 -0 -0 -3 -0xd9ed -0x348abdee -256 -256 -0 -0 -0 -3 -0xc43e -0x96bc69f8 -256 -256 -0 -0 -0 -4 -0x8f11 -0x8e9668b1 -256 -256 -0 -0 -0 -1 -0x56f5 -0x2460aa30 -256 -256 -1 -0 -0 -1 -0xd196 -0xf1775b2 -256 -256 -0 -0 -0 -3 -0x34fe -0x376fd4a0 -256 -256 -0 -0 -0 -3 -0x4796 -0xf250df78 -256 -256 -1 -0 -0 -4 -0x3e69 -0x5eeb73a1 -256 -256 -1 -0 -0 -1 -0x95aa -0xbbd72b46 -256 -256 -0 -0 -0 -3 -0xde30 -0xb91e6a8f -256 -256 -1 -0 -0 -1 -0x4079 -0x4c6e7663 -256 -256 -1 -0 -0 -2 -0xb95b -0xfc91680c -256 -256 -1 -0 -0 -4 -0x9342 -0x6a58180f -256 -256 -0 -0 -0 -3 -0x45f9 -0xcbea7ab3 -256 -256 -1 -0 -0 -3 -0x574 -0x4ede5a9 -256 -256 -0 -0 -0 -3 -0x63b1 -0x1870c889 -256 -256 -1 -0 -0 -4 -0x986e -0x2f3eb638 -256 -256 -1 -0 -0 -2 -0x811c -0x30dc4df1 -256 -256 -1 -0 -0 -2 -0x260d -0xf303cfba -256 -256 -1 -0 -0 -3 -0xb1a8 -0x648c3afb -256 -256 -1 -0 -0 -2 -0x715b -0x777db739 -256 -256 -1 -0 -0 -4 -0x7757 -0x6c0919b2 -256 -256 -1 -0 -0 -3 -0xea12 -0xb7c4020d -256 -256 -1 -0 -0 -4 -0x2a30 -0x2e98ecb5 -256 -256 -1 -0 -0 -2 -0x692a -0xe0287908 -256 -256 -0 -0 -0 -2 -0xfe3d -0x95af67ed -256 -256 -1 -0 -0 -4 -0x98a4 -0xcff1838d -256 -256 -1 -0 -0 -2 -0x858f -0x26d53ce -256 -256 -0 -0 -0 -1 -0xf231 -0x69776aa4 -256 -256 -1 -0 -0 -3 -0x22fb -0xb2eb7916 -256 -256 -1 -0 -0 -3 -0x9dce -0x51262afc -256 -256 -1 -0 -0 -3 -0x2bd7 -0x80b3a284 -256 -256 -1 -0 -0 -2 -0x5b1 -0x7a56c71b -256 -256 -0 -0 -0 -1 -0xb2b0 -0x20ba277 -256 -256 -1 -0 -0 -3 -0x4794 -0x1b9e9f85 -256 -256 -0 -0 -0 -4 -0xdfe4 -0xe3198095 -256 -256 -0 -0 -0 -2 -0xfa58 -0xa518af7b -256 -256 -0 -0 -0 -4 -0xa11a -0x263ef5b2 -256 -256 -1 -0 -0 -4 -0x51ff -0xea35a8a3 -256 -256 -1 -0 -0 -4 -0xbd22 -0xcee1f743 -256 -256 -1 -0 -0 -1 -0x8401 -0xdd908884 -256 -256 -0 -0 -0 -1 -0xe0f2 -0xa0370fc6 -256 -256 -0 -0 -0 -4 -0x6ac0 -0xec32d0b9 -256 -256 -1 -0 -0 -1 -0xc9bf -0x446d8bd5 -256 -256 -1 -0 -0 -1 -0x78fe -0x6e7a71f2 -256 -256 -0 -0 -0 -3 -0x5491 -0xe598d3 -256 -256 -0 -0 -0 -3 -0xebf5 -0x85db3919 -256 -256 -0 -0 -0 -3 -0x18be -0x7d9c573d -256 -256 -1 -0 -0 -4 -0xe902 -0xc543948c -256 -256 -1 -0 -0 -1 -0x2935 -0xc9cf80ff -256 -256 -1 -0 -0 -2 -0xb805 -0x8fea4b05 -256 -256 -0 -0 -0 -3 -0xc1d9 -0x9d07551a -256 -256 -0 -0 -0 -3 -0x8b67 -0x5f8b38fa -256 -256 -1 -0 -0 -2 -0xd55 -0x24e30c9 -256 -256 -1 -0 -0 -2 -0x7182 -0x7839018a -256 -256 -1 -0 -0 -4 -0xd4d2 -0xcbf11470 -256 -256 -0 -0 -0 -2 -0x3834 -0xa8a5c2af -256 -256 -1 -0 -0 -2 -0xfc84 -0xf9d14d47 -256 -256 -1 -0 -0 -3 -0xca10 -0x9cbf48c4 -256 -256 -0 -0 -0 -3 -0x7011 -0x9a61695f -256 -256 -0 -0 -0 -4 -0x576b -0x4adb6d57 -256 -256 -0 -0 -0 -4 -0xfbba -0x3876f1 -256 -256 -1 -0 -0 -2 -0x111b -0x8e0f452a -256 -256 -1 -0 -0 -4 -0xabdc -0x7387e3bc -256 -256 -0 -0 -0 -2 -0x2a8d -0xe22706b7 -256 -256 -1 -0 -0 -2 -0x3592 -0x89784256 -256 -256 -0 -0 -0 -1 -0x32e0 -0xcd1b3d47 -256 -256 -1 -0 -0 -2 -0x2e56 -0xdec45cd -256 -256 -0 -0 -0 -4 -0x78a7 -0x15b5bce1 -256 -256 -1 -0 -0 -1 -0x5d9d -0xa4ccd760 -256 -256 -0 -0 -0 -2 -0xda52 -0x82a7eb6d -256 -256 -1 -0 -0 -4 -0x3a9 -0x277b30a4 -256 -256 -0 -0 -0 -1 -0x243f -0x3fbd3371 -256 -256 -0 -0 -0 -3 -0x9bac -0x1b8289f0 -256 -256 -0 -0 -0 -1 -0x4103 -0xcd3a73ce -256 -256 -0 -0 -0 -4 -0x34f9 -0x4b40d133 -256 -256 -1 -0 -0 -1 -0x61d6 -0x3a6a7484 -256 -256 -0 -0 -0 -3 -0x4783 -0xce9ed781 -256 -256 -0 -0 -0 -4 -0xf1aa -0x38a9d6d7 -256 -256 -0 -0 -0 -2 -0x4df7 -0x9d91785 -256 -256 -0 -0 -0 -3 -0x2e33 -0x554f3ba3 -256 -256 -0 -0 -0 -3 -0xfd21 -0xc01892c0 -256 -256 -0 -0 -0 -3 -0xfca5 -0x69bd588c -256 -256 -1 -0 -0 -1 -0x286d -0xba94aa43 -256 -256 -0 -0 -0 -2 -0xba90 -0x5bc2d717 -256 -256 -0 -0 -0 -4 -0xd92a -0x263960ef -256 -256 -0 -0 -0 -1 -0xf916 -0x677f04eb -256 -256 -0 -0 -0 -1 -0xf94c -0xcaceca1c -256 -256 -1 -0 -0 -4 -0x7e84 -0x733e57cb -256 -256 -1 -0 -0 -2 -0xb7e5 -0xb533906a -256 -256 -1 -0 -0 -3 -0x3783 -0x65046429 -256 -256 -1 -0 -0 -1 -0x1153 -0x3384957 -256 -256 -0 -0 -0 -3 -0x3d4 -0xe6b2cbd9 -256 -256 -1 -0 -0 -2 -0xc9e7 -0xf32e9d5f -256 -256 -0 -0 -0 -2 -0xc4a8 -0x6d317160 -256 -256 -0 -0 -0 -4 -0x53e8 -0x5bdc771d -256 -256 -0 -0 -0 -2 -0x473e -0x12eec8cc -256 -256 -1 -0 -0 -2 -0x653a -0x7b025e66 -256 -256 -1 -0 -0 -2 -0x5c4 -0xf013f7a5 -256 -256 -1 -0 -0 -1 -0x3f10 -0xfe26b1fb -256 -256 -1 -0 -0 -2 -0xac4e -0xeab9d222 -256 -256 -1 -0 -0 -2 -0xb290 -0xd93605e9 -256 -256 -0 -0 -0 -2 -0xe4c7 -0xda48aea -256 -256 -1 -0 -0 -3 -0x921a -0x8d81fad6 -256 -256 -0 -0 -0 -3 -0xa2d -0x48a6179f -256 -256 -1 -0 -0 -1 -0xe360 -0x10998a09 -256 -256 -1 -0 -0 -4 -0x68d6 -0x732a317c -256 -256 -1 -0 -0 -4 -0x3ba0 -0x6d71db6c -256 -256 -0 -0 -0 -2 -0x2f06 -0x6d994ef8 -256 -256 -0 -0 -0 -3 -0xe8a5 -0xe10106ab -256 -256 -1 -0 -0 -2 -0xa598 -0xfd0020d9 -256 -256 -1 -0 -0 -4 -0x3b49 -0xb48c64b3 -256 -256 -1 -0 -0 -1 -0xc542 -0x5a694bb7 -256 -256 -1 -0 -0 -1 -0xe436 -0xa9138d28 -256 -256 -1 -0 -0 -1 -0xce7f -0x2ef61dec -256 -256 -0 -0 -0 -4 -0xb488 -0x8d162d26 -256 -256 -0 -0 -0 -3 -0x188a -0xa0d4350 -256 -256 -0 -0 -0 -1 -0xad50 -0x563449bd -256 -256 -0 -0 -0 -1 -0xa74e -0x59f8db0e -256 -256 -0 -0 -0 -4 -0x891 -0x83a1fdec -256 -256 -0 -0 -0 -3 -0x1b48 -0xf59872b -256 -256 -0 -0 -0 -4 -0x8f9d -0xdd4b8a37 -256 -256 -0 -0 -0 -3 -0x91ff -0xc893e2d7 -256 -256 -0 -0 -0 -2 -0x6090 -0x24a6d19e -256 -256 -1 -0 -0 -3 -0x991c -0xf22ede2b -256 -256 -1 -0 -0 -4 -0xd284 -0xcd74751f -256 -256 -1 -0 -0 -4 -0x380a -0x91fba374 -256 -256 -0 -0 -0 -1 -0x72e6 -0xb447573 -256 -256 -0 -0 -0 -4 -0xb3ea -0x9e2f7c34 -256 -256 -1 -0 -0 -1 -0x3c22 -0x425684a5 -256 -256 -0 -0 -0 -4 -0xb334 -0x3e038cf9 -256 -256 -0 -0 -0 -1 -0x6c17 -0xa1e4e146 -256 -256 -1 -0 -0 -3 -0x3454 -0x12ad6ca0 -256 -256 -0 -0 -0 -3 -0x1b65 -0xd2b8fe95 -256 -256 -1 -0 -0 -3 -0xfde1 -0x2011a48c -256 -256 -0 -0 -0 -4 -0x6bf5 -0x3dcb777a -256 -256 -0 -0 -0 -2 -0x16b7 -0xfc1d984e -256 -256 -0 -0 -0 -2 -0x134a -0xcbc2ba2a -256 -256 -1 -0 -0 -3 -0x5479 -0x847957a8 -256 -256 -0 -0 -0 -2 -0x9e7a -0xd85be58e -256 -256 -1 -0 -0 -2 -0x2775 -0xe481f744 -256 -256 -0 -0 -0 -2 -0x2de0 -0x83a1f3ed -256 -256 -0 -0 -0 -4 -0xa338 -0xb1c64d07 -256 -256 -1 -0 -0 -1 -0xd890 -0x94f9dc52 -256 -256 -1 -0 -0 -2 -0xdedf -0xbe7f11cd -256 -256 -1 -0 -0 -4 -0x1 -0x41e3f7db -256 -256 -0 -0 -0 -2 -0x6621 -0x23936973 -256 -256 -1 -0 -0 -1 -0x8b64 -0xd8d0e189 -256 -256 -0 -0 -0 -2 -0xc309 -0xa57e9824 -256 -256 -1 -0 -0 -4 -0x60a7 -0xc7338ac -256 -256 -0 -0 -0 -2 -0x9eab -0x7341a1ec -256 -256 -1 -0 -0 -2 -0xf7ed -0x92be472a -256 -256 -0 -0 -0 -2 -0x3f9a -0xc6304a17 -256 -256 -1 -0 -0 -2 -0xa0a2 -0x8df29cbe -256 -256 -1 -0 -0 -4 -0x5437 -0x4a3e1eec -256 -256 -1 -0 -0 -1 -0xe4e0 -0x6d948cb0 -256 -256 -0 -0 -0 -1 -0x33a3 -0x974d5424 -256 -256 -0 -0 -0 -4 -0x5a84 -0xa55a27a9 -256 -256 -0 -0 -0 -2 -0xda41 -0xef8d79e8 -256 -256 -0 -0 -0 -4 -0x3c17 -0x90d3839 -256 -256 -1 -0 -0 -3 -0xa195 -0x8c081986 -256 -256 -0 -0 -0 -3 -0x62eb -0x94d19fb8 -256 -256 -0 -0 -0 -4 -0x498f -0x67cfe30e -256 -256 -1 -0 -0 -4 -0x522 -0x923fe72a -256 -256 -1 -0 -0 -4 -0xc07 -0xc9d08f5c -256 -256 -0 -0 -0 -3 -0xa16e -0x6a5a59fb -256 -256 -0 -0 -0 -2 -0x5fb9 -0xf0b3e536 -256 -256 -1 -0 -0 -1 -0xb0e3 -0xecf57cfc -256 -256 -1 -0 -0 -2 -0x1d65 -0xa8090bef -256 -256 -0 -0 -0 -3 -0xc8bc -0x86c792a -256 -256 -1 -0 -0 -1 -0xb511 -0x75807360 -256 -256 -1 -0 -0 -2 -0x5215 -0x968e16c0 -256 -256 -0 -0 -0 -4 -0x3ee5 -0xa8cc80da -256 -256 -0 -0 -0 -4 -0x4fdf -0x9e69fa77 -256 -256 -0 -0 -0 -4 -0x11e8 -0xe904261c -256 -256 -1 -0 -0 -1 -0x65ef -0x73f06256 -256 -256 -1 -0 -0 -1 -0xeae1 -0xcd9ca551 -256 -256 -0 -0 -0 -2 -0x56a5 -0xdb72ac39 -256 -256 -1 -0 -0 -3 -0x6ca4 -0x1c7c1020 -256 -256 -0 -0 -0 -2 -0x787f -0x5d2207ad -256 -256 -1 -0 -0 -2 -0x3662 -0x1d957d93 -256 -256 -0 -0 -0 -2 -0xe294 -0x8a7a078 -256 -256 -1 -0 -0 -4 -0x42e9 -0x4448438a -256 -256 -1 -0 -0 -3 -0x64ff -0x550bd345 -256 -256 -0 -0 -0 -4 -0x6065 -0x79e4a0a7 -256 -256 -1 -0 -0 -2 -0xd605 -0x4fd8c5d7 -256 -256 -1 -0 -0 -1 -0x1656 -0xee733945 -256 -256 -0 -0 -0 -4 -0x4301 -0x62ee43f -256 -256 -0 -0 -0 -4 -0x53c4 -0x1fd4717c -256 -256 -1 -0 -0 -1 -0xded4 -0xfe0315af -256 -256 -1 -0 -0 -4 -0x35b8 -0xac7b7650 -256 -256 -1 -0 -0 -2 -0x25a5 -0xec9bd8c6 -256 -256 -1 -0 -0 -4 -0x2e56 -0xf661549 -256 -256 -1 -0 -0 -4 -0xf68f -0x5204c4a9 -256 -256 -0 -0 -0 -2 -0x347a -0x873d90e8 -256 -256 -0 -0 -0 -4 -0xbcda -0x1826681c -256 -256 -0 -0 -0 -2 -0xc20f -0x33ef3bf5 -256 -256 -0 -0 -0 -3 -0xe801 -0x2e1f68fd -256 -256 -0 -0 -0 -3 -0xd2c0 -0x85089b2e -256 -256 -1 -0 -0 -2 -0x21d5 -0x98819de1 -256 -256 -0 -0 -0 -4 -0xc1dc -0xeaadb64e -256 -256 -0 -0 -0 -3 -0x4304 -0x55d87e82 -256 -256 -0 -0 -0 -1 -0x3000 -0x9d2d7340 -256 -256 -1 -0 -0 -3 -0x3807 -0x4a4ee4ae -256 -256 -1 -0 -0 -3 -0xcc7d -0x1d861e5 -256 -256 -1 -0 -0 -2 -0xc93c -0x27b9fee4 -256 -256 -0 -0 -0 -4 -0x57ea -0xeb6eddf2 -256 -256 -0 -0 -0 -4 -0x7584 -0x9db360a0 -256 -256 -0 -0 -0 -1 -0xe1a8 -0x76f5a5ab -256 -256 -0 -0 -0 -4 -0x7adc -0x870a90ae -256 -256 -1 -0 -0 -2 -0xd396 -0x4ab3400d -256 -256 -1 -0 -0 -3 -0xbbdc -0xad06e3b0 -256 -256 -0 -0 -0 -1 -0x2628 -0xfb3132ec -256 -256 -1 -0 -0 -1 -0x6fe6 -0x49b32d45 -256 -256 -0 -0 -0 -1 -0x1cee -0xde746ea -256 -256 -1 -0 -0 -3 -0x44eb -0x62704dcb -256 -256 -1 -0 -0 -1 -0x64de -0x4ac17cab -256 -256 -0 -0 -0 -2 -0x1f2a -0xd4535552 -256 -256 -0 -0 -0 -1 -0x197f -0x40e0f896 -256 -256 -1 -0 -0 -2 -0x5c4e -0xb6671a20 -256 -256 -1 -0 -0 -1 -0xedd7 -0x3f36003f -256 -256 -1 -0 -0 -3 -0x944d -0xe8072923 -256 -256 -0 -0 -0 -4 -0xc225 -0xf64c188e -256 -256 -0 -0 -0 -1 -0xa210 -0xad546b91 -256 -256 -1 -0 -0 -1 -0x9ec8 -0x45c32a58 -256 -256 -1 -0 -0 -1 -0xb93c -0xac4a7320 -256 -256 -0 -0 -0 -2 -0x426d -0x4f733b0e -256 -256 -1 -0 -0 -4 -0x84cd -0x8de30749 -256 -256 -0 -0 -0 -2 -0x9cb4 -0xcee5310b -256 -256 -0 -0 -0 -3 -0x1504 -0x9cf3e627 -256 -256 -0 -0 -0 -1 -0xf630 -0x6ee61b68 -256 -256 -0 -0 -0 -3 -0x7d17 -0x4262ff43 -256 -256 -0 -0 -0 -4 -0x61f4 -0xabd946f6 -256 -256 -0 -0 -0 -3 -0x84fd -0xadc5b23b -256 -256 -0 -0 -0 -3 -0x3e25 -0x95fcdb55 -256 -256 -0 -0 -0 -2 -0xfafb -0x8c993e4 -256 -256 -0 -0 -0 -4 -0xf311 -0xb537fa59 -256 -256 -1 -0 -0 -2 -0x71ec -0xb383201a -256 -256 -0 -0 -0 -4 -0x5151 -0x295c959 -256 -256 -0 -0 -0 -3 -0x167b -0xdb02fe1b -256 -256 -0 -0 -0 -4 -0xca2 -0x20c900d1 -256 -256 -0 -0 -0 -2 -0xf7a6 -0xe659fa64 -256 -256 -1 -0 -0 -4 -0xe5d9 -0x99816ff4 -256 -256 -1 -0 -0 -1 -0xe1fd -0x18e5f211 -256 -256 -1 -0 -0 -1 -0xd56a -0xed2fb679 -256 -256 -1 -0 -0 -4 -0xe4c1 -0xa5ab9c51 -256 -256 -1 -0 -0 -4 -0xcdcf -0xd60bd8a4 -256 -256 -1 -0 -0 -1 -0xc90a -0xc14e850b -256 -256 -0 -0 -0 -4 -0x1b8a -0x7395d2f7 -256 -256 -1 -0 -0 -4 -0xc4e5 -0x567bbbc5 -256 -256 -1 -0 -0 -4 -0xe1f9 -0x864e0a94 -256 -256 -1 -0 -0 -1 -0xa059 -0x731402b9 -256 -256 -0 -0 -0 -4 -0x12d4 -0x51b53c61 -256 -256 -1 -0 -0 -4 -0x9df9 -0xcf05b011 -256 -256 -1 -0 -0 -1 -0x2c20 -0x8493edf9 -256 -256 -0 -0 -0 -4 -0xb6f4 -0x8e1ac264 -256 -256 -1 -0 -0 -1 -0x7ee4 -0x86dd9596 -256 -256 -1 -0 -0 -1 -0x2b34 -0x2139e549 -256 -256 -0 -0 -0 -3 -0x5f4f -0xfb75d4e2 -256 -256 -1 -0 -0 -2 -0x540b -0xfb25b8b7 -256 -256 -0 -0 -0 -3 -0x5a43 -0x5d10d0df -256 -256 -1 -0 -0 -2 -0xae37 -0xd453cb05 -256 -256 -0 -0 -0 -1 -0x456c -0x6f242fa6 -256 -256 -1 -0 -0 -2 -0xe580 -0xe28f4f6b -256 -256 -1 -0 -0 -1 -0x30b5 -0xd39f8aa8 -256 -256 -0 -0 -0 -4 -0x9c18 -0xa7f320a6 -256 -256 -1 -0 -0 -4 -0x695f -0xc41c7b77 -256 -256 -1 -0 -0 -4 -0xe6b3 -0x899fd6e9 -256 -256 -1 -0 -0 -4 -0x7a12 -0x653c0af6 -256 -256 -1 -0 -0 -2 -0x929f -0xa807ccee -256 -256 -1 -0 -0 -4 -0xf452 -0x839c51d7 -256 -256 -1 -0 -0 -4 -0x388c -0x645f53c0 -256 -256 -1 -0 -0 -1 -0x2ad6 -0xed9f12f9 -256 -256 -1 -0 -0 -3 -0x86b0 -0xc4e0c0f3 -256 -256 -1 -0 -0 -2 -0xf212 -0x7fcba7d0 -256 -256 -1 -0 -0 -4 -0x2047 -0x943cdb3b -256 -256 -0 -0 -0 -1 -0xca3d -0x16ac4f59 -256 -256 -1 -0 -0 -1 -0x7bef -0xb6cc0a3 -256 -256 -1 -0 -0 -2 -0xa53a -0x6e3a6d21 -256 -256 -0 -0 -0 -4 -0x876a -0xcc8d5b13 -256 -256 -1 -0 -0 -4 -0xf71d -0x40f1dc2d -256 -256 -0 -0 -0 -4 -0xfea1 -0x147f368a -256 -256 -0 -0 -0 -3 -0xed63 -0xa69c9316 -256 -256 -1 -0 -0 -1 -0x3018 -0xaaa8fcd3 -256 -256 -1 -0 -0 -1 -0xb7bc -0x9b940e6b -256 -256 -0 -0 -0 -3 -0x22e6 -0xccbb9f90 -256 -256 -0 -0 -0 -3 -0xd4a7 -0xb9cb476f -256 -256 -0 -0 -0 -2 -0x9c07 -0x6e072585 -256 -256 -0 -0 -0 -3 -0x28a9 -0x1c8f50a2 -256 -256 -0 -0 -0 -1 -0x855c -0xce3212be -256 -256 -1 -0 -0 -3 -0xcf1e -0x2b636633 -256 -256 -0 -0 -0 -3 -0x852b -0x3a6cf5b3 -256 -256 -0 -0 -0 -2 -0x9ba9 -0xc1367d6a -256 -256 -1 -0 -0 -2 -0xf661 -0xa3bcbc21 -256 -256 -0 -0 -0 -4 -0x2cd1 -0x65abe622 -256 -256 -1 -0 -0 -1 -0xd4d4 -0xd1f4980e -256 -256 -1 -0 -0 -4 -0xfd71 -0xd6a10e23 -256 -256 -0 -0 -0 -4 -0x94dc -0x23dd559c -256 -256 -0 -0 -0 -4 -0xc83f -0x78b57bd -256 -256 -0 -0 -0 -2 -0x8c66 -0xa5c88002 -256 -256 -1 -0 -0 -4 -0x7109 -0x7b9b029c -256 -256 -0 -0 -0 -2 -0x6f18 -0x10b060d2 -256 -256 -1 -0 -0 -3 -0x5105 -0x533126d4 -256 -256 -1 -0 -0 -2 -0x8335 -0x5edbfd0f -256 -256 -1 -0 -0 -3 -0x883f -0x1253835d -256 -256 -1 -0 -0 -2 -0x4ede -0xfa3c1695 -256 -256 -1 -0 -0 -2 -0xfd62 -0x61b0301a -256 -256 -0 -0 -0 -4 -0xea00 -0x86ca964a -256 -256 -1 -0 -0 -2 -0x2496 -0x18aa80dc -256 -256 -0 -0 -0 -4 -0xf3cc -0x4a25b864 -256 -256 -0 -0 -0 -1 -0xaafe -0xb0f3dd0 -256 -256 -0 -0 -0 -3 -0xa597 -0x49d7ce7d -256 -256 -0 -0 -0 -4 -0x4b8f -0xa5a3ebce -256 -256 -0 -0 -0 -4 -0xa887 -0xcc145946 -256 -256 -1 -0 -0 -3 -0x1355 -0x87d8d35f -256 -256 -0 -0 -0 -2 -0xed6b -0xf43866bf -256 -256 -1 -0 -0 -1 -0x1959 -0xd673547c -256 -256 -1 -0 -0 -2 -0x7803 -0xf9778097 -256 -256 -1 -0 -0 -3 -0xba36 -0x17cf19be -256 -256 -0 -0 -0 -2 -0xc8b6 -0x4cb5a9a2 -256 -256 -0 -0 -0 -4 -0x87ef -0x27f3e2d6 -256 -256 -1 -0 -0 -3 -0xf19b -0xa35f32e -256 -256 -0 -0 -0 -2 -0x4f6c -0x2e889d50 -256 -256 -0 -0 -0 -1 -0xc65d -0xb8d4a168 -256 -256 -0 -0 -0 -4 -0x5f6f -0x4a2c363a -256 -256 -0 -0 -0 -1 -0x1645 -0xdd602b66 -256 -256 -1 -0 -0 -3 -0x5064 -0xdfce3ed -256 -256 -1 -0 -0 -3 -0x20d3 -0x2b626126 -256 -256 -1 -0 -0 -4 -0xd27 -0xccedd034 -256 -256 -0 -0 -0 -3 -0x393 -0x40b0d1d2 -256 -256 -0 -0 -0 -4 -0x3586 -0xaae19f87 -256 -256 -1 -0 -0 -2 -0x7050 -0xf7a5cbd6 -256 -256 -1 -0 -0 -1 -0x5513 -0x15ce7183 -256 -256 -1 -0 -0 -1 -0x5edc -0xf1323108 -256 -256 -1 -0 -0 -2 -0xfa31 -0x9651ae90 -256 -256 -0 -0 -0 -3 -0x51e5 -0xfebc0f00 -256 -256 -0 -0 -0 -3 -0x2862 -0xb28af0c2 -256 -256 -1 -0 -0 -4 -0xf769 -0x655d4051 -256 -256 -1 -0 -0 -1 -0xdbc6 -0x5ad7389c -256 -256 -1 -0 -0 -2 -0xc2d8 -0xc727be60 -256 -256 -1 -0 -0 -4 -0x2b11 -0x2cfb7f69 -256 -256 -1 -0 -0 -2 -0x46ed -0x2f104d40 -256 -256 -0 -0 -0 -2 -0x884a -0x6bd77ac3 -256 -256 -1 -0 -0 -4 -0xcf69 -0x36fdbb6a -256 -256 -0 -0 -0 -3 -0xe649 -0x8b70b0ce -256 -256 -0 -0 -0 -1 -0x8cd5 -0x1e70860e -256 -256 -1 -0 -0 -3 -0x175a -0x31127930 -256 -256 -1 -0 -0 -3 -0xf418 -0x9898807 -256 -256 -0 -0 -0 -3 -0x6453 -0xffbbd35f -256 -256 -0 -0 -0 -3 -0x3a63 -0xfc1ef232 -256 -256 -1 -0 -0 -4 -0x41f3 -0xc05ca39b -256 -256 -0 -0 -0 -3 -0xa2d2 -0x8af89151 -256 -256 -0 -0 -0 -3 -0x344 -0x142a770f -256 -256 -0 -0 -0 -3 -0xa36c -0x564e3629 -256 -256 -0 -0 -0 -4 -0xed91 -0xb9ec00fd -256 -256 -1 -0 -0 -2 -0x5117 -0x465140f3 -256 -256 -0 -0 -0 -1 -0x72a2 -0x84282da0 -256 -256 -0 -0 -0 -2 -0xff9 -0x9d2a168b -256 -256 -1 -0 -0 -2 -0xeedb -0x8b20ae10 -256 -256 -0 -0 -0 -3 -0x76b6 -0x1f925ec2 -256 -256 -0 -0 -0 -3 -0x2c38 -0xdf4d0a18 -256 -256 -1 -0 -0 -2 -0x9c76 -0xda8c07f7 -256 -256 -0 -0 -0 -3 -0xe6b2 -0xbb45e7d2 -256 -256 -1 -0 -0 -1 -0xdf8d -0xdf2da0e2 -256 -256 -1 -0 -0 -3 -0x88b -0x3d333b08 -256 -256 -0 -0 -0 -1 -0xe029 -0xc98c9768 -256 -256 -1 -0 -0 -2 -0x3ef1 -0x4ce20026 -256 -256 -0 -0 -0 -4 -0x756c -0x94677b4 -256 -256 -0 -0 -0 -4 -0x859a -0x7f8608ec -256 -256 -0 -0 -0 -2 -0x759d -0x26d0dd11 -256 -256 -1 -0 -0 -1 -0x2282 -0xd17079e8 -256 -256 -1 -0 -0 -3 -0x1ff8 -0x9e5e3948 -256 -256 -0 -0 -0 -1 -0x7236 -0xe73b7ed9 -256 -256 -1 -0 -0 -4 -0x7559 -0x893c627b -256 -256 -1 -0 -0 -2 -0x5aa -0x98332c6a -256 -256 -1 -0 -0 -4 -0xc82b -0x80268b88 -256 -256 -0 -0 -0 -2 -0x3688 -0x50938084 -256 -256 -0 -0 -0 -4 -0xa093 -0x1b751ee8 -256 -256 -0 -0 -0 -2 -0xb018 -0xa04ce2cd -256 -256 -0 -0 -0 -2 -0x35f5 -0xf6a66538 -256 -256 -0 -0 -0 -1 -0x4b51 -0x136c8b16 -256 -256 -1 -0 -0 -3 -0xc317 -0x8c10f70b -256 -256 -0 -0 -0 -3 -0xc15d -0x8e876475 -256 -256 -0 -0 -0 -2 -0x1183 -0xd7490ba0 -256 -256 -0 -0 -0 -1 -0x42f4 -0xb9b443b3 -256 -256 -1 -0 -0 -4 -0x591c -0xade1ec09 -256 -256 -1 -0 -0 -3 -0x902a -0xb90a7589 -256 -256 -1 -0 -0 -3 -0x7858 -0x5520a2ca -256 -256 -0 -0 -0 -4 -0xf8e2 -0xf1defaf7 -256 -256 -0 -0 -0 -1 -0x8efb -0xcb60cda2 -256 -256 -0 -0 -0 -4 -0x2dbd -0xd31eb86e -256 -256 -0 -0 -0 -4 -0xa4ce -0x21297da0 -256 -256 -0 -0 -0 -2 -0xcca0 -0x480b8d53 -256 -256 -0 -0 -0 -3 -0xdb0f -0x17e3c2f6 -256 -256 -1 -0 -0 -1 -0x137b -0xeadf5754 -256 -256 -1 -0 -0 -3 -0x6b12 -0x78834935 -256 -256 -1 -0 -0 -4 -0xf8d -0x9efd5833 -256 -256 -1 -0 -0 -3 -0x6082 -0x3783f791 -256 -256 -0 -0 -0 -2 -0x58ce -0x7cf6a359 -256 -256 -1 -0 -0 -4 -0xa327 -0x147a20f2 -256 -256 -0 -0 -0 -4 -0xabcd -0xde4e8e48 -256 -256 -1 -0 -0 -3 -0xf559 -0xbf5c6d63 -256 -256 -0 -0 -0 -3 -0x9018 -0x2529ed84 -256 -256 -0 -0 -0 -2 -0x60c7 -0x1e5a3ac9 -256 -256 -1 -0 -0 -1 -0x7c37 -0xe916b65d -256 -256 -0 -0 -0 -2 -0xe5e6 -0x10ba6ec2 -256 -256 -1 -0 -0 -1 -0x6227 -0x5754dc20 -256 -256 -1 -0 -0 -4 -0x568c -0xd5a0fbe1 -256 -256 -0 -0 -0 -3 -0xa500 -0x46618231 -256 -256 -0 -0 -0 -1 -0x7393 -0x58db53f4 -256 -256 -0 -0 -0 -1 -0x2c2e -0xed9be99 -256 -256 -1 -0 -0 -3 -0x955d -0x4966b850 -256 -256 -0 -0 -0 -3 -0x7288 -0x37b2ff6c -256 -256 -0 -0 -0 -2 -0x48f6 -0x33c2213f -256 -256 -0 -0 -0 -1 -0x8c5c -0xbdf805a7 -256 -256 -0 -0 -0 -1 -0xc17 -0x71b23309 -256 -256 -0 -0 -0 -2 -0xee2 -0xa61f7835 -256 -256 -1 -0 -0 -3 -0x7771 -0xff5257ed -256 -256 -0 -0 -0 -3 -0x7b48 -0xe85d3c12 -256 -256 -0 -0 -0 -2 -0xf2f3 -0xa3fb8a14 -256 -256 -0 -0 -0 -2 -0xa725 -0x430758fa -256 -256 -0 -0 -0 -2 -0x1b0f -0xc9b8360e -256 -256 -0 -0 -0 -3 -0x3e06 -0x6017ab73 -256 -256 -1 -0 -0 -2 -0xc771 -0xdb7c7cce -256 -256 -0 -0 -0 -4 -0x59ba -0x483ff6cf -256 -256 -1 -0 -0 -4 -0x2c06 -0x9fd22bfe -256 -256 -1 -0 -0 -1 -0xa8f3 -0xcda58ae9 -256 -256 -1 -0 -0 -1 -0x4bb8 -0xfb283c42 -256 -256 -1 -0 -0 -3 -0x295c -0x4e2f0f7d -256 -256 -1 -0 -0 -1 -0xc0b8 -0xa150a270 -256 -256 -0 -0 -0 -1 -0xbd06 -0xe87109b9 -256 -256 -1 -0 -0 -3 -0x1701 -0x922b7d24 -256 -256 -0 -0 -0 -2 -0x68d5 -0x2fda3c3c -256 -256 -1 -0 -0 -3 -0xabf3 -0x5de2d7ea -256 -256 -1 -0 -0 -1 -0x505b -0x3569640c -256 -256 -0 -0 -0 -4 -0x7dc -0x7e10d828 -256 -256 -0 -0 -0 -4 -0x5c1f -0x528e58e -256 -256 -0 -0 -0 -2 -0x5bac -0x75d58fd5 -256 -256 -1 -0 -0 -1 -0xc063 -0x26d5852c -256 -256 -1 -0 -0 -2 -0x7b8a -0x66f46797 -256 -256 -0 -0 -0 -1 -0x6bcb -0x11ba0076 -256 -256 -1 -0 -0 -4 -0x6594 -0x7c0c6368 -256 -256 -0 -0 -0 -2 -0x4b1f -0x15f08d3c -256 -256 -1 -0 -0 -1 -0xa2cb -0xd120ee9d -256 -256 -1 -0 -0 -2 -0x9d1c -0xc7858d6f -256 -256 -0 -0 -0 -2 -0x82b6 -0x43721df3 -256 -256 -0 -0 -0 -1 -0x8645 -0xf9e65931 -256 -256 -0 -0 -0 -2 -0x9af7 -0x9308988b -256 -256 -0 -0 -0 -4 -0xae89 -0x36cda7d5 -256 -256 -1 -0 -0 -2 -0x5a3a -0xaa125663 -256 -256 -1 -0 -0 -2 -0x8213 -0xa0eba57 -256 -256 -1 -0 -0 -2 -0xac3a -0x6369eeed -256 -256 -1 -0 -0 -1 -0x3dbf -0x50f9b88f -256 -256 -0 -0 -0 -1 -0xf88 -0x1060235e -256 -256 -1 -0 -0 -3 -0xe83f -0x354bd0b7 -256 -256 -1 -0 -0 -3 -0x1f28 -0x76af64e -256 -256 -1 -0 -0 -4 -0xdad5 -0x6c067de0 -256 -256 -0 -0 -0 -2 -0xd7e1 -0x80aa2df4 -256 -256 -1 -0 -0 -2 -0x5eb5 -0xd964ae42 -256 -256 -0 -0 -0 -4 -0xc6e1 -0x785b3f96 -256 -256 -1 -0 -0 -1 -0xd7bd -0x31622fff -256 -256 -1 -0 -0 -3 -0x6bbf -0xa1c8a4bb -256 -256 -0 -0 -0 -3 -0x1054 -0x9d516388 -256 -256 -1 -0 -0 -2 -0xe4e5 -0xee63444e -256 -256 -1 -0 -0 -4 -0xe36d -0xf7ee4fc -256 -256 -0 -0 -0 -2 -0xfcc9 -0x801252db -256 -256 -1 -0 -0 -3 -0xfe7b -0xddd367e4 -256 -256 -0 -0 -0 -4 -0x9b -0x49029a81 -256 -256 -0 -0 -0 -2 -0x600a -0xb8a88256 -256 -256 -0 -0 -0 -3 -0x303 -0xb9b82e6e -256 -256 -1 -0 -0 -2 -0x34f7 -0x5f32be83 -256 -256 -0 -0 -0 -4 -0xaff -0x821cfd54 -256 -256 -1 -0 -0 -2 -0x7de5 -0x692d7c01 -256 -256 -0 -0 -0 -1 -0xa7ed -0x4223ae5e -256 -256 -1 -0 -0 -4 -0xc229 -0x2355f5ed -256 -256 -1 -0 -0 -3 -0xbdd2 -0xe74e4da0 -256 -256 -1 -0 -0 -3 -0x44a8 -0x71357834 -256 -256 -1 -0 -0 -3 -0x8b20 -0x924f45e3 -256 -256 -0 -0 -0 -2 -0x9954 -0xe281c224 -256 -256 -0 -0 -0 -1 -0xf9a7 -0xdd0a5dbc -256 -256 -1 -0 -0 -2 -0x38c9 -0x1d6278ee -256 -256 -0 -0 -0 -2 -0xdf03 -0x844a20ea -256 -256 -0 -0 -0 -4 -0xecdc -0x7dd8028e -256 -256 -0 -0 -0 -2 -0xae58 -0x461094cd -256 -256 -0 -0 -0 -2 -0x1c19 -0x44bfa0f1 -256 -256 -1 -0 -0 -1 -0x13c5 -0xe07b5ec4 -256 -256 -0 -0 -0 -4 -0x2417 -0x72185820 -256 -256 -0 -0 -0 -1 -0x3007 -0xd44585f4 -256 -256 -0 -0 -0 -4 -0x2a1c -0x877c751f -256 -256 -0 -0 -0 -2 -0xc0cb -0xea69e030 -256 -256 -1 -0 -0 -4 -0x2576 -0xabfdb275 -256 -256 -0 -0 -0 -4 -0x1f8 -0x1bdb60c4 -256 -256 -1 -0 -0 -2 -0x4776 -0x84d8e715 -256 -256 -1 -0 -0 -1 -0x6385 -0x3f9ef73 -256 -256 -1 -0 -0 -3 -0x4334 -0xe7c8c2cd -256 -256 -0 -0 -0 -3 -0xc928 -0x8e2cba2f -256 -256 -0 -0 -0 -2 -0x94de -0xc232b373 -256 -256 -1 -0 -0 -1 -0xff8e -0x9c6b76ec -256 -256 -0 -0 -0 -4 -0xc530 -0x9c6d70a8 -256 -256 -1 -0 -0 -1 -0x286b -0x8fab6f77 -256 -256 -1 -0 -0 -3 -0xe40c -0x96ee8576 -256 -256 -1 -0 -0 -4 -0xe098 -0xb9d5a6ae -256 -256 -0 -0 -0 -2 -0xe3c7 -0xb1d7195e -256 -256 -0 -0 -0 -4 -0x6761 -0x2e0bf09d -256 -256 -0 -0 -0 -2 -0x5616 -0x12a755d4 -256 -256 -0 -0 -0 -2 -0x4c5f -0xb7bf72dd -256 -256 -1 -0 -0 -3 -0x4cfd -0x639f88a -256 -256 -0 -0 -0 -2 -0xafb -0xba7e8d41 -256 -256 -1 -0 -0 -3 -0xda81 -0xd97ca4f4 -256 -256 -0 -0 -0 -3 -0x6cc6 -0x90f19417 -256 -256 -1 -0 -0 -4 -0x86d9 -0xff03214c -256 -256 -0 -0 -0 -3 -0x2354 -0xd80ea8a7 -256 -256 -1 -0 -0 -3 -0xccfc -0x8941de43 -256 -256 -0 -0 -0 -1 -0x10c0 -0xf4b83949 -256 -256 -0 -0 -0 -1 -0xb77e -0xfa5e9e5 -256 -256 -0 -0 -0 -1 -0xccdd -0x1d2a259 -256 -256 -1 -0 -0 -3 -0xf934 -0xf52c07ca -256 -256 -1 -0 -0 -4 -0x1b71 -0x620c87e8 -256 -256 -1 -0 -0 -2 -0x6b13 -0x5f4abdfb -256 -256 -0 -0 -0 -3 -0x499e -0x8eb9924a -256 -256 -1 -0 -0 -2 -0x86b8 -0x302f670f -256 -256 -0 -0 -0 -4 -0x64df -0x992ddfba -256 -256 -0 -0 -0 -2 -0x4607 -0x13336f4 -256 -256 -0 -0 -0 -1 -0x6bde -0x56a7717b -256 -256 -0 -0 -0 -1 -0x3cd6 -0xbd175cc1 -256 -256 -1 -0 -0 -4 -0x56b7 -0x77f94132 -256 -256 -0 -0 -0 -1 -0xa30b -0x8dfd53ea -256 -256 -0 -0 -0 -2 -0x2197 -0x6190c3e7 -256 -256 -1 -0 -0 -2 -0x55df -0x745ef2fb -256 -256 -1 -0 -0 -1 -0xef8d -0xbb7025ee -256 -256 -1 -0 -0 -3 -0x4db4 -0xe524cb18 -256 -256 -1 -0 -0 -1 -0x11c3 -0x49298841 -256 -256 -0 -0 -0 -3 -0xff00 -0xb417c9fe -256 -256 -1 -0 -0 -1 -0x4e13 -0x98b57678 -256 -256 -0 -0 -0 -2 -0x8395 -0x936a0e20 -256 -256 -0 -0 -0 -4 -0xac85 -0xa9ff16e7 -256 -256 -1 -0 -0 -1 -0x1eee -0xc6351597 -256 -256 -1 -0 -0 -4 -0xac86 -0x12d19549 -256 -256 -1 -0 -0 -3 -0xa95b -0xde35e86c -256 -256 -1 -0 -0 -1 -0x5bd3 -0x5d4d9461 -256 -256 -0 -0 -0 -3 -0xaa6b -0x1747c5fc -256 -256 -1 -0 -0 -3 -0xe8a7 -0x3c9cb625 -256 -256 -0 -0 -0 -3 -0x2302 -0x97655c3f -256 -256 -0 -0 -0 -3 -0x5e8f -0x288cc2d7 -256 -256 -1 -0 -0 -4 -0x28a1 -0x62998d2a -256 -256 -1 -0 -0 -1 -0x6c01 -0xfa8ad115 -256 -256 -1 -0 -0 -4 -0x9e27 -0xb89aa014 -256 -256 -1 -0 -0 -1 -0xeddd -0x3f13233d -256 -256 -1 -0 -0 -3 -0x6e7a -0x13dd17e8 -256 -256 -0 -0 -0 -1 -0x9f9b -0x461b03cf -256 -256 -0 -0 -0 -2 -0x8120 -0x50a89d18 -256 -256 -0 -0 -0 -2 -0xcca9 -0x5091e70f -256 -256 -0 -0 -0 -3 -0x1a15 -0xec150bb -256 -256 -0 -0 -0 -1 -0x5398 -0x61bf2bd8 -256 -256 -0 -0 -0 -2 -0xf21f -0xc193c89e -256 -256 -0 -0 -0 -3 -0x5164 -0xeeb1b693 -256 -256 -1 -0 -0 -2 -0x562d -0xe8feaeee -256 -256 -1 -0 -0 -2 -0xbfec -0x625003a6 -256 -256 -1 -0 -0 -3 -0xefc5 -0xf8a6831d -256 -256 -0 -0 -0 -2 -0x8945 -0x55d6416b -256 -256 -1 -0 -0 -4 -0x2103 -0x53f6f59a -256 -256 -0 -0 -0 -3 -0x4af5 -0x4253635 -256 -256 -1 -0 -0 -1 -0xb693 -0xb94a3bdd -256 -256 -1 -0 -0 -2 -0x9eb3 -0xf645242b -256 -256 -1 -0 -0 -4 -0x5d78 -0x35909248 -256 -256 -1 -0 -0 -1 -0xc41c -0x45f9d173 -256 -256 -0 -0 -0 -1 -0xde16 -0x4ffabe38 -256 -256 -1 -0 -0 -4 -0xefeb -0x7e5b9559 -256 -256 -0 -0 -0 -3 -0xaffd -0xfa206c6e -256 -256 -0 -0 -0 -1 -0x3979 -0x42cb187b -256 -256 -0 -0 -0 -4 -0x2533 -0x1e10ed0d -256 -256 -1 -0 -0 -2 -0xee03 -0x7c6a5c73 -256 -256 -1 -0 -0 -1 -0x56ed -0xccdb2e9b -256 -256 -0 -0 -0 -3 -0xe086 -0x51bd36b0 -256 -256 -0 -0 -0 -1 -0xf17 -0xc48e81f7 -256 -256 -0 -0 -0 -3 -0x2dd -0x3e48c8c5 -256 -256 -0 -0 -0 -1 -0x4d51 -0x5606a618 -256 -256 -1 -0 -0 -2 -0xd71c -0x2432c1da -256 -256 -0 -0 -0 -2 -0x1b0f -0x8af97671 -256 -256 -0 -0 -0 -4 -0x3e6e -0x1ff24681 -256 -256 -0 -0 -0 -3 -0x95a5 -0x1c590628 -256 -256 -0 -0 -0 -1 -0xdd63 -0xbd0c21a2 -256 -256 -0 -0 -0 -3 -0xbf29 -0xe28863a5 -256 -256 -1 -0 -0 -2 -0xb189 -0x169b531a -256 -256 -1 -0 -0 -2 -0x2ba4 -0x2c90eaa2 -256 -256 -0 -0 -0 -2 -0xdf71 -0xf2ca3fa0 -256 -256 -1 -0 -0 -2 -0xd20a -0xbc01acfb -256 -256 -0 -0 -0 -2 -0x13b -0xfcc55704 -256 -256 -0 -0 -0 -1 -0xefc8 -0x4e961f9f -256 -256 -1 -0 -0 -3 -0x3d7a -0x76ddff6b -256 -256 -0 -0 -0 -1 -0xb369 -0xeed2285d -256 -256 -1 -0 -0 -1 -0x362b -0x2192b151 -256 -256 -0 -0 -0 -1 -0x3526 -0xfd3bede6 -256 -256 -1 -0 -0 -2 -0xb543 -0x2f7e34c7 -256 -256 -1 -0 -0 -2 -0xca32 -0x4f1e3d4e -256 -256 -1 -0 -0 -4 -0x56f7 -0xc8b7985b -256 -256 -1 -0 -0 -1 -0xd034 -0x8b509e91 -256 -256 -0 -0 -0 -1 -0x3a01 -0x7d374af9 -256 -256 -0 -0 -0 -1 -0x7fd3 -0x56870c82 -256 -256 -1 -0 -0 -4 -0x17f6 -0xbeb72767 -256 -256 -0 -0 -0 -1 -0xece6 -0x3dfe536c -256 -256 -1 -0 -0 -1 -0x62c7 -0xe47b0c5d -256 -256 -1 -0 -0 -3 -0xcd16 -0x656cd56a -256 -256 -1 -0 -0 -1 -0xcc31 -0x6e2df944 -256 -256 -1 -0 -0 -2 -0x4e3c -0xdf6c2b8 -256 -256 -0 -0 -0 -3 -0xec5c -0x8112cdd3 -256 -256 -0 -0 -0 -3 -0x232e -0x481e9fe5 -256 -256 -0 -0 -0 -3 -0x54f3 -0x731cb9cc -256 -256 -1 -0 -0 -3 -0x5edc -0xc6f509b2 -256 -256 -1 -0 -0 -4 -0x70a1 -0xefe11401 -256 -256 -1 -0 -0 -2 -0xdea2 -0x5b91ecde -256 -256 -0 -0 -0 -2 -0x5fcf -0xa032512c -256 -256 -1 -0 -0 -4 -0xd3cd -0xe4b712ef -256 -256 -0 -0 -0 -3 -0x4186 -0x22fa959 -256 -256 -0 -0 -0 -3 -0xd1fb -0x2d8a72f3 -256 -256 -0 -0 -0 -3 -0x2a98 -0xf72fc1f7 -256 -256 -1 -0 -0 -3 -0x619e -0x6d6a3c01 -256 -256 -0 -0 -0 -2 -0x7424 -0xe6d72e93 -256 -256 -0 -0 -0 -2 -0xd94c -0x3968c4b1 -256 -256 -1 -0 -0 -1 -0xfb2b -0x966971ea -256 -256 -0 -0 -0 -2 -0xb558 -0x621872b0 -256 -256 -0 -0 -0 -4 -0x7208 -0x7b3f5701 -256 -256 -1 -0 -0 -2 -0xf42d -0x63ff09fb -256 -256 -0 -0 -0 -1 -0x80ea -0x8ec438b6 -256 -256 -1 -0 -0 -1 -0x5170 -0xf7e53635 -256 -256 -1 -0 -0 -4 -0x8172 -0xea081902 -256 -256 -1 -0 -0 -4 -0x8920 -0xfc816556 -256 -256 -0 -0 -0 -4 -0x31e -0x4959ef39 -256 -256 -0 -0 -0 -1 -0x1aac -0x7e1ae327 -256 -256 -0 -0 -0 -1 -0xb683 -0xfb842803 -256 -256 -1 -0 -0 -2 -0x21ee -0x55718c09 -256 -256 -1 -0 -0 -3 -0x5cae -0x9c3f7939 -256 -256 -0 -0 -0 -3 -0xc673 -0x82b0025c -256 -256 -0 -0 -0 -2 -0x983a -0xda99b649 -256 -256 -1 -0 -0 -4 -0x87bb -0x168d650 -256 -256 -0 -0 -0 -4 -0x1ccd -0xc28def1 -256 -256 -1 -0 -0 -1 -0x8a8b -0xa0813c43 -256 -256 -1 -0 -0 -1 -0x7817 -0x7a310c8c -256 -256 -1 -0 -0 -2 -0x7bfe -0x40a95f57 -256 -256 -0 -0 -0 -1 -0xead3 -0x78680106 -256 -256 -0 -0 -0 -4 -0xc993 -0x687acb2b -256 -256 -1 -0 -0 -3 -0x852c -0x141be96f -256 -256 -1 -0 -0 -3 -0x1b54 -0x99b28e22 -256 -256 -0 -0 -0 -4 -0x1f49 -0xd3146508 -256 -256 -0 -0 -0 -1 -0x5ebf -0x34f2c700 -256 -256 -1 -0 -0 -4 -0x49a0 -0x935e6415 -256 -256 -1 -0 -0 -1 -0x719a -0xcdce7930 -256 -256 -1 -0 -0 -3 -0x7894 -0x53a52e98 -256 -256 -1 -0 -0 -1 -0xc444 -0x8f8a5413 -256 -256 -0 -0 -0 -2 -0xccc5 -0xeb67729c -256 -256 -0 -0 -0 -1 -0x672e -0x9e68d904 -256 -256 -1 -0 -0 -2 -0xa6d9 -0x10f55a5f -256 -256 -0 -0 -0 -2 -0x4432 -0xc56cd127 -256 -256 -1 -0 -0 -1 -0x3536 -0x74565422 -256 -256 -0 -0 -0 -1 -0x322f -0x5726c86a -256 -256 -1 -0 -0 -2 -0x3a39 -0xdd131c06 -256 -256 -1 -0 -0 -1 -0x2bc8 -0xbace0e18 -256 -256 -1 -0 -0 -2 -0xaf5b -0x887ca5d6 -256 -256 -0 -0 -0 -1 -0x32a8 -0x7454ed37 -256 -256 -1 -0 -0 -1 -0xe2d -0x174d67fb -256 -256 -1 -0 -0 -2 -0xbd73 -0xf745d342 -256 -256 -0 -0 -0 -4 -0x7a2e -0xa422e7bd -256 -256 -0 -0 -0 -3 -0xcb95 -0x753fbe28 -256 -256 -0 -0 -0 -2 -0xe0df -0xf295ab1f -256 -256 -1 -0 -0 -3 -0x3fc5 -0x960cb29 -256 -256 -0 -0 -0 -2 -0xaa9f -0x31a1d0b0 -256 -256 -0 -0 -0 -4 -0x5eb7 -0x709f7e97 -256 -256 -1 -0 -0 -2 -0x5243 -0x57447602 -256 -256 -0 -0 -0 -4 -0x3968 -0xaadd9fe9 -256 -256 -1 -0 -0 -3 -0x406c -0xda8deb97 -256 -256 -1 -0 -0 -1 -0x350f -0x566291f1 -256 -256 -0 -0 -0 -3 -0x66d8 -0xc46a69e2 -256 -256 -1 -0 -0 -3 -0x7a2f -0xa85480fb -256 -256 -1 -0 -0 -1 -0x5353 -0x3011f614 -256 -256 -1 -0 -0 -1 -0xbf47 -0xe37d4b1d -256 -256 -1 -0 -0 -1 -0x5f06 -0x7705f500 -256 -256 -1 -0 -0 -4 -0x30fb -0x1faf58d8 -256 -256 -0 -0 -0 -1 -0x878e -0x8f71880e -256 -256 -0 -0 -0 -4 -0x8492 -0x873884f6 -256 -256 -0 -0 -0 -4 -0xe4b4 -0x7a99e0cf -256 -256 -0 -0 -0 -1 -0x67fb -0x3e74cea2 -256 -256 -1 -0 -0 -1 -0x7cb6 -0xa092963d -256 -256 -1 -0 -0 -4 -0xb8e7 -0x6b046040 -256 -256 -0 -0 -0 -1 -0x9ba6 -0xf893bc22 -256 -256 -1 -0 -0 -4 -0x2034 -0xa789d735 -256 -256 -0 -0 -0 -3 -0xb5a6 -0x5f1cbb95 -256 -256 -0 -0 -0 -4 -0x6b1f -0x4dcbbb16 -256 -256 -1 -0 -0 -4 -0x94b9 -0xf17f34bc -256 -256 -1 -0 -0 -2 -0xf4e6 -0xea50302e -256 -256 -0 -0 -0 -1 -0xfac4 -0x43329056 -256 -256 -1 -0 -0 -2 -0xf833 -0x58f76a51 -256 -256 -0 -0 -0 -1 -0xf54b -0xff27fd0c -256 -256 -1 -0 -0 -1 -0x4458 -0x707bad19 -256 -256 -1 -0 -0 -4 -0xe72e -0xa616dcd1 -256 -256 -1 -0 -0 -1 -0x96f5 -0x950b7ee8 -256 -256 -1 -0 -0 -1 -0x8d95 -0x1c0626db -256 -256 -0 -0 -0 -2 -0x5d9a -0x9af50b0a -256 -256 -1 -0 -0 -1 -0x6ef0 -0x6d090c38 -256 -256 -0 -0 -0 -4 -0x92cd -0x9933f673 -256 -256 -0 -0 -0 -2 -0x65ba -0x471786f9 -256 -256 -0 -0 -0 -3 -0xfeda -0x4fb1c19 -256 -256 -1 -0 -0 -4 -0xda29 -0x5ca0c305 -256 -256 -0 -0 -0 -4 -0x7320 -0xbb9cfbb6 -256 -256 -1 -0 -0 -4 -0x3b82 -0xe694bd17 -256 -256 -0 -0 -0 -2 -0x845d -0x5225a9be -256 -256 -0 -0 -0 -3 -0xc6c5 -0x26b714c4 -256 -256 -1 -0 -0 -3 -0x27ed -0x5fe4b011 -256 -256 -0 -0 -0 -3 -0x448f -0xda16a5c4 -256 -256 -0 -0 -0 -4 -0x4c4 -0xccffd00e -256 -256 -1 -0 -0 -1 -0xd87f -0x4fcb9467 -256 -256 -0 -0 -0 -2 -0xf519 -0xfbfa2981 -256 -256 -1 -0 -0 -3 -0xe0f9 -0xea6bff0c -256 -256 -0 -0 -0 -3 -0xa999 -0xe93d40f4 -256 -256 -0 -0 -0 -4 -0x2e1c -0xdc805e0c -256 -256 -1 -0 -0 -1 -0xb110 -0x7a04717 -256 -256 -0 -0 -0 -4 -0xff4a -0xc5dc0076 -256 -256 -1 -0 -0 -4 -0x1b2e -0x3c1a236 -256 -256 -0 -0 -0 -1 -0xc82d -0x83f6d017 -256 -256 -1 -0 -0 -1 -0x78d7 -0x96d931cf -256 -256 -0 -0 -0 -2 -0xf7a7 -0x402a7a9 -256 -256 -1 -0 -0 -1 -0xe6e4 -0x1382c1ec -256 -256 -1 -0 -0 -3 -0x2635 -0xb1398de0 -256 -256 -0 -0 -0 -3 -0x2dca -0xff97c01b -256 -256 -0 -0 -0 -1 -0x9b2 -0x9121c29e -256 -256 -1 -0 -0 -4 -0xbcc -0x33cffaf0 -256 -256 -0 -0 -0 -2 -0xad67 -0xa6ac39a8 -256 -256 -1 -0 -0 -3 -0xdf9 -0x4219fdf6 -256 -256 -1 -0 -0 -4 -0x343b -0x9c00526 -256 -256 -0 -0 -0 -4 -0x8c28 -0x40cdbab1 -256 -256 -0 -0 -0 -1 -0xc508 -0x6deb87e5 -256 -256 -0 -0 -0 -2 -0x589f -0x173b8c19 -256 -256 -0 -0 -0 -4 -0xbe28 -0x71697464 -256 -256 -0 -0 -0 -4 -0x3cda -0xa8a60264 -256 -256 -1 -0 -0 -1 -0x63b5 -0x8cb2e8bd -256 -256 -0 -0 -0 -2 -0x2aed -0x9903b85d -256 -256 -1 -0 -0 -1 -0xb79b -0xc1d6e043 -256 -256 -1 -0 -0 -3 -0xebc6 -0xdb695139 -256 -256 -1 -0 -0 -4 -0x2836 -0x113cd67f -256 -256 -0 -0 -0 -3 -0xa91e -0x29c43cdf -256 -256 -1 -0 -0 -3 -0x55b3 -0x259d0c3e -256 -256 -1 -0 -0 -2 -0x42b3 -0x8f8c29d8 -256 -256 -0 -0 -0 -2 -0xf5c1 -0xf859de0c -256 -256 -0 -0 -0 -3 -0x57c8 -0xe288030 -256 -256 -0 -0 -0 -2 -0x3865 -0xfd65e11e -256 -256 -1 -0 -0 -2 -0x8898 -0x95c0e774 -256 -256 -1 -0 -0 -2 -0xa460 -0xa32440bf -256 -256 -1 -0 -0 -4 -0x3c7 -0x38aa6cac -256 -256 -0 -0 -0 -1 -0xaf26 -0x4dbe8ab5 -256 -256 -0 -0 -0 -2 -0xf0bd -0x1a4bdf82 -256 -256 -0 -0 -0 -3 -0xaf8b -0x9b710b75 -256 -256 -1 -0 -0 -4 -0x9933 -0x3832ba35 -256 -256 -1 -0 -0 -4 -0x52a8 -0x62a2b53e -256 -256 -1 -0 -0 -1 -0x4da7 -0x45a09849 -256 -256 -0 -0 -0 -1 -0xa6b9 -0x8d052d5 -256 -256 -0 -0 -0 -3 -0x5763 -0xa643e909 -256 -256 -0 -0 -0 -3 -0xa88e -0x298c5b5 -256 -256 -1 -0 -0 -2 -0x2fae -0x82a64fd3 -256 -256 -1 -0 -0 -3 -0xe6f6 -0x54cd050d -256 -256 -0 -0 -0 -4 -0x181d -0x2bb458d0 -256 -256 -0 -0 -0 -4 -0x1cad -0xa17831d4 -256 -256 -1 -0 -0 -3 -0x559b -0x8229236c -256 -256 -1 -0 -0 -1 -0x5460 -0xfb4c49de -256 -256 -1 -0 -0 -3 -0x7a49 -0x1c66cfa9 -256 -256 -0 -0 -0 -3 -0x3436 -0x419d9848 -256 -256 -0 -0 -0 -4 -0x92db -0x5a54220 -256 -256 -1 -0 -0 -2 -0x32a4 -0xbd37df89 -256 -256 -0 -0 -0 -1 -0xd4ab -0xf16118ae -256 -256 -0 -0 -0 -1 -0x5aee -0x1332ca7d -256 -256 -0 -0 -0 -2 -0xeb89 -0xe97e7e41 -256 -256 -1 -0 -0 -2 -0x26c4 -0x7df29e37 -256 -256 -1 -0 -0 -4 -0xe09 -0xa746fbfd -256 -256 -1 -0 -0 -4 -0x8e0f -0x2f00d4a1 -256 -256 -0 -0 -0 -1 -0x6497 -0xeb92a30c -256 -256 -0 -0 -0 -3 -0x8be2 -0x4dfd9793 -256 -256 -0 -0 -0 -2 -0x74fc -0x49405420 -256 -256 -1 -0 -0 -3 -0x57c7 -0x27bdec2 -256 -256 -1 -0 -0 -2 -0x49ca -0x4913c125 -256 -256 -0 -0 -0 -1 -0xd318 -0x8e250a61 -256 -256 -0 -0 -0 -4 -0x840d -0x4664ec7d -256 -256 -0 -0 -0 -4 -0x1d31 -0xca2c42f9 -256 -256 -1 -0 -0 -3 -0x934a -0x49274ec8 -256 -256 -0 -0 -0 -1 -0xb2eb -0xe0ec8f11 -256 -256 -1 -0 -0 -3 -0x4c72 -0x88b3513f -256 -256 -1 -0 -0 -2 -0x3731 -0xa35a6f44 -256 -256 -1 -0 -0 -1 -0xef74 -0x9a8d892b -256 -256 -1 -0 -0 -3 -0x1d21 -0xe4c6aa17 -256 -256 -1 -0 -0 -1 -0xb1d6 -0xe825dcb3 -256 -256 -1 -0 -0 -3 -0x5351 -0xd6387fd3 -256 -256 -0 -0 -0 -2 -0xaf10 -0x5dacaf48 -256 -256 -1 -0 -0 -4 -0x196e -0xb0d45145 -256 -256 -1 -0 -0 -4 -0xe152 -0x91e93225 -256 -256 -0 -0 -0 -3 -0xba57 -0xc441082e -256 -256 -0 -0 -0 -2 -0x8701 -0x8f56f067 -256 -256 -1 -0 -0 -4 -0x4748 -0x4800b2ed -256 -256 -1 -0 -0 -1 -0x96bb -0x72f677f0 -256 -256 -0 -0 -0 -2 -0x64e6 -0x3b874aa2 -256 -256 -1 -0 -0 -2 -0xfcdc -0x195d2009 -256 -256 -1 -0 -0 -3 -0xb813 -0x2a856a00 -256 -256 -1 -0 -0 -2 -0xb5ec -0xa5884e01 -256 -256 -1 -0 -0 -4 -0x766a -0x6df56f62 -256 -256 -0 -0 -0 -1 -0xe7de -0xf92e72dd -256 -256 -1 -0 -0 -4 -0x8306 -0x4a29a810 -256 -256 -0 -0 -0 -4 -0xdb39 -0x80d31a75 -256 -256 -1 -0 -0 -1 -0xaf4e -0xb57a364c -256 -256 -1 -0 -0 -1 -0x748c -0xea9c8388 -256 -256 -1 -0 -0 -1 -0x6564 -0x63361f72 -256 -256 -1 -0 -0 -4 -0x89d7 -0xe7f6a1d2 -256 -256 -0 -0 -0 -4 -0xdf66 -0x42cf07f3 -256 -256 -0 -0 -0 -3 -0x8c46 -0x11d9c6a4 -256 -256 -1 -0 -0 -4 -0xcabf -0x511f033c -256 -256 -0 -0 -0 -3 -0x561b -0x7945bae8 -256 -256 -0 -0 -0 -4 -0x5287 -0xabd2907a -256 -256 -1 -0 -0 -4 -0xaac -0xe06c993e -256 -256 -1 -0 -0 -3 -0x86bf -0xdd49a7d5 -256 -256 -0 -0 -0 -1 -0xebd7 -0x440a2d74 -256 -256 -1 -0 -0 -1 -0x3e18 -0x86ed8653 -256 -256 -0 -0 -0 -2 -0x555 -0xb2b52bfa -256 -256 -1 -0 -0 -4 -0x7c2 -0xa283296b -256 -256 -1 -0 -0 -1 -0x5213 -0x3ee00c6a -256 -256 -1 -0 -0 -1 -0x5368 -0x6b83028a -256 -256 -1 -0 -0 -2 -0xe507 -0x4e163029 -256 -256 -1 -0 -0 -2 -0xacff -0x77e891a2 -256 -256 -0 -0 -0 -2 -0x23bd -0x5755cb6 -256 -256 -1 -0 -0 -1 -0x32eb -0xd40d964d -256 -256 -1 -0 -0 -4 -0xcf8d -0xdb244a31 -256 -256 -0 -0 -0 -2 -0x2570 -0x931f04dc -256 -256 -1 -0 -0 -4 -0xceb5 -0xc88e4f99 -256 -256 -0 -0 -0 -2 -0x970 -0x1f61aaf3 -256 -256 -1 -0 -0 -4 -0xd393 -0xa893ef4e -256 -256 -0 -0 -0 -1 -0xffd3 -0x78860dd2 -256 -256 -0 -0 -0 -3 -0x5cd -0xf6c4364c -256 -256 -0 -0 -0 -3 -0xc324 -0x336cad00 -256 -256 -0 -0 -0 -4 -0x22f9 -0x1d684602 -256 -256 -1 -0 -0 -4 -0x5b9d -0xcdc26e6b -256 -256 -1 -0 -0 -4 -0x64ce -0xa8d0d587 -256 -256 -0 -0 -0 -3 -0x2d29 -0xf3d693c9 -256 -256 -1 -0 -0 -2 -0x616b -0xce3d30e0 -256 -256 -1 -0 -0 -3 -0x6d69 -0xac7dc06f -256 -256 -1 -0 -0 -4 -0xedea -0x3dd1cb7c -256 -256 -1 -0 -0 -3 -0xe41f -0xcd5ad7e -256 -256 -0 -0 -0 -3 -0xb7fe -0x7a517b92 -256 -256 -0 -0 -0 -1 -0xb7a0 -0x87b525de -256 -256 -0 -0 -0 -3 -0x5086 -0x4bbbce33 -256 -256 -0 -0 -0 -3 -0xbca6 -0xa6cea00c -256 -256 -1 -0 -0 -2 -0xf8bf -0x6b1f58a4 -256 -256 -0 -0 -0 -3 -0xe218 -0x4c7c3cf0 -256 -256 -0 -0 -0 -1 -0x5d3 -0x6ba1040a -256 -256 -1 -0 -0 -3 -0x18eb -0xf9d4f1e7 -256 -256 -1 -0 -0 -1 -0x5c00 -0xe620b36b -256 -256 -0 -0 -0 -3 -0x2756 -0x57141058 -256 -256 -0 -0 -0 -3 -0xe48b -0x7f6a6ec0 -256 -256 -0 -0 -0 -1 -0x1c60 -0xbd5d037a -256 -256 -0 -0 -0 -1 -0xb617 -0x465ec2bb -256 -256 -1 -0 -0 -1 -0xea6c -0x35c443c6 -256 -256 -0 -0 -0 -2 -0x13e0 -0x32945004 -256 -256 -0 -0 -0 -4 -0x4b14 -0xe5cd79ae -256 -256 -1 -0 -0 -1 -0x12f7 -0x9f4e3664 -256 -256 -1 -0 -0 -3 -0x88d3 -0xd54ba04e -256 -256 -0 -0 -0 -2 -0xd6f8 -0xfeb4226 -256 -256 -0 -0 -0 -2 -0xf6cc -0x6d2b95fa -256 -256 -0 -0 -0 -1 -0x5b59 -0xb6cb7147 -256 -256 -0 -0 -0 -2 -0x2290 -0xe9bc39dd -256 -256 -1 -0 -0 -4 -0x7916 -0x6a5be033 -256 -256 -0 -0 -0 -3 -0xcc4c -0xbd129a89 -256 -256 -0 -0 -0 -4 -0xefc2 -0xed891444 -256 -256 -1 -0 -0 -4 -0x77e3 -0x1d5d83c -256 -256 -1 -0 -0 -1 -0x1026 -0x28b03336 -256 -256 -0 -0 -0 -4 -0x78ba -0xf2077f6e -256 -256 -1 -0 -0 -1 -0x2ff5 -0x2abb806f -256 -256 -1 -0 -0 -4 -0x3c26 -0x8c1e66c7 -256 -256 -1 -0 -0 -4 -0x997a -0xde245c81 -256 -256 -0 -0 -0 -4 -0x3bd2 -0x21f848d8 -256 -256 -0 -0 -0 -1 -0xcb0f -0x78a3cedf -256 -256 -1 -0 -0 -1 -0xa875 -0x9d09d3b7 -256 -256 -0 -0 -0 -2 -0x96b6 -0xf3301687 -256 -256 -1 -0 -0 -2 -0x4c3b -0x4e873b9c -256 -256 -0 -0 -0 -3 -0x9275 -0x6b63c4ea -256 -256 -1 -0 -0 -2 -0xf731 -0x84389d51 -256 -256 -1 -0 -0 -1 -0x84f -0xe37b8b72 -256 -256 -1 -0 -0 -4 -0xb92c -0x82cfdfe6 -256 -256 -0 -0 -0 -4 -0x371b -0xa2fedd9 -256 -256 -0 -0 -0 -2 -0x92bf -0x868b7a9c -256 -256 -0 -0 -0 -4 -0xcf67 -0xa7d097cb -256 -256 -0 -0 -0 -1 -0xa968 -0xfe3e205b -256 -256 -0 -0 -0 -2 -0x3e04 -0x32221b45 -256 -256 -1 -0 -0 -4 -0x96e1 -0x68d8427d -256 -256 -0 -0 -0 -1 -0xea1f -0x2ef8c972 -256 -256 -1 -0 -0 -3 -0xc70e -0xda747967 -256 -256 -0 -0 -0 -2 -0x7b1f -0x809a82f -256 -256 -1 -0 -0 -1 -0x8a61 -0x5a922834 -256 -256 -1 -0 -0 -4 -0x6f61 -0x4c34af27 -256 -256 -1 -0 -0 -1 -0x4b1e -0xf4a7fa5b -256 -256 -1 -0 -0 -2 -0x6046 -0x54678f5 -256 -256 -0 -0 -0 -3 -0x3d2 -0xe4f2529e -256 -256 -0 -0 -0 -2 -0xc19 -0xa18df576 -256 -256 -0 -0 -0 -2 -0xdccb -0x98e4c261 -256 -256 -1 -0 -0 -2 -0xe80c -0xbda02452 -256 -256 -0 -0 -0 -4 -0x802d -0xbfd072ec -256 -256 -0 -0 -0 -3 -0xb13d -0x103dfaee -256 -256 -0 -0 -0 -2 -0xd49f -0x7f595ec6 -256 -256 -1 -0 -0 -1 -0xc1db -0xf25ae883 -256 -256 -1 -0 -0 -1 -0x5248 -0x4cea15f7 -256 -256 -0 -0 -0 -1 -0xa99b -0x2707b269 -256 -256 -1 -0 -0 -3 -0xa1a2 -0x1b6ee790 -256 -256 -1 -0 -0 -2 -0xff21 -0xd620230b -256 -256 -1 -0 -0 -1 -0x5f2f -0xdb5fb9bc -256 -256 -1 -0 -0 -3 -0x21e6 -0x629d50ab -256 -256 -0 -0 -0 -1 -0x1ae -0x3d7d9292 -256 -256 -0 -0 -0 -2 -0xc024 -0x54465892 -256 -256 -1 -0 -0 -3 -0x4886 -0x8bce16b -256 -256 -0 -0 -0 -1 -0x9573 -0xf9e38a96 -256 -256 -0 -0 -0 -3 -0x12a -0x904bfb50 -256 -256 -1 -0 -0 -1 -0x7cdc -0xc5e10ded -256 -256 -0 -0 -0 -1 -0x5dc5 -0x3000c765 -256 -256 -1 -0 -0 -3 -0x8bda -0xd3d0686d -256 -256 -1 -0 -0 -3 -0x7b7b -0x1fb8f3f8 -256 -256 -0 -0 -0 -2 -0x605c -0xcedb3c5d -256 -256 -1 -0 -0 -3 -0x136f -0x84d79356 -256 -256 -0 -0 -0 -1 -0x2594 -0xd73f37e4 -256 -256 -0 -0 -0 -1 -0xe407 -0x17b8914e -256 -256 -1 -0 -0 -2 -0xbe5 -0x957ffd8d -256 -256 -0 -0 -0 -4 -0xb1ee -0x6e211e10 -256 -256 -1 -0 -0 -2 -0x73b1 -0xdd2cde62 -256 -256 -1 -0 -0 -1 -0xb15d -0x94a5f8d7 -256 -256 -0 -0 -0 -4 -0x22aa -0x36c6e628 -256 -256 -0 -0 -0 -2 -0x8fab -0xc220b188 -256 -256 -0 -0 -0 -2 -0x454c -0xb1a86348 -256 -256 -1 -0 -0 -3 -0x2852 -0xf0cb3da2 -256 -256 -1 -0 -0 -4 -0x909b -0xe9c32b69 -256 -256 -1 -0 -0 -2 -0x7951 -0x6c6b20b3 -256 -256 -1 -0 -0 -1 -0x5f44 -0x8a99a23f -256 -256 -1 -0 -0 -4 -0x461e -0x2e73621f -256 -256 -0 -0 -0 -2 -0xd640 -0xd809dca6 -256 -256 -1 -0 -0 -1 -0x5549 -0x4a039e65 -256 -256 -0 -0 -0 -1 -0xfb55 -0x833d24ff -256 -256 -1 -0 -0 -1 -0x7ddf -0x1a81d9be -256 -256 -1 -0 -0 -1 -0xd392 -0xcb30e137 -256 -256 -0 -0 -0 -2 -0x248b -0x70ff32b2 -256 -256 -0 -0 -0 -4 -0x1f33 -0x4d2b9860 -256 -256 -1 -0 -0 -3 -0x6adf -0xbf379981 -256 -256 -1 -0 -0 -2 -0x4698 -0x2e9a4c09 -256 -256 -0 -0 -0 -3 -0x220f -0x289a05ff -256 -256 -0 -0 -0 -1 -0x6167 -0x5b04cde4 -256 -256 -1 -0 -0 -3 -0x33c0 -0xf98df09d -256 -256 -1 -0 -0 -3 -0x8acf -0x687ff96 -256 -256 -1 -0 -0 -1 -0x1d56 -0x570234f1 -256 -256 -1 -0 -0 -4 -0x21c2 -0x1faf33c7 -256 -256 -0 -0 -0 -2 -0xfa3b -0x55ce7d23 -256 -256 -1 -0 -0 -2 -0x3dd2 -0xc424533b -256 -256 -0 -0 -0 -4 -0xc13d -0x63474b60 -256 -256 -1 -0 -0 -2 -0x7019 -0xab547450 -256 -256 -1 -0 -0 -4 -0xc57a -0xb8711b0b -256 -256 -1 -0 -0 -1 -0x3391 -0x65d1d28f -256 -256 -0 -0 -0 -2 -0x5319 -0xd5453194 -256 -256 -1 -0 -0 -4 -0x9bda -0xc0f6fac4 -256 -256 -1 -0 -0 -4 -0xe35f -0xcc4ff0fd -256 -256 -0 -0 -0 -3 -0xb56f -0xaf8750b -256 -256 -0 -0 -0 -1 -0x6dfd -0x19f45425 -256 -256 -0 -0 -0 -2 -0x1eab -0x4e0e5ef5 -256 -256 -1 -0 -0 -2 -0xd344 -0xc6ee05f1 -256 -256 -0 -0 -0 -3 -0xc19b -0x8c595a23 -256 -256 -0 -0 -0 -2 -0x4577 -0xabbb277b -256 -256 -0 -0 -0 -1 -0xc8d9 -0xbb199998 -256 -256 -1 -0 -0 -3 -0x365f -0xa7f08905 -256 -256 -1 -0 -0 -1 -0xe6a2 -0x2dba151a -256 -256 -0 -0 -0 -2 -0x23b2 -0x1a4fcfaf -256 -256 -1 -0 -0 -2 -0x8b77 -0x3cdc7fa0 -256 -256 -1 -0 -0 -3 -0x7fa9 -0x5a4d05ad -256 -256 -1 -0 -0 -2 -0x92e3 -0xa39ced4a -256 -256 -1 -0 -0 -4 -0xd14e -0xfa9f06cf -256 -256 -1 -0 -0 -2 -0xa6b1 -0xcfed2b6c -256 -256 -1 -0 -0 -4 -0xe418 -0x9c084175 -256 -256 -0 -0 -0 -3 -0x4da6 -0xa42b447d -256 -256 -0 -0 -0 -3 -0xfec -0x412caf5 -256 -256 -0 -0 -0 -4 -0x6eae -0x71482fd2 -256 -256 -1 -0 -0 -3 -0xd7c -0x239f92ef -256 -256 -0 -0 -0 -1 -0xc5fd -0x6524d1d7 -256 -256 -1 -0 -0 -1 -0xd4ba -0xeee4fd80 -256 -256 -1 -0 -0 -3 -0xae8c -0xdfb33a2a -256 -256 -0 -0 -0 -3 -0x573d -0x5c3de3d0 -256 -256 -0 -0 -0 -2 -0x6ab2 -0x45b34b77 -256 -256 -1 -0 -0 -3 -0x4466 -0xf51bf340 -256 -256 -1 -0 -0 -3 -0xa04f -0x6399a7cc -256 -256 -1 -0 -0 -3 -0x9c21 -0xb8cf0aec -256 -256 -1 -0 -0 -2 -0xfac0 -0xb60987c8 -256 -256 -0 -0 -0 -1 -0x514c -0xa14886d9 -256 -256 -1 -0 -0 -4 -0xef25 -0xc862846c -256 -256 -0 -0 -0 -3 -0xc3e3 -0x927a39da -256 -256 -0 -0 -0 -1 -0x525a -0x76333710 -256 -256 -0 -0 -0 -3 -0xe4b8 -0x752d98f2 -256 -256 -1 -0 -0 -4 -0x904b -0x1222acd -256 -256 -1 -0 -0 -3 -0x68f3 -0xdb3af11 -256 -256 -1 -0 -0 -1 -0xb1ee -0x8c1c057b -256 -256 -0 -0 -0 -1 -0x737c -0xe0bc6762 -256 -256 -0 -0 -0 -2 -0x66af -0x2a2aae3a -256 -256 -0 -0 -0 -4 -0x83fb -0xefae2c40 -256 -256 -0 -0 -0 -4 -0x7b60 -0x740dffb0 -256 -256 -0 -0 -0 -2 -0x4aa6 -0x76a3b938 -256 -256 -1 -0 -0 -2 -0x82ef -0xf3e1e95f -256 -256 -1 -0 -0 -3 -0x829c -0xcae4289c -256 -256 -1 -0 -0 -4 -0xb302 -0xcc053e0b -256 -256 -0 -0 -0 -4 -0x8db1 -0x5924ea3b -256 -256 -1 -0 -0 -4 -0x1d8d -0xb0916eb0 -256 -256 -1 -0 -0 -1 -0xd854 -0xb871b47e -256 -256 -0 -0 -0 -3 -0xa746 -0x27a9b25e -256 -256 -1 -0 -0 -3 -0x1332 -0xe97b2929 -256 -256 -1 -0 -0 -2 -0xdff5 -0x88cc8939 -256 -256 -1 -0 -0 -1 -0xe818 -0x64e01cb4 -256 -256 -0 -0 -0 -1 -0xe897 -0x72f84b78 -256 -256 -0 -0 -0 -2 -0x1edc -0x67c69641 -256 -256 -0 -0 -0 -1 -0x1bf5 -0xd92eb2f7 -256 -256 -1 -0 -0 -4 -0x5b45 -0xfe121727 -256 -256 -0 -0 -0 -3 -0xcab8 -0x3d9f1439 -256 -256 -1 -0 -0 -1 -0x7a7f -0xb47b2ec1 -256 -256 -1 -0 -0 -1 -0xefb5 -0x3cbeba2e -256 -256 -1 -0 -0 -4 -0xd89c -0x87b352cd -256 -256 -0 -0 -0 -2 -0x7844 -0xbfde80c9 -256 -256 -1 -0 -0 -1 -0xac9a -0x2d60d59c -256 -256 -1 -0 -0 -4 -0x2a80 -0x2c40bdc -256 -256 -1 -0 -0 -1 -0xa3d -0x965b0586 -256 -256 -1 -0 -0 -4 -0x9c4e -0x7f929be2 -256 -256 -0 -0 -0 -3 -0x8fa3 -0x15858762 -256 -256 -0 -0 -0 -1 -0x5d5a -0xadabeb5b -256 -256 -1 -0 -0 -4 -0x1d6a -0xb9b6a136 -256 -256 -0 -0 -0 -1 -0x367a -0xfda7863b -256 -256 -1 -0 -0 -2 -0x97cb -0x4e5b346a -256 -256 -1 -0 -0 -3 -0x3400 -0xfc9b1c3e -256 -256 -0 -0 -0 -2 -0x6cc6 -0xca016691 -256 -256 -0 -0 -0 -4 -0xe010 -0xda8e45d7 -256 -256 -0 -0 -0 -2 -0x3597 -0x60a9abaa -256 -256 -1 -0 -0 -2 -0xadee -0xaa7aa06d -256 -256 -1 -0 -0 -4 -0xf000 -0x38251ac9 -256 -256 -0 -0 -0 -2 -0xdff9 -0xf91ed50e -256 -256 -1 -0 -0 -1 -0x10d0 -0xba622fd8 -256 -256 -1 -0 -0 -2 -0x53ab -0xdfd652e4 -256 -256 -1 -0 -0 -1 -0xcffc -0xc1a1087f -256 -256 -0 -0 -0 -4 -0x2026 -0xc3c4ba30 -256 -256 -0 -0 -0 -3 -0x7116 -0xdc3dd03d -256 -256 -0 -0 -0 -3 -0x64e2 -0x398bb7e3 -256 -256 -1 -0 -0 -3 -0xbfcb -0x2e44d713 -256 -256 -0 -0 -0 -4 -0x87d6 -0xe8e12f3 -256 -256 -0 -0 -0 -1 -0x4c30 -0xdd66d192 -256 -256 -1 -0 -0 -2 -0xfd50 -0x3df7ffe8 -256 -256 -1 -0 -0 -2 -0xf7bd -0x47313ce1 -256 -256 -0 -0 -0 -1 -0x9907 -0xc989df85 -256 -256 -1 -0 -0 -1 -0x845f -0xbbb26cde -256 -256 -1 -0 -0 -1 -0x4aa9 -0x1e4c7c4a -256 -256 -0 -0 -0 -4 -0x7d16 -0x31564d12 -256 -256 -0 -0 -0 -4 -0xac3d -0x95c46312 -256 -256 -1 -0 -0 -3 -0x9376 -0xf5add62b -256 -256 -1 -0 -0 -4 -0xe23a -0x4e33ee9c -256 -256 -1 -0 -0 -3 -0x277f -0x83450d15 -256 -256 -1 -0 -0 -1 -0xc838 -0x679d7cb5 -256 -256 -0 -0 -0 -2 -0xf65 -0x74d72d4d -256 -256 -0 -0 -0 -4 -0xbcf6 -0x212edcb3 -256 -256 -1 -0 -0 -4 -0x7c87 -0x16134160 -256 -256 -1 -0 -0 -2 -0xa5c -0xcdac3653 -256 -256 -1 -0 -0 -1 -0x64b4 -0xe8a290ef -256 -256 -1 -0 -0 -2 -0xa653 -0x9ec7bde5 -256 -256 -0 -0 -0 -3 -0x227d -0x52e3eecf -256 -256 -1 -0 -0 -4 -0x3900 -0x2226cbe4 -256 -256 -1 -0 -0 -2 -0x7afa -0x1e1715bb -256 -256 -0 -0 -0 -1 -0xb430 -0xd9ed59b2 -256 -256 -1 -0 -0 -4 -0xe547 -0x19831ee8 -256 -256 -0 -0 -0 -1 -0xfa6 -0x60fcadfa -256 -256 -0 -0 -0 -1 -0x4ed4 -0x9c24cc92 -256 -256 -1 -0 -0 -3 -0x3454 -0xa241f061 -256 -256 -1 -0 -0 -2 -0xa16b -0xe133cafb -256 -256 -0 -0 -0 -4 -0x5a3d -0xd151f83f -256 -256 -0 -0 -0 -1 -0x8cc2 -0x660f1306 -256 -256 -0 -0 -0 -2 -0xae9a -0xa9ec58dd -256 -256 -0 -0 -0 -3 -0x68f8 -0x5feb688b -256 -256 -1 -0 -0 -2 -0x11ba -0xa49316ab -256 -256 -0 -0 -0 -4 -0xec7f -0x26dafdcf -256 -256 -0 -0 -0 -1 -0x19d3 -0xd9e47af8 -256 -256 -0 -0 -0 -4 -0xf0fe -0x80761709 -256 -256 -1 -0 -0 -1 -0x289 -0x44083e02 -256 -256 -0 -0 -0 -1 -0x8270 -0xe318b427 -256 -256 -1 -0 -0 -1 -0x7f7b -0x4042e5fe -256 -256 -1 -0 -0 -2 -0xf72f -0x48011a4 -256 -256 -0 -0 -0 -2 -0x6014 -0x404c1882 -256 -256 -1 -0 -0 -3 -0xc24f -0x13d0bb84 -256 -256 -1 -0 -0 -3 -0xa571 -0x79a3862e -256 -256 -1 -0 -0 -1 -0xff2b -0xfa190caa -256 -256 -0 -0 -0 -3 -0x258f -0x36ec470b -256 -256 -0 -0 -0 -3 -0x84eb -0x4d2fed83 -256 -256 -1 -0 -0 -4 -0xed01 -0x78e212e3 -256 -256 -1 -0 -0 -1 -0x5fb -0x68ed17b8 -256 -256 -1 -0 -0 -1 -0x60b6 -0x55c94ab7 -256 -256 -1 -0 -0 -1 -0xcf4a -0xafecdf62 -256 -256 -0 -0 -0 -4 -0xcaf4 -0x3a79925 -256 -256 -0 -0 -0 -4 -0x8742 -0x5c904595 -256 -256 -1 -0 -0 -4 -0xb2db -0x5bbbc060 -256 -256 -1 -0 -0 -2 -0x9d -0x47bb60c6 -256 -256 -1 -0 -0 -4 -0xa48f -0x233b3b8c -256 -256 -0 -0 -0 -2 -0x1e83 -0xc12f1a7f -256 -256 -1 -0 -0 -4 -0xaf0e -0x5f201d7a -256 -256 -1 -0 -0 -4 -0xeb8f -0x3ec710d2 -256 -256 -1 -0 -0 -3 -0xbf0f -0x2614a91b -256 -256 -1 -0 -0 -2 -0x2b4f -0x7cc9b8d -256 -256 -0 -0 -0 -2 -0xa6e8 -0x4c0ef2aa -256 -256 -0 -0 -0 -4 -0xcf5 -0x3e7b38aa -256 -256 -1 -0 -0 -3 -0x27ae -0x29f62806 -256 -256 -1 -0 -0 -2 -0x82e6 -0x7962b404 -256 -256 -0 -0 -0 -4 -0xd69e -0x28db3422 -256 -256 -0 -0 -0 -1 -0x1e24 -0xcd5003ff -256 -256 -1 -0 -0 -2 -0xea5e -0xbb398d35 -256 -256 -0 -0 -0 -1 -0x976e -0x11331bb -256 -256 -1 -0 -0 -1 -0xfcc4 -0x1db09919 -256 -256 -1 -0 -0 -1 -0xc66 -0x253385e1 -256 -256 -1 -0 -0 -1 -0x7cc7 -0x97772102 -256 -256 -1 -0 -0 -1 -0x1bf5 -0xd90a383a -256 -256 -0 -0 -0 -1 -0xaa2d -0x7c240c2b -256 -256 -1 -0 -0 -1 -0x1767 -0xe813b3c3 -256 -256 -1 -0 -0 -3 -0x317c -0xd7266d5a -256 -256 -1 -0 -0 -4 -0x9401 -0x32234192 -256 -256 -0 -0 -0 -4 -0x8817 -0x7fdcb8c8 -256 -256 -0 -0 -0 -4 -0x3d56 -0x3275284 -256 -256 -0 -0 -0 -1 -0x2697 -0x927c2a30 -256 -256 -1 -0 -0 -2 -0xd6bd -0x7ce6b491 -256 -256 -0 -0 -0 -4 -0xe529 -0x20cf7bc5 -256 -256 -1 -0 -0 -2 -0x7b17 -0x4a694b0f -256 -256 -1 -0 -0 -2 -0x4ff8 -0x1ae3b886 -256 -256 -0 -0 -0 -1 -0x7aaa -0xfac29307 -256 -256 -1 -0 -0 -1 -0xbe0c -0x2c3c1090 -256 -256 -1 -0 -0 -2 -0x8c6d -0xaf5b6489 -256 -256 -0 -0 -0 -2 -0xfa19 -0x50464f56 -256 -256 -1 -0 -0 -2 -0x29a2 -0x61cdc51c -256 -256 -1 -0 -0 -3 -0xc120 -0xa65c6a0c -256 -256 -1 -0 -0 -3 -0x6e83 -0xdf9b863b -256 -256 -1 -0 -0 -2 -0xfba3 -0x6418e63e -256 -256 -1 -0 -0 -3 -0xbc29 -0xb6af9c62 -256 -256 -1 -0 -0 -2 -0x49fd -0xd1ce3ceb -256 -256 -1 -0 -0 -4 -0x52 -0x41fdfbbd -256 -256 -0 -0 -0 -2 -0x3cf8 -0x3c95ca43 -256 -256 -0 -0 -0 -2 -0x8e7e -0x6c475e54 -256 -256 -1 -0 -0 -3 -0xd375 -0x79bded50 -256 -256 -0 -0 -0 -2 -0x8bad -0x40ecfdb4 -256 -256 -1 -0 -0 -2 -0xec4 -0xae42dfe1 -256 -256 -1 -0 -0 -4 -0x3007 -0xcf3fb713 -256 -256 -0 -0 -0 -3 -0xb928 -0xfb84657c -256 -256 -0 -0 -0 -3 -0xd3c9 -0x95ebfc51 -256 -256 -0 -0 -0 -4 -0x9803 -0x3944aef1 -256 -256 -0 -0 -0 -3 -0xa15b -0x838843e -256 -256 -0 -0 -0 -2 -0x308c -0x76b62695 -256 -256 -0 -0 -0 -2 -0xfa96 -0x6c905463 -256 -256 -1 -0 -0 -2 -0xdf84 -0x7d37b51e -256 -256 -0 -0 -0 -3 -0x27b3 -0x2c681011 -256 -256 -1 -0 -0 -3 -0x6ec3 -0xb9ba2443 -256 -256 -1 -0 -0 -3 -0xded0 -0x21eaf9dd -256 -256 -1 -0 -0 -4 -0x5138 -0xc4eafe1a -256 -256 -0 -0 -0 -2 -0x6181 -0xfe129f3b -256 -256 -1 -0 -0 -1 -0xe927 -0xd0ad1b00 -256 -256 -1 -0 -0 -2 -0x2d82 -0xa2e18524 -256 -256 -0 -0 -0 -3 -0x3d32 -0x1777bcba -256 -256 -1 -0 -0 -4 -0x21d0 -0x96bf6d93 -256 -256 -0 -0 -0 -3 -0x1527 -0x3f68f28c -256 -256 -0 -0 -0 -4 -0x52bc -0x53eb74ce -256 -256 -0 -0 -0 -2 -0x34f7 -0xb85f39ff -256 -256 -0 -0 -0 -2 -0xf768 -0x2ccd84f9 -256 -256 -0 -0 -0 -3 -0x5609 -0x4a250c2 -256 -256 -0 -0 -0 -3 -0x98c2 -0x5dd00f5 -256 -256 -0 -0 -0 -3 -0xfd6b -0x4a6e671b -256 -256 -0 -0 -0 -1 -0xcd22 -0x22373937 -256 -256 -0 -0 -0 -3 -0xb0b7 -0x1c10847d -256 -256 -1 -0 -0 -2 -0x212a -0xbc4485a8 -256 -256 -1 -0 -0 -3 -0x25dc -0x9c24552b -256 -256 -1 -0 -0 -1 -0x53f0 -0xd78bee1 -256 -256 -0 -0 -0 -1 -0xdc48 -0x40185edd -256 -256 -0 -0 -0 -3 -0x9d37 -0xedf7f65a -256 -256 -0 -0 -0 -2 -0x3ad6 -0x69f8c4dd -256 -256 -0 -0 -0 -4 -0xd503 -0x3fb33f65 -256 -256 -1 -0 -0 -4 -0xd77f -0xcb9166d6 -256 -256 -0 -0 -0 -2 -0xb45 -0x9f5c5ec1 -256 -256 -0 -0 -0 -3 -0x867e -0xab84f570 -256 -256 -0 -0 -0 -2 -0x5abc -0xfc24ae6a -256 -256 -0 -0 -0 -2 -0x347f -0x55467b83 -256 -256 -1 -0 -0 -3 -0x7eb4 -0x97a663bd -256 -256 -1 -0 -0 -1 -0xe4ed -0xda942eaf -256 -256 -0 -0 -0 -1 -0x3f2c -0xc491791c -256 -256 -0 -0 -0 -1 -0x8aa7 -0x743b81f5 -256 -256 -0 -0 -0 -4 -0x9772 -0x47b2507b -256 -256 -0 -0 -0 -3 -0x9da6 -0xc6301b7b -256 -256 -0 -0 -0 -2 -0x98a6 -0x158934fa -256 -256 -1 -0 -0 -2 -0xc970 -0xd6e0e9bb -256 -256 -1 -0 -0 -3 -0xc22c -0x2863fccb -256 -256 -1 -0 -0 -4 -0xaf1b -0x4bc52a08 -256 -256 -0 -0 -0 -3 -0xfa02 -0x903cfdf2 -256 -256 -1 -0 -0 -1 -0x4734 -0xc37b9d3d -256 -256 -0 -0 -0 -2 -0x92c7 -0x62777c0a -256 -256 -0 -0 -0 -1 -0x7b86 -0xabee320c -256 -256 -0 -0 -0 -3 -0x2e12 -0x3837b0b4 -256 -256 -0 -0 -0 -1 -0xc1a6 -0xad6d30aa -256 -256 -1 -0 -0 -4 -0xcfa6 -0x64503857 -256 -256 -0 -0 -0 -2 -0x584b -0x1b90b3ba -256 -256 -0 -0 -0 -3 -0xe8d9 -0x210cc734 -256 -256 -1 -0 -0 -2 -0xf368 -0x7d188ca4 -256 -256 -0 -0 -0 -3 -0x98cc -0x92bd1329 -256 -256 -1 -0 -0 -1 -0x3ac3 -0xa86afee3 -256 -256 -1 -0 -0 -1 -0x7afd -0xc0ad78f4 -256 -256 -0 -0 -0 -2 -0xaecc -0x572085f6 -256 -256 -1 -0 -0 -4 -0xb9b7 -0x8dc2a0de -256 -256 -0 -0 -0 -3 -0x9569 -0xc329469 -256 -256 -0 -0 -0 -2 -0xac6a -0xf050449b -256 -256 -0 -0 -0 -2 -0xb8ce -0xc733609f -256 -256 -1 -0 -0 -1 -0xa722 -0x58d86c87 -256 -256 -1 -0 -0 -4 -0x18f2 -0xd204a35b -256 -256 -1 -0 -0 -1 -0xe785 -0x82d9fb2 -256 -256 -1 -0 -0 -1 -0xbd03 -0x6b6669de -256 -256 -0 -0 -0 -3 -0xc691 -0x522a5ea1 -256 -256 -0 -0 -0 -3 -0x6b8f -0x14a69d42 -256 -256 -0 -0 -0 -2 -0xd9f7 -0xe1e8e8c6 -256 -256 -1 -0 -0 -2 -0x101 -0x3f925ddc -256 -256 -0 -0 -0 -4 -0xc7b3 -0xeef6f870 -256 -256 -1 -0 -0 -4 -0xb58e -0x1e264642 -256 -256 -0 -0 -0 -2 -0x2c98 -0x7c03c944 -256 -256 -1 -0 -0 -1 -0x9de5 -0x53762aa9 -256 -256 -1 -0 -0 -2 -0xe6dc -0xefa35b8 -256 -256 -0 -0 -0 -1 -0xcca4 -0x504531c8 -256 -256 -0 -0 -0 -4 -0x16d6 -0xc1f9ea64 -256 -256 -1 -0 -0 -1 -0x6cf5 -0xfea4967c -256 -256 -1 -0 -0 -4 -0x5577 -0xba2ad392 -256 -256 -0 -0 -0 -1 -0x46b8 -0xecc7ea40 -256 -256 -0 -0 -0 -2 -0x49f1 -0x2652438f -256 -256 -0 -0 -0 -2 -0xcac1 -0xa5776c40 -256 -256 -0 -0 -0 -4 -0x8c78 -0x14dede6b -256 -256 -1 -0 -0 -4 -0x8ffa -0x9c742076 -256 -256 -1 -0 -0 -3 -0x11bf -0x755dfee -256 -256 -1 -0 -0 -3 -0x56ba -0x2872113b -256 -256 -0 -0 -0 -3 -0x1d37 -0xedd99246 -256 -256 -0 -0 -0 -3 -0x9b71 -0x6e87b4c0 -256 -256 -1 -0 -0 -4 -0xd383 -0x31fd39d3 -256 -256 -0 -0 -0 -2 -0xfe22 -0x4bdef3ee -256 -256 -1 -0 -0 -3 -0x212a -0x2cd8f7c5 -256 -256 -0 -0 -0 -1 -0xf5ca -0x6c6dd5a2 -256 -256 -0 -0 -0 -4 -0xc950 -0xa75fb5e3 -256 -256 -0 -0 -0 -1 -0xd8ac -0xfbb30d6d -256 -256 -1 -0 -0 -1 -0xd4ff -0x83ab8ff3 -256 -256 -1 -0 -0 -3 -0x6827 -0x39c3f67b -256 -256 -0 -0 -0 -3 -0xe55c -0x95f835cd -256 -256 -0 -0 -0 -2 -0x6aef -0x20945c09 -256 -256 -0 -0 -0 -2 -0x6481 -0xf36a740 -256 -256 -1 -0 -0 -1 -0x636 -0xcb83fc09 -256 -256 -1 -0 -0 -1 -0x1f3d -0x2f10ac42 -256 -256 -1 -0 -0 -3 -0x5e61 -0xc5a3e617 -256 -256 -1 -0 -0 -1 -0x8504 -0x1f450571 -256 -256 -1 -0 -0 -1 -0x7bd1 -0x6b43ef82 -256 -256 -0 -0 -0 -1 -0x1ceb -0xe893e76f -256 -256 -0 -0 -0 -4 -0x9975 -0x2c1a93a3 -256 -256 -1 -0 -0 -4 -0x512 -0x395c49eb -256 -256 -1 -0 -0 -3 -0x521c -0xada87366 -256 -256 -0 -0 -0 -3 -0xb73 -0x33a56dd5 -256 -256 -0 -0 -0 -1 -0xf15c -0xb0d95bfe -256 -256 -0 -0 -0 -4 -0xb4e1 -0xb62337bf -256 -256 -0 -0 -0 -3 -0x114b -0xb3d89764 -256 -256 -0 -0 -0 -2 -0xf9d3 -0x790cacee -256 -256 -0 -0 -0 -3 -0x93e2 -0xd7287fd -256 -256 -0 -0 -0 -2 -0x59b9 -0xdcb2737d -256 -256 -0 -0 -0 -3 -0x686c -0xa3c040ea -256 -256 -1 -0 -0 -4 -0x5860 -0xf24dcfba -256 -256 -0 -0 -0 -1 -0xd875 -0x5336b208 -256 -256 -0 -0 -0 -4 -0xf7ad -0x746bb06 -256 -256 -1 -0 -0 -4 -0x43bc -0x6a8fd2ff -256 -256 -0 -0 -0 -4 -0x771c -0x58ed7914 -256 -256 -0 -0 -0 -4 -0x2d63 -0xbc0e44ab -256 -256 -0 -0 -0 -2 -0xe24a -0xf48a5f39 -256 -256 -1 -0 -0 -4 -0x61b6 -0x3096aa8c -256 -256 -1 -0 -0 -4 -0xb8fb -0xbb555a28 -256 -256 -0 -0 -0 -1 -0x29b7 -0xa447f5f6 -256 -256 -1 -0 -0 -3 -0x1d9d -0xad815775 -256 -256 -1 -0 -0 -1 -0x783d -0x30bd5c5f -256 -256 -0 -0 -0 -1 -0x4b20 -0x444ea56a -256 -256 -1 -0 -0 -1 -0x6f6c -0xba3af7bf -256 -256 -1 -0 -0 -4 -0x5dde -0xf246ccf2 -256 -256 -0 -0 -0 -4 -0x5e20 -0x4a7de821 -256 -256 -0 -0 -0 -1 -0xe9e7 -0x33dec08b -256 -256 -1 -0 -0 -4 -0x913d -0xa0bd7d0e -256 -256 -1 -0 -0 -4 -0xab32 -0x17f14920 -256 -256 -0 -0 -0 -2 -0xb36c -0x929f7250 -256 -256 -1 -0 -0 -3 -0xacd5 -0xe80ac8e1 -256 -256 -1 -0 -0 -3 -0xfd5a -0x370e6009 -256 -256 -0 -0 -0 -1 -0x7473 -0x2c54b30c -256 -256 -0 -0 -0 -2 -0x9cf5 -0x4627d12 -256 -256 -1 -0 -0 -1 -0x1754 -0xdf8f5f8b -256 -256 -0 -0 -0 -2 -0xdccd -0xccfa2325 -256 -256 -1 -0 -0 -3 -0xc54d -0xbbe6f844 -256 -256 -1 -0 -0 -2 -0x5647 -0x76d640ec -256 -256 -0 -0 -0 -1 -0x8905 -0x3424fa1f -256 -256 -1 -0 -0 -1 -0x33c0 -0x6ef830e4 -256 -256 -0 -0 -0 -3 -0xefcb -0xa70ca821 -256 -256 -0 -0 -0 -1 -0x423 -0xa7325343 -256 -256 -0 -0 -0 -2 -0xafc5 -0x84641a6a -256 -256 -1 -0 -0 -1 -0x3be2 -0x70292850 -256 -256 -0 -0 -0 -2 -0x527b -0x577ee7ff -256 -256 -0 -0 -0 -1 -0x7f0f -0x8a4f30ea -256 -256 -0 -0 -0 -4 -0x5758 -0x8bab131e -256 -256 -1 -0 -0 -1 -0x13de -0x2d810cb7 -256 -256 -0 -0 -0 -3 -0x8698 -0xe266557e -256 -256 -0 -0 -0 -4 -0x920b -0x12449ba3 -256 -256 -0 -0 -0 -3 -0x27c2 -0x34497bcc -256 -256 -0 -0 -0 -1 -0x7655 -0x6e2453e4 -256 -256 -0 -0 -0 -1 -0x9818 -0xc877b904 -256 -256 -0 -0 -0 -2 -0x5ec4 -0xc07c8f60 -256 -256 -1 -0 -0 -1 -0x8bb2 -0xb3fd9a21 -256 -256 -0 -0 -0 -1 -0xaf45 -0xf5c2d8bd -256 -256 -0 -0 -0 -3 -0x3d98 -0xe0b3ecca -256 -256 -1 -0 -0 -3 -0x9223 -0x995600ce -256 -256 -0 -0 -0 -3 -0x161b -0xbf35e8c9 -256 -256 -1 -0 -0 -1 -0xc101 -0x99d3bf99 -256 -256 -1 -0 -0 -3 -0x8eba -0x19f860ef -256 -256 -0 -0 -0 -4 -0xa360 -0x52abfb2e -256 -256 -1 -0 -0 -4 -0x99a -0xad794b35 -256 -256 -0 -0 -0 -1 -0xfe6 -0xb7d15017 -256 -256 -1 -0 -0 -3 -0xdf16 -0x488d29e8 -256 -256 -1 -0 -0 -2 -0x55cb -0x319480d2 -256 -256 -1 -0 -0 -2 -0xc358 -0x617eabdf -256 -256 -0 -0 -0 -1 -0xd32d -0x681d2bb4 -256 -256 -0 -0 -0 -1 -0xe996 -0x48a3e292 -256 -256 -0 -0 -0 -4 -0x2d86 -0x1181d68a -256 -256 -1 -0 -0 -4 -0xed1 -0xe853caa0 -256 -256 -1 -0 -0 -3 -0x8c29 -0x62833da6 -256 -256 -1 -0 -0 -3 -0x91f7 -0x377abf98 -256 -256 -1 -0 -0 -1 -0x43a4 -0xb950d3de -256 -256 -0 -0 -0 -3 -0xb6b7 -0x66350d08 -256 -256 -1 -0 -0 -2 -0xc8a9 -0x3eb29b4d -256 -256 -0 -0 -0 -4 -0x4fc6 -0xdb0e4127 -256 -256 -1 -0 -0 -1 -0x304a -0x3e6d5d40 -256 -256 -1 -0 -0 -3 -0xab0f -0x798d894d -256 -256 -0 -0 -0 -2 -0x29a2 -0xb4e1669c -256 -256 -0 -0 -0 -3 -0xce04 -0x935c1d2e -256 -256 -0 -0 -0 -4 -0xb161 -0x85342d27 -256 -256 -1 -0 -0 -3 -0x38ae -0xc1e6fb48 -256 -256 -1 -0 -0 -3 -0xa36e -0x945c3590 -256 -256 -0 -0 -0 -3 -0xffa0 -0x88ed51f6 -256 -256 -1 -0 -0 -1 -0xcdf1 -0xab75816e -256 -256 -0 -0 -0 -2 -0x7ef9 -0x5f6fe0c9 -256 -256 -0 -0 -0 -4 -0xe200 -0x360c9955 -256 -256 -1 -0 -0 -2 -0x6451 -0x4855e274 -256 -256 -0 -0 -0 -2 -0x338a -0xec58fefe -256 -256 -1 -0 -0 -3 -0x312f -0x45ecd447 -256 -256 -1 -0 -0 -1 -0x16a5 -0x4fc9f703 -256 -256 -0 -0 -0 -1 -0x5d7a -0xcdd7a9c -256 -256 -1 -0 -0 -2 -0xac75 -0xeab525ff -256 -256 -0 -0 -0 -1 -0x1f7d -0x63b1a9d5 -256 -256 -1 -0 -0 -4 -0x3478 -0x70d35dd0 -256 -256 -0 -0 -0 -2 -0xf674 -0xf9ba02cc -256 -256 -1 -0 -0 -3 -0xb2dc -0xfa35abb3 -256 -256 -1 -0 -0 -3 -0x369c -0xa83fda7e -256 -256 -1 -0 -0 -2 -0x7752 -0x823ecf6a -256 -256 -1 -0 -0 -2 -0xc1ed -0xb7b96fcf -256 -256 -0 -0 -0 -2 -0x3f94 -0xb5a17525 -256 -256 -0 -0 -0 -4 -0x33b0 -0x84887ca0 -256 -256 -0 -0 -0 -3 -0x6a6e -0x1895b9ad -256 -256 -0 -0 -0 -3 -0xf64 -0x868fe6f8 -256 -256 -1 -0 -0 -2 -0x8c15 -0x2efa139 -256 -256 -0 -0 -0 -1 -0x8c8b -0x689292ac -256 -256 -0 -0 -0 -2 -0x3bc2 -0xdda1420c -256 -256 -0 -0 -0 -1 -0x4f13 -0x50f5c4f7 -256 -256 -0 -0 -0 -3 -0x6dfb -0xf4667738 -256 -256 -0 -0 -0 -3 -0x1a31 -0x32fc1a88 -256 -256 -0 -0 -0 -1 -0x88f9 -0x1bb2c907 -256 -256 -0 -0 -0 -3 -0x69f1 -0xc568b57d -256 -256 -1 -0 -0 -3 -0x71fc -0x9877d1fa -256 -256 -0 -0 -0 -4 -0x474d -0x3191d7af -256 -256 -1 -0 -0 -4 -0xa05f -0x521fcaac -256 -256 -0 -0 -0 -1 -0xbe68 -0x18035355 -256 -256 -1 -0 -0 -3 -0x8d88 -0xc8247ce1 -256 -256 -1 -0 -0 -1 -0xd95f -0xb55f9d66 -256 -256 -0 -0 -0 -1 -0xa631 -0xa8070b09 -256 -256 -1 -0 -0 -3 -0x6445 -0x3055b6df -256 -256 -1 -0 -0 -4 -0x53b1 -0x86031edf -256 -256 -0 -0 -0 -3 -0x80b6 -0xfa105bbd -256 -256 -1 -0 -0 -4 -0xe9a2 -0x1d76d22b -256 -256 -1 -0 -0 -2 -0x9452 -0x1b4e805c -256 -256 -0 -0 -0 -3 -0x5903 -0xcdee1a87 -256 -256 -1 -0 -0 -4 -0x9a09 -0x395b0cc3 -256 -256 -0 -0 -0 -3 -0x34e1 -0x434ab8b7 -256 -256 -1 -0 -0 -1 -0xb6e7 -0x9e120e4a -256 -256 -0 -0 -0 -4 -0xef09 -0xe9297ac5 -256 -256 -0 -0 -0 -3 -0xdcec -0x38d129de -256 -256 -1 -0 -0 -1 -0xeb7f -0xe6baf7f4 -256 -256 -1 -0 -0 -1 -0xe8d2 -0x2ec1bd0 -256 -256 -0 -0 -0 -1 -0xc5e3 -0xe9752ea4 -256 -256 -0 -0 -0 -2 -0xe414 -0xf8ad0ddb -256 -256 -1 -0 -0 -3 -0x1881 -0x877b46fc -256 -256 -0 -0 -0 -2 -0x2526 -0xe68d00b -256 -256 -1 -0 -0 -3 -0x8026 -0xa8571364 -256 -256 -1 -0 -0 -4 -0x8ec -0x18c75dbe -256 -256 -0 -0 -0 -4 -0x140 -0x6daabc25 -256 -256 -1 -0 -0 -4 -0xd357 -0xf5579a8c -256 -256 -1 -0 -0 -3 -0xb363 -0x13724532 -256 -256 -0 -0 -0 -3 -0x432b -0xb95e95f9 -256 -256 -0 -0 -0 -1 -0xa79f -0x4dae8086 -256 -256 -0 -0 -0 -2 -0x6ff7 -0xcfab6aa7 -256 -256 -1 -0 -0 -1 -0x5b39 -0xa50b6321 -256 -256 -0 -0 -0 -1 -0x9285 -0x9f08ec4e -256 -256 -1 -0 -0 -2 -0x6ba0 -0x711e6296 -256 -256 -0 -0 -0 -1 -0xe1d5 -0xe41d0009 -256 -256 -0 -0 -0 -4 -0x4734 -0x80f28af0 -256 -256 -0 -0 -0 -4 -0x2f48 -0xb45ae5ee -256 -256 -1 -0 -0 -3 -0x6281 -0x8b9b2c8b -256 -256 -0 -0 -0 -4 -0x171f -0x5e8f0707 -256 -256 -1 -0 -0 -2 -0x5006 -0xce6cf12b -256 -256 -1 -0 -0 -4 -0xedfd -0xd7012d33 -256 -256 -1 -0 -0 -1 -0x831e -0xf6cf51eb -256 -256 -0 -0 -0 -4 -0x7d70 -0xdf89317a -256 -256 -1 -0 -0 -1 -0x3fda -0xc1757326 -256 -256 -0 -0 -0 -3 -0x944c -0xc978193b -256 -256 -0 -0 -0 -3 -0xed1 -0x713bf63f -256 -256 -0 -0 -0 -2 -0x75c7 -0x59bc2e24 -256 -256 -0 -0 -0 -2 -0xa431 -0x8a326d0c -256 -256 -1 -0 -0 -3 -0x8c9b -0xb33391af -256 -256 -1 -0 -0 -4 -0x1911 -0x5382d97 -256 -256 -0 -0 -0 -3 -0x398e -0x4193a632 -256 -256 -1 -0 -0 -2 -0x18f8 -0xd4a646b9 -256 -256 -1 -0 -0 -3 -0xa638 -0x68adbfde -256 -256 -1 -0 -0 -1 -0x9b7f -0x887d4979 -256 -256 -0 -0 -0 -4 -0xf6d5 -0x13b77812 -256 -256 -1 -0 -0 -3 -0xe8dc -0x9103b500 -256 -256 -0 -0 -0 -1 -0xbb9e -0x55e86c5c -256 -256 -0 -0 -0 -3 -0x6cf3 -0xb15250ef -256 -256 -1 -0 -0 -1 -0xe7d7 -0x79ffe0c9 -256 -256 -1 -0 -0 -4 -0xd744 -0xd2913c66 -256 -256 -1 -0 -0 -4 -0x4275 -0x53f12f64 -256 -256 -1 -0 -0 -2 -0x2b60 -0xad677912 -256 -256 -0 -0 -0 -4 -0xc58d -0x6f50a712 -256 -256 -0 -0 -0 -2 -0x5307 -0xac8b8e56 -256 -256 -0 -0 -0 -2 -0xd71b -0xa2b6b2c1 -256 -256 -0 -0 -0 -3 -0x508a -0x8db9ca84 -256 -256 -1 -0 -0 -4 -0x64cd -0xfd5db50f -256 -256 -1 -0 -0 -4 -0x339d -0x3b6286f4 -256 -256 -1 -0 -0 -2 -0xfd6d -0xbf1b7865 -256 -256 -0 -0 -0 -1 -0xa395 -0x74eeeaf -256 -256 -0 -0 -0 -2 -0xddfb -0xab6e9fde -256 -256 -1 -0 -0 -3 -0xc83b -0x6922a0d0 -256 -256 -1 -0 -0 -2 -0xb472 -0xe441569d -256 -256 -0 -0 -0 -2 -0x3d80 -0xe24f55bd -256 -256 -0 -0 -0 -1 -0x3fe5 -0xa503f0d6 -256 -256 -1 -0 -0 -4 -0x1389 -0x9a88d236 -256 -256 -0 -0 -0 -1 -0x592f -0xd4aa1e69 -256 -256 -0 -0 -0 -1 -0x796b -0x36a5ab64 -256 -256 -1 -0 -0 -1 -0xb89a -0x67406152 -256 -256 -0 -0 -0 -3 -0x76e8 -0xa55f96d5 -256 -256 -0 -0 -0 -4 -0x5f89 -0x1a00ecd9 -256 -256 -1 -0 -0 -2 -0x5df8 -0x5528a290 -256 -256 -1 -0 -0 -1 -0xa70d -0xa0e2c609 -256 -256 -0 -0 -0 -2 -0x2b73 -0x2338b2f2 -256 -256 -0 -0 -0 -3 -0x4593 -0xaecd5c63 -256 -256 -1 -0 -0 -3 -0xf4fc -0xb1d38025 -256 -256 -1 -0 -0 -1 -0x48e5 -0x23df2bc2 -256 -256 -1 -0 -0 -3 -0x226f -0x6407a170 -256 -256 -0 -0 -0 -1 -0xb229 -0xd4cc7219 -256 -256 -0 -0 -0 -2 -0xe5d2 -0x943ab4b2 -256 -256 -0 -0 -0 -3 -0x7bd -0x662e4351 -256 -256 -1 -0 -0 -3 -0x2ad -0xa929ce49 -256 -256 -1 -0 -0 -1 -0x22f6 -0xeea6a889 -256 -256 -1 -0 -0 -4 -0x9ae7 -0x44764efe -256 -256 -1 -0 -0 -3 -0x4dca -0x49e93b28 -256 -256 -1 -0 -0 -2 -0xa545 -0x42afcffb -256 -256 -1 -0 -0 -2 -0x58c7 -0xdd76d629 -256 -256 -1 -0 -0 -2 -0x7d0b -0xb26c9728 -256 -256 -0 -0 -0 -4 -0x121 -0xe0b24f74 -256 -256 -1 -0 -0 -1 -0x9631 -0x24021898 -256 -256 -0 -0 -0 -1 -0xe13a -0x95bc58f2 -256 -256 -0 -0 -0 -2 -0xd1fd -0x24e9fcf3 -256 -256 -0 -0 -0 -1 -0xe00f -0xeb99c07e -256 -256 -1 -0 -0 -1 -0xe93e -0xcc7494b4 -256 -256 -1 -0 -0 -2 -0xbf41 -0xbf95ffcb -256 -256 -1 -0 -0 -2 -0x21b2 -0x9f5bf5bc -256 -256 -1 -0 -0 -1 -0x4d8e -0x34da50b1 -256 -256 -1 -0 -0 -1 -0xbbc8 -0x761bcc3a -256 -256 -0 -0 -0 -1 -0x32db -0x17b922e5 -256 -256 -0 -0 -0 -3 -0xec3f -0xbc6e0c04 -256 -256 -1 -0 -0 -1 -0xc5c0 -0xdfceacc4 -256 -256 -0 -0 -0 -4 -0x64c6 -0x5c49c7dc -256 -256 -0 -0 -0 -4 -0x2ce5 -0x6c0bd212 -256 -256 -1 -0 -0 -3 -0xa148 -0x5e85e8bf -256 -256 -0 -0 -0 -3 -0x1ad7 -0x30bcbbc0 -256 -256 -0 -0 -0 -3 -0x4268 -0x28a3c6fe -256 -256 -1 -0 -0 -2 -0xa32f -0x328a6b7b -256 -256 -0 -0 -0 -3 -0x25e0 -0x696c97a0 -256 -256 -1 -0 -0 -1 -0xb1c -0xc4bda566 -256 -256 -1 -0 -0 -1 -0xc7a0 -0x6d9ca949 -256 -256 -1 -0 -0 -3 -0x4d3d -0x325d692 -256 -256 -0 -0 -0 -1 -0x2be8 -0x4407e4ed -256 -256 -1 -0 -0 -1 -0x392a -0x90755c4b -256 -256 -0 -0 -0 -2 -0xecbe -0x380c8a48 -256 -256 -0 -0 -0 -2 -0x8cf9 -0xf3fab1e8 -256 -256 -1 -0 -0 -1 -0xc259 -0x7d7f0ed6 -256 -256 -1 -0 -0 -3 -0xcded -0x98886de2 -256 -256 -1 -0 -0 -4 -0x81ab -0xb17b706c -256 -256 -1 -0 -0 -4 -0xb14c -0xa85463ac -256 -256 -1 -0 -0 -1 -0xc79f -0xc0c0e860 -256 -256 -1 -0 -0 -4 -0xc2d5 -0x842934db -256 -256 -1 -0 -0 -3 -0xa996 -0x515f40b2 -256 -256 -1 -0 -0 -3 -0x7c2 -0xb7a4e71b -256 -256 -0 -0 -0 -3 -0x2709 -0x4f1c6f89 -256 -256 -0 -0 -0 -3 -0xc531 -0x2ee3d6ff -256 -256 -1 -0 -0 -4 -0xb886 -0x5068c8b1 -256 -256 -0 -0 -0 -1 -0xcd41 -0xacfaa388 -256 -256 -0 -0 -0 -3 -0xb5c7 -0x777a8c66 -256 -256 -1 -0 -0 -4 -0x5721 -0x2c3dc61 -256 -256 -1 -0 -0 -3 -0x7f20 -0x9c87c093 -256 -256 -0 -0 -0 -4 -0x30fd -0x47240c6c -256 -256 -1 -0 -0 -4 -0x8896 -0xa2e3501c -256 -256 -1 -0 -0 -1 -0xf6f7 -0xb1902066 -256 -256 -1 -0 -0 -3 -0x2d8a -0xce29fc43 -256 -256 -1 -0 -0 -4 -0x2393 -0x136dabb0 -256 -256 -0 -0 -0 -1 -0x605a -0x6d5acc1f -256 -256 -0 -0 -0 -4 -0x6b0f -0x58b6685e -256 -256 -0 -0 -0 -2 -0xdd52 -0x21034e7a -256 -256 -1 -0 -0 -3 -0xbc8b -0xb9818ef2 -256 -256 -0 -0 -0 -3 -0xc7d -0x21c5c8bd -256 -256 -1 -0 -0 -4 -0x21ab -0xac0922b3 -256 -256 -1 -0 -0 -4 -0x79c5 -0xd6c2dad9 -256 -256 -0 -0 -0 -3 -0x2cd6 -0xc84ff140 -256 -256 -0 -0 -0 -4 -0xb26c -0x265f62a2 -256 -256 -1 -0 -0 -1 -0x3401 -0x1f23d665 -256 -256 -0 -0 -0 -4 -0x818d -0x4292f225 -256 -256 -1 -0 -0 -4 -0xccc3 -0xd3916fdb -256 -256 -1 -0 -0 -1 -0x7412 -0xf047114a -256 -256 -1 -0 -0 -4 -0x1f63 -0xf2c8feb7 -256 -256 -0 -0 -0 -3 -0x3ceb -0x89c4c194 -256 -256 -0 -0 -0 -2 -0x116f -0x52bf3899 -256 -256 -0 -0 -0 -3 -0x6e40 -0x7010f287 -256 -256 -1 -0 -0 -1 -0x1567 -0x47441f05 -256 -256 -0 -0 -0 -1 -0x6099 -0xbc885c58 -256 -256 -0 -0 -0 -4 -0x57d9 -0xc2aab5b6 -256 -256 -1 -0 -0 -3 -0xd63c -0x1f686222 -256 -256 -1 -0 -0 -3 -0xc25c -0x34343a37 -256 -256 -1 -0 -0 -4 -0x6e31 -0x5a8eba94 -256 -256 -0 -0 -0 -2 -0x7473 -0xd400e29c -256 -256 -1 -0 -0 -3 -0x91a9 -0x22fe44aa -256 -256 -0 -0 -0 -2 -0x1bda -0x9de3e2f9 -256 -256 -0 -0 -0 -1 -0x4c82 -0xdd860888 -256 -256 -0 -0 -0 -2 -0x6c20 -0x69dba9f4 -256 -256 -0 -0 -0 -4 -0x29a5 -0x4d0f690e -256 -256 -1 -0 -0 -4 -0xd83d -0x9fc432e0 -256 -256 -0 -0 -0 -3 -0x5bbb -0x163bec10 -256 -256 -1 -0 -0 -3 -0x5e30 -0x213f51bf -256 -256 -1 -0 -0 -2 -0x40c8 -0xc794afc9 -256 -256 -0 -0 -0 -3 -0x30a9 -0x44c1eb13 -256 -256 -1 -0 -0 -4 -0x7c3c -0x399fc93a -256 -256 -1 -0 -0 -2 -0x1c02 -0xd675b57e -256 -256 -1 -0 -0 -4 -0x6220 -0x97a396d7 -256 -256 -1 -0 -0 -2 -0xfb73 -0x5c1210a8 -256 -256 -0 -0 -0 -3 -0x7224 -0xf5a8076a -256 -256 -0 -0 -0 -4 -0xe78a -0x7cf5dcec -256 -256 -0 -0 -0 -2 -0xa436 -0x91e09af -256 -256 -0 -0 -0 -3 -0x799d -0x95adc9fd -256 -256 -0 -0 -0 -4 -0xd989 -0x5d4afbdb -256 -256 -0 -0 -0 -3 -0x2dca -0x2f81d7da -256 -256 -0 -0 -0 -1 -0xf7b2 -0x58d28a7 -256 -256 -0 -0 -0 -3 -0xc54b -0x82aa0427 -256 -256 -1 -0 -0 -1 -0xa039 -0x5e9bce99 -256 -256 -1 -0 -0 -3 -0x53a9 -0xbe3a4abc -256 -256 -1 -0 -0 -2 -0x63b -0x468a6325 -256 -256 -0 -0 -0 -2 -0x2998 -0xf92ee024 -256 -256 -0 -0 -0 -3 -0x6c7a -0x2dec1aa2 -256 -256 -0 -0 -0 -3 -0x5696 -0x11cb461f -256 -256 -0 -0 -0 -4 -0x5618 -0xee0c0b31 -256 -256 -0 -0 -0 -4 -0x5e81 -0x3f3b0843 -256 -256 -0 -0 -0 -1 -0xb875 -0x4c27468 -256 -256 -1 -0 -0 -4 -0x6359 -0xe1833e43 -256 -256 -1 -0 -0 -4 -0x976a -0xe43159f -256 -256 -0 -0 -0 -3 -0x56f6 -0x783e9960 -256 -256 -1 -0 -0 -4 -0x5836 -0xdd9e1341 -256 -256 -0 -0 -0 -1 -0x2c71 -0x31857ffe -256 -256 -0 -0 -0 -2 -0x3338 -0x6606d639 -256 -256 -1 -0 -0 -1 -0xcc16 -0xfc62874a -256 -256 -1 -0 -0 -2 -0x6b1c -0xb81562ff -256 -256 -0 -0 -0 -3 -0x1c07 -0x8a243e3c -256 -256 -0 -0 -0 -4 -0x14da -0xbfbdf690 -256 -256 -1 -0 -0 -3 -0x1632 -0xc8535950 -256 -256 -0 -0 -0 -3 -0xe5b9 -0x26e0a47e -256 -256 -1 -0 -0 -3 -0xc138 -0x321d579a -256 -256 -0 -0 -0 -2 -0x97f1 -0x48c5dccd -256 -256 -0 -0 -0 -3 -0x60f4 -0x86caf9a -256 -256 -0 -0 -0 -3 -0x577f -0x1388e8bf -256 -256 -0 -0 -0 -1 -0xd181 -0xd7ca193a -256 -256 -1 -0 -0 -2 -0xca3 -0xb3963ffa -256 -256 -0 -0 -0 -2 -0x48d3 -0x67f5a38f -256 -256 -1 -0 -0 -1 -0xb85c -0x64490f25 -256 -256 -1 -0 -0 -4 -0x558c -0xe1f40f2c -256 -256 -1 -0 -0 -1 -0x69d9 -0x926a22de -256 -256 -1 -0 -0 -3 -0x2bf6 -0xdd26b6a8 -256 -256 -1 -0 -0 -3 -0xe7b9 -0xe36a27c6 -256 -256 -0 -0 -0 -2 -0xda46 -0xc470ad7 -256 -256 -1 -0 -0 -4 -0x825b -0x44f28c1f -256 -256 -1 -0 -0 -2 -0xe2e3 -0xfc397def -256 -256 -0 -0 -0 -3 -0xbee8 -0xc06bed1 -256 -256 -1 -0 -0 -4 -0x79d4 -0x55f288c4 -256 -256 -1 -0 -0 -1 -0x7050 -0xf396af9b -256 -256 -1 -0 -0 -1 -0x66a9 -0xa51f64fc -256 -256 -1 -0 -0 -1 -0xa2e0 -0x5f524f6e -256 -256 -0 -0 -0 -2 -0x5420 -0x59acaddd -256 -256 -1 -0 -0 -2 -0x7806 -0xb5a43ea9 -256 -256 -0 -0 -0 -2 -0xa5d6 -0x687e0aee -256 -256 -0 -0 -0 -1 -0x35a9 -0x5d341a9e -256 -256 -0 -0 -0 -4 -0x98d5 -0x8e571557 -256 -256 -0 -0 -0 -3 -0x12de -0x71a2dd70 -256 -256 -0 -0 -0 -1 -0xcc85 -0xfc6587e1 -256 -256 -1 -0 -0 -2 -0x5ee7 -0x500de0d4 -256 -256 -1 -0 -0 -1 -0xef56 -0x7a2eb7d2 -256 -256 -0 -0 -0 -1 -0x3521 -0xcf9a2a1f -256 -256 -0 -0 -0 -1 -0x17af -0x529e9b29 -256 -256 -1 -0 -0 -4 -0xe595 -0x56875d35 -256 -256 -1 -0 -0 -1 -0xc1ef -0x60395145 -256 -256 -1 -0 -0 -2 -0x2f4b -0x9c3234a6 -256 -256 -0 -0 -0 -2 -0xea70 -0x13291312 -256 -256 -0 -0 -0 -1 -0x7eb7 -0x7f8d3fde -256 -256 -1 -0 -0 -2 -0xf6be -0x9f962ebb -256 -256 -1 -0 -0 -4 -0xc398 -0xabdde737 -256 -256 -0 -0 -0 -4 -0x6487 -0xdf22e41a -256 -256 -1 -0 -0 -4 -0xe33e -0x183e6e6e -256 -256 -1 -0 -0 -2 -0xd715 -0xba219a64 -256 -256 -1 -0 -0 -4 -0x6495 -0xa0af2e49 -256 -256 -1 -0 -0 -1 -0x80cb -0x9bfe8f86 -256 -256 -1 -0 -0 -1 -0x396e -0xf56febae -256 -256 -0 -0 -0 -3 -0xb33 -0x847a36f2 -256 -256 -1 -0 -0 -3 -0xea90 -0xd9ed6df4 -256 -256 -1 -0 -0 -3 -0xed6b -0xe2b67992 -256 -256 -0 -0 -0 -3 -0xf301 -0xb28577fd -256 -256 -1 -0 -0 -2 -0x3fb3 -0x770233b0 -256 -256 -0 -0 -0 -4 -0xa989 -0x69816e04 -256 -256 -0 -0 -0 -2 -0xcae6 -0x83e993c5 -256 -256 -1 -0 -0 -2 -0x8ee1 -0x62b7203a -256 -256 -0 -0 -0 -3 -0xdadc -0xfef56b26 -256 -256 -1 -0 -0 -1 -0xab41 -0x638af748 -256 -256 -0 -0 -0 -3 -0x336e -0xdafd9fc5 -256 -256 -0 -0 -0 -1 -0x9ad6 -0x364381e -256 -256 -0 -0 -0 -3 -0x1dd6 -0x8135619e -256 -256 -1 -0 -0 -2 -0x6bcb -0xc82dc73e -256 -256 -1 -0 -0 -4 -0x1b98 -0x5cbdda8e -256 -256 -1 -0 -0 -4 -0x975 -0x621c9982 -256 -256 -0 -0 -0 -1 -0x3898 -0xbd31367f -256 -256 -0 -0 -0 -3 -0xfc20 -0x3e765a16 -256 -256 -1 -0 -0 -1 -0x2721 -0x3149cfc6 -256 -256 -1 -0 -0 -1 -0x6568 -0xdb34b14b -256 -256 -1 -0 -0 -2 -0xe45f -0x90a572bb -256 -256 -0 -0 -0 -2 -0xcbfc -0x9d5d737 -256 -256 -1 -0 -0 -3 -0x1b52 -0xc361c286 -256 -256 -1 -0 -0 -1 -0xb186 -0x3341afc -256 -256 -0 -0 -0 -1 -0x84d1 -0x94b1c956 -256 -256 -1 -0 -0 -1 -0x546 -0xcf29e248 -256 -256 -1 -0 -0 -3 -0x8de7 -0x6eadcb95 -256 -256 -0 -0 -0 -2 -0x3591 -0x9b4cf8ba -256 -256 -0 -0 -0 -2 -0x7483 -0xcade2ea3 -256 -256 -0 -0 -0 -2 -0x1dcd -0xfb42e594 -256 -256 -1 -0 -0 -4 -0x30e0 -0xd15dc74f -256 -256 -0 -0 -0 -3 -0xd2ac -0xb4b11553 -256 -256 -1 -0 -0 -3 -0xa049 -0x30d9f60c -256 -256 -1 -0 -0 -1 -0x23b6 -0x995db791 -256 -256 -0 -0 -0 -3 -0xd398 -0xc41671ed -256 -256 -1 -0 -0 -1 -0xcc3d -0xfd0e65b8 -256 -256 -0 -0 -0 -4 -0x9dbd -0x403c5d27 -256 -256 -0 -0 -0 -1 -0x9025 -0xa69229fc -256 -256 -0 -0 -0 -2 -0x9a29 -0x62528006 -256 -256 -0 -0 -0 -1 -0x81cc -0x36da6ce8 -256 -256 -1 -0 -0 -3 -0x7518 -0x375bdc8e -256 -256 -1 -0 -0 -3 -0x5d6c -0x61d5d875 -256 -256 -0 -0 -0 -3 -0xec0 -0xcbaed077 -256 -256 -1 -0 -0 -4 -0xdc -0xa36deec9 -256 -256 -0 -0 -0 -2 -0x87cb -0x409d836b -256 -256 -1 -0 -0 -1 -0x684a -0xaeeabe6d -256 -256 -1 -0 -0 -3 -0x8c5b -0xb1f02ce8 -256 -256 -1 -0 -0 -1 -0x263f -0x4d406765 -256 -256 -0 -0 -0 -2 -0x55fd -0x3183f40d -256 -256 -0 -0 -0 -2 -0xe18c -0xf6a31edb -256 -256 -1 -0 -0 -3 -0xddc5 -0x294549e6 -256 -256 -0 -0 -0 -2 -0x7a12 -0xd9bffb04 -256 -256 -0 -0 -0 -4 -0x9e9a -0xaab4e764 -256 -256 -0 -0 -0 -3 -0x1320 -0x22dcb838 -256 -256 -1 -0 -0 -3 -0x42f1 -0xda9d01b6 -256 -256 -1 -0 -0 -4 -0xb93f -0x539b3362 -256 -256 -0 -0 -0 -2 -0xa29c -0x254895eb -256 -256 -1 -0 -0 -1 -0x9b97 -0xc1e0e635 -256 -256 -0 -0 -0 -2 -0x1baf -0x9b4c9bdf -256 -256 -1 -0 -0 -1 -0x7c42 -0x19ef5a1 -256 -256 -1 -0 -0 -2 -0xb73f -0xc9c67e4a -256 -256 -1 -0 -0 -2 -0x4b38 -0x99c8d8b6 -256 -256 -0 -0 -0 -2 -0x8e48 -0x41743015 -256 -256 -0 -0 -0 -2 -0x2bea -0xb05ef70a -256 -256 -1 -0 -0 -3 -0xc9c9 -0x89d01f15 -256 -256 -0 -0 -0 -2 -0x1e9 -0xad434719 -256 -256 -0 -0 -0 -2 -0x3524 -0x1030b8b6 -256 -256 -1 -0 -0 -4 -0xb206 -0x9df3a316 -256 -256 -0 -0 -0 -3 -0x6935 -0xc26bf34 -256 -256 -0 -0 -0 -1 -0x3bef -0xcfeffece -256 -256 -0 -0 -0 -2 -0x6477 -0xda0d5aa9 -256 -256 -1 -0 -0 -2 -0x1149 -0x6403b9f0 -256 -256 -1 -0 -0 -1 -0xbf7e -0x25668998 -256 -256 -1 -0 -0 -4 -0xaa27 -0x6d71dbe8 -256 -256 -1 -0 -0 -1 -0x89a9 -0x381dd253 -256 -256 -1 -0 -0 -1 -0xef9a -0x3715089e -256 -256 -1 -0 -0 -4 -0x10e9 -0x83561d01 -256 -256 -0 -0 -0 -2 -0xa374 -0x3ccfad14 -256 -256 -1 -0 -0 -2 -0xb394 -0x5aef9db7 -256 -256 -0 -0 -0 -4 -0xdcca -0xe3aec09e -256 -256 -0 -0 -0 -4 -0x92ce -0x43bedfef -256 -256 -0 -0 -0 -3 -0x5ef4 -0x6a12d47e -256 -256 -1 -0 -0 -4 -0x160 -0xe2dcbef8 -256 -256 -1 -0 -0 -4 -0x25 -0x7064285c -256 -256 -0 -0 -0 -4 -0xcc83 -0x7544a61 -256 -256 -0 -0 -0 -1 -0xb3d9 -0xdf25664f -256 -256 -0 -0 -0 -3 -0x2c81 -0x541846d4 -256 -256 -1 -0 -0 -3 -0x5940 -0x84e772b9 -256 -256 -1 -0 -0 -2 -0x62ad -0xd89c9a74 -256 -256 -0 -0 -0 -4 -0xbdd9 -0xdf601fe4 -256 -256 -0 -0 -0 -3 -0xfa8 -0x6c27d31e -256 -256 -0 -0 -0 -1 -0xe78a -0x2b41fdb5 -256 -256 -0 -0 -0 -3 -0x5d4e -0x8eb26b53 -256 -256 -1 -0 -0 -1 -0xc6d0 -0x38f5506e -256 -256 -0 -0 -0 -1 -0xf281 -0x759bff3 -256 -256 -1 -0 -0 -1 -0x60d1 -0xab3fc2e7 -256 -256 -0 -0 -0 -2 -0xd6b -0xb70647a7 -256 -256 -1 -0 -0 -1 -0x9e4d -0x784a668d -256 -256 -0 -0 -0 -2 -0xa355 -0xfe1c6bd0 -256 -256 -0 -0 -0 -2 -0x770f -0xa9ca95ee -256 -256 -0 -0 -0 -4 -0xa095 -0xb0fd04d5 -256 -256 -1 -0 -0 -1 -0x5ef4 -0x229b6eaf -256 -256 -1 -0 -0 -3 -0xe337 -0x6a2bbd95 -256 -256 -0 -0 -0 -1 -0x9a6e -0x3a070fb5 -256 -256 -0 -0 -0 -1 -0xa7b9 -0x3b28ca26 -256 -256 -0 -0 -0 -4 -0xc936 -0x705302f2 -256 -256 -1 -0 -0 -3 -0x15aa -0x66214031 -256 -256 -0 -0 -0 -2 -0x75b6 -0x177d2887 -256 -256 -0 -0 -0 -4 -0xe057 -0x8f43e91e -256 -256 -0 -0 -0 -4 -0x472d -0xf2d1a4c6 -256 -256 -1 -0 -0 -4 -0x82ed -0xe588d54f -256 -256 -0 -0 -0 -1 -0xe953 -0xda37a87 -256 -256 -0 -0 -0 -1 -0x8131 -0x1d00d806 -256 -256 -0 -0 -0 -1 -0x3d14 -0xade5eef -256 -256 -0 -0 -0 -1 -0x6160 -0xf073fa90 -256 -256 -1 -0 -0 -1 -0x6516 -0x9f80e9e8 -256 -256 -1 -0 -0 -4 -0x8ad6 -0x39ada0ef -256 -256 -1 -0 -0 -3 -0x6477 -0x6e57e04a -256 -256 -0 -0 -0 -1 -0x6cd3 -0xf3513318 -256 -256 -1 -0 -0 -1 -0xb094 -0xb588e5c5 -256 -256 -0 -0 -0 -2 -0xda08 -0xedcf0932 -256 -256 -1 -0 -0 -4 -0x37ce -0x645a07a2 -256 -256 -0 -0 -0 -3 -0x3cd9 -0xa3c9cb3a -256 -256 -0 -0 -0 -3 -0xc0c4 -0xefead301 -256 -256 -0 -0 -0 -2 -0x8653 -0x40a77324 -256 -256 -0 -0 -0 -1 -0x7352 -0xfc430b5a -256 -256 -1 -0 -0 -4 -0xf763 -0xf0c88739 -256 -256 -0 -0 -0 -2 -0xa7ae -0x57e475b4 -256 -256 -1 -0 -0 -3 -0x3e1d -0x739894d -256 -256 -1 -0 -0 -3 -0x54ee -0x663b9bf5 -256 -256 -0 -0 -0 -2 -0xd2b3 -0xe779f550 -256 -256 -0 -0 -0 -1 -0x6229 -0x3c60102d -256 -256 -0 -0 -0 -2 -0xea41 -0x298064d0 -256 -256 -1 -0 -0 -4 -0x1b3f -0x68e002e1 -256 -256 -0 -0 -0 -2 -0xc0d8 -0x33f351ac -256 -256 -1 -0 -0 -1 -0x20d5 -0xd0498e7 -256 -256 -0 -0 -0 -3 -0x6dc -0x4d9ef991 -256 -256 -1 -0 -0 -3 -0xf042 -0x60229348 -256 -256 -0 -0 -0 -4 -0x5cdb -0xcc7436d5 -256 -256 -1 -0 -0 -3 -0x1c9 -0x240b2fe5 -256 -256 -1 -0 -0 -2 -0x851c -0x6249d866 -256 -256 -0 -0 -0 -4 -0x29b0 -0xe2e70830 -256 -256 -0 -0 -0 -1 -0x1ee5 -0xa835fa39 -256 -256 -0 -0 -0 -1 -0xd719 -0x27e2ee1b -256 -256 -1 -0 -0 -1 -0x5f07 -0xe6773139 -256 -256 -0 -0 -0 -1 -0x6a62 -0x84adf45c -256 -256 -1 -0 -0 -2 -0x617d -0x10328a9c -256 -256 -1 -0 -0 -1 -0xe648 -0x6a1bc847 -256 -256 -0 -0 -0 -4 -0x54c3 -0x7438c456 -256 -256 -1 -0 -0 -2 -0xc72 -0x3c9e79fa -256 -256 -0 -0 -0 -2 -0x4608 -0xd3181c6f -256 -256 -1 -0 -0 -2 -0xf5d9 -0xbe61a373 -256 -256 -1 -0 -0 -4 -0xcc2e -0x20474773 -256 -256 -0 -0 -0 -4 -0xdd9e -0x5f7208d0 -256 -256 -1 -0 -0 -3 -0x65 -0x3631d459 -256 -256 -1 -0 -0 -1 -0x218b -0xa7a5a323 -256 -256 -0 -0 -0 -3 -0xa6c0 -0x7dae2d69 -256 -256 -0 -0 -0 -3 -0x9758 -0xe7ee51cd -256 -256 -1 -0 -0 -2 -0x1208 -0x80096159 -256 -256 -1 -0 -0 -3 -0x7d0a -0x355e4f08 -256 -256 -1 -0 -0 -1 -0x2ead -0x4ba22613 -256 -256 -1 -0 -0 -4 -0x18b3 -0x3497dbde -256 -256 -0 -0 -0 -4 -0x8530 -0x49a7c75d -256 -256 -0 -0 -0 -1 -0x4538 -0x9d7a6ac5 -256 -256 -1 -0 -0 -4 -0xcd3d -0xb56cd54e -256 -256 -0 -0 -0 -1 -0x4fd3 -0xcd5eeeae -256 -256 -1 -0 -0 -1 -0xc387 -0xdc7bf7fd -256 -256 -1 -0 -0 -3 -0xd120 -0xb3e6f4fb -256 -256 -0 -0 -0 -3 -0x8ec0 -0xa2ef1b7d -256 -256 -0 -0 -0 -3 -0x6cc3 -0x3b017ea2 -256 -256 -0 -0 -0 -4 -0x95a2 -0xcdcfa51d -256 -256 -0 -0 -0 -1 -0x9870 -0x8c563717 -256 -256 -0 -0 -0 -3 -0x2624 -0x3bcfacc9 -256 -256 -0 -0 -0 -2 -0x9b3a -0xedd70429 -256 -256 -0 -0 -0 -3 -0x5f9a -0xaedbd2f8 -256 -256 -0 -0 -0 -1 -0xfd5b -0xde541797 -256 -256 -1 -0 -0 -1 -0xc997 -0xc4dd7090 -256 -256 -1 -0 -0 -1 -0x55dd -0x5bc6948c -256 -256 -1 -0 -0 -4 -0x7fbd -0xa7dcb336 -256 -256 -1 -0 -0 -2 -0xd252 -0x7a06a373 -256 -256 -0 -0 -0 -4 -0xd14 -0xd99a8482 -256 -256 -0 -0 -0 -3 -0x890b -0x449243b2 -256 -256 -1 -0 -0 -3 -0x8b72 -0x3da8c7b -256 -256 -0 -0 -0 -4 -0x4dbe -0xcda68fb -256 -256 -0 -0 -0 -1 -0x23f9 -0xd9b877e3 -256 -256 -1 -0 -0 -4 -0xc855 -0x91806e30 -256 -256 -0 -0 -0 -3 -0x5198 -0x490fb096 -256 -256 -0 -0 -0 -1 -0x6a0 -0x6b092cba -256 -256 -0 -0 -0 -4 -0x7647 -0xf69eb645 -256 -256 -0 -0 -0 -3 -0x27bd -0x92565537 -256 -256 -0 -0 -0 -4 -0x3de0 -0xa53101d5 -256 -256 -0 -0 -0 -3 -0x9c1e -0xf5fe26ae -256 -256 -0 -0 -0 -4 -0xf0ef -0xa8966fc1 -256 -256 -0 -0 -0 -1 -0xcc45 -0xcc6c173c -256 -256 -1 -0 -0 -3 -0xe89 -0x9d9ad0aa -256 -256 -1 -0 -0 -3 -0xff6a -0xeacac33 -256 -256 -1 -0 -0 -1 -0xab52 -0x291aa454 -256 -256 -1 -0 -0 -4 -0xdee4 -0xeb78e52c -256 -256 -0 -0 -0 -4 -0xedd1 -0xd4cbbbab -256 -256 -1 -0 -0 -3 -0x1faa -0x25292488 -256 -256 -0 -0 -0 -3 -0xa0ce -0xafabade -256 -256 -1 -0 -0 -2 -0x24d5 -0xda01433a -256 -256 -1 -0 -0 -2 -0x1715 -0x84b5e56d -256 -256 -0 -0 -0 -3 -0x9f46 -0x12204322 -256 -256 -0 -0 -0 -1 -0x87bc -0x8ba175f6 -256 -256 -0 -0 -0 -3 -0xe81a -0xc6479dc2 -256 -256 -0 -0 -0 -1 -0xaa3f -0xf5b7500b -256 -256 -1 -0 -0 -1 -0xf642 -0x7d4d6f59 -256 -256 -0 -0 -0 -1 -0xac95 -0xdf13b315 -256 -256 -1 -0 -0 -4 -0xeb26 -0x4fa9c195 -256 -256 -1 -0 -0 -1 -0x8141 -0x91127cc3 -256 -256 -1 -0 -0 -3 -0x2ea0 -0x61987223 -256 -256 -0 -0 -0 -4 -0x7e5e -0x159a91f9 -256 -256 -1 -0 -0 -4 -0x4543 -0xd956b0bf -256 -256 -0 -0 -0 -2 -0xf9f5 -0xdbf26c69 -256 -256 -0 -0 -0 -3 -0x651b -0xf4759128 -256 -256 -1 -0 -0 -4 -0x6ac -0x151efe54 -256 -256 -1 -0 -0 -4 -0xd729 -0x2403266e -256 -256 -1 -0 -0 -1 -0x86c6 -0x8df58711 -256 -256 -0 -0 -0 -1 -0x9ca5 -0xa561307 -256 -256 -0 -0 -0 -2 -0x1409 -0xb1b74f5b -256 -256 -0 -0 -0 -1 -0xcc1e -0x4b3eae5 -256 -256 -1 -0 -0 -2 -0x9a9e -0x807177d0 -256 -256 -1 -0 -0 -4 -0xdff4 -0x7a5b3d54 -256 -256 -0 -0 -0 -2 -0xb97b -0xe5d8c7ef -256 -256 -1 -0 -0 -3 -0x6cae -0x1d7b6d0b -256 -256 -1 -0 -0 -2 -0x9fd1 -0xe62fdca0 -256 -256 -0 -0 -0 -2 -0x8307 -0x57331bcf -256 -256 -1 -0 -0 -3 -0x5787 -0x2c7e57f3 -256 -256 -1 -0 -0 -1 -0xe14a -0xb0e74e3a -256 -256 -1 -0 -0 -1 -0xd6be -0x9e46d15d -256 -256 -1 -0 -0 -4 -0xdf8b -0x8efa7336 -256 -256 -1 -0 -0 -2 -0x3948 -0x4a26bf91 -256 -256 -0 -0 -0 -4 -0x1a61 -0x4844fec7 -256 -256 -1 -0 -0 -3 -0x1e99 -0xe2950fec -256 -256 -0 -0 -0 -1 -0xebd0 -0xa5d2185 -256 -256 -1 -0 -0 -1 -0xd680 -0x37cc3429 -256 -256 -1 -0 -0 -1 -0xcbfe -0x605ff086 -256 -256 -0 -0 -0 -4 -0xa50 -0x75993791 -256 -256 -0 -0 -0 -1 -0x2e51 -0xde18e69d -256 -256 -0 -0 -0 -3 -0x4881 -0x170c6dc2 -256 -256 -0 -0 -0 -3 -0xa7f2 -0xc839867e -256 -256 -0 -0 -0 -1 -0x6029 -0xe3b6442b -256 -256 -1 -0 -0 -3 -0x18d1 -0x371072ea -256 -256 -0 -0 -0 -1 -0x5bea -0x3f112994 -256 -256 -0 -0 -0 -3 -0x99f1 -0xdbb1af1b -256 -256 -1 -0 -0 -1 -0x9fe0 -0xec70ee47 -256 -256 -1 -0 -0 -2 -0xd720 -0x68db9bf9 -256 -256 -0 -0 -0 -4 -0x44d7 -0xa4ff6ce1 -256 -256 -1 -0 -0 -3 -0xb54a -0xbe99d561 -256 -256 -0 -0 -0 -4 -0x9c16 -0x411b6ad1 -256 -256 -1 -0 -0 -1 -0x78e8 -0xb63afe07 -256 -256 -0 -0 -0 -3 -0xe997 -0xf6636be8 -256 -256 -1 -0 -0 -4 -0x821e -0xf1fec7c8 -256 -256 -0 -0 -0 -1 -0xbd5b -0xe72c2b8c -256 -256 -0 -0 -0 -3 -0xe3ae -0x43fcede9 -256 -256 -1 -0 -0 -4 -0xf5a6 -0x871e8374 -256 -256 -0 -0 -0 -4 -0x9ec9 -0xca7f0bdf -256 -256 -1 -0 -0 -4 -0x92a8 -0x89dc3aae -256 -256 -1 -0 -0 -2 -0x440c -0x6f91c5cf -256 -256 -1 -0 -0 -2 -0x2b1b -0x4238346f -256 -256 -0 -0 -0 -4 -0x3027 -0x877d7b41 -256 -256 -0 -0 -0 -3 -0x2107 -0x73504db5 -256 -256 -0 -0 -0 -1 -0x3111 -0x49ee21a8 -256 -256 -0 -0 -0 -4 -0x7076 -0x78b3af4c -256 -256 -1 -0 -0 -1 -0x4a12 -0xea1d3c05 -256 -256 -0 -0 -0 -4 -0xc3bf -0x5027f208 -256 -256 -0 -0 -0 -4 -0x5228 -0x7dd853d4 -256 -256 -0 -0 -0 -1 -0x1cc4 -0x95ef9bca -256 -256 -1 -0 -0 -3 -0x14c6 -0xada7f9cb -256 -256 -1 -0 -0 -3 -0xf2a3 -0xd4a026bd -256 -256 -0 -0 -0 -1 -0x3f72 -0xe19155b0 -256 -256 -1 -0 -0 -3 -0x6a21 -0x1848f6ee -256 -256 -1 -0 -0 -1 -0x767d -0xd4ddb47f -256 -256 -1 -0 -0 -2 -0xbeb5 -0xcc92ff6d -256 -256 -1 -0 -0 -3 -0x18b4 -0x5cd52a2d -256 -256 -0 -0 -0 -1 -0x6035 -0x3a3271a8 -256 -256 -1 -0 -0 -2 -0x22e9 -0x33d10dc9 -256 -256 -1 -0 -0 -4 -0xa519 -0x9c6a39fd -256 -256 -1 -0 -0 -4 -0x40fe -0x306359f5 -256 -256 -0 -0 -0 -1 -0x445c -0x9c298322 -256 -256 -1 -0 -0 -2 -0x1ed2 -0xe6c3cbb -256 -256 -1 -0 -0 -4 -0x9a5f -0xeef5a59e -256 -256 -1 -0 -0 -2 -0x1aff -0xc399ab0d -256 -256 -0 -0 -0 -1 -0x17b3 -0x10ec4a46 -256 -256 -0 -0 -0 -3 -0x5253 -0x3af5b4d5 -256 -256 -1 -0 -0 -4 -0xc9a4 -0xa9942574 -256 -256 -1 -0 -0 -1 -0xba20 -0xefde4be8 -256 -256 -0 -0 -0 -2 -0x28ce -0x120c57e5 -256 -256 -1 -0 -0 -3 -0xd8ab -0x9526bc74 -256 -256 -1 -0 -0 -2 -0x1685 -0xc2d1d79 -256 -256 -0 -0 -0 -1 -0x2fa3 -0x688dc8fc -256 -256 -0 -0 -0 -2 -0x1b08 -0xa547c355 -256 -256 -1 -0 -0 -1 -0x440 -0xeb71c71d -256 -256 -0 -0 -0 -1 -0x81ae -0x91df2f8 -256 -256 -0 -0 -0 -2 -0xda7c -0xdf37fdf5 -256 -256 -0 -0 -0 -2 -0x2641 -0x6db86276 -256 -256 -1 -0 -0 -4 -0x997a -0xeb8f7858 -256 -256 -1 -0 -0 -1 -0xa9a2 -0x604fffd4 -256 -256 -0 -0 -0 -3 -0xce54 -0xf17df54f -256 -256 -1 -0 -0 -2 -0xaf89 -0x41020238 -256 -256 -1 -0 -0 -1 -0x17c5 -0x14979175 -256 -256 -0 -0 -0 -2 -0xa6be -0xa2ead9eb -256 -256 -1 -0 -0 -2 -0xe31c -0x9dc7cb83 -256 -256 -0 -0 -0 -3 -0xb605 -0x7bd145e0 -256 -256 -0 -0 -0 -2 -0xed2f -0xf27fd9ef -256 -256 -0 -0 -0 -3 -0xf66f -0x6f3ba559 -256 -256 -0 -0 -0 -3 -0x587f -0xfb717669 -256 -256 -1 -0 -0 -2 -0x8d42 -0x3b387dc2 -256 -256 -0 -0 -0 -3 -0x2dc3 -0x886285d -256 -256 -1 -0 -0 -2 -0xeb3f -0xbf48e0df -256 -256 -0 -0 -0 -4 -0x280f -0xf4011051 -256 -256 -1 -0 -0 -1 -0xa82a -0xa3b06750 -256 -256 -0 -0 -0 -4 -0xa43f -0xcf4c0ad5 -256 -256 -0 -0 -0 -2 -0xa79 -0x1319717 -256 -256 -0 -0 -0 -1 -0x9176 -0xe2362237 -256 -256 -1 -0 -0 -3 -0x751d -0xde7219af -256 -256 -1 -0 -0 -4 -0x169c -0x34e44f36 -256 -256 -1 -0 -0 -1 -0xa2bb -0x4aa8e627 -256 -256 -1 -0 -0 -2 -0x9439 -0x2164be82 -256 -256 -1 -0 -0 -4 -0x218f -0xa7c941df -256 -256 -1 -0 -0 -2 -0xfc50 -0xeafc1628 -256 -256 -0 -0 -0 -4 -0x4b35 -0x642b94c0 -256 -256 -0 -0 -0 -4 -0xee39 -0xe9e0b3b3 -256 -256 -0 -0 -0 -1 -0x3667 -0x296a3263 -256 -256 -0 -0 -0 -3 -0x9009 -0x6377a2e1 -256 -256 -0 -0 -0 -1 -0xdc84 -0x5afd9593 -256 -256 -1 -0 -0 -4 -0xa6dd -0xab133c61 -256 -256 -0 -0 -0 -3 -0xdd6a -0x58b225da -256 -256 -1 -0 -0 -4 -0x1c0d -0x32f97af -256 -256 -0 -0 -0 -2 -0xe256 -0x97dce420 -256 -256 -1 -0 -0 -3 -0x7dcd -0x436fe02c -256 -256 -1 -0 -0 -1 -0x295a -0x4c0a4f34 -256 -256 -0 -0 -0 -1 -0xba96 -0x26f374e3 -256 -256 -1 -0 -0 -2 -0x1341 -0x562f6752 -256 -256 -1 -0 -0 -4 -0x86b5 -0xd7639178 -256 -256 -1 -0 -0 -3 -0x5bbc -0x744d0eeb -256 -256 -1 -0 -0 -2 -0x8f6c -0x6dfac063 -256 -256 -1 -0 -0 -1 -0xe846 -0xa119ac69 -256 -256 -0 -0 -0 -1 -0x5da -0x74835760 -256 -256 -0 -0 -0 -3 -0xae7 -0x1c6bb74b -256 -256 -0 -0 -0 -3 -0x308e -0x7d2465d8 -256 -256 -1 -0 -0 -2 -0xc8ee -0xffac9f53 -256 -256 -0 -0 -0 -4 -0xe559 -0x485275ee -256 -256 -0 -0 -0 -2 -0xc320 -0x4fafb79f -256 -256 -0 -0 -0 -1 -0xeefd -0x889dc366 -256 -256 -0 -0 -0 -3 -0x9f37 -0x88146f07 -256 -256 -0 -0 -0 -2 -0x774a -0x826a208 -256 -256 -1 -0 -0 -1 -0x59b -0x9bf785cf -256 -256 -1 -0 -0 -2 -0x951c -0x17b2463d -256 -256 -1 -0 -0 -3 -0x36c3 -0x8795821 -256 -256 -1 -0 -0 -1 -0x8120 -0x6a1d09e5 -256 -256 -1 -0 -0 -2 -0xfb8f -0x63f76bca -256 -256 -0 -0 -0 -1 -0xd4ae -0x7870c7b6 -256 -256 -1 -0 -0 -1 -0x5b02 -0x9fc4a76c -256 -256 -1 -0 -0 -3 -0x50f3 -0xe1c105be -256 -256 -0 -0 -0 -1 -0xb853 -0x9dfe0e7b -256 -256 -0 -0 -0 -4 -0x7a68 -0xc9789669 -256 -256 -1 -0 -0 -2 -0xa86b -0xe169e595 -256 -256 -1 -0 -0 -1 -0xf962 -0xc9a7016f -256 -256 -1 -0 -0 -2 -0x38fc -0xa77dbbf7 -256 -256 -1 -0 -0 -4 -0xd710 -0xb9d1306d -256 -256 -1 -0 -0 -1 -0x258a -0xe06f0b0f -256 -256 -0 -0 -0 -2 -0x3fd8 -0x5c4a3b6c -256 -256 -0 -0 -0 -3 -0x9298 -0x8d3051a6 -256 -256 -1 -0 -0 -3 -0x971 -0xb026408c -256 -256 -0 -0 -0 -4 -0x7852 -0x56b1b58b -256 -256 -0 -0 -0 -3 -0xf174 -0x50d0ae1a -256 -256 -1 -0 -0 -1 -0xb44e -0xe298dc0f -256 -256 -0 -0 -0 -1 -0x6191 -0x1dc235fa -256 -256 -1 -0 -0 -2 -0x2d08 -0x36eb3fd2 -256 -256 -0 -0 -0 -4 -0x9fff -0x65f0aa5f -256 -256 -1 -0 -0 -4 -0xe124 -0xf5f1772d -256 -256 -0 -0 -0 -1 -0x4e35 -0x9add43e4 -256 -256 -0 -0 -0 -2 -0x4171 -0x226206cf -256 -256 -1 -0 -0 -1 -0x749e -0x2be2177b -256 -256 -0 -0 -0 -4 -0x157d -0x4b1fad51 -256 -256 -0 -0 -0 -4 -0xbafc -0xf597ae60 -256 -256 -0 -0 -0 -3 -0xb4de -0xc18cf5a2 -256 -256 -0 -0 -0 -4 -0xbdbf -0x3212fc5 -256 -256 -1 -0 -0 -2 -0x3848 -0xd6aeb95c -256 -256 -1 -0 -0 -1 -0xe498 -0x3101424e -256 -256 -0 -0 -0 -2 -0x8792 -0x63bb5b15 -256 -256 -0 -0 -0 -3 -0x61a8 -0x8c825f75 -256 -256 -0 -0 -0 -3 -0x850a -0xfd88830a -256 -256 -1 -0 -0 -2 -0xf5cb -0x6a05d0e7 -256 -256 -0 -0 -0 -2 -0x98d8 -0x74fa808e -256 -256 -0 -0 -0 -1 -0x69fd -0x983a5409 -256 -256 -1 -0 -0 -3 -0xe82b -0xbc45623c -256 -256 -0 -0 -0 -2 -0x7066 -0xadc1bb19 -256 -256 -0 -0 -0 -2 -0x5706 -0xe9c1c25a -256 -256 -0 -0 -0 -3 -0xb94a -0x33c85aa2 -256 -256 -0 -0 -0 -2 -0x6a -0x5e287571 -256 -256 -1 -0 -0 -1 -0xde96 -0x503032c5 -256 -256 -0 -0 -0 -2 -0xb91a -0xc483e854 -256 -256 -0 -0 -0 -1 -0xe05f -0x65d8616d -256 -256 -1 -0 -0 -4 -0xc533 -0x6f1c54f7 -256 -256 -1 -0 -0 -2 -0xf1a -0x19c4c96d -256 -256 -0 -0 -0 -1 -0xaa38 -0x64113c58 -256 -256 -0 -0 -0 -2 -0x86d1 -0x4bf7205e -256 -256 -1 -0 -0 -2 -0xabe8 -0xb0d58830 -256 -256 -0 -0 -0 -1 -0xe393 -0xcff17844 -256 -256 -1 -0 -0 -2 -0x2ac0 -0xa70e4a33 -256 -256 -1 -0 -0 -4 -0x3483 -0xf2808cec -256 -256 -0 -0 -0 -3 -0xd50 -0xfb68b77e -256 -256 -0 -0 -0 -1 -0x4ac1 -0xf14ac4c9 -256 -256 -0 -0 -0 -1 -0x500b -0x51ca85a2 -256 -256 -1 -0 -0 -1 -0x29fb -0x1fdd4d17 -256 -256 -1 -0 -0 -4 -0x43b0 -0x470c32a3 -256 -256 -0 -0 -0 -2 -0x8b4c -0x9fcc349c -256 -256 -1 -0 -0 -3 -0x643a -0x5800b5e3 -256 -256 -1 -0 -0 -2 -0x9bf -0x4f71a2e1 -256 -256 -0 -0 -0 -3 -0x4950 -0x746396f1 -256 -256 -1 -0 -0 -1 -0x9c42 -0x4107339c -256 -256 -1 -0 -0 -4 -0x1ffa -0x15c52126 -256 -256 -1 -0 -0 -4 -0x30ad -0x99080c8c -256 -256 -1 -0 -0 -3 -0x58ea -0x3fbc198c -256 -256 -1 -0 -0 -3 -0x1a68 -0x232866dd -256 -256 -0 -0 -0 -2 -0xd0b7 -0x8d8f9082 -256 -256 -1 -0 -0 -1 -0xf8fd -0x50429f8f -256 -256 -1 -0 -0 -2 -0x4bd0 -0x2ed5bb8f -256 -256 -0 -0 -0 -2 -0xe417 -0xa2212d0b -256 -256 -1 -0 -0 -2 -0x895c -0x921201ee -256 -256 -1 -0 -0 -2 -0x94d2 -0xc09d2ffa -256 -256 -0 -0 -0 -2 -0x99c7 -0x66986dcf -256 -256 -1 -0 -0 -2 -0xcaa1 -0xb046373e -256 -256 -0 -0 -0 -1 -0x1b5f -0x9aacba76 -256 -256 -1 -0 -0 -3 -0x62a3 -0x3916d11 -256 -256 -1 -0 -0 -4 -0x2b50 -0x7bb87712 -256 -256 -1 -0 -0 -1 -0xd922 -0x3683379a -256 -256 -0 -0 -0 -4 -0xf6c5 -0xfe89ceca -256 -256 -0 -0 -0 -4 -0x2214 -0x99aac37f -256 -256 -1 -0 -0 -1 -0x2036 -0x89465625 -256 -256 -1 -0 -0 -1 -0x748c -0xc64c094d -256 -256 -1 -0 -0 -2 -0xa262 -0x4c1be322 -256 -256 -1 -0 -0 -4 -0xfbf -0x80dda47e -256 -256 -1 -0 -0 -3 -0xb8bb -0x2d4617ac -256 -256 -1 -0 -0 -2 -0x408c -0x5e16e34b -256 -256 -0 -0 -0 -4 -0xc414 -0x15194636 -256 -256 -0 -0 -0 -4 -0xf28c -0xdda5ae6c -256 -256 -0 -0 -0 -4 -0x7957 -0x675778f5 -256 -256 -0 -0 -0 -1 -0x5616 -0x10fb4ead -256 -256 -0 -0 -0 -1 -0x48ea -0x583089d5 -256 -256 -0 -0 -0 -1 -0x1019 -0x38321b32 -256 -256 -1 -0 -0 -2 -0xcf99 -0xeb3a9ec7 -256 -256 -0 -0 -0 -1 -0x5858 -0xd7c5fa3d -256 -256 -1 -0 -0 -1 -0xa1ab -0xcaa83cf2 -256 -256 -1 -0 -0 -1 -0x91c0 -0x6cda19fa -256 -256 -0 -0 -0 -4 -0xd6bf -0x6df035c4 -256 -256 -1 -0 -0 -2 -0x1098 -0xc5e2d3ee -256 -256 -0 -0 -0 -2 -0xbf9b -0x942de269 -256 -256 -0 -0 -0 -1 -0x407f -0xb15fd0dd -256 -256 -0 -0 -0 -3 -0x54e2 -0xb8dec5b5 -256 -256 -1 -0 -0 -2 -0x818d -0x40d4ceb2 -256 -256 -0 -0 -0 -3 -0x88a9 -0xde21377a -256 -256 -0 -0 -0 -2 -0x2d80 -0x2acc0b8 -256 -256 -1 -0 -0 -4 -0x8c3b -0xe6336494 -256 -256 -1 -0 -0 -2 -0x927f -0x233c6864 -256 -256 -0 -0 -0 -2 -0xa4b8 -0x2b277748 -256 -256 -1 -0 -0 -2 -0x8f11 -0x9b5288e3 -256 -256 -1 -0 -0 -4 -0xec71 -0xe2261989 -256 -256 -1 -0 -0 -4 -0x9552 -0x36a9ed12 -256 -256 -0 -0 -0 -1 -0x2c11 -0x349e0386 -256 -256 -1 -0 -0 -1 -0x7fd4 -0x9fa2e5dd -256 -256 -0 -0 -0 -3 -0x1bf4 -0xdf896374 -256 -256 -1 -0 -0 -4 -0x3bb6 -0xbbf9f403 -256 -256 -1 -0 -0 -4 -0xeed2 -0x5270664a -256 -256 -0 -0 -0 -2 -0xa3ac -0xd29d4eab -256 -256 -0 -0 -0 -3 -0x6e59 -0xeb5cc69e -256 -256 -0 -0 -0 -1 -0x7758 -0xa2c6fea0 -256 -256 -1 -0 -0 -3 -0x1776 -0xf9d65ec5 -256 -256 -1 -0 -0 -2 -0x66e -0x2a27c4a5 -256 -256 -1 -0 -0 -1 -0x60b -0xf1885d5c -256 -256 -0 -0 -0 -4 -0x9c84 -0x41230373 -256 -256 -0 -0 -0 -2 -0x9b55 -0x4d02fe72 -256 -256 -0 -0 -0 -4 -0x35e -0x4b983b9a -256 -256 -0 -0 -0 -1 -0xde0e -0x12180563 -256 -256 -0 -0 -0 -2 -0x8b82 -0x93d9c52b -256 -256 -1 -0 -0 -1 -0x6e15 -0x9413c6bc -256 -256 -0 -0 -0 -2 -0xccff -0x357008c6 -256 -256 -0 -0 -0 -4 -0xb3f9 -0x472f5b35 -256 -256 -0 -0 -0 -2 -0x9e4a -0xa9387adb -256 -256 -1 -0 -0 -4 -0xe388 -0x4c92c9f3 -256 -256 -0 -0 -0 -4 -0x1e86 -0xe870eb9c -256 -256 -0 -0 -0 -1 -0xc57 -0x2685f1fa -256 -256 -0 -0 -0 -4 -0xa58f -0x2ab539aa -256 -256 -1 -0 -0 -1 -0x18ec -0xd54fbcca -256 -256 -1 -0 -0 -2 -0xb9ca -0x3b667add -256 -256 -1 -0 -0 -2 -0xdeb3 -0x8041dec -256 -256 -0 -0 -0 -2 -0x8a35 -0xfea7902d -256 -256 -1 -0 -0 -3 -0x3292 -0x59aade2f -256 -256 -1 -0 -0 -4 -0x9738 -0x8ed34837 -256 -256 -1 -0 -0 -2 -0x1352 -0xc5fa2b4f -256 -256 -0 -0 -0 -2 -0x59e7 -0x1767ef74 -256 -256 -1 -0 -0 -3 -0x6b03 -0x9097e85a -256 -256 -0 -0 -0 -3 -0xdb45 -0xbb8a397b -256 -256 -0 -0 -0 -2 -0x531 -0x4e63bd5d -256 -256 -1 -0 -0 -2 -0xc79d -0x1b381329 -256 -256 -0 -0 -0 -1 -0xbc14 -0x8fb60ce3 -256 -256 -1 -0 -0 -2 -0xb307 -0xa309b286 -256 -256 -0 -0 -0 -4 -0x591f -0x8a483449 -256 -256 -1 -0 -0 -3 -0xe306 -0x1479335b -256 -256 -1 -0 -0 -4 -0x7077 -0x51222d13 -256 -256 -1 -0 -0 -1 -0xb426 -0x649179b4 -256 -256 -1 -0 -0 -2 -0x14e1 -0x2cc1f4be -256 -256 -1 -0 -0 -2 -0x34df -0x23499e2b -256 -256 -1 -0 -0 -4 -0xed71 -0xfa95bbbc -256 -256 -0 -0 -0 -4 -0xd6c -0x2cbeabb -256 -256 -1 -0 -0 -4 -0x9e44 -0xe5ed9cf3 -256 -256 -1 -0 -0 -3 -0x6fb6 -0x9ea4fda -256 -256 -1 -0 -0 -4 -0x3cc8 -0x6eb02136 -256 -256 -0 -0 -0 -2 -0x14cf -0x3943a248 -256 -256 -1 -0 -0 -2 -0xf1b8 -0x44947588 -256 -256 -1 -0 -0 -1 -0x2fb -0x3e7b96f4 -256 -256 -0 -0 -0 -2 -0x9b82 -0xdf19c00e -256 -256 -1 -0 -0 -4 -0xd229 -0x6a61e471 -256 -256 -0 -0 -0 -3 -0x7222 -0x1bf82e3b -256 -256 -0 -0 -0 -3 -0xf1d1 -0xe4665979 -256 -256 -0 -0 -0 -1 -0x4a0a -0x1eb6fd14 -256 -256 -0 -0 -0 -3 -0x349d -0xe7d295da -256 -256 -1 -0 -0 -2 -0xa04b -0xf0ad9ee2 -256 -256 -1 -0 -0 -4 -0x2083 -0xfbebe917 -256 -256 -0 -0 -0 -4 -0x468e -0xbd2e5ec9 -256 -256 -0 -0 -0 -2 -0x9f29 -0x10edb37c -256 -256 -0 -0 -0 -2 -0x6f0f -0x54ecb6d8 -256 -256 -1 -0 -0 -2 -0x6d0 -0xaaa126ee -256 -256 -1 -0 -0 -4 -0xade3 -0x50367e67 -256 -256 -1 -0 -0 -4 -0x640a -0x60d24787 -256 -256 -1 -0 -0 -1 -0xe083 -0x3c587394 -256 -256 -1 -0 -0 -4 -0x8e86 -0xbd6c7dc2 -256 -256 -0 -0 -0 -4 -0xfddf -0xcd68c7 -256 -256 -0 -0 -0 -1 -0xfc45 -0xbd626b62 -256 -256 -1 -0 -0 -1 -0xc785 -0x5a1086d1 -256 -256 -1 -0 -0 -3 -0xd8b9 -0xd3072d4c -256 -256 -0 -0 -0 -3 -0xd7e5 -0xa8ab723b -256 -256 -0 -0 -0 -3 -0x49c -0x3c79fcfa -256 -256 -0 -0 -0 -2 -0x1162 -0x3d4f6d76 -256 -256 -0 -0 -0 -4 -0x8c13 -0xad678769 -256 -256 -0 -0 -0 -1 -0xddce -0xffb19d3e -256 -256 -0 -0 -0 -4 -0xfc94 -0x51f07b21 -256 -256 -0 -0 -0 -3 -0xe497 -0x65e15a86 -256 -256 -1 -0 -0 -1 -0x76da -0x946d2da1 -256 -256 -0 -0 -0 -3 -0x71da -0x320ec89e -256 -256 -1 -0 -0 -1 -0xe154 -0x3601b871 -256 -256 -0 -0 -0 -2 -0xafd0 -0x405ec94d -256 -256 -1 -0 -0 -4 -0x3738 -0x9b270d6d -256 -256 -1 -0 -0 -1 -0x2152 -0x68429432 -256 -256 -1 -0 -0 -3 -0xab81 -0xe5b08277 -256 -256 -0 -0 -0 -1 -0x2cd7 -0xbaa44c9c -256 -256 -1 -0 -0 -2 -0x65c9 -0xf25b0b23 -256 -256 -1 -0 -0 -2 -0xf745 -0x46dde6b5 -256 -256 -1 -0 -0 -3 -0x4b5f -0xf984a8a5 -256 -256 -0 -0 -0 -4 -0x5114 -0x66b1e359 -256 -256 -1 -0 -0 -1 -0x5460 -0x33c3eec0 -256 -256 -1 -0 -0 -3 -0xeb15 -0x3f08a4e7 -256 -256 -1 -0 -0 -3 -0x869f -0x2376e44f -256 -256 -1 -0 -0 -3 -0xf0b8 -0x27e69454 -256 -256 -0 -0 -0 -2 -0x1bac -0xafae238c -256 -256 -1 -0 -0 -2 -0xa037 -0x6291ddec -256 -256 -0 -0 -0 -1 -0x8480 -0x5f950895 -256 -256 -1 -0 -0 -3 -0x36f5 -0x6bed90b6 -256 -256 -0 -0 -0 -3 -0x1632 -0xee395bf4 -256 -256 -0 -0 -0 -2 -0x4ef -0xaf53ab82 -256 -256 -1 -0 -0 -3 -0x7878 -0x30a04346 -256 -256 -1 -0 -0 -3 -0xa280 -0xd96ed395 -256 -256 -1 -0 -0 -3 -0x2dca -0x33a901ef -256 -256 -0 -0 -0 -3 -0x6d00 -0xaa1012e5 -256 -256 -0 -0 -0 -4 -0xb441 -0xf55b6aaf -256 -256 -0 -0 -0 -4 -0x6a3d -0x388dc12d -256 -256 -1 -0 -0 -3 -0x13bd -0x99b9ff8f -256 -256 -1 -0 -0 -2 -0xb3e9 -0xea500c93 -256 -256 -1 -0 -0 -1 -0x2351 -0x2173c066 -256 -256 -1 -0 -0 -2 -0xd15c -0x9eece870 -256 -256 -1 -0 -0 -1 -0x7f5a -0xdcf2b023 -256 -256 -1 -0 -0 -4 -0xcf35 -0xb6407861 -256 -256 -1 -0 -0 -1 -0x2742 -0x431b8629 -256 -256 -1 -0 -0 -2 -0xbd7b -0xee3b59bf -256 -256 -1 -0 -0 -3 -0x7123 -0xf09614ec -256 -256 -0 -0 -0 -3 -0x8bd9 -0x6b3bdc5b -256 -256 -0 -0 -0 -3 -0x85d4 -0xa2d186ea -256 -256 -0 -0 -0 -3 -0x2f51 -0x3e83eb38 -256 -256 -0 -0 -0 -4 -0xd5b3 -0x50cd6bee -256 -256 -1 -0 -0 -4 -0xa986 -0xb770f975 -256 -256 -0 -0 -0 -4 -0x8ee0 -0x4f77db7b -256 -256 -0 -0 -0 -1 -0x245a -0x68a6a8b8 -256 -256 -1 -0 -0 -1 -0xc153 -0xc112c096 -256 -256 -0 -0 -0 -1 -0xbe63 -0xcc97b488 -256 -256 -0 -0 -0 -1 -0xc24d -0x18fc891a -256 -256 -1 -0 -0 -4 -0xc824 -0x9cffb17b -256 -256 -0 -0 -0 -2 -0xb6f -0xab8296e2 -256 -256 -0 -0 -0 -2 -0x2458 -0x814f2be -256 -256 -0 -0 -0 -4 -0x237a -0x92e4c194 -256 -256 -0 -0 -0 -2 -0xac88 -0x831bbe80 -256 -256 -0 -0 -0 -4 -0xa4e9 -0x599dc294 -256 -256 -1 -0 -0 -3 -0xfd6d -0x1bf86976 -256 -256 -0 -0 -0 -1 -0x6f16 -0x2f30feb -256 -256 -1 -0 -0 -3 -0x4154 -0x914de4fd -256 -256 -0 -0 -0 -3 -0xa326 -0x375ead5e -256 -256 -1 -0 -0 -1 -0x2b3d -0x1fbdd819 -256 -256 -0 -0 -0 -4 -0x4ec8 -0xbfc1ff7 -256 -256 -1 -0 -0 -4 -0xf9b8 -0x783fb1ca -256 -256 -1 -0 -0 -2 -0xe521 -0x7ba19515 -256 -256 -1 -0 -0 -1 -0xd434 -0xe3971be2 -256 -256 -1 -0 -0 -1 -0x9c60 -0x64acc307 -256 -256 -1 -0 -0 -4 -0xc73d -0xac33cdfe -256 -256 -1 -0 -0 -1 -0x848e -0x71093845 -256 -256 -1 -0 -0 -4 -0x819b -0x38c3d482 -256 -256 -0 -0 -0 -4 -0xeb62 -0x10fd8aab -256 -256 -1 -0 -0 -1 -0xeff5 -0x676c7f50 -256 -256 -1 -0 -0 -3 -0xd177 -0x266d06ee -256 -256 -0 -0 -0 -4 -0x3fba -0x590065ac -256 -256 -1 -0 -0 -4 -0x292f -0xd6056d15 -256 -256 -0 -0 -0 -1 -0xc204 -0x323300f7 -256 -256 -0 -0 -0 -1 -0x50ee -0xa984edcc -256 -256 -1 -0 -0 -3 -0xe53b -0xdf3462ae -256 -256 -0 -0 -0 -3 -0x512 -0xbad28c0d -256 -256 -1 -0 -0 -1 -0x5230 -0xe187971a -256 -256 -0 -0 -0 -3 -0x6117 -0x4776b6ac -256 -256 -1 -0 -0 -2 -0x1406 -0xc19cf92 -256 -256 -0 -0 -0 -2 -0x5477 -0x6199f0f5 -256 -256 -0 -0 -0 -2 -0x55d6 -0x9cfd5292 -256 -256 -0 -0 -0 -1 -0x39c8 -0x4c07ca3e -256 -256 -0 -0 -0 -1 -0x2d1e -0x85f476c9 -256 -256 -1 -0 -0 -3 -0xa9e -0x5b2af158 -256 -256 -1 -0 -0 -3 -0x50f5 -0x1c936e3a -256 -256 -0 -0 -0 -1 -0xd6bf -0x1dce50b4 -256 -256 -0 -0 -0 -3 -0x7aee -0xf3162056 -256 -256 -1 -0 -0 -4 -0xf4f3 -0x8268bff7 -256 -256 -0 -0 -0 -3 -0x6afa -0x96f96fb6 -256 -256 -0 -0 -0 -3 -0x33c1 -0xa29a8ca8 -256 -256 -1 -0 -0 -3 -0x44a0 -0x85006b34 -256 -256 -0 -0 -0 -4 -0x12bd -0x2e923261 -256 -256 -1 -0 -0 -1 -0xad5f -0x7fd79e74 -256 -256 -1 -0 -0 -1 -0xba84 -0xe2d74de5 -256 -256 -0 -0 -0 -2 -0x71a1 -0x11f8b49d -256 -256 -0 -0 -0 -1 -0xcc74 -0xbc2a47e -256 -256 -1 -0 -0 -1 -0xca9a -0xb596b903 -256 -256 -1 -0 -0 -3 -0x9786 -0x427eecf2 -256 -256 -1 -0 -0 -2 -0xbed4 -0xc4407274 -256 -256 -0 -0 -0 -3 -0x6f8 -0x8476ce7 -256 -256 -1 -0 -0 -1 -0x2f1d -0x771d6f82 -256 -256 -0 -0 -0 -2 -0xcf78 -0x2a7cfed0 -256 -256 -1 -0 -0 -1 -0xcbc7 -0x4ebc7bd7 -256 -256 -1 -0 -0 -3 -0x368b -0x51918b2f -256 -256 -0 -0 -0 -1 -0xa330 -0xbbbcf8e5 -256 -256 -1 -0 -0 -2 -0xc284 -0x2571543e -256 -256 -0 -0 -0 -3 -0x963c -0x6a2e69ce -256 -256 -0 -0 -0 -2 -0x5d82 -0x39d3885b -256 -256 -1 -0 -0 -4 -0xa8bc -0x11aca7b0 -256 -256 -1 -0 -0 -4 -0x2ff3 -0xf0742c81 -256 -256 -1 -0 -0 -1 -0xaa6e -0xa498db3f -256 -256 -1 -0 -0 -1 -0x7321 -0x6d91d3a9 -256 -256 -0 -0 -0 -1 -0x2cf6 -0x66cb980d -256 -256 -1 -0 -0 -3 -0xd030 -0xeb8323a6 -256 -256 -1 -0 -0 -3 -0x911c -0xf988eb8a -256 -256 -1 -0 -0 -4 -0x31e2 -0x5ba2b134 -256 -256 -1 -0 -0 -1 -0x6cf4 -0x11b2d601 -256 -256 -1 -0 -0 -3 -0x433b -0x138fb42e -256 -256 -1 -0 -0 -1 -0x1818 -0x14df5572 -256 -256 -0 -0 -0 -1 -0x6598 -0x86062321 -256 -256 -0 -0 -0 -1 -0x66ed -0x583138a8 -256 -256 -0 -0 -0 -3 -0x3006 -0x5ab1ed9e -256 -256 -1 -0 -0 -1 -0x2fe5 -0xf5061d2f -256 -256 -1 -0 -0 -1 -0xf3f5 -0xce4f4692 -256 -256 -1 -0 -0 -3 -0x5e43 -0xa5f3656f -256 -256 -0 -0 -0 -4 -0xfc73 -0x60b25c35 -256 -256 -1 -0 -0 -2 -0x2ade -0xb20d7cb2 -256 -256 -1 -0 -0 -4 -0x4342 -0xca422490 -256 -256 -1 -0 -0 -3 -0x6b1d -0x92709e94 -256 -256 -0 -0 -0 -1 -0xd215 -0x3142b093 -256 -256 -1 -0 -0 -3 -0x27d1 -0x418d8dd9 -256 -256 -0 -0 -0 -1 -0x41f9 -0xd8cdf109 -256 -256 -0 -0 -0 -4 -0x5496 -0xd04b171 -256 -256 -0 -0 -0 -1 -0xc307 -0xe3d39923 -256 -256 -1 -0 -0 -2 -0x4233 -0x1bdb4976 -256 -256 -1 -0 -0 -3 -0x314f -0x271a4928 -256 -256 -1 -0 -0 -1 -0xb3f9 -0x4e2ec44a -256 -256 -1 -0 -0 -1 -0x5f93 -0x5f64ab82 -256 -256 -0 -0 -0 -1 -0x60b6 -0xacf6aa3b -256 -256 -1 -0 -0 -4 -0x908 -0x86c9b84a -256 -256 -1 -0 -0 -2 -0x4de1 -0xe86bc3c -256 -256 -1 -0 -0 -1 -0x80cd -0x3c97fb3d -256 -256 -1 -0 -0 -3 -0xea7d -0xa8ff021f -256 -256 -0 -0 -0 -4 -0x710f -0x158e2098 -256 -256 -1 -0 -0 -3 -0x9a9 -0xc321f91d -256 -256 -1 -0 -0 -3 -0x3eff -0xe96e33e2 -256 -256 -1 -0 -0 -2 -0xa658 -0x9e32d4a3 -256 -256 -0 -0 -0 -3 -0x1251 -0x5e393537 -256 -256 -1 -0 -0 -3 -0x1db6 -0x2d6fc4f -256 -256 -1 -0 -0 -2 -0xa51 -0xee222641 -256 -256 -0 -0 -0 -4 -0x7b95 -0xf1b04497 -256 -256 -0 -0 -0 -3 -0x7bf1 -0xb6506380 -256 -256 -0 -0 -0 -3 -0xa990 -0xc6d04ba1 -256 -256 -1 -0 -0 -4 -0x97cb -0x4ae2cf57 -256 -256 -0 -0 -0 -3 -0x6e61 -0x37c8c5df -256 -256 -0 -0 -0 -1 -0xa8b3 -0x661d42d7 -256 -256 -0 -0 -0 -4 -0x7b46 -0xeb15a393 -256 -256 -1 -0 -0 -4 -0x614a -0x55c59db4 -256 -256 -1 -0 -0 -1 -0xcd4b -0x4349c4b5 -256 -256 -1 -0 -0 -2 -0x2389 -0x54d911ea -256 -256 -0 -0 -0 -3 -0x5295 -0xe51514f7 -256 -256 -0 -0 -0 -2 -0xa25a -0x8d7de4e3 -256 -256 -1 -0 -0 -4 -0x20d6 -0xc312ebb5 -256 -256 -1 -0 -0 -3 -0xd51a -0x82aa5a9c -256 -256 -0 -0 -0 -2 -0x1ec9 -0xf7b03ffa -256 -256 -0 -0 -0 -2 -0xd49 -0xbdb0cd40 -256 -256 -0 -0 -0 -4 -0x10b7 -0x84c1e4e9 -256 -256 -1 -0 -0 -4 -0xebd4 -0xe0d283b8 -256 -256 -0 -0 -0 -1 -0x17f7 -0x8f6f99af -256 -256 -0 -0 -0 -3 -0x4594 -0xd7ebfb9 -256 -256 -0 -0 -0 -1 -0xd167 -0x65bc5be -256 -256 -0 -0 -0 -2 -0xa246 -0x90cd3dc6 -256 -256 -1 -0 -0 -3 -0x4647 -0x57c29757 -256 -256 -0 -0 -0 -4 -0xdc5b -0xd21f92df -256 -256 -0 -0 -0 -1 -0x4a27 -0xf9e778ae -256 -256 -1 -0 -0 -3 -0x6ec5 -0xdd49fcc4 -256 -256 -0 -0 -0 -3 -0xd11e -0xb698760a -256 -256 -1 -0 -0 -3 -0x573e -0x5a3736f6 -256 -256 -1 -0 -0 -2 -0x5ca -0x1ca167f0 -256 -256 -0 -0 -0 -2 -0x213b -0x76be672c -256 -256 -0 -0 -0 -2 -0x122c -0xf734e82c -256 -256 -0 -0 -0 -1 -0x1a8b -0x82335921 -256 -256 -1 -0 -0 -4 -0xb4ed -0x5561a16c -256 -256 -1 -0 -0 -3 -0xddd6 -0xc7d8c842 -256 -256 -0 -0 -0 -4 -0x1b78 -0x8178d709 -256 -256 -1 -0 -0 -4 -0xbaf6 -0xa2621e49 -256 -256 -0 -0 -0 -2 -0xb0f1 -0x67e9149e -256 -256 -0 -0 -0 -3 -0x6ea1 -0x2fce1d97 -256 -256 -0 -0 -0 -1 -0x9fff -0xbcb915f9 -256 -256 -0 -0 -0 -2 -0xc931 -0x6c7d88cf -256 -256 -1 -0 -0 -4 -0x68a4 -0x66a7cf67 -256 -256 -1 -0 -0 -3 -0x47a6 -0x9df06f4e -256 -256 -1 -0 -0 -3 -0x8349 -0xcd289f1f -256 -256 -1 -0 -0 -3 -0xd8ed -0x71e4775b -256 -256 -1 -0 -0 -3 -0xb13f -0xae56053e -256 -256 -0 -0 -0 -4 -0x130a -0x6f797bc4 -256 -256 -1 -0 -0 -2 -0x741c -0x9b56800c -256 -256 -0 -0 -0 -2 -0x740c -0xacc2f300 -256 -256 -1 -0 -0 -1 -0xe925 -0x796a8b05 -256 -256 -0 -0 -0 -2 -0xa55b -0xd6bd09b1 -256 -256 -0 -0 -0 -1 -0x84bb -0x854cf2b9 -256 -256 -1 -0 -0 -2 -0x6f5e -0xd1284a80 -256 -256 -0 -0 -0 -2 -0x11bd -0x73f310a8 -256 -256 -0 -0 -0 -2 -0xbcbe -0x4e62e979 -256 -256 -1 -0 -0 -3 -0xaacb -0x64fc2e6a -256 -256 -0 -0 -0 -3 -0x4966 -0x78ad838e -256 -256 -1 -0 -0 -4 -0x8188 -0x96ba6f2 -256 -256 -1 -0 -0 -4 -0x40e7 -0xfe3ad2f1 -256 -256 -0 -0 -0 -4 -0x6382 -0x4278f963 -256 -256 -0 -0 -0 -4 -0x93b5 -0xd0ffad2d -256 -256 -1 -0 -0 -3 -0xdb47 -0x202d50a4 -256 -256 -1 -0 -0 -3 -0xf561 -0x73f5e8f9 -256 -256 -0 -0 -0 -3 -0x39b1 -0x57e1a58c -256 -256 -0 -0 -0 -3 -0x52a8 -0x980efd00 -256 -256 -1 -0 -0 -4 -0xc21f -0x59b8fad5 -256 -256 -0 -0 -0 -1 -0x4977 -0xecfcecb0 -256 -256 -1 -0 -0 -3 -0x9ffa -0x85940e0b -256 -256 -0 -0 -0 -3 -0x2c23 -0xef0eae86 -256 -256 -1 -0 -0 -1 -0x4a6a -0x4881ded5 -256 -256 -1 -0 -0 -1 -0xc24d -0x2fe79b8b -256 -256 -0 -0 -0 -4 -0x56e3 -0x438f6d92 -256 -256 -1 -0 -0 -4 -0x77aa -0x4dc01bbd -256 -256 -0 -0 -0 -2 -0x4051 -0xf13b4d02 -256 -256 -0 -0 -0 -1 -0xf9a -0xa0f2ee3d -256 -256 -0 -0 -0 -4 -0xc179 -0x575b43f4 -256 -256 -0 -0 -0 -1 -0x636f -0x91691546 -256 -256 -1 -0 -0 -1 -0x2ee2 -0x5aa6bd67 -256 -256 -0 -0 -0 -2 -0x54a4 -0xc28f051f -256 -256 -0 -0 -0 -3 -0xf6c1 -0xb8d74680 -256 -256 -0 -0 -0 -1 -0x8d33 -0xfcfab187 -256 -256 -0 -0 -0 -4 -0xdfbe -0xed60687b -256 -256 -0 -0 -0 -4 -0x6058 -0xe2a96f4d -256 -256 -1 -0 -0 -4 -0xe318 -0xffb712f4 -256 -256 -1 -0 -0 -3 -0x73b -0x8b8c3f20 -256 -256 -1 -0 -0 -4 -0x663a -0x75a6464b -256 -256 -0 -0 -0 -1 -0xb680 -0x91c9a114 -256 -256 -0 -0 -0 -1 -0x5d4f -0x70de2352 -256 -256 -0 -0 -0 -1 -0x1652 -0x278eb5ab -256 -256 -0 -0 -0 -3 -0x7ecf -0x9d780b72 -256 -256 -0 -0 -0 -4 -0x256b -0x2a9c61d2 -256 -256 -0 -0 -0 -1 -0xaf24 -0x4d951030 -256 -256 -0 -0 -0 -2 -0x7055 -0xce6cdfe4 -256 -256 -0 -0 -0 -2 -0xc8c1 -0x402cb502 -256 -256 -1 -0 -0 -3 -0xd9ab -0x591b2ade -256 -256 -0 -0 -0 -2 -0x74c3 -0xe6e6c981 -256 -256 -1 -0 -0 -3 -0x5414 -0xee28caed -256 -256 -1 -0 -0 -3 -0x8461 -0xdbd01027 -256 -256 -1 -0 -0 -4 -0x9dc1 -0x11d3fd1b -256 -256 -1 -0 -0 -3 -0xf1ba -0x1aebde8a -256 -256 -0 -0 -0 -4 -0x4fd6 -0xc2b0b64 -256 -256 -1 -0 -0 -2 -0x8271 -0x5115765f -256 -256 -1 -0 -0 -2 -0x46f5 -0x1719e686 -256 -256 -1 -0 -0 -4 -0x1192 -0xe81472e -256 -256 -0 -0 -0 -4 -0xa269 -0xf24a6ed -256 -256 -0 -0 -0 -2 -0x233 -0xf511cb20 -256 -256 -0 -0 -0 -3 -0x5321 -0xc293246f -256 -256 -1 -0 -0 -1 -0xc381 -0x147fcafa -256 -256 -0 -0 -0 -3 -0xfc55 -0xf74c90ff -256 -256 -0 -0 -0 -4 -0xfc12 -0x86b75870 -256 -256 -0 -0 -0 -1 -0x14fc -0xeed5b59 -256 -256 -1 -0 -0 -3 -0x46ce -0xef5b74ae -256 -256 -0 -0 -0 -2 -0x6aed -0xb1f65f4a -256 -256 -1 -0 -0 -4 -0xefb5 -0x8eccd1ca -256 -256 -0 -0 -0 -2 -0xb0fe -0x18314cd9 -256 -256 -1 -0 -0 -2 -0x55dc -0xb213f1b0 -256 -256 -1 -0 -0 -3 -0x8fd4 -0xed1fd21a -256 -256 -1 -0 -0 -4 -0x38c9 -0x87bbc28e -256 -256 -0 -0 -0 -2 -0x92c0 -0x20bd54d7 -256 -256 -0 -0 -0 -3 -0x3815 -0x91cdf4ea -256 -256 -1 -0 -0 -1 -0x2e1d -0x4eef4c7d -256 -256 -1 -0 -0 -3 -0xbe3a -0x25aaacdc -256 -256 -0 -0 -0 -4 -0x9b42 -0x8cb11b3 -256 -256 -0 -0 -0 -4 -0xf315 -0x1a5cc753 -256 -256 -0 -0 -0 -2 -0xd00f -0x624b1c2d -256 -256 -0 -0 -0 -4 -0xbb43 -0x9aae828e -256 -256 -1 -0 -0 -1 -0x3a0e -0xca000b9d -256 -256 -0 -0 -0 -4 -0xf0c6 -0x5ff0fd8c -256 -256 -0 -0 -0 -4 -0xe383 -0xed7a351c -256 -256 -0 -0 -0 -1 -0xd82e -0xf3740d9b -256 -256 -0 -0 -0 -1 -0xcd4e -0x9a60a9a4 -256 -256 -1 -0 -0 -3 -0xb129 -0x52341105 -256 -256 -0 -0 -0 -3 -0x62f4 -0x957c390d -256 -256 -0 -0 -0 -4 -0xf458 -0x905c92e -256 -256 -0 -0 -0 -1 -0xd737 -0x6c305af0 -256 -256 -1 -0 -0 -2 -0x2d9b -0x82c68ec5 -256 -256 -1 -0 -0 -1 -0x436b -0xed393529 -256 -256 -0 -0 -0 -4 -0xaeba -0xb20fa257 -256 -256 -0 -0 -0 -4 -0x5be5 -0x360dd375 -256 -256 -0 -0 -0 -4 -0x27ec -0xf4d3e909 -256 -256 -1 -0 -0 -1 -0xb957 -0x6444ed16 -256 -256 -1 -0 -0 -1 -0x35b7 -0x7f0e1e4b -256 -256 -0 -0 -0 -2 -0x43fe -0x977f414b -256 -256 -0 -0 -0 -1 -0xa1b9 -0x866f18c4 -256 -256 -0 -0 -0 -3 -0x237e -0x34e428aa -256 -256 -1 -0 -0 -1 -0xdcd9 -0xf1517cb0 -256 -256 -1 -0 -0 -3 -0x6a00 -0xbea2872a -256 -256 -0 -0 -0 -4 -0x787f -0xe2911dd8 -256 -256 -1 -0 -0 -2 -0xe5e4 -0x58d88eaa -256 -256 -0 -0 -0 -2 -0x7015 -0xfdf57b94 -256 -256 -0 -0 -0 -1 -0x231f -0xd40a7d72 -256 -256 -1 -0 -0 -1 -0x2e81 -0xa59aebd -256 -256 -0 -0 -0 -3 -0xfd1f -0xf94800e2 -256 -256 -1 -0 -0 -2 -0xa89e -0xd4cb8afb -256 -256 -1 -0 -0 -4 -0xc2d0 -0x852124ae -256 -256 -0 -0 -0 -4 -0x5d74 -0xb57dbb3c -256 -256 -1 -0 -0 -2 -0x88ef -0x943e9c93 -256 -256 -0 -0 -0 -4 -0xf170 -0x8ab8021f -256 -256 -1 -0 -0 -2 -0xcb81 -0x68033ee5 -256 -256 -1 -0 -0 -2 -0x373 -0x72985107 -256 -256 -0 -0 -0 -2 -0xeb1b -0xa0092177 -256 -256 -1 -0 -0 -2 -0xe915 -0xcbb8bb43 -256 -256 -0 -0 -0 -3 -0x5e9f -0x541638e4 -256 -256 -0 -0 -0 -3 -0xffa2 -0x90944f86 -256 -256 -0 -0 -0 -2 -0xdd18 -0x4cf0c444 -256 -256 -0 -0 -0 -2 -0xee37 -0xb173c428 -256 -256 -1 -0 -0 -1 -0xb5d1 -0xa27c9b92 -256 -256 -0 -0 -0 -4 -0x3e60 -0xfbc77db4 -256 -256 -1 -0 -0 -3 -0x8796 -0xcd46c9ff -256 -256 -1 -0 -0 -1 -0xd36a -0x469f4944 -256 -256 -1 -0 -0 -1 -0x1760 -0xd1861044 -256 -256 -1 -0 -0 -1 -0xa322 -0x824849d -256 -256 -0 -0 -0 -1 -0x6a29 -0xe5236564 -256 -256 -0 -0 -0 -1 -0xbf05 -0x3508fb9b -256 -256 -1 -0 -0 -2 -0xd34 -0x3eb48854 -256 -256 -0 -0 -0 -1 -0xb950 -0xf38f785a -256 -256 -1 -0 -0 -4 -0x25dd -0x549c8817 -256 -256 -1 -0 -0 -3 -0xee5c -0xa95d4f59 -256 -256 -0 -0 -0 -2 -0xdee9 -0x61a3cdf7 -256 -256 -0 -0 -0 -1 -0x7145 -0x87e1af23 -256 -256 -1 -0 -0 -2 -0x6a76 -0x6f6c3f75 -256 -256 -0 -0 -0 -4 -0x9f62 -0x254f8fc -256 -256 -0 -0 -0 -4 -0x143d -0xa84e71fc -256 -256 -0 -0 -0 -1 -0x37e4 -0x9a4cb4cb -256 -256 -1 -0 -0 -2 -0x6639 -0xf85a387d -256 -256 -1 -0 -0 -3 -0x645 -0xc763809b -256 -256 -1 -0 -0 -4 -0x45b5 -0xf722d9bf -256 -256 -1 -0 -0 -4 -0xd05d -0xa95e0384 -256 -256 -0 -0 -0 -3 -0x5391 -0x70310c51 -256 -256 -0 -0 -0 -4 -0x5601 -0xe56e5727 -256 -256 -1 -0 -0 -2 -0x12a0 -0x8725041e -256 -256 -1 -0 -0 -1 -0xaef -0xae9430fe -256 -256 -0 -0 -0 -4 -0x4dbe -0x73474530 -256 -256 -1 -0 -0 -4 -0x89f5 -0x509a0421 -256 -256 -1 -0 -0 -1 -0x3a1f -0x29bedfd4 -256 -256 -0 -0 -0 -2 -0xa461 -0xf18a9a8c -256 -256 -0 -0 -0 -1 -0x9898 -0xfe24d6e0 -256 -256 -1 -0 -0 -3 -0xd959 -0x2ce469f -256 -256 -0 -0 -0 -4 -0x2218 -0x2bac4843 -256 -256 -1 -0 -0 -2 -0xbdf9 -0x1e9292e1 -256 -256 -0 -0 -0 -4 -0x551e -0x76c721d9 -256 -256 -1 -0 -0 -4 -0xe5c0 -0xffcc605e -256 -256 -1 -0 -0 -2 -0xf449 -0x8d93a0ae -256 -256 -0 -0 -0 -3 -0x8c9a -0x7c2fcfad -256 -256 -0 -0 -0 -2 -0x8abe -0x416f827b -256 -256 -0 -0 -0 -1 -0x6545 -0x23c46d5f -256 -256 -1 -0 -0 -4 -0xf42d -0xf8d2c6aa -256 -256 -0 -0 -0 -4 -0x7527 -0xe424c9a1 -256 -256 -0 -0 -0 -1 -0x41ff -0xc7cd2bf2 -256 -256 -1 -0 -0 -3 -0xf8d3 -0xbb64e0d9 -256 -256 -1 -0 -0 -4 -0xc2c -0xdd80893e -256 -256 -0 -0 -0 -4 -0x99b8 -0x3ba454f -256 -256 -1 -0 -0 -3 -0x87c2 -0xd01e3544 -256 -256 -1 -0 -0 -3 -0xdf5c -0xb3303ba6 -256 -256 -0 -0 -0 -3 -0x7ced -0x831b9755 -256 -256 -0 -0 -0 -3 -0x6147 -0x984390f6 -256 -256 -1 -0 -0 -4 -0xe244 -0x8a64c8a1 -256 -256 -0 -0 -0 -3 -0x16cf -0x51c17a37 -256 -256 -1 -0 -0 -1 -0xf8d3 -0x1b29c45d -256 -256 -1 -0 -0 -3 -0x4a00 -0xce97d5fa -256 -256 -0 -0 -0 -4 -0xa493 -0xd9c496a7 -256 -256 -0 -0 -0 -3 -0xe899 -0xc8c6a879 -256 -256 -0 -0 -0 -4 -0x6d44 -0xb2607404 -256 -256 -0 -0 -0 -2 -0x3129 -0xceb8bb6 -256 -256 -0 -0 -0 -4 -0xfc62 -0x2ce213f9 -256 -256 -1 -0 -0 -3 -0xf777 -0x8954b158 -256 -256 -0 -0 -0 -3 -0xf4e9 -0x5d7a08b7 -256 -256 -0 -0 -0 -4 -0xcb01 -0xe50fb69e -256 -256 -1 -0 -0 -3 -0x426b -0xaaae5ee2 -256 -256 -0 -0 -0 -2 -0xc32f -0x3cc0d7ca -256 -256 -0 -0 -0 -4 -0xc0c3 -0x2ea036ac -256 -256 -1 -0 -0 -2 -0x5b10 -0x9ef39adf -256 -256 -0 -0 -0 -4 -0x6206 -0x244b8d97 -256 -256 -0 -0 -0 -3 -0x3a04 -0xd28c35e8 -256 -256 -0 -0 -0 -3 -0xaa74 -0xc7ca3679 -256 -256 -0 -0 -0 -3 -0xe2ba -0x13f8b420 -256 -256 -1 -0 -0 -1 -0x42eb -0xb01c2ed8 -256 -256 -1 -0 -0 -3 -0x858c -0x3f6b7d41 -256 -256 -0 -0 -0 -2 -0x64b1 -0xd7789d57 -256 -256 -1 -0 -0 -3 -0x4c10 -0xbc4238d4 -256 -256 -1 -0 -0 -1 -0x274a -0xfff52f48 -256 -256 -1 -0 -0 -3 -0xb2e2 -0x374b7887 -256 -256 -0 -0 -0 -2 -0xa742 -0xaba1344e -256 -256 -1 -0 -0 -2 -0x325d -0xf9ea4ad2 -256 -256 -1 -0 -0 -1 -0xb188 -0x4e2b817f -256 -256 -0 -0 -0 -3 -0x50c7 -0xa2529dd2 -256 -256 -0 -0 -0 -3 -0x75a9 -0x8f6a55e3 -256 -256 -0 -0 -0 -2 -0x475e -0xd7ac252e -256 -256 -0 -0 -0 -3 -0xc451 -0xff4cc80b -256 -256 -1 -0 -0 -3 -0x99ab -0xf06e4ca0 -256 -256 -0 -0 -0 -4 -0xa2bc -0x58827064 -256 -256 -0 -0 -0 -1 -0x569f -0x34033456 -256 -256 -1 -0 -0 -3 -0x22ad -0x8c5edf0a -256 -256 -1 -0 -0 -2 -0xa675 -0xaa175307 -256 -256 -0 -0 -0 -2 -0x4ca3 -0x56e83387 -256 -256 -1 -0 -0 -4 -0xc649 -0x991de792 -256 -256 -0 -0 -0 -4 -0x8f56 -0x35a3c9e9 -256 -256 -1 -0 -0 -4 -0x32f8 -0xb63b71af -256 -256 -0 -0 -0 -3 -0xe0b7 -0xe0532bd0 -256 -256 -1 -0 -0 -2 -0x1e1e -0xbe7c7496 -256 -256 -1 -0 -0 -3 -0x3567 -0x859d3334 -256 -256 -0 -0 -0 -2 -0x1549 -0xe200d362 -256 -256 -1 -0 -0 -3 -0x9de3 -0x3f1c7bc8 -256 -256 -0 -0 -0 -4 -0x7c74 -0xd7268353 -256 -256 -0 -0 -0 -3 -0x52f4 -0xfc5deeb1 -256 -256 -0 -0 -0 -3 -0x691 -0x42a18b19 -256 -256 -0 -0 -0 -1 -0x4a0e -0x23ba6a5a -256 -256 -1 -0 -0 -2 -0xa850 -0xc9f079f0 -256 -256 -1 -0 -0 -4 -0x32e1 -0x8233f5bf -256 -256 -0 -0 -0 -4 -0x5031 -0xcd823c71 -256 -256 -1 -0 -0 -4 -0x98e9 -0x3fa84e5b -256 -256 -1 -0 -0 -2 -0xbb77 -0x481523b6 -256 -256 -1 -0 -0 -4 -0x9f79 -0xa1fe1ba4 -256 -256 -0 -0 -0 -1 -0xb828 -0xe0485d9b -256 -256 -1 -0 -0 -2 -0xaf7a -0x9f469c00 -256 -256 -1 -0 -0 -1 -0x1c2 -0x6473414 -256 -256 -0 -0 -0 -1 -0x1d40 -0x738b1c8e -256 -256 -1 -0 -0 -4 -0xdd14 -0xd339ff7 -256 -256 -0 -0 -0 -4 -0xb567 -0x71d3791c -256 -256 -1 -0 -0 -4 -0x61e9 -0x84cceaf6 -256 -256 -0 -0 -0 -1 -0xcb11 -0xa07cf20c -256 -256 -0 -0 -0 -2 -0xd720 -0x9dac2e92 -256 -256 -0 -0 -0 -4 -0xa0bd -0xbac03f7b -256 -256 -1 -0 -0 -4 -0xd1e8 -0x62dd4cfd -256 -256 -1 -0 -0 -1 -0x8e15 -0x4add8637 -256 -256 -0 -0 -0 -3 -0xc351 -0xaf364f6d -256 -256 -0 -0 -0 -1 -0xc3eb -0xd36a8cc8 -256 -256 -1 -0 -0 -3 -0xb3d0 -0x500f3fef -256 -256 -1 -0 -0 -1 -0xc45d -0xe1862b60 -256 -256 -0 -0 -0 -3 -0xefda -0xf04e339e -256 -256 -1 -0 -0 -1 -0x8cdd -0x93b0b59a -256 -256 -0 -0 -0 -3 -0xbc31 -0x43d84bc1 -256 -256 -1 -0 -0 -1 -0x5797 -0xf321c852 -256 -256 -0 -0 -0 -2 -0x9cc5 -0xfde914fe -256 -256 -1 -0 -0 -3 -0x755d -0x49793d05 -256 -256 -1 -0 -0 -2 -0x25bf -0x4e6635df -256 -256 -1 -0 -0 -2 -0x1fc9 -0x82329d4 -256 -256 -1 -0 -0 -1 -0xa69f -0xd4b589ca -256 -256 -1 -0 -0 -3 -0xf27e -0x117284c9 -256 -256 -1 -0 -0 -3 -0x188c -0x20d4ef5c -256 -256 -1 -0 -0 -3 -0x25c1 -0x53424722 -256 -256 -1 -0 -0 -4 -0x9ac5 -0xe2250cf9 -256 -256 -1 -0 -0 -3 -0x99e0 -0xe0884f0d -256 -256 -1 -0 -0 -3 -0x25a4 -0x23e59ab4 -256 -256 -1 -0 -0 -3 -0xa71d -0x59748908 -256 -256 -1 -0 -0 -1 -0x6f46 -0xb2eaae3d -256 -256 -1 -0 -0 -2 -0x97f -0xb5701832 -256 -256 -1 -0 -0 -3 -0x1567 -0x28fb504f -256 -256 -0 -0 -0 -4 -0x850b -0x37e820d4 -256 -256 -1 -0 -0 -2 -0xdc99 -0x731f795 -256 -256 -1 -0 -0 -3 -0xc4b6 -0x791266d3 -256 -256 -1 -0 -0 -4 -0xc30c -0x12f8f835 -256 -256 -1 -0 -0 -3 -0xc3e3 -0x90bfbec -256 -256 -1 -0 -0 -2 -0xe9cd -0xb1696c29 -256 -256 -1 -0 -0 -1 -0xa846 -0x74119c9 -256 -256 -0 -0 -0 -2 -0x94bc -0x833dbcd8 -256 -256 -1 -0 -0 -2 -0x8848 -0x2b6514a5 -256 -256 -1 -0 -0 -3 -0x676b -0xb38dba5d -256 -256 -1 -0 -0 -3 -0xdd1f -0x28958e71 -256 -256 -0 -0 -0 -1 -0xed52 -0xc4e1496c -256 -256 -0 -0 -0 -2 -0x759b -0x6f7977db -256 -256 -0 -0 -0 -2 -0xbb09 -0x6099d9dd -256 -256 -1 -0 -0 -2 -0x7652 -0xccaea67b -256 -256 -0 -0 -0 -2 -0x6b8e -0x165b88ef -256 -256 -1 -0 -0 -4 -0xb95f -0xe134191 -256 -256 -0 -0 -0 -1 -0x79a8 -0xe336961e -256 -256 -0 -0 -0 -3 -0x3f23 -0x5d3f37d6 -256 -256 -0 -0 -0 -1 -0xc51 -0x7886a331 -256 -256 -1 -0 -0 -4 -0x91d7 -0xdfb1fcf4 -256 -256 -0 -0 -0 -3 -0x7130 -0xe754bc21 -256 -256 -1 -0 -0 -3 -0x4acb -0x1cbe4d -256 -256 -1 -0 -0 -2 -0x280c -0x7a7ee3dd -256 -256 -1 -0 -0 -1 -0x2e00 -0xdcd7dca2 -256 -256 -0 -0 -0 -2 -0x7a66 -0xfa2e801a -256 -256 -1 -0 -0 -2 -0xa109 -0x461a036c -256 -256 -0 -0 -0 -3 -0x6e01 -0xb2c59b58 -256 -256 -1 -0 -0 -4 -0xab9b -0x183d8dd2 -256 -256 -0 -0 -0 -2 -0x2dbb -0x65af4249 -256 -256 -0 -0 -0 -3 -0xbf07 -0xc8c3cb40 -256 -256 -0 -0 -0 -2 -0x32a5 -0x63e6b648 -256 -256 -0 -0 -0 -3 -0x2ed1 -0x5e57b80a -256 -256 -1 -0 -0 -1 -0xc738 -0x9147f8f2 -256 -256 -1 -0 -0 -4 -0xbe37 -0xe27d92f -256 -256 -0 -0 -0 -4 -0x7eba -0x73f94caf -256 -256 -1 -0 -0 -2 -0x78c6 -0x52d724bd -256 -256 -0 -0 -0 -3 -0xa488 -0xc0243b41 -256 -256 -0 -0 -0 -3 -0x7418 -0x30a0ddfe -256 -256 -1 -0 -0 -3 -0x7a5b -0xa540a1c1 -256 -256 -1 -0 -0 -4 -0x1c66 -0x2a992a4 -256 -256 -0 -0 -0 -2 -0x322e -0x446ad408 -256 -256 -0 -0 -0 -1 -0xdc6d -0xcf1dc822 -256 -256 -1 -0 -0 -1 -0x64cc -0xfc7049eb -256 -256 -0 -0 -0 -3 -0x8304 -0xbd08506c -256 -256 -1 -0 -0 -4 -0xa0dc -0x94e6edf5 -256 -256 -1 -0 -0 -2 -0x6a93 -0xc68a1d22 -256 -256 -0 -0 -0 -3 -0x7e18 -0x1f5d600 -256 -256 -0 -0 -0 -1 -0x5a9e -0x93b04f52 -256 -256 -0 -0 -0 -1 -0xd465 -0x77547b0a -256 -256 -1 -0 -0 -1 -0x6631 -0x9430e82b -256 -256 -0 -0 -0 -4 -0x9422 -0xcad6c799 -256 -256 -1 -0 -0 -2 -0x3c67 -0x2a6b0ac7 -256 -256 -0 -0 -0 -3 -0xd370 -0x83ff8ef0 -256 -256 -1 -0 -0 -1 -0x10000 -0x70381843 -256 -256 -1 -0 -0 -1 -0x91a2 -0x2712c3a6 -256 -256 -1 -0 -0 -2 -0x7392 -0x906f448f -256 -256 -0 -0 -0 -3 -0x58c5 -0xa015b840 -256 -256 -1 -0 -0 -4 -0x7a33 -0x32f36c65 -256 -256 -1 -0 -0 -2 -0xd3a -0x8e428487 -256 -256 -1 -0 -0 -4 -0xec70 -0x34ee609c -256 -256 -1 -0 -0 -4 -0x53cf -0x13c9c640 -256 -256 -1 -0 -0 -4 -0xf6cb -0xc82af204 -256 -256 -1 -0 -0 -3 -0xbc9e -0xa48213f4 -256 -256 -1 -0 -0 -2 -0x37cf -0xe9360a83 -256 -256 -1 -0 -0 -2 -0x1767 -0x613ab72d -256 -256 -1 -0 -0 -2 -0xc977 -0x92d9c253 -256 -256 -0 -0 -0 -3 -0x9921 -0xc9b98487 -256 -256 -0 -0 -0 -4 -0xa001 -0xe834d860 -256 -256 -0 -0 -0 -4 -0x3e70 -0x9770091e -256 -256 -1 -0 -0 -4 -0x4fe7 -0x28aa74d9 -256 -256 -0 -0 -0 -3 -0x821f -0x20394730 -256 -256 -0 -0 -0 -3 -0x997a -0x1e079f36 -256 -256 -0 -0 -0 -3 -0xa72 -0xcc664087 -256 -256 -1 -0 -0 -2 -0x43c -0x6a8a71cc -256 -256 -1 -0 -0 -3 -0x9f9e -0x32d512be -256 -256 -1 -0 -0 -2 -0xcaf8 -0x8c425353 -256 -256 -0 -0 -0 -1 -0x33ac -0x5e371fc3 -256 -256 -1 -0 -0 -4 -0x715b -0x1d238c3e -256 -256 -1 -0 -0 -2 -0x32a5 -0x7e52f138 -256 -256 -0 -0 -0 -2 -0xf874 -0xbcaac0d4 -256 -256 -0 -0 -0 -4 -0x76ec -0xc339aac3 -256 -256 -1 -0 -0 -4 -0x49b5 -0xa968dd5e -256 -256 -0 -0 -0 -3 -0x8868 -0x906acbbe -256 -256 -1 -0 -0 -4 -0x367e -0xc9b5fd85 -256 -256 -1 -0 -0 -3 -0x5ea2 -0xe44c2f52 -256 -256 -0 -0 -0 -3 -0x7d35 -0x724b82ca -256 -256 -1 -0 -0 -3 -0x66ce -0x9b10a11b -256 -256 -1 -0 -0 -3 -0x8956 -0x75dcf78b -256 -256 -1 -0 -0 -1 -0x9cff -0xcc54bf7f -256 -256 -1 -0 -0 -1 -0x43a4 -0xab11f861 -256 -256 -1 -0 -0 -1 -0xc517 -0x32c2145f -256 -256 -1 -0 -0 -2 -0x107b -0xe267a2cf -256 -256 -0 -0 -0 -2 -0x8172 -0x563ce594 -256 -256 -0 -0 -0 -3 -0xcc6e -0x96637148 -256 -256 -1 -0 -0 -3 -0xf161 -0x96e8c72b -256 -256 -0 -0 -0 -3 -0xc4b2 -0xf4a15f3 -256 -256 -1 -0 -0 -4 -0x3ab4 -0xb4ba01dd -256 -256 -1 -0 -0 -4 -0xce2f -0x5280c33c -256 -256 -1 -0 -0 -4 -0x13e5 -0x5498532c -256 -256 -1 -0 -0 -1 -0x9856 -0xe337a6b1 -256 -256 -1 -0 -0 -2 -0xaa7e -0x29a81c3a -256 -256 -1 -0 -0 -4 -0x1deb -0xe8fcc856 -256 -256 -1 -0 -0 -1 -0xadf0 -0xa9db4f29 -256 -256 -0 -0 -0 -3 -0x6dca -0xebcc5b76 -256 -256 -1 -0 -0 -4 -0x92f7 -0x237bd397 -256 -256 -1 -0 -0 -2 -0x5be0 -0xdd83bd2d -256 -256 -0 -0 -0 -4 -0x61a5 -0x2182104c -256 -256 -1 -0 -0 -4 -0xcdb8 -0x37742590 -256 -256 -1 -0 -0 -2 -0xb5d8 -0xeff017b0 -256 -256 -1 -0 -0 -2 -0x5a62 -0xd1d4532d -256 -256 -1 -0 -0 -2 -0x6e44 -0x491d680 -256 -256 -0 -0 -0 -4 -0x8512 -0x28bfabea -256 -256 -0 -0 -0 -1 -0x7130 -0xb6516063 -256 -256 -0 -0 -0 -4 -0x650f -0x97b33206 -256 -256 -1 -0 -0 -4 -0x784a -0xd986c709 -256 -256 -1 -0 -0 -2 -0x25c3 -0x8847ac6d -256 -256 -0 -0 -0 -2 -0x353c -0x22476f7c -256 -256 -1 -0 -0 -1 -0xa62c -0x75be0d42 -256 -256 -1 -0 -0 -2 -0x3520 -0xe034afa9 -256 -256 -1 -0 -0 -2 -0x9916 -0x2a4ebc59 -256 -256 -0 -0 -0 -3 -0xfa03 -0x1aeed18b -256 -256 -0 -0 -0 -1 -0x67fb -0x418f08f9 -256 -256 -1 -0 -0 -1 -0xac22 -0x45851fc1 -256 -256 -1 -0 -0 -1 -0x8bdb -0xaf18e530 -256 -256 -1 -0 -0 -2 -0xddd8 -0x53fc55d6 -256 -256 -1 -0 -0 -3 -0x7ceb -0xb1552620 -256 -256 -1 -0 -0 -3 -0x6563 -0x5632063d -256 -256 -1 -0 -0 -1 -0x131a -0xf8f23845 -256 -256 -0 -0 -0 -4 -0x4bac -0x866bb9ea -256 -256 -1 -0 -0 -2 -0x6fad -0x5b0ba3d4 -256 -256 -0 -0 -0 -1 -0xc0ee -0x496b8dc6 -256 -256 -0 -0 -0 -2 -0xb77d -0xfcb22a6 -256 -256 -1 -0 -0 -4 -0x133e -0xf78b608f -256 -256 -0 -0 -0 -1 -0x159d -0xb9749373 -256 -256 -0 -0 -0 -1 -0xdfeb -0x7cacdf2e -256 -256 -1 -0 -0 -3 -0x890a -0x68b57ba5 -256 -256 -1 -0 -0 -3 -0x2c1b -0xf185c96d -256 -256 -0 -0 -0 -3 -0x9774 -0x8e82fe70 -256 -256 -1 -0 -0 -2 -0xa2a9 -0xcf7c0376 -256 -256 -0 -0 -0 -4 -0x610d -0x834b6d34 -256 -256 -1 -0 -0 -1 -0xe646 -0xd2edf66 -256 -256 -0 -0 -0 -3 -0x48b8 -0x8d9839f8 -256 -256 -1 -0 -0 -3 -0x4e3c -0x122ebb2f -256 -256 -1 -0 -0 -1 -0xbe51 -0x60b85600 -256 -256 -0 -0 -0 -1 -0xdcd1 -0x6b658bf0 -256 -256 -0 -0 -0 -2 -0x222d -0xf548ee5f -256 -256 -0 -0 -0 -2 -0x500e -0xfe773c89 -256 -256 -1 -0 -0 -4 -0xa2dd -0x16902124 -256 -256 -1 -0 -0 -1 -0x2766 -0xd953c1f8 -256 -256 -0 -0 -0 -2 -0xa32e -0xaeb8d1ae -256 -256 -1 -0 -0 -4 -0xfecc -0x8329d450 -256 -256 -0 -0 -0 -3 -0xefc5 -0x2221c63a -256 -256 -0 -0 -0 -4 -0xc3ed -0x9d8e6239 -256 -256 -0 -0 -0 -3 -0x1f5 -0xa4bd8917 -256 -256 -0 -0 -0 -2 -0x7b8 -0x5c805848 -256 -256 -1 -0 -0 -4 -0xab9f -0x8bb97c51 -256 -256 -0 -0 -0 -2 -0xed4f -0x2df60fc7 -256 -256 -1 -0 -0 -2 -0x4141 -0x8505d989 -256 -256 -1 -0 -0 -1 -0xc9af -0x78a32f5e -256 -256 -0 -0 -0 -4 -0x2598 -0x2728c9f9 -256 -256 -1 -0 -0 -3 -0x4734 -0x5e4c7036 -256 -256 -1 -0 -0 -2 -0xec39 -0x4e9068e5 -256 -256 -0 -0 -0 -3 -0xa871 -0x25c35c70 -256 -256 -1 -0 -0 -4 -0x9ac8 -0x3558b904 -256 -256 -0 -0 -0 -1 -0xf228 -0xd8bdb742 -256 -256 -1 -0 -0 -4 -0xd9d6 -0x185fefbc -256 -256 -1 -0 -0 -4 -0x1997 -0xd2e82e91 -256 -256 -1 -0 -0 -2 -0xc49e -0xf0283339 -256 -256 -1 -0 -0 -1 -0x384f -0x75c1cd79 -256 -256 -1 -0 -0 -4 -0x824f -0x6a4e4b98 -256 -256 -0 -0 -0 -3 -0x5157 -0x703ee86d -256 -256 -1 -0 -0 -2 -0xf671 -0x967ee7b7 -256 -256 -0 -0 -0 -4 -0x8cc8 -0xcb76a2ef -256 -256 -1 -0 -0 -4 -0x1060 -0x2563b0ab -256 -256 -0 -0 -0 -2 -0x63e1 -0xf4dda244 -256 -256 -1 -0 -0 -1 -0x92ac -0x1978417d -256 -256 -1 -0 -0 -2 -0xe671 -0x6e118d5 -256 -256 -1 -0 -0 -3 -0xa702 -0xffe950bc -256 -256 -0 -0 -0 -4 -0x4b2f -0xdbb2de1a -256 -256 -1 -0 -0 -1 -0x9612 -0x73c01122 -256 -256 -1 -0 -0 -2 -0x77ac -0x5e98a48b -256 -256 -1 -0 -0 -4 -0x4dcf -0xab193281 -256 -256 -0 -0 -0 -1 -0x878b -0xde59fc70 -256 -256 -0 -0 -0 -2 -0x11fc -0xb936b7c8 -256 -256 -1 -0 -0 -2 -0xecdf -0x88fafa4a -256 -256 -0 -0 -0 -1 -0x21c2 -0x593499cb -256 -256 -1 -0 -0 -4 -0x3cb0 -0x5539fe00 -256 -256 -1 -0 -0 -4 -0xacd5 -0x8d823ddc -256 -256 -0 -0 -0 -1 -0x73df -0xed94e956 -256 -256 -0 -0 -0 -2 -0xa936 -0x6fb5ad89 -256 -256 -0 -0 -0 -2 -0x3560 -0x8f40a6e9 -256 -256 -1 -0 -0 -1 -0x4979 -0x8405f6f3 -256 -256 -1 -0 -0 -2 -0x6d7f -0x59f9dade -256 -256 -1 -0 -0 -4 -0x7358 -0xa0ae53fb -256 -256 -0 -0 -0 -3 -0xb32 -0x58b440e6 -256 -256 -0 -0 -0 -1 -0x2e32 -0x7ef1ff20 -256 -256 -0 -0 -0 -2 -0xf634 -0x7f626b2a -256 -256 -1 -0 -0 -1 -0x922b -0x11a91f51 -256 -256 -1 -0 -0 -2 -0x230b -0xadeca582 -256 -256 -1 -0 -0 -3 -0xcd3c -0x9147e269 -256 -256 -0 -0 -0 -3 -0xfcf7 -0x6bb3712 -256 -256 -0 -0 -0 -1 -0xa4b3 -0xe1403e22 -256 -256 -1 -0 -0 -3 -0xa393 -0xe3f6f219 -256 -256 -1 -0 -0 -2 -0xd34a -0x8017a54c -256 -256 -0 -0 -0 -1 -0xece3 -0x8a753de3 -256 -256 -0 -0 -0 -1 -0xaef5 -0x8bc5b2a7 -256 -256 -0 -0 -0 -4 -0x2372 -0x51f773bb -256 -256 -0 -0 -0 -1 -0xc19f -0xe8c45a5f -256 -256 -0 -0 -0 -1 -0x3670 -0xb495640b -256 -256 -0 -0 -0 -3 -0xac30 -0xde774402 -256 -256 -0 -0 -0 -1 -0x4985 -0x4bf12b20 -256 -256 -0 -0 -0 -3 -0x68a5 -0xcc4ce645 -256 -256 -0 -0 -0 -2 -0x1a1b -0xcda7dbac -256 -256 -0 -0 -0 -3 -0x940e -0xbcd0f0e1 -256 -256 -0 -0 -0 -1 -0x34ec -0x95f7e25a -256 -256 -1 -0 -0 -2 -0x480f -0x39847b0c -256 -256 -1 -0 -0 -4 -0x1d91 -0xdaef4f3d -256 -256 -0 -0 -0 -1 -0x9031 -0xb01d5d0e -256 -256 -1 -0 -0 -4 -0x5b85 -0xf9cfe48c -256 -256 -1 -0 -0 -4 -0xa6ca -0xdaaf272a -256 -256 -0 -0 -0 -2 -0x5758 -0xcccfe06e -256 -256 -1 -0 -0 -1 -0xd1f -0xc937da87 -256 -256 -0 -0 -0 -3 -0x8f76 -0x50ffdd06 -256 -256 -1 -0 -0 -4 -0xe16e -0xcff0ad65 -256 -256 -1 -0 -0 -4 -0xba1d -0x261284be -256 -256 -0 -0 -0 -4 -0xaefb -0xb6a6235d -256 -256 -1 -0 -0 -3 -0xaed2 -0x3b5da96 -256 -256 -0 -0 -0 -3 -0xfab8 -0x7844622b -256 -256 -0 -0 -0 -2 -0xc4e6 -0x94d631d3 -256 -256 -0 -0 -0 -1 -0x1e61 -0x6aeb5bc5 -256 -256 -1 -0 -0 -3 -0x32c -0xfbc8e392 -256 -256 -1 -0 -0 -4 -0x91d2 -0xf8e35fc2 -256 -256 -0 -0 -0 -1 -0x32d1 -0x20f2d483 -256 -256 -0 -0 -0 -2 -0xe058 -0xf49e6b20 -256 -256 -0 -0 -0 -2 -0x9ddf -0xc192234d -256 -256 -1 -0 -0 -4 -0x39d0 -0xc6075d8f -256 -256 -0 -0 -0 -3 -0x400d -0x793102be -256 -256 -0 -0 -0 -4 -0x6e6 -0xa03b2b32 -256 -256 -1 -0 -0 -4 -0x522c -0xeda63871 -256 -256 -1 -0 -0 -4 -0x3fd1 -0x629f2dac -256 -256 -1 -0 -0 -1 -0x7ba0 -0x717a8afb -256 -256 -1 -0 -0 -2 -0x8ad9 -0x2a140714 -256 -256 -1 -0 -0 -3 -0xdbec -0x75725cb1 -256 -256 -1 -0 -0 -1 -0xe0 -0x95d326f0 -256 -256 -1 -0 -0 -4 -0x13a5 -0x6c765b70 -256 -256 -1 -0 -0 -3 -0x71e -0x464415c8 -256 -256 -0 -0 -0 -1 -0x7675 -0xc225607c -256 -256 -0 -0 -0 -2 -0x3820 -0x2c10ff19 -256 -256 -0 -0 -0 -4 -0x43fe -0xef8bd547 -256 -256 -0 -0 -0 -1 -0x2934 -0x31c03de3 -256 -256 -1 -0 -0 -1 -0xe4d -0x219e8a1d -256 -256 -0 -0 -0 -3 -0x4313 -0x4d62d0ee -256 -256 -0 -0 -0 -1 -0x8ce1 -0x69c3ab35 -256 -256 -0 -0 -0 -4 -0x95cf -0xa545b099 -256 -256 -1 -0 -0 -4 -0x3e78 -0x7c2e275 -256 -256 -1 -0 -0 -1 -0xc259 -0x2b54b3b8 -256 -256 -0 -0 -0 -1 -0xb083 -0x544d4e85 -256 -256 -0 -0 -0 -4 -0xa501 -0xe771fd20 -256 -256 -1 -0 -0 -4 -0x8563 -0x3a8b44b2 -256 -256 -1 -0 -0 -4 -0xd1c6 -0x5785a063 -256 -256 -0 -0 -0 -3 -0x6895 -0xbfee5aac -256 -256 -0 -0 -0 -2 -0x6084 -0x529383d5 -256 -256 -1 -0 -0 -2 -0xb7c7 -0xfc304d1 -256 -256 -0 -0 -0 -1 -0x4f27 -0xad7a4f29 -256 -256 -0 -0 -0 -2 -0xdea0 -0x31a8db1b -256 -256 -1 -0 -0 -1 -0x6d7e -0x2a4a9b67 -256 -256 -1 -0 -0 -4 -0x3281 -0xb40870bb -256 -256 -0 -0 -0 -4 -0x6d95 -0x67a1d79d -256 -256 -0 -0 -0 -1 -0xd6bf -0x5293375e -256 -256 -1 -0 -0 -4 -0x642f -0xd5a582d3 -256 -256 -0 -0 -0 -2 -0x3880 -0x1452ba35 -256 -256 -1 -0 -0 -3 -0x97d3 -0xd5d23403 -256 -256 -1 -0 -0 -1 -0xcc8a -0x22531779 -256 -256 -0 -0 -0 -1 -0xb693 -0xed970a6f -256 -256 -0 -0 -0 -2 -0x592a -0xbf823f5f -256 -256 -0 -0 -0 -1 -0x4f4a -0xd2de4ed5 -256 -256 -1 -0 -0 -2 -0x7ebf -0xa8e40bcf -256 -256 -1 -0 -0 -2 -0xe063 -0x299b5beb -256 -256 -0 -0 -0 -1 -0xfcf8 -0xc52fd4da -256 -256 -1 -0 -0 -1 -0x240c -0x134fb6c9 -256 -256 -0 -0 -0 -1 -0x8f8b -0xea48935b -256 -256 -0 -0 -0 -1 -0xf63a -0xe4624aa9 -256 -256 -1 -0 -0 -3 -0xc2df -0xe942a52b -256 -256 -0 -0 -0 -3 -0x6ffb -0x22ec631a -256 -256 -1 -0 -0 -2 -0xaff8 -0x1e0117db -256 -256 -1 -0 -0 -2 -0xa576 -0xfbd875 -256 -256 -0 -0 -0 -2 -0x30de -0xc5833495 -256 -256 -1 -0 -0 -2 -0xaccf -0x10f3b0ae -256 -256 -1 -0 -0 -1 -0x7a31 -0xe4e0698d -256 -256 -0 -0 -0 -2 -0xe329 -0xc8384f3f -256 -256 -0 -0 -0 -2 -0x325f -0xbf0291d6 -256 -256 -1 -0 -0 -2 -0x5756 -0x8ccf9202 -256 -256 -0 -0 -0 -4 -0x948f -0xabf88f4c -256 -256 -0 -0 -0 -1 -0x79f -0x4b1b6bdd -256 -256 -0 -0 -0 -4 -0x7452 -0xc2d4d433 -256 -256 -0 -0 -0 -1 -0xc544 -0x55df7fed -256 -256 -1 -0 -0 -3 -0x8f7a -0x5183e5c3 -256 -256 -0 -0 -0 -2 -0xe822 -0x1e502a2a -256 -256 -0 -0 -0 -4 -0xc7fa -0x9926bda1 -256 -256 -1 -0 -0 -4 -0x56aa -0xcb2f59e4 -256 -256 -1 -0 -0 -3 -0xf50d -0xfb0f18c4 -256 -256 -1 -0 -0 -1 -0x7a6d -0xecdaf61e -256 -256 -0 -0 -0 -4 -0x7bb4 -0x57bdae68 -256 -256 -0 -0 -0 -4 -0xd620 -0xbdad007f -256 -256 -1 -0 -0 -1 -0x1a35 -0xe31c84af -256 -256 -1 -0 -0 -3 -0xd578 -0x7f93347c -256 -256 -1 -0 -0 -4 -0xd7a7 -0x486da4aa -256 -256 -1 -0 -0 -4 -0xf8ba -0x33afb2d9 -256 -256 -1 -0 -0 -1 -0xb44d -0x5a3df36d -256 -256 -1 -0 -0 -2 -0x32cd -0xd3a9b1e7 -256 -256 -0 -0 -0 -2 -0x81c0 -0xaf460208 -256 -256 -1 -0 -0 -4 -0x1fe9 -0xa7817ff2 -256 -256 -1 -0 -0 -3 -0xc607 -0x2edee45f -256 -256 -1 -0 -0 -3 -0x2d47 -0x7166a8db -256 -256 -1 -0 -0 -2 -0x4d64 -0x594d5108 -256 -256 -1 -0 -0 -2 -0x402f -0xb629eb2e -256 -256 -1 -0 -0 -2 -0xc1c4 -0x958dd551 -256 -256 -0 -0 -0 -1 -0x17b4 -0xa33f2f46 -256 -256 -0 -0 -0 -3 -0xe7b5 -0xa3827280 -256 -256 -1 -0 -0 -4 -0x1f8e -0xbe0a839b -256 -256 -1 -0 -0 -1 -0xdf1 -0xd2a4704e -256 -256 -0 -0 -0 -4 -0x1042 -0x22e40100 -256 -256 -1 -0 -0 -4 -0x88f1 -0x9421ed94 -256 -256 -0 -0 -0 -3 -0x99b7 -0x540cd464 -256 -256 -1 -0 -0 -2 -0x30f6 -0xd38d7704 -256 -256 -1 -0 -0 -3 -0xadb3 -0x9c74fbd3 -256 -256 -0 -0 -0 -2 -0xaef3 -0xd320f9c0 -256 -256 -0 -0 -0 -4 -0x66e7 -0xec63b574 -256 -256 -1 -0 -0 -3 -0x7f9d -0xb2d12b47 -256 -256 -0 -0 -0 -2 -0xd23d -0x69019323 -256 -256 -1 -0 -0 -2 -0xfb40 -0x41c32cf7 -256 -256 -1 -0 -0 -2 -0x8e65 -0x59a7d60d -256 -256 -0 -0 -0 -1 -0xa3f2 -0xf673408c -256 -256 -0 -0 -0 -2 -0x6119 -0xb455bcee -256 -256 -0 -0 -0 -2 -0x20 -0xfee760c3 -256 -256 -1 -0 -0 -2 -0xbd43 -0x308bc688 -256 -256 -0 -0 -0 -3 -0xed1e -0x13d1b0d4 -256 -256 -1 -0 -0 -1 -0xcbd7 -0x3af92932 -256 -256 -1 -0 -0 -1 -0x8333 -0xdf4aa165 -256 -256 -1 -0 -0 -3 -0x9830 -0xba998cfa -256 -256 -0 -0 -0 -2 -0x622b -0xbf21f346 -256 -256 -0 -0 -0 -2 -0xd116 -0x9f0f2e4f -256 -256 -0 -0 -0 -4 -0xea7f -0x53a24104 -256 -256 -0 -0 -0 -1 -0x82cd -0x33bcb854 -256 -256 -1 -0 -0 -2 -0x5acd -0xb223e2f6 -256 -256 -0 -0 -0 -1 -0xeef1 -0x570aed3e -256 -256 -1 -0 -0 -3 -0xe613 -0x8a57a936 -256 -256 -0 -0 -0 -2 -0x8fd3 -0xe0c7d4d3 -256 -256 -1 -0 -0 -3 -0x34b6 -0xa06bce38 -256 -256 -0 -0 -0 -1 -0x55c9 -0xc0cf3c2 -256 -256 -0 -0 -0 -2 -0x8714 -0xdf432b9e -256 -256 -0 -0 -0 -3 -0x7083 -0xa449be32 -256 -256 -0 -0 -0 -3 -0x9337 -0xe3d0904d -256 -256 -0 -0 -0 -2 -0x8ccf -0x9a6db469 -256 -256 -0 -0 -0 -3 -0x4537 -0xf1499562 -256 -256 -1 -0 -0 -4 -0x314d -0x420ed298 -256 -256 -1 -0 -0 -4 -0x2b76 -0xecf96316 -256 -256 -0 -0 -0 -3 -0x3069 -0xee6de729 -256 -256 -0 -0 -0 -4 -0xfa42 -0x6a5d43d5 -256 -256 -1 -0 -0 -1 -0x2546 -0x25198214 -256 -256 -0 -0 -0 -4 -0x317d -0xa646c321 -256 -256 -0 -0 -0 -2 -0xcf7f -0x3c219a12 -256 -256 -1 -0 -0 -1 -0xc4de -0xc08dfdad -256 -256 -1 -0 -0 -4 -0xdfba -0xdae5a40d -256 -256 -1 -0 -0 -2 -0x18af -0x56240f23 -256 -256 -0 -0 -0 -2 -0xd1b9 -0x3b91420d -256 -256 -0 -0 -0 -4 -0xe9b4 -0x343506c9 -256 -256 -1 -0 -0 -1 -0x34a6 -0x530f59d5 -256 -256 -1 -0 -0 -3 -0x8a69 -0x521fe080 -256 -256 -1 -0 -0 -3 -0xa019 -0xeae7b418 -256 -256 -0 -0 -0 -3 -0xcbae -0xef172f55 -256 -256 -0 -0 -0 -2 -0xde51 -0xf466daf4 -256 -256 -0 -0 -0 -3 -0xfee8 -0x98bb652c -256 -256 -1 -0 -0 -4 -0x1ee2 -0xf5c85110 -256 -256 -1 -0 -0 -1 -0xc8d2 -0xa94dd4b7 -256 -256 -1 -0 -0 -2 -0xe12 -0xb7326eea -256 -256 -0 -0 -0 -2 -0xbb3a -0xa3349a4a -256 -256 -0 -0 -0 -3 -0xf62b -0x9f42a4e -256 -256 -1 -0 -0 -2 -0xa021 -0xce465df1 -256 -256 -1 -0 -0 -2 -0xfe79 -0x8402186a -256 -256 -1 -0 -0 -2 -0xfd2a -0x84d2cdc7 -256 -256 -0 -0 -0 -3 -0x7a73 -0xea71128a -256 -256 -0 -0 -0 -4 -0xc1e2 -0xfbedb0f0 -256 -256 -0 -0 -0 -3 -0x9dc8 -0x8de20996 -256 -256 -1 -0 -0 -2 -0xb977 -0x23f5edbd -256 -256 -1 -0 -0 -2 -0x8384 -0x5296ff4 -256 -256 -1 -0 -0 -4 -0xe752 -0x15dd2d7c -256 -256 -1 -0 -0 -4 -0x6a02 -0x3d183bae -256 -256 -1 -0 -0 -1 -0x789 -0x57a935f7 -256 -256 -0 -0 -0 -4 -0x72da -0xd0402ff0 -256 -256 -1 -0 -0 -1 -0x8d49 -0x62d9e3c -256 -256 -0 -0 -0 -4 -0x711d -0x451f832d -256 -256 -1 -0 -0 -2 -0x9121 -0x646bbefb -256 -256 -1 -0 -0 -2 -0x48d6 -0x3137be8 -256 -256 -0 -0 -0 -2 -0x7fe1 -0x986f3470 -256 -256 -0 -0 -0 -4 -0x475f -0x2050d0aa -256 -256 -0 -0 -0 -3 -0xaa6a -0x567f8a62 -256 -256 -0 -0 -0 -1 -0x3b50 -0x53bedb10 -256 -256 -0 -0 -0 -2 -0x3138 -0x9e1a7908 -256 -256 -1 -0 -0 -2 -0xc00b -0x6195dc5e -256 -256 -1 -0 -0 -1 -0xc4bf -0xfc61f88b -256 -256 -1 -0 -0 -4 -0x78fc -0x5092c1c7 -256 -256 -0 -0 -0 -4 -0xcd0b -0x779ddfe -256 -256 -1 -0 -0 -4 -0xe489 -0x1981cc46 -256 -256 -0 -0 -0 -4 -0x6151 -0x9fce988a -256 -256 -0 -0 -0 -4 -0x9110 -0xe563665a -256 -256 -1 -0 -0 -3 -0x5e13 -0xeaaf4ea5 -256 -256 -0 -0 -0 -3 -0xc233 -0x456201bb -256 -256 -0 -0 -0 -3 -0x8b3 -0xd5bfe173 -256 -256 -1 -0 -0 -4 -0x3ce -0xdd21944c -256 -256 -0 -0 -0 -4 -0x7b50 -0x8eeb3d9e -256 -256 -1 -0 -0 -1 -0x6a44 -0x2a516985 -256 -256 -1 -0 -0 -2 -0x1d86 -0x8f88a87e -256 -256 -0 -0 -0 -1 -0x341c -0xf7b4629a -256 -256 -0 -0 -0 -3 -0xb2d2 -0x77462953 -256 -256 -1 -0 -0 -1 -0xc15d -0x5567a64d -256 -256 -0 -0 -0 -1 -0xdc -0xd5c55932 -256 -256 -1 -0 -0 -1 -0xb990 -0xbd67bd96 -256 -256 -1 -0 -0 -1 -0x919a -0xf282a7be -256 -256 -1 -0 -0 -2 -0x84dc -0x5923cd0f -256 -256 -0 -0 -0 -2 -0x535f -0x61b7e338 -256 -256 -0 -0 -0 -2 -0x980c -0x2983a8a8 -256 -256 -1 -0 -0 -2 -0x4d69 -0xec079090 -256 -256 -0 -0 -0 -1 -0x21be -0x222fa845 -256 -256 -1 -0 -0 -4 -0x5096 -0xef6f9e02 -256 -256 -0 -0 -0 -4 -0x7425 -0xaa583910 -256 -256 -1 -0 -0 -1 -0xcf91 -0x314b8539 -256 -256 -1 -0 -0 -4 -0x434d -0xb001e409 -256 -256 -1 -0 -0 -3 -0xc150 -0x2f9ab744 -256 -256 -0 -0 -0 -3 -0x724 -0x4d5c9b74 -256 -256 -0 -0 -0 -3 -0xd1e8 -0xb519c1d3 -256 -256 -1 -0 -0 -1 -0xc897 -0x32e0da93 -256 -256 -0 -0 -0 -3 -0xdcec -0xe1cb8aaa -256 -256 -0 -0 -0 -3 -0xa2ac -0x68941a97 -256 -256 -1 -0 -0 -3 -0xbb17 -0xe4a4259b -256 -256 -1 -0 -0 -1 -0xaed9 -0x169fdfff -256 -256 -0 -0 -0 -4 -0x337e -0x59b30367 -256 -256 -1 -0 -0 -1 -0xcc -0x62f6f1fd -256 -256 -0 -0 -0 -2 -0x964c -0x3fbad299 -256 -256 -1 -0 -0 -1 -0x71f8 -0x520eaaef -256 -256 -0 -0 -0 -1 -0x21d3 -0x94898df9 -256 -256 -1 -0 -0 -4 -0x511a -0x1dcaaef -256 -256 -0 -0 -0 -3 -0xc45e -0xcf60a81a -256 -256 -1 -0 -0 -4 -0x1053 -0x63ecc258 -256 -256 -1 -0 -0 -3 -0x12e3 -0xd5eb1dc1 -256 -256 -1 -0 -0 -3 -0xa266 -0x40591bd -256 -256 -1 -0 -0 -2 -0x6f58 -0x72e8d3a7 -256 -256 -0 -0 -0 -3 -0x762d -0xe6affa93 -256 -256 -0 -0 -0 -3 -0x7840 -0x71bc1187 -256 -256 -0 -0 -0 -2 -0xe71e -0x616c58c7 -256 -256 -1 -0 -0 -2 -0x1f82 -0x6e066cb7 -256 -256 -0 -0 -0 -1 -0xd46e -0x80416944 -256 -256 -0 -0 -0 -4 -0x560a -0x19b5ddc9 -256 -256 -0 -0 -0 -3 -0xed93 -0x5384e9e -256 -256 -0 -0 -0 -2 -0x9413 -0x706dbebc -256 -256 -1 -0 -0 -4 -0x5c9 -0x8d4c3f83 -256 -256 -0 -0 -0 -4 -0xd6d9 -0xbaf8cf14 -256 -256 -0 -0 -0 -1 -0xbb33 -0xaae72e2b -256 -256 -1 -0 -0 -2 -0x7853 -0xb67595ae -256 -256 -0 -0 -0 -3 -0xa5a5 -0x63c99462 -256 -256 -0 -0 -0 -1 -0x4452 -0x45862051 -256 -256 -0 -0 -0 -3 -0x923c -0xab442595 -256 -256 -0 -0 -0 -4 -0x58a1 -0x11fc9f04 -256 -256 -0 -0 -0 -3 -0x2ded -0xb2a93ffb -256 -256 -1 -0 -0 -2 -0xd9b8 -0x8478979b -256 -256 -1 -0 -0 -3 -0x2690 -0xdc6240b9 -256 -256 -1 -0 -0 -3 -0x1ba4 -0xa9e51db5 -256 -256 -1 -0 -0 -2 -0xc73c -0xd9a590a7 -256 -256 -0 -0 -0 -2 -0x7f75 -0x2c60c242 -256 -256 -1 -0 -0 -3 -0xdc45 -0x2a960f7 -256 -256 -0 -0 -0 -1 -0xa76b -0xa03aafe4 -256 -256 -1 -0 -0 -1 -0xee49 -0x9ce9be95 -256 -256 -0 -0 -0 -2 -0x5034 -0x5f13fb8 -256 -256 -0 -0 -0 -1 -0xb783 -0x5ed88386 -256 -256 -1 -0 -0 -3 -0x97f4 -0xf37977de -256 -256 -1 -0 -0 -1 -0xec95 -0x9631d106 -256 -256 -1 -0 -0 -4 -0xc99f -0x6851598a -256 -256 -0 -0 -0 -2 -0xc5c5 -0xd42bc07c -256 -256 -0 -0 -0 -4 -0xb8f5 -0xd4349441 -256 -256 -0 -0 -0 -2 -0x1bf7 -0x400392c1 -256 -256 -1 -0 -0 -2 -0xd06f -0x75b32058 -256 -256 -0 -0 -0 -2 -0xbd6 -0xc1e0a18d -256 -256 -0 -0 -0 -2 -0x2f64 -0xcded8b1c -256 -256 -1 -0 -0 -1 -0x2922 -0xf9464403 -256 -256 -1 -0 -0 -2 -0x485c -0x5e9f74a2 -256 -256 -0 -0 -0 -2 -0xb988 -0x1f46b690 -256 -256 -1 -0 -0 -1 -0xf28d -0x3bfa093e -256 -256 -0 -0 -0 -3 -0x27ae -0xe631d94b -256 -256 -1 -0 -0 -3 -0xcfd1 -0x995ea85a -256 -256 -1 -0 -0 -1 -0xbafa -0xab6ecc92 -256 -256 -1 -0 -0 -2 -0xb5ea -0x1c9d56af -256 -256 -1 -0 -0 -3 -0xf3a4 -0xad7b5213 -256 -256 -1 -0 -0 -4 -0x5ef5 -0xf2e59b9 -256 -256 -0 -0 -0 -2 -0x814d -0xc3ef6458 -256 -256 -0 -0 -0 -1 -0xf276 -0x8dcd0e4f -256 -256 -0 -0 -0 -1 -0x479e -0x35da84ad -256 -256 -0 -0 -0 -1 -0x49ce -0xf4fafe4f -256 -256 -0 -0 -0 -2 -0xb914 -0x4a45a160 -256 -256 -1 -0 -0 -1 -0xe58c -0x8882af95 -256 -256 -0 -0 -0 -4 -0x2e -0xf8174487 -256 -256 -0 -0 -0 -4 -0xbb7b -0xd2a21358 -256 -256 -0 -0 -0 -4 -0xc41c -0x9d3440f2 -256 -256 -1 -0 -0 -2 -0x9254 -0x75ae0ec0 -256 -256 -0 -0 -0 -2 -0xa895 -0x48516e47 -256 -256 -0 -0 -0 -3 -0xe5b7 -0x340724ee -256 -256 -0 -0 -0 -4 -0x39cb -0xda5ccdf2 -256 -256 -1 -0 -0 -1 -0x3e7f -0xa29bdeaa -256 -256 -1 -0 -0 -4 -0x23fc -0x90b9bb79 -256 -256 -0 -0 -0 -2 -0xe3cf -0xe3523f63 -256 -256 -0 -0 -0 -1 -0x351 -0xa38a9a74 -256 -256 -0 -0 -0 -1 -0x2204 -0x5202437c -256 -256 -1 -0 -0 -4 -0x7136 -0xd23d2ee2 -256 -256 -1 -0 -0 -3 -0x554 -0xd0e55a75 -256 -256 -0 -0 -0 -2 -0x112d -0x2dcdc8c7 -256 -256 -0 -0 -0 -3 -0x486b -0xc7cd4ba1 -256 -256 -1 -0 -0 -2 -0x7903 -0x9a95fa16 -256 -256 -0 -0 -0 -1 -0x9f5a -0x5367bc65 -256 -256 -0 -0 -0 -2 -0x3edb -0x714cae2b -256 -256 -1 -0 -0 -2 -0xdd9 -0xe33794f8 -256 -256 -1 -0 -0 -3 -0xc540 -0xa02facc9 -256 -256 -1 -0 -0 -1 -0xdc21 -0x7cebc3cf -256 -256 -0 -0 -0 -3 -0xf1d -0x2bc5e55c -256 -256 -1 -0 -0 -3 -0xaf85 -0x13ba0f61 -256 -256 -0 -0 -0 -3 -0x3382 -0x56f5a625 -256 -256 -0 -0 -0 -3 -0xdf8e -0x1a2e4dbb -256 -256 -0 -0 -0 -1 -0x9837 -0x958f53fb -256 -256 -1 -0 -0 -4 -0xd4b3 -0xfcc7253f -256 -256 -1 -0 -0 -4 -0xb127 -0x4fa29e82 -256 -256 -0 -0 -0 -3 -0xeb2b -0x1113a78d -256 -256 -1 -0 -0 -4 -0xaa8 -0xfad25610 -256 -256 -0 -0 -0 -3 -0xe787 -0x30f158a1 -256 -256 -1 -0 -0 -1 -0x3e61 -0x5d6a2a12 -256 -256 -0 -0 -0 -4 -0xbfcc -0x7b82f6d2 -256 -256 -1 -0 -0 -4 -0x4430 -0x891f2b2b -256 -256 -1 -0 -0 -1 -0x2c12 -0x1d878813 -256 -256 -0 -0 -0 -2 -0x2131 -0x472cc851 -256 -256 -0 -0 -0 -4 -0x9586 -0x48356307 -256 -256 -1 -0 -0 -3 -0x51ee -0xfd447d60 -256 -256 -1 -0 -0 -4 -0xa5cb -0xabcf9878 -256 -256 -0 -0 -0 -3 -0x5039 -0x4be8d170 -256 -256 -1 -0 -0 -3 -0x337e -0xcab542fa -256 -256 -0 -0 -0 -3 -0xee74 -0xdca958d9 -256 -256 -0 -0 -0 -1 -0xd9a -0x99e3c292 -256 -256 -0 -0 -0 -2 -0x878f -0x5cf2dc4e -256 -256 -0 -0 -0 -3 -0x8c88 -0x946ec1b4 -256 -256 -1 -0 -0 -4 -0xcddb -0xc9ed64d7 -256 -256 -1 -0 -0 -2 -0x62d1 -0x9eba17b -256 -256 -1 -0 -0 -4 -0x6411 -0x63003fca -256 -256 -1 -0 -0 -2 -0xf3bf -0x8d9c3d1d -256 -256 -1 -0 -0 -3 -0x9456 -0xe15b7872 -256 -256 -0 -0 -0 -2 -0x3c3c -0xac6b9992 -256 -256 -1 -0 -0 -2 -0xbb17 -0x5a77c5e3 -256 -256 -0 -0 -0 -3 -0xe8c1 -0x5a280375 -256 -256 -1 -0 -0 -3 -0x3f15 -0x7671c172 -256 -256 -0 -0 -0 -4 -0x42a3 -0xa2275b0e -256 -256 -1 -0 -0 -4 -0x722 -0x2d2007d6 -256 -256 -1 -0 -0 -2 -0x7e07 -0x8193ef0a -256 -256 -0 -0 -0 -2 -0xea28 -0x78616594 -256 -256 -1 -0 -0 -3 -0x472d -0xcec43438 -256 -256 -0 -0 -0 -2 -0xaabf -0xed30b2d4 -256 -256 -0 -0 -0 -3 -0x1551 -0x14ef0a11 -256 -256 -1 -0 -0 -2 -0xcc40 -0x3376f0d0 -256 -256 -1 -0 -0 -3 -0x8d26 -0xdafe74 -256 -256 -0 -0 -0 -3 -0x1f47 -0xa3e5e06 -256 -256 -0 -0 -0 -2 -0x5ba0 -0x3a1bbf7d -256 -256 -0 -0 -0 -2 -0x69f4 -0xa768c95c -256 -256 -1 -0 -0 -4 -0x8bcf -0xa455bfa4 -256 -256 -0 -0 -0 -2 -0xadf4 -0xf2431b22 -256 -256 -1 -0 -0 -4 -0x95fd -0xf14722e0 -256 -256 -1 -0 -0 -4 -0xba0f -0x917382df -256 -256 -1 -0 -0 -4 -0xe048 -0xa1e9549b -256 -256 -1 -0 -0 -4 -0xa255 -0x6acaa244 -256 -256 -1 -0 -0 -3 -0xe924 -0x10f56f00 -256 -256 -0 -0 -0 -1 -0x768a -0xb02e92f5 -256 -256 -0 -0 -0 -2 -0xf9c3 -0x4cf8ec12 -256 -256 -0 -0 -0 -2 -0xf6af -0x44787ec5 -256 -256 -1 -0 -0 -1 -0x346 -0x8a2f6438 -256 -256 -0 -0 -0 -4 -0x58c4 -0xb7442e60 -256 -256 -1 -0 -0 -1 -0xb70 -0xab61d672 -256 -256 -0 -0 -0 -2 -0x4d4e -0x21201164 -256 -256 -0 -0 -0 -1 -0xeb06 -0x276473a6 -256 -256 -1 -0 -0 -1 -0xbe5f -0x216b8bf8 -256 -256 -1 -0 -0 -2 -0xe609 -0x285eb06f -256 -256 -0 -0 -0 -1 -0xc440 -0xcdd9427d -256 -256 -0 -0 -0 -3 -0xf3c8 -0xa27c484a -256 -256 -1 -0 -0 -2 -0x48d5 -0xab77e8e8 -256 -256 -1 -0 -0 -4 -0xdd1b -0x82b8dfd2 -256 -256 -0 -0 -0 -3 -0x8f56 -0x25b6ae92 -256 -256 -0 -0 -0 -3 -0x3ea9 -0x507031e4 -256 -256 -0 -0 -0 -3 -0xb6f2 -0xf27f0f63 -256 -256 -0 -0 -0 -4 -0xa998 -0xd9a2c4ab -256 -256 -0 -0 -0 -1 -0x210e -0x14be9904 -256 -256 -0 -0 -0 -2 -0x2735 -0xf913c877 -256 -256 -0 -0 -0 -1 -0x4967 -0x6f40ac9d -256 -256 -0 -0 -0 -3 -0x44da -0xd456a5c4 -256 -256 -1 -0 -0 -3 -0x69f6 -0x90db324e -256 -256 -0 -0 -0 -1 -0x5ae4 -0x97010b9f -256 -256 -0 -0 -0 -1 -0x1557 -0x66c66ec7 -256 -256 -0 -0 -0 -1 -0x9de4 -0x864abfcb -256 -256 -0 -0 -0 -4 -0x235b -0xd3fef256 -256 -256 -0 -0 -0 -3 -0x594e -0xdf7a4050 -256 -256 -0 -0 -0 -3 -0xae43 -0x3a95b75a -256 -256 -1 -0 -0 -4 -0x114d -0xd75ad229 -256 -256 -0 -0 -0 -4 -0x1f3c -0x30a83288 -256 -256 -0 -0 -0 -3 -0x7476 -0xc897816c -256 -256 -0 -0 -0 -1 -0x6c0e -0x39874430 -256 -256 -0 -0 -0 -1 -0x4c79 -0x11f3280e -256 -256 -1 -0 -0 -2 -0x4056 -0x85c4aef8 -256 -256 -0 -0 -0 -1 -0x3407 -0x4ef8bc3 -256 -256 -1 -0 -0 -3 -0xf127 -0x6adafcf3 -256 -256 -1 -0 -0 -1 -0x43a1 -0xcd68bc66 -256 -256 -0 -0 -0 -4 -0xa1cf -0x21ca55d2 -256 -256 -1 -0 -0 -3 -0x8f9e -0x95984c55 -256 -256 -0 -0 -0 -3 -0xd511 -0x19aa06e4 -256 -256 -1 -0 -0 -2 -0x7c5d -0x923bdd6e -256 -256 -0 -0 -0 -4 -0x8957 -0xd2a6c66f -256 -256 -0 -0 -0 -2 -0x8969 -0x52ff13c0 -256 -256 -1 -0 -0 -4 -0xff58 -0xf05a0a67 -256 -256 -0 -0 -0 -4 -0x1ac3 -0x420f08b0 -256 -256 -1 -0 -0 -3 -0x664a -0xac2a2890 -256 -256 -1 -0 -0 -1 -0xad8e -0x2337a324 -256 -256 -0 -0 -0 -1 -0xc850 -0xbc48d325 -256 -256 -1 -0 -0 -2 -0x71b1 -0x84d532da -256 -256 -0 -0 -0 -4 -0x39d6 -0x8bdd2df9 -256 -256 -1 -0 -0 -4 -0x1a62 -0x2e537915 -256 -256 -1 -0 -0 -4 -0x1405 -0x7cd333e6 -256 -256 -0 -0 -0 -3 -0x88f5 -0xe99b67b0 -256 -256 -1 -0 -0 -3 -0xd34a -0xb52b1f69 -256 -256 -1 -0 -0 -1 -0x7c1 -0x75768de1 -256 -256 -0 -0 -0 -2 -0x4942 -0x22749728 -256 -256 -1 -0 -0 -2 -0xa2ff -0x6c49ef4b -256 -256 -1 -0 -0 -3 -0x6e15 -0xfeedd2ac -256 -256 -0 -0 -0 -2 -0x9fa4 -0x3ff659b7 -256 -256 -1 -0 -0 -2 -0xa675 -0xb40e0c40 -256 -256 -0 -0 -0 -4 -0x2ec0 -0x87bccebe -256 -256 -1 -0 -0 -2 -0xf50e -0x715e6777 -256 -256 -0 -0 -0 -1 -0x9848 -0x6803e410 -256 -256 -0 -0 -0 -4 -0xd74a -0x5aa88b59 -256 -256 -0 -0 -0 -1 -0x96cf -0x50356140 -256 -256 -0 -0 -0 -1 -0xb57b -0x53843bc9 -256 -256 -0 -0 -0 -2 -0xa6c -0xd01723 -256 -256 -1 -0 -0 -1 -0x968f -0x1ab38648 -256 -256 -1 -0 -0 -1 -0x5f89 -0xd72f279f -256 -256 -0 -0 -0 -1 -0x2e47 -0xbe2ca66b -256 -256 -0 -0 -0 -2 -0x3cf0 -0x243abb97 -256 -256 -0 -0 -0 -3 -0x1d47 -0x3b51db53 -256 -256 -0 -0 -0 -2 -0xd3da -0x3604010a -256 -256 -0 -0 -0 -1 -0xde5a -0x6bc334aa -256 -256 -1 -0 -0 -4 -0xd728 -0x86f42b1f -256 -256 -0 -0 -0 -1 -0xf3e -0x4b118cfb -256 -256 -0 -0 -0 -3 -0xfa88 -0xe334ab95 -256 -256 -0 -0 -0 -4 -0x5d78 -0xd1ebefe1 -256 -256 -1 -0 -0 -4 -0xdbea -0x503f69b4 -256 -256 -1 -0 -0 -3 -0x7b11 -0x2ed08bcb -256 -256 -1 -0 -0 -1 -0x310a -0xa88c5078 -256 -256 -1 -0 -0 -1 -0x40ed -0xe210c541 -256 -256 -1 -0 -0 -1 -0xc771 -0x94392f60 -256 -256 -1 -0 -0 -3 -0x8e96 -0x9c5ceb2a -256 -256 -1 -0 -0 -2 -0xa036 -0x4a38e894 -256 -256 -0 -0 -0 -1 -0xcaf9 -0x43e4b7a1 -256 -256 -1 -0 -0 -2 -0x4841 -0x31725759 -256 -256 -1 -0 -0 -3 -0x31ab -0xee1dc369 -256 -256 -0 -0 -0 -1 -0xa02b -0x6aa50cf4 -256 -256 -0 -0 -0 -4 -0xd41f -0xfb280560 -256 -256 -1 -0 -0 -3 -0x3d5a -0xb68c8bbb -256 -256 -0 -0 -0 -3 -0x6206 -0x5d496c4f -256 -256 -0 -0 -0 -1 -0xe85a -0x122fd695 -256 -256 -0 -0 -0 -4 -0x7a3e -0xce26505c -256 -256 -1 -0 -0 -1 -0xae41 -0xbd4a259d -256 -256 -0 -0 -0 -1 -0xffd0 -0x3a8111cc -256 -256 -1 -0 -0 -1 -0x81a0 -0xacf0f4ae -256 -256 -0 -0 -0 -2 -0x3971 -0x8dc9bbd9 -256 -256 -0 -0 -0 -4 -0xe35b -0x50be8238 -256 -256 -0 -0 -0 -2 -0x23d7 -0x3f58d755 -256 -256 -1 -0 -0 -3 -0xef64 -0xb5751d83 -256 -256 -1 -0 -0 -1 -0xe030 -0xa7f377d -256 -256 -0 -0 -0 -3 -0xc038 -0xa9f49db8 -256 -256 -0 -0 -0 -1 -0xfc71 -0xe8371de7 -256 -256 -0 -0 -0 -3 -0x8b1e -0x98fb2ff9 -256 -256 -0 -0 -0 -1 -0x3d7a -0x90452b7e -256 -256 -0 -0 -0 -4 -0xb284 -0x3519c483 -256 -256 -0 -0 -0 -1 -0x7c0a -0x99d0864b -256 -256 -0 -0 -0 -1 -0x3b00 -0x3ca9e70a -256 -256 -0 -0 -0 -1 -0x7577 -0x2427077c -256 -256 -0 -0 -0 -1 -0x6706 -0xc728e381 -256 -256 -1 -0 -0 -1 -0x1f60 -0x3441a651 -256 -256 -0 -0 -0 -4 -0xb0db -0x79e88e86 -256 -256 -1 -0 -0 -2 -0xdc4f -0xaf097db2 -256 -256 -1 -0 -0 -2 -0x634f -0xb7e85320 -256 -256 -1 -0 -0 -3 -0x513a -0x58c39ea5 -256 -256 -0 -0 -0 -2 -0xa8ae -0x2f792c38 -256 -256 -0 -0 -0 -4 -0x8fff -0xeb017ff3 -256 -256 -0 -0 -0 -1 -0x10a -0xcf49b21b -256 -256 -1 -0 -0 -3 -0xd2c4 -0x843243bf -256 -256 -1 -0 -0 -3 -0xd0f3 -0x22c97d04 -256 -256 -0 -0 -0 -1 -0xd1 -0x88f76f7 -256 -256 -1 -0 -0 -4 -0x26e5 -0xed8d4a28 -256 -256 -0 -0 -0 -1 -0x752a -0xe4afb693 -256 -256 -1 -0 -0 -2 -0xdfe8 -0x82e36a9f -256 -256 -1 -0 -0 -2 -0x947a -0x24c740b3 -256 -256 -1 -0 -0 -4 -0x1d49 -0xe4447266 -256 -256 -1 -0 -0 -3 -0xff8a -0x9e2c0634 -256 -256 -1 -0 -0 -3 -0xbdb -0x3e48c6e4 -256 -256 -0 -0 -0 -2 -0x2935 -0x155c03bb -256 -256 -1 -0 -0 -1 -0x8563 -0x6a66e24e -256 -256 -1 -0 -0 -2 -0xc1db -0xf946163a -256 -256 -1 -0 -0 -2 -0x6535 -0xeab3613a -256 -256 -1 -0 -0 -4 -0x4753 -0xcf5d48c3 -256 -256 -0 -0 -0 -3 -0x2df9 -0xf74eb3c8 -256 -256 -0 -0 -0 -1 -0xc539 -0xd05037a7 -256 -256 -0 -0 -0 -3 -0xfa8e -0x5cc6f884 -256 -256 -1 -0 -0 -4 -0x66da -0xd2c53338 -256 -256 -1 -0 -0 -3 -0xa0a8 -0xcd7228e3 -256 -256 -1 -0 -0 -4 -0x1524 -0x9fe17f7e -256 -256 -0 -0 -0 -4 -0x1e83 -0xb1138af3 -256 -256 -0 -0 -0 -3 -0xfd5b -0x110e6840 -256 -256 -1 -0 -0 -1 -0x41c7 -0x98d66736 -256 -256 -0 -0 -0 -1 -0x6624 -0x3d376a18 -256 -256 -0 -0 -0 -3 -0x3667 -0xc0972259 -256 -256 -0 -0 -0 -1 -0xc388 -0x40822fbb -256 -256 -0 -0 -0 -3 -0x19f6 -0xc4661880 -256 -256 -0 -0 -0 -3 -0x5bd7 -0x34a3a684 -256 -256 -0 -0 -0 -4 -0xf66e -0x987f9b34 -256 -256 -1 -0 -0 -3 -0xeb1e -0xeb75ec64 -256 -256 -0 -0 -0 -4 -0xea64 -0xa90ef64d -256 -256 -0 -0 -0 -4 -0xe7c0 -0x257d9beb -256 -256 -0 -0 -0 -4 -0xc76 -0x8d0ab4e5 -256 -256 -1 -0 -0 -4 -0x7f60 -0xde4d8b80 -256 -256 -0 -0 -0 -4 -0x72d2 -0x891026d0 -256 -256 -1 -0 -0 -4 -0x25a1 -0xcf079117 -256 -256 -0 -0 -0 -4 -0xdeb8 -0xc2cafac8 -256 -256 -1 -0 -0 -4 -0x2130 -0x4549b44e -256 -256 -1 -0 -0 -1 -0xa7c9 -0xb20e2191 -256 -256 -0 -0 -0 -3 -0x2100 -0x33f3fccd -256 -256 -1 -0 -0 -2 -0xee98 -0xdeed64e7 -256 -256 -1 -0 -0 -3 -0xb754 -0x507582eb -256 -256 -0 -0 -0 -3 -0x4c3f -0x822e71c8 -256 -256 -1 -0 -0 -4 -0xd478 -0xe63eead1 -256 -256 -0 -0 -0 -2 -0x57aa -0xcbf297ca -256 -256 -0 -0 -0 -3 -0x1693 -0xb6001577 -256 -256 -0 -0 -0 -2 -0x8695 -0x81eb276a -256 -256 -0 -0 -0 -4 -0x8d29 -0x7cf2e77d -256 -256 -1 -0 -0 -1 -0xa464 -0x3c81fda4 -256 -256 -0 -0 -0 -2 -0x55e -0xe94c1f13 -256 -256 -1 -0 -0 -4 -0xcaaa -0x568992d3 -256 -256 -1 -0 -0 -4 -0x68c7 -0xbe7f58f7 -256 -256 -1 -0 -0 -1 -0x6637 -0x140018c6 -256 -256 -0 -0 -0 -2 -0x37b -0x472145c0 -256 -256 -0 -0 -0 -4 -0xbfb8 -0x985e728e -256 -256 -0 -0 -0 -4 -0xcdc1 -0x9e5710c0 -256 -256 -1 -0 -0 -2 -0x9a28 -0x2af3845a -256 -256 -0 -0 -0 -3 -0x95bc -0xc5a96217 -256 -256 -1 -0 -0 -1 -0x6360 -0xc61c3751 -256 -256 -1 -0 -0 -4 -0x3d97 -0x811e85f9 -256 -256 -0 -0 -0 -1 -0x9621 -0x36ad7fbc -256 -256 -0 -0 -0 -4 -0xf22b -0xe67deaf1 -256 -256 -0 -0 -0 -1 -0xa996 -0x370c85ef -256 -256 -1 -0 -0 -1 -0x18e0 -0xfd6ba82f -256 -256 -0 -0 -0 -1 -0x3823 -0xa433596b -256 -256 -0 -0 -0 -4 -0xbad7 -0x547db9d6 -256 -256 -0 -0 -0 -4 -0x3f58 -0x8b8dec9f -256 -256 -0 -0 -0 -3 -0xfa11 -0x42ab9f4a -256 -256 -0 -0 -0 -2 -0xd32b -0x61598163 -256 -256 -1 -0 -0 -2 -0xa88a -0xf35aab9d -256 -256 -0 -0 -0 -3 -0xec3f -0xb551207f -256 -256 -0 -0 -0 -1 -0x6685 -0x7c760a01 -256 -256 -0 -0 -0 -3 -0xca92 -0xf1e3a55e -256 -256 -1 -0 -0 -2 -0x649b -0x5bd2449d -256 -256 -0 -0 -0 -4 -0x87ba -0xba67d1 -256 -256 -0 -0 -0 -1 -0x3f09 -0xbc91f70f -256 -256 -0 -0 -0 -2 -0xc077 -0x59ee05fa -256 -256 -0 -0 -0 -3 -0xab25 -0x3b0e9785 -256 -256 -1 -0 -0 -3 -0x1ed -0xea9804ba -256 -256 -0 -0 -0 -3 -0x6dc -0x90df00cd -256 -256 -1 -0 -0 -4 -0x12c5 -0x65ce1c62 -256 -256 -0 -0 -0 -3 -0xed0 -0x6cc6ea49 -256 -256 -0 -0 -0 -1 -0x3cb1 -0x3c9060d0 -256 -256 -1 -0 -0 -3 -0xde8a -0x9f36bca3 -256 -256 -0 -0 -0 -4 -0x6a85 -0x41eef237 -256 -256 -1 -0 -0 -4 -0xfe48 -0xca6f4363 -256 -256 -1 -0 -0 -1 -0xb35f -0xaeaff2ac -256 -256 -0 -0 -0 -2 -0xe5ba -0xeaa97d93 -256 -256 -1 -0 -0 -3 -0xe281 -0xfe2a334a -256 -256 -1 -0 -0 -1 -0x2d17 -0x1aa06244 -256 -256 -1 -0 -0 -3 -0xaaf3 -0xe55dcaf1 -256 -256 -1 -0 -0 -2 -0xd7d -0xa1305ba9 -256 -256 -0 -0 -0 -3 -0x5f4d -0x9f9da10a -256 -256 -0 -0 -0 -1 -0x19aa -0xaa65af43 -256 -256 -1 -0 -0 -2 -0xae94 -0x11ee0b4d -256 -256 -1 -0 -0 -1 -0xe54e -0xe4bd69b0 -256 -256 -1 -0 -0 -3 -0x72dc -0x10c9ae19 -256 -256 -1 -0 -0 -4 -0x31b -0x384ee251 -256 -256 -0 -0 -0 -3 -0x826 -0x71c70e92 -256 -256 -1 -0 -0 -4 -0xe1f2 -0xf6723698 -256 -256 -1 -0 -0 -2 -0x8e5c -0x9ac5c9cb -256 -256 -1 -0 -0 -4 -0x3bf -0x696d7048 -256 -256 -1 -0 -0 -1 -0x5a4a -0x5b946f28 -256 -256 -1 -0 -0 -1 -0x79e -0xc8f8cfb7 -256 -256 -1 -0 -0 -4 -0x1805 -0xc70792bf -256 -256 -0 -0 -0 -2 -0x5f14 -0xb0950caa -256 -256 -0 -0 -0 -2 -0x8525 -0x605fed10 -256 -256 -1 -0 -0 -1 -0x1024 -0x7346976e -256 -256 -0 -0 -0 -3 -0xd95 -0x62f8fc34 -256 -256 -0 -0 -0 -2 -0x7590 -0x4fe110ea -256 -256 -0 -0 -0 -2 -0xdc8a -0xf680be63 -256 -256 -0 -0 -0 -4 -0x2fcc -0xd689d9bf -256 -256 -1 -0 -0 -4 -0xbfff -0xa03d6b1f -256 -256 -0 -0 -0 -2 -0x76e0 -0x5a2bfce -256 -256 -1 -0 -0 -2 -0x9ee6 -0x2791d9b9 -256 -256 -1 -0 -0 -3 -0x9cea -0xebabc60b -256 -256 -1 -0 -0 -3 -0x2751 -0x3cd4204 -256 -256 -0 -0 -0 -4 -0x556b -0xf402268 -256 -256 -0 -0 -0 -3 -0x6347 -0x5f38ce91 -256 -256 -1 -0 -0 -2 -0x7d58 -0xdce29cb7 -256 -256 -1 -0 -0 -4 -0xfd2d -0xebaae638 -256 -256 -1 -0 -0 -1 -0xa7c9 -0x66ab876b -256 -256 -1 -0 -0 -3 -0x2406 -0x5238a536 -256 -256 -0 -0 -0 -1 -0x67c8 -0xf73f4db6 -256 -256 -1 -0 -0 -1 -0x9cd6 -0x7c4246eb -256 -256 -1 -0 -0 -2 -0x2f89 -0xcad55bc9 -256 -256 -0 -0 -0 -2 -0xd12b -0x5b63b13a -256 -256 -1 -0 -0 -4 -0x937e -0xb0324800 -256 -256 -0 -0 -0 -4 -0x8347 -0x77976d27 -256 -256 -1 -0 -0 -2 -0xef49 -0x5211b1f5 -256 -256 -1 -0 -0 -4 -0x10fe -0x2a7cd3ff -256 -256 -0 -0 -0 -4 -0x7390 -0x60dcb5be -256 -256 -1 -0 -0 -4 -0xdbda -0xd4a92987 -256 -256 -1 -0 -0 -3 -0x9674 -0x62975fcc -256 -256 -0 -0 -0 -1 -0x72ff -0x5b78a1e4 -256 -256 -1 -0 -0 -1 -0xeeb1 -0xb965645 -256 -256 -1 -0 -0 -4 -0x34ce -0x9fe10bca -256 -256 -1 -0 -0 -3 -0x57a0 -0x3195f23e -256 -256 -1 -0 -0 -3 -0x5813 -0xf7b70bd4 -256 -256 -1 -0 -0 -3 -0x597d -0xbf99261e -256 -256 -1 -0 -0 -1 -0xab08 -0x3dd17359 -256 -256 -0 -0 -0 -1 -0xfa40 -0x8ca4d9e1 -256 -256 -0 -0 -0 -4 -0x288e -0x94f278ee -256 -256 -0 -0 -0 -2 -0xbda6 -0x95c2726c -256 -256 -0 -0 -0 -2 -0xeda6 -0xb9c064bf -256 -256 -1 -0 -0 -4 -0x24f9 -0xfcd5686f -256 -256 -0 -0 -0 -3 -0x1003 -0x8edcc4df -256 -256 -0 -0 -0 -1 -0xdeae -0x9d9bffd7 -256 -256 -1 -0 -0 -4 -0x416f -0x33efff7d -256 -256 -1 -0 -0 -1 -0x9146 -0x2f5c645d -256 -256 -1 -0 -0 -4 -0xe7e3 -0xd521d34d -256 -256 -0 -0 -0 -2 -0x9762 -0xfa61727f -256 -256 -0 -0 -0 -4 -0x142a -0xfaa56b7b -256 -256 -1 -0 -0 -3 -0x5ab8 -0x5ff39474 -256 -256 -0 -0 -0 -4 -0xcb34 -0x75c997ec -256 -256 -0 -0 -0 -4 -0x294c -0xc95993f9 -256 -256 -1 -0 -0 -3 -0x838e -0xe0b1908 -256 -256 -0 -0 -0 -3 -0xcebe -0x57f8338e -256 -256 -0 -0 -0 -3 -0x524f -0xadea437c -256 -256 -1 -0 -0 -4 -0x1a60 -0xd5b5c72d -256 -256 -1 -0 -0 -4 -0xd875 -0xa10d1185 -256 -256 -1 -0 -0 -3 -0x1a25 -0xb4222cf9 -256 -256 -1 -0 -0 -1 -0x14b6 -0xea664803 -256 -256 -0 -0 -0 -2 -0xf3f6 -0xb4da4863 -256 -256 -1 -0 -0 -3 -0xb9d1 -0xa5d78249 -256 -256 -1 -0 -0 -4 -0xca80 -0x72d13a99 -256 -256 -0 -0 -0 -1 -0x23cc -0x6dfe3d92 -256 -256 -1 -0 -0 -4 -0xde54 -0x2a7a4e84 -256 -256 -1 -0 -0 -4 -0x1305 -0x3adbd46e -256 -256 -1 -0 -0 -1 -0x2b10 -0x4b998ebe -256 -256 -0 -0 -0 -4 -0x59ba -0x6bb9ec18 -256 -256 -1 -0 -0 -1 -0x9a77 -0x8ba12642 -256 -256 -1 -0 -0 -3 -0xecc0 -0xd6753f60 -256 -256 -0 -0 -0 -3 -0xfdb2 -0x9e2f103b -256 -256 -1 -0 -0 -2 -0x112c -0xc3d5f847 -256 -256 -0 -0 -0 -4 -0xb8ec -0x413507f -256 -256 -1 -0 -0 -1 -0x8491 -0x42ee233c -256 -256 -0 -0 -0 -4 -0x339d -0x7981c0b0 -256 -256 -1 -0 -0 -3 -0x7afa -0x58890ef9 -256 -256 -1 -0 -0 -1 -0x90e7 -0x1ddbf26d -256 -256 -0 -0 -0 -4 -0xfb31 -0xbf52420 -256 -256 -1 -0 -0 -1 -0x2617 -0x19eed6b9 -256 -256 -0 -0 -0 -3 -0x5097 -0x650039d2 -256 -256 -1 -0 -0 -3 -0x7520 -0x109b3ea8 -256 -256 -0 -0 -0 -4 -0x31bf -0x490d053a -256 -256 -0 -0 -0 -4 -0xbd97 -0xb6cebf0c -256 -256 -0 -0 -0 -1 -0xf9e2 -0x5fc6d5df -256 -256 -0 -0 -0 -4 -0x3361 -0x8e5b1cf6 -256 -256 -0 -0 -0 -2 -0x8be -0x6a275537 -256 -256 -1 -0 -0 -1 -0x1605 -0x67149acd -256 -256 -0 -0 -0 -4 -0x7e2 -0xce0ab9c2 -256 -256 -0 -0 -0 -1 -0x1c1c -0xe5b7427d -256 -256 -0 -0 -0 -3 -0x3a7b -0x98d0ad0b -256 -256 -1 -0 -0 -3 -0xb1e0 -0x326e9b53 -256 -256 -1 -0 -0 -4 -0x4bd5 -0xdf0ce922 -256 -256 -1 -0 -0 -1 -0xa35 -0x6eb86ba5 -256 -256 -1 -0 -0 -1 -0xdd5b -0x97a3039c -256 -256 -1 -0 -0 -1 -0xc6d9 -0xec817f7e -256 -256 -0 -0 -0 -3 -0xa0bd -0xfd52996d -256 -256 -1 -0 -0 -3 -0x1bed -0x74e0faa6 -256 -256 -1 -0 -0 -1 -0xaf3a -0x63cb8f47 -256 -256 -0 -0 -0 -1 -0x5969 -0x30eee388 -256 -256 -0 -0 -0 -4 -0x11ec -0x63346ad7 -256 -256 -1 -0 -0 -2 -0x42d2 -0xb9b6547b -256 -256 -0 -0 -0 -1 -0x873a -0x9a7eaa4f -256 -256 -1 -0 -0 -3 -0xc284 -0xe70b1269 -256 -256 -1 -0 -0 -3 -0x79d6 -0x10e915b5 -256 -256 -0 -0 -0 -2 -0x2c34 -0xb7d8c051 -256 -256 -0 -0 -0 -2 -0x5226 -0xfffc5976 -256 -256 -0 -0 -0 -2 -0x9e5c -0x4edb74d2 -256 -256 -0 -0 -0 -3 -0xfd87 -0x8bad6275 -256 -256 -0 -0 -0 -1 -0x353b -0x48031fe1 -256 -256 -1 -0 -0 -3 -0x9a81 -0x88fff38f -256 -256 -0 -0 -0 -1 -0x42a0 -0xae08778d -256 -256 -1 -0 -0 -2 -0xd22 -0x858e2ca2 -256 -256 -0 -0 -0 -3 -0x7247 -0xe3f7b91a -256 -256 -1 -0 -0 -3 -0x4de2 -0x120b30d9 -256 -256 -0 -0 -0 -3 -0x8683 -0xac5621e8 -256 -256 -0 -0 -0 -4 -0xf215 -0xda44c423 -256 -256 -1 -0 -0 -3 -0xb847 -0x56d0b48f -256 -256 -0 -0 -0 -1 -0xb84d -0xd4927024 -256 -256 -0 -0 -0 -3 -0x88d6 -0xb1b627b6 -256 -256 -1 -0 -0 -4 -0x9a5f -0xa9981151 -256 -256 -0 -0 -0 -1 -0x3f04 -0xdbea47ae -256 -256 -1 -0 -0 -4 -0x6d8b -0xa921c153 -256 -256 -1 -0 -0 -1 -0x44d9 -0x33c27732 -256 -256 -1 -0 -0 -2 -0x27e4 -0xe9a7156a -256 -256 -0 -0 -0 -3 -0xa698 -0x8a69cd30 -256 -256 -0 -0 -0 -1 -0xce8f -0x249f82bf -256 -256 -0 -0 -0 -3 -0xc60f -0x6ba1a3b2 -256 -256 -0 -0 -0 -2 -0x7368 -0xa55e1cfd -256 -256 -0 -0 -0 -3 -0xa4b4 -0xd1675b68 -256 -256 -1 -0 -0 -1 -0x6ed7 -0xcfc07377 -256 -256 -1 -0 -0 -4 -0xfeda -0x4771be0a -256 -256 -0 -0 -0 -2 -0x3cd9 -0xf04c83f3 -256 -256 -0 -0 -0 -1 -0xffa9 -0xcadc1a35 -256 -256 -1 -0 -0 -2 -0xf5ab -0x25ef9a77 -256 -256 -0 -0 -0 -1 -0x3ff2 -0x4cca33b -256 -256 -0 -0 -0 -2 -0xd853 -0x7bdde645 -256 -256 -1 -0 -0 -4 -0x4fea -0xeff6c671 -256 -256 -1 -0 -0 -4 -0xda20 -0xf90a442e -256 -256 -1 -0 -0 -3 -0x76a1 -0x198e7d89 -256 -256 -0 -0 -0 -3 -0x57d8 -0x3e7ae91 -256 -256 -1 -0 -0 -4 -0x5b86 -0xda9e9f98 -256 -256 -0 -0 -0 -3 -0xbf85 -0x74d1933a -256 -256 -1 -0 -0 -3 -0x657e -0x3c685165 -256 -256 -1 -0 -0 -2 -0x4b51 -0xe2790ba1 -256 -256 -0 -0 -0 -2 -0x101e -0x92b89f23 -256 -256 -0 -0 -0 -4 -0x7d10 -0x4df12694 -256 -256 -0 -0 -0 -4 -0x8ea -0x58bf7dab -256 -256 -0 -0 -0 -3 -0xc6f8 -0x68d20ca7 -256 -256 -1 -0 -0 -2 -0xa060 -0x33b77a62 -256 -256 -1 -0 -0 -4 -0x339c -0xeaa025fc -256 -256 -1 -0 -0 -2 -0xb963 -0xc5c74012 -256 -256 -0 -0 -0 -3 -0xf647 -0xa5016387 -256 -256 -0 -0 -0 -3 -0x3250 -0x4e4e716 -256 -256 -0 -0 -0 -2 -0x19d1 -0x6787a8ed -256 -256 -0 -0 -0 -2 -0x1ac -0x539fe0ef -256 -256 -1 -0 -0 -2 -0xbc24 -0xb94aeed -256 -256 -0 -0 -0 -3 -0xdf75 -0x10e52aeb -256 -256 -0 -0 -0 -1 -0xe741 -0xd9649352 -256 -256 -0 -0 -0 -2 -0x22a8 -0x32fc9723 -256 -256 -1 -0 -0 -3 -0xfcb3 -0xa8118a2a -256 -256 -0 -0 -0 -3 -0x6ddc -0xf94a4c37 -256 -256 -1 -0 -0 -2 -0x3596 -0xdcb830b5 -256 -256 -1 -0 -0 -3 -0xe6df -0xdef3794c -256 -256 -1 -0 -0 -4 -0x3943 -0xc2a07c96 -256 -256 -1 -0 -0 -4 -0x2b4f -0x57dad09a -256 -256 -0 -0 -0 -3 -0xbd3b -0xf0d31cfd -256 -256 -0 -0 -0 -1 -0x26d3 -0xccd9bde1 -256 -256 -1 -0 -0 -3 -0x232c -0x85fc2779 -256 -256 -0 -0 -0 -3 -0x1567 -0xa33ff6d6 -256 -256 -0 -0 -0 -4 -0xfb0d -0x6f1a8f68 -256 -256 -0 -0 -0 -2 -0x7693 -0x8a674bc0 -256 -256 -1 -0 -0 -2 -0x608 -0xe0ae989c -256 -256 -1 -0 -0 -1 -0xada8 -0x2b81ec7 -256 -256 -1 -0 -0 -1 -0xfdcd -0xf8e7e06c -256 -256 -1 -0 -0 -2 -0xb77f -0x1ff66e -256 -256 -1 -0 -0 -1 -0x9599 -0x97c6bfc5 -256 -256 -1 -0 -0 -1 -0xf226 -0x41dc89af -256 -256 -1 -0 -0 -1 -0xf77e -0xc9e04a0f -256 -256 -0 -0 -0 -1 -0xaa94 -0xdd29870 -256 -256 -1 -0 -0 -3 -0xfe0f -0xc460f9fb -256 -256 -0 -0 -0 -3 -0x15cd -0x26e4c57b -256 -256 -1 -0 -0 -1 -0x6cef -0xfb64bb2c -256 -256 -1 -0 -0 -1 -0x68fc -0x93ae55c8 -256 -256 -1 -0 -0 -4 -0xd03 -0x67cdd2ec -256 -256 -1 -0 -0 -3 -0x9d2f -0x4c4e72cf -256 -256 -0 -0 -0 -4 -0x3883 -0xd197481b -256 -256 -0 -0 -0 -1 -0x98f7 -0x4c09c387 -256 -256 -1 -0 -0 -2 -0xd00 -0x3d5663c8 -256 -256 -0 -0 -0 -2 -0x5b43 -0xdb5ea617 -256 -256 -1 -0 -0 -3 -0x9e73 -0xa1f44d09 -256 -256 -0 -0 -0 -1 -0xa28b -0x496858e1 -256 -256 -0 -0 -0 -2 -0xfd86 -0x40b61fcc -256 -256 -1 -0 -0 -4 -0xa027 -0xbffa79bf -256 -256 -1 -0 -0 -3 -0x44f8 -0xebae4af2 -256 -256 -1 -0 -0 -2 -0x3e09 -0x180df650 -256 -256 -1 -0 -0 -3 -0x8679 -0xe7504de -256 -256 -1 -0 -0 -3 -0x692d -0x7dcc3334 -256 -256 -1 -0 -0 -3 -0x29c9 -0x9efa10e1 -256 -256 -0 -0 -0 -2 -0xf323 -0x179bb46e -256 -256 -0 -0 -0 -3 -0xbf48 -0x488a0023 -256 -256 -1 -0 -0 -3 -0xf3a0 -0x8f75df3d -256 -256 -1 -0 -0 -4 -0xbb73 -0xa9cdbc5d -256 -256 -0 -0 -0 -2 -0x6ccb -0x98d43621 -256 -256 -0 -0 -0 -4 -0x6063 -0xb6d548cb -256 -256 -1 -0 -0 -3 -0xa39b -0x25ce2965 -256 -256 -0 -0 -0 -2 -0x71b6 -0xeb702dba -256 -256 -1 -0 -0 -2 -0x654e -0x2d4ede81 -256 -256 -0 -0 -0 -2 -0x2e34 -0xb06c50e3 -256 -256 -1 -0 -0 -1 -0x974f -0xb938ef01 -256 -256 -0 -0 -0 -2 -0x6e6e -0x3acc06f4 -256 -256 -1 -0 -0 -2 -0x837c -0x283f8ebc -256 -256 -1 -0 -0 -2 -0xe41a -0xc7374688 -256 -256 -1 -0 -0 -4 -0x199 -0x522576b0 -256 -256 -1 -0 -0 -3 -0xd2e1 -0x435e20b4 -256 -256 -1 -0 -0 -1 -0xabf -0x7dced4dd -256 -256 -0 -0 -0 -2 -0x3d1e -0xbdc133ef -256 -256 -0 -0 -0 -2 -0x3f25 -0x43071863 -256 -256 -1 -0 -0 -1 -0xcc88 -0xc9d53c32 -256 -256 -0 -0 -0 -2 -0x44b3 -0xa14c447f -256 -256 -0 -0 -0 -1 -0xd699 -0x5b2eb3b8 -256 -256 -1 -0 -0 -3 -0xb761 -0xa6fd39c9 -256 -256 -1 -0 -0 -3 -0xfd5c -0x5090edbb -256 -256 -0 -0 -0 -2 -0x9ff4 -0xd07b5d77 -256 -256 -0 -0 -0 -3 -0x25df -0xa48ad93a -256 -256 -0 -0 -0 -4 -0x8fe8 -0xf872a9ca -256 -256 -1 -0 -0 -4 -0xa6c7 -0xe83ad7db -256 -256 -1 -0 -0 -2 -0x1cca -0xf321c0fd -256 -256 -0 -0 -0 -2 -0x1423 -0x60af523 -256 -256 -0 -0 -0 -4 -0xc823 -0x5e178c75 -256 -256 -0 -0 -0 -4 -0xd56c -0xcfbb378f -256 -256 -0 -0 -0 -1 -0xf58b -0x51d8878e -256 -256 -0 -0 -0 -3 -0x3646 -0xbe5f126f -256 -256 -1 -0 -0 -4 -0x2db9 -0x6d250a5a -256 -256 -0 -0 -0 -4 -0x509 -0x88608a85 -256 -256 -1 -0 -0 -3 -0xa13a -0xbf4e5cb7 -256 -256 -1 -0 -0 -2 -0x94a9 -0x3114c8fc -256 -256 -1 -0 -0 -1 -0x880f -0xeed7a9ee -256 -256 -1 -0 -0 -2 -0x3758 -0x89dfca97 -256 -256 -1 -0 -0 -1 -0xd6d1 -0x60577b3b -256 -256 -0 -0 -0 -2 -0x273b -0x6f97d4da -256 -256 -1 -0 -0 -4 -0xa6a1 -0x8685734e -256 -256 -1 -0 -0 -1 -0xfc80 -0xb649c003 -256 -256 -0 -0 -0 -2 -0x30d9 -0xc9e110bf -256 -256 -0 -0 -0 -2 -0x29db -0xfc350072 -256 -256 -0 -0 -0 -4 -0xa650 -0xf59a0a9f -256 -256 -1 -0 -0 -1 -0x1cfe -0x58e16e9b -256 -256 -0 -0 -0 -3 -0x23e2 -0x2c10e5ab -256 -256 -1 -0 -0 -4 -0x4b68 -0xbafbc8ae -256 -256 -1 -0 -0 -2 -0x7559 -0xd48162ba -256 -256 -1 -0 -0 -4 -0x4bd1 -0xaaad0a63 -256 -256 -0 -0 -0 -4 -0xb0ce -0xde988a33 -256 -256 -0 -0 -0 -4 -0x31b1 -0xb20343fa -256 -256 -1 -0 -0 -4 -0xfdcc -0x36bc5d5e -256 -256 -0 -0 -0 -3 -0x6d20 -0x45ef444 -256 -256 -0 -0 -0 -4 -0x295d -0xb2d5bcca -256 -256 -0 -0 -0 -2 -0xf1f6 -0x40c81dcb -256 -256 -1 -0 -0 -1 -0x4c59 -0xcd56f3af -256 -256 -1 -0 -0 -3 -0x8fd1 -0xb6ddd2aa -256 -256 -0 -0 -0 -2 -0xce11 -0xd3834619 -256 -256 -0 -0 -0 -3 -0x49e0 -0xc270ce1c -256 -256 -1 -0 -0 -4 -0xdc35 -0x8118a258 -256 -256 -0 -0 -0 -3 -0x17cc -0x97c17696 -256 -256 -1 -0 -0 -4 -0xa3dd -0x2db93b6a -256 -256 -0 -0 -0 -3 -0x4bfc -0x7d89a81f -256 -256 -0 -0 -0 -1 -0x104f -0x8870b342 -256 -256 -0 -0 -0 -1 -0xb5d7 -0x6157e080 -256 -256 -1 -0 -0 -3 -0x2fdb -0x7bd27dd2 -256 -256 -1 -0 -0 -2 -0xfb05 -0xd5dccdd6 -256 -256 -0 -0 -0 -3 -0xa26c -0x1b65caaf -256 -256 -1 -0 -0 -1 -0x678a -0xa2918f98 -256 -256 -0 -0 -0 -1 -0xc515 -0x9c8d36b7 -256 -256 -0 -0 -0 -2 -0xbcef -0x7b7e9cae -256 -256 -1 -0 -0 -4 -0x33c0 -0x56325b75 -256 -256 -0 -0 -0 -2 -0x88ab -0x34d83704 -256 -256 -1 -0 -0 -3 -0x964f -0xd4eddb40 -256 -256 -0 -0 -0 -1 -0xd580 -0xcef0fc92 -256 -256 -1 -0 -0 -3 -0xa8c6 -0xa8e252f4 -256 -256 -1 -0 -0 -4 -0xf060 -0xc9bfca58 -256 -256 -0 -0 -0 -3 -0xb452 -0x79f822fe -256 -256 -0 -0 -0 -4 -0xf350 -0x1c7d8f5d -256 -256 -0 -0 -0 -1 -0xa5a8 -0x49032933 -256 -256 -1 -0 -0 -4 -0x7aa -0x7c0560d7 -256 -256 -0 -0 -0 -1 -0x78be -0x8363a95f -256 -256 -1 -0 -0 -1 -0x3ffc -0xc393e526 -256 -256 -0 -0 -0 -2 -0xe476 -0x4f2b4b88 -256 -256 -0 -0 -0 -1 -0xe766 -0xd68a903a -256 -256 -1 -0 -0 -1 -0x1f9b -0xdec93a0f -256 -256 -1 -0 -0 -3 -0xd36 -0x5086bbcd -256 -256 -0 -0 -0 -3 -0x50b2 -0x59333bfd -256 -256 -1 -0 -0 -2 -0xb960 -0x96526a6f -256 -256 -0 -0 -0 -3 -0x4f3e -0x11d554ad -256 -256 -1 -0 -0 -2 -0x3ad6 -0xc5b0094f -256 -256 -1 -0 -0 -2 -0xb323 -0x7781ab6b -256 -256 -1 -0 -0 -3 -0x8fdc -0xe8c769e9 -256 -256 -1 -0 -0 -3 -0xd964 -0x90557cb4 -256 -256 -0 -0 -0 -3 -0x2092 -0xa5280c92 -256 -256 -0 -0 -0 -1 -0x95e2 -0xf1ea8acb -256 -256 -0 -0 -0 -3 -0xe638 -0x2d6f7ba5 -256 -256 -0 -0 -0 -2 -0x4057 -0xf60d010f -256 -256 -1 -0 -0 -3 -0xf5f8 -0xb2c0eacd -256 -256 -1 -0 -0 -3 -0x93cf -0x4cb11571 -256 -256 -0 -0 -0 -4 -0x641f -0xb7251cd5 -256 -256 -1 -0 -0 -2 -0xa560 -0xf84cbaba -256 -256 -0 -0 -0 -1 -0x84ac -0x8eb7ee43 -256 -256 -0 -0 -0 -1 -0x6ae8 -0x8537e5e6 -256 -256 -0 -0 -0 -4 -0x885f -0x46535813 -256 -256 -1 -0 -0 -1 -0x87a8 -0x7eb9d27f -256 -256 -0 -0 -0 -3 -0xbc1 -0xe3ead44e -256 -256 -1 -0 -0 -2 -0xaa9c -0x442ca8d2 -256 -256 -1 -0 -0 -4 -0xad4 -0xe63e5f06 -256 -256 -1 -0 -0 -4 -0x4cc9 -0xecc8e83a -256 -256 -0 -0 -0 -2 -0x6dcc -0xa789bc59 -256 -256 -0 -0 -0 -1 -0x88c3 -0xc999f0d2 -256 -256 -1 -0 -0 -1 -0x3acf -0x404f5ee5 -256 -256 -1 -0 -0 -3 -0xff63 -0xa2c46b45 -256 -256 -1 -0 -0 -3 -0xb4bc -0x92790254 -256 -256 -1 -0 -0 -2 -0x972d -0x11fa2a75 -256 -256 -0 -0 -0 -2 -0x36e3 -0xd70187ff -256 -256 -0 -0 -0 -1 -0x422b -0x42c68435 -256 -256 -1 -0 -0 -4 -0x53dc -0x7e8f3eef -256 -256 -0 -0 -0 -4 -0xa16f -0x7dcda5ff -256 -256 -1 -0 -0 -2 -0x18f9 -0x2ca4ce95 -256 -256 -1 -0 -0 -3 -0x9aaf -0x27c4d1cf -256 -256 -0 -0 -0 -1 -0xf379 -0x4e04e6b -256 -256 -1 -0 -0 -3 -0x3373 -0xb567b478 -256 -256 -0 -0 -0 -4 -0x236d -0xf0b62bad -256 -256 -1 -0 -0 -2 -0xdf8c -0x419d28dc -256 -256 -0 -0 -0 -4 -0xd956 -0xc705d6a0 -256 -256 -0 -0 -0 -4 -0x9c19 -0x63c08647 -256 -256 -0 -0 -0 -2 -0x78ed -0x384ad930 -256 -256 -0 -0 -0 -1 -0x4f5 -0xc5365174 -256 -256 -1 -0 -0 -2 -0x7c47 -0x32869bcc -256 -256 -0 -0 -0 -1 -0x72da -0xb3b35b77 -256 -256 -1 -0 -0 -2 -0x4d0f -0x31a3100b -256 -256 -0 -0 -0 -1 -0xb686 -0xaad81e30 -256 -256 -1 -0 -0 -4 -0x9a22 -0xc137997b -256 -256 -0 -0 -0 -2 -0xe03b -0xfb2d64f0 -256 -256 -1 -0 -0 -2 -0x93e2 -0x337b0a97 -256 -256 -0 -0 -0 -4 -0x19c9 -0xd5b52cf4 -256 -256 -1 -0 -0 -2 -0x8297 -0xd60cd228 -256 -256 -1 -0 -0 -4 -0xa03f -0x96c76d99 -256 -256 -0 -0 -0 -1 -0x5ef6 -0xe8727716 -256 -256 -0 -0 -0 -1 -0xae09 -0x4446f36 -256 -256 -0 -0 -0 -1 -0xc0ce -0xba47229c -256 -256 -0 -0 -0 -3 -0xfb7a -0x4ef8c4ce -256 -256 -1 -0 -0 -4 -0x82f1 -0x6ea5c868 -256 -256 -0 -0 -0 -2 -0x1e25 -0x1dcb10d2 -256 -256 -1 -0 -0 -1 -0x7ef5 -0x34f94c33 -256 -256 -1 -0 -0 -4 -0x6a29 -0xf910a655 -256 -256 -1 -0 -0 -2 -0x7a33 -0xf9d8b8d -256 -256 -0 -0 -0 -3 -0x56d8 -0x8f2b41b8 -256 -256 -1 -0 -0 -4 -0x24b1 -0xc70171f2 -256 -256 -1 -0 -0 -3 -0x97ef -0xfd61a3f2 -256 -256 -0 -0 -0 -3 -0x1de9 -0x9c1eaa5a -256 -256 -1 -0 -0 -1 -0x7b99 -0x4587f812 -256 -256 -1 -0 -0 -4 -0x2c1f -0x42bda1c8 -256 -256 -1 -0 -0 -3 -0x345a -0x4bbca11f -256 -256 -0 -0 -0 -4 -0x90d6 -0xce729df4 -256 -256 -1 -0 -0 -4 -0xdcf2 -0xfc0b42af -256 -256 -0 -0 -0 -3 -0x5505 -0x2f30b90c -256 -256 -1 -0 -0 -3 -0x2af6 -0xeae50f55 -256 -256 -1 -0 -0 -3 -0x6b69 -0x76138d38 -256 -256 -1 -0 -0 -3 -0xba96 -0x140f6942 -256 -256 -0 -0 -0 -1 -0xccc0 -0x3c77ab9 -256 -256 -1 -0 -0 -3 -0xc208 -0xfd79a2b1 -256 -256 -0 -0 -0 -3 -0x687e -0x1a8645db -256 -256 -1 -0 -0 -4 -0x4bdb -0xb8e005be -256 -256 -1 -0 -0 -4 -0xbb81 -0x9b0dad3e -256 -256 -1 -0 -0 -1 -0xd1a6 -0xdd7958da -256 -256 -1 -0 -0 -1 -0x3ec7 -0xefedaa92 -256 -256 -1 -0 -0 -4 -0xd010 -0x3735c4dc -256 -256 -1 -0 -0 -4 -0x9c74 -0x59e1ccc4 -256 -256 -1 -0 -0 -4 -0xc9b8 -0x41066b8d -256 -256 -0 -0 -0 -1 -0x83ab -0x1ae4d316 -256 -256 -1 -0 -0 -3 -0xca1c -0x1d82eb13 -256 -256 -1 -0 -0 -1 -0x2e44 -0x1164af74 -256 -256 -0 -0 -0 -1 -0x5c04 -0x62cae08d -256 -256 -1 -0 -0 -4 -0x7ec5 -0x19eefb59 -256 -256 -0 -0 -0 -3 -0xbc2 -0xd1a490ab -256 -256 -0 -0 -0 -3 -0xdffe -0xcef016c4 -256 -256 -0 -0 -0 -2 -0xf522 -0x5ff0b14d -256 -256 -0 -0 -0 -1 -0x4845 -0x54740bc1 -256 -256 -0 -0 -0 -1 -0xe5c -0x29b86b47 -256 -256 -1 -0 -0 -1 -0xd5f7 -0x7093e3da -256 -256 -1 -0 -0 -2 -0xaebf -0x280520d0 -256 -256 -1 -0 -0 -1 -0x7d4f -0xca0a23e4 -256 -256 -0 -0 -0 -2 -0xdfab -0xdd4b8d58 -256 -256 -1 -0 -0 -3 -0xaa14 -0x486a6c63 -256 -256 -0 -0 -0 -4 -0xf2c6 -0xe9424a85 -256 -256 -1 -0 -0 -3 -0x5021 -0x4d271a05 -256 -256 -0 -0 -0 -3 -0x5b1e -0xeff64f86 -256 -256 -0 -0 -0 -1 -0x9b0f -0x846d37d -256 -256 -1 -0 -0 -3 -0xeca6 -0x4d50d7c4 -256 -256 -0 -0 -0 -1 -0x3cae -0xa8941351 -256 -256 -1 -0 -0 -2 -0x6ceb -0xa6248513 -256 -256 -0 -0 -0 -1 -0xbb0d -0xbaa4d2a9 -256 -256 -1 -0 -0 -4 -0x852a -0x7b1a76ac -256 -256 -0 -0 -0 -1 -0xb6f4 -0x69ae5f73 -256 -256 -1 -0 -0 -1 -0x765c -0xae0724a8 -256 -256 -0 -0 -0 -2 -0x8cb0 -0xcc8cfb0b -256 -256 -1 -0 -0 -3 -0x2eac -0x3224158 -256 -256 -1 -0 -0 -3 -0xf101 -0x3e673d5e -256 -256 -1 -0 -0 -1 -0xf700 -0x5645a07e -256 -256 -0 -0 -0 -4 -0x4773 -0xa8fd7d61 -256 -256 -1 -0 -0 -3 -0x915c -0x5e5cec6e -256 -256 -0 -0 -0 -1 -0x7c55 -0xa1a09a3d -256 -256 -1 -0 -0 -1 -0xf9fd -0x4206cc65 -256 -256 -1 -0 -0 -3 -0xd6b4 -0x567f08a2 -256 -256 -0 -0 -0 -1 -0xe0e8 -0x652c9a81 -256 -256 -1 -0 -0 -1 -0x193c -0xfcb937f2 -256 -256 -1 -0 -0 -3 -0x49b0 -0x4e6bd13 -256 -256 -1 -0 -0 -4 -0x9baa -0x9ec77732 -256 -256 -1 -0 -0 -2 -0x5264 -0x66aed134 -256 -256 -1 -0 -0 -2 -0x174c -0xf7554eda -256 -256 -1 -0 -0 -1 -0x35b0 -0xc0949372 -256 -256 -1 -0 -0 -3 -0x81e1 -0xdfa35194 -256 -256 -0 -0 -0 -2 -0x3fa3 -0x9cb39424 -256 -256 -0 -0 -0 -4 -0x9830 -0x51e60307 -256 -256 -1 -0 -0 -2 -0x2ec2 -0xd63c037f -256 -256 -1 -0 -0 -2 -0xba2a -0xaee6db1b -256 -256 -1 -0 -0 -2 -0xe28 -0x57ff907c -256 -256 -0 -0 -0 -1 -0x8265 -0x8dda2d7e -256 -256 -1 -0 -0 -1 -0x6de1 -0x3953aa35 -256 -256 -0 -0 -0 -1 -0xbbb5 -0xbc25c0c9 -256 -256 -0 -0 -0 -2 -0x6cfe -0xce5743a3 -256 -256 -0 -0 -0 -1 -0xada8 -0x846b5cb -256 -256 -1 -0 -0 -1 -0x7f5b -0xadebfca4 -256 -256 -1 -0 -0 -3 -0x4883 -0x157bbf12 -256 -256 -0 -0 -0 -3 -0xb16e -0x48ee6b01 -256 -256 -1 -0 -0 -1 -0xd196 -0x2567e209 -256 -256 -0 -0 -0 -3 -0x788c -0xf3068dc9 -256 -256 -0 -0 -0 -2 -0x589b -0x6f35dbf4 -256 -256 -1 -0 -0 -3 -0xcca0 -0xd3ae4717 -256 -256 -1 -0 -0 -1 -0x3b16 -0x472cdf9a -256 -256 -1 -0 -0 -1 -0xc1b8 -0x26aba930 -256 -256 -0 -0 -0 -2 -0xf3e8 -0xf28fe716 -256 -256 -1 -0 -0 -2 -0xa6fa -0x202cd217 -256 -256 -1 -0 -0 -3 -0x2ab0 -0x8aef1c2d -256 -256 -1 -0 -0 -2 -0xf931 -0xe96ecdf4 -256 -256 -1 -0 -0 -2 -0xe4a4 -0xf457c876 -256 -256 -0 -0 -0 -2 -0xd2c9 -0x16fcebf8 -256 -256 -1 -0 -0 -4 -0x6080 -0x79abb2d0 -256 -256 -1 -0 -0 -4 -0x9e6a -0xd4e3e86c -256 -256 -1 -0 -0 -2 -0xa030 -0xf9f32b1a -256 -256 -1 -0 -0 -4 -0xbb0a -0x31e01ca2 -256 -256 -1 -0 -0 -4 -0x2d65 -0xc84fd02f -256 -256 -0 -0 -0 -1 -0xfd58 -0x81edcf5d -256 -256 -0 -0 -0 -1 -0x5d89 -0x8ad5dbb7 -256 -256 -0 -0 -0 -4 -0x6eeb -0xdfd4927f -256 -256 -0 -0 -0 -2 -0x3eb8 -0xdd3c05cd -256 -256 -0 -0 -0 -3 -0x1a27 -0x5f1ee3ca -256 -256 -1 -0 -0 -3 -0x299 -0x659d7bf2 -256 -256 -1 -0 -0 -1 -0x508e -0xbddf6aa6 -256 -256 -0 -0 -0 -3 -0xa933 -0x5e1224a1 -256 -256 -1 -0 -0 -1 -0xc148 -0xe8c964cd -256 -256 -1 -0 -0 -1 -0xa300 -0x5238f239 -256 -256 -1 -0 -0 -3 -0x7871 -0x7aa99d9a -256 -256 -0 -0 -0 -2 -0x62d1 -0x275e183f -256 -256 -1 -0 -0 -2 -0x355a -0x6dd75601 -256 -256 -1 -0 -0 -2 -0xdfa2 -0x1f0dac60 -256 -256 -1 -0 -0 -1 -0xc3cd -0x54ddfc64 -256 -256 -1 -0 -0 -3 -0xb33d -0xe447fe38 -256 -256 -1 -0 -0 -4 -0xf76b -0xea88b521 -256 -256 -0 -0 -0 -4 -0xbc34 -0x7dd536fc -256 -256 -0 -0 -0 -1 -0x2478 -0x86b178d2 -256 -256 -1 -0 -0 -2 -0x72cf -0xce5b1e43 -256 -256 -0 -0 -0 -4 -0xc0d7 -0x435a75f9 -256 -256 -1 -0 -0 -4 -0xa5ee -0x6fc64cd -256 -256 -0 -0 -0 -2 -0x58b5 -0xb923f7cf -256 -256 -1 -0 -0 -1 -0x9349 -0xd4b55487 -256 -256 -1 -0 -0 -2 -0xf0e3 -0xa319c427 -256 -256 -0 -0 -0 -4 -0x9261 -0xa5261b68 -256 -256 -0 -0 -0 -2 -0x8757 -0xa878137c -256 -256 -1 -0 -0 -4 -0x835c -0x64011d07 -256 -256 -1 -0 -0 -4 -0x8496 -0x38ca069e -256 -256 -0 -0 -0 -3 -0x4ddc -0x130b1aa0 -256 -256 -0 -0 -0 -4 -0x1bf9 -0x2d92900c -256 -256 -0 -0 -0 -1 -0x52b1 -0x4ce0db50 -256 -256 -1 -0 -0 -4 -0x9be6 -0x175338f -256 -256 -0 -0 -0 -2 -0xaa43 -0x878729f5 -256 -256 -1 -0 -0 -2 -0xe2e -0xaf494e10 -256 -256 -0 -0 -0 -2 -0x845a -0xd506cef6 -256 -256 -1 -0 -0 -2 -0xb994 -0x705301a0 -256 -256 -0 -0 -0 -1 -0x27df -0x83199027 -256 -256 -1 -0 -0 -2 -0xc68a -0x78f37c31 -256 -256 -1 -0 -0 -1 -0x39e1 -0x56a378a -256 -256 -1 -0 -0 -4 -0xa9db -0x72b12d52 -256 -256 -1 -0 -0 -1 -0xc3d2 -0xeaece9c8 -256 -256 -1 -0 -0 -1 -0x4f33 -0x274268 -256 -256 -1 -0 -0 -4 -0x3a5d -0x6ea9754e -256 -256 -1 -0 -0 -2 -0xfd84 -0xf42cef79 -256 -256 -0 -0 -0 -1 -0x8bda -0x23d08863 -256 -256 -1 -0 -0 -4 -0x43fa -0x6a147a87 -256 -256 -0 -0 -0 -1 -0xdce9 -0x2d38c9a -256 -256 -0 -0 -0 -4 -0x7b3d -0xb229e1cc -256 -256 -0 -0 -0 -2 -0xcc3c -0x868301d0 -256 -256 -0 -0 -0 -3 -0x7673 -0x6eaa283e -256 -256 -1 -0 -0 -3 -0x6998 -0x84618d52 -256 -256 -1 -0 -0 -4 -0xcac9 -0x1edc92b -256 -256 -1 -0 -0 -4 -0x786e -0x8595abad -256 -256 -0 -0 -0 -1 -0x7446 -0x3e86f220 -256 -256 -0 -0 -0 -1 -0x27b0 -0xb24518f1 -256 -256 -1 -0 -0 -2 -0xe23d -0x8b0faad6 -256 -256 -0 -0 -0 -3 -0xff34 -0x8c1a4fcb -256 -256 -1 -0 -0 -4 -0xb7e2 -0x5284bc5f -256 -256 -1 -0 -0 -4 -0x978 -0xadd25838 -256 -256 -1 -0 -0 -1 -0x249c -0xea63a0ec -256 -256 -0 -0 -0 -3 -0xb6d3 -0xc6e77c33 -256 -256 -0 -0 -0 -3 -0xa9e7 -0x309f8fbc -256 -256 -1 -0 -0 -1 -0xc06e -0xd7168b27 -256 -256 -1 -0 -0 -2 -0x476b -0x844ea67a -256 -256 -1 -0 -0 -2 -0x162e -0x526958e9 -256 -256 -0 -0 -0 -2 -0x1b31 -0xe99b8d -256 -256 -1 -0 -0 -4 -0x7406 -0xf8ebe1aa -256 -256 -0 -0 -0 -3 -0xa3ac -0x839c8e5c -256 -256 -0 -0 -0 -1 -0xcc00 -0x75ae68cd -256 -256 -0 -0 -0 -2 -0x6806 -0x940dcaa -256 -256 -0 -0 -0 -4 -0xcce0 -0x83071d42 -256 -256 -1 -0 -0 -2 -0x553c -0x4b82fd3f -256 -256 -0 -0 -0 -1 -0xec95 -0x26a830ed -256 -256 -0 -0 -0 -4 -0xe658 -0x3379be60 -256 -256 -1 -0 -0 -4 -0x1a09 -0x3e664ac0 -256 -256 -1 -0 -0 -4 -0x1c57 -0x91fa4f49 -256 -256 -0 -0 -0 -4 -0xca14 -0x39ddef5e -256 -256 -1 -0 -0 -1 -0x540f -0x74285073 -256 -256 -1 -0 -0 -4 -0x2550 -0x917095d -256 -256 -1 -0 -0 -2 -0x178f -0x533a8691 -256 -256 -1 -0 -0 -3 -0x9d95 -0xfb1b1468 -256 -256 -1 -0 -0 -4 -0xbcad -0x55e3a3c3 -256 -256 -0 -0 -0 -1 -0xf8c1 -0xbe635148 -256 -256 -0 -0 -0 -4 -0xe946 -0x19152f31 -256 -256 -0 -0 -0 -1 -0xc5ee -0x923718e5 -256 -256 -0 -0 -0 -2 -0x5164 -0x5854c40 -256 -256 -0 -0 -0 -2 -0x9964 -0xc7ec35b5 -256 -256 -0 -0 -0 -3 -0x3001 -0xba283b75 -256 -256 -0 -0 -0 -3 -0xf350 -0x59d61109 -256 -256 -0 -0 -0 -2 -0x1497 -0x1e0322fe -256 -256 -1 -0 -0 -3 -0x5c4d -0x7e9bb200 -256 -256 -1 -0 -0 -4 -0xede7 -0x6b63217a -256 -256 -0 -0 -0 -4 -0x1c9b -0xf9727601 -256 -256 -0 -0 -0 -2 -0x3155 -0xae88457f -256 -256 -1 -0 -0 -4 -0x3ec3 -0xb357083e -256 -256 -1 -0 -0 -2 -0x152e -0x6d0785f8 -256 -256 -1 -0 -0 -3 -0xa8f4 -0x7e544993 -256 -256 -0 -0 -0 -3 -0xa4d2 -0x2f9c504c -256 -256 -1 -0 -0 -1 -0x74ac -0xc7c768b7 -256 -256 -0 -0 -0 -4 -0x8c83 -0x38ba302 -256 -256 -0 -0 -0 -3 -0x641e -0x9c1eb560 -256 -256 -1 -0 -0 -2 -0x17a4 -0xc0b33d2c -256 -256 -0 -0 -0 -3 -0x5dcc -0xa3535121 -256 -256 -0 -0 -0 -4 -0x6175 -0xcb6e803b -256 -256 -1 -0 -0 -3 -0xb975 -0x76ec93f6 -256 -256 -1 -0 -0 -3 -0x1771 -0x4a6d1c79 -256 -256 -0 -0 -0 -2 -0x1a0c -0xc890566b -256 -256 -1 -0 -0 -1 -0x4bef -0x149bda53 -256 -256 -0 -0 -0 -1 -0xeb3e -0x5234d7e9 -256 -256 -1 -0 -0 -3 -0x74f5 -0x1cf01103 -256 -256 -0 -0 -0 -1 -0x6bee -0x5fb18212 -256 -256 -0 -0 -0 -3 -0xd66 -0xdfa7b7cb -256 -256 -1 -0 -0 -4 -0x8e62 -0xe84bcd81 -256 -256 -1 -0 -0 -1 -0x3b4f -0xcde2871c -256 -256 -1 -0 -0 -4 -0xc202 -0x62b04bb1 -256 -256 -0 -0 -0 -2 -0x26a5 -0x3fd79076 -256 -256 -1 -0 -0 -2 -0xddad -0xafdf980a -256 -256 -1 -0 -0 -3 -0x8b84 -0x481ed691 -256 -256 -0 -0 -0 -2 -0xaa5b -0x6dc52a6e -256 -256 -0 -0 -0 -3 -0x4ab3 -0x76a198c3 -256 -256 -0 -0 -0 -2 -0x507d -0xf855ac7e -256 -256 -1 -0 -0 -4 -0xaea8 -0x1193c434 -256 -256 -1 -0 -0 -1 -0xa91 -0x96707f1c -256 -256 -1 -0 -0 -1 -0x96e6 -0x79153198 -256 -256 -0 -0 -0 -2 -0x37f5 -0x37844d28 -256 -256 -1 -0 -0 -2 -0x77b -0xbbbf6a15 -256 -256 -1 -0 -0 -2 -0xcb25 -0x6646e441 -256 -256 -1 -0 -0 -1 -0xc642 -0x873726c2 -256 -256 -1 -0 -0 -4 -0x6ad -0x22359cf1 -256 -256 -0 -0 -0 -1 -0xe302 -0x3cf6face -256 -256 -1 -0 -0 -3 -0x2271 -0xea38a886 -256 -256 -0 -0 -0 -3 -0x490a -0x8d341f8a -256 -256 -1 -0 -0 -1 -0x60cd -0x58a50a2c -256 -256 -0 -0 -0 -2 -0x6356 -0xb77c48f -256 -256 -0 -0 -0 -3 -0xba3f -0x7b2e2c72 -256 -256 -1 -0 -0 -1 -0x6932 -0xc8a2b84d -256 -256 -0 -0 -0 -4 -0x2224 -0x7646640 -256 -256 -0 -0 -0 -1 -0xd85 -0x1cbee186 -256 -256 -1 -0 -0 -4 -0xe53c -0xf25273fc -256 -256 -0 -0 -0 -3 -0xbbaf -0x856df36c -256 -256 -1 -0 -0 -1 -0xc668 -0xf410b347 -256 -256 -0 -0 -0 -3 -0x7f7a -0x26e2f4fe -256 -256 -0 -0 -0 -3 -0x92b0 -0xbb6bf88f -256 -256 -1 -0 -0 -3 -0xcbf3 -0xc56c3d52 -256 -256 -0 -0 -0 -4 -0x4a8b -0xc70036b1 -256 -256 -1 -0 -0 -3 -0x5101 -0x533dc05c -256 -256 -0 -0 -0 -3 -0x43de -0x925fb73e -256 -256 -0 -0 -0 -3 -0x9169 -0x3dd8ac76 -256 -256 -1 -0 -0 -3 -0x73aa -0xbb39ad30 -256 -256 -1 -0 -0 -1 -0x911c -0xa1f002c3 -256 -256 -0 -0 -0 -4 -0x7a0c -0xdc3068d9 -256 -256 -0 -0 -0 -4 -0xb741 -0xb1ca1f54 -256 -256 -1 -0 -0 -4 -0x6286 -0x8cc9885e -256 -256 -0 -0 -0 -2 -0x5e9e -0x2d356af2 -256 -256 -1 -0 -0 -4 -0xd879 -0x13aa8001 -256 -256 -0 -0 -0 -3 -0xded8 -0xac6c12df -256 -256 -1 -0 -0 -1 -0xec24 -0xd0ff017a -256 -256 -0 -0 -0 -2 -0xf251 -0x4f6dd866 -256 -256 -1 -0 -0 -4 -0x8b20 -0x362362b0 -256 -256 -1 -0 -0 -2 -0x9327 -0xfe6047fb -256 -256 -0 -0 -0 -3 -0xe593 -0xfd71483b -256 -256 -1 -0 -0 -4 -0x1e16 -0x9010ad74 -256 -256 -0 -0 -0 -4 -0xd487 -0x38e840fc -256 -256 -0 -0 -0 -2 -0x74bf -0xfa901e40 -256 -256 -0 -0 -0 -3 -0xaa3e -0x1495c9fc -256 -256 -0 -0 -0 -1 -0x3f50 -0xdf12d6ce -256 -256 -1 -0 -0 -2 -0x15e -0xc31c44d8 -256 -256 -1 -0 -0 -3 -0x957 -0x9157ed08 -256 -256 -1 -0 -0 -2 -0xc026 -0x923a209b -256 -256 -1 -0 -0 -1 -0x291 -0xb853fe48 -256 -256 -1 -0 -0 -3 -0x4c66 -0xe8c0e833 -256 -256 -1 -0 -0 -1 -0xf423 -0x9eaacf5 -256 -256 -0 -0 -0 -3 -0xa12f -0xacb37483 -256 -256 -0 -0 -0 -2 -0xd22b -0x12729d8c -256 -256 -0 -0 -0 -2 -0x8b70 -0xb826c925 -256 -256 -0 -0 -0 -1 -0x5a39 -0x38452090 -256 -256 -1 -0 -0 -2 -0x667e -0x404736e4 -256 -256 -1 -0 -0 -4 -0x2530 -0x9dced254 -256 -256 -0 -0 -0 -2 -0x281c -0x68c7fed1 -256 -256 -1 -0 -0 -3 -0xe170 -0xa993d669 -256 -256 -1 -0 -0 -2 -0x4755 -0x1bbb2dd5 -256 -256 -1 -0 -0 -2 -0xec2c -0xec9a3e39 -256 -256 -1 -0 -0 -3 -0xec7a -0x39c7d5b -256 -256 -0 -0 -0 -2 -0x761b -0x8a685eac -256 -256 -1 -0 -0 -2 -0x9383 -0x68c33e53 -256 -256 -1 -0 -0 -3 -0xcc88 -0x12efc982 -256 -256 -0 -0 -0 -3 -0xf9d4 -0x5305517e -256 -256 -1 -0 -0 -2 -0x634 -0x182aa2e1 -256 -256 -1 -0 -0 -3 -0x822a -0xf16cac80 -256 -256 -1 -0 -0 -2 -0x88bd -0xba6409ee -256 -256 -0 -0 -0 -1 -0x997c -0x399a57b5 -256 -256 -1 -0 -0 -4 -0xe1ad -0x727d0fc -256 -256 -0 -0 -0 -3 -0x7b7f -0x4aefa45c -256 -256 -1 -0 -0 -2 -0x3b17 -0x7e701137 -256 -256 -1 -0 -0 -4 -0xfb14 -0xa822b7a4 -256 -256 -0 -0 -0 -1 -0x122b -0x2eeaef8e -256 -256 -1 -0 -0 -4 -0xcc96 -0x88a2085a -256 -256 -0 -0 -0 -1 -0x7e37 -0x6bfa919 -256 -256 -1 -0 -0 -2 -0x23ac -0x48778bde -256 -256 -1 -0 -0 -2 -0x1858 -0xcba1b45f -256 -256 -0 -0 -0 -1 -0x94ce -0xcdffe026 -256 -256 -1 -0 -0 -1 -0x84b4 -0x66d85415 -256 -256 -1 -0 -0 -2 -0x2fd0 -0x57267620 -256 -256 -1 -0 -0 -4 -0x4489 -0x5daac7e9 -256 -256 -0 -0 -0 -1 -0xb578 -0x8d9416a4 -256 -256 -1 -0 -0 -2 -0x9a46 -0x321e9880 -256 -256 -0 -0 -0 -4 -0x783d -0x1dda4017 -256 -256 -0 -0 -0 -3 -0xa21f -0xd5b14112 -256 -256 -0 -0 -0 -3 -0xee0f -0x403e9079 -256 -256 -1 -0 -0 -4 -0xab34 -0x13aad509 -256 -256 -0 -0 -0 -3 -0x8f48 -0x1ec1bb46 -256 -256 -0 -0 -0 -1 -0x3067 -0x8d8698cd -256 -256 -0 -0 -0 -2 -0xdf47 -0xadac5dd4 -256 -256 -1 -0 -0 -3 -0xe714 -0x346603e3 -256 -256 -1 -0 -0 -4 -0xd846 -0xa6441172 -256 -256 -1 -0 -0 -2 -0xea7c -0xb28f023e -256 -256 -0 -0 -0 -4 -0x3139 -0x5da9482e -256 -256 -1 -0 -0 -2 -0x3c17 -0xcf128d2b -256 -256 -0 -0 -0 -1 -0x7c96 -0xebb68afa -256 -256 -0 -0 -0 -2 -0x5782 -0x169b40d7 -256 -256 -1 -0 -0 -3 -0x55d2 -0x177c299a -256 -256 -0 -0 -0 -1 -0x1af1 -0x44380c01 -256 -256 -0 -0 -0 -3 -0x1c5c -0xf3ca03b -256 -256 -0 -0 -0 -1 -0x33ef -0xab15b12 -256 -256 -0 -0 -0 -2 -0xd9d3 -0xec3fc4c2 -256 -256 -0 -0 -0 -1 -0xb2bd -0x93105adf -256 -256 -0 -0 -0 -2 -0xc322 -0x99a01f3 -256 -256 -1 -0 -0 -4 -0x7830 -0x3e0e00e -256 -256 -0 -0 -0 -4 -0xc2c5 -0x57001897 -256 -256 -1 -0 -0 -2 -0xc849 -0xd31028fe -256 -256 -1 -0 -0 -3 -0xf5b0 -0x18edcbd -256 -256 -0 -0 -0 -1 -0x59b3 -0x2425880d -256 -256 -0 -0 -0 -2 -0xdbb0 -0x7eb6be4f -256 -256 -0 -0 -0 -2 -0x9f73 -0xfe4350a8 -256 -256 -0 -0 -0 -1 -0x8326 -0xcdf0f7b3 -256 -256 -0 -0 -0 -1 -0x570 -0x6a38449d -256 -256 -1 -0 -0 -3 -0x70cb -0x5a10b029 -256 -256 -0 -0 -0 -1 -0xc414 -0x3d635ca0 -256 -256 -1 -0 -0 -1 -0xc2a3 -0xe928b83 -256 -256 -1 -0 -0 -1 -0x7ab5 -0xd4908bb1 -256 -256 -0 -0 -0 -3 -0xf3ae -0x8ac36dff -256 -256 -1 -0 -0 -1 -0x8cda -0xe8d63745 -256 -256 -0 -0 -0 -4 -0x7720 -0x6aaa8060 -256 -256 -0 -0 -0 -3 -0xfca0 -0xbdf6f112 -256 -256 -0 -0 -0 -1 -0x9680 -0xe4c02a7c -256 -256 -1 -0 -0 -1 -0x1162 -0x85351b3 -256 -256 -0 -0 -0 -4 -0xf4e4 -0x7956e4a2 -256 -256 -0 -0 -0 -4 -0x2001 -0x6ec298fc -256 -256 -0 -0 -0 -4 -0x5a14 -0x80f2f930 -256 -256 -0 -0 -0 -1 -0x9d65 -0x45b1a58f -256 -256 -1 -0 -0 -4 -0x5e2c -0x50bca962 -256 -256 -1 -0 -0 -2 -0xf39d -0xb706e1a8 -256 -256 -1 -0 -0 -1 -0xaa09 -0x6540f65c -256 -256 -1 -0 -0 -4 -0x88d4 -0xa267d664 -256 -256 -0 -0 -0 -1 -0xc401 -0x54c2eedf -256 -256 -0 -0 -0 -3 -0x13a3 -0x7d8c3f63 -256 -256 -1 -0 -0 -1 -0x4694 -0xe6dfd9a6 -256 -256 -0 -0 -0 -4 -0x838e -0x8c4d7a22 -256 -256 -1 -0 -0 -3 -0x3d66 -0xb02c5fa -256 -256 -1 -0 -0 -3 -0x2348 -0xe999db3c -256 -256 -1 -0 -0 -1 -0x1ab8 -0x783080f9 -256 -256 -1 -0 -0 -1 -0x6123 -0x59b06513 -256 -256 -1 -0 -0 -4 -0xe875 -0x2b75bc8d -256 -256 -0 -0 -0 -4 -0x77bc -0xb16f4150 -256 -256 -0 -0 -0 -3 -0xd2ad -0x1b23f07e -256 -256 -0 -0 -0 -2 -0xb70a -0xbb41a4f0 -256 -256 -0 -0 -0 -1 -0xd599 -0xde6bc7fa -256 -256 -1 -0 -0 -2 -0x933a -0x9c468ede -256 -256 -0 -0 -0 -4 -0xfbc4 -0xbca32b3f -256 -256 -1 -0 -0 -4 -0xff8 -0x56ab6d62 -256 -256 -0 -0 -0 -2 -0x4914 -0x364dc904 -256 -256 -0 -0 -0 -1 -0x1594 -0xbbe8986a -256 -256 -1 -0 -0 -4 -0xd0ba -0x727838b9 -256 -256 -1 -0 -0 -2 -0x834b -0xc0d6d0f -256 -256 -0 -0 -0 -2 -0x446 -0x20314033 -256 -256 -0 -0 -0 -4 -0x29fb -0xe8c49d6d -256 -256 -1 -0 -0 -4 -0x1dca -0xbeece1d2 -256 -256 -1 -0 -0 -1 -0x70d5 -0x1bf7dd00 -256 -256 -1 -0 -0 -4 -0x23e6 -0x391a35a2 -256 -256 -1 -0 -0 -2 -0x3aa5 -0xa049e0c5 -256 -256 -1 -0 -0 -4 -0x8212 -0x46cfb96f -256 -256 -0 -0 -0 -2 -0x4526 -0x66bea1e9 -256 -256 -0 -0 -0 -4 -0xfa07 -0x4481432c -256 -256 -1 -0 -0 -2 -0x7059 -0xbc66f22d -256 -256 -0 -0 -0 -4 -0xdcaf -0xafda5c9a -256 -256 -0 -0 -0 -2 -0x6007 -0x472090da -256 -256 -1 -0 -0 -1 -0xa0aa -0xb7443f54 -256 -256 -0 -0 -0 -4 -0x9d7b -0xb424362b -256 -256 -0 -0 -0 -4 -0x6b94 -0x79e90725 -256 -256 -1 -0 -0 -3 -0xdb69 -0xcfec6915 -256 -256 -0 -0 -0 -2 -0x81b8 -0xd41d67a8 -256 -256 -0 -0 -0 -1 -0xa19f -0x932958bc -256 -256 -0 -0 -0 -2 -0x164f -0x448d6659 -256 -256 -1 -0 -0 -2 -0x5999 -0x6a5b97ea -256 -256 -1 -0 -0 -4 -0x9ec4 -0x6ef471c6 -256 -256 -1 -0 -0 -1 -0x1051 -0x2a174a75 -256 -256 -1 -0 -0 -4 -0x8332 -0x70a2ecae -256 -256 -1 -0 -0 -4 -0x12fd -0xa2dd9d6a -256 -256 -1 -0 -0 -1 -0xcce3 -0x9a238387 -256 -256 -1 -0 -0 -3 -0x3421 -0x44548eb2 -256 -256 -0 -0 -0 -2 -0x165d -0x4a63c1a3 -256 -256 -1 -0 -0 -4 -0xfda8 -0x937a07f1 -256 -256 -1 -0 -0 -3 -0x6064 -0x1f31ae8a -256 -256 -0 -0 -0 -3 -0x7407 -0x16ed8865 -256 -256 -1 -0 -0 -1 -0xb2c9 -0x74e3f44f -256 -256 -0 -0 -0 -3 -0x616 -0x2cb5c7ed -256 -256 -0 -0 -0 -1 -0x57be -0x4bb34cbc -256 -256 -0 -0 -0 -1 -0x150a -0xd18cc648 -256 -256 -0 -0 -0 -4 -0x7d14 -0x518d1054 -256 -256 -0 -0 -0 -3 -0x5fe9 -0x42554257 -256 -256 -1 -0 -0 -3 -0xf619 -0xaa5f9da -256 -256 -0 -0 -0 -4 -0xc6c0 -0x420c9ddc -256 -256 -1 -0 -0 -3 -0x4f72 -0xa15acd3e -256 -256 -0 -0 -0 -4 -0x6c8f -0x325c59b4 -256 -256 -0 -0 -0 -1 -0xb275 -0x6224ee63 -256 -256 -1 -0 -0 -3 -0xdfd8 -0xc7e0f4b8 -256 -256 -0 -0 -0 -4 -0x98c0 -0x59c787e0 -256 -256 -1 -0 -0 -1 -0xda6a -0xac0a0549 -256 -256 -1 -0 -0 -2 -0x4e11 -0xf9da6464 -256 -256 -0 -0 -0 -2 -0x6d11 -0x25c6f700 -256 -256 -0 -0 -0 -4 -0x9f47 -0xb6cb144a -256 -256 -1 -0 -0 -2 -0x6224 -0xaab698a0 -256 -256 -1 -0 -0 -2 -0x84fd -0xdab670ed -256 -256 -1 -0 -0 -4 -0x7a4c -0x57e3fce8 -256 -256 -0 -0 -0 -3 -0x5edd -0x71a4a25 -256 -256 -0 -0 -0 -3 -0x1b72 -0x72acb824 -256 -256 -1 -0 -0 -4 -0x876 -0x76c7899d -256 -256 -1 -0 -0 -3 -0xddd5 -0xdb80ba9d -256 -256 -1 -0 -0 -1 -0x90d2 -0xf1ec81a -256 -256 -0 -0 -0 -1 -0xeed2 -0xf6319877 -256 -256 -1 -0 -0 -4 -0x35d -0x14074462 -256 -256 -1 -0 -0 -1 -0xb13b -0x5d764bb3 -256 -256 -1 -0 -0 -2 -0x895c -0xadd13aeb -256 -256 -1 -0 -0 -3 -0xda8d -0x52d31cae -256 -256 -0 -0 -0 -2 -0x7834 -0x6d252c3f -256 -256 -0 -0 -0 -3 -0xd76 -0x6e590000 -256 -256 -0 -0 -0 -3 -0xf354 -0x11df7f93 -256 -256 -0 -0 -0 -4 -0x4431 -0x51722461 -256 -256 -1 -0 -0 -1 -0xb732 -0x90254563 -256 -256 -1 -0 -0 -3 -0x3a2a -0x67a76366 -256 -256 -0 -0 -0 -3 -0xbb2c -0xb3e1209e -256 -256 -1 -0 -0 -3 -0x6cce -0x2b598367 -256 -256 -0 -0 -0 -1 -0x4393 -0x9f44b828 -256 -256 -1 -0 -0 -1 -0x1595 -0x82051405 -256 -256 -1 -0 -0 -1 -0x92e0 -0xc9886d5d -256 -256 -0 -0 -0 -3 -0x5861 -0x3aead0e6 -256 -256 -1 -0 -0 -1 -0x623a -0x5cf6aca4 -256 -256 -0 -0 -0 -3 -0xe7aa -0xc00b48e8 -256 -256 -0 -0 -0 -4 -0x6e0d -0x6b3ea614 -256 -256 -0 -0 -0 -4 -0x593b -0x5d68816 -256 -256 -0 -0 -0 -3 -0x5789 -0x8c592f98 -256 -256 -1 -0 -0 -4 -0xcd8e -0xead7d106 -256 -256 -1 -0 -0 -4 -0xba12 -0xcf2807c4 -256 -256 -0 -0 -0 -1 -0xa3f6 -0x94cd227 -256 -256 -0 -0 -0 -1 -0x6430 -0xeabc92d4 -256 -256 -0 -0 -0 -2 -0x5595 -0x71ab42dc -256 -256 -1 -0 -0 -1 -0x5986 -0x1b81d1bc -256 -256 -1 -0 -0 -4 -0x7b05 -0x7d36293a -256 -256 -1 -0 -0 -2 -0x9fd7 -0x395366a6 -256 -256 -1 -0 -0 -1 -0x131a -0xf713f11c -256 -256 -1 -0 -0 -3 -0xc434 -0x9526dd43 -256 -256 -1 -0 -0 -1 -0x605c -0xa1233e6 -256 -256 -1 -0 -0 -2 -0x560a -0x749978b5 -256 -256 -1 -0 -0 -3 -0xa354 -0x8040edd2 -256 -256 -0 -0 -0 -3 -0x6795 -0x33e16c1b -256 -256 -1 -0 -0 -3 -0x54cb -0x126d4925 -256 -256 -0 -0 -0 -4 -0x51f4 -0x94ad586d -256 -256 -1 -0 -0 -2 -0x9bcf -0x6bb30f2a -256 -256 -0 -0 -0 -3 -0xeb78 -0xa0c9bb84 -256 -256 -1 -0 -0 -2 -0xedaa -0xc205c282 -256 -256 -0 -0 -0 -1 -0x4284 -0xdf6867c1 -256 -256 -1 -0 -0 -4 -0x462f -0xd1bdd450 -256 -256 -1 -0 -0 -3 -0xa712 -0x1eee8597 -256 -256 -1 -0 -0 -1 -0x2b00 -0x96fd2702 -256 -256 -1 -0 -0 -2 -0x1c2d -0x5483a732 -256 -256 -0 -0 -0 -3 -0x2363 -0xa24400bb -256 -256 -0 -0 -0 -1 -0x6ce1 -0x769fcb5b -256 -256 -0 -0 -0 -2 -0x33f8 -0x3dc2e099 -256 -256 -0 -0 -0 -3 -0x5c07 -0x9b3765cb -256 -256 -1 -0 -0 -3 -0xad79 -0x119bf5ac -256 -256 -1 -0 -0 -2 -0x587a -0x5217acf3 -256 -256 -1 -0 -0 -1 -0x6bf -0x17d190e0 -256 -256 -1 -0 -0 -1 -0xbdcc -0x7f51de24 -256 -256 -1 -0 -0 -2 -0x1780 -0x38da2b93 -256 -256 -1 -0 -0 -3 -0xa233 -0x198743b0 -256 -256 -1 -0 -0 -3 -0x4548 -0x6ba6c73f -256 -256 -0 -0 -0 -3 -0xd9e5 -0xe41130e3 -256 -256 -0 -0 -0 -4 -0x55d1 -0xab5a276f -256 -256 -1 -0 -0 -2 -0x22b8 -0x57b932ba -256 -256 -1 -0 -0 -3 -0xde77 -0x21ec7285 -256 -256 -1 -0 -0 -2 -0xdf45 -0x5cad8103 -256 -256 -1 -0 -0 -4 -0xc672 -0xbc52c66c -256 -256 -0 -0 -0 -1 -0x9072 -0xcdb50ed6 -256 -256 -0 -0 -0 -1 -0x5ecd -0x6c2c681b -256 -256 -1 -0 -0 -1 -0x5b32 -0xcd6f762b -256 -256 -1 -0 -0 -3 -0x2879 -0x620b4cfe -256 -256 -1 -0 -0 -3 -0x4005 -0x913dd9d3 -256 -256 -0 -0 -0 -2 -0xa15c -0xf89b4784 -256 -256 -0 -0 -0 -3 -0xc7c2 -0x4db60803 -256 -256 -1 -0 -0 -2 -0x5419 -0xecb3b192 -256 -256 -1 -0 -0 -1 -0x3eed -0x8d4a11c1 -256 -256 -0 -0 -0 -2 -0xc99e -0x5e1d059a -256 -256 -0 -0 -0 -3 -0x92c1 -0x51339cf -256 -256 -0 -0 -0 -4 -0xd455 -0x7647d9af -256 -256 -1 -0 -0 -1 -0x3d61 -0xda0de9b1 -256 -256 -1 -0 -0 -2 -0x1bd6 -0x98ccf1b0 -256 -256 -1 -0 -0 -4 -0x587a -0x9a45630a -256 -256 -1 -0 -0 -4 -0x1de1 -0xd1e354b1 -256 -256 -1 -0 -0 -3 -0xeb29 -0xa08b3326 -256 -256 -1 -0 -0 -4 -0x5f9a -0x505f41a9 -256 -256 -0 -0 -0 -4 -0x7f52 -0x68008de -256 -256 -1 -0 -0 -3 -0xefc6 -0x57faab33 -256 -256 -0 -0 -0 -4 -0x9086 -0x23dd2c6 -256 -256 -0 -0 -0 -2 -0x6a4f -0x1f817669 -256 -256 -0 -0 -0 -2 -0x7a08 -0xbd62b2d5 -256 -256 -0 -0 -0 -1 -0x11b4 -0x6ebe8cc6 -256 -256 -0 -0 -0 -2 -0x2d51 -0x35b7cb9b -256 -256 -1 -0 -0 -2 -0xe99f -0x6caeaea -256 -256 -1 -0 -0 -4 -0x3988 -0xe93f5a9e -256 -256 -0 -0 -0 -1 -0xacae -0xcde5820 -256 -256 -0 -0 -0 -1 -0x24c7 -0xc2aa6f20 -256 -256 -0 -0 -0 -2 -0xfed4 -0x77ae43ad -256 -256 -0 -0 -0 -2 -0x3582 -0xd61f54af -256 -256 -0 -0 -0 -3 -0xcd05 -0x95a7b13e -256 -256 -0 -0 -0 -4 -0x89a -0x4c459470 -256 -256 -0 -0 -0 -1 -0x8550 -0xb0062aee -256 -256 -1 -0 -0 -2 -0x6dd5 -0xdee0db86 -256 -256 -0 -0 -0 -2 -0xe596 -0x82168ef2 -256 -256 -1 -0 -0 -3 -0xc530 -0x55c52c60 -256 -256 -0 -0 -0 -2 -0xad5c -0xb4fe1c63 -256 -256 -0 -0 -0 -3 -0xaf1 -0x2a86aba9 -256 -256 -0 -0 -0 -1 -0x88f8 -0x3a6a732f -256 -256 -0 -0 -0 -4 -0x3c78 -0x7b816e74 -256 -256 -0 -0 -0 -2 -0xe276 -0x24f01449 -256 -256 -0 -0 -0 -3 -0x1e4c -0xc2acd22a -256 -256 -1 -0 -0 -2 -0xcf8f -0xe442398b -256 -256 -0 -0 -0 -3 -0xd6f1 -0xae810fe7 -256 -256 -1 -0 -0 -1 -0xfc82 -0x1dcc712e -256 -256 -1 -0 -0 -1 -0xb9d5 -0x75122e17 -256 -256 -0 -0 -0 -4 -0xeddd -0x8f98793e -256 -256 -1 -0 -0 -4 -0xf221 -0xf99503e4 -256 -256 -0 -0 -0 -2 -0x6e9c -0x7b97cc9c -256 -256 -0 -0 -0 -1 -0x9d31 -0x99c0c0c1 -256 -256 -1 -0 -0 -4 -0xce27 -0xe78505e7 -256 -256 -1 -0 -0 -2 -0xb97e -0xbe0b97a9 -256 -256 -1 -0 -0 -4 -0xa387 -0xb942ff0f -256 -256 -1 -0 -0 -3 -0x9cab -0x3de45f67 -256 -256 -0 -0 -0 -1 -0x58f7 -0x3cb79d99 -256 -256 -0 -0 -0 -4 -0xcb56 -0x3bbbcb14 -256 -256 -0 -0 -0 -1 -0x675e -0xab18b8b9 -256 -256 -0 -0 -0 -4 -0xb5a8 -0xb279589c -256 -256 -1 -0 -0 -4 -0x853c -0x34bea087 -256 -256 -0 -0 -0 -1 -0x57f3 -0x12fb6ff -256 -256 -1 -0 -0 -2 -0xdc69 -0xf2d3f46c -256 -256 -0 -0 -0 -1 -0x13d8 -0x2bec33db -256 -256 -0 -0 -0 -3 -0xe2e6 -0xb2890cfc -256 -256 -1 -0 -0 -2 -0x4e26 -0xade71fcc -256 -256 -1 -0 -0 -1 -0x70d8 -0x68fedc32 -256 -256 -0 -0 -0 -2 -0x7e6c -0x2dfdc894 -256 -256 -1 -0 -0 -3 -0xac83 -0x75604f1e -256 -256 -0 -0 -0 -4 -0x2863 -0x13cdd448 -256 -256 -0 -0 -0 -1 -0x61ef -0x3a1824e8 -256 -256 -1 -0 -0 -2 -0xaeee -0x514a61c8 -256 -256 -1 -0 -0 -1 -0x9c83 -0xfeb89961 -256 -256 -0 -0 -0 -3 -0x7d4c -0x7007e889 -256 -256 -1 -0 -0 -1 -0xb702 -0x47c8ac40 -256 -256 -1 -0 -0 -4 -0x5a59 -0x9f49119f -256 -256 -1 -0 -0 -4 -0xf2f3 -0xfd01c7a3 -256 -256 -1 -0 -0 -4 -0xb7b -0x28194970 -256 -256 -0 -0 -0 -4 -0x2a2e -0xb4424432 -256 -256 -0 -0 -0 -4 -0x9187 -0xe508ccab -256 -256 -1 -0 -0 -1 -0x3a6a -0x4e396dd2 -256 -256 -1 -0 -0 -3 -0x7aae -0x956854d6 -256 -256 -0 -0 -0 -2 -0x1ec8 -0x68a9fe4c -256 -256 -0 -0 -0 -2 -0xb4aa -0x6d9f03a7 -256 -256 -1 -0 -0 -4 -0x65dd -0x90be1e66 -256 -256 -0 -0 -0 -4 -0x66ff -0xb0dfa53d -256 -256 -1 -0 -0 -1 -0x2cde -0x3045372d -256 -256 -1 -0 -0 -2 -0x4e84 -0x223c1f34 -256 -256 -0 -0 -0 -2 -0x4ffa -0xd2e72856 -256 -256 -1 -0 -0 -3 -0x7657 -0xc21c7131 -256 -256 -0 -0 -0 -3 -0xe28d -0x969dcc16 -256 -256 -1 -0 -0 -3 -0x597b -0xe3ee1035 -256 -256 -0 -0 -0 -3 -0x9a42 -0xdff6bef8 -256 -256 -0 -0 -0 -4 -0xfb2 -0x1c1fd83a -256 -256 -0 -0 -0 -2 -0x92b8 -0x73354bdc -256 -256 -1 -0 -0 -1 -0x2b04 -0x910ad43e -256 -256 -1 -0 -0 -2 -0x4051 -0x199a1461 -256 -256 -0 -0 -0 -2 -0x77a1 -0x89e0329d -256 -256 -0 -0 -0 -3 -0xa69f -0x3b49887e -256 -256 -0 -0 -0 -2 -0x74db -0xf48ed48a -256 -256 -1 -0 -0 -3 -0xf50e -0x46165ef8 -256 -256 -1 -0 -0 -4 -0xf974 -0x3a3eefb3 -256 -256 -0 -0 -0 -2 -0xa621 -0x27252608 -256 -256 -0 -0 -0 -3 -0x4fb5 -0xdb15f757 -256 -256 -0 -0 -0 -3 -0xe47b -0xab4c99ea -256 -256 -0 -0 -0 -1 -0x81c7 -0xd534a21c -256 -256 -1 -0 -0 -1 -0x8063 -0x6ad22d31 -256 -256 -0 -0 -0 -4 -0x47d7 -0xcbf3b911 -256 -256 -0 -0 -0 -2 -0xac1c -0xa1b62aa5 -256 -256 -1 -0 -0 -3 -0x8290 -0x4c5f0788 -256 -256 -0 -0 -0 -1 -0x12aa -0x126d090e -256 -256 -1 -0 -0 -3 -0x712e -0xb2d35379 -256 -256 -0 -0 -0 -3 -0x19e9 -0x6e792ee -256 -256 -0 -0 -0 -2 -0x55b3 -0x57b67d57 -256 -256 -1 -0 -0 -3 -0xb273 -0xba276bd8 -256 -256 -1 -0 -0 -2 -0xf602 -0xd4c8b2b -256 -256 -0 -0 -0 -4 -0x4e53 -0x82f480d6 -256 -256 -1 -0 -0 -4 -0x901e -0x35e300db -256 -256 -0 -0 -0 -3 -0x9de6 -0x4f538c09 -256 -256 -0 -0 -0 -2 -0x6f01 -0x5f371bc3 -256 -256 -1 -0 -0 -3 -0xa435 -0xf781abe0 -256 -256 -1 -0 -0 -1 -0xe02a -0x637f04a7 -256 -256 -0 -0 -0 -3 -0x2654 -0xb44b43fe -256 -256 -0 -0 -0 -4 -0xa3bd -0x99d11674 -256 -256 -1 -0 -0 -3 -0x34c -0x4326b6a -256 -256 -0 -0 -0 -2 -0x1b47 -0x25f23bd6 -256 -256 -0 -0 -0 -4 -0xd927 -0x9e5b6472 -256 -256 -1 -0 -0 -3 -0xfbba -0xe06e13bf -256 -256 -0 -0 -0 -1 -0xb42d -0x4ec2197f -256 -256 -1 -0 -0 -3 -0x4019 -0x6785a119 -256 -256 -1 -0 -0 -1 -0x8d27 -0x14cf85f6 -256 -256 -1 -0 -0 -3 -0xe171 -0x49304718 -256 -256 -0 -0 -0 -2 -0xbb22 -0x33dd27c1 -256 -256 -1 -0 -0 -4 -0xc498 -0x5dd6007a -256 -256 -1 -0 -0 -2 -0x5778 -0xb79e939b -256 -256 -1 -0 -0 -2 -0x3daf -0x62238b8e -256 -256 -1 -0 -0 -3 -0x521c -0x2d8e7b81 -256 -256 -1 -0 -0 -4 -0xbe9b -0xb37da1a -256 -256 -1 -0 -0 -1 -0x29d9 -0x97ec9231 -256 -256 -0 -0 -0 -4 -0x16d4 -0x9c7ed193 -256 -256 -0 -0 -0 -2 -0xfd9d -0x20aa0cfa -256 -256 -1 -0 -0 -1 -0xc0fc -0xfb538be0 -256 -256 -0 -0 -0 -2 -0xc4ca -0x23a2e4f -256 -256 -1 -0 -0 -3 -0x7cb5 -0x40eabd18 -256 -256 -0 -0 -0 -2 -0x91f6 -0x90d6230b -256 -256 -0 -0 -0 -2 -0x44e5 -0xa64d0b0b -256 -256 -1 -0 -0 -3 -0xda98 -0x5d3c45a1 -256 -256 -0 -0 -0 -2 -0xa99e -0x1080d480 -256 -256 -1 -0 -0 -4 -0xdfe5 -0x4734f25e -256 -256 -0 -0 -0 -3 -0x5e6f -0xc489ae37 -256 -256 -0 -0 -0 -1 -0xcb31 -0xae2877ca -256 -256 -1 -0 -0 -2 -0xcbe5 -0x79adcf07 -256 -256 -0 -0 -0 -3 -0xb22f -0x8ea619 -256 -256 -1 -0 -0 -1 -0xc4eb -0x66fd8945 -256 -256 -1 -0 -0 -2 -0xcb0c -0x29bb85e9 -256 -256 -1 -0 -0 -1 -0x1269 -0x45264a33 -256 -256 -1 -0 -0 -3 -0x9f19 -0x750bf941 -256 -256 -0 -0 -0 -4 -0xf32e -0x54f8c703 -256 -256 -1 -0 -0 -4 -0xec06 -0xb7e699dc -256 -256 -1 -0 -0 -4 -0xdc42 -0x7d3b3292 -256 -256 -0 -0 -0 -2 -0x21ac -0x21ce75c2 -256 -256 -1 -0 -0 -2 -0x3c88 -0x701d6000 -256 -256 -1 -0 -0 -4 -0xe0f1 -0xb78c6a06 -256 -256 -1 -0 -0 -4 -0x381d -0xff04682a -256 -256 -1 -0 -0 -2 -0xd1f1 -0x5b66efe8 -256 -256 -0 -0 -0 -4 -0x3edd -0x606edd4b -256 -256 -0 -0 -0 -2 -0xbe8 -0xc21f3fed -256 -256 -1 -0 -0 -2 -0x34b -0x3f065b4a -256 -256 -0 -0 -0 -4 -0x822c -0x52aba3ca -256 -256 -1 -0 -0 -3 -0x3902 -0x3397fc96 -256 -256 -0 -0 -0 -3 -0x763f -0xe0063d87 -256 -256 -1 -0 -0 -3 -0x98bc -0xdaee8612 -256 -256 -0 -0 -0 -2 -0x33bd -0x8fa1e573 -256 -256 -0 -0 -0 -2 -0xcc85 -0x94218b38 -256 -256 -1 -0 -0 -1 -0xcca4 -0xedd77c39 -256 -256 -0 -0 -0 -2 -0xabe -0xdafa0b5d -256 -256 -0 -0 -0 -4 -0x33df -0xe5c1408a -256 -256 -0 -0 -0 -1 -0x7014 -0x4b8aae15 -256 -256 -0 -0 -0 -3 -0x7a33 -0xfbd55690 -256 -256 -0 -0 -0 -4 -0x74b2 -0xb3068b90 -256 -256 -0 -0 -0 -1 -0xf0f0 -0xa190923d -256 -256 -1 -0 -0 -2 -0x42cd -0xf0aef714 -256 -256 -1 -0 -0 -1 -0xb70 -0xb7ac3cb -256 -256 -1 -0 -0 -2 -0x24a9 -0x93c37ed6 -256 -256 -0 -0 -0 -2 -0x7e90 -0xf7ed9736 -256 -256 -1 -0 -0 -4 -0x84e6 -0xa2578d4f -256 -256 -1 -0 -0 -2 -0x303 -0x32b1b963 -256 -256 -1 -0 -0 -1 -0xe762 -0x66f6474c -256 -256 -1 -0 -0 -3 -0xb67c -0xe0993062 -256 -256 -1 -0 -0 -3 -0x715b -0xbb60f85f -256 -256 -1 -0 -0 -3 -0x1ed0 -0x81fc0a0c -256 -256 -1 -0 -0 -1 -0x2e4f -0x20848777 -256 -256 -1 -0 -0 -2 -0xb1c8 -0x298adc89 -256 -256 -1 -0 -0 -4 -0x53cd -0xcce4507b -256 -256 -1 -0 -0 -4 -0xfdfa -0xc5914678 -256 -256 -0 -0 -0 -4 -0xdbdc -0xc561f11b -256 -256 -0 -0 -0 -3 -0x498 -0x61817b30 -256 -256 -0 -0 -0 -1 -0xa88f -0xaa194e4d -256 -256 -1 -0 -0 -3 -0x341 -0x5f0efeb -256 -256 -0 -0 -0 -2 -0xb29b -0x50ac7592 -256 -256 -0 -0 -0 -3 -0xd49b -0xb9ea3c43 -256 -256 -0 -0 -0 -4 -0x71b -0x5f571fce -256 -256 -1 -0 -0 -2 -0x34aa -0xfd1debeb -256 -256 -1 -0 -0 -3 -0x979d -0x6d82e022 -256 -256 -1 -0 -0 -4 -0x66f1 -0xa1564e1d -256 -256 -1 -0 -0 -1 -0x9be9 -0xd060500 -256 -256 -0 -0 -0 -1 -0xf212 -0xdaae54c0 -256 -256 -1 -0 -0 -4 -0x117f -0x813c0431 -256 -256 -1 -0 -0 -3 -0x3e77 -0x20346768 -256 -256 -1 -0 -0 -4 -0xb775 -0x94876b37 -256 -256 -0 -0 -0 -2 -0xdf96 -0xfc94fbff -256 -256 -1 -0 -0 -4 -0x974f -0xd1b936bd -256 -256 -0 -0 -0 -3 -0x4772 -0x1de9f819 -256 -256 -1 -0 -0 -2 -0x90a5 -0xd4366829 -256 -256 -1 -0 -0 -2 -0x7310 -0xd74dd25a -256 -256 -0 -0 -0 -3 -0xe982 -0x55438bb9 -256 -256 -1 -0 -0 -3 -0xbc8b -0xdfce04c4 -256 -256 -0 -0 -0 -4 -0x43c7 -0x4f29f0e8 -256 -256 -1 -0 -0 -3 -0x77ea -0x8f6b4cff -256 -256 -1 -0 -0 -3 -0x3f22 -0xb7463ccb -256 -256 -1 -0 -0 -1 -0xff08 -0xd6dfaef3 -256 -256 -0 -0 -0 -4 -0x5cbe -0x20807566 -256 -256 -1 -0 -0 -2 -0x8750 -0x141b4b22 -256 -256 -1 -0 -0 -4 -0x43a -0x10ae823f -256 -256 -0 -0 -0 -2 -0xdd9b -0xe35d1f8d -256 -256 -0 -0 -0 -1 -0x9fa8 -0xca67bbc3 -256 -256 -0 -0 -0 -2 -0x804b -0x239bfe4b -256 -256 -0 -0 -0 -3 -0xaa5e -0xdd40fdbd -256 -256 -0 -0 -0 -2 -0x1073 -0x92d3e1a6 -256 -256 -1 -0 -0 -2 -0x69cf -0x988bb525 -256 -256 -1 -0 -0 -4 -0xd318 -0x6bb0ddbb -256 -256 -0 -0 -0 -3 -0xbf27 -0x9bf8b0ca -256 -256 -0 -0 -0 -2 -0x668 -0xbc27f944 -256 -256 -1 -0 -0 -1 -0x5180 -0x585dd1da -256 -256 -0 -0 -0 -3 -0x9aaf -0xeecad107 -256 -256 -1 -0 -0 -1 -0xa89d -0xd124e25a -256 -256 -1 -0 -0 -4 -0x149 -0xf8da43a3 -256 -256 -0 -0 -0 -1 -0x5e38 -0xe81bed31 -256 -256 -1 -0 -0 -1 -0x4f02 -0x56630d30 -256 -256 -0 -0 -0 -2 -0x57ff -0x88020d06 -256 -256 -0 -0 -0 -1 -0x4f12 -0x3e940a56 -256 -256 -1 -0 -0 -2 -0xd025 -0xdef58c01 -256 -256 -0 -0 -0 -3 -0x2890 -0xb5ece74a -256 -256 -0 -0 -0 -4 -0xb5cd -0xd2afe93b -256 -256 -1 -0 -0 -3 -0x2802 -0x73c2f665 -256 -256 -0 -0 -0 -2 -0x749 -0x28e5264b -256 -256 -1 -0 -0 -1 -0xa27b -0x589317ac -256 -256 -1 -0 -0 -3 -0xae9 -0x55ee82f9 -256 -256 -1 -0 -0 -3 -0x15db -0xa3491bc6 -256 -256 -1 -0 -0 -2 -0x870b -0x2d9a8517 -256 -256 -0 -0 -0 -1 -0x110e -0xe20938c -256 -256 -0 -0 -0 -3 -0x37a1 -0xea8289a8 -256 -256 -1 -0 -0 -2 -0x566 -0xab4e7c60 -256 -256 -1 -0 -0 -3 -0x9e55 -0xb8f66939 -256 -256 -1 -0 -0 -2 -0x743a -0x7f98a5a6 -256 -256 -1 -0 -0 -3 -0x56ba -0x7fef5700 -256 -256 -1 -0 -0 -1 -0xc096 -0xbde13f0 -256 -256 -1 -0 -0 -3 -0xa94d -0x468ce8a8 -256 -256 -0 -0 -0 -1 -0xc325 -0xb26a1663 -256 -256 -0 -0 -0 -1 -0x866c -0x3669bef0 -256 -256 -1 -0 -0 -2 -0x6cf7 -0x8081a810 -256 -256 -0 -0 -0 -2 -0x517e -0x44ab76e6 -256 -256 -1 -0 -0 -4 -0xf016 -0x14e18fa8 -256 -256 -1 -0 -0 -2 -0x3422 -0xf5b416c5 -256 -256 -1 -0 -0 -3 -0x36ee -0xb8a8af0e -256 -256 -0 -0 -0 -3 -0x6f52 -0xdb403a0f -256 -256 -0 -0 -0 -4 -0xe83c -0x177fdb03 -256 -256 -0 -0 -0 -3 -0xd039 -0x9125b474 -256 -256 -0 -0 -0 -4 -0x7449 -0x1619c7a -256 -256 -0 -0 -0 -4 -0x29ac -0x8dd25553 -256 -256 -1 -0 -0 -3 -0x7263 -0xa9b8e85 -256 -256 -1 -0 -0 -4 -0xebf1 -0x63e00b9b -256 -256 -1 -0 -0 -4 -0x69a5 -0xb06f6719 -256 -256 -1 -0 -0 -4 -0xf0de -0xa5e7b158 -256 -256 -1 -0 -0 -3 -0xf644 -0xe4d876db -256 -256 -1 -0 -0 -2 -0xbf19 -0x8da60953 -256 -256 -1 -0 -0 -2 -0x366f -0xca9e8606 -256 -256 -0 -0 -0 -1 -0xbfb3 -0xc9dae869 -256 -256 -1 -0 -0 -4 -0xb317 -0x6d0a27e -256 -256 -0 -0 -0 -3 -0x5a0d -0x4695bc30 -256 -256 -1 -0 -0 -3 -0xc21c -0xb3332b6d -256 -256 -0 -0 -0 -3 -0xe9cf -0xbcb369f0 -256 -256 -1 -0 -0 -4 -0x1481 -0x2af88b41 -256 -256 -1 -0 -0 -3 -0xc0ed -0x5bad8fcb -256 -256 -1 -0 -0 -3 -0xdada -0x89484d69 -256 -256 -0 -0 -0 -1 -0x6be3 -0xf6af6541 -256 -256 -1 -0 -0 -2 -0x683e -0xa07cf538 -256 -256 -1 -0 -0 -1 -0x9ebc -0xe0f973e -256 -256 -1 -0 -0 -4 -0xb7c9 -0xe4de5613 -256 -256 -0 -0 -0 -1 -0xb8be -0x1c5198ff -256 -256 -1 -0 -0 -1 -0x6c8a -0x7451bee3 -256 -256 -1 -0 -0 -1 -0x63bb -0xe9ce22fb -256 -256 -0 -0 -0 -1 -0x5bc8 -0x48aa4848 -256 -256 -0 -0 -0 -4 -0xba42 -0x57ee4d05 -256 -256 -1 -0 -0 -3 -0x9880 -0xd455db00 -256 -256 -0 -0 -0 -3 -0x6977 -0x2a489a94 -256 -256 -0 -0 -0 -2 -0x142 -0x4aba2e3e -256 -256 -0 -0 -0 -3 -0xa9 -0x5332af -256 -256 -1 -0 -0 -1 -0x54a8 -0xe1e6f51a -256 -256 -1 -0 -0 -3 -0xe99c -0x7e9683cd -256 -256 -0 -0 -0 -2 -0x3e00 -0x8315817a -256 -256 -0 -0 -0 -4 -0x9fdf -0xb3f2fe7 -256 -256 -0 -0 -0 -4 -0x8d5d -0x227e2115 -256 -256 -0 -0 -0 -1 -0xf6f6 -0x95446c12 -256 -256 -0 -0 -0 -2 -0x26a3 -0x132dd9b5 -256 -256 -1 -0 -0 -1 -0x6c90 -0x1dc1a40d -256 -256 -1 -0 -0 -1 -0x2b52 -0x715a62a6 -256 -256 -0 -0 -0 -2 -0xa860 -0xd9056558 -256 -256 -1 -0 -0 -3 -0x6c0 -0xe97f8059 -256 -256 -1 -0 -0 -2 -0x791 -0xa4bba7b8 -256 -256 -0 -0 -0 -4 -0xe152 -0x6111c1b -256 -256 -0 -0 -0 -4 -0x218a -0x4d3a031a -256 -256 -1 -0 -0 -4 -0x52df -0x65e10334 -256 -256 -1 -0 -0 -4 -0xffa1 -0xd1fc134c -256 -256 -0 -0 -0 -4 -0xa321 -0x601c9ee9 -256 -256 -1 -0 -0 -4 -0x3178 -0xd0fd45c9 -256 -256 -0 -0 -0 -1 -0xecd -0x67574046 -256 -256 -0 -0 -0 -1 -0x65b8 -0x9df4273 -256 -256 -0 -0 -0 -1 -0xc038 -0x6295f7b5 -256 -256 -1 -0 -0 -3 -0xa7a5 -0xa2762664 -256 -256 -0 -0 -0 -2 -0xd9c4 -0xdf746f63 -256 -256 -0 -0 -0 -4 -0xc5d9 -0x1585bc24 -256 -256 -0 -0 -0 -3 -0x2e8c -0xfa11418f -256 -256 -1 -0 -0 -4 -0x3e28 -0x1eb4be22 -256 -256 -1 -0 -0 -4 -0x992 -0xc3e19646 -256 -256 -0 -0 -0 -1 -0x719d -0x975e2729 -256 -256 -0 -0 -0 -1 -0x87e6 -0x97583f1c -256 -256 -0 -0 -0 -1 -0x4635 -0xc2a0f97d -256 -256 -0 -0 -0 -1 -0x8f85 -0x273eb9bb -256 -256 -0 -0 -0 -2 -0x6cb9 -0x39d88b58 -256 -256 -1 -0 -0 -4 -0x65fa -0x133897d -256 -256 -0 -0 -0 -3 -0x37ac -0xcd48ca23 -256 -256 -1 -0 -0 -2 -0x2a9c -0x8eb33387 -256 -256 -0 -0 -0 -1 -0xa308 -0xdfc44e44 -256 -256 -0 -0 -0 -1 -0x4a0b -0x7a731e -256 -256 -0 -0 -0 -1 -0xd02c -0x4f5b9d23 -256 -256 -1 -0 -0 -2 -0x80c8 -0xc358050d -256 -256 -1 -0 -0 -1 -0x8738 -0x1c692851 -256 -256 -0 -0 -0 -3 -0xb2f -0xe8b045d8 -256 -256 -1 -0 -0 -1 -0x2b7d -0xf02914b2 -256 -256 -1 -0 -0 -3 -0x1b9e -0xfa57e6fc -256 -256 -1 -0 -0 -4 -0x7fbb -0xff465c44 -256 -256 -1 -0 -0 -1 -0x481a -0xb95df12d -256 -256 -1 -0 -0 -2 -0x9ca -0xc83a3ad5 -256 -256 -1 -0 -0 -3 -0x3e36 -0x259d2c97 -256 -256 -1 -0 -0 -2 -0x264f -0x3bc59567 -256 -256 -0 -0 -0 -4 -0x95ea -0xf4517230 -256 -256 -0 -0 -0 -2 -0x4a92 -0xfaba9503 -256 -256 -0 -0 -0 -3 -0x73d6 -0x87dd8b55 -256 -256 -1 -0 -0 -3 -0xd77b -0xac2c6a43 -256 -256 -0 -0 -0 -1 -0xbf98 -0xbe7230a6 -256 -256 -1 -0 -0 -2 -0x1d77 -0x50b1bf02 -256 -256 -0 -0 -0 -1 -0x8ca2 -0xcce032b6 -256 -256 -1 -0 -0 -1 -0xb3d1 -0xe878f78e -256 -256 -0 -0 -0 -2 -0x3f77 -0x1141381 -256 -256 -1 -0 -0 -2 -0xf023 -0x6bddffa7 -256 -256 -0 -0 -0 -2 -0xf03b -0x2e5639da -256 -256 -0 -0 -0 -1 -0x7c6a -0xaf9ccb29 -256 -256 -0 -0 -0 -1 -0x348 -0x34e25ea6 -256 -256 -0 -0 -0 -2 -0xd222 -0xc59ab928 -256 -256 -0 -0 -0 -2 -0x24bf -0xea712892 -256 -256 -0 -0 -0 -2 -0xaccd -0xd58779f7 -256 -256 -1 -0 -0 -2 -0xd725 -0xc0fdf72f -256 -256 -0 -0 -0 -4 -0xfa0d -0xe1522090 -256 -256 -0 -0 -0 -2 -0x61fe -0x53227a26 -256 -256 -0 -0 -0 -2 -0x7fb0 -0x6e34c5ce -256 -256 -0 -0 -0 -1 -0xc4a3 -0x398d7fad -256 -256 -1 -0 -0 -3 -0x58b8 -0x5939a2d9 -256 -256 -0 -0 -0 -3 -0xa8f1 -0x3c320e1 -256 -256 -1 -0 -0 -1 -0xa1d1 -0xfd0bec5a -256 -256 -0 -0 -0 -3 -0x6555 -0xfca599b4 -256 -256 -0 -0 -0 -2 -0x1a26 -0x857dec8f -256 -256 -0 -0 -0 -1 -0xd3a4 -0x9bdb73b4 -256 -256 -0 -0 -0 -2 -0x6b31 -0xe8e47638 -256 -256 -0 -0 -0 -2 -0x2ffa -0x7d10a92c -256 -256 -0 -0 -0 -4 -0xe9e1 -0x936fe615 -256 -256 -1 -0 -0 -3 -0x7e27 -0x64a3631c -256 -256 -0 -0 -0 -1 -0xbf2c -0xe8dfde90 -256 -256 -0 -0 -0 -3 -0xff0 -0x6cd4baad -256 -256 -1 -0 -0 -4 -0x7a31 -0x2efb102f -256 -256 -0 -0 -0 -3 -0x42dd -0xefa80ae7 -256 -256 -1 -0 -0 -3 -0x2a6a -0xa8c95973 -256 -256 -0 -0 -0 -3 -0x92f3 -0xaf264a5b -256 -256 -0 -0 -0 -1 -0x70c0 -0x8833a9d6 -256 -256 -1 -0 -0 -1 -0x463a -0x4a56021 -256 -256 -1 -0 -0 -1 -0x1848 -0x30d3b5eb -256 -256 -0 -0 -0 -2 -0x57c5 -0x627163e4 -256 -256 -0 -0 -0 -3 -0x289d -0xb72e7d14 -256 -256 -0 -0 -0 -1 -0x11b6 -0xdac961d -256 -256 -0 -0 -0 -4 -0x8023 -0x674a5c8a -256 -256 -1 -0 -0 -1 -0x226d -0xe44d7a0c -256 -256 -1 -0 -0 -2 -0x84f9 -0x44661489 -256 -256 -0 -0 -0 -4 -0xd5e5 -0xde01e370 -256 -256 -0 -0 -0 -4 -0x905b -0xe8cc78b3 -256 -256 -0 -0 -0 -1 -0x90a -0xc9cbbb25 -256 -256 -1 -0 -0 -4 -0x3075 -0xb00cefe5 -256 -256 -0 -0 -0 -3 -0xbf18 -0xa5721920 -256 -256 -0 -0 -0 -2 -0xeae0 -0x9bede621 -256 -256 -1 -0 -0 -3 -0x4645 -0x65917b34 -256 -256 -1 -0 -0 -4 -0xfddc -0xc585c936 -256 -256 -0 -0 -0 -1 -0x2fdf -0x533597ed -256 -256 -1 -0 -0 -3 -0xf8f5 -0x1de07264 -256 -256 -1 -0 -0 -1 -0x17ac -0xcd1be343 -256 -256 -1 -0 -0 -1 -0x3553 -0x10de92e3 -256 -256 -1 -0 -0 -2 -0x4fcd -0x4fec0085 -256 -256 -1 -0 -0 -4 -0xe865 -0x7ada2814 -256 -256 -0 -0 -0 -4 -0xcc75 -0x7d75ff7b -256 -256 -1 -0 -0 -2 -0x3bc4 -0x5be0d750 -256 -256 -0 -0 -0 -4 -0xc3ad -0x645f9bf1 -256 -256 -0 -0 -0 -4 -0xf39 -0xef8eca9e -256 -256 -1 -0 -0 -3 -0x982e -0x5a32fbdf -256 -256 -0 -0 -0 -4 -0x486f -0x45433dff -256 -256 -1 -0 -0 -1 -0x212 -0xc5a760f5 -256 -256 -0 -0 -0 -3 -0xd493 -0x8bac9e7c -256 -256 -0 -0 -0 -1 -0x7b0d -0xc47231c8 -256 -256 -1 -0 -0 -4 -0x1fee -0x454e22 -256 -256 -0 -0 -0 -1 -0xaeaf -0x8eeb912f -256 -256 -1 -0 -0 -4 -0x40fa -0x10213982 -256 -256 -0 -0 -0 -3 -0x228 -0xe14e5a11 -256 -256 -1 -0 -0 -2 -0x73b3 -0xd4b94896 -256 -256 -0 -0 -0 -1 -0x3b23 -0x98e469b1 -256 -256 -1 -0 -0 -2 -0x151d -0xbb8c39ef -256 -256 -0 -0 -0 -1 -0xb877 -0x7db37e8b -256 -256 -1 -0 -0 -3 -0xfea9 -0xc4802853 -256 -256 -0 -0 -0 -3 -0x3573 -0x7311e0a9 -256 -256 -0 -0 -0 -1 -0x50ec -0x38f0013f -256 -256 -0 -0 -0 -1 -0xa7b9 -0xe57f5c6 -256 -256 -0 -0 -0 -1 -0x4a9b -0x27c5d918 -256 -256 -1 -0 -0 -1 -0xa4c1 -0xa8af370d -256 -256 -1 -0 -0 -1 -0x1fac -0x54f930c9 -256 -256 -0 -0 -0 -2 -0x6be4 -0x675b8145 -256 -256 -1 -0 -0 -3 -0x5fb8 -0xd3a0fa5 -256 -256 -1 -0 -0 -3 -0xc0e -0xd5b24e7c -256 -256 -1 -0 -0 -4 -0xc2aa -0xd119b44f -256 -256 -0 -0 -0 -3 -0x50db -0x57363cf9 -256 -256 -0 -0 -0 -1 -0xe7e8 -0x95734ad9 -256 -256 -1 -0 -0 -2 -0xbb9 -0x9d2709cd -256 -256 -0 -0 -0 -1 -0xf86a -0x8f1554f7 -256 -256 -1 -0 -0 -2 -0x6455 -0x77b8f43e -256 -256 -0 -0 -0 -1 -0x8a0b -0xfc7930bd -256 -256 -0 -0 -0 -2 -0x3f -0x84116078 -256 -256 -1 -0 -0 -1 -0x595c -0x204e2f2c -256 -256 -0 -0 -0 -1 -0x99d9 -0xa89f1f81 -256 -256 -0 -0 -0 -3 -0x3f2c -0x5346145 -256 -256 -0 -0 -0 -4 -0xdcf0 -0x8626d67d -256 -256 -0 -0 -0 -4 -0xd03f -0x615f1997 -256 -256 -0 -0 -0 -4 -0x61e5 -0xa687a0fc -256 -256 -0 -0 -0 -1 -0xde9a -0x5eb5b082 -256 -256 -1 -0 -0 -1 -0x712d -0x2ec1ee39 -256 -256 -1 -0 -0 -2 -0x46c3 -0x7530203f -256 -256 -1 -0 -0 -4 -0x3dbb -0xc716c436 -256 -256 -1 -0 -0 -4 -0x7353 -0x5230fce4 -256 -256 -1 -0 -0 -3 -0x54b8 -0x807efe59 -256 -256 -0 -0 -0 -4 -0xf951 -0xef389935 -256 -256 -0 -0 -0 -4 -0x7323 -0xcf31fdd9 -256 -256 -1 -0 -0 -3 -0xe821 -0x91991f13 -256 -256 -1 -0 -0 -1 -0x689d -0x79199625 -256 -256 -1 -0 -0 -4 -0x90b7 -0xcd3ab6a0 -256 -256 -1 -0 -0 -1 -0x18f3 -0x31213f8f -256 -256 -1 -0 -0 -3 -0x59c6 -0x3ac30606 -256 -256 -1 -0 -0 -2 -0x1724 -0x24f5b209 -256 -256 -1 -0 -0 -1 -0x4042 -0x8272f2f4 -256 -256 -0 -0 -0 -4 -0xfc4b -0xfda61047 -256 -256 -0 -0 -0 -2 -0x65b1 -0xaf01c075 -256 -256 -0 -0 -0 -2 -0x4a65 -0x36f11bdf -256 -256 -1 -0 -0 -2 -0x43a -0xca027c00 -256 -256 -0 -0 -0 -1 -0xfa7c -0x91ca5eaf -256 -256 -0 -0 -0 -1 -0x9c0e -0x1fa4a053 -256 -256 -0 -0 -0 -4 -0x7239 -0x8beeda6 -256 -256 -1 -0 -0 -2 -0x8e3a -0xe1a0ac54 -256 -256 -0 -0 -0 -3 -0x22d9 -0xae707fb7 -256 -256 -1 -0 -0 -2 -0x93b -0x9287e209 -256 -256 -1 -0 -0 -3 -0xbce9 -0xea7df644 -256 -256 -1 -0 -0 -1 -0xcb1d -0xcbfeb9c6 -256 -256 -1 -0 -0 -4 -0x9403 -0xc6ad2285 -256 -256 -1 -0 -0 -2 -0xd123 -0xcad337a0 -256 -256 -0 -0 -0 -4 -0x3128 -0xc28eb07f -256 -256 -1 -0 -0 -2 -0x3cad -0x3f3b66a -256 -256 -1 -0 -0 -1 -0x7846 -0x5eebacb3 -256 -256 -1 -0 -0 -4 -0xde84 -0x22d0bf07 -256 -256 -0 -0 -0 -4 -0xebe5 -0xfd483dee -256 -256 -0 -0 -0 -2 -0xe78c -0x89e7ee3c -256 -256 -0 -0 -0 -4 -0x6e6f -0xc2959bf4 -256 -256 -0 -0 -0 -3 -0xaabc -0xe5658fe6 -256 -256 -0 -0 -0 -1 -0x4f27 -0x43edce39 -256 -256 -0 -0 -0 -2 -0x2f36 -0x5fe491df -256 -256 -0 -0 -0 -2 -0x883d -0xf22edd74 -256 -256 -0 -0 -0 -1 -0x750e -0xaf114c43 -256 -256 -0 -0 -0 -3 -0x668 -0xb181b6b9 -256 -256 -0 -0 -0 -4 -0x3208 -0xf44a9d54 -256 -256 -0 -0 -0 -2 -0xb959 -0xa9312aa8 -256 -256 -0 -0 -0 -3 -0x7090 -0x2ae21eb6 -256 -256 -1 -0 -0 -3 -0x254d -0x10037d05 -256 -256 -1 -0 -0 -2 -0x1c1d -0xe9811c88 -256 -256 -0 -0 -0 -3 -0x9021 -0x5cf8045b -256 -256 -0 -0 -0 -3 -0x6e88 -0x6e7f71e5 -256 -256 -0 -0 -0 -1 -0x4131 -0xb6c98b89 -256 -256 -0 -0 -0 -2 -0xe00e -0x4c1fada -256 -256 -0 -0 -0 -3 -0x2752 -0x7879672d -256 -256 -1 -0 -0 -2 -0x23a3 -0x96c3e859 -256 -256 -1 -0 -0 -1 -0x43eb -0x39dd8f4f -256 -256 -0 -0 -0 -2 -0xe0b -0x71969b75 -256 -256 -0 -0 -0 -1 -0xa343 -0xee7670d2 -256 -256 -1 -0 -0 -1 -0x264 -0x4544c9b1 -256 -256 -1 -0 -0 -2 -0xe2cf -0xb9c51824 -256 -256 -0 -0 -0 -1 -0x20d9 -0x2b053489 -256 -256 -0 -0 -0 -1 -0x975c -0x2067aee4 -256 -256 -1 -0 -0 -4 -0x83f9 -0xe941fc0c -256 -256 -0 -0 -0 -1 -0xebd8 -0xe161e5ff -256 -256 -1 -0 -0 -3 -0x11c2 -0x28bd15df -256 -256 -0 -0 -0 -4 -0x98dc -0xcaa68f9 -256 -256 -0 -0 -0 -1 -0x42f9 -0xfc67179c -256 -256 -1 -0 -0 -3 -0xb9b3 -0x14bbfdb4 -256 -256 -1 -0 -0 -1 -0x384d -0x6ebca40 -256 -256 -0 -0 -0 -4 -0xeaed -0x9dedcfa5 -256 -256 -0 -0 -0 -3 -0xccf7 -0x4a393a11 -256 -256 -0 -0 -0 -1 -0x6141 -0x42c18146 -256 -256 -1 -0 -0 -2 -0xa395 -0x7c4d5bec -256 -256 -1 -0 -0 -4 -0x9556 -0xeef8505 -256 -256 -0 -0 -0 -4 -0xb13a -0x3e64c4a4 -256 -256 -0 -0 -0 -4 -0x3806 -0xd859106d -256 -256 -1 -0 -0 -3 -0xcdc8 -0x6c6b2476 -256 -256 -0 -0 -0 -4 -0xde73 -0xc4a91ee4 -256 -256 -1 -0 -0 -3 -0x80c9 -0x5dc9f1c9 -256 -256 -0 -0 -0 -2 -0xa1fb -0x65b07a1d -256 -256 -0 -0 -0 -1 -0x5cd0 -0xcf7d528e -256 -256 -1 -0 -0 -3 -0xb96d -0xa70e33cd -256 -256 -1 -0 -0 -4 -0xba79 -0xec693a57 -256 -256 -1 -0 -0 -3 -0xacdb -0x497648dc -256 -256 -0 -0 -0 -3 -0x11ad -0xed805690 -256 -256 -0 -0 -0 -2 -0xd0ac -0x568339f -256 -256 -0 -0 -0 -2 -0x3cbb -0x132ce9f6 -256 -256 -1 -0 -0 -1 -0xa32a -0x20b3d941 -256 -256 -0 -0 -0 -2 -0x793c -0x300aa588 -256 -256 -0 -0 -0 -4 -0x5c93 -0x3e23d7b2 -256 -256 -0 -0 -0 -4 -0xedc5 -0x2007b903 -256 -256 -0 -0 -0 -2 -0x741 -0xf05f1715 -256 -256 -0 -0 -0 -1 -0x5711 -0xeb9bfd90 -256 -256 -0 -0 -0 -3 -0xf798 -0xdbb36e6d -256 -256 -0 -0 -0 -2 -0xd69 -0xb3bdb89e -256 -256 -0 -0 -0 -4 -0x2460 -0xddc6011f -256 -256 -1 -0 -0 -1 -0x6036 -0x7c988538 -256 -256 -1 -0 -0 -2 -0xff93 -0xe82a9267 -256 -256 -0 -0 -0 -3 -0x4a04 -0x371cf2e7 -256 -256 -1 -0 -0 -1 -0x64b -0x5bdb988b -256 -256 -0 -0 -0 -1 -0xf9e4 -0xa3d6b38f -256 -256 -1 -0 -0 -3 -0x966a -0x2a4dc191 -256 -256 -1 -0 -0 -2 -0x7b29 -0x4bdab97 -256 -256 -1 -0 -0 -1 -0x7801 -0x32571590 -256 -256 -0 -0 -0 -4 -0x23c7 -0x40337886 -256 -256 -0 -0 -0 -4 -0xac84 -0xfecf8c66 -256 -256 -0 -0 -0 -3 -0x4041 -0xe25478f -256 -256 -1 -0 -0 -4 -0x711d -0x434584ee -256 -256 -1 -0 -0 -1 -0x2e82 -0x64d16404 -256 -256 -0 -0 -0 -2 -0x3d79 -0x680eb018 -256 -256 -0 -0 -0 -1 -0xe548 -0x125eeec4 -256 -256 -0 -0 -0 -1 -0x1fa0 -0x6219c6a8 -256 -256 -0 -0 -0 -3 -0xad25 -0x20162f06 -256 -256 -0 -0 -0 -3 -0x90 -0x1e5823fe -256 -256 -1 -0 -0 -3 -0x6e3 -0xcca21fd1 -256 -256 -0 -0 -0 -1 -0xf480 -0x579049fe -256 -256 -1 -0 -0 -1 -0x7227 -0x6e265f1 -256 -256 -1 -0 -0 -2 -0x7ad3 -0xa02675fd -256 -256 -1 -0 -0 -2 -0x26d1 -0x5082afaa -256 -256 -0 -0 -0 -3 -0x6f45 -0xfba00b14 -256 -256 -0 -0 -0 -2 -0xcaa4 -0x12d867c7 -256 -256 -0 -0 -0 -4 -0xf9db -0x3eae0978 -256 -256 -0 -0 -0 -4 -0x1e83 -0xa0995019 -256 -256 -1 -0 -0 -3 -0x5215 -0xe8b488af -256 -256 -0 -0 -0 -4 -0x28ef -0x50215d85 -256 -256 -0 -0 -0 -4 -0x745b -0x61ba68f -256 -256 -0 -0 -0 -1 -0xb572 -0x36a0e4ed -256 -256 -0 -0 -0 -1 -0xf7f1 -0x55a672f7 -256 -256 -0 -0 -0 -1 -0x88e4 -0xe6f4f704 -256 -256 -1 -0 -0 -4 -0x919 -0x4914b4e4 -256 -256 -0 -0 -0 -2 -0x2546 -0x5f5b3801 -256 -256 -0 -0 -0 -1 -0x231d -0x800eb4b3 -256 -256 -0 -0 -0 -1 -0xaf7f -0x870549a4 -256 -256 -1 -0 -0 -1 -0x7b99 -0x5ea5ced4 -256 -256 -0 -0 -0 -2 -0x4168 -0x78728edf -256 -256 -0 -0 -0 -1 -0xeafe -0x82e0fee -256 -256 -1 -0 -0 -1 -0x76c0 -0x96d310db -256 -256 -1 -0 -0 -1 -0xd4a9 -0xb39b372c -256 -256 -1 -0 -0 -3 -0xec5a -0x8e736bfb -256 -256 -0 -0 -0 -4 -0x40ab -0x74f17fb5 -256 -256 -0 -0 -0 -3 -0x3964 -0xfab46c78 -256 -256 -1 -0 -0 -1 -0x77cf -0x45d1c1da -256 -256 -1 -0 -0 -2 -0xd03e -0x4356945f -256 -256 -0 -0 -0 -4 -0x1ef5 -0xfa18507b -256 -256 -0 -0 -0 -3 -0x673b -0xcb345e24 -256 -256 -0 -0 -0 -4 -0xb5af -0x19b47bcd -256 -256 -0 -0 -0 -4 -0x43c8 -0x817a51be -256 -256 -0 -0 -0 -1 -0x3b74 -0xd0bcafc9 -256 -256 -1 -0 -0 -4 -0xd053 -0xb12f4658 -256 -256 -0 -0 -0 -4 -0xc715 -0x29ac53ce -256 -256 -1 -0 -0 -3 -0x4d92 -0x436f2481 -256 -256 -1 -0 -0 -1 -0x5ab3 -0xc19888cd -256 -256 -1 -0 -0 -1 -0xa119 -0x56a73f06 -256 -256 -0 -0 -0 -2 -0x993 -0xc8874f8c -256 -256 -1 -0 -0 -2 -0x3777 -0xf4294607 -256 -256 -1 -0 -0 -3 -0xd5c9 -0xbc7325c3 -256 -256 -1 -0 -0 -2 -0x8a9 -0xf20af800 -256 -256 -0 -0 -0 -4 -0x7079 -0xff6b79bd -256 -256 -1 -0 -0 -2 -0x67c6 -0xbdf990d3 -256 -256 -0 -0 -0 -2 -0x5ac7 -0x515b979c -256 -256 -0 -0 -0 -4 -0x65c4 -0xf0cd44c2 -256 -256 -0 -0 -0 -1 -0x4450 -0x5612f491 -256 -256 -0 -0 -0 -4 -0x72ce -0xbf74c711 -256 -256 -1 -0 -0 -3 -0x18c2 -0x327ea85 -256 -256 -1 -0 -0 -4 -0xf8c7 -0xc9c933eb -256 -256 -0 -0 -0 -3 -0xdc40 -0xd5293d3a -256 -256 -1 -0 -0 -3 -0xe585 -0xf8fae214 -256 -256 -0 -0 -0 -3 -0x5895 -0xafb07ffb -256 -256 -0 -0 -0 -3 -0x5eae -0xf751acbf -256 -256 -1 -0 -0 -4 -0x6cea -0xb7182881 -256 -256 -0 -0 -0 -2 -0x74aa -0x39ef4798 -256 -256 -0 -0 -0 -4 -0x458 -0xbec25cbe -256 -256 -0 -0 -0 -2 -0x243 -0xfe7c5bbc -256 -256 -1 -0 -0 -3 -0x8ddd -0x7da5da51 -256 -256 -0 -0 -0 -3 -0x6668 -0x4dfb9f00 -256 -256 -0 -0 -0 -4 -0x7856 -0xf8ff6aac -256 -256 -1 -0 -0 -3 -0x271a -0xe15f2eb8 -256 -256 -0 -0 -0 -3 -0x57f5 -0xc57d4931 -256 -256 -0 -0 -0 -2 -0x85a7 -0x74de5a47 -256 -256 -0 -0 -0 -3 -0x57a3 -0x475be756 -256 -256 -1 -0 -0 -4 -0x8dcc -0xd5f94bd9 -256 -256 -0 -0 -0 -2 -0x3db8 -0x7529cacc -256 -256 -0 -0 -0 -2 -0x7722 -0x2b67558f -256 -256 -0 -0 -0 -3 -0xe6a -0x72501538 -256 -256 -1 -0 -0 -3 -0x5612 -0xf75ea60 -256 -256 -1 -0 -0 -2 -0xbd98 -0x6e01efa2 -256 -256 -1 -0 -0 -1 -0x3fe1 -0x7986d5a8 -256 -256 -0 -0 -0 -1 -0x4f9 -0x2d62a6fe -256 -256 -0 -0 -0 -4 -0x3d9e -0x1bb1d483 -256 -256 -1 -0 -0 -4 -0xa27d -0xb706773 -256 -256 -1 -0 -0 -4 -0x8086 -0xe071f743 -256 -256 -1 -0 -0 -3 -0x758a -0x3f1315b4 -256 -256 -0 -0 -0 -4 -0x357f -0xddefc4b5 -256 -256 -1 -0 -0 -2 -0x664d -0xac75573b -256 -256 -0 -0 -0 -3 -0x32aa -0x19c29765 -256 -256 -0 -0 -0 -1 -0xe2f1 -0x4af6750 -256 -256 -1 -0 -0 -2 -0x1d89 -0x53559ef2 -256 -256 -0 -0 -0 -1 -0xd574 -0x526dcae9 -256 -256 -1 -0 -0 -3 -0xdb60 -0xd70b5e84 -256 -256 -0 -0 -0 -2 -0x2d4c -0x94df6c16 -256 -256 -0 -0 -0 -3 -0xc17 -0xe239e8f0 -256 -256 -1 -0 -0 -2 -0xbb1 -0xd2447eb4 -256 -256 -1 -0 -0 -1 -0x4768 -0x6a32537d -256 -256 -0 -0 -0 -2 -0xcf99 -0x701b549d -256 -256 -1 -0 -0 -3 -0xe0e -0x308a6b57 -256 -256 -1 -0 -0 -3 -0xbead -0xbeda67a7 -256 -256 -0 -0 -0 -2 -0x6004 -0xbe3ef458 -256 -256 -0 -0 -0 -3 -0xf336 -0x27d649a1 -256 -256 -0 -0 -0 -2 -0xa03 -0x57a725e7 -256 -256 -0 -0 -0 -3 -0x8265 -0xccab104b -256 -256 -0 -0 -0 -4 -0xa90c -0x65750e5b -256 -256 -0 -0 -0 -2 -0x5d2a -0x43b7ed55 -256 -256 -1 -0 -0 -4 -0xc8d5 -0xb02bf6e7 -256 -256 -0 -0 -0 -3 -0xd956 -0x26f31ccb -256 -256 -1 -0 -0 -3 -0x8eb2 -0xc2f40c05 -256 -256 -0 -0 -0 -4 -0x5110 -0x83a205cb -256 -256 -1 -0 -0 -1 -0x11ec -0xa44b42bf -256 -256 -1 -0 -0 -2 -0xa000 -0x495c9b4a -256 -256 -0 -0 -0 -3 -0x6004 -0x83ea6f7f -256 -256 -1 -0 -0 -1 -0x5b89 -0x10b78736 -256 -256 -1 -0 -0 -4 -0x68e1 -0x2904b2ed -256 -256 -1 -0 -0 -1 -0xcc46 -0xda3d0a3a -256 -256 -1 -0 -0 -1 -0x6c4b -0x26b0ff78 -256 -256 -0 -0 -0 -4 -0x922f -0x2c21bc15 -256 -256 -0 -0 -0 -1 -0x5e48 -0x11e0adab -256 -256 -1 -0 -0 -3 -0x81aa -0x14c58df7 -256 -256 -0 -0 -0 -4 -0x74d6 -0xdf767eee -256 -256 -1 -0 -0 -3 -0x8044 -0xdc3611be -256 -256 -1 -0 -0 -4 -0x4b21 -0x1dee3dfa -256 -256 -0 -0 -0 -3 -0xfb3a -0xdb532e93 -256 -256 -0 -0 -0 -2 -0xbf88 -0x8024e4b -256 -256 -1 -0 -0 -1 -0x796c -0x8f7ab1b3 -256 -256 -0 -0 -0 -2 -0xcab3 -0x927aa2c0 -256 -256 -0 -0 -0 -1 -0x22fb -0x10128e1e -256 -256 -1 -0 -0 -3 -0xa414 -0x74c48ea -256 -256 -0 -0 -0 -2 -0xe0a5 -0xd7d58bef -256 -256 -1 -0 -0 -1 -0x49d6 -0x852785ef -256 -256 -0 -0 -0 -3 -0xb28b -0x5be26df4 -256 -256 -0 -0 -0 -1 -0x7f59 -0x34b335a7 -256 -256 -1 -0 -0 -4 -0x6441 -0x446372ac -256 -256 -1 -0 -0 -1 -0x141c -0x9e84c397 -256 -256 -1 -0 -0 -1 -0x6a2a -0x1a221448 -256 -256 -1 -0 -0 -1 -0x5f61 -0x7e2297d3 -256 -256 -0 -0 -0 -4 -0x2866 -0x468f3bb6 -256 -256 -1 -0 -0 -1 -0xe4d8 -0xf0d06106 -256 -256 -0 -0 -0 -3 -0xd4a5 -0xd1205ff7 -256 -256 -0 -0 -0 -4 -0x1a58 -0x9ed897c4 -256 -256 -1 -0 -0 -2 -0x8025 -0xff617595 -256 -256 -1 -0 -0 -3 -0xf4a5 -0x17848656 -256 -256 -1 -0 -0 -2 -0xb4e8 -0x752126c0 -256 -256 -0 -0 -0 -2 -0xf607 -0xf216fb3b -256 -256 -1 -0 -0 -3 -0x87a9 -0x91494647 -256 -256 -0 -0 -0 -3 -0x6b6b -0xe5c1dab4 -256 -256 -1 -0 -0 -1 -0x6117 -0x5d178ad4 -256 -256 -1 -0 -0 -4 -0xca76 -0xdca7c58a -256 -256 -1 -0 -0 -3 -0x5f3 -0x79d9649 -256 -256 -1 -0 -0 -2 -0x2a7b -0x8e28ca7f -256 -256 -0 -0 -0 -4 -0x8fe -0x20a64da8 -256 -256 -0 -0 -0 -3 -0x1bf9 -0x4100ac0f -256 -256 -1 -0 -0 -2 -0x4f73 -0x115c456 -256 -256 -1 -0 -0 -3 -0xf53 -0x6fd9f2c7 -256 -256 -0 -0 -0 -2 -0xe639 -0xf023447b -256 -256 -0 -0 -0 -2 -0xa41c -0x3db9c33a -256 -256 -0 -0 -0 -2 -0x70a -0x759643c5 -256 -256 -1 -0 -0 -4 -0x4ab6 -0xf20edcfc -256 -256 -1 -0 -0 -4 -0xd100 -0xc7096bbf -256 -256 -0 -0 -0 -4 -0x50ee -0x6ee234c -256 -256 -1 -0 -0 -1 -0x47be -0x185bd034 -256 -256 -0 -0 -0 -2 -0x91d3 -0x498c4fd6 -256 -256 -1 -0 -0 -4 -0x20d2 -0x152d9d45 -256 -256 -1 -0 -0 -4 -0x42a3 -0x7340d109 -256 -256 -0 -0 -0 -3 -0x4ef8 -0x7ead18ec -256 -256 -0 -0 -0 -4 -0x455a -0x52dbbef7 -256 -256 -0 -0 -0 -3 -0xd9e -0xf251139c -256 -256 -1 -0 -0 -4 -0x11a9 -0xa615a06f -256 -256 -1 -0 -0 -1 -0x33cf -0x1119f446 -256 -256 -0 -0 -0 -1 -0xc2e0 -0x5459fd10 -256 -256 -0 -0 -0 -4 -0x4639 -0xc580726e -256 -256 -0 -0 -0 -3 -0x1964 -0x993adc21 -256 -256 -0 -0 -0 -4 -0xbfd1 -0xaf9a729a -256 -256 -0 -0 -0 -1 -0xf0fc -0xd768b115 -256 -256 -0 -0 -0 -3 -0x606c -0xa40a90f6 -256 -256 -1 -0 -0 -2 -0x9775 -0xd845f718 -256 -256 -0 -0 -0 -4 -0x761 -0x63dc54d4 -256 -256 -1 -0 -0 -2 -0x62cb -0x1a8ee337 -256 -256 -1 -0 -0 -3 -0x2857 -0xebf00d12 -256 -256 -0 -0 -0 -2 -0x277 -0x7c63c34b -256 -256 -1 -0 -0 -4 -0xb351 -0xa78c3262 -256 -256 -1 -0 -0 -1 -0x894 -0xf0355e9a -256 -256 -0 -0 -0 -3 -0x4afd -0x4f4a2af5 -256 -256 -0 -0 -0 -2 -0xa015 -0x18fc93f0 -256 -256 -1 -0 -0 -4 -0x35e7 -0xa60515bc -256 -256 -0 -0 -0 -4 -0x8621 -0xca000951 -256 -256 -1 -0 -0 -1 -0x4bad -0xdab88082 -256 -256 -0 -0 -0 -2 -0x1623 -0x442c98af -256 -256 -0 -0 -0 -3 -0x5459 -0x96c191ff -256 -256 -0 -0 -0 -3 -0x34a2 -0x5ff20ea9 -256 -256 -1 -0 -0 -1 -0xb680 -0x612a198 -256 -256 -0 -0 -0 -2 -0xd444 -0xe04db9fc -256 -256 -0 -0 -0 -1 -0xf735 -0x128188ab -256 -256 -1 -0 -0 -2 -0x82df -0x1718034f -256 -256 -1 -0 -0 -4 -0x278e -0x53b43d68 -256 -256 -1 -0 -0 -2 -0x5247 -0x3337b6e -256 -256 -0 -0 -0 -2 -0xa702 -0xbce87d93 -256 -256 -0 -0 -0 -1 -0x5383 -0x31def56b -256 -256 -0 -0 -0 -2 -0x9518 -0x823ed58d -256 -256 -1 -0 -0 -4 -0xd4fb -0xcec4644e -256 -256 -1 -0 -0 -4 -0xc26e -0x360db118 -256 -256 -0 -0 -0 -2 -0x4f40 -0xf35b606a -256 -256 -1 -0 -0 -4 -0x2a55 -0xbe4fa369 -256 -256 -0 -0 -0 -3 -0x4097 -0xa1c592e -256 -256 -1 -0 -0 -2 -0xc399 -0x1e4ad44f -256 -256 -0 -0 -0 -1 -0x1f54 -0x5ab9ae8 -256 -256 -0 -0 -0 -3 -0x8ca2 -0xf9b68efa -256 -256 -1 -0 -0 -4 -0x610e -0xf91f463f -256 -256 -0 -0 -0 -4 -0x2c15 -0x3878f3f -256 -256 -0 -0 -0 -2 -0xf0ea -0xedfc98f -256 -256 -1 -0 -0 -3 -0x62cf -0xa49f481d -256 -256 -1 -0 -0 -4 -0xbcbe -0xfdb90947 -256 -256 -0 -0 -0 -2 -0xf946 -0xe40c6d -256 -256 -0 -0 -0 -1 -0xca81 -0x93ffe86d -256 -256 -1 -0 -0 -4 -0xca1a -0xee3df3bc -256 -256 -0 -0 -0 -2 -0x712a -0xb14eed75 -256 -256 -1 -0 -0 -4 -0x7213 -0x173041a5 -256 -256 -1 -0 -0 -2 -0x49b4 -0xaf9a76f1 -256 -256 -0 -0 -0 -4 -0x29dd -0x29c865dd -256 -256 -0 -0 -0 -1 -0x5d68 -0x95b7df18 -256 -256 -1 -0 -0 -4 -0x97a3 -0x1f0d8755 -256 -256 -0 -0 -0 -1 -0xb5d3 -0x17a96e28 -256 -256 -0 -0 -0 -1 -0x2390 -0x8c4d7aa9 -256 -256 -1 -0 -0 -4 -0xdb2e -0xc453c2f -256 -256 -1 -0 -0 -2 -0xcd52 -0xd0aa00b5 -256 -256 -0 -0 -0 -4 -0xb213 -0x15ae16ba -256 -256 -0 -0 -0 -4 -0x6bc5 -0xa113a27f -256 -256 -0 -0 -0 -1 -0x7a5d -0x9122d9eb -256 -256 -1 -0 -0 -4 -0x9e33 -0x98416450 -256 -256 -0 -0 -0 -3 -0x637 -0xbc096cbf -256 -256 -0 -0 -0 -2 -0xcdcd -0x94822bf8 -256 -256 -0 -0 -0 -1 -0x4578 -0x83074989 -256 -256 -0 -0 -0 -1 -0xac4e -0xb15bee0e -256 -256 -0 -0 -0 -3 -0xb637 -0xd3f49791 -256 -256 -1 -0 -0 -1 -0x8e8d -0xf451e65b -256 -256 -1 -0 -0 -4 -0xf01c -0x4ea01ccc -256 -256 -1 -0 -0 -2 -0x2326 -0x214b5938 -256 -256 -0 -0 -0 -2 -0xa63c -0xa9fa2d15 -256 -256 -0 -0 -0 -4 -0xd9ea -0x2941e55c -256 -256 -0 -0 -0 -2 -0x1def -0x5c163366 -256 -256 -0 -0 -0 -3 -0x5ff8 -0x573499b1 -256 -256 -0 -0 -0 -2 -0xfd25 -0x67cae37e -256 -256 -0 -0 -0 -1 -0xa428 -0x541a53be -256 -256 -0 -0 -0 -2 -0x7bcb -0xe91d379 -256 -256 -1 -0 -0 -2 -0x28e9 -0xb1ff798b -256 -256 -0 -0 -0 -2 -0xa964 -0x2d3c7fee -256 -256 -1 -0 -0 -3 -0x1c68 -0x4a32b209 -256 -256 -0 -0 -0 -3 -0x3646 -0x5ee16a1c -256 -256 -0 -0 -0 -2 -0x86ff -0x731229d5 -256 -256 -1 -0 -0 -1 -0xebe4 -0xde6e0d9 -256 -256 -1 -0 -0 -4 -0xde7e -0x858b9703 -256 -256 -0 -0 -0 -1 -0xe693 -0x4541797 -256 -256 -1 -0 -0 -1 -0x4 -0xd516b7c2 -256 -256 -1 -0 -0 -4 -0xa7e5 -0xc7cf38da -256 -256 -1 -0 -0 -4 -0x732b -0x612ca000 -256 -256 -1 -0 -0 -3 -0x8b9f -0x9b188fb0 -256 -256 -0 -0 -0 -3 -0x5aa4 -0xafdbd38a -256 -256 -1 -0 -0 -1 -0x7b1d -0x1414f9c3 -256 -256 -1 -0 -0 -1 -0x42d3 -0x6b397ea7 -256 -256 -1 -0 -0 -4 -0xf311 -0x65795922 -256 -256 -1 -0 -0 -1 -0x7ef8 -0xf68d92d7 -256 -256 -0 -0 -0 -2 -0xc8a7 -0xcfe78b7e -256 -256 -1 -0 -0 -2 -0x2286 -0xc5266062 -256 -256 -0 -0 -0 -3 -0x782d -0x317bacf7 -256 -256 -0 -0 -0 -2 -0x36fb -0xed781549 -256 -256 -0 -0 -0 -3 -0x8da4 -0xd42449a9 -256 -256 -1 -0 -0 -4 -0x1dee -0xd36c214f -256 -256 -0 -0 -0 -4 -0xf4f1 -0x4dedd566 -256 -256 -0 -0 -0 -1 -0xda5e -0x849ec1f0 -256 -256 -1 -0 -0 -2 -0x98f3 -0xb314df06 -256 -256 -0 -0 -0 -2 -0x3925 -0xfc854f3 -256 -256 -1 -0 -0 -2 -0xdaf2 -0x425fbb5d -256 -256 -0 -0 -0 -1 -0xb4e5 -0xe762558 -256 -256 -0 -0 -0 -1 -0x340e -0xa3620849 -256 -256 -1 -0 -0 -4 -0xd9bf -0xdef869dc -256 -256 -1 -0 -0 -2 -0x1063 -0xd90169bc -256 -256 -1 -0 -0 -2 -0x1be3 -0x2917dad0 -256 -256 -1 -0 -0 -2 -0x9543 -0xaa45804b -256 -256 -1 -0 -0 -3 -0x8b64 -0x92beb301 -256 -256 -0 -0 -0 -1 -0xc197 -0xa415f1e6 -256 -256 -0 -0 -0 -3 -0x73ad -0xcf860563 -256 -256 -0 -0 -0 -3 -0xf61a -0x35c39adf -256 -256 -1 -0 -0 -1 -0xdd3b -0x4ee45ae2 -256 -256 -1 -0 -0 -4 -0x5691 -0x5eb3dccb -256 -256 -0 -0 -0 -2 -0xb87b -0x501651a2 -256 -256 -0 -0 -0 -3 -0xd832 -0xdae2714d -256 -256 -0 -0 -0 -3 -0x8893 -0xf8d8eeec -256 -256 -1 -0 -0 -2 -0x2e20 -0x414f198d -256 -256 -0 -0 -0 -4 -0x3b81 -0xa7627f04 -256 -256 -1 -0 -0 -3 -0xca3f -0x8aa3eb99 -256 -256 -0 -0 -0 -4 -0xee4e -0xdd9c72f4 -256 -256 -1 -0 -0 -2 -0x9781 -0xf7790b0f -256 -256 -1 -0 -0 -1 -0xc480 -0x21e32798 -256 -256 -1 -0 -0 -4 -0xedb1 -0x18c27f61 -256 -256 -1 -0 -0 -2 -0xc208 -0x6a85e67c -256 -256 -0 -0 -0 -2 -0xbcf2 -0xf9f572c0 -256 -256 -0 -0 -0 -2 -0xc1ce -0xb7911e81 -256 -256 -1 -0 -0 -4 -0xa75f -0x5ea2b45d -256 -256 -0 -0 -0 -4 -0xbb6d -0x8fb199a9 -256 -256 -0 -0 -0 -1 -0xb559 -0x4866fa1e -256 -256 -1 -0 -0 -4 -0xf528 -0xfe7735aa -256 -256 -0 -0 -0 -2 -0xa2c2 -0x2e42311d -256 -256 -0 -0 -0 -1 -0x9af3 -0x8b135915 -256 -256 -0 -0 -0 -2 -0x2408 -0xc736432 -256 -256 -0 -0 -0 -3 -0x34d7 -0xebad636 -256 -256 -1 -0 -0 -1 -0xf814 -0x22752dec -256 -256 -0 -0 -0 -4 -0xcd00 -0x42509abb -256 -256 -0 -0 -0 -3 -0x2416 -0xf339eb1b -256 -256 -0 -0 -0 -2 -0x58fd -0x99219cbb -256 -256 -0 -0 -0 -3 -0xa92d -0x90c90ce1 -256 -256 -0 -0 -0 -3 -0xaece -0x2628aa84 -256 -256 -1 -0 -0 -3 -0x3459 -0xf5a4502f -256 -256 -0 -0 -0 -3 -0x81b4 -0x99b8fb93 -256 -256 -0 -0 -0 -4 -0x2dd6 -0xf0ca7b2c -256 -256 -1 -0 -0 -4 -0x424f -0xeb6c15ee -256 -256 -1 -0 -0 -4 -0xbf51 -0xca57b874 -256 -256 -0 -0 -0 -2 -0xd1dd -0x8a101921 -256 -256 -0 -0 -0 -4 -0x7d02 -0x76caf409 -256 -256 -0 -0 -0 -3 -0xe2d5 -0x309a1938 -256 -256 -0 -0 -0 -1 -0xc5a -0x6b28c121 -256 -256 -1 -0 -0 -4 -0xea49 -0x3e001f81 -256 -256 -1 -0 -0 -3 -0xfd7b -0xfb3cd039 -256 -256 -1 -0 -0 -1 -0x86a4 -0x10c97d41 -256 -256 -1 -0 -0 -1 -0x56f4 -0x65c7a9bc -256 -256 -1 -0 -0 -4 -0x97f -0xf48447d4 -256 -256 -0 -0 -0 -2 -0x4b96 -0x95e683a9 -256 -256 -1 -0 -0 -2 -0x254 -0x7e10cd2e -256 -256 -0 -0 -0 -3 -0x3a06 -0xe7a8f653 -256 -256 -1 -0 -0 -4 -0x5c9a -0xf83ea926 -256 -256 -1 -0 -0 -3 -0x4275 -0xb247306b -256 -256 -1 -0 -0 -1 -0x7745 -0x467b1844 -256 -256 -0 -0 -0 -4 -0xd688 -0xc81c7769 -256 -256 -1 -0 -0 -2 -0xda61 -0x19bba556 -256 -256 -0 -0 -0 -2 -0xd4bf -0x3f736f52 -256 -256 -0 -0 -0 -4 -0xe9a0 -0xb5c7e5ed -256 -256 -1 -0 -0 -3 -0xec77 -0xa66e9217 -256 -256 -1 -0 -0 -4 -0x53ba -0x740c5e35 -256 -256 -0 -0 -0 -3 -0xf621 -0x7dee3716 -256 -256 -0 -0 -0 -3 -0x10d5 -0xe305963f -256 -256 -0 -0 -0 -3 -0xe42f -0x1bff21c9 -256 -256 -1 -0 -0 -2 -0xbe06 -0x38fb1ed7 -256 -256 -0 -0 -0 -4 -0xead0 -0xa0cab66f -256 -256 -0 -0 -0 -4 -0x790f -0xff538b69 -256 -256 -0 -0 -0 -2 -0xca6e -0xd7c90759 -256 -256 -0 -0 -0 -1 -0x9d3f -0xef8491fb -256 -256 -0 -0 -0 -3 -0xf2ba -0x73747f5c -256 -256 -1 -0 -0 -3 -0x38c5 -0xba24dcd1 -256 -256 -1 -0 -0 -3 -0xf4b9 -0x6669fd07 -256 -256 -0 -0 -0 -2 -0x1903 -0x44f9eced -256 -256 -1 -0 -0 -4 -0x4892 -0x87b4f62c -256 -256 -1 -0 -0 -3 -0xa49c -0xe5847b15 -256 -256 -0 -0 -0 -3 -0xa61c -0x513a8c76 -256 -256 -1 -0 -0 -1 -0x28f9 -0xfbf429b9 -256 -256 -1 -0 -0 -2 -0x5484 -0xa155fb9 -256 -256 -1 -0 -0 -3 -0xa3cc -0x2c9cf6b9 -256 -256 -0 -0 -0 -1 -0x863b -0x51adb5b8 -256 -256 -0 -0 -0 -3 -0xb055 -0x36c3567b -256 -256 -1 -0 -0 -2 -0x59f0 -0x5d3d3832 -256 -256 -1 -0 -0 -1 -0x5305 -0x5a3b284d -256 -256 -0 -0 -0 -2 -0x2a81 -0x4382d725 -256 -256 -0 -0 -0 -2 -0xfbbb -0xcfb53311 -256 -256 -0 -0 -0 -3 -0x6eed -0x94dbef50 -256 -256 -0 -0 -0 -2 -0xa3dc -0x74e4341a -256 -256 -0 -0 -0 -3 -0x1444 -0x4fd3d701 -256 -256 -1 -0 -0 -1 -0x4b94 -0xc30588ef -256 -256 -1 -0 -0 -4 -0xe026 -0xafaf1c6c -256 -256 -1 -0 -0 -4 -0x663b -0x56967c92 -256 -256 -1 -0 -0 -4 -0xd4ac -0xb293ab71 -256 -256 -1 -0 -0 -1 -0x61ff -0x54f57be9 -256 -256 -0 -0 -0 -4 -0x2ff6 -0x1f15ab00 -256 -256 -0 -0 -0 -1 -0x41b -0x5209ae5 -256 -256 -1 -0 -0 -1 -0x2b27 -0xbc046333 -256 -256 -0 -0 -0 -3 -0xe87d -0xffef5c60 -256 -256 -0 -0 -0 -4 -0x62cb -0xdbafae6c -256 -256 -1 -0 -0 -1 -0x8c6f -0xba385660 -256 -256 -1 -0 -0 -2 -0xa883 -0x6d69b467 -256 -256 -0 -0 -0 -3 -0x7ee5 -0x7ff7457b -256 -256 -1 -0 -0 -2 -0xd81 -0x2e226e2e -256 -256 -1 -0 -0 -1 -0x1ed5 -0x22b224d8 -256 -256 -0 -0 -0 -3 -0xe89b -0x19e5e9b5 -256 -256 -0 -0 -0 -1 -0xe8fd -0xaff34ce -256 -256 -1 -0 -0 -1 -0x676f -0xc758f9e6 -256 -256 -0 -0 -0 -2 -0x8e74 -0x867517a -256 -256 -1 -0 -0 -2 -0x2060 -0x195d0169 -256 -256 -0 -0 -0 -1 -0x611a -0xfbee16a0 -256 -256 -1 -0 -0 -4 -0xf44e -0x9c3fd3db -256 -256 -0 -0 -0 -3 -0x6263 -0x8968fc6c -256 -256 -0 -0 -0 -2 -0xaa36 -0x9b1b1ad2 -256 -256 -0 -0 -0 -1 -0x5b02 -0x50876eb0 -256 -256 -1 -0 -0 -3 -0x98fc -0xea3f3bf6 -256 -256 -1 -0 -0 -2 -0xd524 -0x877aaa52 -256 -256 -1 -0 -0 -3 -0xaddf -0xaebb497 -256 -256 -1 -0 -0 -1 -0x3764 -0x757f2607 -256 -256 -1 -0 -0 -3 -0xd3c6 -0xb31f93aa -256 -256 -1 -0 -0 -1 -0xfa1a -0x3b1dc21b -256 -256 -0 -0 -0 -4 -0x53cf -0x8b863698 -256 -256 -0 -0 -0 -2 -0x310b -0xddff6622 -256 -256 -1 -0 -0 -2 -0x3a94 -0x73840c96 -256 -256 -0 -0 -0 -3 -0xb753 -0xbea1fe30 -256 -256 -1 -0 -0 -4 -0x5ea6 -0x52aabcb -256 -256 -0 -0 -0 -4 -0x29b -0x3c4c8f7f -256 -256 -0 -0 -0 -4 -0x429f -0x5b20c29d -256 -256 -0 -0 -0 -4 -0xe07 -0xb4523193 -256 -256 -0 -0 -0 -1 -0xe959 -0x655c0e43 -256 -256 -0 -0 -0 -3 -0xeccb -0xc9170d73 -256 -256 -0 -0 -0 -1 -0x122 -0x866da5d7 -256 -256 -1 -0 -0 -2 -0xb0bd -0xee9c5e79 -256 -256 -1 -0 -0 -2 -0x4b1a -0x79f8ea9b -256 -256 -1 -0 -0 -3 -0x44d0 -0x78d2f846 -256 -256 -1 -0 -0 -1 -0xd298 -0xd9b7f915 -256 -256 -1 -0 -0 -1 -0x2b64 -0xfaeb520e -256 -256 -0 -0 -0 -2 -0x5f35 -0x62243ff -256 -256 -0 -0 -0 -1 -0xeaca -0x7168fe5a -256 -256 -0 -0 -0 -4 -0x5aaf -0x5b62d87d -256 -256 -0 -0 -0 -3 -0x571a -0x40b101c -256 -256 -0 -0 -0 -2 -0x6d88 -0x18556030 -256 -256 -0 -0 -0 -1 -0xa369 -0xb3a84152 -256 -256 -0 -0 -0 -1 -0x7abf -0x571079bd -256 -256 -1 -0 -0 -3 -0xbdd6 -0xe19744c9 -256 -256 -1 -0 -0 -3 -0xfa54 -0xeb97e7c1 -256 -256 -1 -0 -0 -2 -0xe629 -0x30bce5f2 -256 -256 -0 -0 -0 -3 -0xf737 -0x3a04b04d -256 -256 -1 -0 -0 -3 -0x18e3 -0x79f3736b -256 -256 -0 -0 -0 -4 -0xd77f -0x49481bdb -256 -256 -0 -0 -0 -2 -0x2fa5 -0x3d26da16 -256 -256 -0 -0 -0 -1 -0x1d64 -0xc631befb -256 -256 -0 -0 -0 -2 -0xefd0 -0x44f7b1f5 -256 -256 -0 -0 -0 -1 -0xd444 -0xe9c36cd5 -256 -256 -0 -0 -0 -2 -0xbe01 -0x3fe73f32 -256 -256 -0 -0 -0 -4 -0x536b -0x33b6a060 -256 -256 -1 -0 -0 -2 -0x4d5b -0x26cee9e4 -256 -256 -1 -0 -0 -1 -0xa1b9 -0x45e98d1 -256 -256 -1 -0 -0 -2 -0xd84e -0xb5600ccf -256 -256 -1 -0 -0 -3 -0xbdd6 -0xd9888618 -256 -256 -1 -0 -0 -3 -0xfa00 -0xc9bb6bb3 -256 -256 -1 -0 -0 -4 -0x579a -0xe7a31ea5 -256 -256 -0 -0 -0 -2 -0x7066 -0x1bb5296e -256 -256 -0 -0 -0 -3 -0xdcf0 -0xbff7513e -256 -256 -1 -0 -0 -1 -0x5e62 -0xeef020fa -256 -256 -1 -0 -0 -2 -0x6478 -0xdafb2415 -256 -256 -0 -0 -0 -4 -0xe60d -0x3c92af03 -256 -256 -1 -0 -0 -1 -0x409d -0x53fabc4e -256 -256 -1 -0 -0 -3 -0x86c6 -0x57bd3ec -256 -256 -1 -0 -0 -3 -0xad04 -0xc4bea509 -256 -256 -0 -0 -0 -2 -0xa644 -0xfa5e7e9d -256 -256 -1 -0 -0 -4 -0xa5ae -0xb7341326 -256 -256 -1 -0 -0 -4 -0xf536 -0x15b3091d -256 -256 -0 -0 -0 -1 -0x3ae0 -0x2f79e712 -256 -256 -0 -0 -0 -2 -0xe896 -0x77263157 -256 -256 -0 -0 -0 -2 -0x403c -0x2c933d71 -256 -256 -0 -0 -0 -4 -0x2591 -0xb9ed8263 -256 -256 -0 -0 -0 -2 -0xa651 -0xb821721e -256 -256 -1 -0 -0 -2 -0xea92 -0xb713c39e -256 -256 -0 -0 -0 -4 -0x3c68 -0xc925a836 -256 -256 -1 -0 -0 -3 -0xfb43 -0xe8e28bf2 -256 -256 -0 -0 -0 -4 -0x380c -0xe9d816d1 -256 -256 -1 -0 -0 -1 -0xee4e -0xa6c25d25 -256 -256 -0 -0 -0 -3 -0x5a35 -0x2903b306 -256 -256 -1 -0 -0 -2 -0x3410 -0xec7c3f12 -256 -256 -1 -0 -0 -3 -0x94a3 -0x9fa8b1f1 -256 -256 -0 -0 -0 -2 -0x368e -0xb6b17e1c -256 -256 -1 -0 -0 -1 -0xd23b -0x665a464 -256 -256 -1 -0 -0 -4 -0x4d58 -0x541e960c -256 -256 -1 -0 -0 -3 -0x432a -0x462e5d41 -256 -256 -1 -0 -0 -3 -0xcac4 -0x8b0a289c -256 -256 -1 -0 -0 -3 -0xff5b -0xb2d2390e -256 -256 -1 -0 -0 -2 -0xfdc0 -0x201a6282 -256 -256 -1 -0 -0 -1 -0x52bb -0x70efbddf -256 -256 -1 -0 -0 -2 -0xe2fa -0x9a122059 -256 -256 -1 -0 -0 -2 -0xf3e4 -0xed38584b -256 -256 -1 -0 -0 -1 -0xad04 -0x117deb20 -256 -256 -0 -0 -0 -2 -0x295b -0x9579a387 -256 -256 -0 -0 -0 -2 -0x9dc2 -0x5e235daa -256 -256 -0 -0 -0 -2 -0x6f8f -0x6b8b63e6 -256 -256 -0 -0 -0 -2 -0x87d4 -0x4f947f88 -256 -256 -0 -0 -0 -1 -0x6203 -0x9d79b9f2 -256 -256 -1 -0 -0 -4 -0x2832 -0xe156b39d -256 -256 -0 -0 -0 -2 -0x691b -0xab5bb97a -256 -256 -0 -0 -0 -2 -0x13f8 -0x1cbf37cc -256 -256 -0 -0 -0 -4 -0xbb8f -0x248798ad -256 -256 -1 -0 -0 -3 -0x86c8 -0x722f75f1 -256 -256 -1 -0 -0 -4 -0x6c09 -0xe2088375 -256 -256 -1 -0 -0 -3 -0x8190 -0xdee18935 -256 -256 -0 -0 -0 -4 -0x73c2 -0xb2195137 -256 -256 -0 -0 -0 -2 -0x1885 -0xafc4737a -256 -256 -0 -0 -0 -4 -0xa937 -0xe65171fe -256 -256 -1 -0 -0 -2 -0x3b41 -0xa5855e15 -256 -256 -0 -0 -0 -2 -0xeded -0x2b587c44 -256 -256 -0 -0 -0 -3 -0x8f9 -0x47746ee4 -256 -256 -1 -0 -0 -3 -0x465 -0x5ce2915a -256 -256 -1 -0 -0 -3 -0xa63e -0x3949c426 -256 -256 -0 -0 -0 -4 -0xadce -0x79963db1 -256 -256 -0 -0 -0 -3 -0xe7c4 -0x8f957ee6 -256 -256 -1 -0 -0 -2 -0xafeb -0x8d382728 -256 -256 -0 -0 -0 -2 -0xbcb6 -0x67a9acee -256 -256 -1 -0 -0 -2 -0x7d09 -0xfe5eb21 -256 -256 -0 -0 -0 -1 -0x30da -0xd14e96da -256 -256 -1 -0 -0 -1 -0x9dc5 -0x41c9c98b -256 -256 -1 -0 -0 -2 -0xa4cb -0x21c9238b -256 -256 -0 -0 -0 -4 -0xbc45 -0xc13d55f -256 -256 -0 -0 -0 -3 -0xffb2 -0x3d4c11c4 -256 -256 -0 -0 -0 -4 -0x44b0 -0x5587cfb -256 -256 -1 -0 -0 -3 -0xabdb -0x5c2d8936 -256 -256 -0 -0 -0 -2 -0x626c -0x732114fb -256 -256 -0 -0 -0 -3 -0xc19d -0x41c41375 -256 -256 -1 -0 -0 -4 -0xbcde -0xb6cd72f5 -256 -256 -0 -0 -0 -1 -0xfdc9 -0x75d0a33f -256 -256 -1 -0 -0 -2 -0xef9d -0x52c5096e -256 -256 -0 -0 -0 -4 -0x9d5a -0x7e007e11 -256 -256 -1 -0 -0 -2 -0xba91 -0x50778e02 -256 -256 -1 -0 -0 -2 -0x848e -0xf9d209ce -256 -256 -0 -0 -0 -3 -0x8203 -0x8ec806b5 -256 -256 -1 -0 -0 -2 -0xc43e -0x513d160f -256 -256 -1 -0 -0 -2 -0xcad0 -0x6869ed9 -256 -256 -0 -0 -0 -4 -0x3422 -0x977220fa -256 -256 -1 -0 -0 -2 -0x979c -0xa65627d3 -256 -256 -0 -0 -0 -4 -0xaf04 -0x6278f37b -256 -256 -1 -0 -0 -3 -0xded -0xbdafe04e -256 -256 -1 -0 -0 -2 -0x85ba -0x60ea4dca -256 -256 -0 -0 -0 -2 -0x1603 -0x546af8a4 -256 -256 -1 -0 -0 -4 -0x70c4 -0x46bd77f4 -256 -256 -0 -0 -0 -1 -0x64f6 -0x2078f39 -256 -256 -0 -0 -0 -2 -0x7276 -0x3b2dd9b2 -256 -256 -1 -0 -0 -1 -0x6111 -0x62841ed -256 -256 -0 -0 -0 -1 -0xba80 -0xc0cabf1c -256 -256 -0 -0 -0 -2 -0x5191 -0x8877f473 -256 -256 -0 -0 -0 -4 -0x40af -0xb0c1534b -256 -256 -1 -0 -0 -1 -0x81c2 -0x34db64b4 -256 -256 -1 -0 -0 -3 -0x1acb -0xe998aef7 -256 -256 -0 -0 -0 -3 -0xbb9f -0xc55009c8 -256 -256 -1 -0 -0 -3 -0x1b51 -0xd7a8e12d -256 -256 -1 -0 -0 -1 -0xbf0a -0xd15cddc9 -256 -256 -0 -0 -0 -1 -0xa9c5 -0xbfbdeb8c -256 -256 -0 -0 -0 -3 -0xfddf -0x3010a9d7 -256 -256 -1 -0 -0 -1 -0xa5dc -0xfe985d78 -256 -256 -0 -0 -0 -4 -0x69c4 -0x34a28494 -256 -256 -1 -0 -0 -3 -0x691a -0x689d1a3f -256 -256 -0 -0 -0 -4 -0xaf81 -0xfdc21419 -256 -256 -0 -0 -0 -2 -0x250d -0x14036db9 -256 -256 -1 -0 -0 -2 -0xbd7c -0x13b56ded -256 -256 -0 -0 -0 -1 -0x87e2 -0xb4605d9f -256 -256 -0 -0 -0 -1 -0xdf8d -0x629cd377 -256 -256 -0 -0 -0 -1 -0x7187 -0x26243e70 -256 -256 -1 -0 -0 -1 -0xd904 -0x90af7c30 -256 -256 -1 -0 -0 -1 -0xd769 -0x351bc6e9 -256 -256 -0 -0 -0 -1 -0x6f18 -0x805ae5b1 -256 -256 -0 -0 -0 -4 -0x144 -0x6c8e789d -256 -256 -0 -0 -0 -1 -0x3261 -0xd693a280 -256 -256 -0 -0 -0 -2 -0x6e4a -0xf03a6806 -256 -256 -1 -0 -0 -4 -0x8156 -0x204c058d -256 -256 -0 -0 -0 -3 -0x93d1 -0xbd3851e -256 -256 -0 -0 -0 -4 -0xa17b -0x4334751d -256 -256 -1 -0 -0 -2 -0x8c85 -0xc9aba7e3 -256 -256 -1 -0 -0 -2 -0xe78f -0xd606e20c -256 -256 -1 -0 -0 -2 -0xf992 -0x635c4257 -256 -256 -0 -0 -0 -2 -0x7862 -0x35f206b7 -256 -256 -0 -0 -0 -1 -0xb04f -0xbb19bd40 -256 -256 -0 -0 -0 -2 -0x65f7 -0x43c40821 -256 -256 -0 -0 -0 -3 -0x8796 -0x53ce5fa1 -256 -256 -1 -0 -0 -1 -0xbf37 -0x3298e4ac -256 -256 -0 -0 -0 -3 -0x69f2 -0x727a5699 -256 -256 -0 -0 -0 -4 -0x148a -0x8e025a3 -256 -256 -0 -0 -0 -4 -0x35f7 -0x4b03b932 -256 -256 -1 -0 -0 -1 -0xb865 -0x5b3114fa -256 -256 -1 -0 -0 -3 -0x1f7f -0xb5bd4bef -256 -256 -0 -0 -0 -3 -0x72b9 -0x5c209de0 -256 -256 -0 -0 -0 -4 -0x68df -0xd1296ba2 -256 -256 -0 -0 -0 -2 -0x7e89 -0xda26a483 -256 -256 -0 -0 -0 -3 -0x7bad -0xa2edf950 -256 -256 -0 -0 -0 -2 -0xd344 -0x5b1bfe07 -256 -256 -0 -0 -0 -2 -0x707f -0xee1b8701 -256 -256 -1 -0 -0 -4 -0x5d11 -0x654dc425 -256 -256 -1 -0 -0 -4 -0xc1e4 -0x5cf3f951 -256 -256 -1 -0 -0 -4 -0xfc2f -0x3c7bbcc0 -256 -256 -0 -0 -0 -2 -0x2cfb -0xcbc1a5fb -256 -256 -1 -0 -0 -3 -0xeac3 -0x681ded31 -256 -256 -1 -0 -0 -3 -0x11e8 -0x393351e -256 -256 -1 -0 -0 -2 -0x3994 -0xad1da8d0 -256 -256 -1 -0 -0 -2 -0xe427 -0x64a44aa6 -256 -256 -1 -0 -0 -1 -0xf902 -0x56856ef -256 -256 -0 -0 -0 -3 -0x39b5 -0x1cfe3ad8 -256 -256 -1 -0 -0 -3 -0x28b7 -0xc273b3f7 -256 -256 -0 -0 -0 -2 -0xa7d3 -0xaa06e915 -256 -256 -0 -0 -0 -3 -0x9397 -0xa4fdf94c -256 -256 -1 -0 -0 -3 -0x376 -0x19ea8442 -256 -256 -0 -0 -0 -1 -0x62c2 -0xdc58f22e -256 -256 -0 -0 -0 -4 -0x86b7 -0xaff2d981 -256 -256 -0 -0 -0 -4 -0xe338 -0xccd56d0d -256 -256 -0 -0 -0 -4 -0x24dc -0x5b24a448 -256 -256 -0 -0 -0 -4 -0x2b05 -0x1d4fa8be -256 -256 -0 -0 -0 -3 -0xbef3 -0xc6b5c091 -256 -256 -0 -0 -0 -3 -0xb275 -0x57edb3e -256 -256 -1 -0 -0 -1 -0x70c1 -0x53a11564 -256 -256 -1 -0 -0 -3 -0x2e2e -0x22a0e9a0 -256 -256 -1 -0 -0 -4 -0x3c7f -0x61a09f7 -256 -256 -0 -0 -0 -2 -0x4adc -0x5d1c4748 -256 -256 -1 -0 -0 -3 -0x80c5 -0x3e30f410 -256 -256 -0 -0 -0 -1 -0xaf7a -0x81de7cf6 -256 -256 -1 -0 -0 -4 -0xdac8 -0xf9d828c8 -256 -256 -1 -0 -0 -1 -0x9853 -0x460fd175 -256 -256 -0 -0 -0 -3 -0x7dcf -0x8148a2ed -256 -256 -1 -0 -0 -2 -0xbacf -0xe02d198b -256 -256 -1 -0 -0 -2 -0xabaf -0xe6e0dede -256 -256 -0 -0 -0 -1 -0x1943 -0xb17be76a -256 -256 -0 -0 -0 -1 -0xda79 -0x2e11b11a -256 -256 -0 -0 -0 -3 -0x21b4 -0x4f927af4 -256 -256 -0 -0 -0 -1 -0xbf07 -0xe73e10c7 -256 -256 -1 -0 -0 -4 -0x7b5d -0x8b9b1ebc -256 -256 -0 -0 -0 -3 -0x760f -0x1c5cc068 -256 -256 -0 -0 -0 -2 -0x6c2c -0x2aa8a3de -256 -256 -0 -0 -0 -1 -0x17d4 -0xebfa8b5c -256 -256 -0 -0 -0 -1 -0x39e4 -0x63be1b56 -256 -256 -1 -0 -0 -1 -0x294a -0x955b732b -256 -256 -0 -0 -0 -2 -0xf15c -0x1b487855 -256 -256 -0 -0 -0 -1 -0xaf1a -0x51c491c6 -256 -256 -0 -0 -0 -1 -0x57e5 -0xe4222e8e -256 -256 -0 -0 -0 -3 -0xa60b -0x6d34de4b -256 -256 -0 -0 -0 -1 -0x1d3e -0xd8248691 -256 -256 -1 -0 -0 -1 -0x2e0 -0x8db08e76 -256 -256 -1 -0 -0 -2 -0x3bd6 -0x57bc5e43 -256 -256 -0 -0 -0 -1 -0x7bbb -0xf1f77bac -256 -256 -0 -0 -0 -3 -0xa2e2 -0x431b3b93 -256 -256 -0 -0 -0 -3 -0xd519 -0xa1639a9d -256 -256 -0 -0 -0 -1 -0x4c2e -0x63171aed -256 -256 -1 -0 -0 -1 -0xd679 -0x48668295 -256 -256 -0 -0 -0 -4 -0x8c6 -0xc981a8cc -256 -256 -0 -0 -0 -2 -0x7834 -0x14b04744 -256 -256 -1 -0 -0 -3 -0xb41a -0xfe2fc40b -256 -256 -0 -0 -0 -4 -0x6344 -0x553c61e1 -256 -256 -0 -0 -0 -3 -0x5a74 -0xe60cca68 -256 -256 -1 -0 -0 -1 -0xc036 -0x3e51e20c -256 -256 -0 -0 -0 -2 -0x6ae2 -0x2080f98d -256 -256 -1 -0 -0 -2 -0x54bc -0xbfd7f9a -256 -256 -0 -0 -0 -4 -0xf238 -0x3ac39d7c -256 -256 -0 -0 -0 -4 -0x5f67 -0xb07a19da -256 -256 -0 -0 -0 -2 -0x7abd -0xcc830d47 -256 -256 -0 -0 -0 -1 -0x9370 -0xb485eb3e -256 -256 -0 -0 -0 -4 -0x247d -0x24d67c0 -256 -256 -0 -0 -0 -3 -0xb07c -0xed688235 -256 -256 -0 -0 -0 -1 -0x86fe -0xc6b46276 -256 -256 -0 -0 -0 -3 -0xfe -0xaa72c8bb -256 -256 -0 -0 -0 -4 -0x42ee -0x18c6386b -256 -256 -1 -0 -0 -4 -0x8e73 -0xfca7cd5c -256 -256 -1 -0 -0 -3 -0x9f72 -0xc21cb02d -256 -256 -0 -0 -0 -3 -0x712a -0x52f9acb6 -256 -256 -1 -0 -0 -1 -0x56b -0x36ec233f -256 -256 -0 -0 -0 -1 -0xb561 -0xffd960d6 -256 -256 -1 -0 -0 -2 -0x3b4c -0xcb9d70f2 -256 -256 -1 -0 -0 -4 -0xaf0d -0x889242d9 -256 -256 -0 -0 -0 -3 -0x716e -0x7a5958ab -256 -256 -0 -0 -0 -2 -0xa77e -0xcb49f790 -256 -256 -0 -0 -0 -2 -0xe27b -0x61761c36 -256 -256 -0 -0 -0 -3 -0x75de -0x5c501402 -256 -256 -1 -0 -0 -2 -0xaf5b -0xef2f2e -256 -256 -1 -0 -0 -2 -0x5903 -0x595076f9 -256 -256 -0 -0 -0 -2 -0x493 -0x60f4f59c -256 -256 -1 -0 -0 -1 -0xa8a2 -0x53670ed1 -256 -256 -0 -0 -0 -4 -0x7fcc -0xe33a9799 -256 -256 -0 -0 -0 -3 -0x83e1 -0x97d10b14 -256 -256 -1 -0 -0 -1 -0x3742 -0x3c061db2 -256 -256 -1 -0 -0 -1 -0xce81 -0x36cc0716 -256 -256 -0 -0 -0 -4 -0xccbc -0x383f38b -256 -256 -0 -0 -0 -3 -0x619a -0xfb97384c -256 -256 -1 -0 -0 -1 -0x241b -0x48b9698c -256 -256 -1 -0 -0 -2 -0x5696 -0x49e3e482 -256 -256 -0 -0 -0 -3 -0xcd7 -0xb3d9f5bb -256 -256 -1 -0 -0 -3 -0xbbe9 -0x2658be4a -256 -256 -0 -0 -0 -3 -0x9505 -0x3d0d7a34 -256 -256 -1 -0 -0 -4 -0xfa9c -0x2c12d1a6 -256 -256 -0 -0 -0 -2 -0x6d70 -0xe4df55cf -256 -256 -1 -0 -0 -2 -0xc29e -0xc3c482f9 -256 -256 -0 -0 -0 -2 -0xb1f4 -0xfab17218 -256 -256 -1 -0 -0 -1 -0xf4f8 -0x4b0af68f -256 -256 -1 -0 -0 -1 -0xc707 -0x3f771502 -256 -256 -1 -0 -0 -3 -0x2ab9 -0xa3470dd6 -256 -256 -0 -0 -0 -3 -0x8b38 -0xe7dc9aad -256 -256 -1 -0 -0 -4 -0x8d5b -0x306a1217 -256 -256 -1 -0 -0 -3 -0x80cd -0x3911168a -256 -256 -0 -0 -0 -2 -0x1623 -0x379af398 -256 -256 -1 -0 -0 -1 -0x4b15 -0xe07cfee8 -256 -256 -0 -0 -0 -1 -0xf32e -0x2272fc65 -256 -256 -1 -0 -0 -1 -0x65c8 -0x8054ff33 -256 -256 -0 -0 -0 -2 -0xfdbf -0xa03632f2 -256 -256 -0 -0 -0 -1 -0x8ab2 -0xa4bf58b5 -256 -256 -0 -0 -0 -2 -0x24b1 -0xa0fe3581 -256 -256 -1 -0 -0 -2 -0xb9a1 -0x1160d9e9 -256 -256 -0 -0 -0 -2 -0x31c9 -0x8180a8e0 -256 -256 -0 -0 -0 -2 -0xf293 -0x8121c7f4 -256 -256 -1 -0 -0 -3 -0xc784 -0x58dcc8f2 -256 -256 -0 -0 -0 -3 -0x46e1 -0xb82287d4 -256 -256 -1 -0 -0 -1 -0x810f -0xdedb86a6 -256 -256 -0 -0 -0 -3 -0x8c9b -0x5d06dddd -256 -256 -1 -0 -0 -4 -0xee1f -0x7b0d735c -256 -256 -1 -0 -0 -1 -0x5726 -0x97435dfa -256 -256 -0 -0 -0 -2 -0x20f9 -0x72d04606 -256 -256 -0 -0 -0 -4 -0xe067 -0xa7eb2a0d -256 -256 -1 -0 -0 -2 -0x89a4 -0x423c7a4a -256 -256 -1 -0 -0 -2 -0x9317 -0x2c6cf5f5 -256 -256 -1 -0 -0 -3 -0x9368 -0xdc0ad972 -256 -256 -1 -0 -0 -1 -0xa282 -0x8d49677b -256 -256 -1 -0 -0 -1 -0xa255 -0xc1f2e896 -256 -256 -0 -0 -0 -3 -0x5361 -0x3d0b463 -256 -256 -1 -0 -0 -3 -0xc83 -0xf91d1bd6 -256 -256 -0 -0 -0 -3 -0xccf3 -0x6a79aa03 -256 -256 -1 -0 -0 -2 -0xbb35 -0x987d55a3 -256 -256 -1 -0 -0 -2 -0x6827 -0xaaa3e2e4 -256 -256 -0 -0 -0 -2 -0x6c93 -0x30c3bf9e -256 -256 -1 -0 -0 -2 -0x640e -0x681ba965 -256 -256 -1 -0 -0 -2 -0xcb93 -0x7f3f4f99 -256 -256 -0 -0 -0 -3 -0x8842 -0xfe1d0511 -256 -256 -0 -0 -0 -3 -0xc21 -0x8b2a2c51 -256 -256 -0 -0 -0 -1 -0x5866 -0x5f4caf06 -256 -256 -1 -0 -0 -3 -0x3080 -0xf02a67fe -256 -256 -0 -0 -0 -3 -0x3394 -0x2f73bcdc -256 -256 -1 -0 -0 -1 -0x9ced -0xb2eae3bb -256 -256 -0 -0 -0 -3 -0xc1bb -0xda51673b -256 -256 -1 -0 -0 -1 -0xc098 -0x13ed72b3 -256 -256 -1 -0 -0 -1 -0x5a1b -0xe937a5e8 -256 -256 -0 -0 -0 -1 -0x26c -0x36c06038 -256 -256 -0 -0 -0 -4 -0x7f15 -0xd2e7fa6b -256 -256 -1 -0 -0 -2 -0x1821 -0x6dec7910 -256 -256 -1 -0 -0 -2 -0x6af0 -0xf342b6c9 -256 -256 -0 -0 -0 -1 -0x6c49 -0xb4bfa927 -256 -256 -0 -0 -0 -3 -0x3d06 -0x15ff37de -256 -256 -1 -0 -0 -1 -0x3e25 -0x8aa25685 -256 -256 -1 -0 -0 -3 -0x7c29 -0xe12cd26f -256 -256 -0 -0 -0 -4 -0xb94f -0xdce221c2 -256 -256 -0 -0 -0 -1 -0xc05c -0x21fa49d4 -256 -256 -1 -0 -0 -1 -0xa245 -0x2ca0679a -256 -256 -1 -0 -0 -1 -0xb22b -0x4e33c3fe -256 -256 -0 -0 -0 -3 -0xd682 -0x23b28c44 -256 -256 -1 -0 -0 -2 -0x7a30 -0x23298f51 -256 -256 -0 -0 -0 -3 -0x46a5 -0xb743579c -256 -256 -0 -0 -0 -1 -0xd57c -0xc06dbd15 -256 -256 -1 -0 -0 -3 -0xcf21 -0x5e8896f -256 -256 -0 -0 -0 -3 -0xf509 -0xbe8c6014 -256 -256 -0 -0 -0 -2 -0x2cec -0x11649f25 -256 -256 -0 -0 -0 -4 -0xceb0 -0x29e95888 -256 -256 -0 -0 -0 -1 -0xd75 -0x7185b727 -256 -256 -0 -0 -0 -1 -0xb403 -0xd9a8ca4f -256 -256 -1 -0 -0 -1 -0x73fa -0x83b9ab97 -256 -256 -1 -0 -0 -1 -0x7fbd -0xd9bc2656 -256 -256 -1 -0 -0 -2 -0xd152 -0xc67d2d8b -256 -256 -0 -0 -0 -3 -0xb4fd -0xa1786423 -256 -256 -0 -0 -0 -2 -0x7675 -0xfe9330e7 -256 -256 -1 -0 -0 -4 -0xa08f -0x8345a3f0 -256 -256 -1 -0 -0 -3 -0x31ab -0xec7c5b8d -256 -256 -1 -0 -0 -3 -0x8c8f -0x7d9750bb -256 -256 -1 -0 -0 -2 -0xf2e3 -0xd6e50ba9 -256 -256 -0 -0 -0 -3 -0x149e -0xd5989b99 -256 -256 -1 -0 -0 -4 -0x709e -0x7c6586c -256 -256 -1 -0 -0 -4 -0x356a -0xc5abf213 -256 -256 -0 -0 -0 -4 -0x8997 -0xc6b7e183 -256 -256 -0 -0 -0 -3 -0x494d -0xfd2b79f3 -256 -256 -0 -0 -0 -3 -0xafa8 -0x8be4cce8 -256 -256 -1 -0 -0 -4 -0x1282 -0xb47ec74 -256 -256 -0 -0 -0 -2 -0x5669 -0x6429ef12 -256 -256 -0 -0 -0 -3 -0x99c -0xe511e5c5 -256 -256 -0 -0 -0 -1 -0xa297 -0x3a5a19b7 -256 -256 -0 -0 -0 -2 -0x174c -0x40c56b36 -256 -256 -1 -0 -0 -4 -0x31a6 -0xa6a9f894 -256 -256 -0 -0 -0 -4 -0x8c4b -0x75a32b79 -256 -256 -0 -0 -0 -1 -0x97d -0x37d7d10c -256 -256 -0 -0 -0 -2 -0x2b65 -0xa1e4a681 -256 -256 -0 -0 -0 -4 -0x11e0 -0x796281b -256 -256 -1 -0 -0 -3 -0x1662 -0x1e499e78 -256 -256 -0 -0 -0 -2 -0x7708 -0xe0f26d7b -256 -256 -0 -0 -0 -4 -0x9f19 -0x96e6b452 -256 -256 -1 -0 -0 -3 -0xc929 -0x80426207 -256 -256 -1 -0 -0 -3 -0x45ef -0xe330b5f9 -256 -256 -1 -0 -0 -1 -0x6b92 -0xa979c0b7 -256 -256 -1 -0 -0 -4 -0xd546 -0xcb049886 -256 -256 -0 -0 -0 -2 -0xeee9 -0xc06e45cc -256 -256 -1 -0 -0 -3 -0xeeb9 -0x22ee6ae7 -256 -256 -0 -0 -0 -1 -0x2b53 -0xbbf6d922 -256 -256 -0 -0 -0 -4 -0x7d6 -0xd52135c1 -256 -256 -0 -0 -0 -3 -0x5e14 -0xed6c6774 -256 -256 -0 -0 -0 -4 -0x8145 -0x9d00c137 -256 -256 -1 -0 -0 -2 -0xb932 -0xd813d309 -256 -256 -0 -0 -0 -4 -0x88cd -0x8b476f23 -256 -256 -1 -0 -0 -3 -0x677b -0xfbd4765 -256 -256 -0 -0 -0 -1 -0x28e8 -0xdf7b8745 -256 -256 -0 -0 -0 -3 -0x5850 -0xdc796d77 -256 -256 -1 -0 -0 -3 -0xae9a -0x449f7afa -256 -256 -0 -0 -0 -4 -0xe0ec -0x595c2451 -256 -256 -0 -0 -0 -4 -0x6e0f -0xf6c3017d -256 -256 -1 -0 -0 -2 -0xf004 -0xd0cd14a1 -256 -256 -1 -0 -0 -4 -0x36a9 -0x3e223943 -256 -256 -0 -0 -0 -1 -0xa2dc -0xe8e2b94e -256 -256 -1 -0 -0 -3 -0xc69e -0x8e7177b5 -256 -256 -1 -0 -0 -4 -0x472c -0xebffb11c -256 -256 -0 -0 -0 -1 -0x5eae -0xb5db956a -256 -256 -0 -0 -0 -3 -0xa173 -0xd286a83c -256 -256 -0 -0 -0 -1 -0xa144 -0xb8b4cac6 -256 -256 -1 -0 -0 -2 -0x2987 -0x1979e915 -256 -256 -0 -0 -0 -1 -0x70f9 -0x3e3b2d77 -256 -256 -0 -0 -0 -1 -0x49d3 -0xacdfb8c6 -256 -256 -1 -0 -0 -3 -0x1987 -0xe5389eb9 -256 -256 -1 -0 -0 -4 -0x9fff -0xf7e544cb -256 -256 -0 -0 -0 -1 -0xed7d -0xaebfc3bc -256 -256 -1 -0 -0 -1 -0x1dea -0x78d278d4 -256 -256 -0 -0 -0 -4 -0xb778 -0x6a9b77e3 -256 -256 -1 -0 -0 -3 -0x1a1 -0xfc8832fb -256 -256 -0 -0 -0 -4 -0xa08c -0xc34b975d -256 -256 -1 -0 -0 -1 -0x1f93 -0xf1c6ecf1 -256 -256 -0 -0 -0 -3 -0xda12 -0x1a97a281 -256 -256 -0 -0 -0 -4 -0x524d -0x257fd508 -256 -256 -1 -0 -0 -2 -0x1f7 -0x60a2d80f -256 -256 -0 -0 -0 -4 -0xa261 -0x14e8d024 -256 -256 -0 -0 -0 -2 -0xe8ca -0x4dcdfeb7 -256 -256 -0 -0 -0 -4 -0x5df5 -0x9c7235c0 -256 -256 -0 -0 -0 -1 -0x4fe2 -0x2639161c -256 -256 -1 -0 -0 -2 -0x7cf1 -0xc1eceb0a -256 -256 -1 -0 -0 -2 -0xcafe -0x383b09ee -256 -256 -0 -0 -0 -1 -0x688e -0xcbcc68cf -256 -256 -0 -0 -0 -1 -0x6c91 -0xf51bae0e -256 -256 -0 -0 -0 -3 -0xd246 -0x3f131540 -256 -256 -0 -0 -0 -4 -0xcca8 -0x9f4bee79 -256 -256 -1 -0 -0 -4 -0xca1a -0x775a36db -256 -256 -1 -0 -0 -3 -0x7c7e -0x7a7087ce -256 -256 -0 -0 -0 -1 -0xc3e6 -0x56a83d57 -256 -256 -0 -0 -0 -2 -0x91e1 -0x2cd76113 -256 -256 -0 -0 -0 -2 -0xe235 -0x4992f294 -256 -256 -0 -0 -0 -3 -0xe0af -0x265f02f4 -256 -256 -1 -0 -0 -3 -0x3c52 -0x7bc42eae -256 -256 -1 -0 -0 -3 -0xc5c8 -0x5226ae2c -256 -256 -1 -0 -0 -4 -0xfbe2 -0x1b1d6909 -256 -256 -0 -0 -0 -3 -0x41f4 -0x50d21d54 -256 -256 -0 -0 -0 -2 -0xf678 -0x28965961 -256 -256 -0 -0 -0 -1 -0x79b6 -0xa4f53114 -256 -256 -0 -0 -0 -2 -0xfbd6 -0xc93da70 -256 -256 -1 -0 -0 -1 -0x39eb -0x2dd22fea -256 -256 -0 -0 -0 -2 -0x3b49 -0xd4ccc309 -256 -256 -0 -0 -0 -3 -0xc822 -0x7279be41 -256 -256 -0 -0 -0 -2 -0xc083 -0xb16f3d19 -256 -256 -1 -0 -0 -3 -0x8382 -0xe038a92c -256 -256 -0 -0 -0 -4 -0x7721 -0xa97c65ea -256 -256 -1 -0 -0 -3 -0xecc1 -0xa0c0e25e -256 -256 -0 -0 -0 -4 -0x577e -0x7a1552f1 -256 -256 -1 -0 -0 -1 -0xbd3 -0xa85c6191 -256 -256 -0 -0 -0 -1 -0x6377 -0x6677d777 -256 -256 -0 -0 -0 -2 -0x4718 -0x1de782b6 -256 -256 -0 -0 -0 -2 -0x2e7c -0xcba4f87f -256 -256 -0 -0 -0 -3 -0x4e3d -0xebbdd202 -256 -256 -0 -0 -0 -3 -0x398c -0x792be0a7 -256 -256 -1 -0 -0 -4 -0x486c -0xe9d440e7 -256 -256 -0 -0 -0 -1 -0xbd43 -0xadf370f5 -256 -256 -1 -0 -0 -1 -0x7add -0x7efef393 -256 -256 -1 -0 -0 -2 -0x6d79 -0xc394ea63 -256 -256 -1 -0 -0 -1 -0x18f1 -0xa915df99 -256 -256 -1 -0 -0 -4 -0x9572 -0x10fa1ce7 -256 -256 -0 -0 -0 -3 -0x7062 -0xfbcc2067 -256 -256 -1 -0 -0 -4 -0x7fd8 -0xbfdf3adb -256 -256 -1 -0 -0 -1 -0x98ea -0xb2a60c04 -256 -256 -0 -0 -0 -2 -0x930f -0xa88a9b6a -256 -256 -1 -0 -0 -1 -0xe8b1 -0xdb7f8bd6 -256 -256 -1 -0 -0 -1 -0xbd73 -0x7f001a9f -256 -256 -0 -0 -0 -1 -0x501f -0xa025ce3c -256 -256 -0 -0 -0 -4 -0x8556 -0xf2f1de3e -256 -256 -0 -0 -0 -3 -0xce29 -0x8161fcf3 -256 -256 -0 -0 -0 -3 -0xc0b2 -0xe968eee5 -256 -256 -0 -0 -0 -2 -0xab79 -0xcc72eb75 -256 -256 -1 -0 -0 -3 -0x1c86 -0xbe7aee3a -256 -256 -1 -0 -0 -2 -0x8e4a -0x96581b2c -256 -256 -1 -0 -0 -4 -0xb3d -0xa73d74ef -256 -256 -1 -0 -0 -3 -0x2172 -0x8c8311b8 -256 -256 -0 -0 -0 -3 -0x8597 -0x4d9f5852 -256 -256 -0 -0 -0 -4 -0x7c1d -0xf2501276 -256 -256 -1 -0 -0 -2 -0x510e -0xaf3b37af -256 -256 -1 -0 -0 -3 -0x9019 -0xb856947 -256 -256 -0 -0 -0 -3 -0x7b84 -0xb8a91fd6 -256 -256 -1 -0 -0 -4 -0x9d21 -0x849faf10 -256 -256 -0 -0 -0 -2 -0x6112 -0x3b83be76 -256 -256 -0 -0 -0 -2 -0x2d86 -0x8a32b7e9 -256 -256 -1 -0 -0 -2 -0x2eef -0xc6090247 -256 -256 -1 -0 -0 -1 -0x5282 -0xb3e87df9 -256 -256 -1 -0 -0 -4 -0x575f -0xeb269e2e -256 -256 -0 -0 -0 -4 -0x7690 -0xd23872a8 -256 -256 -1 -0 -0 -1 -0x3f94 -0x91e3f847 -256 -256 -1 -0 -0 -1 -0xf222 -0xa19e2420 -256 -256 -0 -0 -0 -2 -0x7390 -0xab102f0a -256 -256 -1 -0 -0 -2 -0x22bd -0x76369cfc -256 -256 -1 -0 -0 -3 -0xcf4c -0x7f39dc25 -256 -256 -1 -0 -0 -2 -0x24f1 -0x25ec6dd0 -256 -256 -1 -0 -0 -4 -0x5ebe -0x32d574fb -256 -256 -0 -0 -0 -2 -0xcfe4 -0x6e4b349 -256 -256 -0 -0 -0 -2 -0xcb0 -0x43b0f773 -256 -256 -1 -0 -0 -2 -0x81a1 -0x5e608d79 -256 -256 -0 -0 -0 -1 -0x58ab -0xb2ec6078 -256 -256 -0 -0 -0 -2 -0xda00 -0x710ea17e -256 -256 -1 -0 -0 -1 -0x631b -0xf99e99e6 -256 -256 -1 -0 -0 -3 -0x3f1 -0x8e6c11e8 -256 -256 -0 -0 -0 -2 -0xb14a -0x2155637b -256 -256 -0 -0 -0 -3 -0x24d4 -0xb8e18222 -256 -256 -1 -0 -0 -4 -0xe45a -0x6658b27 -256 -256 -0 -0 -0 -3 -0x3e1d -0x831fc07b -256 -256 -1 -0 -0 -3 -0x717c -0x7908dbfa -256 -256 -1 -0 -0 -4 -0x640f -0x51a9b107 -256 -256 -1 -0 -0 -4 -0x643a -0xb229b18 -256 -256 -1 -0 -0 -2 -0xf03b -0x3ac5f732 -256 -256 -0 -0 -0 -2 -0xb60a -0xea404041 -256 -256 -0 -0 -0 -4 -0x6809 -0x7e660d8 -256 -256 -0 -0 -0 -2 -0xad77 -0xb16efc70 -256 -256 -0 -0 -0 -2 -0xef7f -0x4cdc6eed -256 -256 -1 -0 -0 -2 -0xa7e6 -0x5a81483f -256 -256 -1 -0 -0 -3 -0xc74d -0xd534b83a -256 -256 -0 -0 -0 -2 -0x52d6 -0x22527a21 -256 -256 -0 -0 -0 -1 -0xb2f8 -0x14075d5f -256 -256 -1 -0 -0 -2 -0xfc81 -0x5dfdf027 -256 -256 -1 -0 -0 -4 -0xe0c9 -0x205e8eb6 -256 -256 -1 -0 -0 -2 -0x154e -0xfcd2a001 -256 -256 -1 -0 -0 -2 -0x34e0 -0x50ff42fe -256 -256 -0 -0 -0 -4 -0xb0d7 -0xa289650d -256 -256 -0 -0 -0 -2 -0xafeb -0x100c5c12 -256 -256 -0 -0 -0 -4 -0xe5f3 -0x88b277a -256 -256 -0 -0 -0 -4 -0xbc29 -0xec8db844 -256 -256 -1 -0 -0 -1 -0x991e -0xafc5b2c5 -256 -256 -1 -0 -0 -4 -0x7d6a -0x69df98b6 -256 -256 -1 -0 -0 -3 -0x6721 -0xe3e5b50a -256 -256 -1 -0 -0 -2 -0x6588 -0x3cc9f7b5 -256 -256 -0 -0 -0 -2 -0x5629 -0xd3e6d5e0 -256 -256 -0 -0 -0 -2 -0xa0e2 -0xd8f6aed5 -256 -256 -0 -0 -0 -1 -0xa350 -0xfe4987ec -256 -256 -0 -0 -0 -4 -0x8f7e -0xcac70a52 -256 -256 -0 -0 -0 -2 -0x5a23 -0x1f1f9d02 -256 -256 -0 -0 -0 -1 -0x5d41 -0xee303c42 -256 -256 -1 -0 -0 -4 -0xaea0 -0x5777fd1e -256 -256 -0 -0 -0 -1 -0x5783 -0x46172acc -256 -256 -0 -0 -0 -1 -0x3fe0 -0xd2764015 -256 -256 -1 -0 -0 -2 -0x5358 -0x807b04bc -256 -256 -1 -0 -0 -2 -0x9eb2 -0x8cb4ea33 -256 -256 -1 -0 -0 -3 -0xe55c -0x17cf3248 -256 -256 -0 -0 -0 -2 -0x6b22 -0x2eacb0 -256 -256 -0 -0 -0 -1 -0xc282 -0xb82402dd -256 -256 -1 -0 -0 -1 -0x44d9 -0xa556ff31 -256 -256 -1 -0 -0 -2 -0x9c55 -0x8ff5c4f3 -256 -256 -1 -0 -0 -2 -0xdbf8 -0xb954a7d8 -256 -256 -0 -0 -0 -1 -0xd3ac -0xb9454049 -256 -256 -1 -0 -0 -2 -0x2c60 -0x32e66aaa -256 -256 -0 -0 -0 -2 -0x8e98 -0x445f22bc -256 -256 -0 -0 -0 -1 -0xee21 -0x4873afc8 -256 -256 -0 -0 -0 -3 -0x10d -0xb2e8f229 -256 -256 -1 -0 -0 -1 -0x2016 -0xd8796141 -256 -256 -1 -0 -0 -1 -0xd49d -0x472f225a -256 -256 -0 -0 -0 -1 -0x9031 -0x6cb44344 -256 -256 -0 -0 -0 -2 -0x97a3 -0x4a726050 -256 -256 -1 -0 -0 -3 -0x3037 -0x40737598 -256 -256 -0 -0 -0 -1 -0x1793 -0x13ff012d -256 -256 -1 -0 -0 -1 -0xfae2 -0x56c3ed6 -256 -256 -0 -0 -0 -3 -0x6da4 -0xe9590372 -256 -256 -0 -0 -0 -1 -0xb13c -0xdcaba7e8 -256 -256 -0 -0 -0 -4 -0xcc0a -0x1e77e44d -256 -256 -1 -0 -0 -4 -0xd417 -0x3e831864 -256 -256 -1 -0 -0 -1 -0xf40d -0x2bb034af -256 -256 -0 -0 -0 -2 -0xf20c -0x7e94f04c -256 -256 -0 -0 -0 -1 -0x2f97 -0x1409e880 -256 -256 -0 -0 -0 -2 -0xa366 -0x6f59b9e4 -256 -256 -0 -0 -0 -3 -0x8787 -0x50550f59 -256 -256 -1 -0 -0 -1 -0x1f0 -0x4e8f4f23 -256 -256 -1 -0 -0 -1 -0x5ef5 -0x8ad4808d -256 -256 -1 -0 -0 -1 -0x2333 -0x55c7e313 -256 -256 -0 -0 -0 -2 -0xabd7 -0x24e81c02 -256 -256 -0 -0 -0 -1 -0xf6e9 -0x73ffd96f -256 -256 -1 -0 -0 -2 -0xe060 -0x66ebed69 -256 -256 -0 -0 -0 -2 -0x9bc -0x622875c -256 -256 -0 -0 -0 -2 -0xe4d3 -0xf0920a9b -256 -256 -1 -0 -0 -1 -0xbab6 -0x643c77d2 -256 -256 -0 -0 -0 -1 -0xb6ca -0xd75bfad7 -256 -256 -0 -0 -0 -3 -0x9800 -0xe73c04a6 -256 -256 -1 -0 -0 -4 -0x5113 -0xece8cec2 -256 -256 -1 -0 -0 -3 -0x2a52 -0xc520ba7b -256 -256 -0 -0 -0 -4 -0x9f2e -0xd1649a1d -256 -256 -0 -0 -0 -4 -0x634c -0x703a5266 -256 -256 -0 -0 -0 -2 -0x1c9a -0x360a9913 -256 -256 -0 -0 -0 -4 -0xf4f4 -0x6946f196 -256 -256 -0 -0 -0 -4 -0x97af -0x9f30ddf9 -256 -256 -1 -0 -0 -1 -0x585e -0x7a67e5f -256 -256 -0 -0 -0 -1 -0x7579 -0x30720105 -256 -256 -1 -0 -0 -4 -0xd6d4 -0x9560c1d9 -256 -256 -1 -0 -0 -2 -0x8279 -0x7041f8f1 -256 -256 -0 -0 -0 -4 -0xce9 -0x63178b45 -256 -256 -1 -0 -0 -3 -0x1d63 -0x7df3713b -256 -256 -0 -0 -0 -2 -0x7219 -0x11739390 -256 -256 -0 -0 -0 -3 -0x1f50 -0xa1ca18e3 -256 -256 -0 -0 -0 -1 -0x485d -0xaea626af -256 -256 -0 -0 -0 -4 -0x19f0 -0x82ce1a7f -256 -256 -1 -0 -0 -2 -0x27dc -0x738e6eed -256 -256 -0 -0 -0 -3 -0xbf63 -0xad598988 -256 -256 -0 -0 -0 -3 -0x5dfb -0xeccf450d -256 -256 -0 -0 -0 -4 -0x4918 -0x3bb2333f -256 -256 -0 -0 -0 -4 -0x3e9a -0x28bba558 -256 -256 -0 -0 -0 -3 -0xdf2 -0x689d1802 -256 -256 -1 -0 -0 -2 -0xfb9c -0x823023d6 -256 -256 -0 -0 -0 -4 -0x96f -0xd36eafb9 -256 -256 -0 -0 -0 -1 -0x6051 -0x5a394bf1 -256 -256 -1 -0 -0 -1 -0x54a0 -0x4870859 -256 -256 -0 -0 -0 -4 -0xcfd1 -0x9447f62e -256 -256 -0 -0 -0 -4 -0x584b -0x3f706fb7 -256 -256 -0 -0 -0 -1 -0xf742 -0x7cad0b6c -256 -256 -1 -0 -0 -3 -0x2b4 -0xa825d259 -256 -256 -0 -0 -0 -1 -0xca9a -0xcc0a8690 -256 -256 -1 -0 -0 -2 -0xa3f -0x3366bb9 -256 -256 -0 -0 -0 -3 -0xb -0x95354b50 -256 -256 -1 -0 -0 -4 -0xf346 -0x2aeb8005 -256 -256 -1 -0 -0 -3 -0xe18b -0x85a077f8 -256 -256 -0 -0 -0 -4 -0x70da -0x3c55df27 -256 -256 -1 -0 -0 -1 -0xfe6 -0x7294bbb9 -256 -256 -0 -0 -0 -2 -0xa16c -0xd8e5c697 -256 -256 -0 -0 -0 -4 -0xd431 -0x53c72125 -256 -256 -1 -0 -0 -4 -0x6a15 -0xe6d636a0 -256 -256 -1 -0 -0 -3 -0xe400 -0xdc211c54 -256 -256 -1 -0 -0 -4 -0xc9f6 -0x67b43d3f -256 -256 -1 -0 -0 -3 -0xd95a -0xa4cb273c -256 -256 -0 -0 -0 -2 -0x37d8 -0x811ba079 -256 -256 -0 -0 -0 -2 -0xc013 -0xf330d7ed -256 -256 -1 -0 -0 -4 -0x61b1 -0x25923413 -256 -256 -0 -0 -0 -2 -0xe6a9 -0x96b2f140 -256 -256 -1 -0 -0 -4 -0xdf49 -0x6c9554b5 -256 -256 -1 -0 -0 -4 -0x2bb2 -0x9ce9eb37 -256 -256 -1 -0 -0 -2 -0xecd9 -0x398636c4 -256 -256 -0 -0 -0 -4 -0x9d23 -0x56763b52 -256 -256 -1 -0 -0 -4 -0xef5f -0xededf280 -256 -256 -0 -0 -0 -3 -0xb52b -0xce424cda -256 -256 -0 -0 -0 -3 -0x96ff -0x49f4f404 -256 -256 -1 -0 -0 -2 -0x7721 -0xdd56ddbb -256 -256 -0 -0 -0 -1 -0x997f -0x6ef265de -256 -256 -1 -0 -0 -1 -0xdd74 -0x9dfb2bf6 -256 -256 -0 -0 -0 -3 -0xaab9 -0x5c57603a -256 -256 -0 -0 -0 -3 -0x470a -0x7d940056 -256 -256 -1 -0 -0 -2 -0x22ff -0x9087b758 -256 -256 -1 -0 -0 -2 -0x3110 -0xfb721eec -256 -256 -0 -0 -0 -1 -0x6fe6 -0x3f4d6035 -256 -256 -0 -0 -0 -3 -0x4fbc -0xca44fa16 -256 -256 -1 -0 -0 -4 -0x8b07 -0xcaca9eb8 -256 -256 -0 -0 -0 -1 -0x8100 -0x608a2a03 -256 -256 -0 -0 -0 -1 -0x8dae -0xb93e9a17 -256 -256 -0 -0 -0 -4 -0x69b9 -0x63ac09fd -256 -256 -0 -0 -0 -3 -0xed99 -0xa0256e30 -256 -256 -0 -0 -0 -4 -0x7fd1 -0xc08ebd41 -256 -256 -0 -0 -0 -3 -0xd90b -0x752d1e87 -256 -256 -1 -0 -0 -1 -0x207b -0xff323874 -256 -256 -0 -0 -0 -1 -0x9218 -0x105d4f80 -256 -256 -1 -0 -0 -2 -0x8c -0x335c617 -256 -256 -0 -0 -0 -2 -0xdf23 -0xc0209c74 -256 -256 -0 -0 -0 -4 -0x72e5 -0x5eaa82f1 -256 -256 -0 -0 -0 -2 -0xc3b7 -0xf50f8ff5 -256 -256 -0 -0 -0 -2 -0x7e36 -0x9f4792c6 -256 -256 -1 -0 -0 -2 -0x39a0 -0xef623181 -256 -256 -0 -0 -0 -1 -0x3a3f -0x76daa265 -256 -256 -1 -0 -0 -3 -0x9ce2 -0xe472a8e3 -256 -256 -1 -0 -0 -3 -0xcf01 -0x6c26a314 -256 -256 -0 -0 -0 -4 -0xacd -0xb498d1c0 -256 -256 -1 -0 -0 -1 -0xf670 -0xa7a84a98 -256 -256 -1 -0 -0 -4 -0x73b3 -0x689e649b -256 -256 -0 -0 -0 -4 -0xe841 -0xe92a26f7 -256 -256 -0 -0 -0 -4 -0x7675 -0xf2cf6779 -256 -256 -0 -0 -0 -2 -0xfb9d -0x88504279 -256 -256 -0 -0 -0 -4 -0xa409 -0x47866734 -256 -256 -0 -0 -0 -4 -0xf2d9 -0xfc1e7ed7 -256 -256 -0 -0 -0 -1 -0x9e0e -0x629eec68 -256 -256 -1 -0 -0 -3 -0x2d10 -0x786110df -256 -256 -1 -0 -0 -3 -0xc4e3 -0x9ac737de -256 -256 -0 -0 -0 -2 -0x9f12 -0xc099b33a -256 -256 -0 -0 -0 -1 -0x7641 -0x401d133a -256 -256 -1 -0 -0 -1 -0x795d -0x870cd15 -256 -256 -0 -0 -0 -2 -0x2a12 -0x67b3c46a -256 -256 -0 -0 -0 -3 -0xfa5e -0xe1830378 -256 -256 -0 -0 -0 -2 -0xbc9e -0x803660e5 -256 -256 -1 -0 -0 -1 -0x3095 -0x39d012cf -256 -256 -1 -0 -0 -3 -0xfe9e -0x8bd8ca92 -256 -256 -1 -0 -0 -1 -0xfc4 -0x950af134 -256 -256 -0 -0 -0 -1 -0xcca7 -0xcb8f1fe6 -256 -256 -1 -0 -0 -2 -0x679d -0x95cb72e7 -256 -256 -0 -0 -0 -3 -0x13fc -0x66256219 -256 -256 -0 -0 -0 -1 -0xafe2 -0xee3e6743 -256 -256 -0 -0 -0 -4 -0xbc17 -0xf63e6d4e -256 -256 -1 -0 -0 -1 -0x6b41 -0x34bae3b9 -256 -256 -0 -0 -0 -4 -0x24c4 -0xe74ad4de -256 -256 -0 -0 -0 -4 -0x2c60 -0xac3c34cb -256 -256 -1 -0 -0 -3 -0x86b1 -0x62c6748 -256 -256 -1 -0 -0 -2 -0xa856 -0x458f3034 -256 -256 -1 -0 -0 -4 -0x23fd -0xd476662b -256 -256 -0 -0 -0 -2 -0x3dae -0x774a9d21 -256 -256 -1 -0 -0 -3 -0x2b3d -0x382787e1 -256 -256 -1 -0 -0 -3 -0xa87b -0xc64e6639 -256 -256 -1 -0 -0 -1 -0xe3f9 -0xc0289057 -256 -256 -0 -0 -0 -1 -0x6a9f -0x8e6fac58 -256 -256 -0 -0 -0 -1 -0x6ac -0x219cf328 -256 -256 -0 -0 -0 -4 -0x1007 -0xa6f2cbb0 -256 -256 -1 -0 -0 -3 -0x860f -0x6c198f7b -256 -256 -0 -0 -0 -3 -0xd382 -0xf46c95fa -256 -256 -1 -0 -0 -1 -0xe08e -0xa3792045 -256 -256 -1 -0 -0 -3 -0x9c74 -0xc3baa0a -256 -256 -1 -0 -0 -2 -0xb33d -0xe5dad05e -256 -256 -0 -0 -0 -1 -0x913b -0x1ff137b3 -256 -256 -0 -0 -0 -4 -0x7244 -0x55a05535 -256 -256 -1 -0 -0 -3 -0x3dd8 -0x26131d59 -256 -256 -0 -0 -0 -4 -0x522b -0x520c4894 -256 -256 -1 -0 -0 -3 -0x7162 -0xa5d15bda -256 -256 -1 -0 -0 -3 -0x6b45 -0xaff98c87 -256 -256 -1 -0 -0 -4 -0xf17 -0x5298129c -256 -256 -1 -0 -0 -1 -0x83e2 -0xdf9cb73 -256 -256 -0 -0 -0 -4 -0x88ef -0xae7d2d9 -256 -256 -1 -0 -0 -3 -0x3ad3 -0x220117cc -256 -256 -0 -0 -0 -3 -0xe81 -0xff536d03 -256 -256 -1 -0 -0 -4 -0x52e3 -0x58336157 -256 -256 -0 -0 -0 -2 -0x3441 -0x5759a7fe -256 -256 -0 -0 -0 -3 -0xbfb7 -0xa0efb9da -256 -256 -0 -0 -0 -2 -0x551e -0x8339f544 -256 -256 -1 -0 -0 -3 -0xaf45 -0xc5dc637 -256 -256 -1 -0 -0 -2 -0x4320 -0x6e7636be -256 -256 -1 -0 -0 -4 -0xd9d9 -0x649f187c -256 -256 -1 -0 -0 -3 -0xd07 -0xc4d34cf9 -256 -256 -1 -0 -0 -3 -0x43de -0x9320c6a3 -256 -256 -0 -0 -0 -1 -0xf9c8 -0x40c5bf06 -256 -256 -0 -0 -0 -2 -0x1147 -0x82d4e060 -256 -256 -0 -0 -0 -2 -0x8ff4 -0x78c80e1f -256 -256 -0 -0 -0 -2 -0xe6ae -0xccaebbd9 -256 -256 -1 -0 -0 -2 -0xa852 -0x6a24f104 -256 -256 -0 -0 -0 -1 -0xd233 -0x8fb59609 -256 -256 -0 -0 -0 -1 -0xcfa7 -0x499b323e -256 -256 -1 -0 -0 -4 -0xbc4e -0x34f4d2fb -256 -256 -0 -0 -0 -3 -0x2327 -0xf4208e18 -256 -256 -0 -0 -0 -2 -0xbbfe -0x6f7ce7a8 -256 -256 -1 -0 -0 -1 -0x62bf -0x2f9ad43a -256 -256 -0 -0 -0 -2 -0xdb6f -0x431ea5de -256 -256 -1 -0 -0 -4 -0x9315 -0x27154d31 -256 -256 -0 -0 -0 -3 -0x738f -0x24ee9dd7 -256 -256 -1 -0 -0 -2 -0xc15d -0x75ce98a2 -256 -256 -0 -0 -0 -1 -0xa0d8 -0xe7b2b382 -256 -256 -0 -0 -0 -3 -0xf977 -0x902c7c84 -256 -256 -1 -0 -0 -3 -0x834c -0x6df623d5 -256 -256 -1 -0 -0 -3 -0xb4a3 -0x770208 -256 -256 -1 -0 -0 -2 -0x74cb -0x46a06add -256 -256 -0 -0 -0 -4 -0x843f -0x32602f69 -256 -256 -1 -0 -0 -1 -0x3bc9 -0x98e17dc -256 -256 -1 -0 -0 -1 -0xe09f -0xfd2b9dd1 -256 -256 -1 -0 -0 -2 -0x9788 -0xf7eca6d1 -256 -256 -1 -0 -0 -1 -0x6161 -0xae9daf2 -256 -256 -0 -0 -0 -3 -0x46c5 -0x747d7f6 -256 -256 -0 -0 -0 -2 -0xc5fe -0x37e3713f -256 -256 -0 -0 -0 -3 -0x3a39 -0xe52f0178 -256 -256 -1 -0 -0 -4 -0x239f -0xc704db33 -256 -256 -1 -0 -0 -1 -0xbff2 -0xfd59e643 -256 -256 -0 -0 -0 -4 -0x4be7 -0x99aab452 -256 -256 -1 -0 -0 -2 -0x6cee -0xe58bbab6 -256 -256 -0 -0 -0 -3 -0x4adf -0x58f3957c -256 -256 -0 -0 -0 -3 -0xac7a -0x1e1c1840 -256 -256 -0 -0 -0 -4 -0xedd5 -0x2954981d -256 -256 -1 -0 -0 -2 -0xdb6 -0xd2a3b696 -256 -256 -0 -0 -0 -4 -0x892e -0x8ee5c93f -256 -256 -0 -0 -0 -4 -0x5e5b -0xadce4f2a -256 -256 -1 -0 -0 -3 -0x9a45 -0x1e93d7f5 -256 -256 -1 -0 -0 -1 -0xd865 -0x66ec1364 -256 -256 -1 -0 -0 -1 -0x7fd0 -0xe723b1cb -256 -256 -0 -0 -0 -3 -0xfec5 -0xe89065a8 -256 -256 -0 -0 -0 -3 -0xa66b -0xed89c1d4 -256 -256 -1 -0 -0 -3 -0xf4d2 -0x474a774c -256 -256 -0 -0 -0 -2 -0xc5f3 -0x604e9d34 -256 -256 -1 -0 -0 -3 -0xf80e -0xd38427d1 -256 -256 -0 -0 -0 -4 -0xff71 -0x5f24fb1e -256 -256 -1 -0 -0 -4 -0x2b1b -0xe8c79b4f -256 -256 -1 -0 -0 -3 -0x48fb -0xe733f680 -256 -256 -0 -0 -0 -2 -0x13bb -0x6b23b0c2 -256 -256 -0 -0 -0 -3 -0x34d2 -0xa931ee8e -256 -256 -0 -0 -0 -1 -0x3db6 -0xf1012728 -256 -256 -0 -0 -0 -1 -0xd7ad -0x62bfda86 -256 -256 -1 -0 -0 -4 -0x643b -0x2a0109f5 -256 -256 -1 -0 -0 -1 -0xfa3a -0x910c2646 -256 -256 -1 -0 -0 -1 -0xfd93 -0xf128958e -256 -256 -0 -0 -0 -2 -0xf7eb -0x2d35c473 -256 -256 -0 -0 -0 -1 -0xedea -0xe0517abd -256 -256 -1 -0 -0 -3 -0x68f1 -0x9b327870 -256 -256 -1 -0 -0 -4 -0xb7f4 -0x3417c549 -256 -256 -0 -0 -0 -4 -0x3099 -0xf2578959 -256 -256 -1 -0 -0 -2 -0xec56 -0x6a785784 -256 -256 -1 -0 -0 -4 -0x712f -0xe9ec52a3 -256 -256 -1 -0 -0 -4 -0xac6 -0x7863a7b9 -256 -256 -0 -0 -0 -3 -0x8484 -0x1b831f62 -256 -256 -0 -0 -0 -1 -0x1f32 -0x272b1603 -256 -256 -0 -0 -0 -4 -0xf142 -0x93abaec0 -256 -256 -1 -0 -0 -2 -0xa2ac -0xd79d4f91 -256 -256 -1 -0 -0 -2 -0x88e3 -0x334da6b0 -256 -256 -0 -0 -0 -1 -0x8048 -0x525253f6 -256 -256 -1 -0 -0 -1 -0xfeb1 -0xc6006677 -256 -256 -0 -0 -0 -1 -0xcec0 -0xeb1750c -256 -256 -1 -0 -0 -1 -0x7592 -0x2309d785 -256 -256 -1 -0 -0 -2 -0x4d42 -0x89e03134 -256 -256 -0 -0 -0 -1 -0x3f86 -0xea873660 -256 -256 -1 -0 -0 -4 -0x4c1d -0x3031d562 -256 -256 -1 -0 -0 -1 -0x21de -0x3d7cdabf -256 -256 -1 -0 -0 -3 -0x335f -0x3c6e1732 -256 -256 -0 -0 -0 -4 -0xa433 -0xc22d2029 -256 -256 -0 -0 -0 -4 -0xd769 -0x646534da -256 -256 -1 -0 -0 -1 -0xdf49 -0xf92f3a68 -256 -256 -1 -0 -0 -2 -0xf69a -0xe961a4f0 -256 -256 -0 -0 -0 -1 -0xaac5 -0x65ac4b86 -256 -256 -0 -0 -0 -4 -0x832e -0x3595ca8f -256 -256 -0 -0 -0 -3 -0x15be -0x140d76eb -256 -256 -0 -0 -0 -4 -0xf1b8 -0x82654fec -256 -256 -0 -0 -0 -3 -0xf520 -0x2c94421d -256 -256 -1 -0 -0 -4 -0x14be -0x1b3a9a9c -256 -256 -0 -0 -0 -1 -0x7280 -0x2c2dd4c4 -256 -256 -1 -0 -0 -1 -0x5d33 -0x1acfd8d0 -256 -256 -0 -0 -0 -3 -0x23cb -0xa6317b9f -256 -256 -0 -0 -0 -2 -0x7694 -0xa253698c -256 -256 -1 -0 -0 -4 -0x9c6c -0x4ae28fb3 -256 -256 -0 -0 -0 -1 -0x9082 -0x22851b84 -256 -256 -1 -0 -0 -4 -0x580 -0xd4750c1c -256 -256 -0 -0 -0 -1 -0xd15e -0xd1920fdb -256 -256 -1 -0 -0 -2 -0xfded -0x1b20a0e0 -256 -256 -1 -0 -0 -2 -0x1c9c -0x5e47faf9 -256 -256 -0 -0 -0 -3 -0x6dd6 -0xe6602cd9 -256 -256 -1 -0 -0 -1 -0x9e5d -0x1988f17b -256 -256 -1 -0 -0 -4 -0x9902 -0xbd91f85f -256 -256 -0 -0 -0 -1 -0x84c1 -0x9403107a -256 -256 -0 -0 -0 -3 -0x9d36 -0xec3dbe2c -256 -256 -0 -0 -0 -2 -0x2dcd -0x2576e51b -256 -256 -0 -0 -0 -3 -0x7141 -0xefe3230 -256 -256 -1 -0 -0 -3 -0x730a -0xc0e9f149 -256 -256 -1 -0 -0 -3 -0xb5fe -0x9518ce0d -256 -256 -1 -0 -0 -4 -0x8147 -0x1823844f -256 -256 -1 -0 -0 -1 -0xe394 -0xff9c75ee -256 -256 -0 -0 -0 -2 -0x366a -0x2fd5ecc -256 -256 -0 -0 -0 -2 -0x9054 -0xc231009b -256 -256 -0 -0 -0 -1 -0x5ef7 -0x41739885 -256 -256 -0 -0 -0 -3 -0x3a9f -0x4c4a4c43 -256 -256 -1 -0 -0 -3 -0x379f -0xbef6b59 -256 -256 -0 -0 -0 -4 -0x8b10 -0x543f21fd -256 -256 -0 -0 -0 -2 -0xfd51 -0x55a7f4e7 -256 -256 -1 -0 -0 -4 -0x7fd3 -0x2cca7bcc -256 -256 -0 -0 -0 -2 -0xa108 -0x232f7a47 -256 -256 -1 -0 -0 -1 -0xbbf1 -0x6d7da703 -256 -256 -0 -0 -0 -4 -0xf859 -0x81bbe6b2 -256 -256 -1 -0 -0 -2 -0xa508 -0xf66c15d0 -256 -256 -1 -0 -0 -4 -0x9feb -0xc439a3dd -256 -256 -0 -0 -0 -4 -0x3dbf -0x423c92a0 -256 -256 -0 -0 -0 -2 -0x681a -0x840cd7d6 -256 -256 -1 -0 -0 -4 -0xc477 -0x68ae237f -256 -256 -1 -0 -0 -2 -0xa432 -0x37737a97 -256 -256 -0 -0 -0 -3 -0x7d5e -0x1a3aa456 -256 -256 -1 -0 -0 -1 -0x960e -0x781932dd -256 -256 -1 -0 -0 -1 -0x6815 -0x80a8a06e -256 -256 -1 -0 -0 -1 -0x6b -0x37d5e61d -256 -256 -0 -0 -0 -2 -0x8be7 -0x6417899b -256 -256 -0 -0 -0 -3 -0xfaff -0xfaf5736e -256 -256 -0 -0 -0 -4 -0xd2 -0x7fd54757 -256 -256 -1 -0 -0 -2 -0xc5c9 -0xe54eb3a7 -256 -256 -0 -0 -0 -3 -0x45b8 -0x690da59b -256 -256 -1 -0 -0 -4 -0x7536 -0x74b5fda2 -256 -256 -0 -0 -0 -4 -0xa0eb -0xef9c477c -256 -256 -0 -0 -0 -1 -0xfc96 -0x15cacd6f -256 -256 -0 -0 -0 -1 -0x87d2 -0x4ca27db4 -256 -256 -0 -0 -0 -3 -0xf4f9 -0x27e5d5f7 -256 -256 -0 -0 -0 -3 -0xe084 -0xb26398b1 -256 -256 -0 -0 -0 -4 -0x7be4 -0x1722695d -256 -256 -1 -0 -0 -4 -0x7dec -0xe6ef1bc6 -256 -256 -0 -0 -0 -3 -0x173f -0xc6f63226 -256 -256 -1 -0 -0 -3 -0x8d17 -0x4a8d7296 -256 -256 -0 -0 -0 -3 -0x693e -0x58f3809 -256 -256 -0 -0 -0 -3 -0x3352 -0xe987b901 -256 -256 -0 -0 -0 -2 -0x953 -0x9a2adfae -256 -256 -0 -0 -0 -4 -0x96f8 -0x41f49463 -256 -256 -1 -0 -0 -2 -0x3cc1 -0xee8c3a24 -256 -256 -1 -0 -0 -1 -0xe642 -0x35f5877e -256 -256 -1 -0 -0 -3 -0x7f7c -0xf3bcf0b8 -256 -256 -0 -0 -0 -4 -0xa3f6 -0x5431aa2e -256 -256 -1 -0 -0 -4 -0x4f08 -0xe5130b7 -256 -256 -0 -0 -0 -4 -0x3eec -0x12b08945 -256 -256 -1 -0 -0 -1 -0xd3b8 -0xbb10f726 -256 -256 -0 -0 -0 -2 -0xd999 -0xc18e2f0 -256 -256 -0 -0 -0 -1 -0xac87 -0xeb4d89e2 -256 -256 -0 -0 -0 -1 -0x51e2 -0xf9a81fc1 -256 -256 -0 -0 -0 -3 -0xe578 -0xa135e6ab -256 -256 -0 -0 -0 -1 -0xefe7 -0xb5f5269a -256 -256 -1 -0 -0 -1 -0x4158 -0x5e5fbc3e -256 -256 -1 -0 -0 -3 -0x11bc -0xe9e7eba6 -256 -256 -0 -0 -0 -2 -0xa157 -0x568b9553 -256 -256 -0 -0 -0 -2 -0xa0de -0x4497fb46 -256 -256 -0 -0 -0 -4 -0xf21d -0x4edd869f -256 -256 -1 -0 -0 -3 -0xa5b0 -0xf07b7d2b -256 -256 -1 -0 -0 -1 -0x8ff7 -0x271766c7 -256 -256 -1 -0 -0 -3 -0xd3f -0x951bf90a -256 -256 -0 -0 -0 -4 -0x59f4 -0x8620ac75 -256 -256 -0 -0 -0 -1 -0xef4a -0xfd352496 -256 -256 -1 -0 -0 -1 -0xb8ec -0xdd6ff247 -256 -256 -1 -0 -0 -2 -0x3894 -0xef911fdf -256 -256 -0 -0 -0 -4 -0x553b -0x1c4c6777 -256 -256 -0 -0 -0 -4 -0x7c70 -0x16f3a8d5 -256 -256 -1 -0 -0 -2 -0xcc86 -0xe4019b45 -256 -256 -1 -0 -0 -1 -0xff45 -0x8fdf0ec -256 -256 -0 -0 -0 -3 -0x82ba -0x40894d5a -256 -256 -1 -0 -0 -1 -0x82ce -0x39bcf457 -256 -256 -1 -0 -0 -1 -0x902 -0xf8af7072 -256 -256 -1 -0 -0 -3 -0xada6 -0x82730fc0 -256 -256 -1 -0 -0 -3 -0x4f7d -0x3c0fd5fd -256 -256 -0 -0 -0 -4 -0xc27a -0xb07963f9 -256 -256 -1 -0 -0 -3 -0x471b -0x66d9153f -256 -256 -0 -0 -0 -3 -0x31a6 -0xe8869cdd -256 -256 -0 -0 -0 -1 -0xdbe5 -0xa66ac954 -256 -256 -0 -0 -0 -2 -0xc03f -0xdb3a4dc6 -256 -256 -0 -0 -0 -1 -0xc92a -0xf308d58e -256 -256 -0 -0 -0 -4 -0x8741 -0x620a1d52 -256 -256 -1 -0 -0 -3 -0xf556 -0x9d490087 -256 -256 -0 -0 -0 -2 -0x4e4e -0xe75ee3eb -256 -256 -0 -0 -0 -3 -0x26f -0xe8000f31 -256 -256 -1 -0 -0 -3 -0x7e98 -0xfbe51c1f -256 -256 -1 -0 -0 -3 -0xd6b -0xb4aba2b1 -256 -256 -0 -0 -0 -1 -0x7960 -0x68c1f357 -256 -256 -0 -0 -0 -4 -0x592c -0x366a7f28 -256 -256 -1 -0 -0 -4 -0xa1f2 -0xe3a4099e -256 -256 -1 -0 -0 -2 -0xd943 -0xaf2a1e26 -256 -256 -1 -0 -0 -3 -0x77d4 -0xa22b4c1c -256 -256 -1 -0 -0 -1 -0x3481 -0x5b33134e -256 -256 -0 -0 -0 -4 -0xf3c6 -0xe1af1598 -256 -256 -1 -0 -0 -1 -0x1ceb -0x6f3c584d -256 -256 -0 -0 -0 -3 -0xbaed -0x126f40f8 -256 -256 -0 -0 -0 -4 -0xb427 -0x69acec6 -256 -256 -1 -0 -0 -4 -0x1e9b -0xdef3bdb1 -256 -256 -1 -0 -0 -1 -0xb881 -0x1c53e6df -256 -256 -0 -0 -0 -2 -0xe206 -0x515f652b -256 -256 -1 -0 -0 -1 -0x692a -0xfdc7a677 -256 -256 -1 -0 -0 -2 -0xb4e9 -0x17baf301 -256 -256 -0 -0 -0 -4 -0x19e6 -0x280bddc6 -256 -256 -1 -0 -0 -3 -0x76d4 -0xb57e31f5 -256 -256 -0 -0 -0 -3 -0xa685 -0x210076cb -256 -256 -0 -0 -0 -1 -0x19f9 -0x3fe2fced -256 -256 -0 -0 -0 -4 -0x78dd -0x8d200264 -256 -256 -0 -0 -0 -2 -0xc71a -0x5719a8bf -256 -256 -0 -0 -0 -1 -0xb4c3 -0x27a1e077 -256 -256 -1 -0 -0 -4 -0x25e3 -0xbbb7c6b5 -256 -256 -1 -0 -0 -4 -0xab3d -0x7023b8fe -256 -256 -1 -0 -0 -4 -0x27c0 -0x315189e5 -256 -256 -1 -0 -0 -1 -0xdc31 -0xf0f3ae5d -256 -256 -1 -0 -0 -2 -0xbbf2 -0x493e8c2c -256 -256 -1 -0 -0 -3 -0xfcfb -0xb1f6c27f -256 -256 -1 -0 -0 -2 -0x2b9 -0xe5c5a579 -256 -256 -0 -0 -0 -1 -0xd10a -0xeb49ef28 -256 -256 -1 -0 -0 -3 -0x977 -0xa10eaef6 -256 -256 -1 -0 -0 -2 -0x4220 -0x6e666440 -256 -256 -0 -0 -0 -3 -0xb341 -0x4df14645 -256 -256 -1 -0 -0 -4 -0xbb94 -0xb1a3adcc -256 -256 -1 -0 -0 -4 -0x4181 -0x14939a7c -256 -256 -0 -0 -0 -4 -0xa42a -0x483fcf33 -256 -256 -0 -0 -0 -2 -0x8ef2 -0xb606a6eb -256 -256 -0 -0 -0 -1 -0x694b -0xb5cc3e2e -256 -256 -1 -0 -0 -4 -0x6f53 -0xb9746d74 -256 -256 -0 -0 -0 -4 -0xbf52 -0xab85e32d -256 -256 -1 -0 -0 -4 -0xc079 -0x22bceaab -256 -256 -1 -0 -0 -3 -0xeb5f -0x56216c8d -256 -256 -1 -0 -0 -2 -0xcfa6 -0x140d74bd -256 -256 -1 -0 -0 -2 -0x5421 -0x5be7fb74 -256 -256 -1 -0 -0 -3 -0x154d -0x215ae5 -256 -256 -0 -0 -0 -2 -0x2360 -0xda2764e2 -256 -256 -1 -0 -0 -3 -0xae46 -0xa7f60ec6 -256 -256 -0 -0 -0 -4 -0x9cfd -0x3666d0e -256 -256 -1 -0 -0 -4 -0xe1d3 -0x19f02776 -256 -256 -0 -0 -0 -3 -0x3ad8 -0x5deaf7f1 -256 -256 -1 -0 -0 -4 -0xd85a -0x6876994a -256 -256 -0 -0 -0 -2 -0x4e51 -0x152d39bc -256 -256 -0 -0 -0 -2 -0x2873 -0x74159b07 -256 -256 -1 -0 -0 -2 -0xf3 -0xa571cae -256 -256 -0 -0 -0 -3 -0xef7f -0x27e55cfd -256 -256 -1 -0 -0 -2 -0xdcf1 -0x5a58ab88 -256 -256 -1 -0 -0 -3 -0xcb09 -0xce73ec64 -256 -256 -0 -0 -0 -3 -0x77b7 -0x1a111150 -256 -256 -0 -0 -0 -3 -0x8477 -0xf7bfb252 -256 -256 -0 -0 -0 -1 -0x4e76 -0xde8f8e32 -256 -256 -1 -0 -0 -4 -0x8266 -0x442b4a7e -256 -256 -0 -0 -0 -1 -0xb9e8 -0xd4fbbf90 -256 -256 -1 -0 -0 -2 -0xd698 -0x20f0c888 -256 -256 -0 -0 -0 -3 -0xe0c3 -0xdd19de31 -256 -256 -1 -0 -0 -3 -0xeff0 -0xbef52c7f -256 -256 -0 -0 -0 -1 -0x55e0 -0x213e4349 -256 -256 -1 -0 -0 -2 -0x8ec -0xb4d2d8e4 -256 -256 -1 -0 -0 -4 -0xae98 -0xfd48940a -256 -256 -0 -0 -0 -3 -0x45c8 -0xf440f818 -256 -256 -0 -0 -0 -4 -0xd41 -0xd76bc871 -256 -256 -1 -0 -0 -3 -0x6341 -0x8cf19538 -256 -256 -0 -0 -0 -2 -0xe4ec -0x84bbaf21 -256 -256 -1 -0 -0 -2 -0x8c05 -0xba2ff1a0 -256 -256 -0 -0 -0 -1 -0xd736 -0x847dab29 -256 -256 -1 -0 -0 -3 -0x5ba -0x32d7cf2a -256 -256 -1 -0 -0 -2 -0xa6b6 -0x37f32a00 -256 -256 -1 -0 -0 -4 -0x20ae -0x9be6964a -256 -256 -1 -0 -0 -4 -0x3ad2 -0xdded3e6b -256 -256 -1 -0 -0 -4 -0xdce7 -0x999a13fa -256 -256 -1 -0 -0 -3 -0xda1e -0x9fd650fb -256 -256 -0 -0 -0 -2 -0xf56f -0x2f1330c3 -256 -256 -0 -0 -0 -4 -0x743 -0xe708c76f -256 -256 -1 -0 -0 -2 -0x6442 -0x4cbe3026 -256 -256 -0 -0 -0 -3 -0xb584 -0x5f6ade6a -256 -256 -1 -0 -0 -2 -0xe705 -0x846726c3 -256 -256 -1 -0 -0 -2 -0x4120 -0xed107d85 -256 -256 -1 -0 -0 -4 -0x35c3 -0xaf9ec25b -256 -256 -0 -0 -0 -1 -0x4584 -0x5b2e9fb1 -256 -256 -1 -0 -0 -1 -0xf23c -0xd428b269 -256 -256 -1 -0 -0 -4 -0x3d92 -0x70504b56 -256 -256 -1 -0 -0 -2 -0xa20c -0x83a39d55 -256 -256 -1 -0 -0 -4 -0x5c98 -0xe4a0bb4b -256 -256 -0 -0 -0 -4 -0x2803 -0xa4179b3b -256 -256 -1 -0 -0 -2 -0x5626 -0x4451eb3 -256 -256 -0 -0 -0 -4 -0xcae6 -0x60d32f8a -256 -256 -0 -0 -0 -3 -0xc6de -0x1a8b7aa4 -256 -256 -1 -0 -0 -3 -0x97c2 -0x6db65e78 -256 -256 -1 -0 -0 -4 -0x3673 -0xdbff01e -256 -256 -0 -0 -0 -3 -0x434d -0xa7e0395c -256 -256 -1 -0 -0 -3 -0x599 -0xae72d61d -256 -256 -1 -0 -0 -2 -0xa1c7 -0xd4f3afa6 -256 -256 -1 -0 -0 -2 -0xce33 -0x42447761 -256 -256 -0 -0 -0 -1 -0x555c -0xb8899518 -256 -256 -1 -0 -0 -1 -0x6078 -0xac54b194 -256 -256 -0 -0 -0 -1 -0x606e -0x68a41f17 -256 -256 -1 -0 -0 -2 -0x87ea -0xd22b4a4c -256 -256 -1 -0 -0 -1 -0xc416 -0x5862534a -256 -256 -0 -0 -0 -2 -0x1e40 -0xc44ad497 -256 -256 -0 -0 -0 -1 -0x8ae -0x564b173c -256 -256 -0 -0 -0 -3 -0x5657 -0x3ebe63f7 -256 -256 -1 -0 -0 -3 -0xd905 -0x2bcb022d -256 -256 -0 -0 -0 -3 -0x6125 -0x8c10b5f2 -256 -256 -1 -0 -0 -3 -0x76ae -0xcefa8a1a -256 -256 -0 -0 -0 -3 -0x20bc -0x74ce7f34 -256 -256 -0 -0 -0 -2 -0x9bba -0xc1b32ef1 -256 -256 -1 -0 -0 -4 -0x736 -0xb6826b8d -256 -256 -0 -0 -0 -4 -0xdefa -0xd641d7da -256 -256 -1 -0 -0 -3 -0x7323 -0xbd0ef3a4 -256 -256 -0 -0 -0 -2 -0xdbb2 -0x10756889 -256 -256 -1 -0 -0 -1 -0xfb81 -0xc67ca5b9 -256 -256 -1 -0 -0 -3 -0x2664 -0xcf6eed67 -256 -256 -0 -0 -0 -2 -0xde2e -0x82246965 -256 -256 -1 -0 -0 -2 -0x2e6 -0x3490f1df -256 -256 -0 -0 -0 -1 -0x650e -0x6a12bc3b -256 -256 -1 -0 -0 -3 -0xc31d -0x99c1d7ce -256 -256 -0 -0 -0 -2 -0x3df9 -0x814288f1 -256 -256 -1 -0 -0 -1 -0x69a8 -0x4d0a7b19 -256 -256 -1 -0 -0 -1 -0x5c9 -0xb0fdccb7 -256 -256 -0 -0 -0 -3 -0x696e -0x4073caf9 -256 -256 -1 -0 -0 -3 -0xeb15 -0xfdd50207 -256 -256 -1 -0 -0 -4 -0x3a35 -0xa2da03bc -256 -256 -0 -0 -0 -4 -0xadc9 -0xd4ee0164 -256 -256 -1 -0 -0 -3 -0xf997 -0xe04ec7d3 -256 -256 -0 -0 -0 -3 -0xfdcf -0xc067ce7a -256 -256 -0 -0 -0 -3 -0xcfb2 -0x3d853fd0 -256 -256 -0 -0 -0 -4 -0xbaad -0xa093e2c4 -256 -256 -0 -0 -0 -3 -0xefdb -0x690fffa2 -256 -256 -0 -0 -0 -2 -0xf062 -0xb05b2fb8 -256 -256 -0 -0 -0 -2 -0xcc49 -0x5e47bcb8 -256 -256 -0 -0 -0 -1 -0x7d1 -0x6c822096 -256 -256 -1 -0 -0 -2 -0xf961 -0x3c6274ad -256 -256 -0 -0 -0 -2 -0x90ca -0x95733229 -256 -256 -1 -0 -0 -1 -0xe556 -0x862ca972 -256 -256 -1 -0 -0 -1 -0xd579 -0xac7a1181 -256 -256 -1 -0 -0 -2 -0x1602 -0xc2f91ff2 -256 -256 -1 -0 -0 -1 -0xd6d7 -0x2abcb3b0 -256 -256 -1 -0 -0 -1 -0xf72e -0x89b780be -256 -256 -1 -0 -0 -4 -0x836 -0xa4a9b7ce -256 -256 -0 -0 -0 -2 -0xbf79 -0xe7b040c -256 -256 -1 -0 -0 -4 -0xc16a -0xe3a48951 -256 -256 -0 -0 -0 -4 -0xfc62 -0xf7865d65 -256 -256 -1 -0 -0 -1 -0x1a05 -0x5fa1cd12 -256 -256 -0 -0 -0 -3 -0xe371 -0x1d539ef4 -256 -256 -1 -0 -0 -4 -0xe3c -0x32ace810 -256 -256 -0 -0 -0 -1 -0xd4dd -0xb35570fe -256 -256 -1 -0 -0 -4 -0x5ad6 -0xc693589e -256 -256 -0 -0 -0 -3 -0xf47d -0xb736ffdb -256 -256 -1 -0 -0 -1 -0x8f5 -0xb852e183 -256 -256 -1 -0 -0 -3 -0xd043 -0x9f961e50 -256 -256 -1 -0 -0 -1 -0xb18f -0x5319d902 -256 -256 -1 -0 -0 -3 -0xc934 -0xf9b0d3d3 -256 -256 -1 -0 -0 -3 -0x40b4 -0x90a1e8bf -256 -256 -1 -0 -0 -1 -0xdbe1 -0x4fb27cbb -256 -256 -0 -0 -0 -4 -0x2b1f -0xd46e7793 -256 -256 -0 -0 -0 -2 -0x7530 -0xf7378ac7 -256 -256 -1 -0 -0 -2 -0xc7db -0x56b5b090 -256 -256 -1 -0 -0 -2 -0xae54 -0x3f87062d -256 -256 -0 -0 -0 -2 -0x344d -0x4ab941c3 -256 -256 -0 -0 -0 -1 -0xbce6 -0x4925ec6b -256 -256 -1 -0 -0 -4 -0x8e3b -0xc2a7612b -256 -256 -0 -0 -0 -1 -0xa2cc -0x30112591 -256 -256 -1 -0 -0 -1 -0x3688 -0x7570600d -256 -256 -1 -0 -0 -3 -0x55e4 -0x927023a -256 -256 -1 -0 -0 -2 -0xefa4 -0x74cfd617 -256 -256 -1 -0 -0 -2 -0xcc96 -0xc31def42 -256 -256 -1 -0 -0 -3 -0xd25d -0x92b8b5c4 -256 -256 -1 -0 -0 -2 -0x1b97 -0xabc37be4 -256 -256 -1 -0 -0 -4 -0x4633 -0x83911f7 -256 -256 -0 -0 -0 -2 -0xae16 -0x13402cd -256 -256 -0 -0 -0 -4 -0xd4d8 -0xf69c7d7f -256 -256 -0 -0 -0 -2 -0x6b04 -0xec24fc75 -256 -256 -1 -0 -0 -2 -0xb9ec -0xec7285db -256 -256 -0 -0 -0 -3 -0x74f5 -0xed9a3a18 -256 -256 -1 -0 -0 -3 -0x4cd9 -0x23b9e3d3 -256 -256 -0 -0 -0 -1 -0x5d7 -0x7cc66d1b -256 -256 -0 -0 -0 -2 -0xfef4 -0xd4a85bb7 -256 -256 -1 -0 -0 -1 -0xb4f -0x7f7ed792 -256 -256 -0 -0 -0 -1 -0x4181 -0x86411f01 -256 -256 -0 -0 -0 -3 -0x723 -0x816ce05c -256 -256 -0 -0 -0 -4 -0xd080 -0xd9c055fa -256 -256 -1 -0 -0 -3 -0x1f50 -0xf23ed131 -256 -256 -1 -0 -0 -2 -0x9bec -0x798b6fb1 -256 -256 -0 -0 -0 -3 -0x5c0f -0xbe59e543 -256 -256 -0 -0 -0 -3 -0x52f7 -0x999ad808 -256 -256 -0 -0 -0 -4 -0x9f72 -0xcc3edaa8 -256 -256 -1 -0 -0 -4 -0x1fca -0x3e5bdd91 -256 -256 -1 -0 -0 -4 -0xece5 -0x7d4dc393 -256 -256 -1 -0 -0 -1 -0xd5c -0x15d85b1b -256 -256 -1 -0 -0 -1 -0xc7ee -0x8f30e9c2 -256 -256 -0 -0 -0 -3 -0x346e -0x4c3a2abc -256 -256 -1 -0 -0 -4 -0x73eb -0xc233f258 -256 -256 -0 -0 -0 -4 -0x8b6c -0xd0806e07 -256 -256 -1 -0 -0 -3 -0x808 -0xeeb23c93 -256 -256 -0 -0 -0 -1 -0xbc89 -0xb4b12dfc -256 -256 -1 -0 -0 -3 -0x7839 -0xabae2e84 -256 -256 -0 -0 -0 -1 -0x52fe -0x83d758e9 -256 -256 -1 -0 -0 -3 -0x2824 -0xf55d9bde -256 -256 -1 -0 -0 -3 -0xa6ef -0xde8873b8 -256 -256 -1 -0 -0 -1 -0x522 -0xa17bc659 -256 -256 -0 -0 -0 -1 -0xd2b8 -0x848bb7f1 -256 -256 -1 -0 -0 -2 -0x9744 -0x724d8fb9 -256 -256 -1 -0 -0 -4 -0xd2e4 -0x575aa484 -256 -256 -0 -0 -0 -1 -0x1e45 -0xc737100f -256 -256 -0 -0 -0 -4 -0x8f4f -0xc0b90cb4 -256 -256 -1 -0 -0 -2 -0x251 -0x4c7f8dc5 -256 -256 -0 -0 -0 -3 -0xd655 -0x66ed17a8 -256 -256 -0 -0 -0 -4 -0xec7e -0x2fccdd63 -256 -256 -0 -0 -0 -4 -0x8ad1 -0x4ff5c11b -256 -256 -0 -0 -0 -1 -0x7178 -0xdb0d3e34 -256 -256 -1 -0 -0 -4 -0x5dbd -0x6ad785c8 -256 -256 -0 -0 -0 -1 -0xf552 -0x5237b3db -256 -256 -0 -0 -0 -1 -0x6c26 -0xb7909713 -256 -256 -0 -0 -0 -4 -0xd4a9 -0x63620142 -256 -256 -0 -0 -0 -1 -0xf36c -0xa93c6f0 -256 -256 -1 -0 -0 -1 -0xe6d4 -0x60a3f756 -256 -256 -1 -0 -0 -3 -0xacad -0x14abc0 -256 -256 -0 -0 -0 -2 -0x9d3f -0xb0323fea -256 -256 -1 -0 -0 -4 -0x8bb5 -0xacbaade6 -256 -256 -0 -0 -0 -2 -0xc9e -0x1cd27dc4 -256 -256 -1 -0 -0 -4 -0xed26 -0xc1b64d55 -256 -256 -1 -0 -0 -1 -0x6678 -0xee3e9f8e -256 -256 -1 -0 -0 -2 -0x2173 -0xba5e1d74 -256 -256 -1 -0 -0 -1 -0xe978 -0x6222c0fc -256 -256 -0 -0 -0 -2 -0xdd19 -0x6bd26020 -256 -256 -1 -0 -0 -1 -0xa988 -0xc67403d6 -256 -256 -1 -0 -0 -2 -0xd4f -0x1b644806 -256 -256 -1 -0 -0 -3 -0x3d68 -0x8de2bd8d -256 -256 -1 -0 -0 -4 -0x7f97 -0xd45200d2 -256 -256 -0 -0 -0 -4 -0x5d -0x43cc51de -256 -256 -1 -0 -0 -3 -0xfb1b -0x35ae3afa -256 -256 -0 -0 -0 -1 -0xde1d -0xa6b0b3b0 -256 -256 -0 -0 -0 -4 -0xf15 -0xe0c11b35 -256 -256 -1 -0 -0 -2 -0x897b -0x9e214894 -256 -256 -1 -0 -0 -3 -0x4c92 -0x61f18444 -256 -256 -0 -0 -0 -3 -0x2f92 -0xcf63f37f -256 -256 -1 -0 -0 -2 -0x3b9e -0xa1fcfefd -256 -256 -0 -0 -0 -4 -0x1865 -0xc895f733 -256 -256 -1 -0 -0 -2 -0x281e -0x1707d20f -256 -256 -1 -0 -0 -1 -0x89ad -0x40c56c96 -256 -256 -0 -0 -0 -1 -0x6b7a -0xdf42f4f2 -256 -256 -0 -0 -0 -2 -0x7283 -0xa59f82ba -256 -256 -1 -0 -0 -2 -0x3f6e -0xf66a5500 -256 -256 -0 -0 -0 -1 -0x58d7 -0x83f42193 -256 -256 -1 -0 -0 -4 -0x39a3 -0x28a57fb2 -256 -256 -1 -0 -0 -2 -0x6d44 -0xe6400e1d -256 -256 -0 -0 -0 -4 -0x6711 -0xb748479c -256 -256 -1 -0 -0 -1 -0xa217 -0xca106444 -256 -256 -1 -0 -0 -1 -0xc18a -0x1794a0e5 -256 -256 -0 -0 -0 -2 -0x8250 -0x81096074 -256 -256 -1 -0 -0 -2 -0x4607 -0x834d7f61 -256 -256 -1 -0 -0 -2 -0xd28e -0xf5af4cfc -256 -256 -0 -0 -0 -1 -0x2286 -0x82920c08 -256 -256 -0 -0 -0 -3 -0xf8e7 -0x1545169 -256 -256 -1 -0 -0 -2 -0x6d55 -0xb1053643 -256 -256 -1 -0 -0 -3 -0x9ac6 -0xc31266fd -256 -256 -1 -0 -0 -1 -0x523d -0xc051a7d3 -256 -256 -0 -0 -0 -4 -0x23eb -0x2f2c201c -256 -256 -0 -0 -0 -3 -0x26cf -0xf57fa93b -256 -256 -0 -0 -0 -1 -0x99e4 -0x1dfa58b -256 -256 -0 -0 -0 -4 -0x9128 -0x5421642a -256 -256 -1 -0 -0 -1 -0xbad9 -0xa6577be2 -256 -256 -1 -0 -0 -2 -0x8da -0x1d620b11 -256 -256 -1 -0 -0 -4 -0xc790 -0xefc1a5cd -256 -256 -0 -0 -0 -4 -0x927 -0xc3c00b21 -256 -256 -1 -0 -0 -1 -0x9347 -0x888469e7 -256 -256 -0 -0 -0 -3 -0x6f02 -0xea78e06e -256 -256 -0 -0 -0 -1 -0xd406 -0x85c9b031 -256 -256 -1 -0 -0 -2 -0xb620 -0x3afc874f -256 -256 -1 -0 -0 -1 -0x510a -0x7bd0288d -256 -256 -0 -0 -0 -3 -0x14c5 -0x470ca4e0 -256 -256 -1 -0 -0 -3 -0xdd85 -0x8f1bb79c -256 -256 -0 -0 -0 -4 -0xf9b2 -0x230b581c -256 -256 -1 -0 -0 -3 -0xfd58 -0xab1e9dc3 -256 -256 -1 -0 -0 -3 -0xb094 -0x379c2fd8 -256 -256 -0 -0 -0 -4 -0xc04d -0x906ca005 -256 -256 -1 -0 -0 -2 -0xc194 -0x58792e99 -256 -256 -0 -0 -0 -3 -0xf8a1 -0x111518ee -256 -256 -0 -0 -0 -4 -0xed14 -0xb7a44583 -256 -256 -1 -0 -0 -3 -0x4197 -0xc1c2fcc8 -256 -256 -0 -0 -0 -3 -0x68c7 -0x93db8d30 -256 -256 -0 -0 -0 -3 -0x96ba -0xc61b4585 -256 -256 -1 -0 -0 -3 -0x6c0e -0x2e5d274b -256 -256 -1 -0 -0 -4 -0x250c -0xd4646280 -256 -256 -0 -0 -0 -4 -0x959a -0x12b18594 -256 -256 -0 -0 -0 -2 -0x4f0 -0x455aeccd -256 -256 -1 -0 -0 -4 -0xdda6 -0x40d04b55 -256 -256 -1 -0 -0 -3 -0xc6dd -0xf518f8e -256 -256 -1 -0 -0 -2 -0xc034 -0x6e422b57 -256 -256 -0 -0 -0 -3 -0xe325 -0xa13d13a6 -256 -256 -1 -0 -0 -2 -0x435f -0xbe3d9f90 -256 -256 -1 -0 -0 -3 -0x4db1 -0x62e48cb2 -256 -256 -1 -0 -0 -3 -0xbd1 -0x9caa441c -256 -256 -0 -0 -0 -4 -0x9724 -0x6b29c970 -256 -256 -0 -0 -0 -3 -0xfa19 -0xe26a23b5 -256 -256 -0 -0 -0 -1 -0x1a3b -0x52c9ccd3 -256 -256 -0 -0 -0 -2 -0x89af -0x411dbf1a -256 -256 -1 -0 -0 -4 -0xd970 -0x2dec4b31 -256 -256 -0 -0 -0 -4 -0x56cf -0x904560a9 -256 -256 -0 -0 -0 -1 -0x412 -0x58132de4 -256 -256 -1 -0 -0 -1 -0xdc1 -0xf13f1af5 -256 -256 -0 -0 -0 -2 -0xa8d3 -0xeecc6e50 -256 -256 -1 -0 -0 -3 -0xdd1f -0x4ecf83cd -256 -256 -1 -0 -0 -1 -0xccc4 -0xd49569be -256 -256 -0 -0 -0 -1 -0x4fe2 -0x88e6f77e -256 -256 -0 -0 -0 -2 -0x13d9 -0x31ff624d -256 -256 -0 -0 -0 -1 -0x3a77 -0x604b373a -256 -256 -1 -0 -0 -4 -0xc988 -0x83497dff -256 -256 -0 -0 -0 -4 -0xdd10 -0xca5ff8b -256 -256 -1 -0 -0 -3 -0x26fa -0xef2b390f -256 -256 -1 -0 -0 -3 -0xf59d -0x90682faf -256 -256 -1 -0 -0 -3 -0x299d -0x47e8476f -256 -256 -1 -0 -0 -1 -0x8fa -0x4b939051 -256 -256 -1 -0 -0 -1 -0xafd6 -0x20b91f57 -256 -256 -0 -0 -0 -1 -0xf837 -0x50e787da -256 -256 -1 -0 -0 -2 -0x650d -0x4eaa0d5b -256 -256 -0 -0 -0 -2 -0x1b91 -0x2418e2b6 -256 -256 -1 -0 -0 -4 -0xd8be -0x5ec22b14 -256 -256 -1 -0 -0 -4 -0x5d89 -0xfb4d073 -256 -256 -1 -0 -0 -4 -0xebe6 -0x2712430 -256 -256 -1 -0 -0 -4 -0x3302 -0xbcb1b565 -256 -256 -1 -0 -0 -4 -0x615b -0x7061f1da -256 -256 -1 -0 -0 -3 -0xe23 -0x2d377bec -256 -256 -0 -0 -0 -3 -0xad6c -0xc11b12ab -256 -256 -0 -0 -0 -3 -0x2ae0 -0xf56656de -256 -256 -1 -0 -0 -2 -0xcc62 -0x131fe05e -256 -256 -0 -0 -0 -1 -0x78c6 -0x157bf4f1 -256 -256 -0 -0 -0 -2 -0x8750 -0xc8253e15 -256 -256 -1 -0 -0 -1 -0x44c3 -0x963f70a3 -256 -256 -1 -0 -0 -4 -0x2289 -0xd311b9df -256 -256 -1 -0 -0 -4 -0xb0cc -0xe2d8b887 -256 -256 -0 -0 -0 -1 -0x1ae3 -0x9db2360c -256 -256 -0 -0 -0 -2 -0x194b -0xa4d69c7b -256 -256 -0 -0 -0 -3 -0x872f -0x26ba43e5 -256 -256 -1 -0 -0 -1 -0xb31 -0x29f3cfb1 -256 -256 -1 -0 -0 -2 -0x9e8e -0xdbee3ac3 -256 -256 -1 -0 -0 -1 -0xaca4 -0xfbc1723f -256 -256 -0 -0 -0 -3 -0x27f0 -0xae27b8d0 -256 -256 -1 -0 -0 -3 -0x5b9d -0xae425c1f -256 -256 -1 -0 -0 -2 -0xab9 -0xd6a3126 -256 -256 -0 -0 -0 -4 -0x119d -0x6909a093 -256 -256 -1 -0 -0 -4 -0x6143 -0x41191cdc -256 -256 -0 -0 -0 -2 -0xfe35 -0xea5d8759 -256 -256 -1 -0 -0 -2 -0xf9d1 -0x72d1182c -256 -256 -1 -0 -0 -2 -0x853 -0xe465608a -256 -256 -1 -0 -0 -1 -0x1641 -0xfcba5186 -256 -256 -0 -0 -0 -3 -0xd1e3 -0xc27114ef -256 -256 -1 -0 -0 -3 -0xd247 -0xdde0848e -256 -256 -0 -0 -0 -3 -0x8b5 -0x82bf97af -256 -256 -0 -0 -0 -2 -0x94da -0x2366839c -256 -256 -1 -0 -0 -3 -0x129f -0xf3598bf1 -256 -256 -0 -0 -0 -3 -0xc718 -0x45af4fc7 -256 -256 -0 -0 -0 -3 -0x3dbf -0x5c2939aa -256 -256 -0 -0 -0 -4 -0x1ad9 -0xa523ec72 -256 -256 -0 -0 -0 -1 -0x163d -0xc032cc66 -256 -256 -0 -0 -0 -1 -0x36d4 -0x45c95102 -256 -256 -1 -0 -0 -1 -0xd38b -0xcddc49e -256 -256 -0 -0 -0 -2 -0x146e -0xcfa4b05b -256 -256 -1 -0 -0 -3 -0x7b81 -0x80100859 -256 -256 -0 -0 -0 -1 -0xa50e -0x32049074 -256 -256 -0 -0 -0 -3 -0x9fc6 -0x98c9ffb7 -256 -256 -0 -0 -0 -4 -0xad94 -0x871cb2d1 -256 -256 -0 -0 -0 -3 -0x139f -0x3a4c1dbf -256 -256 -1 -0 -0 -3 -0x9db9 -0xcb1255ef -256 -256 -1 -0 -0 -3 -0x923e -0x46a30620 -256 -256 -0 -0 -0 -2 -0x43f -0xe424677d -256 -256 -1 -0 -0 -3 -0x6372 -0x81eac63c -256 -256 -1 -0 -0 -1 -0xb5e9 -0xb0d8b38a -256 -256 -1 -0 -0 -3 -0x8c7e -0x9ce19361 -256 -256 -1 -0 -0 -2 -0x71f8 -0x93b98dc5 -256 -256 -0 -0 -0 -4 -0x6c83 -0x3dda1a57 -256 -256 -0 -0 -0 -4 -0x40e4 -0xfb46f5b8 -256 -256 -0 -0 -0 -4 -0x306f -0xd48b5eb3 -256 -256 -0 -0 -0 -1 -0x4427 -0x86cdf276 -256 -256 -1 -0 -0 -4 -0x8aa9 -0xb0369f50 -256 -256 -0 -0 -0 -2 -0xb1c6 -0xde8ab60b -256 -256 -1 -0 -0 -2 -0xcd9d -0x4efed57e -256 -256 -0 -0 -0 -2 -0x6452 -0xf81e3310 -256 -256 -0 -0 -0 -4 -0xe373 -0xef9c1e16 -256 -256 -0 -0 -0 -1 -0xa54c -0x52eb0a97 -256 -256 -1 -0 -0 -1 -0x88a3 -0xc4af3893 -256 -256 -1 -0 -0 -3 -0xc7c8 -0xf88f2b84 -256 -256 -0 -0 -0 -2 -0x57cf -0xf44a8f30 -256 -256 -0 -0 -0 -2 -0xccfc -0xa92c5d85 -256 -256 -1 -0 -0 -1 -0x71fe -0xb8f8c977 -256 -256 -0 -0 -0 -1 -0x3c58 -0x43c1339f -256 -256 -1 -0 -0 -4 -0xc939 -0x9524a6c5 -256 -256 -0 -0 -0 -3 -0x8221 -0x8a639eee -256 -256 -1 -0 -0 -4 -0x7da0 -0xfb832612 -256 -256 -1 -0 -0 -1 -0x6e34 -0x3406daf4 -256 -256 -0 -0 -0 -3 -0xa83e -0x86f79c45 -256 -256 -1 -0 -0 -2 -0xf86a -0x6e42c713 -256 -256 -1 -0 -0 -1 -0x2bf2 -0xb1a877dc -256 -256 -0 -0 -0 -3 -0xea99 -0xa6dfcedd -256 -256 -1 -0 -0 -1 -0xe85e -0xf19f4205 -256 -256 -0 -0 -0 -2 -0x96a4 -0x355dc367 -256 -256 -0 -0 -0 -1 -0x4292 -0x759b77fa -256 -256 -1 -0 -0 -1 -0xfbac -0x6a022d8d -256 -256 -1 -0 -0 -3 -0xd974 -0x79ba874c -256 -256 -0 -0 -0 -3 -0x754c -0xf32deec -256 -256 -1 -0 -0 -1 -0x4af4 -0x81da92a8 -256 -256 -0 -0 -0 -2 -0x5b91 -0x7ec2372f -256 -256 -1 -0 -0 -4 -0x4e98 -0xbba3e9c2 -256 -256 -0 -0 -0 -1 -0xf83 -0x76bafe76 -256 -256 -0 -0 -0 -4 -0x10ad -0x110f9f8b -256 -256 -0 -0 -0 -4 -0xb06a -0x7d7564a5 -256 -256 -0 -0 -0 -3 -0xcb39 -0xbe5fe259 -256 -256 -0 -0 -0 -1 -0x9faf -0x4a13ab97 -256 -256 -0 -0 -0 -1 -0x25e -0x9b5398ff -256 -256 -0 -0 -0 -1 -0x6f8c -0x8a47106c -256 -256 -1 -0 -0 -3 -0x2b6a -0x877450e1 -256 -256 -0 -0 -0 -2 -0xaf6c -0x42d1b -256 -256 -0 -0 -0 -2 -0x9d1d -0x33ebb090 -256 -256 -0 -0 -0 -4 -0x1d4f -0x2ff76f70 -256 -256 -1 -0 -0 -1 -0x8aea -0x3d65aa40 -256 -256 -0 -0 -0 -3 -0xc629 -0x1bebc07f -256 -256 -1 -0 -0 -4 -0x332f -0x4e79894f -256 -256 -1 -0 -0 -1 -0x66c7 -0xabd3c399 -256 -256 -1 -0 -0 -3 -0x1ef -0x7a0d1894 -256 -256 -0 -0 -0 -3 -0x2a33 -0xd09efef3 -256 -256 -1 -0 -0 -3 -0x94a4 -0x26bda265 -256 -256 -0 -0 -0 -4 -0xfc89 -0xb19a78e5 -256 -256 -1 -0 -0 -3 -0x4db0 -0x880a51b5 -256 -256 -1 -0 -0 -1 -0xed2f -0x8026e480 -256 -256 -0 -0 -0 -1 -0x5186 -0x6f489770 -256 -256 -1 -0 -0 -2 -0x48a2 -0xd2f07d3b -256 -256 -0 -0 -0 -4 -0xf7a5 -0x3d9f3b1d -256 -256 -1 -0 -0 -4 -0xb204 -0xbf0ffba8 -256 -256 -0 -0 -0 -1 -0x8552 -0xd6caa2d3 -256 -256 -0 -0 -0 -1 -0x7c5 -0x7ae10941 -256 -256 -0 -0 -0 -1 -0x5960 -0x308c0898 -256 -256 -0 -0 -0 -1 -0x8a38 -0x95f4c46c -256 -256 -1 -0 -0 -4 -0x2ec2 -0x2fdd7ce5 -256 -256 -1 -0 -0 -1 -0x1226 -0x7fb651eb -256 -256 -1 -0 -0 -3 -0xaa99 -0x4f4355dc -256 -256 -0 -0 -0 -1 -0x1950 -0x7ef5ab90 -256 -256 -0 -0 -0 -1 -0x3c99 -0xc5922d54 -256 -256 -0 -0 -0 -3 -0x9f0d -0x4dbb33ae -256 -256 -1 -0 -0 -3 -0x7d74 -0x7763d820 -256 -256 -1 -0 -0 -2 -0xf2a8 -0xa3a72b24 -256 -256 -0 -0 -0 -1 -0xeeec -0x30b813ec -256 -256 -1 -0 -0 -2 -0xfc34 -0xf018d6f3 -256 -256 -0 -0 -0 -1 -0xda90 -0xcfa03919 -256 -256 -1 -0 -0 -4 -0x94fc -0xa78506c3 -256 -256 -1 -0 -0 -3 -0xc5d2 -0xdae80ff9 -256 -256 -1 -0 -0 -4 -0x2b6f -0xa6f354a4 -256 -256 -0 -0 -0 -2 -0xea94 -0xa7897acc -256 -256 -1 -0 -0 -1 -0x341b -0xd2f438a6 -256 -256 -0 -0 -0 -2 -0x8a81 -0x96f0c88a -256 -256 -1 -0 -0 -2 -0xe021 -0x7e2bc507 -256 -256 -1 -0 -0 -1 -0x7801 -0xe90d1090 -256 -256 -1 -0 -0 -2 -0xc2ff -0x42bd0cce -256 -256 -0 -0 -0 -2 -0x4097 -0x317c3433 -256 -256 -0 -0 -0 -3 -0x7b9b -0x5f1514ea -256 -256 -1 -0 -0 -4 -0xed38 -0xcec94cbc -256 -256 -0 -0 -0 -3 -0xd232 -0x22dc5169 -256 -256 -0 -0 -0 -2 -0xa98 -0x1d4448cf -256 -256 -0 -0 -0 -3 -0x1ed3 -0xd63feea -256 -256 -1 -0 -0 -4 -0x319c -0x69b4d1ea -256 -256 -1 -0 -0 -2 -0xb1c7 -0x71c9a704 -256 -256 -0 -0 -0 -3 -0x471a -0xa1da0a60 -256 -256 -0 -0 -0 -3 -0xb1fa -0xa193127c -256 -256 -0 -0 -0 -1 -0x9736 -0xc7846605 -256 -256 -0 -0 -0 -2 -0x72be -0xf168530d -256 -256 -0 -0 -0 -4 -0x47c -0x69e14a52 -256 -256 -0 -0 -0 -4 -0xe938 -0x781a893a -256 -256 -1 -0 -0 -1 -0xbf44 -0xf0bf163 -256 -256 -0 -0 -0 -2 -0x9bf6 -0xb4fb7673 -256 -256 -0 -0 -0 -2 -0xf53 -0x894e973d -256 -256 -0 -0 -0 -2 -0x8862 -0x7df820f1 -256 -256 -0 -0 -0 -3 -0xca07 -0xeffc4edf -256 -256 -1 -0 -0 -2 -0xdaba -0x55432886 -256 -256 -1 -0 -0 -3 -0xf1fa -0xfd008d97 -256 -256 -0 -0 -0 -1 -0xb5fd -0xbf08d9dd -256 -256 -0 -0 -0 -3 -0x1b6b -0x86af609a -256 -256 -1 -0 -0 -4 -0x350b -0x793c1f81 -256 -256 -1 -0 -0 -4 -0x643e -0xd31b5ca5 -256 -256 -1 -0 -0 -4 -0x5503 -0x2c41144a -256 -256 -1 -0 -0 -2 -0x1898 -0xdabe1425 -256 -256 -0 -0 -0 -1 -0x3065 -0x7c21ce64 -256 -256 -0 -0 -0 -2 -0x25f8 -0xf49afac9 -256 -256 -1 -0 -0 -2 -0xe93a -0xbb3a0b8a -256 -256 -0 -0 -0 -2 -0x980c -0x9a306f10 -256 -256 -1 -0 -0 -4 -0x3f -0xe162e47b -256 -256 -0 -0 -0 -4 -0x60cc -0xddacf2d3 -256 -256 -0 -0 -0 -1 -0x10c9 -0xab19fd07 -256 -256 -1 -0 -0 -1 -0x3d1a -0x697b6679 -256 -256 -0 -0 -0 -1 -0x9518 -0xb92c6802 -256 -256 -0 -0 -0 -2 -0x8391 -0xb9d8e538 -256 -256 -1 -0 -0 -1 -0x7a8c -0x73781e96 -256 -256 -0 -0 -0 -1 -0x1cfa -0x3094bf9a -256 -256 -1 -0 -0 -1 -0xc71f -0xea0c2b87 -256 -256 -0 -0 -0 -4 -0x19f3 -0x3decf521 -256 -256 -0 -0 -0 -1 -0xce73 -0xf3dc6343 -256 -256 -1 -0 -0 -4 -0x205e -0xa04fca38 -256 -256 -0 -0 -0 -2 -0x96b5 -0xe7ea06a3 -256 -256 -0 -0 -0 -3 -0xb69a -0x6ae0c0bb -256 -256 -1 -0 -0 -3 -0x810f -0x4c35b81c -256 -256 -0 -0 -0 -2 -0xeb36 -0x8e016a98 -256 -256 -0 -0 -0 -1 -0x4235 -0xb28837a6 -256 -256 -1 -0 -0 -2 -0x73ca -0x56af9781 -256 -256 -0 -0 -0 -3 -0xfa96 -0x6fc35d44 -256 -256 -0 -0 -0 -3 -0xb54c -0x3872f065 -256 -256 -0 -0 -0 -2 -0x7003 -0x3a001cc5 -256 -256 -1 -0 -0 -1 -0xef9b -0xdc2fe11c -256 -256 -1 -0 -0 -2 -0x9063 -0xeb294ef2 -256 -256 -0 -0 -0 -4 -0x9839 -0x5e5c694d -256 -256 -1 -0 -0 -3 -0x401 -0x237db9e2 -256 -256 -0 -0 -0 -4 -0xba5f -0xf393a028 -256 -256 -0 -0 -0 -2 -0xf57f -0xd0742456 -256 -256 -1 -0 -0 -1 -0x610f -0x6cf4f445 -256 -256 -0 -0 -0 -4 -0xae5f -0x3b30b829 -256 -256 -0 -0 -0 -3 -0xe84b -0x5ee20d04 -256 -256 -0 -0 -0 -4 -0xf386 -0xc7549c66 -256 -256 -1 -0 -0 -2 -0x7eee -0x8db36e38 -256 -256 -0 -0 -0 -3 -0xfeff -0x93766041 -256 -256 -0 -0 -0 -1 -0x8365 -0xdf5a71c4 -256 -256 -1 -0 -0 -3 -0x9c61 -0x7f9327d5 -256 -256 -1 -0 -0 -3 -0xbd0e -0x5f226277 -256 -256 -0 -0 -0 -3 -0xedea -0xff87e3d -256 -256 -0 -0 -0 -3 -0x3c91 -0xfbb92292 -256 -256 -0 -0 -0 -3 -0xd691 -0x4f73cabd -256 -256 -1 -0 -0 -3 -0x3b92 -0x9ca8362c -256 -256 -0 -0 -0 -1 -0xcb02 -0x29c4dc24 -256 -256 -1 -0 -0 -3 -0x72ac -0x85201483 -256 -256 -1 -0 -0 -4 -0x56a5 -0xe63412a -256 -256 -1 -0 -0 -2 -0x2966 -0x546e134c -256 -256 -1 -0 -0 -3 -0x69fb -0x6d517e09 -256 -256 -0 -0 -0 -1 -0x5054 -0xaf2d1ca5 -256 -256 -1 -0 -0 -2 -0xc8ae -0x3798db47 -256 -256 -1 -0 -0 -4 -0xcd02 -0xf8b51a20 -256 -256 -1 -0 -0 -1 -0x72f -0x753acec3 -256 -256 -0 -0 -0 -2 -0x2fea -0xa2e11178 -256 -256 -0 -0 -0 -2 -0x42f2 -0xdde2543d -256 -256 -0 -0 -0 -4 -0x2c1d -0xac6dad51 -256 -256 -0 -0 -0 -1 -0x468d -0x27fbd480 -256 -256 -1 -0 -0 -1 -0xb4b5 -0xca12bdf2 -256 -256 -0 -0 -0 -2 -0x51c7 -0xdb7cd335 -256 -256 -1 -0 -0 -2 -0xb26a -0x3946ec48 -256 -256 -0 -0 -0 -1 -0xd280 -0x5b787536 -256 -256 -0 -0 -0 -2 -0x7d1f -0xb78c57c5 -256 -256 -1 -0 -0 -2 -0x86de -0x7b5659a5 -256 -256 -1 -0 -0 -4 -0x4473 -0xc4f46b0d -256 -256 -0 -0 -0 -3 -0x6c63 -0x510645c8 -256 -256 -1 -0 -0 -2 -0xeac0 -0x728453e9 -256 -256 -1 -0 -0 -2 -0x7774 -0x38386a5b -256 -256 -0 -0 -0 -4 -0xda11 -0x6026ba76 -256 -256 -1 -0 -0 -4 -0x2dd2 -0xb5174489 -256 -256 -0 -0 -0 -2 -0xbf03 -0xc5076d51 -256 -256 -1 -0 -0 -3 -0xa707 -0xffed0f65 -256 -256 -0 -0 -0 -2 -0xde34 -0x703eebef -256 -256 -1 -0 -0 -3 -0xda4d -0x6d276d84 -256 -256 -0 -0 -0 -1 -0x21fa -0x38cca7fb -256 -256 -1 -0 -0 -3 -0x1faa -0x42fec280 -256 -256 -1 -0 -0 -4 -0x112b -0x1f29068f -256 -256 -1 -0 -0 -1 -0x9e73 -0x3db67bd9 -256 -256 -1 -0 -0 -3 -0xa24c -0x70f1c25f -256 -256 -0 -0 -0 -4 -0x94f0 -0x8bfa22a2 -256 -256 -1 -0 -0 -4 -0x3e19 -0xfe4f8746 -256 -256 -0 -0 -0 -4 -0x3cde -0xcffb8966 -256 -256 -0 -0 -0 -3 -0x1b0 -0xbccb4b19 -256 -256 -1 -0 -0 -4 -0x179b -0xcce0e78d -256 -256 -0 -0 -0 -1 -0x83af -0x3b92b769 -256 -256 -0 -0 -0 -1 -0xb756 -0xcc76df0c -256 -256 -0 -0 -0 -3 -0x13ab -0x32992b3f -256 -256 -1 -0 -0 -2 -0xb792 -0xa5cd1330 -256 -256 -1 -0 -0 -1 -0xa94b -0x188f2e0d -256 -256 -0 -0 -0 -1 -0xba9b -0x7c529a07 -256 -256 -1 -0 -0 -1 -0xd55b -0x907365a7 -256 -256 -0 -0 -0 -4 -0x60f1 -0x1ae848 -256 -256 -0 -0 -0 -4 -0x49e4 -0xff1d04c1 -256 -256 -0 -0 -0 -1 -0xae4d -0x1a0e00dc -256 -256 -1 -0 -0 -1 -0x2bf2 -0xc6ab08d1 -256 -256 -0 -0 -0 -2 -0x5e4a -0xef415fb3 -256 -256 -1 -0 -0 -1 -0x519d -0x536efd5a -256 -256 -1 -0 -0 -4 -0xf84a -0xd855b7f9 -256 -256 -1 -0 -0 -1 -0x6a24 -0xb4263fe6 -256 -256 -1 -0 -0 -4 -0x36a -0xa2453456 -256 -256 -0 -0 -0 -3 -0x9636 -0x4d1ba357 -256 -256 -0 -0 -0 -3 -0x8359 -0x4a43ea67 -256 -256 -0 -0 -0 -4 -0xf35e -0x73766949 -256 -256 -0 -0 -0 -4 -0xff8c -0xb9f57503 -256 -256 -0 -0 -0 -1 -0x9caa -0x110b5ed -256 -256 -0 -0 -0 -3 -0xfdcc -0x398b4d43 -256 -256 -1 -0 -0 -4 -0x81ca -0x64da0918 -256 -256 -1 -0 -0 -1 -0xeb85 -0x18538345 -256 -256 -0 -0 -0 -4 -0xf0de -0x1c62a847 -256 -256 -0 -0 -0 -1 -0x6075 -0xa4e673c3 -256 -256 -1 -0 -0 -3 -0x4433 -0x10cb8b9c -256 -256 -0 -0 -0 -3 -0x662a -0x57835a06 -256 -256 -0 -0 -0 -1 -0xedd7 -0x72b47c70 -256 -256 -1 -0 -0 -2 -0x4b1f -0x811e5679 -256 -256 -0 -0 -0 -2 -0x5eb2 -0xf90fffe2 -256 -256 -0 -0 -0 -3 -0xea8 -0xd2f3be9b -256 -256 -1 -0 -0 -2 -0xbd38 -0x8eb762dd -256 -256 -1 -0 -0 -2 -0xfbaa -0x7f387157 -256 -256 -1 -0 -0 -2 -0xd5f6 -0xeec3c5b5 -256 -256 -0 -0 -0 -1 -0x6221 -0x10995d94 -256 -256 -0 -0 -0 -3 -0xf739 -0x5edb4f35 -256 -256 -1 -0 -0 -4 -0xd892 -0x36fba954 -256 -256 -0 -0 -0 -2 -0x3b1b -0x30cd0d53 -256 -256 -1 -0 -0 -2 -0xde37 -0x17fd34d2 -256 -256 -0 -0 -0 -1 -0x4663 -0xd026d351 -256 -256 -1 -0 -0 -1 -0xc144 -0xe49b72af -256 -256 -1 -0 -0 -3 -0x4150 -0x6bc6adfa -256 -256 -1 -0 -0 -4 -0x98a0 -0x5ca11d1e -256 -256 -0 -0 -0 -3 -0x8510 -0x7aa289db -256 -256 -1 -0 -0 -2 -0x91ec -0x517b14c1 -256 -256 -0 -0 -0 -1 -0x761b -0x34f85afb -256 -256 -0 -0 -0 -4 -0xe0f8 -0x3b67a1cb -256 -256 -1 -0 -0 -1 -0xeaff -0xfff7b9c1 -256 -256 -1 -0 -0 -4 -0xc06a -0xa608962 -256 -256 -0 -0 -0 -1 -0xa659 -0x740fbb54 -256 -256 -1 -0 -0 -1 -0x8107 -0x7de4eb05 -256 -256 -1 -0 -0 -2 -0x86ec -0x3b1dbdad -256 -256 -1 -0 -0 -1 -0xfc09 -0xd5acea31 -256 -256 -1 -0 -0 -4 -0xc626 -0x9c5ed6dc -256 -256 -1 -0 -0 -2 -0xf252 -0x6ec59bf -256 -256 -1 -0 -0 -2 -0x8f02 -0x9d05c3c2 -256 -256 -1 -0 -0 -3 -0xa2ef -0x9a0dad5e -256 -256 -1 -0 -0 -1 -0xfe8c -0xc4af815e -256 -256 -0 -0 -0 -3 -0x257d -0x7eee2d01 -256 -256 -1 -0 -0 -2 -0xb938 -0xee5ad5f7 -256 -256 -0 -0 -0 -3 -0xc9bb -0x14f9f167 -256 -256 -0 -0 -0 -4 -0x13b5 -0xfbb8934f -256 -256 -1 -0 -0 -3 -0xe94a -0x284e910b -256 -256 -1 -0 -0 -1 -0x693c -0xa466dab -256 -256 -1 -0 -0 -3 -0xdb5a -0xafbd9d20 -256 -256 -1 -0 -0 -4 -0xe070 -0x9a75fb0f -256 -256 -1 -0 -0 -2 -0xac2 -0xb8cfc57a -256 -256 -1 -0 -0 -3 -0xbe06 -0xae2bae0d -256 -256 -0 -0 -0 -1 -0xc8cd -0xcce218ee -256 -256 -0 -0 -0 -2 -0xc786 -0xe7ca1577 -256 -256 -1 -0 -0 -2 -0xd403 -0xb48e2bd3 -256 -256 -1 -0 -0 -1 -0x7aea -0x5ff2622e -256 -256 -1 -0 -0 -3 -0xac87 -0x40902436 -256 -256 -1 -0 -0 -1 -0xd48d -0xb37a312a -256 -256 -0 -0 -0 -2 -0x1fb5 -0x9e08eada -256 -256 -1 -0 -0 -3 -0xa5be -0xe3860652 -256 -256 -0 -0 -0 -2 -0xb901 -0xc4b021f7 -256 -256 -1 -0 -0 -3 -0xae8c -0xddec19fb -256 -256 -1 -0 -0 -3 -0xc715 -0x47b3a48f -256 -256 -1 -0 -0 -3 -0x6769 -0x74f3e7d7 -256 -256 -0 -0 -0 -4 -0x90d4 -0xa24d6319 -256 -256 -0 -0 -0 -1 -0x3326 -0x59ecdf52 -256 -256 -0 -0 -0 -1 -0x15fd -0x41243677 -256 -256 -1 -0 -0 -1 -0x99a4 -0x9b0f5696 -256 -256 -1 -0 -0 -2 -0x840c -0x236d2b26 -256 -256 -1 -0 -0 -2 -0x5404 -0xbfbcdf2b -256 -256 -0 -0 -0 -1 -0x908f -0xf34b6ff2 -256 -256 -0 -0 -0 -4 -0x26a4 -0x2a44e951 -256 -256 -0 -0 -0 -3 -0xcb71 -0x27e7bb5 -256 -256 -1 -0 -0 -1 -0x22ee -0x244a4502 -256 -256 -0 -0 -0 -3 -0x1328 -0xa96440c9 -256 -256 -0 -0 -0 -3 -0x9edb -0xe52554fc -256 -256 -1 -0 -0 -4 -0xbdaa -0x176df302 -256 -256 -0 -0 -0 -3 -0xe5c6 -0x286ae1d1 -256 -256 -0 -0 -0 -4 -0xfd16 -0x37bc6045 -256 -256 -0 -0 -0 -2 -0x9040 -0x6eaaf3e7 -256 -256 -0 -0 -0 -2 -0x4b00 -0x31e3f499 -256 -256 -0 -0 -0 -2 -0x7b0e -0x726c0fa3 -256 -256 -0 -0 -0 -1 -0x1460 -0x4a6f7aaa -256 -256 -0 -0 -0 -4 -0x9a1e -0x482bc462 -256 -256 -0 -0 -0 -3 -0xbc25 -0x99e7d20e -256 -256 -0 -0 -0 -4 -0x30e6 -0x75aba67a -256 -256 -1 -0 -0 -4 -0x7277 -0xce09dd8a -256 -256 -1 -0 -0 -2 -0xcb3e -0x5fa2e4cb -256 -256 -0 -0 -0 -4 -0xdb68 -0xacaf710e -256 -256 -0 -0 -0 -2 -0xca9b -0x25c948e1 -256 -256 -1 -0 -0 -3 -0xe83b -0x94c47a3 -256 -256 -1 -0 -0 -4 -0xc332 -0x69741814 -256 -256 -0 -0 -0 -1 -0xdae2 -0x17bffe0d -256 -256 -0 -0 -0 -4 -0xee68 -0xe9fb10bb -256 -256 -0 -0 -0 -1 -0xa19a -0x588252af -256 -256 -1 -0 -0 -4 -0x3f2e -0x9800e344 -256 -256 -0 -0 -0 -4 -0xbb01 -0xdb527cb7 -256 -256 -1 -0 -0 -2 -0x8669 -0xcbcb4a5 -256 -256 -1 -0 -0 -1 -0x984f -0xd7e147b8 -256 -256 -1 -0 -0 -3 -0x7784 -0x6f90e9c7 -256 -256 -1 -0 -0 -3 -0x620f -0x4cacd307 -256 -256 -0 -0 -0 -4 -0x76d0 -0xd4c21795 -256 -256 -0 -0 -0 -1 -0x364f -0x3503fa32 -256 -256 -0 -0 -0 -2 -0x5cd7 -0x43ccdb2b -256 -256 -1 -0 -0 -2 -0xfba5 -0x900b80ed -256 -256 -1 -0 -0 -1 -0x50fe -0x1e628735 -256 -256 -1 -0 -0 -4 -0xae41 -0x8124c76e -256 -256 -0 -0 -0 -2 -0x7742 -0x60d31c68 -256 -256 -1 -0 -0 -2 -0x4e2 -0xe86fad39 -256 -256 -1 -0 -0 -3 -0xdda9 -0xc3979863 -256 -256 -1 -0 -0 -1 -0xa536 -0xee923ed2 -256 -256 -1 -0 -0 -4 -0x7ded -0xc062e74a -256 -256 -1 -0 -0 -4 -0x8aff -0x94484fad -256 -256 -1 -0 -0 -1 -0x8103 -0x44d7a2ce -256 -256 -1 -0 -0 -1 -0x829f -0xab10740d -256 -256 -1 -0 -0 -1 -0xba86 -0xeaf6757c -256 -256 -0 -0 -0 -1 -0x3fad -0x80625264 -256 -256 -1 -0 -0 -2 -0xff53 -0xa5ec9032 -256 -256 -0 -0 -0 -3 -0xeee8 -0x9c0ed981 -256 -256 -1 -0 -0 -1 -0xb9dd -0x1c70af45 -256 -256 -1 -0 -0 -1 -0xdbf4 -0x5702d943 -256 -256 -0 -0 -0 -4 -0x7560 -0x2d5d24fe -256 -256 -0 -0 -0 -1 -0x6ef6 -0xe66191f6 -256 -256 -1 -0 -0 -3 -0xf7eb -0xee700e47 -256 -256 -0 -0 -0 -1 -0x4fc8 -0x25c0d5ee -256 -256 -1 -0 -0 -2 -0x1f1b -0xc91fd6e4 -256 -256 -0 -0 -0 -1 -0xcf42 -0xd3af6a73 -256 -256 -1 -0 -0 -4 -0x6a5c -0xf2781656 -256 -256 -0 -0 -0 -3 -0x37ee -0x27d588 -256 -256 -1 -0 -0 -2 -0xae1b -0x83c583a1 -256 -256 -1 -0 -0 -1 -0xc7f7 -0xaf370968 -256 -256 -0 -0 -0 -4 -0x606 -0xaceb62d6 -256 -256 -1 -0 -0 -4 -0x69b2 -0x5545b82a -256 -256 -1 -0 -0 -2 -0xcd51 -0x3a9f1d58 -256 -256 -1 -0 -0 -3 -0xf78 -0x39d9090e -256 -256 -0 -0 -0 -1 -0x9c08 -0x1c2063c5 -256 -256 -1 -0 -0 -4 -0xa131 -0x87e2780a -256 -256 -0 -0 -0 -1 -0x2e8f -0x6653a108 -256 -256 -1 -0 -0 -4 -0x2c2a -0x648aa7a9 -256 -256 -0 -0 -0 -4 -0x9d5b -0x2e5fa3fc -256 -256 -0 -0 -0 -4 -0x50c7 -0x16146a0b -256 -256 -0 -0 -0 -4 -0xeef5 -0x5c71fc7d -256 -256 -0 -0 -0 -1 -0xa8a8 -0x2675caae -256 -256 -0 -0 -0 -4 -0xc37a -0x427468cf -256 -256 -1 -0 -0 -4 -0x7f54 -0xfc1abd51 -256 -256 -0 -0 -0 -1 -0xb171 -0x43cb5bf0 -256 -256 -1 -0 -0 -4 -0xc5cc -0x76f787d6 -256 -256 -0 -0 -0 -4 -0x67fa -0xe76b8f4 -256 -256 -0 -0 -0 -1 -0xf2cd -0xa40e9d5f -256 -256 -0 -0 -0 -1 -0x3c2c -0x341ea7aa -256 -256 -1 -0 -0 -4 -0x53b1 -0xf8d7c0d1 -256 -256 -1 -0 -0 -1 -0xcfee -0xbd83ca60 -256 -256 -1 -0 -0 -1 -0xd0fb -0x118c041a -256 -256 -0 -0 -0 -2 -0x2153 -0x7c51d582 -256 -256 -1 -0 -0 -1 -0xd9f7 -0x7d43bf5 -256 -256 -0 -0 -0 -3 -0xe0b5 -0x5e2f2be0 -256 -256 -0 -0 -0 -2 -0x753b -0x74893418 -256 -256 -0 -0 -0 -4 -0x5fde -0x2e3373f4 -256 -256 -1 -0 -0 -3 -0x47e1 -0xd5b262a2 -256 -256 -1 -0 -0 -4 -0x96dd -0x7b3307a5 -256 -256 -0 -0 -0 -1 -0x823a -0x140409fc -256 -256 -0 -0 -0 -3 -0x3605 -0xf7d92387 -256 -256 -1 -0 -0 -4 -0x440c -0xbc64d8ee -256 -256 -1 -0 -0 -4 -0xe566 -0x8d97cafb -256 -256 -1 -0 -0 -4 -0x2387 -0x1e40367a -256 -256 -1 -0 -0 -2 -0x2f8f -0x25d45884 -256 -256 -1 -0 -0 -4 -0x9549 -0x1d2350c -256 -256 -0 -0 -0 -4 -0xf39b -0x321b79ae -256 -256 -0 -0 -0 -2 -0xfd14 -0xef6a28ef -256 -256 -0 -0 -0 -4 -0xe4b5 -0xc880fce5 -256 -256 -0 -0 -0 -4 -0xc155 -0xf2412689 -256 -256 -1 -0 -0 -3 -0xf0d1 -0x5f3160f9 -256 -256 -1 -0 -0 -4 -0x8afa -0x576d31d6 -256 -256 -0 -0 -0 -4 -0xa68 -0xd525eea6 -256 -256 -0 -0 -0 -3 -0x3b8 -0xdf711641 -256 -256 -0 -0 -0 -3 -0x13b1 -0x9ebbe7e9 -256 -256 -1 -0 -0 -2 -0x3f59 -0xfb3d4a97 -256 -256 -1 -0 -0 -1 -0xc927 -0x489f8767 -256 -256 -0 -0 -0 -2 -0xdcdd -0x85338850 -256 -256 -1 -0 -0 -3 -0x9350 -0x29bbacdc -256 -256 -1 -0 -0 -4 -0x8b48 -0xacd042ae -256 -256 -0 -0 -0 -2 -0x6071 -0xa7d4d532 -256 -256 -0 -0 -0 -2 -0xabdb -0x8cc7503c -256 -256 -1 -0 -0 -1 -0x3509 -0x9727077 -256 -256 -1 -0 -0 -3 -0xbc2e -0xf9b07f5e -256 -256 -1 -0 -0 -2 -0xdbad -0xc4a3771f -256 -256 -0 -0 -0 -1 -0xd7fa -0x4526da79 -256 -256 -1 -0 -0 -3 -0xd9f7 -0x9e533470 -256 -256 -0 -0 -0 -2 -0x5bff -0xad664aa2 -256 -256 -1 -0 -0 -4 -0x32f -0x9a19552f -256 -256 -0 -0 -0 -2 -0x8d6c -0xb746b2d3 -256 -256 -1 -0 -0 -3 -0xef94 -0xee3c8ec8 -256 -256 -1 -0 -0 -3 -0xf0f -0x9c8b424f -256 -256 -1 -0 -0 -1 -0xd79f -0x524783e5 -256 -256 -0 -0 -0 -1 -0xe6f3 -0x357196e7 -256 -256 -0 -0 -0 -1 -0x5a7 -0xcd5621b8 -256 -256 -0 -0 -0 -1 -0x3e95 -0xdc850f81 -256 -256 -1 -0 -0 -3 -0xbf9c -0x3250dd8e -256 -256 -1 -0 -0 -1 -0x925b -0xe5906ae7 -256 -256 -0 -0 -0 -1 -0x13ba -0x3e96c31e -256 -256 -1 -0 -0 -2 -0xacc -0x6606a068 -256 -256 -0 -0 -0 -1 -0x4ca5 -0xabef428b -256 -256 -1 -0 -0 -2 -0x6edd -0x5a1f77eb -256 -256 -1 -0 -0 -2 -0x3a50 -0x48f1c4a0 -256 -256 -1 -0 -0 -4 -0x4bc3 -0x6b4a28b -256 -256 -1 -0 -0 -3 -0x3764 -0x81db0ceb -256 -256 -1 -0 -0 -4 -0x9cfa -0x8a8d4d87 -256 -256 -1 -0 -0 -3 -0x9471 -0xc50a7bc6 -256 -256 -1 -0 -0 -4 -0xf0b4 -0xf75ab0d6 -256 -256 -0 -0 -0 -1 -0x9bc2 -0x18a7b991 -256 -256 -0 -0 -0 -1 -0x3e62 -0x3f2d4f5d -256 -256 -0 -0 -0 -3 -0xb111 -0xc0a47163 -256 -256 -0 -0 -0 -4 -0x16f4 -0x5958868a -256 -256 -1 -0 -0 -2 -0x2c36 -0xa4f5ced -256 -256 -0 -0 -0 -1 -0x9e31 -0x44ce7fa2 -256 -256 -1 -0 -0 -1 -0x6b48 -0x7c9358ca -256 -256 -1 -0 -0 -4 -0x8b29 -0xe2624a47 -256 -256 -0 -0 -0 -3 -0xbf02 -0x4e718d30 -256 -256 -0 -0 -0 -1 -0x7b1b -0x9d15d0ab -256 -256 -0 -0 -0 -2 -0x954c -0x1c975ed2 -256 -256 -1 -0 -0 -3 -0xedac -0xd8ef8023 -256 -256 -1 -0 -0 -2 -0x4b3 -0x37d3af03 -256 -256 -1 -0 -0 -1 -0x9f94 -0xfa0a1f60 -256 -256 -0 -0 -0 -1 -0xd86c -0x95ac695c -256 -256 -0 -0 -0 -1 -0xc5b5 -0x5573771d -256 -256 -0 -0 -0 -4 -0x5946 -0x3302aff0 -256 -256 -1 -0 -0 -2 -0x37d6 -0x558e2318 -256 -256 -1 -0 -0 -2 -0x83be -0x570902fe -256 -256 -1 -0 -0 -2 -0x423e -0x849975b8 -256 -256 -1 -0 -0 -2 -0xf73b -0x3d907581 -256 -256 -1 -0 -0 -1 -0x47e7 -0xdc5e79bc -256 -256 -0 -0 -0 -2 -0xa875 -0xe4b80c45 -256 -256 -0 -0 -0 -2 -0x8dac -0x12add513 -256 -256 -0 -0 -0 -2 -0x45e2 -0x5b43085f -256 -256 -1 -0 -0 -2 -0xa7d2 -0xb1c108b3 -256 -256 -0 -0 -0 -2 -0xe2b7 -0x55ae530a -256 -256 -0 -0 -0 -1 -0xe834 -0xcbb1489b -256 -256 -1 -0 -0 -4 -0x6faa -0xe365d956 -256 -256 -1 -0 -0 -2 -0x166c -0x3d4d6a7c -256 -256 -0 -0 -0 -2 -0x1541 -0xb3fcd3fd -256 -256 -0 -0 -0 -3 -0xedce -0xd8e50cc1 -256 -256 -0 -0 -0 -1 -0x3bff -0x7d7c13e -256 -256 -1 -0 -0 -4 -0xac45 -0x508f7fd1 -256 -256 -1 -0 -0 -1 -0x241c -0x4065c95f -256 -256 -1 -0 -0 -4 -0xa97b -0x67e5b0bf -256 -256 -1 -0 -0 -3 -0x744 -0xcdb51207 -256 -256 -1 -0 -0 -1 -0xe323 -0xf47a451f -256 -256 -0 -0 -0 -3 -0xbe92 -0xdbac517b -256 -256 -0 -0 -0 -2 -0x13e7 -0x46e8efde -256 -256 -1 -0 -0 -2 -0x12b5 -0xc6227cd6 -256 -256 -1 -0 -0 -1 -0x710f -0x86ce5c0e -256 -256 -0 -0 -0 -4 -0xd964 -0x352fcdca -256 -256 -1 -0 -0 -2 -0x637c -0x66b27c35 -256 -256 -0 -0 -0 -4 -0x8aca -0xecc4638 -256 -256 -0 -0 -0 -1 -0xf3f3 -0xcb15f53c -256 -256 -0 -0 -0 -3 -0x7ef0 -0x15b35bcd -256 -256 -1 -0 -0 -4 -0xf78c -0xf7324da -256 -256 -1 -0 -0 -1 -0x4fdd -0xd2175b3b -256 -256 -1 -0 -0 -4 -0x4e92 -0xbed87066 -256 -256 -1 -0 -0 -1 -0xd0e8 -0xcaf57312 -256 -256 -1 -0 -0 -4 -0x9692 -0xd693e474 -256 -256 -1 -0 -0 -2 -0xe1e0 -0x2345390a -256 -256 -0 -0 -0 -2 -0xdacf -0x9686711a -256 -256 -0 -0 -0 -2 -0x6c33 -0xf5797ced -256 -256 -0 -0 -0 -2 -0xf90b -0x56faea0b -256 -256 -1 -0 -0 -2 -0xa8fa -0xe0c8bbf4 -256 -256 -1 -0 -0 -2 -0x7a37 -0x7231bdc -256 -256 -0 -0 -0 -1 -0x2e70 -0x3f2c623a -256 -256 -0 -0 -0 -1 -0x6f69 -0x53c6aad4 -256 -256 -1 -0 -0 -2 -0xd199 -0x3c66b9af -256 -256 -1 -0 -0 -2 -0xd256 -0x75db0f4f -256 -256 -1 -0 -0 -4 -0xb662 -0x4afa1666 -256 -256 -0 -0 -0 -4 -0xdcbc -0x5b58612f -256 -256 -0 -0 -0 -1 -0x2b36 -0xf8058685 -256 -256 -0 -0 -0 -3 -0x6932 -0x4d16a4b4 -256 -256 -0 -0 -0 -1 -0xfa36 -0x629558a -256 -256 -1 -0 -0 -2 -0x3c1e -0xecc8dce4 -256 -256 -0 -0 -0 -3 -0x4386 -0x18a22506 -256 -256 -0 -0 -0 -3 -0x2fff -0x10e623ae -256 -256 -1 -0 -0 -3 -0x739e -0x3c2b149d -256 -256 -0 -0 -0 -3 -0xfa87 -0xfde27a2 -256 -256 -1 -0 -0 -4 -0x47e7 -0xb4dceb4f -256 -256 -1 -0 -0 -2 -0x2d25 -0xc92471e4 -256 -256 -1 -0 -0 -4 -0x2cd4 -0x924280c8 -256 -256 -0 -0 -0 -4 -0x1cbc -0xe88e38b8 -256 -256 -0 -0 -0 -1 -0x128 -0xb6716ecb -256 -256 -1 -0 -0 -2 -0xcbaf -0x2d704fa1 -256 -256 -1 -0 -0 -2 -0xeb9f -0x74a0055 -256 -256 -0 -0 -0 -1 -0x3d2b -0xbcd3557 -256 -256 -1 -0 -0 -1 -0xd99b -0x71647f9b -256 -256 -0 -0 -0 -1 -0xaf35 -0xd8d0de93 -256 -256 -1 -0 -0 -3 -0x7773 -0xa3db66e7 -256 -256 -1 -0 -0 -3 -0x7fd7 -0xc4cdbf8e -256 -256 -1 -0 -0 -4 -0x723a -0x77a0bf11 -256 -256 -1 -0 -0 -3 -0xa8c8 -0x5bc91ad8 -256 -256 -1 -0 -0 -2 -0xa105 -0xb5cc35ff -256 -256 -0 -0 -0 -3 -0x836b -0x1934c47f -256 -256 -1 -0 -0 -1 -0x2ac8 -0xda4a2fc6 -256 -256 -1 -0 -0 -4 -0xd9d6 -0xd5f16cf6 -256 -256 -1 -0 -0 -2 -0xc208 -0x875130f3 -256 -256 -0 -0 -0 -4 -0xa196 -0x31678802 -256 -256 -1 -0 -0 -2 -0x773e -0xbf62dc8 -256 -256 -0 -0 -0 -3 -0xa64e -0xe5f7c596 -256 -256 -0 -0 -0 -3 -0xf6a4 -0x8f624069 -256 -256 -0 -0 -0 -2 -0xc638 -0xffbf3433 -256 -256 -0 -0 -0 -1 -0xf9d5 -0xf9907198 -256 -256 -0 -0 -0 -2 -0xd0c0 -0x54a72364 -256 -256 -1 -0 -0 -4 -0x60ca -0xadfaeb9e -256 -256 -0 -0 -0 -2 -0x3a96 -0x9ff819b2 -256 -256 -0 -0 -0 -4 -0xe30a -0x9e31ef67 -256 -256 -1 -0 -0 -1 -0xb76 -0x4f5afe07 -256 -256 -0 -0 -0 -4 -0x6234 -0xf803feba -256 -256 -0 -0 -0 -1 -0x4cdf -0x4b44c684 -256 -256 -1 -0 -0 -1 -0xd8a4 -0x6ae044de -256 -256 -1 -0 -0 -1 -0x75d3 -0x90583f1f -256 -256 -1 -0 -0 -3 -0x63c1 -0x48812fd0 -256 -256 -0 -0 -0 -4 -0x2c20 -0xc0ccca9b -256 -256 -0 -0 -0 -1 -0x8787 -0xce8bbd1 -256 -256 -0 -0 -0 -2 -0xd840 -0x673457bd -256 -256 -1 -0 -0 -4 -0x9196 -0xa4d6dcdf -256 -256 -1 -0 -0 -4 -0x371b -0x679fa101 -256 -256 -1 -0 -0 -4 -0xc044 -0xe58316b7 -256 -256 -1 -0 -0 -4 -0xc027 -0x1ccfc30a -256 -256 -0 -0 -0 -1 -0x71b0 -0xa91f3d2 -256 -256 -1 -0 -0 -4 -0x9222 -0x78f190b2 -256 -256 -0 -0 -0 -1 -0x316c -0x34e9a337 -256 -256 -0 -0 -0 -2 -0x23de -0x8cb817ad -256 -256 -0 -0 -0 -1 -0xf634 -0xfba6416c -256 -256 -1 -0 -0 -4 -0x80d0 -0x4b25d24e -256 -256 -0 -0 -0 -2 -0x4cb4 -0xe32e79fc -256 -256 -0 -0 -0 -2 -0xf314 -0x8e616971 -256 -256 -0 -0 -0 -2 -0xb043 -0x6753b5f5 -256 -256 -0 -0 -0 -2 -0x7cc6 -0x1b34f7d5 -256 -256 -0 -0 -0 -1 -0xcde5 -0xb8384325 -256 -256 -1 -0 -0 -1 -0xf050 -0xdb8ac6f0 -256 -256 -1 -0 -0 -4 -0x65ef -0xc8cf6648 -256 -256 -0 -0 -0 -2 -0x8bae -0x76a00d32 -256 -256 -0 -0 -0 -4 -0xfc63 -0x54113585 -256 -256 -1 -0 -0 -4 -0x3baa -0xc17ca14a -256 -256 -1 -0 -0 -1 -0xd010 -0xa660dc0a -256 -256 -1 -0 -0 -2 -0x6127 -0xb8122e53 -256 -256 -0 -0 -0 -3 -0x2c73 -0x377fad65 -256 -256 -0 -0 -0 -4 -0xe0ec -0xde49bd23 -256 -256 -0 -0 -0 -4 -0x965e -0x180c8de7 -256 -256 -1 -0 -0 -2 -0x10dc -0x51d019a6 -256 -256 -0 -0 -0 -4 -0x65d9 -0x4e006a6b -256 -256 -0 -0 -0 -3 -0x3a4c -0x3efcb0a9 -256 -256 -1 -0 -0 -1 -0x8732 -0x4d3632bd -256 -256 -0 -0 -0 -1 -0x1b76 -0xb3415a52 -256 -256 -1 -0 -0 -2 -0x4bd9 -0xe96d11aa -256 -256 -0 -0 -0 -3 -0x2c48 -0x462865c9 -256 -256 -0 -0 -0 -1 -0x1b4d -0x2e28ef44 -256 -256 -1 -0 -0 -1 -0xfaf9 -0x27e26b6 -256 -256 -1 -0 -0 -4 -0x36c3 -0xf360b107 -256 -256 -0 -0 -0 -4 -0x179a -0x26d0fd2e -256 -256 -1 -0 -0 -2 -0x3a49 -0x57b880c6 -256 -256 -1 -0 -0 -4 -0x77aa -0x1d5a2e86 -256 -256 -1 -0 -0 -4 -0xad52 -0x90140a53 -256 -256 -0 -0 -0 -1 -0xc849 -0xd6ef4076 -256 -256 -1 -0 -0 -1 -0xe6c2 -0x84503f03 -256 -256 -0 -0 -0 -3 -0x3dd9 -0x80489738 -256 -256 -1 -0 -0 -2 -0x4a9c -0x95454601 -256 -256 -1 -0 -0 -4 -0x121e -0x6611f45 -256 -256 -0 -0 -0 -2 -0xdd85 -0xde329944 -256 -256 -0 -0 -0 -1 -0xcf95 -0xa698b12a -256 -256 -0 -0 -0 -3 -0x9b98 -0xd0e61236 -256 -256 -1 -0 -0 -2 -0x834e -0x91fcb733 -256 -256 -0 -0 -0 -3 -0x21c7 -0x7ec3acd4 -256 -256 -1 -0 -0 -1 -0x1c10 -0xde5abd19 -256 -256 -1 -0 -0 -4 -0xa66c -0xe5eb245b -256 -256 -1 -0 -0 -2 -0x3aa8 -0x8c9ddea1 -256 -256 -0 -0 -0 -3 -0x385f -0x1673b43e -256 -256 -1 -0 -0 -3 -0xce96 -0x7eacb2fd -256 -256 -0 -0 -0 -2 -0x23ea -0x5ce966f7 -256 -256 -0 -0 -0 -2 -0x9f98 -0xa74fdea3 -256 -256 -1 -0 -0 -1 -0xa2d7 -0x6d32370b -256 -256 -0 -0 -0 -2 -0x9eb4 -0x4c40dfd7 -256 -256 -0 -0 -0 -1 -0xe6cc -0xaaaea262 -256 -256 -1 -0 -0 -4 -0xc67e -0x2f809f4e -256 -256 -1 -0 -0 -1 -0x756e -0x214ae124 -256 -256 -1 -0 -0 -1 -0xba88 -0xbbf97013 -256 -256 -0 -0 -0 -1 -0x287e -0x77b68734 -256 -256 -1 -0 -0 -3 -0xc86e -0x36b88b6 -256 -256 -0 -0 -0 -3 -0x7d78 -0x6a224549 -256 -256 -1 -0 -0 -2 -0x592f -0xd22004b1 -256 -256 -0 -0 -0 -3 -0x8d46 -0x1338952b -256 -256 -0 -0 -0 -4 -0x7271 -0x1a373e93 -256 -256 -1 -0 -0 -3 -0xcc91 -0x765eabcb -256 -256 -1 -0 -0 -2 -0xc655 -0x7a7951e5 -256 -256 -0 -0 -0 -2 -0xa7f7 -0x73227dd7 -256 -256 -1 -0 -0 -4 -0x15f9 -0x2341b5c3 -256 -256 -0 -0 -0 -2 -0x2845 -0xedb28a8a -256 -256 -0 -0 -0 -4 -0xbc97 -0x98bb2f2a -256 -256 -1 -0 -0 -3 -0xea54 -0x5cf1cf3c -256 -256 -1 -0 -0 -1 -0xce7d -0x599ef99b -256 -256 -1 -0 -0 -2 -0xe761 -0xa6a4bc7c -256 -256 -0 -0 -0 -4 -0x74e8 -0x98633ebb -256 -256 -0 -0 -0 -2 -0x40b6 -0xe6d706a9 -256 -256 -1 -0 -0 -3 -0xba23 -0xbdbe28ff -256 -256 -1 -0 -0 -4 -0x8bf8 -0x71b1afac -256 -256 -0 -0 -0 -1 -0x9e9b -0x4ee9d172 -256 -256 -0 -0 -0 -2 -0xed5 -0x8ff4b179 -256 -256 -0 -0 -0 -2 -0xc338 -0xf621ffa4 -256 -256 -0 -0 -0 -1 -0x910d -0x68c1b35d -256 -256 -1 -0 -0 -4 -0xb0af -0x8386d7e0 -256 -256 -0 -0 -0 -3 -0x2119 -0x1fd40cd4 -256 -256 -0 -0 -0 -3 -0x4a75 -0x8ecd835b -256 -256 -1 -0 -0 -3 -0x44dc -0xa70395d8 -256 -256 -0 -0 -0 -2 -0xdccf -0x77a82ee0 -256 -256 -1 -0 -0 -3 -0x946d -0xd83b2094 -256 -256 -1 -0 -0 -1 -0x5457 -0x3dea349f -256 -256 -0 -0 -0 -2 -0x9b1b -0x559f703a -256 -256 -1 -0 -0 -4 -0x286f -0xe6b8eda2 -256 -256 -0 -0 -0 -2 -0xa68f -0x17867681 -256 -256 -0 -0 -0 -3 -0xee76 -0xcef641ae -256 -256 -0 -0 -0 -4 -0xa8ef -0xb81834b0 -256 -256 -0 -0 -0 -4 -0x5c1d -0xa51c7566 -256 -256 -1 -0 -0 -3 -0xe594 -0xc9cd68ab -256 -256 -0 -0 -0 -2 -0x50f3 -0x258cc525 -256 -256 -1 -0 -0 -3 -0x91ad -0x3df2a829 -256 -256 -0 -0 -0 -3 -0x7830 -0x3a9a12a7 -256 -256 -1 -0 -0 -1 -0x2d78 -0x7d0088ce -256 -256 -0 -0 -0 -4 -0x9b34 -0xcfe38cac -256 -256 -1 -0 -0 -4 -0x3fb0 -0xc20103ff -256 -256 -1 -0 -0 -1 -0x9ccd -0xd4e6585f -256 -256 -1 -0 -0 -1 -0x1b02 -0xe75e947a -256 -256 -0 -0 -0 -2 -0x9058 -0x3f8380e9 -256 -256 -1 -0 -0 -4 -0x4cff -0x9af7b9f4 -256 -256 -0 -0 -0 -1 -0xaf2b -0x965efb87 -256 -256 -1 -0 -0 -1 -0x8b5c -0x325d8bde -256 -256 -0 -0 -0 -2 -0xce14 -0x18b75dcf -256 -256 -1 -0 -0 -1 -0xd9a5 -0x65f9d075 -256 -256 -0 -0 -0 -2 -0x2d0a -0x2f46aa8b -256 -256 -0 -0 -0 -4 -0xf9be -0x4d2f79c0 -256 -256 -1 -0 -0 -4 -0x330a -0x9fa50977 -256 -256 -0 -0 -0 -3 -0x5267 -0x2b32e04a -256 -256 -1 -0 -0 -1 -0xd843 -0x7594d666 -256 -256 -0 -0 -0 -3 -0xdb13 -0xd2489292 -256 -256 -0 -0 -0 -4 -0x8eb9 -0xd7154519 -256 -256 -0 -0 -0 -1 -0xa38d -0xe3610b08 -256 -256 -0 -0 -0 -4 -0x3433 -0xab46c146 -256 -256 -1 -0 -0 -4 -0x4ed3 -0x71d57cc1 -256 -256 -1 -0 -0 -4 -0x18d6 -0x7c5958be -256 -256 -1 -0 -0 -3 -0xdd1b -0x9e764475 -256 -256 -0 -0 -0 -3 -0x5755 -0x1ee93fd1 -256 -256 -1 -0 -0 -3 -0x5a3c -0x19ddc803 -256 -256 -0 -0 -0 -4 -0xbb66 -0x81733a52 -256 -256 -0 -0 -0 -2 -0x59dd -0xd3e3a65a -256 -256 -0 -0 -0 -4 -0xc335 -0xbe470bf5 -256 -256 -0 -0 -0 -4 -0x717 -0xcedd29da -256 -256 -0 -0 -0 -2 -0xad0e -0xcfe2aebc -256 -256 -0 -0 -0 -4 -0x71f1 -0xfec84779 -256 -256 -1 -0 -0 -2 -0x31d9 -0x3bcee76b -256 -256 -1 -0 -0 -3 -0x958 -0xe1d6187a -256 -256 -0 -0 -0 -1 -0x3e19 -0x90cdd8d1 -256 -256 -0 -0 -0 -1 -0x2d82 -0xcb34a20 -256 -256 -1 -0 -0 -1 -0x89c5 -0xdf6e266f -256 -256 -1 -0 -0 -1 -0xd4b0 -0xe6565148 -256 -256 -1 -0 -0 -1 -0xe191 -0xb67d7722 -256 -256 -0 -0 -0 -2 -0x2328 -0x30febc22 -256 -256 -0 -0 -0 -2 -0x8184 -0x2ea3b7bf -256 -256 -1 -0 -0 -3 -0x4750 -0x80632e17 -256 -256 -1 -0 -0 -1 -0x20fc -0x2f8ff29b -256 -256 -1 -0 -0 -1 -0xf65 -0x9892bfd9 -256 -256 -0 -0 -0 -2 -0xce94 -0x7c6190f8 -256 -256 -1 -0 -0 -2 -0x72ca -0x24546ea4 -256 -256 -0 -0 -0 -2 -0x7580 -0x672be8fb -256 -256 -1 -0 -0 -2 -0x7d2f -0x7ac1c4d4 -256 -256 -1 -0 -0 -1 -0x381f -0x2f562d1f -256 -256 -1 -0 -0 -2 -0xf80f -0x6860766e -256 -256 -0 -0 -0 -1 -0x3e9e -0x6f18bc29 -256 -256 -1 -0 -0 -3 -0x38d4 -0xf94a54d1 -256 -256 -0 -0 -0 -1 -0xc3c3 -0xf5ca2957 -256 -256 -0 -0 -0 -1 -0xbc08 -0xbc7c4166 -256 -256 -0 -0 -0 -1 -0xe17b -0x5783e74a -256 -256 -1 -0 -0 -3 -0xac85 -0xd462d1f5 -256 -256 -0 -0 -0 -2 -0xc889 -0xb2c8340a -256 -256 -1 -0 -0 -4 -0x7c3 -0x299755be -256 -256 -1 -0 -0 -3 -0x65e5 -0xea4d0f18 -256 -256 -1 -0 -0 -4 -0x577e -0x583b10ef -256 -256 -1 -0 -0 -1 -0x4865 -0xc511c52 -256 -256 -1 -0 -0 -1 -0x4662 -0xf22fee36 -256 -256 -1 -0 -0 -3 -0xfd0e -0x957de220 -256 -256 -0 -0 -0 -1 -0x28a5 -0xdc830b8b -256 -256 -1 -0 -0 -2 -0xefd5 -0xa28b2cd8 -256 -256 -0 -0 -0 -4 -0xb076 -0x28dd42ee -256 -256 -0 -0 -0 -4 -0xbf18 -0xe6046f9b -256 -256 -0 -0 -0 -1 -0xd48a -0x264027d2 -256 -256 -0 -0 -0 -2 -0xab7d -0xdfcb0d54 -256 -256 -1 -0 -0 -1 -0xe2c4 -0xd3b4e7a3 -256 -256 -1 -0 -0 -2 -0x443b -0xd1e58620 -256 -256 -1 -0 -0 -4 -0x9c98 -0xbb41fae4 -256 -256 -1 -0 -0 -3 -0x328 -0xb771733f -256 -256 -1 -0 -0 -1 -0x403f -0x7c567407 -256 -256 -0 -0 -0 -3 -0xcf8e -0xf6adedbb -256 -256 -0 -0 -0 -2 -0x5f6e -0x16365a2c -256 -256 -0 -0 -0 -4 -0xe7d3 -0x682ce5b3 -256 -256 -0 -0 -0 -3 -0xc33a -0x55aaba4a -256 -256 -0 -0 -0 -3 -0x6c07 -0x84cf9d36 -256 -256 -0 -0 -0 -4 -0x9473 -0xb8e8c02f -256 -256 -1 -0 -0 -4 -0xae80 -0x4f2f7f8e -256 -256 -0 -0 -0 -2 -0x1ca3 -0x8017c63d -256 -256 -1 -0 -0 -3 -0xdcaa -0xda6e2936 -256 -256 -1 -0 -0 -3 -0x2c92 -0x7cad23f3 -256 -256 -1 -0 -0 -2 -0xbd16 -0x7ceccb3d -256 -256 -0 -0 -0 -1 -0xc22 -0x5301dcc4 -256 -256 -0 -0 -0 -3 -0xe53e -0x7aa89f41 -256 -256 -1 -0 -0 -2 -0xe173 -0x25b0d1ab -256 -256 -1 -0 -0 -4 -0xeffb -0x69eadd0e -256 -256 -0 -0 -0 -4 -0x584f -0xb73162cf -256 -256 -0 -0 -0 -3 -0x7ea5 -0x86f08276 -256 -256 -1 -0 -0 -2 -0x408b -0xc725c631 -256 -256 -1 -0 -0 -1 -0x2421 -0x20341b1a -256 -256 -1 -0 -0 -4 -0x11ff -0xe692b8fa -256 -256 -0 -0 -0 -2 -0x9270 -0x1f63a865 -256 -256 -0 -0 -0 -2 -0xcece -0x5432d7a5 -256 -256 -1 -0 -0 -2 -0x5b67 -0x76deddbb -256 -256 -1 -0 -0 -1 -0x57af -0xa345efa9 -256 -256 -0 -0 -0 -1 -0x2387 -0xe1ffd842 -256 -256 -0 -0 -0 -3 -0xa67e -0x900bd5f5 -256 -256 -1 -0 -0 -3 -0x915a -0x9f8113e8 -256 -256 -1 -0 -0 -2 -0x5343 -0xc44463b1 -256 -256 -0 -0 -0 -3 -0x7746 -0x837257be -256 -256 -1 -0 -0 -4 -0xb838 -0x29900996 -256 -256 -1 -0 -0 -4 -0xa1e5 -0xe7bf1808 -256 -256 -0 -0 -0 -1 -0x3713 -0xb106cc11 -256 -256 -1 -0 -0 -2 -0x8676 -0xaf11b9b3 -256 -256 -0 -0 -0 -2 -0x5864 -0xefc87bb8 -256 -256 -0 -0 -0 -1 -0xf60c -0xb815af3a -256 -256 -0 -0 -0 -1 -0x2c4a -0x6c3578e7 -256 -256 -1 -0 -0 -4 -0xb655 -0x1f491378 -256 -256 -0 -0 -0 -1 -0x5a90 -0x18726c55 -256 -256 -0 -0 -0 -3 -0x6cfe -0xc8c404fb -256 -256 -1 -0 -0 -3 -0xeca8 -0x2a06bc78 -256 -256 -1 -0 -0 -2 -0x2844 -0x4ed48d46 -256 -256 -1 -0 -0 -3 -0x8dc8 -0x6c333ec5 -256 -256 -0 -0 -0 -2 -0xc65a -0xfb01ebde -256 -256 -1 -0 -0 -2 -0xddda -0xdc3adf81 -256 -256 -1 -0 -0 -3 -0x7772 -0xa3c38dd -256 -256 -0 -0 -0 -2 -0x1758 -0x229ffde5 -256 -256 -0 -0 -0 -2 -0x4caf -0x9ac364b4 -256 -256 -1 -0 -0 -4 -0x9e2f -0xa1d94836 -256 -256 -0 -0 -0 -2 -0xef87 -0x95f6cfe0 -256 -256 -1 -0 -0 -1 -0xcaf5 -0xa911a942 -256 -256 -1 -0 -0 -2 -0x7a8f -0x4d921e14 -256 -256 -1 -0 -0 -2 -0xe99f -0x92c0b583 -256 -256 -0 -0 -0 -4 -0x90dc -0xdd5674e8 -256 -256 -1 -0 -0 -2 -0x17f0 -0x410cde08 -256 -256 -0 -0 -0 -3 -0xcce1 -0x2b0b3e52 -256 -256 -0 -0 -0 -2 -0x7d74 -0x9e4c38fa -256 -256 -0 -0 -0 -3 -0xe093 -0xc72e744 -256 -256 -1 -0 -0 -4 -0xad1c -0xd5d95e25 -256 -256 -0 -0 -0 -1 -0xd943 -0x3e7004c -256 -256 -0 -0 -0 -3 -0x3180 -0xee32025d -256 -256 -1 -0 -0 -2 -0xeb57 -0xd3bece5 -256 -256 -0 -0 -0 -2 -0x2294 -0x292717a8 -256 -256 -1 -0 -0 -1 -0xb9e0 -0x26da4c9a -256 -256 -0 -0 -0 -1 -0x779b -0x50c8dd47 -256 -256 -0 -0 -0 -4 -0x8703 -0xe6af6fa0 -256 -256 -1 -0 -0 -3 -0xd804 -0x1bfa1b03 -256 -256 -0 -0 -0 -1 -0x293a -0x5a467e59 -256 -256 -0 -0 -0 -3 -0x7bd4 -0x4c5568ff -256 -256 -0 -0 -0 -4 -0x6db4 -0x1d7a8a3 -256 -256 -1 -0 -0 -3 -0x4ed7 -0xd228cda4 -256 -256 -0 -0 -0 -4 -0x53f8 -0xd3223dd6 -256 -256 -1 -0 -0 -3 -0x4c35 -0xd35e432f -256 -256 -1 -0 -0 -4 -0xdde9 -0xb1a4cc93 -256 -256 -0 -0 -0 -3 -0xc94e -0xc3140dcb -256 -256 -0 -0 -0 -3 -0xfde1 -0xd506f28a -256 -256 -1 -0 -0 -4 -0x8044 -0x91946eef -256 -256 -1 -0 -0 -1 -0xd8ac -0xd30ca645 -256 -256 -1 -0 -0 -4 -0x9277 -0xbd7ec0d1 -256 -256 -0 -0 -0 -3 -0x857d -0x1a8a611 -256 -256 -0 -0 -0 -4 -0x3031 -0xfced3fc -256 -256 -1 -0 -0 -2 -0x4cee -0x48ac7868 -256 -256 -1 -0 -0 -4 -0xf612 -0x850a7fbe -256 -256 -1 -0 -0 -1 -0xb0c -0x1837423b -256 -256 -0 -0 -0 -4 -0xccec -0x1261670a -256 -256 -1 -0 -0 -4 -0xec0e -0x727b0284 -256 -256 -1 -0 -0 -3 -0x4534 -0x98facece -256 -256 -1 -0 -0 -1 -0xb80e -0xa9f0af22 -256 -256 -1 -0 -0 -3 -0x6d05 -0x35f38e07 -256 -256 -1 -0 -0 -1 -0x69bc -0x4cb707b5 -256 -256 -1 -0 -0 -3 -0x7190 -0xc3c672dd -256 -256 -0 -0 -0 -1 -0x66a5 -0x2fd7c33a -256 -256 -1 -0 -0 -3 -0x5211 -0xad63639b -256 -256 -0 -0 -0 -3 -0xaa8c -0xfbde4fdd -256 -256 -1 -0 -0 -1 -0x70e5 -0x88903e3 -256 -256 -1 -0 -0 -2 -0xdca1 -0xb7258fac -256 -256 -0 -0 -0 -1 -0xca18 -0x898d079f -256 -256 -1 -0 -0 -3 -0xb53d -0xd783434 -256 -256 -1 -0 -0 -3 -0xa617 -0x4847ccc4 -256 -256 -0 -0 -0 -1 -0x97c -0xd8c28044 -256 -256 -0 -0 -0 -1 -0xcae0 -0x5e87c235 -256 -256 -1 -0 -0 -4 -0x1c -0xa1ca1ac8 -256 -256 -1 -0 -0 -2 -0xf287 -0xd66f3245 -256 -256 -0 -0 -0 -2 -0x83f -0x6e0d4ed2 -256 -256 -0 -0 -0 -4 -0x27cb -0x8c1cdc17 -256 -256 -1 -0 -0 -4 -0x1c6 -0xe53670d0 -256 -256 -1 -0 -0 -4 -0x72da -0x67acd24a -256 -256 -1 -0 -0 -3 -0x62ad -0x969710fd -256 -256 -1 -0 -0 -3 -0xc1e -0xd27e47dd -256 -256 -0 -0 -0 -2 -0x428d -0x66d32051 -256 -256 -1 -0 -0 -4 -0x70be -0x540051d5 -256 -256 -0 -0 -0 -1 -0x3154 -0x456118c4 -256 -256 -0 -0 -0 -4 -0x9f41 -0x470b6baa -256 -256 -1 -0 -0 -4 -0xdf71 -0xc13e2cd3 -256 -256 -1 -0 -0 -4 -0xc03b -0x9aff50a4 -256 -256 -1 -0 -0 -2 -0xce34 -0xdbca8746 -256 -256 -0 -0 -0 -1 -0x3f30 -0x9eeaa340 -256 -256 -0 -0 -0 -1 -0x417 -0x52dd49de -256 -256 -1 -0 -0 -2 -0x647c -0xb65312d3 -256 -256 -1 -0 -0 -2 -0x53c1 -0x1fac2614 -256 -256 -1 -0 -0 -4 -0xa56f -0xb5586a92 -256 -256 -0 -0 -0 -4 -0x533f -0x919edb3d -256 -256 -1 -0 -0 -1 -0x3dc6 -0xb42e220e -256 -256 -1 -0 -0 -2 -0x1b43 -0xa02f1a35 -256 -256 -1 -0 -0 -4 -0x730e -0xd910479d -256 -256 -1 -0 -0 -4 -0xe1 -0x5e68d408 -256 -256 -0 -0 -0 -4 -0xceee -0x813216a7 -256 -256 -0 -0 -0 -3 -0xd2ef -0xa5044b2c -256 -256 -1 -0 -0 -3 -0x85b1 -0x97b6fdd6 -256 -256 -0 -0 -0 -2 -0x630 -0x2b74dec9 -256 -256 -1 -0 -0 -4 -0xbe5d -0x498f1a7c -256 -256 -1 -0 -0 -3 -0x72e2 -0x84b299fd -256 -256 -1 -0 -0 -3 -0xa0e6 -0x472984d1 -256 -256 -1 -0 -0 -1 -0x4988 -0x8cd56c20 -256 -256 -1 -0 -0 -3 -0x1112 -0x75b2bcc0 -256 -256 -0 -0 -0 -4 -0x4767 -0xaff4c0a4 -256 -256 -0 -0 -0 -2 -0xaf2 -0x2bab8189 -256 -256 -0 -0 -0 -1 -0x42ac -0x3811580f -256 -256 -1 -0 -0 -4 -0xee2c -0xd1f19200 -256 -256 -1 -0 -0 -1 -0xfbf9 -0x48fef472 -256 -256 -1 -0 -0 -2 -0x9e95 -0xbb20d9cb -256 -256 -1 -0 -0 -1 -0x3b8c -0xa0580279 -256 -256 -1 -0 -0 -3 -0xebac -0x1a6a8f12 -256 -256 -1 -0 -0 -4 -0xc99a -0xc5d74b81 -256 -256 -0 -0 -0 -4 -0xe6c5 -0x8ba83b9 -256 -256 -0 -0 -0 -1 -0x5b2b -0xf689511b -256 -256 -1 -0 -0 -3 -0x3f71 -0xccde5f24 -256 -256 -1 -0 -0 -4 -0xe536 -0x866fada8 -256 -256 -1 -0 -0 -3 -0xf69 -0x77a09401 -256 -256 -0 -0 -0 -3 -0x7336 -0x4b2bce03 -256 -256 -0 -0 -0 -3 -0x3404 -0xc038e9af -256 -256 -1 -0 -0 -3 -0x1e99 -0xb4fd9cf1 -256 -256 -0 -0 -0 -1 -0x9282 -0xf398876a -256 -256 -1 -0 -0 -4 -0x8777 -0x3b0b247d -256 -256 -1 -0 -0 -1 -0xb329 -0xb1e8d16 -256 -256 -0 -0 -0 -2 -0xdd7c -0xd1c011b7 -256 -256 -1 -0 -0 -1 -0x1dd5 -0xeb936f08 -256 -256 -1 -0 -0 -3 -0x6120 -0x648df82a -256 -256 -0 -0 -0 -4 -0x3c23 -0x59fc5b1a -256 -256 -0 -0 -0 -3 -0xe7dc -0x1a692416 -256 -256 -1 -0 -0 -1 -0x4a76 -0x864656ff -256 -256 -1 -0 -0 -4 -0x406 -0x9157657 -256 -256 -1 -0 -0 -3 -0xb4fe -0xffa95915 -256 -256 -0 -0 -0 -3 -0x458f -0x73ab4cb3 -256 -256 -0 -0 -0 -1 -0x8a23 -0x69660f15 -256 -256 -1 -0 -0 -1 -0x8c -0x59d36bfe -256 -256 -0 -0 -0 -4 -0xc1da -0x8f5cc571 -256 -256 -0 -0 -0 -4 -0x7733 -0xf4a444e4 -256 -256 -1 -0 -0 -2 -0xd4c7 -0x3dd158 -256 -256 -1 -0 -0 -3 -0xeec7 -0xb1699839 -256 -256 -1 -0 -0 -3 -0x1bea -0x1db8326b -256 -256 -1 -0 -0 -1 -0xbfb6 -0x681c8140 -256 -256 -0 -0 -0 -2 -0xa923 -0x68006b7 -256 -256 -0 -0 -0 -3 -0xd377 -0xf60693a -256 -256 -1 -0 -0 -2 -0x5890 -0xb7ff1712 -256 -256 -0 -0 -0 -3 -0x435a -0x5a8d35d1 -256 -256 -0 -0 -0 -1 -0xd724 -0xe85cf606 -256 -256 -1 -0 -0 -4 -0x9a9f -0xad2fb31d -256 -256 -0 -0 -0 -2 -0xbcf8 -0x101b817a -256 -256 -0 -0 -0 -1 -0xa34c -0x1b1ff505 -256 -256 -0 -0 -0 -3 -0x2f67 -0xa026c0f2 -256 -256 -1 -0 -0 -4 -0x9592 -0x6c482abf -256 -256 -1 -0 -0 -3 -0x86a2 -0x79e40580 -256 -256 -1 -0 -0 -3 -0x6166 -0x9712d7d9 -256 -256 -0 -0 -0 -2 -0x5394 -0x862616a2 -256 -256 -0 -0 -0 -4 -0xb715 -0xaad207ad -256 -256 -0 -0 -0 -3 -0xa629 -0x41a0e40c -256 -256 -0 -0 -0 -3 -0x6e56 -0x43f721fb -256 -256 -0 -0 -0 -3 -0xbfb4 -0x92d0da83 -256 -256 -1 -0 -0 -1 -0xa549 -0x68dc5d00 -256 -256 -1 -0 -0 -1 -0x6667 -0x8b8d75b9 -256 -256 -0 -0 -0 -4 -0xc07e -0x4b9c13e6 -256 -256 -0 -0 -0 -3 -0xf117 -0xe775001a -256 -256 -1 -0 -0 -4 -0x8878 -0x7ba095fe -256 -256 -0 -0 -0 -4 -0xd73a -0x4ddff8fe -256 -256 -0 -0 -0 -4 -0x8a7b -0xf9b3f4e1 -256 -256 -1 -0 -0 -2 -0xc2a3 -0xb6d1910c -256 -256 -1 -0 -0 -2 -0x230b -0xb84e62a2 -256 -256 -0 -0 -0 -2 -0xb7d2 -0x1f23a468 -256 -256 -0 -0 -0 -2 -0xf7da -0xf6b17280 -256 -256 -0 -0 -0 -1 -0xecfd -0x2487b5b8 -256 -256 -0 -0 -0 -4 -0x4515 -0x365fd193 -256 -256 -1 -0 -0 -4 -0xa856 -0xfce1f114 -256 -256 -0 -0 -0 -2 -0xf2e2 -0xcae661ea -256 -256 -0 -0 -0 -4 -0x58c0 -0xd954e229 -256 -256 -0 -0 -0 -1 -0x5397 -0x5eb18c9 -256 -256 -0 -0 -0 -1 -0x5811 -0xca4615cf -256 -256 -1 -0 -0 -1 -0x1687 -0xfab626a7 -256 -256 -1 -0 -0 -1 -0x5d84 -0xc846f791 -256 -256 -0 -0 -0 -2 -0x3cb7 -0x87d11810 -256 -256 -0 -0 -0 -4 -0xffe8 -0x166f9b6f -256 -256 -1 -0 -0 -4 -0x48af -0xe0a8412a -256 -256 -1 -0 -0 -2 -0xfe75 -0x4f683238 -256 -256 -0 -0 -0 -2 -0x529e -0xc1ed1001 -256 -256 -1 -0 -0 -2 -0x4ed2 -0xdc1b3d31 -256 -256 -0 -0 -0 -3 -0xb340 -0xb257f389 -256 -256 -0 -0 -0 -4 -0xe116 -0x32b0ed9b -256 -256 -1 -0 -0 -1 -0x7a93 -0x4f8fb658 -256 -256 -1 -0 -0 -3 -0x6ddd -0xe9b3177a -256 -256 -0 -0 -0 -2 -0x24ab -0xc01f2666 -256 -256 -1 -0 -0 -3 -0xff20 -0xa5135c72 -256 -256 -0 -0 -0 -1 -0xf875 -0xacd51fe8 -256 -256 -1 -0 -0 -2 -0xf3c7 -0x84815579 -256 -256 -0 -0 -0 -4 -0xcb05 -0x4ec5b3b5 -256 -256 -0 -0 -0 -2 -0x6904 -0x5ce5eb65 -256 -256 -0 -0 -0 -4 -0xbe06 -0x2f916748 -256 -256 -1 -0 -0 -1 -0x273 -0xc6e90d1f -256 -256 -1 -0 -0 -4 -0x52f -0x5dc2e748 -256 -256 -0 -0 -0 -4 -0xf03 -0xde6d74f1 -256 -256 -1 -0 -0 -1 -0x405b -0xd921358a -256 -256 -0 -0 -0 -2 -0x2a20 -0xee83e3b9 -256 -256 -1 -0 -0 -4 -0x756c -0x3f84084e -256 -256 -1 -0 -0 -4 -0xf082 -0x42383116 -256 -256 -1 -0 -0 -1 -0xedc5 -0xc25e30fc -256 -256 -1 -0 -0 -1 -0x8e06 -0xdae05a5a -256 -256 -1 -0 -0 -4 -0x5dc -0x3aa42406 -256 -256 -1 -0 -0 -2 -0x30f2 -0xbc8d04f4 -256 -256 -0 -0 -0 -3 -0x8682 -0xd3bba944 -256 -256 -1 -0 -0 -1 -0xe203 -0x77c49495 -256 -256 -0 -0 -0 -3 -0x9113 -0x81d50a8e -256 -256 -0 -0 -0 -3 -0x974f -0x426896b4 -256 -256 -0 -0 -0 -4 -0xa9b9 -0x342a98f1 -256 -256 -0 -0 -0 -3 -0x46f6 -0x3c0a1744 -256 -256 -0 -0 -0 -1 -0x51f2 -0x4027d637 -256 -256 -1 -0 -0 -4 -0x6f35 -0xe199a7d4 -256 -256 -1 -0 -0 -1 -0xffd1 -0x82a28cf5 -256 -256 -0 -0 -0 -4 -0xdaea -0x9d4146c3 -256 -256 -0 -0 -0 -2 -0x2a2d -0x7e98de5f -256 -256 -1 -0 -0 -1 -0x1da3 -0x1c51ff42 -256 -256 -0 -0 -0 -4 -0xcb32 -0x74c03935 -256 -256 -0 -0 -0 -2 -0x7a08 -0xcb10e2f6 -256 -256 -1 -0 -0 -4 -0x4366 -0x20209f9d -256 -256 -0 -0 -0 -4 -0xf51c -0x53b61620 -256 -256 -0 -0 -0 -3 -0xc63b -0x6a89200c -256 -256 -0 -0 -0 -3 -0xc426 -0x8a489c4 -256 -256 -1 -0 -0 -3 -0x9843 -0xdc511300 -256 -256 -0 -0 -0 -3 -0x9808 -0x62d18743 -256 -256 -0 -0 -0 -4 -0x8bb6 -0xd4f155eb -256 -256 -1 -0 -0 -1 -0xc174 -0x64eb3089 -256 -256 -0 -0 -0 -3 -0xac08 -0x984c7ff6 -256 -256 -0 -0 -0 -3 -0x3afa -0xdbc14fd6 -256 -256 -0 -0 -0 -4 -0x544f -0x7b1e5eaf -256 -256 -0 -0 -0 -1 -0xa32e -0x702d615 -256 -256 -1 -0 -0 -1 -0x49cf -0x91417f47 -256 -256 -1 -0 -0 -4 -0xc5ff -0x3f6166b -256 -256 -1 -0 -0 -3 -0xcad2 -0xaeaddd70 -256 -256 -0 -0 -0 -1 -0xcdf9 -0x39674e42 -256 -256 -0 -0 -0 -1 -0x33d9 -0x150397a6 -256 -256 -0 -0 -0 -1 -0x8f0e -0x5d183fef -256 -256 -0 -0 -0 -2 -0x6f77 -0x87bbe72d -256 -256 -1 -0 -0 -3 -0x51b1 -0xe2776f75 -256 -256 -1 -0 -0 -4 -0x852a -0xe1bba4c7 -256 -256 -0 -0 -0 -4 -0xf832 -0x3598ce11 -256 -256 -1 -0 -0 -1 -0x70de -0x5486f528 -256 -256 -1 -0 -0 -2 -0x10d9 -0xdbebe440 -256 -256 -0 -0 -0 -3 -0x4a94 -0x8379167b -256 -256 -1 -0 -0 -2 -0xdd1f -0x16cc7f44 -256 -256 -0 -0 -0 -4 -0x3c46 -0xdd555ad3 -256 -256 -1 -0 -0 -3 -0xd590 -0x6e9886ac -256 -256 -1 -0 -0 -3 -0x6d0c -0x682ad7ee -256 -256 -0 -0 -0 -2 -0x19f6 -0x2f07a289 -256 -256 -0 -0 -0 -3 -0xe72f -0xa96a112e -256 -256 -0 -0 -0 -1 -0x48a4 -0xb1b6cd52 -256 -256 -1 -0 -0 -4 -0x3da8 -0x3530f31f -256 -256 -0 -0 -0 -3 -0x7bab -0x9be1e67c -256 -256 -0 -0 -0 -3 -0xfb -0x13442157 -256 -256 -0 -0 -0 -3 -0xd45f -0x96de6d2e -256 -256 -1 -0 -0 -3 -0x3e62 -0xc2926ab0 -256 -256 -0 -0 -0 -1 -0xaad0 -0x778ab9a -256 -256 -0 -0 -0 -2 -0xebc9 -0x47f304c8 -256 -256 -0 -0 -0 -4 -0xb818 -0x392e9c9c -256 -256 -0 -0 -0 -2 -0xed3 -0xdefbe9bc -256 -256 -1 -0 -0 -3 -0x86bd -0x360f02ad -256 -256 -1 -0 -0 -1 -0xf4aa -0x65948823 -256 -256 -1 -0 -0 -1 -0x7258 -0xeeb18188 -256 -256 -1 -0 -0 -3 -0xeb53 -0xca8414ea -256 -256 -1 -0 -0 -2 -0x3d1e -0x1043ae43 -256 -256 -0 -0 -0 -4 -0x3ee2 -0x4f89211b -256 -256 -0 -0 -0 -2 -0x28b4 -0x3bbdc458 -256 -256 -0 -0 -0 -1 -0xe1d0 -0xa037b5a4 -256 -256 -1 -0 -0 -1 -0x2226 -0xdfdf566e -256 -256 -0 -0 -0 -2 -0x52e0 -0x2d023d5a -256 -256 -0 -0 -0 -1 -0x33cd -0x81866d21 -256 -256 -0 -0 -0 -2 -0xfb10 -0x5d771e5b -256 -256 -0 -0 -0 -4 -0x27e5 -0x60a598fb -256 -256 -1 -0 -0 -4 -0x97d4 -0x7b7b9061 -256 -256 -1 -0 -0 -1 -0x8d87 -0x4c5693fa -256 -256 -1 -0 -0 -1 -0x12da -0x20b106a1 -256 -256 -1 -0 -0 -1 -0x2e20 -0x43d62465 -256 -256 -0 -0 -0 -2 -0x7ae -0x1356fa74 -256 -256 -1 -0 -0 -1 -0xaf10 -0x9919fa63 -256 -256 -0 -0 -0 -2 -0xf3e2 -0x3bafe3 -256 -256 -0 -0 -0 -2 -0xabcd -0xc8a4ff13 -256 -256 -0 -0 -0 -3 -0xe5d7 -0x2e31fe69 -256 -256 -1 -0 -0 -2 -0xc0a1 -0x32645098 -256 -256 -1 -0 -0 -1 -0x1c60 -0x35b3f7e0 -256 -256 -0 -0 -0 -1 -0xe38a -0x7423b2a2 -256 -256 -1 -0 -0 -3 -0x6db9 -0x755509ac -256 -256 -1 -0 -0 -2 -0x282d -0xaa9f94c2 -256 -256 -1 -0 -0 -4 -0x6b50 -0x5b63de45 -256 -256 -1 -0 -0 -1 -0x844b -0x48ad2b1b -256 -256 -1 -0 -0 -3 -0xbbe3 -0x64ad6936 -256 -256 -1 -0 -0 -3 -0x68c5 -0xde588d5a -256 -256 -1 -0 -0 -3 -0x6b22 -0xac2fb04a -256 -256 -1 -0 -0 -1 -0xd336 -0xf096bf7d -256 -256 -1 -0 -0 -1 -0x9dc7 -0x570f0e4f -256 -256 -0 -0 -0 -2 -0x54ab -0xd0869d59 -256 -256 -1 -0 -0 -3 -0x840d -0x99f43819 -256 -256 -1 -0 -0 -1 -0x90ee -0x25e1e40b -256 -256 -0 -0 -0 -1 -0xf896 -0x5180260f -256 -256 -0 -0 -0 -2 -0x8789 -0xe2d4d328 -256 -256 -1 -0 -0 -1 -0x4dd1 -0x8df35913 -256 -256 -1 -0 -0 -2 -0x79be -0xe331b3cc -256 -256 -1 -0 -0 -3 -0xfc9e -0x3e39b128 -256 -256 -0 -0 -0 -2 -0x2677 -0xcbc5b16a -256 -256 -1 -0 -0 -4 -0x3e54 -0x770a86c2 -256 -256 -1 -0 -0 -4 -0x2b6f -0x48c8848a -256 -256 -0 -0 -0 -2 -0xe5f2 -0xb0debb72 -256 -256 -0 -0 -0 -2 -0x37ca -0x9b4e18a9 -256 -256 -1 -0 -0 -2 -0x261b -0x30599e62 -256 -256 -0 -0 -0 -3 -0x7bf0 -0x49d59ac1 -256 -256 -0 -0 -0 -2 -0xe32c -0x606fd2e2 -256 -256 -0 -0 -0 -4 -0x3f0c -0xac3a157b -256 -256 -0 -0 -0 -1 -0x19b7 -0x52f4e2d8 -256 -256 -1 -0 -0 -3 -0x42c3 -0x13268a3f -256 -256 -1 -0 -0 -4 -0xd715 -0x1f2d35b -256 -256 -1 -0 -0 -2 -0x3b08 -0xebd2a0ea -256 -256 -0 -0 -0 -3 -0xa173 -0xa3f4d6a8 -256 -256 -1 -0 -0 -2 -0x4d -0xe00b842d -256 -256 -0 -0 -0 -3 -0xdc85 -0x205b23af -256 -256 -0 -0 -0 -4 -0x281b -0xdab39376 -256 -256 -0 -0 -0 -4 -0x9efb -0xf5df3cec -256 -256 -1 -0 -0 -3 -0x4fab -0x7d5c0932 -256 -256 -0 -0 -0 -4 -0x57c9 -0x5c4748cc -256 -256 -0 -0 -0 -3 -0x6e5f -0x80efb2af -256 -256 -0 -0 -0 -4 -0x672d -0x3679a76f -256 -256 -0 -0 -0 -4 -0x4698 -0xd006785b -256 -256 -0 -0 -0 -1 -0x6315 -0x96ae3142 -256 -256 -0 -0 -0 -4 -0xada1 -0x24b76f59 -256 -256 -1 -0 -0 -3 -0x2732 -0xb4615bea -256 -256 -1 -0 -0 -3 -0x208c -0xfbb4c5bb -256 -256 -1 -0 -0 -3 -0x1656 -0xbc1c48d0 -256 -256 -1 -0 -0 -4 -0xd6ce -0x9e60781 -256 -256 -0 -0 -0 -3 -0xce25 -0x8cc57481 -256 -256 -1 -0 -0 -4 -0xd110 -0x57d36411 -256 -256 -0 -0 -0 -2 -0xb30a -0xa70dc2c5 -256 -256 -1 -0 -0 -2 -0x4beb -0x1e5c86d3 -256 -256 -1 -0 -0 -3 -0x6165 -0xe53a03e -256 -256 -0 -0 -0 -1 -0x8ed2 -0xf4f70d53 -256 -256 -0 -0 -0 -1 -0x721d -0x7424775 -256 -256 -0 -0 -0 -2 -0x1670 -0x3190fca0 -256 -256 -1 -0 -0 -2 -0xa715 -0x312779bc -256 -256 -1 -0 -0 -1 -0x70f5 -0x3e7d36cf -256 -256 -1 -0 -0 -3 -0xe0c9 -0xfcdcf9a3 -256 -256 -0 -0 -0 -1 -0x9a73 -0xc9e31b96 -256 -256 -0 -0 -0 -4 -0x9400 -0x8d52f65b -256 -256 -1 -0 -0 -2 -0xf27a -0x4476cacd -256 -256 -1 -0 -0 -3 -0x78e6 -0x9a6ca248 -256 -256 -1 -0 -0 -4 -0xf2b7 -0x253613fe -256 -256 -1 -0 -0 -1 -0x6c28 -0x22ab4995 -256 -256 -0 -0 -0 -4 -0x463a -0xc2f2e2cf -256 -256 -1 -0 -0 -1 -0xb70 -0xa342a669 -256 -256 -1 -0 -0 -1 -0xc008 -0x36f4b660 -256 -256 -1 -0 -0 -2 -0x7615 -0xedf0ac12 -256 -256 -1 -0 -0 -1 -0x7f28 -0xa3989903 -256 -256 -0 -0 -0 -2 -0xfd85 -0x9f900d68 -256 -256 -1 -0 -0 -1 -0xeb19 -0xd2f73bb -256 -256 -0 -0 -0 -3 -0x97b1 -0x72cdbef9 -256 -256 -0 -0 -0 -1 -0x7f32 -0xa33a4802 -256 -256 -1 -0 -0 -1 -0x56cd -0x861f7743 -256 -256 -1 -0 -0 -1 -0xbb1a -0xdc8b1f2f -256 -256 -1 -0 -0 -2 -0xb6a3 -0x4eb7f5d9 -256 -256 -0 -0 -0 -3 -0x51b8 -0xf7bd5801 -256 -256 -1 -0 -0 -1 -0x2c0f -0xa4090982 -256 -256 -0 -0 -0 -4 -0xd7d3 -0x4726e3b5 -256 -256 -0 -0 -0 -3 -0x7b17 -0x60c403f2 -256 -256 -1 -0 -0 -1 -0x2948 -0xcf9ea333 -256 -256 -0 -0 -0 -3 -0x41f2 -0xc5bbdb49 -256 -256 -0 -0 -0 -1 -0xeff2 -0x1b3f02d3 -256 -256 -0 -0 -0 -1 -0x3ee9 -0xd1f733b6 -256 -256 -1 -0 -0 -3 -0xa772 -0xc369bd16 -256 -256 -1 -0 -0 -4 -0x662c -0xed5f3204 -256 -256 -0 -0 -0 -4 -0x1c96 -0x91a67ffa -256 -256 -1 -0 -0 -3 -0x7f0f -0x8607593c -256 -256 -1 -0 -0 -2 -0xd149 -0x9b06c14c -256 -256 -0 -0 -0 -2 -0x8f1b -0x75814beb -256 -256 -0 -0 -0 -3 -0x8912 -0x965b23c0 -256 -256 -0 -0 -0 -4 -0x6218 -0x926ba5bf -256 -256 -0 -0 -0 -3 -0x7004 -0x16a979a7 -256 -256 -0 -0 -0 -3 -0x61e7 -0xac62510a -256 -256 -1 -0 -0 -1 -0xb1b9 -0x6e67e49b -256 -256 -0 -0 -0 -3 -0x7a83 -0x2fa5b506 -256 -256 -0 -0 -0 -2 -0x4f31 -0x84a29c87 -256 -256 -0 -0 -0 -4 -0xf521 -0x94627905 -256 -256 -1 -0 -0 -3 -0x6940 -0xbf3f9a04 -256 -256 -0 -0 -0 -2 -0x4bb -0xc4ae565d -256 -256 -0 -0 -0 -1 -0x9916 -0xd7847c86 -256 -256 -1 -0 -0 -3 -0xb029 -0x1f4f0fe -256 -256 -0 -0 -0 -2 -0x22 -0x24b82 -256 -256 -0 -0 -0 -4 -0x3415 -0xf98aa4eb -256 -256 -1 -0 -0 -1 -0xfd3e -0x7149d207 -256 -256 -0 -0 -0 -1 -0x93ac -0x5efd3b91 -256 -256 -1 -0 -0 -4 -0xb84c -0xffa14087 -256 -256 -0 -0 -0 -4 -0xf458 -0x3bdbbc0c -256 -256 -1 -0 -0 -4 -0x7d33 -0xdfe379fe -256 -256 -1 -0 -0 -1 -0xd691 -0x1c6719a0 -256 -256 -0 -0 -0 -1 -0xe410 -0x5d003abf -256 -256 -0 -0 -0 -2 -0x45d -0xfd0eb1e0 -256 -256 -1 -0 -0 -2 -0xe79d -0x2adc53de -256 -256 -1 -0 -0 -3 -0x3eff -0x62574d48 -256 -256 -1 -0 -0 -3 -0x13a6 -0x299b460d -256 -256 -0 -0 -0 -4 -0xc259 -0x3f01ffa2 -256 -256 -0 -0 -0 -1 -0xa5cb -0xf52d7fea -256 -256 -0 -0 -0 -1 -0xc2e4 -0x34e66ded -256 -256 -0 -0 -0 -1 -0x68ff -0x79f2ad7d -256 -256 -0 -0 -0 -4 -0x97e8 -0xb8b60f61 -256 -256 -0 -0 -0 -4 -0x364b -0x615d4e4f -256 -256 -0 -0 -0 -1 -0x297e -0x1722cece -256 -256 -1 -0 -0 -3 -0x4081 -0xf3dd62b8 -256 -256 -1 -0 -0 -1 -0xb2c8 -0x15c0c257 -256 -256 -1 -0 -0 -3 -0x26a7 -0xed2d3d89 -256 -256 -0 -0 -0 -3 -0x86f9 -0xe26092fc -256 -256 -1 -0 -0 -2 -0xfffd -0xb4f209bb -256 -256 -1 -0 -0 -2 -0x1693 -0x7a7fc88 -256 -256 -0 -0 -0 -1 -0xb294 -0x2c60e6c7 -256 -256 -0 -0 -0 -1 -0x4e48 -0x3b780b76 -256 -256 -1 -0 -0 -4 -0xbc9e -0xbdbb1fc2 -256 -256 -1 -0 -0 -4 -0x3b0a -0x9cd00106 -256 -256 -1 -0 -0 -4 -0xe9fa -0x9fb7f445 -256 -256 -0 -0 -0 -2 -0xd617 -0x83cc5029 -256 -256 -0 -0 -0 -2 -0x1710 -0x29cb4da9 -256 -256 -0 -0 -0 -2 -0xa25a -0x3a92be7e -256 -256 -0 -0 -0 -4 -0x9e5c -0xc567af5d -256 -256 -0 -0 -0 -4 -0x71d3 -0x38ca440d -256 -256 -1 -0 -0 -4 -0x7681 -0xeb86a8a1 -256 -256 -0 -0 -0 -4 -0x8267 -0x84da53f9 -256 -256 -1 -0 -0 -2 -0xdf74 -0xe2048e5e -256 -256 -0 -0 -0 -1 -0xc29 -0x44d4b34a -256 -256 -0 -0 -0 -2 -0x15d5 -0x2ed6d5d -256 -256 -0 -0 -0 -1 -0x7980 -0x7c5ec5c7 -256 -256 -1 -0 -0 -2 -0xef4b -0x9bdeada1 -256 -256 -1 -0 -0 -4 -0x6645 -0x42f4864 -256 -256 -1 -0 -0 -2 -0x6433 -0xe39f6356 -256 -256 -0 -0 -0 -2 -0xce40 -0xcbc52b6f -256 -256 -1 -0 -0 -2 -0xcfd5 -0xacef8823 -256 -256 -0 -0 -0 -2 -0xba70 -0xe34c46c2 -256 -256 -0 -0 -0 -4 -0xf0f6 -0x50ecf2b0 -256 -256 -0 -0 -0 -3 -0x8e6d -0x176c68c2 -256 -256 -0 -0 -0 -3 -0xd9c -0xdeafac1 -256 -256 -1 -0 -0 -1 -0xdc38 -0x2ca2803c -256 -256 -1 -0 -0 -2 -0x493f -0x2705a45f -256 -256 -0 -0 -0 -4 -0x5ea6 -0x99189cbd -256 -256 -1 -0 -0 -1 -0xc7eb -0x175e3412 -256 -256 -1 -0 -0 -3 -0x28b4 -0x6038be7d -256 -256 -1 -0 -0 -4 -0xc1bb -0x256ce933 -256 -256 -0 -0 -0 -3 -0xfc1b -0xc6578ad6 -256 -256 -0 -0 -0 -1 -0xb0c2 -0x2ede9a0e -256 -256 -1 -0 -0 -1 -0xaf25 -0xe9352170 -256 -256 -1 -0 -0 -3 -0x93f6 -0xb2bd2ace -256 -256 -0 -0 -0 -4 -0x6c09 -0x5ffc4771 -256 -256 -0 -0 -0 -1 -0x8055 -0xea7094d0 -256 -256 -1 -0 -0 -4 -0xe5d4 -0x132cf29d -256 -256 -1 -0 -0 -4 -0x5b78 -0xa6ff676 -256 -256 -0 -0 -0 -4 -0x478c -0x4970ebe4 -256 -256 -1 -0 -0 -2 -0xc509 -0x32854df2 -256 -256 -0 -0 -0 -3 -0x53a8 -0xd34ebf9 -256 -256 -1 -0 -0 -4 -0x8e3 -0x131d38d5 -256 -256 -0 -0 -0 -1 -0xbf16 -0xb644a8c9 -256 -256 -0 -0 -0 -3 -0x23f4 -0x9c0f2a91 -256 -256 -0 -0 -0 -3 -0x7fe3 -0x8baf746e -256 -256 -0 -0 -0 -2 -0xa47 -0x1e505c2e -256 -256 -1 -0 -0 -4 -0xb8b1 -0x88566541 -256 -256 -0 -0 -0 -3 -0xce99 -0x2e79ae68 -256 -256 -1 -0 -0 -2 -0x6ce1 -0x8c9b2756 -256 -256 -0 -0 -0 -4 -0xe7ef -0xfc10faa4 -256 -256 -1 -0 -0 -4 -0x3f0f -0x6b6805e4 -256 -256 -1 -0 -0 -2 -0xc799 -0xfe54500f -256 -256 -1 -0 -0 -4 -0xa227 -0x956200ca -256 -256 -0 -0 -0 -2 -0x7e4f -0x3a93a823 -256 -256 -0 -0 -0 -2 -0x1c9d -0x519e5b59 -256 -256 -1 -0 -0 -4 -0x15ab -0xa62c0e75 -256 -256 -0 -0 -0 -3 -0xebf3 -0x21b53c19 -256 -256 -1 -0 -0 -3 -0xca14 -0xb08a3bba -256 -256 -0 -0 -0 -2 -0xc334 -0xb3e1f685 -256 -256 -1 -0 -0 -3 -0x683c -0x1c877148 -256 -256 -1 -0 -0 -1 -0x6dab -0xa30fd431 -256 -256 -0 -0 -0 -1 -0xac9 -0x63a29e29 -256 -256 -1 -0 -0 -3 -0x405c -0x45abe257 -256 -256 -0 -0 -0 -4 -0x1ffc -0x5aa995ab -256 -256 -0 -0 -0 -3 -0x12fd -0xec1542e3 -256 -256 -1 -0 -0 -4 -0x93fe -0x515f4181 -256 -256 -0 -0 -0 -2 -0x74b7 -0x354c1181 -256 -256 -1 -0 -0 -4 -0x1270 -0x71f0557f -256 -256 -0 -0 -0 -4 -0x3ebe -0x855696a0 -256 -256 -1 -0 -0 -4 -0xbf47 -0xf541dfd8 -256 -256 -1 -0 -0 -3 -0x3705 -0xf3014bdc -256 -256 -0 -0 -0 -2 -0xacef -0x87b42874 -256 -256 -1 -0 -0 -2 -0x3b6d -0x943bce8c -256 -256 -1 -0 -0 -1 -0x1a12 -0x6ed30c8f -256 -256 -0 -0 -0 -4 -0x7ad -0x93fd911c -256 -256 -0 -0 -0 -1 -0x3a8e -0x2562691a -256 -256 -1 -0 -0 -1 -0x3899 -0xcab5c67f -256 -256 -1 -0 -0 -4 -0x3f30 -0x1546458d -256 -256 -0 -0 -0 -2 -0x71db -0xd7fd648a -256 -256 -0 -0 -0 -1 -0xaeb7 -0xb74e90d6 -256 -256 -0 -0 -0 -2 -0x1476 -0x896b041c -256 -256 -0 -0 -0 -3 -0x97c2 -0x13cc5079 -256 -256 -0 -0 -0 -1 -0xba7d -0x35fe102 -256 -256 -1 -0 -0 -3 -0x6764 -0x1b5409e1 -256 -256 -1 -0 -0 -2 -0x895b -0x5ba66432 -256 -256 -0 -0 -0 -4 -0x1eed -0x9f0bbc84 -256 -256 -0 -0 -0 -3 -0x7b5f -0x40753244 -256 -256 -0 -0 -0 -2 -0x64b8 -0x681e12f9 -256 -256 -1 -0 -0 -4 -0x4f1d -0xf322dad9 -256 -256 -1 -0 -0 -2 -0xc171 -0x8fb1f9b4 -256 -256 -0 -0 -0 -4 -0xb3f8 -0xc1ce64bf -256 -256 -1 -0 -0 -2 -0xc963 -0x874ad8a1 -256 -256 -0 -0 -0 -2 -0xcb30 -0xbb38b9c7 -256 -256 -1 -0 -0 -3 -0xffb4 -0xa864f12 -256 -256 -0 -0 -0 -1 -0x4d5b -0x4a8ba794 -256 -256 -1 -0 -0 -3 -0x9c7e -0x14caf186 -256 -256 -1 -0 -0 -4 -0xb001 -0x496f0c68 -256 -256 -1 -0 -0 -4 -0xd8e3 -0xc84ed563 -256 -256 -0 -0 -0 -2 -0xd37a -0xe9ca6dd1 -256 -256 -0 -0 -0 -2 -0x7346 -0xe194ede6 -256 -256 -1 -0 -0 -2 -0xc7b6 -0xb2d45f5a -256 -256 -0 -0 -0 -2 -0xa472 -0xbc9ba71d -256 -256 -1 -0 -0 -1 -0xc89f -0xeadd6fac -256 -256 -0 -0 -0 -1 -0xdb82 -0xf8285390 -256 -256 -1 -0 -0 -2 -0xcac8 -0xf4a3a619 -256 -256 -0 -0 -0 -2 -0x93d1 -0xd695866e -256 -256 -0 -0 -0 -4 -0x2caf -0x3a9e4d1d -256 -256 -1 -0 -0 -4 -0xd9d -0xd95e2bc8 -256 -256 -1 -0 -0 -2 -0x6730 -0xa76a29b4 -256 -256 -1 -0 -0 -2 -0xad8f -0xa8126c60 -256 -256 -0 -0 -0 -3 -0xc1e4 -0x421d8f3f -256 -256 -0 -0 -0 -4 -0x5a0f -0x1c229e5c -256 -256 -0 -0 -0 -4 -0xfee9 -0x7caac549 -256 -256 -1 -0 -0 -2 -0x4b99 -0x89c7e684 -256 -256 -0 -0 -0 -3 -0x4d24 -0x630814ae -256 -256 -1 -0 -0 -4 -0xfcab -0xe94ed561 -256 -256 -0 -0 -0 -1 -0x239 -0x2f1664b3 -256 -256 -1 -0 -0 -3 -0xde94 -0x101488bb -256 -256 -1 -0 -0 -1 -0x95f3 -0x1cc77da9 -256 -256 -0 -0 -0 -4 -0xc87f -0x2ceb9dbb -256 -256 -1 -0 -0 -1 -0x4822 -0xed32c5af -256 -256 -0 -0 -0 -2 -0x36f7 -0x3ac46633 -256 -256 -0 -0 -0 -3 -0xb3ac -0xc442bc01 -256 -256 -0 -0 -0 -2 -0xc621 -0x3383acc -256 -256 -1 -0 -0 -1 -0xc72e -0xa8d5ad50 -256 -256 -1 -0 -0 -3 -0xf6d8 -0xe8898d28 -256 -256 -0 -0 -0 -2 -0x4fba -0x8e9f20f7 -256 -256 -0 -0 -0 -1 -0x39a5 -0xbd0d296a -256 -256 -0 -0 -0 -1 -0x8cbd -0x3c7aedd7 -256 -256 -1 -0 -0 -3 -0xda52 -0xf9dfbc89 -256 -256 -0 -0 -0 -1 -0x5c69 -0x9015de33 -256 -256 -0 -0 -0 -3 -0x84da -0xcb523d54 -256 -256 -1 -0 -0 -3 -0x2e29 -0x87604327 -256 -256 -1 -0 -0 -3 -0xceea -0x8a0363d1 -256 -256 -1 -0 -0 -2 -0x79f8 -0xe9fc334b -256 -256 -1 -0 -0 -3 -0x22c4 -0x5cb6c5fb -256 -256 -0 -0 -0 -4 -0xbadb -0xcf22fec9 -256 -256 -1 -0 -0 -1 -0xdc42 -0x1e45e621 -256 -256 -1 -0 -0 -3 -0x8e29 -0x910fc7e6 -256 -256 -1 -0 -0 -3 -0xce90 -0xf02e5be0 -256 -256 -0 -0 -0 -1 -0x1ff9 -0x83e6f363 -256 -256 -0 -0 -0 -2 -0xad48 -0xca241af4 -256 -256 -0 -0 -0 -4 -0x49bc -0x57659cbb -256 -256 -1 -0 -0 -3 -0xcff8 -0x10e97d9b -256 -256 -1 -0 -0 -4 -0x5cb4 -0x9d9220b2 -256 -256 -0 -0 -0 -2 -0x9ea6 -0x28663ec2 -256 -256 -1 -0 -0 -2 -0x3100 -0x5184de8e -256 -256 -0 -0 -0 -4 -0xdf0b -0xead4cfe6 -256 -256 -1 -0 -0 -3 -0x1484 -0xc9fd1246 -256 -256 -0 -0 -0 -2 -0xb7e6 -0x3472911d -256 -256 -1 -0 -0 -2 -0x8c97 -0xf046bec8 -256 -256 -1 -0 -0 -3 -0xfe23 -0x95ee77a1 -256 -256 -0 -0 -0 -4 -0x1094 -0x196a1ff1 -256 -256 -1 -0 -0 -4 -0x6a5c -0x9e734551 -256 -256 -1 -0 -0 -2 -0xeb07 -0xcc7d39ad -256 -256 -0 -0 -0 -1 -0x5dce -0x554b6cc1 -256 -256 -0 -0 -0 -1 -0x88a8 -0x9255d554 -256 -256 -1 -0 -0 -2 -0xa1c9 -0x530884bd -256 -256 -1 -0 -0 -2 -0x7a86 -0x2fab524b -256 -256 -0 -0 -0 -3 -0x60d0 -0xac65a1d7 -256 -256 -0 -0 -0 -4 -0x8ae3 -0xac98ed09 -256 -256 -0 -0 -0 -2 -0x23b8 -0x841230a -256 -256 -0 -0 -0 -3 -0x37b0 -0x4c2b30fa -256 -256 -0 -0 -0 -2 -0x6ad0 -0x21a3326d -256 -256 -0 -0 -0 -1 -0xd6ca -0xb04331b6 -256 -256 -1 -0 -0 -2 -0x91e9 -0x12427f73 -256 -256 -1 -0 -0 -3 -0x8cb9 -0xedd8002d -256 -256 -1 -0 -0 -1 -0x62c0 -0x550752f -256 -256 -0 -0 -0 -3 -0x1d43 -0x8a238cde -256 -256 -0 -0 -0 -1 -0x52d2 -0xc7d22f61 -256 -256 -0 -0 -0 -3 -0x736e -0xcd577760 -256 -256 -0 -0 -0 -2 -0x743e -0x213079d9 -256 -256 -0 -0 -0 -4 -0x4217 -0x92be23e5 -256 -256 -0 -0 -0 -2 -0xaa1f -0x97d465fc -256 -256 -0 -0 -0 -1 -0x10db -0x7beb9f91 -256 -256 -1 -0 -0 -4 -0x87c6 -0x8c4288e0 -256 -256 -1 -0 -0 -1 -0x37be -0x87f45e91 -256 -256 -0 -0 -0 -2 -0xd419 -0x7f3cae86 -256 -256 -0 -0 -0 -1 -0xf8fa -0xdd5a5765 -256 -256 -1 -0 -0 -4 -0x1722 -0xa4eb08eb -256 -256 -0 -0 -0 -4 -0xd532 -0x73e3a664 -256 -256 -1 -0 -0 -4 -0x6219 -0xa84b6f94 -256 -256 -1 -0 -0 -1 -0xfdd4 -0xfbb65126 -256 -256 -0 -0 -0 -3 -0x762a -0x79687cd5 -256 -256 -1 -0 -0 -3 -0x6991 -0x990d8bd9 -256 -256 -1 -0 -0 -4 -0x4487 -0x7ee7e29c -256 -256 -0 -0 -0 -3 -0xe3ee -0xd8930201 -256 -256 -0 -0 -0 -2 -0x6592 -0x314195e3 -256 -256 -1 -0 -0 -4 -0x878c -0x6ce728f1 -256 -256 -1 -0 -0 -2 -0x8e02 -0xafd763af -256 -256 -1 -0 -0 -4 -0x7325 -0x80abcd90 -256 -256 -1 -0 -0 -3 -0x4803 -0xab361ced -256 -256 -0 -0 -0 -1 -0x479d -0x41ca8d5e -256 -256 -0 -0 -0 -3 -0x9be2 -0x9cb30ed -256 -256 -0 -0 -0 -4 -0xfcbd -0x86ec8248 -256 -256 -1 -0 -0 -4 -0x874 -0x517ec375 -256 -256 -0 -0 -0 -2 -0xa391 -0x51bbfb1 -256 -256 -1 -0 -0 -4 -0x8ea9 -0x7d40b593 -256 -256 -1 -0 -0 -1 -0xbb87 -0x66126e27 -256 -256 -1 -0 -0 -3 -0x1471 -0xe25c7138 -256 -256 -0 -0 -0 -1 -0x523f -0x7299294f -256 -256 -0 -0 -0 -4 -0xd153 -0x2c389982 -256 -256 -1 -0 -0 -2 -0xc54 -0xae86e6f4 -256 -256 -1 -0 -0 -1 -0x8c94 -0x9a3ec783 -256 -256 -0 -0 -0 -3 -0x2a93 -0x8cdede86 -256 -256 -1 -0 -0 -2 -0xc335 -0x98cef67 -256 -256 -1 -0 -0 -4 -0x1976 -0x3fd3f66 -256 -256 -0 -0 -0 -1 -0x318a -0x4d0870c -256 -256 -1 -0 -0 -2 -0xa003 -0x6d2dda3 -256 -256 -0 -0 -0 -2 -0xcf86 -0x3684dcc2 -256 -256 -0 -0 -0 -4 -0x4f9 -0xc01bdb0c -256 -256 -0 -0 -0 -2 -0x7508 -0x7d65c487 -256 -256 -0 -0 -0 -3 -0x58cd -0xe4034b73 -256 -256 -0 -0 -0 -3 -0x58c0 -0xd9d55a40 -256 -256 -1 -0 -0 -3 -0xcb8a -0x35b88a00 -256 -256 -1 -0 -0 -1 -0xd98d -0x11bbc2e5 -256 -256 -1 -0 -0 -1 -0x13dd -0x8ac511cc -256 -256 -1 -0 -0 -2 -0x4cab -0x3966f6e4 -256 -256 -1 -0 -0 -2 -0xe817 -0x2438fdae -256 -256 -0 -0 -0 -4 -0xd2de -0x87898a21 -256 -256 -1 -0 -0 -2 -0x8ccc -0x922b29fe -256 -256 -0 -0 -0 -1 -0x7ca5 -0x8421fbb6 -256 -256 -1 -0 -0 -4 -0xcfe6 -0x880a4f9e -256 -256 -0 -0 -0 -4 -0x930f -0xd857fdc0 -256 -256 -1 -0 -0 -2 -0x6787 -0xc2913335 -256 -256 -1 -0 -0 -4 -0x30ce -0x89a2a483 -256 -256 -0 -0 -0 -4 -0xcd0a -0xb4fe0022 -256 -256 -0 -0 -0 -1 -0xfcd0 -0xb0093e89 -256 -256 -0 -0 -0 -2 -0xf4a8 -0xc278ca36 -256 -256 -0 -0 -0 -3 -0xe70c -0x476582ef -256 -256 -0 -0 -0 -1 -0xc706 -0x48d37d82 -256 -256 -0 -0 -0 -3 -0xecb7 -0x86c1a3db -256 -256 -1 -0 -0 -2 -0xbae0 -0x465cd524 -256 -256 -0 -0 -0 -2 -0x12db -0x21518768 -256 -256 -1 -0 -0 -2 -0x1388 -0xabfb25cb -256 -256 -0 -0 -0 -2 -0xd35b -0xff7c0537 -256 -256 -1 -0 -0 -2 -0x25c -0xe85976e0 -256 -256 -0 -0 -0 -2 -0x5c7f -0x8af14978 -256 -256 -0 -0 -0 -1 -0xe5bc -0x8057aeb8 -256 -256 -1 -0 -0 -3 -0xb4ad -0x41639ad7 -256 -256 -0 -0 -0 -2 -0x99ff -0x8c5b5061 -256 -256 -0 -0 -0 -1 -0x806d -0xa5e92e0e -256 -256 -1 -0 -0 -3 -0x9333 -0xd738f9a0 -256 -256 -0 -0 -0 -3 -0x809 -0xa43c2670 -256 -256 -0 -0 -0 -4 -0x1336 -0x6b42c21f -256 -256 -1 -0 -0 -4 -0x8f7b -0x5b4142f9 -256 -256 -1 -0 -0 -2 -0xae6 -0x5fed2a02 -256 -256 -1 -0 -0 -3 -0xec1f -0x4dc01d36 -256 -256 -1 -0 -0 -4 -0x5c0a -0xe6004b36 -256 -256 -0 -0 -0 -1 -0x5b62 -0x9bb1cf53 -256 -256 -1 -0 -0 -1 -0x550d -0xf8272ea0 -256 -256 -1 -0 -0 -1 -0xbcdf -0x3083265e -256 -256 -0 -0 -0 -3 -0xb412 -0x91abcf99 -256 -256 -0 -0 -0 -3 -0x5394 -0x2ca14e14 -256 -256 -1 -0 -0 -1 -0x800d -0xc9612998 -256 -256 -0 -0 -0 -4 -0x61aa -0x484af992 -256 -256 -0 -0 -0 -4 -0x1678 -0xe2db3ff9 -256 -256 -0 -0 -0 -4 -0xa00e -0x3d17b37 -256 -256 -1 -0 -0 -1 -0xf8f3 -0xae4d24f0 -256 -256 -0 -0 -0 -1 -0xe196 -0xd69b71d4 -256 -256 -0 -0 -0 -1 -0x668d -0xbe4921a5 -256 -256 -1 -0 -0 -3 -0x5b2f -0xb6839ad5 -256 -256 -1 -0 -0 -2 -0x571 -0xee8a2f6e -256 -256 -0 -0 -0 -1 -0x47dc -0x6f17111c -256 -256 -1 -0 -0 -1 -0xc3b7 -0x2f1c1672 -256 -256 -1 -0 -0 -3 -0x137f -0x617b81ca -256 -256 -0 -0 -0 -1 -0xe33b -0x1fd4f521 -256 -256 -1 -0 -0 -2 -0x194c -0x16edfa38 -256 -256 -0 -0 -0 -4 -0x8976 -0x2c3c2ae5 -256 -256 -1 -0 -0 -2 -0xb84a -0xa9bbd57e -256 -256 -1 -0 -0 -4 -0x828 -0x2cfb2af9 -256 -256 -1 -0 -0 -4 -0x50dd -0xfbd2a0d7 -256 -256 -1 -0 -0 -1 -0xc951 -0xdb9e0d77 -256 -256 -1 -0 -0 -2 -0x6baa -0xa9d7465e -256 -256 -0 -0 -0 -4 -0xe2c4 -0xd1f212b4 -256 -256 -0 -0 -0 -2 -0x2701 -0xc2af8229 -256 -256 -1 -0 -0 -2 -0x2ec9 -0x91aabac -256 -256 -1 -0 -0 -1 -0xe554 -0xd3b3c58b -256 -256 -1 -0 -0 -2 -0x1d1d -0xdcf01c86 -256 -256 -0 -0 -0 -1 -0x83ba -0xd4fccd08 -256 -256 -1 -0 -0 -3 -0x9faa -0x7a9a41a7 -256 -256 -0 -0 -0 -3 -0x475b -0x5356f1fd -256 -256 -1 -0 -0 -2 -0x79a6 -0x9d8a526c -256 -256 -1 -0 -0 -3 -0x98fd -0x97f2bcb4 -256 -256 -1 -0 -0 -1 -0x1147 -0xa79a1e46 -256 -256 -0 -0 -0 -1 -0x307 -0x5eaf6287 -256 -256 -0 -0 -0 -2 -0xa5e9 -0xd9862fd -256 -256 -1 -0 -0 -3 -0xfbf5 -0xfd621e2e -256 -256 -0 -0 -0 -3 -0xf18e -0x25131338 -256 -256 -0 -0 -0 -2 -0xe81f -0x22b53699 -256 -256 -0 -0 -0 -1 -0x8fae -0x42d5962f -256 -256 -1 -0 -0 -2 -0xa01 -0xc0abb687 -256 -256 -1 -0 -0 -4 -0xee7a -0xef30677e -256 -256 -1 -0 -0 -4 -0x9286 -0xd0d5ee00 -256 -256 -1 -0 -0 -3 -0xd977 -0x645e21dc -256 -256 -1 -0 -0 -3 -0x407c -0xaab8189e -256 -256 -1 -0 -0 -2 -0x76bc -0xa05b3f7a -256 -256 -0 -0 -0 -4 -0x6513 -0x7451aa23 -256 -256 -0 -0 -0 -3 -0x6d4a -0x880b214b -256 -256 -0 -0 -0 -2 -0xaafe -0xdf03ca48 -256 -256 -0 -0 -0 -4 -0x1e82 -0x50c96185 -256 -256 -0 -0 -0 -2 -0xe83d -0xafa3e330 -256 -256 -0 -0 -0 -1 -0x5517 -0x4dfe38b8 -256 -256 -1 -0 -0 -3 -0x4a21 -0x62a79e19 -256 -256 -1 -0 -0 -2 -0x85d9 -0x774bb229 -256 -256 -1 -0 -0 -4 -0xf61b -0xfcd90a52 -256 -256 -1 -0 -0 -2 -0x9c6a -0xc3ec03d8 -256 -256 -0 -0 -0 -2 -0x97c8 -0xabe9136 -256 -256 -0 -0 -0 -3 -0x62c3 -0xa231a564 -256 -256 -0 -0 -0 -1 -0xc339 -0x5825636d -256 -256 -1 -0 -0 -2 -0xb8d9 -0x81009d6c -256 -256 -0 -0 -0 -4 -0x496d -0x2d25cbe -256 -256 -1 -0 -0 -3 -0xd79e -0x5073ea12 -256 -256 -1 -0 -0 -3 -0x93ed -0x6859a210 -256 -256 -1 -0 -0 -1 -0x8c8c -0x999bee1b -256 -256 -0 -0 -0 -4 -0xb502 -0x9c3327a8 -256 -256 -0 -0 -0 -4 -0xbb6d -0x40aca495 -256 -256 -0 -0 -0 -3 -0xca2a -0xf8224552 -256 -256 -1 -0 -0 -1 -0x2183 -0x8c2b94ac -256 -256 -0 -0 -0 -3 -0x8902 -0x6068601a -256 -256 -0 -0 -0 -3 -0x4fa6 -0xd6a91ced -256 -256 -0 -0 -0 -2 -0x851 -0xd23dc65a -256 -256 -1 -0 -0 -4 -0xe1e8 -0x5f0abc1a -256 -256 -0 -0 -0 -2 -0xa7ae -0xdf5c90c2 -256 -256 -1 -0 -0 -1 -0xdf25 -0xa3b8bf80 -256 -256 -0 -0 -0 -1 -0x7fce -0x37fe24e9 -256 -256 -0 -0 -0 -1 -0x7320 -0xb1876f86 -256 -256 -0 -0 -0 -3 -0x2fc7 -0xe26988ff -256 -256 -1 -0 -0 -3 -0xdd6b -0x56110dd -256 -256 -1 -0 -0 -3 -0x3125 -0x8a24cdc0 -256 -256 -0 -0 -0 -3 -0x956a -0xc4ec4267 -256 -256 -1 -0 -0 -3 -0x824d -0x45c38fc4 -256 -256 -1 -0 -0 -1 -0x4b5d -0x986e3a0b -256 -256 -1 -0 -0 -4 -0xf495 -0x242f1f91 -256 -256 -0 -0 -0 -1 -0x7083 -0x3c4f5eb0 -256 -256 -0 -0 -0 -4 -0x5384 -0x121ebcce -256 -256 -1 -0 -0 -4 -0xe6f -0xc502d59a -256 -256 -0 -0 -0 -2 -0xe2c9 -0xa74419b9 -256 -256 -0 -0 -0 -3 -0xca20 -0x2a4f4857 -256 -256 -1 -0 -0 -4 -0xf382 -0x8bbc72a3 -256 -256 -0 -0 -0 -2 -0x568d -0xf35a4319 -256 -256 -0 -0 -0 -1 -0x82a1 -0x2e14feb7 -256 -256 -1 -0 -0 -4 -0x4a0b -0xaaa9b886 -256 -256 -0 -0 -0 -1 -0xc9dd -0x976f8e8d -256 -256 -0 -0 -0 -4 -0x95b2 -0xa7e4390f -256 -256 -1 -0 -0 -3 -0xaa35 -0x462fa6fd -256 -256 -0 -0 -0 -2 -0x9f48 -0xa430a0c5 -256 -256 -1 -0 -0 -4 -0x4035 -0x13b32567 -256 -256 -0 -0 -0 -2 -0xbc18 -0xefcf7ff1 -256 -256 -0 -0 -0 -3 -0x1c53 -0x3317461b -256 -256 -0 -0 -0 -2 -0x4e0f -0x33c9e6dd -256 -256 -0 -0 -0 -2 -0x2a98 -0x6ce6b8a2 -256 -256 -1 -0 -0 -2 -0x97c8 -0xa97f6150 -256 -256 -1 -0 -0 -4 -0x96e8 -0x8a5305d5 -256 -256 -0 -0 -0 -4 -0x6e7a -0x90ddb4d1 -256 -256 -1 -0 -0 -1 -0xf32b -0xd246d4a5 -256 -256 -1 -0 -0 -3 -0xa04c -0xaf99e25b -256 -256 -1 -0 -0 -1 -0xa649 -0xb015e2fb -256 -256 -0 -0 -0 -2 -0xa2ee -0x4bdb9e4a -256 -256 -1 -0 -0 -1 -0xe9e6 -0x2fe55967 -256 -256 -0 -0 -0 -4 -0xb8a0 -0x7a8278e5 -256 -256 -0 -0 -0 -3 -0x7efa -0x13bf5c5e -256 -256 -0 -0 -0 -1 -0xacc2 -0xf60ad4a0 -256 -256 -1 -0 -0 -4 -0xf199 -0x1212b2f -256 -256 -1 -0 -0 -1 -0x7223 -0x9967014d -256 -256 -1 -0 -0 -3 -0x7d41 -0x42ffbc46 -256 -256 -0 -0 -0 -1 -0xaf1c -0x3c023a80 -256 -256 -0 -0 -0 -4 -0x3bb4 -0xd9302a46 -256 -256 -0 -0 -0 -1 -0x25e6 -0x7a1d90a2 -256 -256 -1 -0 -0 -4 -0x5ded -0x87e2e0ef -256 -256 -1 -0 -0 -3 -0x154c -0x414d4fb2 -256 -256 -0 -0 -0 -3 -0xccdb -0x4820501f -256 -256 -1 -0 -0 -4 -0x83f9 -0xd46818d5 -256 -256 -0 -0 -0 -2 -0x2f23 -0x34de7742 -256 -256 -1 -0 -0 -4 -0x32d2 -0x1d398736 -256 -256 -0 -0 -0 -1 -0xaa27 -0xfa4d325d -256 -256 -0 -0 -0 -3 -0x81fb -0x19d72d1b -256 -256 -0 -0 -0 -2 -0x245f -0x13d8880e -256 -256 -1 -0 -0 -1 -0xd8d8 -0x4b11e97e -256 -256 -1 -0 -0 -4 -0x24dc -0x744ba799 -256 -256 -0 -0 -0 -3 -0xc9df -0x751ae352 -256 -256 -0 -0 -0 -4 -0x13d9 -0x959e4fc9 -256 -256 -1 -0 -0 -3 -0x169 -0xba867f75 -256 -256 -1 -0 -0 -4 -0x57b4 -0xef47ca7b -256 -256 -0 -0 -0 -4 -0x642d -0x58631d69 -256 -256 -1 -0 -0 -2 -0x769e -0xb85b0a16 -256 -256 -0 -0 -0 -2 -0xccc0 -0xc8531bd5 -256 -256 -1 -0 -0 -3 -0x780f -0xfd9f309d -256 -256 -1 -0 -0 -2 -0xca21 -0x13e2562c -256 -256 -1 -0 -0 -1 -0x13a4 -0x1d723d84 -256 -256 -1 -0 -0 -2 -0xef0f -0xd54abf19 -256 -256 -1 -0 -0 -2 -0xff7d -0xd8efed9d -256 -256 -0 -0 -0 -4 -0x2106 -0xc4bbabe1 -256 -256 -0 -0 -0 -2 -0x6164 -0xafb9138d -256 -256 -0 -0 -0 -2 -0x7a9e -0x17211521 -256 -256 -1 -0 -0 -4 -0x42fb -0x7089aabd -256 -256 -0 -0 -0 -2 -0x32f0 -0x75d626f3 -256 -256 -0 -0 -0 -4 -0xff82 -0xc84ba819 -256 -256 -1 -0 -0 -3 -0x77ad -0x728134b1 -256 -256 -1 -0 -0 -4 -0xade9 -0xf45f59a0 -256 -256 -0 -0 -0 -4 -0x89ad -0xd4d965fe -256 -256 -1 -0 -0 -3 -0x338d -0x83d91010 -256 -256 -0 -0 -0 -4 -0x6baa -0xb345bd4b -256 -256 -1 -0 -0 -1 -0xf632 -0xbbd20a00 -256 -256 -0 -0 -0 -3 -0x14ae -0x90161838 -256 -256 -1 -0 -0 -4 -0x80b -0xbf9e3d8b -256 -256 -0 -0 -0 -3 -0xb097 -0x6d474eed -256 -256 -1 -0 -0 -2 -0x4442 -0x92637a10 -256 -256 -0 -0 -0 -1 -0x8d85 -0x46a0dc2d -256 -256 -1 -0 -0 -2 -0x2e8f -0x506c550a -256 -256 -0 -0 -0 -2 -0xa255 -0x6335dbce -256 -256 -1 -0 -0 -1 -0x1c5f -0x3ee3346f -256 -256 -1 -0 -0 -1 -0x3cfa -0x74a44098 -256 -256 -1 -0 -0 -4 -0x1794 -0x8daf1bed -256 -256 -0 -0 -0 -1 -0xd988 -0x4cf2238c -256 -256 -1 -0 -0 -1 -0x8738 -0xac1e074a -256 -256 -0 -0 -0 -1 -0x60a9 -0xa34144d9 -256 -256 -0 -0 -0 -2 -0xf37d -0x27abbad5 -256 -256 -1 -0 -0 -3 -0xb378 -0x364ee00d -256 -256 -1 -0 -0 -2 -0x67c5 -0xcd6fe455 -256 -256 -0 -0 -0 -1 -0xd64b -0x6af4ca45 -256 -256 -0 -0 -0 -3 -0xc87 -0xab8d40a7 -256 -256 -0 -0 -0 -2 -0x897f -0x480aedf -256 -256 -0 -0 -0 -1 -0x7802 -0xabcb8731 -256 -256 -0 -0 -0 -2 -0xa998 -0x1b502c7e -256 -256 -0 -0 -0 -3 -0x2533 -0x458534d3 -256 -256 -0 -0 -0 -3 -0xe614 -0xddf9b32d -256 -256 -0 -0 -0 -4 -0x2332 -0x81d5b683 -256 -256 -1 -0 -0 -1 -0x9991 -0xa5df1152 -256 -256 -1 -0 -0 -4 -0x5516 -0xbf746802 -256 -256 -1 -0 -0 -2 -0x754b -0xdfc966c5 -256 -256 -0 -0 -0 -1 -0xdddc -0x49ba05b8 -256 -256 -1 -0 -0 -1 -0x37d2 -0x7110a019 -256 -256 -1 -0 -0 -2 -0x309d -0xceeb2479 -256 -256 -0 -0 -0 -3 -0x4b7a -0xa7ed964 -256 -256 -0 -0 -0 -4 -0x2d9d -0x4dd8aa44 -256 -256 -1 -0 -0 -1 -0x8c94 -0x252f0dfb -256 -256 -1 -0 -0 -4 -0x2d1c -0xc929f314 -256 -256 -1 -0 -0 -4 -0xf03f -0x2a98808b -256 -256 -1 -0 -0 -4 -0xd553 -0xa25ba476 -256 -256 -1 -0 -0 -2 -0x4380 -0x57e82848 -256 -256 -0 -0 -0 -2 -0xb915 -0xc5e54b33 -256 -256 -1 -0 -0 -2 -0xed48 -0x7d881ec8 -256 -256 -1 -0 -0 -3 -0xce38 -0xb41bba8a -256 -256 -0 -0 -0 -2 -0x596c -0x3e3faeac -256 -256 -1 -0 -0 -1 -0x3a34 -0x7eeeed6 -256 -256 -1 -0 -0 -4 -0x7952 -0x57660a50 -256 -256 -1 -0 -0 -1 -0xbaa6 -0xab56a5ac -256 -256 -0 -0 -0 -1 -0xb168 -0xebc338c1 -256 -256 -0 -0 -0 -4 -0x6e0a -0xcff77c2c -256 -256 -1 -0 -0 -2 -0x679f -0x2c8e414e -256 -256 -1 -0 -0 -2 -0x5d0 -0x36142bd4 -256 -256 -0 -0 -0 -2 -0x7b8f -0x3f72832c -256 -256 -0 -0 -0 -4 -0x4d51 -0x5507923b -256 -256 -0 -0 -0 -1 -0x4888 -0xb1220e82 -256 -256 -1 -0 -0 -2 -0xec8f -0x4b177f7 -256 -256 -0 -0 -0 -2 -0x3a86 -0xcd6e8427 -256 -256 -1 -0 -0 -4 -0xa43b -0x5cc823c8 -256 -256 -0 -0 -0 -3 -0xda8d -0x2d2513b -256 -256 -1 -0 -0 -1 -0x1c95 -0x668d2b61 -256 -256 -1 -0 -0 -1 -0xb3 -0x8d5b9481 -256 -256 -1 -0 -0 -1 -0xa46d -0x9dc54b2e -256 -256 -1 -0 -0 -2 -0xd887 -0xd6555871 -256 -256 -1 -0 -0 -1 -0x9a89 -0x534f04a2 -256 -256 -0 -0 -0 -3 -0x7cca -0x134ed6b5 -256 -256 -1 -0 -0 -1 -0x48b5 -0xeb97d4a -256 -256 -1 -0 -0 -3 -0xc348 -0x147c8c05 -256 -256 -0 -0 -0 -4 -0xbb66 -0x611164e3 -256 -256 -0 -0 -0 -1 -0xf982 -0xd30e3bad -256 -256 -0 -0 -0 -3 -0xf0d7 -0xfab5ae9e -256 -256 -0 -0 -0 -4 -0xd78a -0xa1d35f58 -256 -256 -1 -0 -0 -2 -0x2e0d -0x753da32e -256 -256 -0 -0 -0 -4 -0xca2a -0xe0639695 -256 -256 -1 -0 -0 -2 -0x6af -0x420a9a8f -256 -256 -0 -0 -0 -2 -0xf91 -0x67a6fc1e -256 -256 -1 -0 -0 -1 -0x2706 -0x72a603e3 -256 -256 -0 -0 -0 -2 -0x482b -0xcfa6df8e -256 -256 -0 -0 -0 -1 -0x8d3e -0x217de663 -256 -256 -0 -0 -0 -4 -0xcf12 -0x33682dc9 -256 -256 -0 -0 -0 -2 -0xd1d0 -0x7ed26972 -256 -256 -1 -0 -0 -3 -0x62a6 -0x925eefdd -256 -256 -1 -0 -0 -1 -0x6e69 -0x6464e5a1 -256 -256 -1 -0 -0 -2 -0x5c2d -0x531853a9 -256 -256 -1 -0 -0 -4 -0x7a50 -0xb92fb89b -256 -256 -0 -0 -0 -3 -0x6e30 -0xa96027f9 -256 -256 -1 -0 -0 -1 -0xdaed -0x3b0e7b35 -256 -256 -1 -0 -0 -1 -0x764b -0x87b058d1 -256 -256 -0 -0 -0 -3 -0x6b8f -0x35728dc4 -256 -256 -0 -0 -0 -3 -0x747e -0x9729ff16 -256 -256 -1 -0 -0 -3 -0x86d3 -0xe0fdafe9 -256 -256 -0 -0 -0 -3 -0xc11b -0x15b92a6f -256 -256 -1 -0 -0 -2 -0x1bb -0xad661aaf -256 -256 -0 -0 -0 -3 -0x6c25 -0xaeae3756 -256 -256 -1 -0 -0 -3 -0x153d -0x97d2860f -256 -256 -1 -0 -0 -1 -0x8770 -0xc77e1e4b -256 -256 -0 -0 -0 -1 -0xbc54 -0x6e48c536 -256 -256 -0 -0 -0 -2 -0xfdf8 -0x99367d4f -256 -256 -1 -0 -0 -2 -0x64d2 -0x4b7b6c39 -256 -256 -0 -0 -0 -2 -0x3e93 -0x86ba3c94 -256 -256 -1 -0 -0 -2 -0x16af -0xe0164a31 -256 -256 -0 -0 -0 -4 -0xd4d0 -0x20fe9a7 -256 -256 -0 -0 -0 -3 -0x5171 -0xd5520e6a -256 -256 -1 -0 -0 -1 -0x994b -0xf078c0fc -256 -256 -0 -0 -0 -4 -0xc051 -0x5be35ed8 -256 -256 -0 -0 -0 -4 -0x18f4 -0xdcbe1aab -256 -256 -1 -0 -0 -3 -0x48c7 -0xc120797b -256 -256 -1 -0 -0 -1 -0xad81 -0xe7760e39 -256 -256 -0 -0 -0 -1 -0x6d89 -0x87c5679b -256 -256 -1 -0 -0 -2 -0x6273 -0x1056c1e9 -256 -256 -1 -0 -0 -2 -0xd1a8 -0xa5ff4919 -256 -256 -1 -0 -0 -1 -0x4558 -0x53c47872 -256 -256 -1 -0 -0 -4 -0xd492 -0x3ff43bb7 -256 -256 -1 -0 -0 -3 -0x7776 -0xc6dbccf0 -256 -256 -1 -0 -0 -4 -0xe96a -0xdb65982c -256 -256 -1 -0 -0 -2 -0xa3f5 -0xa8f3a64 -256 -256 -0 -0 -0 -2 -0xdca -0xddf931d8 -256 -256 -1 -0 -0 -3 -0xb076 -0xe5d7ea68 -256 -256 -0 -0 -0 -1 -0x28f9 -0x3aea161b -256 -256 -1 -0 -0 -1 -0xd97a -0xccb225ef -256 -256 -1 -0 -0 -3 -0x3b2d -0x8856bb45 -256 -256 -0 -0 -0 -4 -0x48c4 -0xefcd61cd -256 -256 -0 -0 -0 -2 -0x734 -0xa0da7751 -256 -256 -0 -0 -0 -1 -0x7005 -0x2b83d1a -256 -256 -0 -0 -0 -3 -0x8daf -0x70322028 -256 -256 -1 -0 -0 -4 -0xa7f2 -0xa3f1fb1c -256 -256 -1 -0 -0 -4 -0xc56c -0x8b4213c9 -256 -256 -0 -0 -0 -3 -0x7d2d -0xb415da84 -256 -256 -1 -0 -0 -1 -0xc895 -0x3c4491df -256 -256 -1 -0 -0 -1 -0xb849 -0x5717df92 -256 -256 -0 -0 -0 -2 -0x6bd8 -0x980aa18 -256 -256 -0 -0 -0 -3 -0x7fff -0x1f1d5c38 -256 -256 -0 -0 -0 -2 -0xefaf -0xc7026b88 -256 -256 -1 -0 -0 -1 -0xa9bd -0xd846270c -256 -256 -0 -0 -0 -4 -0x6181 -0x7521a56d -256 -256 -1 -0 -0 -2 -0xc172 -0xfe021364 -256 -256 -0 -0 -0 -4 -0xc3aa -0x65b48386 -256 -256 -0 -0 -0 -2 -0x434c -0x71e8fa00 -256 -256 -1 -0 -0 -3 -0xed2b -0xd77c1d06 -256 -256 -0 -0 -0 -4 -0x15d1 -0x62ba46c5 -256 -256 -0 -0 -0 -1 -0x3c56 -0xcc26bc28 -256 -256 -1 -0 -0 -2 -0xc02 -0xbef9cb5 -256 -256 -1 -0 -0 -1 -0x774c -0x66a9a53 -256 -256 -1 -0 -0 -3 -0x94a5 -0x2c6eb4a3 -256 -256 -0 -0 -0 -3 -0x41ca -0xd347fed -256 -256 -1 -0 -0 -3 -0xadc5 -0xe7e1d16a -256 -256 -0 -0 -0 -4 -0xb2bc -0xcd4ae3c6 -256 -256 -0 -0 -0 -1 -0x1bd0 -0xfee52636 -256 -256 -0 -0 -0 -4 -0x9a26 -0xbd8b6bf -256 -256 -1 -0 -0 -4 -0xe0e7 -0xf37215e8 -256 -256 -1 -0 -0 -1 -0xeb94 -0x508686f0 -256 -256 -1 -0 -0 -4 -0xeedc -0x865f623f -256 -256 -0 -0 -0 -1 -0x8348 -0x4c60b56f -256 -256 -1 -0 -0 -3 -0x7aee -0xa82ce1f5 -256 -256 -1 -0 -0 -1 -0xa43e -0xa7d9bc44 -256 -256 -1 -0 -0 -1 -0x42eb -0x5c12e1a4 -256 -256 -0 -0 -0 -3 -0x42e6 -0xaeb7a834 -256 -256 -0 -0 -0 -2 -0xd550 -0x238b0789 -256 -256 -1 -0 -0 -4 -0xe49e -0x696978ab -256 -256 -0 -0 -0 -3 -0x520a -0x7c982c0a -256 -256 -1 -0 -0 -1 -0x45c0 -0x37a9c8f0 -256 -256 -0 -0 -0 -3 -0xb7b5 -0x8b02a086 -256 -256 -0 -0 -0 -2 -0x2088 -0x4b500bd8 -256 -256 -0 -0 -0 -3 -0x53eb -0xe2a6c1bf -256 -256 -1 -0 -0 -2 -0xb9b2 -0x48277569 -256 -256 -0 -0 -0 -3 -0x95b6 -0x92bdc0b6 -256 -256 -0 -0 -0 -4 -0x326d -0xcaf19992 -256 -256 -0 -0 -0 -2 -0xbc49 -0x97b21f1f -256 -256 -1 -0 -0 -4 -0x6620 -0x53280d1e -256 -256 -0 -0 -0 -3 -0xc7c2 -0x9ebdb62b -256 -256 -0 -0 -0 -1 -0x46b1 -0x980fb048 -256 -256 -1 -0 -0 -4 -0xf0c3 -0x65cfa310 -256 -256 -0 -0 -0 -3 -0x40ad -0xcd1770e2 -256 -256 -1 -0 -0 -3 -0xdaab -0x9311910c -256 -256 -0 -0 -0 -2 -0x4d13 -0x196e4e2c -256 -256 -1 -0 -0 -4 -0x10a9 -0xc1c774d3 -256 -256 -0 -0 -0 -1 -0x1c7c -0x8b9de6b -256 -256 -1 -0 -0 -2 -0xf331 -0xfc262abb -256 -256 -0 -0 -0 -3 -0x9824 -0x95358009 -256 -256 -1 -0 -0 -3 -0x4c31 -0xb9fd8e3 -256 -256 -1 -0 -0 -1 -0xb996 -0x46a558e8 -256 -256 -0 -0 -0 -3 -0x5597 -0xc4042ef2 -256 -256 -0 -0 -0 -2 -0x274f -0xc5ad46c9 -256 -256 -0 -0 -0 -1 -0x1711 -0x790af7c2 -256 -256 -0 -0 -0 -1 -0x5f0e -0x3d4758ce -256 -256 -1 -0 -0 -1 -0x925f -0xbb8689c1 -256 -256 -0 -0 -0 -3 -0xfddb -0xcbb19390 -256 -256 -0 -0 -0 -4 -0xa9be -0x6b1d5db9 -256 -256 -0 -0 -0 -4 -0x7780 -0xa82d5cd3 -256 -256 -0 -0 -0 -4 -0x83e2 -0x2ebe6d1a -256 -256 -1 -0 -0 -2 -0x3724 -0x636425d3 -256 -256 -0 -0 -0 -1 -0x19db -0x75b80464 -256 -256 -1 -0 -0 -1 -0x7a3 -0xf09bcf13 -256 -256 -1 -0 -0 -3 -0xbbc9 -0xbd7dac4d -256 -256 -0 -0 -0 -1 -0x5c53 -0x5b255f8c -256 -256 -1 -0 -0 -2 -0xdb5c -0x944c7eb2 -256 -256 -1 -0 -0 -4 -0x18ad -0xd68a4ba2 -256 -256 -1 -0 -0 -1 -0x6c0e -0x5c6a9b07 -256 -256 -1 -0 -0 -4 -0x8872 -0x9aaf197 -256 -256 -0 -0 -0 -2 -0x58be -0x9b905bdd -256 -256 -1 -0 -0 -3 -0x7167 -0x29c6e888 -256 -256 -0 -0 -0 -4 -0x40c -0xc759efd8 -256 -256 -0 -0 -0 -4 -0x5aad -0x7b3de2f8 -256 -256 -0 -0 -0 -3 -0x722c -0xad9f5f78 -256 -256 -0 -0 -0 -1 -0x9bbd -0x694de8b0 -256 -256 -1 -0 -0 -1 -0x4284 -0xd9cb2803 -256 -256 -0 -0 -0 -4 -0xd1fe -0xad9f8128 -256 -256 -1 -0 -0 -1 -0x2757 -0xa11e0a41 -256 -256 -1 -0 -0 -1 -0x6d26 -0x5fbe609a -256 -256 -0 -0 -0 -4 -0xf776 -0x54168e9a -256 -256 -0 -0 -0 -2 -0xda5d -0x34d8fe2e -256 -256 -0 -0 -0 -2 -0xfbcf -0x884ede99 -256 -256 -1 -0 -0 -4 -0x96f -0xd8dfed69 -256 -256 -0 -0 -0 -3 -0xcce0 -0xc19fb063 -256 -256 -0 -0 -0 -1 -0xabcd -0x81f585fb -256 -256 -1 -0 -0 -3 -0x2241 -0xeb1c3a8f -256 -256 -1 -0 -0 -3 -0x9876 -0x370ca99d -256 -256 -0 -0 -0 -4 -0xcc53 -0x4b254406 -256 -256 -0 -0 -0 -3 -0xb6b1 -0xc13b7610 -256 -256 -0 -0 -0 -2 -0x7582 -0x3b66db84 -256 -256 -0 -0 -0 -1 -0x2db -0x9a4460d5 -256 -256 -1 -0 -0 -4 -0x7c50 -0x8e55abc9 -256 -256 -1 -0 -0 -2 -0xcd88 -0x4330a433 -256 -256 -1 -0 -0 -3 -0x51ba -0x4f677b98 -256 -256 -1 -0 -0 -3 -0x404a -0x5711c76c -256 -256 -1 -0 -0 -3 -0xe3a1 -0x254c809 -256 -256 -1 -0 -0 -2 -0x5316 -0x81030275 -256 -256 -0 -0 -0 -2 -0x3afa -0x3caeebc8 -256 -256 -0 -0 -0 -2 -0x7d3e -0xac2469e8 -256 -256 -1 -0 -0 -3 -0x9ad -0xd6b60537 -256 -256 -0 -0 -0 -3 -0xa573 -0x245e43bb -256 -256 -0 -0 -0 -2 -0xd6d9 -0x3bd9916d -256 -256 -0 -0 -0 -1 -0x3e49 -0xb194ff26 -256 -256 -1 -0 -0 -1 -0x67eb -0x5fb714bb -256 -256 -1 -0 -0 -4 -0xad11 -0x5ca38922 -256 -256 -0 -0 -0 -2 -0xc7ed -0x7785f63b -256 -256 -0 -0 -0 -4 -0x9298 -0xfa541589 -256 -256 -1 -0 -0 -2 -0xf995 -0xd26f82b4 -256 -256 -1 -0 -0 -1 -0xea41 -0xff5e0537 -256 -256 -0 -0 -0 -2 -0xeb02 -0xc537bd29 -256 -256 -1 -0 -0 -1 -0x6526 -0x5fda544a -256 -256 -1 -0 -0 -3 -0xf07 -0xacf3dd94 -256 -256 -0 -0 -0 -4 -0x3b31 -0x2d360b21 -256 -256 -0 -0 -0 -2 -0x80d9 -0xb36a3f12 -256 -256 -1 -0 -0 -1 -0xaa -0xd4d246ad -256 -256 -0 -0 -0 -3 -0xa86c -0xb8aac62b -256 -256 -0 -0 -0 -1 -0x2c21 -0x68cae00c -256 -256 -0 -0 -0 -3 -0x945f -0x13121d6c -256 -256 -0 -0 -0 -3 -0xd1e9 -0xf87517c6 -256 -256 -1 -0 -0 -1 -0xbfa5 -0x1ee5df44 -256 -256 -1 -0 -0 -2 -0xafb9 -0xb6d853c0 -256 -256 -1 -0 -0 -3 -0x470f -0x957d48fb -256 -256 -0 -0 -0 -3 -0x932a -0x1e6b4ace -256 -256 -1 -0 -0 -2 -0xe82b -0x8d3f0564 -256 -256 -1 -0 -0 -4 -0xb2bb -0x3b8e9b5a -256 -256 -0 -0 -0 -2 -0xa5f7 -0x15c5191e -256 -256 -0 -0 -0 -4 -0xfcf8 -0x5000a4ca -256 -256 -0 -0 -0 -1 -0xd372 -0x85321d96 -256 -256 -1 -0 -0 -1 -0xad6 -0x88722402 -256 -256 -1 -0 -0 -4 -0xafb8 -0xa7f99cd7 -256 -256 -0 -0 -0 -4 -0x30ab -0xcceecad -256 -256 -1 -0 -0 -2 -0xfa07 -0x77024e42 -256 -256 -1 -0 -0 -3 -0xa913 -0x6ec3008e -256 -256 -1 -0 -0 -2 -0xb7b1 -0x868db560 -256 -256 -1 -0 -0 -1 -0x3085 -0x935fb1ca -256 -256 -0 -0 -0 -1 -0xc047 -0xc663decb -256 -256 -1 -0 -0 -2 -0xa12d -0xea32eca6 -256 -256 -0 -0 -0 -1 -0xfd1d -0x55777f97 -256 -256 -1 -0 -0 -3 -0x8e22 -0xf63c442 -256 -256 -1 -0 -0 -1 -0x582 -0x9b9d8d5f -256 -256 -1 -0 -0 -1 -0x371c -0x2c3767d -256 -256 -1 -0 -0 -1 -0xff48 -0xa440ad45 -256 -256 -1 -0 -0 -1 -0xb41 -0x43599afe -256 -256 -0 -0 -0 -2 -0x8a31 -0xf6fc053 -256 -256 -0 -0 -0 -2 -0x565a -0x85528bfb -256 -256 -0 -0 -0 -3 -0xe44 -0xd2a2bca6 -256 -256 -1 -0 -0 -1 -0xacf3 -0xec4d3eb5 -256 -256 -0 -0 -0 -4 -0x212a -0x30536d44 -256 -256 -0 -0 -0 -2 -0x60b -0x94d898f1 -256 -256 -0 -0 -0 -1 -0xe46e -0x20b47699 -256 -256 -0 -0 -0 -2 -0x333b -0x5f2dbbe3 -256 -256 -1 -0 -0 -3 -0x4c47 -0xe4638ba3 -256 -256 -1 -0 -0 -2 -0x22a5 -0x957c058c -256 -256 -0 -0 -0 -3 -0xcf46 -0x3997a911 -256 -256 -1 -0 -0 -3 -0xaf69 -0xb016c947 -256 -256 -1 -0 -0 -3 -0xc5be -0x1da201b9 -256 -256 -1 -0 -0 -1 -0xd583 -0x86c18dc3 -256 -256 -1 -0 -0 -1 -0x2634 -0xd5ebb30b -256 -256 -1 -0 -0 -3 -0x7af3 -0x886527fc -256 -256 -1 -0 -0 -3 -0xa2ad -0xab2157b1 -256 -256 -0 -0 -0 -3 -0xf05b -0x3c7994e0 -256 -256 -0 -0 -0 -4 -0xfdde -0x154047e5 -256 -256 -1 -0 -0 -3 -0x8663 -0xb4e6100a -256 -256 -0 -0 -0 -2 -0x6f14 -0x6291c817 -256 -256 -1 -0 -0 -4 -0x307f -0x3f2ccb96 -256 -256 -1 -0 -0 -2 -0xd2ed -0xb073ad19 -256 -256 -1 -0 -0 -1 -0xe8c3 -0x5822b5b0 -256 -256 -1 -0 -0 -1 -0x4ff1 -0xadd03334 -256 -256 -0 -0 -0 -2 -0xe9af -0xa63768c4 -256 -256 -1 -0 -0 -4 -0xacc1 -0xc3f3a246 -256 -256 -1 -0 -0 -3 -0x4c0a -0xd10e8d2e -256 -256 -1 -0 -0 -1 -0xe92f -0x5002fbf6 -256 -256 -1 -0 -0 -3 -0x7d2b -0x5bb52622 -256 -256 -1 -0 -0 -2 -0x50ab -0x63dc3ec -256 -256 -1 -0 -0 -3 -0x8c85 -0xba6c272 -256 -256 -0 -0 -0 -4 -0xf1d -0x1020f990 -256 -256 -0 -0 -0 -4 -0x5b3a -0xd4f482aa -256 -256 -1 -0 -0 -2 -0xec53 -0x300c3cdd -256 -256 -0 -0 -0 -4 -0xccbb -0xd82b3268 -256 -256 -1 -0 -0 -4 -0xf40f -0x1b98098 -256 -256 -0 -0 -0 -2 -0x285e -0xb7538dcb -256 -256 -0 -0 -0 -2 -0xd708 -0xcf430127 -256 -256 -0 -0 -0 -2 -0xcdc5 -0xb92b763f -256 -256 -0 -0 -0 -3 -0x7cf7 -0x7188f4d2 -256 -256 -0 -0 -0 -3 -0xef6c -0x6e3e6450 -256 -256 -1 -0 -0 -3 -0x626d -0xb432b1f9 -256 -256 -1 -0 -0 -1 -0xda59 -0x56cccb9c -256 -256 -1 -0 -0 -2 -0x98eb -0xd532a88e -256 -256 -1 -0 -0 -4 -0x15b2 -0xb9803b5e -256 -256 -0 -0 -0 -2 -0x872a -0xcfec8c9 -256 -256 -1 -0 -0 -2 -0xff5b -0x61873aff -256 -256 -0 -0 -0 -1 -0x51b5 -0xb7aeb641 -256 -256 -0 -0 -0 -3 -0xc9af -0x27deb497 -256 -256 -1 -0 -0 -4 -0xa1fb -0xcbb2a4e2 -256 -256 -0 -0 -0 -3 -0x952e -0x702f1c6c -256 -256 -1 -0 -0 -2 -0xe0c9 -0xded58f38 -256 -256 -0 -0 -0 -3 -0x3c74 -0xeeca77a9 -256 -256 -0 -0 -0 -2 -0x8996 -0x6661b4e1 -256 -256 -1 -0 -0 -2 -0xa1df -0x9f530fdb -256 -256 -1 -0 -0 -4 -0x412f -0x7d0eb099 -256 -256 -1 -0 -0 -3 -0x39fe -0x866f345b -256 -256 -0 -0 -0 -1 -0x1387 -0xce9d19b2 -256 -256 -1 -0 -0 -4 -0x5f64 -0x8beb5aeb -256 -256 -1 -0 -0 -2 -0x18eb -0xf2c78d79 -256 -256 -0 -0 -0 -4 -0x3e5c -0xb2269966 -256 -256 -0 -0 -0 -4 -0x4391 -0xb222b3b1 -256 -256 -1 -0 -0 -2 -0x9ae5 -0x22683757 -256 -256 -0 -0 -0 -4 -0x2e9a -0x4230471a -256 -256 -1 -0 -0 -2 -0xc724 -0xf4c9a15f -256 -256 -0 -0 -0 -2 -0xf3c8 -0x267215e9 -256 -256 -1 -0 -0 -3 -0x9099 -0xf0c1aab8 -256 -256 -0 -0 -0 -4 -0xc5de -0x522af16c -256 -256 -1 -0 -0 -1 -0x9e5 -0xce305d29 -256 -256 -1 -0 -0 -2 -0x60de -0xb3c6767d -256 -256 -1 -0 -0 -3 -0x4e62 -0x712bb2ef -256 -256 -0 -0 -0 -3 -0x1906 -0x7eadfb24 -256 -256 -0 -0 -0 -2 -0x2271 -0x4c35faa0 -256 -256 -1 -0 -0 -3 -0x6e4 -0xfe4ab991 -256 -256 -0 -0 -0 -1 -0xa970 -0xb123d52d -256 -256 -0 -0 -0 -3 -0xfd32 -0xc19a6944 -256 -256 -0 -0 -0 -3 -0x1c3a -0xaca3befc -256 -256 -0 -0 -0 -1 -0xac6f -0x497cf7c -256 -256 -0 -0 -0 -3 -0xc615 -0xce6cd1ef -256 -256 -0 -0 -0 -3 -0xb9df -0xa7f9c855 -256 -256 -1 -0 -0 -2 -0xc8e2 -0x95f3604e -256 -256 -0 -0 -0 -2 -0x7ba9 -0xf7a2dbb -256 -256 -1 -0 -0 -3 -0xc311 -0x10c2e198 -256 -256 -0 -0 -0 -1 -0x8bf3 -0xb0616fea -256 -256 -0 -0 -0 -1 -0xb002 -0xd6c35103 -256 -256 -0 -0 -0 -3 -0x7880 -0x28753dc0 -256 -256 -0 -0 -0 -1 -0xfae -0xbf7928bd -256 -256 -0 -0 -0 -4 -0x1019 -0xd9595ab1 -256 -256 -0 -0 -0 -2 -0x8f10 -0xb54e0c45 -256 -256 -0 -0 -0 -4 -0x869b -0xc4c83de9 -256 -256 -0 -0 -0 -1 -0x866b -0x905856b3 -256 -256 -1 -0 -0 -2 -0xa04f -0x9e6ef3bc -256 -256 -0 -0 -0 -3 -0x68c0 -0xde1886d1 -256 -256 -0 -0 -0 -1 -0xaa5f -0xcd780fdc -256 -256 -0 -0 -0 -2 -0xea34 -0xf04be635 -256 -256 -0 -0 -0 -2 -0x11f2 -0xe2e138bb -256 -256 -1 -0 -0 -1 -0xb555 -0x66963933 -256 -256 -1 -0 -0 -2 -0xb162 -0xcfbcebad -256 -256 -0 -0 -0 -1 -0xc4b2 -0xc8782b95 -256 -256 -0 -0 -0 -1 -0x6343 -0xd15f420d -256 -256 -1 -0 -0 -1 -0x5819 -0x8b13cb00 -256 -256 -0 -0 -0 -3 -0x9787 -0x6f39d829 -256 -256 -0 -0 -0 -2 -0xfa54 -0x7ffff5b4 -256 -256 -1 -0 -0 -2 -0x9142 -0x94a92ea7 -256 -256 -0 -0 -0 -3 -0x9f07 -0xca752963 -256 -256 -0 -0 -0 -4 -0xc8bb -0xc63ff68d -256 -256 -0 -0 -0 -4 -0x4cb -0xee47888a -256 -256 -1 -0 -0 -4 -0xddc -0xf64e2189 -256 -256 -0 -0 -0 -1 -0x6c03 -0x1192cca4 -256 -256 -0 -0 -0 -4 -0x988 -0xefb0245d -256 -256 -1 -0 -0 -4 -0x47b1 -0xf6d45439 -256 -256 -1 -0 -0 -2 -0x9dc2 -0x4fbc7017 -256 -256 -1 -0 -0 -1 -0x884d -0xe0698cad -256 -256 -1 -0 -0 -1 -0x2f73 -0x33056dfa -256 -256 -0 -0 -0 -2 -0xdb69 -0x65219c1d -256 -256 -1 -0 -0 -4 -0x8cee -0x1a05a82 -256 -256 -0 -0 -0 -2 -0x1935 -0xc61ea830 -256 -256 -0 -0 -0 -2 -0x9fe9 -0x4ec5fd7a -256 -256 -1 -0 -0 -3 -0x7a0 -0xe902012c -256 -256 -0 -0 -0 -4 -0x5c12 -0x85c4556b -256 -256 -1 -0 -0 -1 -0xd26d -0x17eac6ff -256 -256 -1 -0 -0 -1 -0xcec4 -0x52654525 -256 -256 -0 -0 -0 -2 -0x1868 -0x67d37e8f -256 -256 -0 -0 -0 -4 -0x1d0f -0xc9bb2938 -256 -256 -0 -0 -0 -2 -0xa865 -0x4e315c4a -256 -256 -1 -0 -0 -4 -0x372d -0xc8845873 -256 -256 -0 -0 -0 -2 -0xb91c -0xda900f4 -256 -256 -1 -0 -0 -4 -0x1fd -0x1c715854 -256 -256 -1 -0 -0 -4 -0x12d0 -0xbb882187 -256 -256 -1 -0 -0 -2 -0x5405 -0x657cbd1b -256 -256 -0 -0 -0 -1 -0x7906 -0xa5f5851c -256 -256 -1 -0 -0 -2 -0xbf03 -0xe54e421f -256 -256 -1 -0 -0 -4 -0x4b92 -0xaf6fac5d -256 -256 -1 -0 -0 -4 -0x57b4 -0xdddf258c -256 -256 -0 -0 -0 -4 -0xbac9 -0x93097d39 -256 -256 -1 -0 -0 -2 -0xcb -0x530f6b9f -256 -256 -0 -0 -0 -3 -0xd693 -0xc85507ad -256 -256 -1 -0 -0 -3 -0xa8fd -0xf88d7e77 -256 -256 -0 -0 -0 -3 -0xf947 -0x376385c -256 -256 -0 -0 -0 -2 -0x2f46 -0x9b5af021 -256 -256 -0 -0 -0 -2 -0x119c -0x826e2638 -256 -256 -1 -0 -0 -3 -0xd5ca -0xfe7b0aee -256 -256 -0 -0 -0 -2 -0x9556 -0x663c63f7 -256 -256 -0 -0 -0 -2 -0x898e -0x2c503401 -256 -256 -0 -0 -0 -3 -0xf720 -0x8f315e5e -256 -256 -1 -0 -0 -1 -0x5de5 -0x13dba014 -256 -256 -1 -0 -0 -3 -0xba1d -0xdd2f03fa -256 -256 -1 -0 -0 -4 -0xf70 -0xb501fb73 -256 -256 -1 -0 -0 -2 -0x96f4 -0xf8eb0ab8 -256 -256 -1 -0 -0 -3 -0x9492 -0x74cf9818 -256 -256 -1 -0 -0 -1 -0x5ced -0xefe534f8 -256 -256 -0 -0 -0 -2 -0xc0f5 -0x981a711a -256 -256 -0 -0 -0 -2 -0xc6a9 -0x88a56082 -256 -256 -1 -0 -0 -4 -0x4daf -0xbf79e3ba -256 -256 -1 -0 -0 -2 -0x4afb -0x25f28e1a -256 -256 -0 -0 -0 -2 -0x3585 -0x196ba95d -256 -256 -0 -0 -0 -4 -0xb7e7 -0x30aa5e02 -256 -256 -1 -0 -0 -4 -0x8e4f -0x7507d5bd -256 -256 -1 -0 -0 -1 -0x152 -0x383038df -256 -256 -0 -0 -0 -1 -0xf5ca -0x3c05e7b -256 -256 -0 -0 -0 -2 -0xb346 -0xa14aef21 -256 -256 -0 -0 -0 -4 -0x8e3e -0xee2cc762 -256 -256 -0 -0 -0 -4 -0xb7e -0xa88fef3 -256 -256 -0 -0 -0 -4 -0xadd4 -0xe17236d4 -256 -256 -0 -0 -0 -3 -0xa4c3 -0x8ddefaf -256 -256 -1 -0 -0 -3 -0x7a4b -0x7e0eb4df -256 -256 -0 -0 -0 -1 -0xf628 -0xbb980276 -256 -256 -0 -0 -0 -4 -0x480a -0x81cac01b -256 -256 -1 -0 -0 -3 -0x5830 -0xd791ff9 -256 -256 -1 -0 -0 -3 -0xc382 -0x61bbf4ea -256 -256 -1 -0 -0 -1 -0x5351 -0xb2bef74e -256 -256 -0 -0 -0 -3 -0xac17 -0x68ea32db -256 -256 -0 -0 -0 -3 -0xe348 -0x3c3b6e1c -256 -256 -0 -0 -0 -3 -0x9cb7 -0xbafb6e25 -256 -256 -0 -0 -0 -3 -0x7517 -0xf3dc4ddb -256 -256 -1 -0 -0 -4 -0x855e -0x745f2330 -256 -256 -1 -0 -0 -1 -0x1e58 -0x17d328c0 -256 -256 -0 -0 -0 -4 -0x5ddf -0x809180a9 -256 -256 -1 -0 -0 -4 -0x9623 -0x6941b80e -256 -256 -1 -0 -0 -3 -0x27ea -0x81da7e1b -256 -256 -1 -0 -0 -3 -0xab2f -0x32f8624d -256 -256 -0 -0 -0 -4 -0x2a47 -0x2f16f986 -256 -256 -1 -0 -0 -3 -0x29e6 -0x3213bea3 -256 -256 -1 -0 -0 -4 -0xae75 -0x9cd7fe3 -256 -256 -0 -0 -0 -4 -0xb9f9 -0xed8a6bae -256 -256 -1 -0 -0 -2 -0x31ef -0xc97444ae -256 -256 -0 -0 -0 -1 -0xc985 -0x90a9b132 -256 -256 -0 -0 -0 -4 -0x7907 -0xff92584c -256 -256 -1 -0 -0 -4 -0x4255 -0xc1e7173c -256 -256 -1 -0 -0 -1 -0x67f8 -0x54f674de -256 -256 -0 -0 -0 -4 -0x6deb -0x97ece82b -256 -256 -1 -0 -0 -4 -0x7e95 -0xc6bec56c -256 -256 -0 -0 -0 -2 -0x6070 -0xc9c2464c -256 -256 -0 -0 -0 -2 -0x8e0a -0x3ed36916 -256 -256 -0 -0 -0 -1 -0x10c0 -0xdcb94ae7 -256 -256 -1 -0 -0 -4 -0x4716 -0xa2fef6e -256 -256 -0 -0 -0 -1 -0x555 -0xc100ad96 -256 -256 -1 -0 -0 -2 -0xfa8b -0x4a769103 -256 -256 -0 -0 -0 -1 -0x6337 -0x5e6150a8 -256 -256 -0 -0 -0 -4 -0xfb75 -0xc708bddb -256 -256 -1 -0 -0 -4 -0x2c95 -0xed997893 -256 -256 -1 -0 -0 -3 -0xe7c3 -0x7e172a1c -256 -256 -1 -0 -0 -4 -0x6c03 -0x25f183f6 -256 -256 -1 -0 -0 -1 -0xcb1f -0xfa440cb0 -256 -256 -0 -0 -0 -4 -0x9327 -0xe9dd7109 -256 -256 -0 -0 -0 -3 -0x5373 -0x809c6dca -256 -256 -0 -0 -0 -1 -0xfd87 -0xe1f9ee2d -256 -256 -0 -0 -0 -3 -0x9a0a -0x80cfc0f1 -256 -256 -1 -0 -0 -3 -0x3fdb -0xe78dba7b -256 -256 -0 -0 -0 -4 -0x9173 -0xa0b21ac1 -256 -256 -0 -0 -0 -3 -0x9038 -0x10e46398 -256 -256 -0 -0 -0 -3 -0xb5a2 -0xc860d1be -256 -256 -1 -0 -0 -4 -0x965e -0x458105cb -256 -256 -0 -0 -0 -3 -0x12d5 -0xc2e108b5 -256 -256 -1 -0 -0 -4 -0x23df -0x2f3ee4a7 -256 -256 -0 -0 -0 -4 -0x543c -0x7dddcc0b -256 -256 -1 -0 -0 -2 -0xfa08 -0xb2d528c3 -256 -256 -1 -0 -0 -2 -0x258e -0x93622579 -256 -256 -0 -0 -0 -3 -0x841b -0x792c0351 -256 -256 -1 -0 -0 -3 -0xf8dc -0xa9bc9734 -256 -256 -0 -0 -0 -4 -0x61b0 -0xc4b00454 -256 -256 -0 -0 -0 -4 -0x4805 -0x63503b57 -256 -256 -0 -0 -0 -3 -0x2c43 -0xb0dfbb0 -256 -256 -1 -0 -0 -4 -0x7231 -0xf9967e42 -256 -256 -1 -0 -0 -4 -0xe9b9 -0xc827cebb -256 -256 -0 -0 -0 -3 -0xd618 -0xa66fba97 -256 -256 -1 -0 -0 -1 -0xa1d3 -0x1cf19dfb -256 -256 -1 -0 -0 -2 -0x2571 -0xab1ac260 -256 -256 -1 -0 -0 -2 -0x2ceb -0xef5dfa74 -256 -256 -0 -0 -0 -1 -0xcfc4 -0x468e0d88 -256 -256 -1 -0 -0 -3 -0xb461 -0x8ca9da45 -256 -256 -0 -0 -0 -2 -0xd21d -0x8b5dc7b1 -256 -256 -0 -0 -0 -3 -0xc40b -0x5abbb29c -256 -256 -0 -0 -0 -4 -0x666e -0x576fa65c -256 -256 -0 -0 -0 -1 -0x4f3 -0x68a41d90 -256 -256 -1 -0 -0 -2 -0x47e9 -0x28a1485b -256 -256 -0 -0 -0 -2 -0x7911 -0xc9bd795 -256 -256 -1 -0 -0 -3 -0x64e7 -0x4503d194 -256 -256 -1 -0 -0 -1 -0x4241 -0xabd22e0a -256 -256 -0 -0 -0 -4 -0xe362 -0x714380b3 -256 -256 -1 -0 -0 -3 -0xc65d -0x5ba8af72 -256 -256 -1 -0 -0 -1 -0xb2cb -0xdc39e97b -256 -256 -1 -0 -0 -2 -0xbb9c -0xe02b94ce -256 -256 -1 -0 -0 -1 -0x237e -0x5c63c235 -256 -256 -0 -0 -0 -3 -0x5081 -0x6dddd834 -256 -256 -1 -0 -0 -4 -0x24fe -0x9596db6b -256 -256 -1 -0 -0 -1 -0x13d6 -0x6231b95e -256 -256 -0 -0 -0 -1 -0x9b6d -0x9cf7d8cf -256 -256 -1 -0 -0 -4 -0xf1f -0xe864fe7b -256 -256 -0 -0 -0 -3 -0x8bac -0xacff2c87 -256 -256 -0 -0 -0 -2 -0x2fe -0x113ea53a -256 -256 -1 -0 -0 -3 -0x786 -0x614fb571 -256 -256 -0 -0 -0 -4 -0x2486 -0x1434dd54 -256 -256 -0 -0 -0 -3 -0x9071 -0xa8d196d6 -256 -256 -0 -0 -0 -2 -0x87 -0xc2e59b9a -256 -256 -0 -0 -0 -3 -0x2e31 -0x991f3d1e -256 -256 -0 -0 -0 -2 -0x74be -0xadaec3ad -256 -256 -1 -0 -0 -4 -0x1a92 -0x169e086a -256 -256 -0 -0 -0 -3 -0xe72f -0xde64b239 -256 -256 -0 -0 -0 -3 -0x92d1 -0x73aba18e -256 -256 -1 -0 -0 -3 -0x66b9 -0x1ed08f95 -256 -256 -1 -0 -0 -3 -0xb69b -0x337bb2cc -256 -256 -1 -0 -0 -2 -0x888e -0x1898d858 -256 -256 -0 -0 -0 -3 -0xbeaa -0xac4b63a0 -256 -256 -0 -0 -0 -4 -0xc794 -0x83aad564 -256 -256 -1 -0 -0 -1 -0xab1b -0xd2a65bac -256 -256 -1 -0 -0 -2 -0x6310 -0xbece6d56 -256 -256 -1 -0 -0 -3 -0xa4d0 -0x1185746f -256 -256 -1 -0 -0 -4 -0xe05d -0x9688710f -256 -256 -0 -0 -0 -3 -0xb766 -0xb271a520 -256 -256 -1 -0 -0 -4 -0x3de9 -0xb577130b -256 -256 -0 -0 -0 -4 -0x7520 -0x52d9a01c -256 -256 -0 -0 -0 -2 -0x9b6 -0xa5deddc3 -256 -256 -0 -0 -0 -4 -0x48a3 -0x4ce46880 -256 -256 -0 -0 -0 -2 -0xe03a -0xce5f5009 -256 -256 -1 -0 -0 -2 -0xa41b -0xd3f14e83 -256 -256 -1 -0 -0 -4 -0x6a62 -0x74ebdd69 -256 -256 -1 -0 -0 -2 -0xc317 -0xb184b055 -256 -256 -0 -0 -0 -1 -0x5c13 -0xe9c8da8c -256 -256 -0 -0 -0 -2 -0x5bbc -0xce16124c -256 -256 -0 -0 -0 -2 -0x2648 -0xb735bbd6 -256 -256 -1 -0 -0 -3 -0x1479 -0x711734b4 -256 -256 -1 -0 -0 -2 -0x318a -0xdb083db1 -256 -256 -1 -0 -0 -4 -0x5a90 -0x2c471fc9 -256 -256 -1 -0 -0 -3 -0xf439 -0x6cc0eb2f -256 -256 -0 -0 -0 -4 -0xce7f -0x1d890d97 -256 -256 -0 -0 -0 -4 -0xc060 -0xb8fc27a7 -256 -256 -0 -0 -0 -1 -0x2152 -0x1428eb37 -256 -256 -1 -0 -0 -3 -0x6f7d -0x607c1712 -256 -256 -1 -0 -0 -1 -0x5758 -0xa33545da -256 -256 -0 -0 -0 -3 -0xcad4 -0xcb3586b2 -256 -256 -1 -0 -0 -1 -0xadf3 -0x6ca98769 -256 -256 -0 -0 -0 -3 -0x1e3b -0x3d7b1ef9 -256 -256 -1 -0 -0 -1 -0x797e -0xde2c25d2 -256 -256 -1 -0 -0 -2 -0x9f35 -0x9e4c679c -256 -256 -0 -0 -0 -1 -0xbadb -0x6eaa0f9d -256 -256 -1 -0 -0 -1 -0xa391 -0xe22896d7 -256 -256 -1 -0 -0 -2 -0x6ba7 -0x339fbd08 -256 -256 -0 -0 -0 -3 -0x9ca2 -0xd8ecf204 -256 -256 -1 -0 -0 -3 -0xf671 -0x759cf017 -256 -256 -1 -0 -0 -3 -0xb582 -0x1e60cd05 -256 -256 -0 -0 -0 -2 -0x1a09 -0xc4f699eb -256 -256 -1 -0 -0 -4 -0x3d91 -0x2721f30b -256 -256 -1 -0 -0 -2 -0x7c15 -0xec134c95 -256 -256 -0 -0 -0 -1 -0x2d1c -0x5f17ba6e -256 -256 -0 -0 -0 -1 -0x6274 -0x34741fdf -256 -256 -1 -0 -0 -4 -0x9647 -0xcb3154e -256 -256 -1 -0 -0 -3 -0xa82f -0xa619ace0 -256 -256 -0 -0 -0 -3 -0xc1e4 -0xc3bb2917 -256 -256 -1 -0 -0 -4 -0x1f26 -0x63ee4461 -256 -256 -0 -0 -0 -2 -0xcf6b -0xe65367ca -256 -256 -1 -0 -0 -2 -0x8e2d -0xb2694ca3 -256 -256 -1 -0 -0 -2 -0xf3e9 -0x6ef08df6 -256 -256 -0 -0 -0 -2 -0xe16f -0xd56350d5 -256 -256 -0 -0 -0 -3 -0x31e8 -0xda546097 -256 -256 -0 -0 -0 -4 -0xda2d -0xef98e6cb -256 -256 -1 -0 -0 -4 -0xaabe -0x7ae62988 -256 -256 -1 -0 -0 -4 -0x9d31 -0xbe20d45e -256 -256 -0 -0 -0 -2 -0x2f57 -0x8007801c -256 -256 -0 -0 -0 -3 -0x1263 -0x76df0a7c -256 -256 -1 -0 -0 -3 -0xbdf3 -0xb0d20a55 -256 -256 -1 -0 -0 -2 -0xc90f -0x2b773115 -256 -256 -0 -0 -0 -1 -0x16e -0x55fb9d7e -256 -256 -1 -0 -0 -2 -0xc816 -0x29019525 -256 -256 -1 -0 -0 -2 -0xb18b -0x89868a8c -256 -256 -1 -0 -0 -2 -0xebbc -0x7e0e25d2 -256 -256 -1 -0 -0 -2 -0x2d5d -0x63b16ec9 -256 -256 -1 -0 -0 -1 -0xb339 -0x86b70eab -256 -256 -0 -0 -0 -2 -0x2c43 -0x19edbc08 -256 -256 -0 -0 -0 -3 -0x244c -0x6c1c57ca -256 -256 -0 -0 -0 -4 -0xef47 -0x55223b60 -256 -256 -1 -0 -0 -3 -0x67ea -0xc7072a91 -256 -256 -0 -0 -0 -3 -0xc214 -0x3426e41 -256 -256 -1 -0 -0 -4 -0xf12 -0x8eb6740f -256 -256 -0 -0 -0 -2 -0x793c -0xab4c2c65 -256 -256 -1 -0 -0 -2 -0x25e9 -0x4af83d3f -256 -256 -1 -0 -0 -4 -0xdad2 -0xd6e4cfa5 -256 -256 -1 -0 -0 -4 -0x9ba4 -0xa18cce4 -256 -256 -1 -0 -0 -1 -0x5dfe -0x9a8eac7d -256 -256 -0 -0 -0 -1 -0x7e82 -0xf502a70b -256 -256 -1 -0 -0 -4 -0x75fd -0xa9c7799d -256 -256 -0 -0 -0 -2 -0x5d20 -0x8acc5ef0 -256 -256 -0 -0 -0 -3 -0x5b10 -0x1a7a74fa -256 -256 -1 -0 -0 -4 -0xb910 -0x87a9b911 -256 -256 -1 -0 -0 -3 -0x83a8 -0x2eccd23f -256 -256 -0 -0 -0 -4 -0xc171 -0xa5f9ac5d -256 -256 -0 -0 -0 -4 -0xe083 -0x30f9440d -256 -256 -0 -0 -0 -3 -0x1664 -0xd0e99be7 -256 -256 -0 -0 -0 -3 -0xfd9c -0x7e3cd8e5 -256 -256 -1 -0 -0 -4 -0xc08f -0x38343844 -256 -256 -1 -0 -0 -4 -0x7eb9 -0xfabbc93e -256 -256 -1 -0 -0 -2 -0x6b96 -0x9976437 -256 -256 -0 -0 -0 -2 -0x631a -0x6da915cd -256 -256 -1 -0 -0 -4 -0x909e -0x4a53e9a0 -256 -256 -0 -0 -0 -4 -0xaf8e -0x4b44e54f -256 -256 -0 -0 -0 -3 -0x99cf -0x1ca5489d -256 -256 -1 -0 -0 -2 -0x8940 -0x91bb0924 -256 -256 -0 -0 -0 -2 -0xd1c8 -0x3ffb075a -256 -256 -1 -0 -0 -4 -0xc2f2 -0x2a7eed85 -256 -256 -0 -0 -0 -2 -0xda3d -0xde48fe77 -256 -256 -0 -0 -0 -3 -0x537 -0x75682926 -256 -256 -1 -0 -0 -3 -0x1d78 -0xf542759d -256 -256 -1 -0 -0 -3 -0xbd5d -0xb9aa3b1c -256 -256 -1 -0 -0 -1 -0xb40b -0x86d92fc4 -256 -256 -0 -0 -0 -2 -0x586a -0xdee1d455 -256 -256 -0 -0 -0 -2 -0x2bc7 -0x53faaac8 -256 -256 -0 -0 -0 -3 -0x6f4a -0xe698db44 -256 -256 -1 -0 -0 -2 -0xd354 -0x67685d06 -256 -256 -0 -0 -0 -3 -0x2735 -0xba0a679 -256 -256 -0 -0 -0 -4 -0x9eb -0xbbb97f1 -256 -256 -1 -0 -0 -4 -0xa94d -0x463ddc2b -256 -256 -1 -0 -0 -3 -0xe57f -0x5ffcf701 -256 -256 -1 -0 -0 -2 -0x5039 -0xa8da06a -256 -256 -0 -0 -0 -3 -0xa605 -0xb3100925 -256 -256 -0 -0 -0 -2 -0x22a5 -0x91c51f43 -256 -256 -0 -0 -0 -1 -0x41e2 -0x14b90aec -256 -256 -0 -0 -0 -1 -0x4581 -0xbc99563c -256 -256 -1 -0 -0 -4 -0x84f8 -0xbee0f855 -256 -256 -1 -0 -0 -1 -0xff33 -0x9e784cd8 -256 -256 -1 -0 -0 -2 -0x735e -0x891c8229 -256 -256 -1 -0 -0 -1 -0x7cfd -0x7825ffe5 -256 -256 -1 -0 -0 -2 -0xa3ca -0xf4575925 -256 -256 -1 -0 -0 -2 -0xff8b -0x14517c70 -256 -256 -0 -0 -0 -1 -0x2269 -0x8bdd0842 -256 -256 -1 -0 -0 -3 -0x2616 -0x7d91bbd9 -256 -256 -0 -0 -0 -1 -0xad53 -0xd48e0e21 -256 -256 -1 -0 -0 -1 -0x9115 -0xbfa32ca0 -256 -256 -1 -0 -0 -4 -0x61aa -0xc8609d37 -256 -256 -1 -0 -0 -2 -0xac26 -0xe19366ff -256 -256 -1 -0 -0 -3 -0x21a9 -0xa10a6667 -256 -256 -1 -0 -0 -1 -0xf1d7 -0x6e63f120 -256 -256 -1 -0 -0 -1 -0x93a9 -0x4fefc99e -256 -256 -1 -0 -0 -4 -0x4927 -0xe5639299 -256 -256 -1 -0 -0 -1 -0xd7e7 -0xfff35ea1 -256 -256 -0 -0 -0 -1 -0xa0e3 -0x9ac341e9 -256 -256 -0 -0 -0 -1 -0x3f81 -0x9c96adc8 -256 -256 -1 -0 -0 -3 -0xa7de -0xfc33f6c6 -256 -256 -0 -0 -0 -1 -0x46e1 -0xd1baef14 -256 -256 -0 -0 -0 -3 -0x3325 -0x71fa1cf1 -256 -256 -1 -0 -0 -3 -0x6aca -0x5882ed62 -256 -256 -1 -0 -0 -2 -0x5857 -0x428132a0 -256 -256 -1 -0 -0 -2 -0xd987 -0x89d661dc -256 -256 -0 -0 -0 -2 -0x5495 -0x11c140ea -256 -256 -1 -0 -0 -1 -0xe46b -0x1945390b -256 -256 -0 -0 -0 -4 -0x33fa -0x56ed1376 -256 -256 -1 -0 -0 -2 -0xdaa6 -0xd823ebe4 -256 -256 -0 -0 -0 -3 -0x44fa -0x62096c6c -256 -256 -1 -0 -0 -4 -0x5617 -0x99744d3e -256 -256 -0 -0 -0 -2 -0x516e -0x30912433 -256 -256 -1 -0 -0 -1 -0xe72b -0x846642f4 -256 -256 -1 -0 -0 -4 -0x3ea4 -0x52c721f5 -256 -256 -0 -0 -0 -4 -0xcb58 -0x1c765b69 -256 -256 -0 -0 -0 -1 -0x4475 -0x3e7928e4 -256 -256 -0 -0 -0 -2 -0x8205 -0xa3d06aa3 -256 -256 -0 -0 -0 -3 -0x5e58 -0xfea0d9e9 -256 -256 -1 -0 -0 -2 -0xc19c -0xffa59bd8 -256 -256 -1 -0 -0 -3 -0x2d09 -0xbae4c761 -256 -256 -0 -0 -0 -1 -0xb75e -0x381f9308 -256 -256 -1 -0 -0 -4 -0xabcd -0x6637342f -256 -256 -0 -0 -0 -1 -0x587c -0xfb70b5f9 -256 -256 -1 -0 -0 -3 -0x12c6 -0x5cc2d896 -256 -256 -0 -0 -0 -1 -0x924b -0xcdc75a1c -256 -256 -0 -0 -0 -1 -0xce80 -0xb8e16223 -256 -256 -1 -0 -0 -3 -0x43c7 -0xef15c6b3 -256 -256 -1 -0 -0 -3 -0x1f34 -0xb52e8166 -256 -256 -1 -0 -0 -2 -0x8450 -0x6787d588 -256 -256 -1 -0 -0 -1 -0x1a24 -0xfcefa17d -256 -256 -1 -0 -0 -1 -0x3762 -0x9321ac0d -256 -256 -0 -0 -0 -3 -0x2c3f -0x6b606e33 -256 -256 -1 -0 -0 -1 -0x5201 -0xc55ba077 -256 -256 -1 -0 -0 -3 -0xd7fe -0x5842ce17 -256 -256 -1 -0 -0 -1 -0xe3e0 -0xe597ea99 -256 -256 -0 -0 -0 -4 -0x48b2 -0xed836c0b -256 -256 -1 -0 -0 -1 -0xfd42 -0x9592a56b -256 -256 -0 -0 -0 -3 -0xfc29 -0xaf6f5001 -256 -256 -1 -0 -0 -4 -0xe276 -0x9a6765e8 -256 -256 -0 -0 -0 -4 -0x6624 -0xfb1f0af6 -256 -256 -0 -0 -0 -1 -0xeeb -0x83d99abb -256 -256 -0 -0 -0 -1 -0x401d -0xf4cff51a -256 -256 -1 -0 -0 -2 -0x1b92 -0x8e5949c -256 -256 -0 -0 -0 -3 -0x8714 -0x868dfdbb -256 -256 -1 -0 -0 -1 -0x23a0 -0xc3fa6523 -256 -256 -1 -0 -0 -3 -0xb9bd -0x21f34355 -256 -256 -0 -0 -0 -1 -0xd9f3 -0x591d6aba -256 -256 -0 -0 -0 -1 -0x1ea0 -0x50ac5b32 -256 -256 -0 -0 -0 -2 -0xb08a -0xd55f1496 -256 -256 -1 -0 -0 -3 -0xe10c -0xf6c821dd -256 -256 -0 -0 -0 -3 -0xf29c -0xb9419580 -256 -256 -1 -0 -0 -4 -0xf0a2 -0xa1d56cd9 -256 -256 -1 -0 -0 -4 -0x907 -0xddd3a24 -256 -256 -0 -0 -0 -4 -0x27c -0x169e1998 -256 -256 -0 -0 -0 -2 -0x474f -0xa69f5aa -256 -256 -1 -0 -0 -1 -0xdbb8 -0x9824d6b -256 -256 -0 -0 -0 -1 -0x34e2 -0x143feeb6 -256 -256 -1 -0 -0 -4 -0xf193 -0xed1d6c06 -256 -256 -1 -0 -0 -3 -0xd3c9 -0xe0447c44 -256 -256 -1 -0 -0 -1 -0x7b11 -0x1aa2eab5 -256 -256 -0 -0 -0 -2 -0x67b3 -0xa5b55cef -256 -256 -0 -0 -0 -4 -0x9961 -0x5eba54b6 -256 -256 -1 -0 -0 -2 -0x166a -0x38a2acfb -256 -256 -1 -0 -0 -1 -0x2471 -0x2d20423e -256 -256 -0 -0 -0 -1 -0xede3 -0x9c01ca38 -256 -256 -1 -0 -0 -4 -0x4716 -0x6c6f6e5f -256 -256 -1 -0 -0 -4 -0x2d77 -0xc4a9ed87 -256 -256 -1 -0 -0 -4 -0x6e33 -0x3f97b4d9 -256 -256 -0 -0 -0 -3 -0xec67 -0x24961c53 -256 -256 -1 -0 -0 -1 -0xd4c2 -0x70cdf24c -256 -256 -0 -0 -0 -3 -0x1b70 -0x5e4bb334 -256 -256 -1 -0 -0 -2 -0x41e6 -0x3eeaefed -256 -256 -0 -0 -0 -2 -0x4b75 -0x3545f8ac -256 -256 -1 -0 -0 -3 -0xe239 -0x6e50125b -256 -256 -1 -0 -0 -3 -0x2bc0 -0xa3930bb2 -256 -256 -0 -0 -0 -3 -0xd50b -0x419b8c28 -256 -256 -1 -0 -0 -4 -0xe3e9 -0x1ae9b277 -256 -256 -1 -0 -0 -1 -0xc4a9 -0x211942c9 -256 -256 -0 -0 -0 -1 -0x39da -0x64fa7380 -256 -256 -0 -0 -0 -4 -0x42a3 -0x765c0d0c -256 -256 -0 -0 -0 -3 -0xb8e8 -0xa9a81fbf -256 -256 -1 -0 -0 -1 -0xc907 -0xf33cb987 -256 -256 -1 -0 -0 -1 -0xf08f -0x414726a2 -256 -256 -0 -0 -0 -2 -0xf173 -0x5bc72f16 -256 -256 -1 -0 -0 -2 -0x97b1 -0x32f816e7 -256 -256 -0 -0 -0 -2 -0x6639 -0xd9171fd2 -256 -256 -0 -0 -0 -3 -0x8d90 -0xc9810309 -256 -256 -1 -0 -0 -4 -0xe6d8 -0xf10aebeb -256 -256 -0 -0 -0 -3 -0xdfc9 -0xdfe5d05b -256 -256 -0 -0 -0 -2 -0x85e2 -0xfca4a21b -256 -256 -0 -0 -0 -4 -0xd8d6 -0x1cf48049 -256 -256 -0 -0 -0 -1 -0x6c39 -0x901714ea -256 -256 -1 -0 -0 -3 -0x7aaf -0xf4e547a0 -256 -256 -1 -0 -0 -1 -0x7779 -0x595cd088 -256 -256 -1 -0 -0 -4 -0x16a6 -0xdae3ad38 -256 -256 -0 -0 -0 -3 -0x8b10 -0xd9915edd -256 -256 -1 -0 -0 -2 -0xcc95 -0xce82644 -256 -256 -0 -0 -0 -2 -0x7cb8 -0x25870bd3 -256 -256 -0 -0 -0 -3 -0xac56 -0x474350df -256 -256 -1 -0 -0 -1 -0x87b2 -0xe6f85cc8 -256 -256 -0 -0 -0 -1 -0x822 -0xa89b677a -256 -256 -0 -0 -0 -1 -0xa623 -0x55dff1f5 -256 -256 -0 -0 -0 -2 -0xabfe -0x7bef9947 -256 -256 -0 -0 -0 -2 -0x8233 -0xeed69b6b -256 -256 -1 -0 -0 -2 -0x8840 -0xdad69607 -256 -256 -0 -0 -0 -3 -0x7f84 -0xf2966e4a -256 -256 -1 -0 -0 -2 -0x4425 -0xd1f8fbaa -256 -256 -1 -0 -0 -3 -0x999d -0xcff5c3d9 -256 -256 -1 -0 -0 -3 -0x5507 -0xcb251cf9 -256 -256 -1 -0 -0 -2 -0x5fb5 -0x7ab6220f -256 -256 -1 -0 -0 -1 -0x1ad -0xe75ab8a9 -256 -256 -0 -0 -0 -2 -0x613c -0x1f7ed054 -256 -256 -0 -0 -0 -2 -0xf3da -0x492e1223 -256 -256 -0 -0 -0 -1 -0x910f -0xa2fb7736 -256 -256 -0 -0 -0 -3 -0x1688 -0xf300e569 -256 -256 -0 -0 -0 -2 -0x1178 -0x81a68ee8 -256 -256 -1 -0 -0 -4 -0x3177 -0x23c0e175 -256 -256 -1 -0 -0 -2 -0x482e -0x8b424398 -256 -256 -0 -0 -0 -1 -0xe2c7 -0xe94f88b8 -256 -256 -0 -0 -0 -1 -0x63a0 -0xd1b8186d -256 -256 -0 -0 -0 -4 -0x88c7 -0x15af5d67 -256 -256 -0 -0 -0 -1 -0x3a67 -0x393f86ad -256 -256 -1 -0 -0 -3 -0x1005 -0xb8bd879b -256 -256 -1 -0 -0 -2 -0x8484 -0x1642f542 -256 -256 -0 -0 -0 -3 -0x7824 -0x1510d5e8 -256 -256 -0 -0 -0 -3 -0xf04d -0xe7a87935 -256 -256 -0 -0 -0 -1 -0x405c -0x7f22b3a5 -256 -256 -0 -0 -0 -2 -0x32ef -0x7e24b6c0 -256 -256 -1 -0 -0 -3 -0x25c6 -0x814b578d -256 -256 -0 -0 -0 -1 -0x3fdb -0xe8b07ec4 -256 -256 -1 -0 -0 -3 -0x2ea4 -0x74f2ce9b -256 -256 -1 -0 -0 -2 -0xf83e -0xb534c2cb -256 -256 -0 -0 -0 -4 -0xbc94 -0xfab41015 -256 -256 -1 -0 -0 -1 -0x3b10 -0x2ad58639 -256 -256 -0 -0 -0 -2 -0xb900 -0xcf10107b -256 -256 -0 -0 -0 -2 -0xbb16 -0x72164acb -256 -256 -0 -0 -0 -2 -0x908e -0x5b37b31c -256 -256 -0 -0 -0 -1 -0xd7a -0x845df96d -256 -256 -0 -0 -0 -3 -0xfcf4 -0xef48d478 -256 -256 -0 -0 -0 -4 -0xe555 -0x4ece7cc8 -256 -256 -0 -0 -0 -3 -0x8780 -0x5a9b1209 -256 -256 -1 -0 -0 -2 -0x474 -0x71e235cb -256 -256 -1 -0 -0 -2 -0xf2 -0x19fae7e6 -256 -256 -0 -0 -0 -2 -0x7ff5 -0xd595da5 -256 -256 -1 -0 -0 -1 -0x7482 -0xbf1366ed -256 -256 -1 -0 -0 -3 -0x1fd7 -0xec06b60d -256 -256 -0 -0 -0 -4 -0x3743 -0x3eda7c4e -256 -256 -1 -0 -0 -4 -0x89e3 -0xb1a86a72 -256 -256 -1 -0 -0 -4 -0xc7da -0x422b04e8 -256 -256 -1 -0 -0 -3 -0xd15e -0xbfacbf1b -256 -256 -1 -0 -0 -2 -0x7a70 -0x130363dc -256 -256 -0 -0 -0 -3 -0xc7b1 -0xe2833408 -256 -256 -0 -0 -0 -3 -0x7062 -0x6fa2b7b4 -256 -256 -0 -0 -0 -1 -0x78e6 -0xba806fa0 -256 -256 -0 -0 -0 -2 -0x126c -0x91f9a678 -256 -256 -1 -0 -0 -1 -0xee10 -0x9b430167 -256 -256 -0 -0 -0 -2 -0xb9f4 -0x749bd86d -256 -256 -1 -0 -0 -1 -0xbcf1 -0x895d39e2 -256 -256 -1 -0 -0 -3 -0x4fbb -0x45d3dafa -256 -256 -0 -0 -0 -2 -0x81dd -0x1a60c167 -256 -256 -0 -0 -0 -1 -0x6386 -0xe9bd9b7 -256 -256 -0 -0 -0 -1 -0x49e1 -0x378029a -256 -256 -1 -0 -0 -4 -0x3a0c -0xb5544797 -256 -256 -0 -0 -0 -3 -0x348c -0x15da5bb2 -256 -256 -1 -0 -0 -4 -0x3c90 -0x18765bd1 -256 -256 -1 -0 -0 -1 -0x86ab -0x21c2b311 -256 -256 -1 -0 -0 -1 -0xafd2 -0xa99eff72 -256 -256 -1 -0 -0 -2 -0xe05 -0x8b7cd20c -256 -256 -1 -0 -0 -3 -0x5dea -0x6927a830 -256 -256 -0 -0 -0 -1 -0x5dbd -0xc67d8f83 -256 -256 -0 -0 -0 -4 -0x461f -0x827f3579 -256 -256 -1 -0 -0 -4 -0x1230 -0xaeb81331 -256 -256 -1 -0 -0 -3 -0xe05c -0x66e91ca6 -256 -256 -1 -0 -0 -1 -0xb528 -0xe7faa5f9 -256 -256 -0 -0 -0 -1 -0x3a0f -0x6c2633d7 -256 -256 -0 -0 -0 -2 -0x4c77 -0xb253e66a -256 -256 -0 -0 -0 -1 -0xe0f0 -0x6ab0c7b8 -256 -256 -1 -0 -0 -4 -0xffa8 -0x175dfd23 -256 -256 -1 -0 -0 -2 -0xcf39 -0xca9de650 -256 -256 -0 -0 -0 -4 -0x81dc -0x34c14979 -256 -256 -1 -0 -0 -4 -0xd97c -0xf8fa29b1 -256 -256 -0 -0 -0 -1 -0xb407 -0x99fa6aff -256 -256 -1 -0 -0 -2 -0xa02a -0x5f35323a -256 -256 -1 -0 -0 -1 -0xdb3 -0xf29628ae -256 -256 -0 -0 -0 -4 -0x5f9f -0xade24e92 -256 -256 -0 -0 -0 -1 -0x4eca -0xf1680e20 -256 -256 -1 -0 -0 -2 -0x49a4 -0xb6d7cc66 -256 -256 -0 -0 -0 -4 -0xd583 -0xb00a752c -256 -256 -1 -0 -0 -4 -0x58a5 -0x65b44616 -256 -256 -1 -0 -0 -3 -0xb179 -0x13607018 -256 -256 -0 -0 -0 -1 -0xaffe -0x7695d050 -256 -256 -1 -0 -0 -2 -0xc686 -0x15cbcc68 -256 -256 -1 -0 -0 -2 -0xcb9 -0x3a362872 -256 -256 -0 -0 -0 -1 -0xe373 -0x6c44cc5e -256 -256 -1 -0 -0 -4 -0x50f7 -0x22f76028 -256 -256 -1 -0 -0 -1 -0x1998 -0xbb2eec4a -256 -256 -1 -0 -0 -4 -0x5a1f -0x28652606 -256 -256 -1 -0 -0 -2 -0x8759 -0xb5a9d7d5 -256 -256 -0 -0 -0 -1 -0x75b6 -0x194e2bf5 -256 -256 -1 -0 -0 -3 -0x66bd -0x6d17a5e2 -256 -256 -1 -0 -0 -4 -0x1ffc -0xf70b85b5 -256 -256 -0 -0 -0 -3 -0xb8f5 -0x7d5a2be5 -256 -256 -1 -0 -0 -4 -0xb1e2 -0xb98b4019 -256 -256 -1 -0 -0 -3 -0xa396 -0xe359d3ef -256 -256 -0 -0 -0 -3 -0x2b14 -0xe243a1ed -256 -256 -0 -0 -0 -1 -0x9f5f -0xa1bbe9ca -256 -256 -0 -0 -0 -1 -0xa066 -0x67cdcabf -256 -256 -0 -0 -0 -2 -0xf746 -0xb8f144d2 -256 -256 -1 -0 -0 -3 -0x6565 -0x7770e4e3 -256 -256 -1 -0 -0 -2 -0x3d62 -0xccb44f19 -256 -256 -1 -0 -0 -4 -0x66bf -0xefb607bd -256 -256 -0 -0 -0 -4 -0xdfcd -0x9a9cbfa8 -256 -256 -0 -0 -0 -4 -0x722f -0x6f4b72fa -256 -256 -0 -0 -0 -4 -0x4c68 -0x3ea518ed -256 -256 -1 -0 -0 -1 -0x1d42 -0x4d67f0bf -256 -256 -0 -0 -0 -4 -0xf9bc -0x50ee032b -256 -256 -0 -0 -0 -4 -0xb70a -0xc2d9f4b8 -256 -256 -0 -0 -0 -3 -0xcc09 -0x42c7e5fe -256 -256 -1 -0 -0 -4 -0xf81f -0x6e614b81 -256 -256 -1 -0 -0 -3 -0x671e -0xfabb07ad -256 -256 -1 -0 -0 -2 -0x6c26 -0xc9168513 -256 -256 -0 -0 -0 -3 -0x51be -0xa378e713 -256 -256 -0 -0 -0 -4 -0x26c4 -0x350e1eb6 -256 -256 -0 -0 -0 -1 -0x5a26 -0x2cd0b974 -256 -256 -1 -0 -0 -4 -0x7aaa -0xa33cfb2d -256 -256 -0 -0 -0 -4 -0xabe9 -0x2c9ed767 -256 -256 -0 -0 -0 -1 -0x1de2 -0xd455b65f -256 -256 -1 -0 -0 -4 -0xcda5 -0xb27cf0dc -256 -256 -0 -0 -0 -2 -0xd343 -0xf7fd7466 -256 -256 -1 -0 -0 -1 -0x7e30 -0x34da54d3 -256 -256 -1 -0 -0 -1 -0xab8a -0x1944e170 -256 -256 -1 -0 -0 -1 -0x534b -0x9d4d9434 -256 -256 -1 -0 -0 -4 -0x8dd8 -0xaa229daa -256 -256 -0 -0 -0 -1 -0x92cd -0x22c451c9 -256 -256 -0 -0 -0 -2 -0x33f2 -0xad4bf1e8 -256 -256 -1 -0 -0 -4 -0x7af6 -0xf6c0cc6c -256 -256 -0 -0 -0 -2 -0xb745 -0x6c9bdd3e -256 -256 -1 -0 -0 -3 -0x4496 -0x7f898a03 -256 -256 -1 -0 -0 -2 -0x5dcf -0xaf81b780 -256 -256 -1 -0 -0 -4 -0xc4d8 -0xc37914e7 -256 -256 -1 -0 -0 -1 -0x95e -0x83831e33 -256 -256 -1 -0 -0 -2 -0xece0 -0xe63ebfc8 -256 -256 -0 -0 -0 -4 -0xc801 -0x1d182b32 -256 -256 -0 -0 -0 -3 -0x9c8 -0x52d7d2de -256 -256 -1 -0 -0 -3 -0x5366 -0x40b04b10 -256 -256 -0 -0 -0 -2 -0xc2fe -0x2c092afc -256 -256 -1 -0 -0 -1 -0x2932 -0x9fc9cafd -256 -256 -1 -0 -0 -1 -0x2a63 -0x33e4e6aa -256 -256 -0 -0 -0 -3 -0x4bdc -0xab35ab44 -256 -256 -0 -0 -0 -2 -0xe723 -0xbc6efd88 -256 -256 -0 -0 -0 -1 -0xe4a -0x82fdc3af -256 -256 -1 -0 -0 -2 -0x4901 -0x278947e5 -256 -256 -1 -0 -0 -3 -0x3091 -0xab1760c0 -256 -256 -1 -0 -0 -1 -0xcfaa -0x47306b59 -256 -256 -1 -0 -0 -4 -0xb2b7 -0x6560fda8 -256 -256 -0 -0 -0 -3 -0xd60e -0x7ee76dd -256 -256 -0 -0 -0 -1 -0x2b47 -0xe38b6122 -256 -256 -0 -0 -0 -1 -0x55cd -0x47e694f4 -256 -256 -0 -0 -0 -4 -0x4477 -0xc041cf40 -256 -256 -0 -0 -0 -2 -0xf320 -0xe3501e2 -256 -256 -0 -0 -0 -3 -0x2736 -0xc68e65e1 -256 -256 -1 -0 -0 -1 -0x5c56 -0xe4209f19 -256 -256 -0 -0 -0 -1 -0xf34f -0x69910035 -256 -256 -1 -0 -0 -2 -0xe3c5 -0xc4a439e -256 -256 -0 -0 -0 -1 -0x63f3 -0x611ed1d7 -256 -256 -0 -0 -0 -1 -0x98cd -0xdde16fc5 -256 -256 -0 -0 -0 -4 -0x21d5 -0xe06b55c1 -256 -256 -1 -0 -0 -2 -0x43be -0x5344d54c -256 -256 -0 -0 -0 -3 -0xf571 -0xd3cdf566 -256 -256 -0 -0 -0 -3 -0x93cb -0x43f5ee81 -256 -256 -1 -0 -0 -1 -0x4292 -0x2fae8d3a -256 -256 -1 -0 -0 -4 -0xba82 -0xcaa8cc85 -256 -256 -0 -0 -0 -2 -0x4b3a -0xb97d70ee -256 -256 -1 -0 -0 -3 -0xa71f -0x772536e0 -256 -256 -1 -0 -0 -3 -0x6c4f -0x7cfcba90 -256 -256 -0 -0 -0 -1 -0xa7e7 -0xa826f210 -256 -256 -1 -0 -0 -4 -0x7930 -0x66e3a426 -256 -256 -0 -0 -0 -1 -0x9f32 -0x793126d5 -256 -256 -1 -0 -0 -2 -0x8012 -0xdec478e1 -256 -256 -1 -0 -0 -3 -0x9a10 -0x5b31ddf1 -256 -256 -0 -0 -0 -4 -0x3aa5 -0xf813b98c -256 -256 -0 -0 -0 -3 -0xb88a -0xf8fc9e03 -256 -256 -1 -0 -0 -1 -0x59e4 -0xd9ca5508 -256 -256 -0 -0 -0 -4 -0xd9e7 -0x1c32b936 -256 -256 -1 -0 -0 -2 -0x5fa1 -0xe6b7bcbd -256 -256 -1 -0 -0 -1 -0x45e -0x3495025f -256 -256 -0 -0 -0 -1 -0xd128 -0x730c05eb -256 -256 -0 -0 -0 -1 -0xfe71 -0xbe8b6201 -256 -256 -0 -0 -0 -2 -0x759e -0x6fafaeca -256 -256 -1 -0 -0 -1 -0xbed9 -0x24df43b8 -256 -256 -1 -0 -0 -1 -0x81a0 -0xbcbfc1ea -256 -256 -1 -0 -0 -2 -0x28d4 -0x647a8a4f -256 -256 -1 -0 -0 -1 -0x3ca9 -0x28e22f55 -256 -256 -1 -0 -0 -2 -0xa059 -0x6cae0307 -256 -256 -0 -0 -0 -1 -0x4a00 -0x3454e5b4 -256 -256 -0 -0 -0 -1 -0x936 -0xd07753dc -256 -256 -1 -0 -0 -1 -0x1c58 -0x490f37a6 -256 -256 -1 -0 -0 -1 -0x7fc -0x98ac6a66 -256 -256 -1 -0 -0 -4 -0x6378 -0xe04c08f9 -256 -256 -1 -0 -0 -4 -0x744e -0x1eac090d -256 -256 -1 -0 -0 -3 -0xf300 -0x81c0c094 -256 -256 -1 -0 -0 -4 -0xe8a1 -0xc6faab15 -256 -256 -1 -0 -0 -4 -0xca73 -0xd7c8496 -256 -256 -1 -0 -0 -3 -0x7d6b -0x886487ba -256 -256 -0 -0 -0 -1 -0xccc4 -0x90229fcd -256 -256 -0 -0 -0 -2 -0xb3c7 -0x2a8ddd1a -256 -256 -1 -0 -0 -2 -0x1fae -0xd2413709 -256 -256 -1 -0 -0 -2 -0xa953 -0xeffb434c -256 -256 -1 -0 -0 -3 -0x98b3 -0xfded37c7 -256 -256 -1 -0 -0 -4 -0xaed3 -0x1d361624 -256 -256 -0 -0 -0 -3 -0x3c54 -0x1ced9bd6 -256 -256 -0 -0 -0 -1 -0x8a32 -0x907fb40e -256 -256 -0 -0 -0 -3 -0x9988 -0x7a6e7563 -256 -256 -0 -0 -0 -4 -0x37c5 -0xa20242d0 -256 -256 -0 -0 -0 -2 -0xa7 -0xfdffeacd -256 -256 -0 -0 -0 -2 -0xa245 -0xbc4d3c40 -256 -256 -1 -0 -0 -4 -0xb417 -0x26feb8e5 -256 -256 -1 -0 -0 -3 -0x823e -0x7cf5f417 -256 -256 -1 -0 -0 -3 -0x66b5 -0x163e02ad -256 -256 -1 -0 -0 -3 -0x866f -0xb2f2efca -256 -256 -0 -0 -0 -4 -0x39f8 -0x59e70902 -256 -256 -0 -0 -0 -3 -0x95a9 -0x94d43037 -256 -256 -0 -0 -0 -1 -0x74ae -0x425c8fb0 -256 -256 -1 -0 -0 -3 -0xad70 -0xc335eb43 -256 -256 -0 -0 -0 -2 -0xa1f8 -0xdde438e7 -256 -256 -0 -0 -0 -3 -0x3989 -0x86019690 -256 -256 -1 -0 -0 -2 -0x2b91 -0x9e91773b -256 -256 -0 -0 -0 -2 -0xc540 -0xd4ba4ed2 -256 -256 -1 -0 -0 -3 -0xb044 -0x97ea6e5f -256 -256 -1 -0 -0 -4 -0xfa45 -0xbe049227 -256 -256 -1 -0 -0 -2 -0xf1fd -0x8496878b -256 -256 -1 -0 -0 -1 -0x96a3 -0x53da8fd9 -256 -256 -1 -0 -0 -2 -0x3072 -0x6381e829 -256 -256 -1 -0 -0 -1 -0x81d -0x2f44aaee -256 -256 -0 -0 -0 -1 -0x17f9 -0xcd7473ea -256 -256 -1 -0 -0 -1 -0xf8d7 -0x509831c -256 -256 -0 -0 -0 -1 -0x41ed -0x16ff6610 -256 -256 -0 -0 -0 -1 -0x531a -0xad5e6bf0 -256 -256 -1 -0 -0 -1 -0xeef7 -0x5c2830b3 -256 -256 -0 -0 -0 -2 -0xce04 -0x8605c13 -256 -256 -1 -0 -0 -1 -0x8436 -0xda99c099 -256 -256 -0 -0 -0 -4 -0x76db -0x44def136 -256 -256 -0 -0 -0 -3 -0xde38 -0x429684f3 -256 -256 -1 -0 -0 -2 -0xe4df -0xfd102efb -256 -256 -1 -0 -0 -2 -0x7fbc -0x706349ec -256 -256 -1 -0 -0 -2 -0x63bb -0x6df65411 -256 -256 -1 -0 -0 -4 -0xa941 -0x5079bd73 -256 -256 -0 -0 -0 -4 -0xcd82 -0xabb717ae -256 -256 -0 -0 -0 -2 -0x6fd3 -0xf214c510 -256 -256 -0 -0 -0 -1 -0xe268 -0x770e526f -256 -256 -1 -0 -0 -3 -0x643f -0x635b9e03 -256 -256 -0 -0 -0 -4 -0x2a86 -0x5dd9de43 -256 -256 -1 -0 -0 -4 -0x5a50 -0x7a9ce14e -256 -256 -1 -0 -0 -2 -0x48dd -0xf0d1e5bd -256 -256 -0 -0 -0 -2 -0x5d2e -0xb5aada7c -256 -256 -0 -0 -0 -4 -0xf14b -0x3eb1103 -256 -256 -0 -0 -0 -3 -0x8fea -0xa4115e42 -256 -256 -1 -0 -0 -3 -0x12c6 -0xe3c49b11 -256 -256 -1 -0 -0 -2 -0xa300 -0x75d3f008 -256 -256 -0 -0 -0 -4 -0xc19c -0xad458194 -256 -256 -1 -0 -0 -4 -0xa54a -0x720e5d11 -256 -256 -0 -0 -0 -4 -0xa048 -0x1b3b2a35 -256 -256 -0 -0 -0 -2 -0x37e8 -0xcb64b6a2 -256 -256 -1 -0 -0 -4 -0x87a4 -0x2d2b730e -256 -256 -0 -0 -0 -1 -0x85a0 -0x9e89da73 -256 -256 -1 -0 -0 -4 -0x596a -0xd1823f32 -256 -256 -0 -0 -0 -3 -0xb23 -0x6084231e -256 -256 -1 -0 -0 -1 -0x1105 -0x632c5dcb -256 -256 -0 -0 -0 -2 -0xb172 -0x6803938 -256 -256 -1 -0 -0 -2 -0x35bf -0xcb145020 -256 -256 -0 -0 -0 -4 -0xe5de -0xc900f666 -256 -256 -1 -0 -0 -3 -0x8c0e -0xf399d1db -256 -256 -0 -0 -0 -2 -0xe0ab -0x879dad3a -256 -256 -1 -0 -0 -2 -0xd9f2 -0x5c7d73da -256 -256 -1 -0 -0 -3 -0xac1 -0xfc4c8245 -256 -256 -0 -0 -0 -3 -0x29fb -0x99ef2aad -256 -256 -0 -0 -0 -1 -0x7c40 -0x3944a2a1 -256 -256 -0 -0 -0 -2 -0x29ca -0xb2a39632 -256 -256 -1 -0 -0 -1 -0x2527 -0xe57ec7f6 -256 -256 -0 -0 -0 -4 -0x831d -0x21d6c261 -256 -256 -1 -0 -0 -3 -0x9ff1 -0xb526a830 -256 -256 -0 -0 -0 -2 -0xb8ae -0x26149afe -256 -256 -1 -0 -0 -1 -0x9b43 -0x3437020d -256 -256 -1 -0 -0 -3 -0xbfc4 -0x7a1b5866 -256 -256 -1 -0 -0 -1 -0x219e -0x177e251d -256 -256 -1 -0 -0 -1 -0x5bb9 -0x8c444746 -256 -256 -0 -0 -0 -3 -0xaf2 -0x32c52aa4 -256 -256 -0 -0 -0 -1 -0xe2b0 -0x89de3162 -256 -256 -0 -0 -0 -2 -0xa72c -0xe9d79bb3 -256 -256 -1 -0 -0 -3 -0x6821 -0x7caecf9c -256 -256 -1 -0 -0 -2 -0x2580 -0x49dc9547 -256 -256 -0 -0 -0 -1 -0x18dc -0x5804d397 -256 -256 -0 -0 -0 -2 -0x41e1 -0x58c95f9c -256 -256 -1 -0 -0 -2 -0x4a5 -0xecabea3d -256 -256 -1 -0 -0 -1 -0x1628 -0xd027c5a7 -256 -256 -1 -0 -0 -1 -0x1335 -0x2b06c005 -256 -256 -0 -0 -0 -3 -0xe2b5 -0x7df8e03 -256 -256 -1 -0 -0 -4 -0xd05d -0x846cbba3 -256 -256 -0 -0 -0 -3 -0x871a -0x146d50b8 -256 -256 -0 -0 -0 -1 -0xaade -0x6966de3a -256 -256 -0 -0 -0 -3 -0x50c0 -0xef9a3042 -256 -256 -0 -0 -0 -4 -0xcdf5 -0xad94afde -256 -256 -1 -0 -0 -4 -0xe58 -0x663e6e27 -256 -256 -0 -0 -0 -3 -0x95b2 -0x2101f780 -256 -256 -1 -0 -0 -2 -0x66cc -0xfe3c607b -256 -256 -0 -0 -0 -1 -0xdec3 -0x614fc677 -256 -256 -1 -0 -0 -3 -0xf8d3 -0xf281da3b -256 -256 -1 -0 -0 -3 -0x2ec7 -0x4c5ddb8d -256 -256 -1 -0 -0 -2 -0xf44 -0xc72c2ae6 -256 -256 -1 -0 -0 -1 -0x3a04 -0x6da3fcfc -256 -256 -1 -0 -0 -1 -0xfe86 -0x102df6e -256 -256 -1 -0 -0 -2 -0x54d1 -0xa13dea45 -256 -256 -1 -0 -0 -4 -0x7bec -0x6f70c2c -256 -256 -0 -0 -0 -2 -0xb097 -0x432fb43f -256 -256 -1 -0 -0 -1 -0x30d2 -0x9dbacf1 -256 -256 -0 -0 -0 -4 -0xa089 -0x49169c04 -256 -256 -1 -0 -0 -3 -0x44c9 -0x8aaa3918 -256 -256 -1 -0 -0 -2 -0x174 -0x1ef0e61 -256 -256 -0 -0 -0 -2 -0xfa6a -0xca0941a9 -256 -256 -0 -0 -0 -4 -0x4c4f -0x915f5943 -256 -256 -0 -0 -0 -4 -0xc0cc -0x32e0aad4 -256 -256 -1 -0 -0 -4 -0xc6c3 -0x864bd01 -256 -256 -1 -0 -0 -3 -0x884 -0x6edb8bbc -256 -256 -1 -0 -0 -2 -0x2265 -0xd54869eb -256 -256 -0 -0 -0 -3 -0x8d9e -0x3bb15913 -256 -256 -0 -0 -0 -3 -0xe1a8 -0x81e56641 -256 -256 -0 -0 -0 -3 -0x1fff -0xc1467b93 -256 -256 -1 -0 -0 -3 -0x92b0 -0xd3e642e9 -256 -256 -0 -0 -0 -3 -0xb80a -0x886cbb75 -256 -256 -1 -0 -0 -1 -0xbe96 -0x3461308a -256 -256 -0 -0 -0 -1 -0x3a6e -0x44327ae7 -256 -256 -0 -0 -0 -1 -0xd7f6 -0x4040d355 -256 -256 -0 -0 -0 -3 -0x2b79 -0xdd0c6aca -256 -256 -0 -0 -0 -1 -0xc276 -0x1eb1d538 -256 -256 -0 -0 -0 -2 -0x694 -0xaf08eea4 -256 -256 -1 -0 -0 -3 -0xe542 -0x93b3c17 -256 -256 -1 -0 -0 -1 -0x6436 -0x45b7ce0c -256 -256 -1 -0 -0 -1 -0xcd9d -0xef267bc8 -256 -256 -1 -0 -0 -4 -0xc769 -0x5ffc3ef1 -256 -256 -0 -0 -0 -1 -0x5884 -0xf20a8fab -256 -256 -1 -0 -0 -1 -0x77d9 -0x5ed81077 -256 -256 -1 -0 -0 -4 -0xd60e -0x9f4b8859 -256 -256 -1 -0 -0 -3 -0xcea0 -0x99e3f330 -256 -256 -0 -0 -0 -3 -0x65cf -0x7fe7bc80 -256 -256 -1 -0 -0 -1 -0xf8cc -0x85d8c2fd -256 -256 -1 -0 -0 -4 -0xdc0d -0x7744cb49 -256 -256 -1 -0 -0 -1 -0x38db -0xebde84f4 -256 -256 -0 -0 -0 -3 -0xaf39 -0x46adc3c6 -256 -256 -0 -0 -0 -4 -0xc420 -0x355b081e -256 -256 -1 -0 -0 -4 -0xffa8 -0x94546f61 -256 -256 -0 -0 -0 -4 -0x5318 -0x6e9fd7d2 -256 -256 -1 -0 -0 -3 -0x6318 -0xb5e391d5 -256 -256 -0 -0 -0 -1 -0xad03 -0x202ae183 -256 -256 -1 -0 -0 -3 -0x171f -0x8058d75f -256 -256 -0 -0 -0 -4 -0x7ee3 -0x7554b918 -256 -256 -1 -0 -0 -3 -0x3c97 -0x866a3056 -256 -256 -0 -0 -0 -2 -0xf0c6 -0x6113e2dd -256 -256 -0 -0 -0 -1 -0x2aaf -0x9d3fb6a0 -256 -256 -1 -0 -0 -4 -0x4f4c -0x33b47799 -256 -256 -0 -0 -0 -2 -0x6260 -0xefdee095 -256 -256 -0 -0 -0 -3 -0xde8f -0x87dff6ee -256 -256 -0 -0 -0 -2 -0xa8c1 -0xe224e7ef -256 -256 -0 -0 -0 -1 -0x4545 -0x1e15dc2d -256 -256 -1 -0 -0 -3 -0xa9e7 -0x81a7fb9c -256 -256 -1 -0 -0 -2 -0x4683 -0x3a18e3cf -256 -256 -1 -0 -0 -1 -0xa200 -0x18815453 -256 -256 -0 -0 -0 -1 -0x2249 -0x63d1529c -256 -256 -0 -0 -0 -3 -0x73c1 -0x9492244f -256 -256 -0 -0 -0 -2 -0xc31b -0x11ec47ba -256 -256 -0 -0 -0 -3 -0xc4ad -0xd028e4f4 -256 -256 -0 -0 -0 -3 -0x1328 -0x2fefbb7d -256 -256 -1 -0 -0 -1 -0x101b -0xfe55ec84 -256 -256 -0 -0 -0 -2 -0xec89 -0x9fd52e83 -256 -256 -1 -0 -0 -3 -0x1ba9 -0x8999e47c -256 -256 -1 -0 -0 -3 -0xe5ed -0x95972181 -256 -256 -0 -0 -0 -2 -0x2aa3 -0xbbb4997a -256 -256 -1 -0 -0 -3 -0x714 -0x509bd594 -256 -256 -1 -0 -0 -3 -0x2c7f -0xd64b9883 -256 -256 -0 -0 -0 -1 -0x8fd3 -0x91ed0621 -256 -256 -1 -0 -0 -1 -0x61a4 -0x11e20ad -256 -256 -1 -0 -0 -4 -0xb16f -0xbee2b8d1 -256 -256 -0 -0 -0 -4 -0x234f -0xe9275651 -256 -256 -0 -0 -0 -4 -0x91e2 -0x17310c43 -256 -256 -1 -0 -0 -3 -0x22d5 -0x23da7be0 -256 -256 -1 -0 -0 -1 -0xc033 -0x6fcd8663 -256 -256 -0 -0 -0 -4 -0xff9a -0x960d0e06 -256 -256 -0 -0 -0 -3 -0x96ab -0x480099e1 -256 -256 -0 -0 -0 -3 -0x2fb1 -0x10210cda -256 -256 -1 -0 -0 -4 -0x5a7e -0x65f73247 -256 -256 -1 -0 -0 -2 -0x21ed -0x50873190 -256 -256 -0 -0 -0 -2 -0x7961 -0xb6f8f7e9 -256 -256 -0 -0 -0 -2 -0xf61b -0x6eb83f4 -256 -256 -1 -0 -0 -2 -0xf333 -0x959dac1e -256 -256 -0 -0 -0 -4 -0x3f0a -0x193aa288 -256 -256 -1 -0 -0 -4 -0x10ef -0x169c3f0e -256 -256 -0 -0 -0 -3 -0x7aa9 -0x1fc78124 -256 -256 -0 -0 -0 -3 -0x91ec -0xd0a121c0 -256 -256 -0 -0 -0 -3 -0x38da -0x66c9da36 -256 -256 -0 -0 -0 -1 -0xd698 -0x39287694 -256 -256 -1 -0 -0 -1 -0xff0f -0xba0ef4a0 -256 -256 -0 -0 -0 -4 -0xae76 -0xb44c649f -256 -256 -0 -0 -0 -2 -0xa1b4 -0xf524d877 -256 -256 -1 -0 -0 -1 -0x2064 -0xb52137c7 -256 -256 -1 -0 -0 -3 -0x8bb6 -0xe53e5624 -256 -256 -1 -0 -0 -3 -0x8bca -0x6b4a80bc -256 -256 -1 -0 -0 -1 -0x738d -0x652621cf -256 -256 -1 -0 -0 -3 -0x31c5 -0xc198631c -256 -256 -0 -0 -0 -1 -0xa08f -0x8932fd73 -256 -256 -1 -0 -0 -3 -0xb539 -0x8b7e4f4e -256 -256 -1 -0 -0 -2 -0x5e39 -0xdb9780ce -256 -256 -0 -0 -0 -3 -0x24af -0x44db2af7 -256 -256 -1 -0 -0 -2 -0x2d82 -0x1789ca5c -256 -256 -0 -0 -0 -2 -0x62cf -0x230096ba -256 -256 -0 -0 -0 -1 -0x573e -0x9d604802 -256 -256 -0 -0 -0 -4 -0xd4d8 -0x7d3dda6e -256 -256 -1 -0 -0 -1 -0xc599 -0x8f232573 -256 -256 -1 -0 -0 -4 -0x866c -0xeddacf33 -256 -256 -1 -0 -0 -2 -0x3c12 -0x20dc7bea -256 -256 -0 -0 -0 -3 -0xeb61 -0xf5dd5b86 -256 -256 -0 -0 -0 -3 -0xb534 -0x667a642 -256 -256 -1 -0 -0 -2 -0x930a -0x2fd52ef -256 -256 -1 -0 -0 -2 -0xa6ec -0x18341cf3 -256 -256 -0 -0 -0 -4 -0x711 -0x53fb009f -256 -256 -0 -0 -0 -4 -0xa6e1 -0xe01b0313 -256 -256 -1 -0 -0 -1 -0xc812 -0xca1a8b9b -256 -256 -0 -0 -0 -1 -0x38dc -0xed4057db -256 -256 -1 -0 -0 -2 -0xe5a -0x923d3c05 -256 -256 -0 -0 -0 -2 -0x8458 -0xbb1e8faa -256 -256 -0 -0 -0 -4 -0xfc79 -0xddd4fae0 -256 -256 -0 -0 -0 -1 -0x1fbe -0x4eed2e7a -256 -256 -0 -0 -0 -3 -0x980e -0xb6d54f97 -256 -256 -1 -0 -0 -1 -0xfe20 -0xe2ef212a -256 -256 -1 -0 -0 -3 -0xed87 -0x5f41359c -256 -256 -0 -0 -0 -1 -0xe2a7 -0x57978161 -256 -256 -1 -0 -0 -1 -0xab77 -0xbf9d3d1 -256 -256 -0 -0 -0 -2 -0xd7ac -0xf882d79f -256 -256 -0 -0 -0 -2 -0xad57 -0xf49709f4 -256 -256 -1 -0 -0 -2 -0x8dc -0xe564b8ab -256 -256 -1 -0 -0 -3 -0x1496 -0xe30c256 -256 -256 -0 -0 -0 -4 -0x897 -0xd6070e93 -256 -256 -0 -0 -0 -1 -0xb66c -0x31b2695 -256 -256 -0 -0 -0 -4 -0x38b0 -0x737260f6 -256 -256 -1 -0 -0 -4 -0xe9e1 -0xcfa8d1f5 -256 -256 -1 -0 -0 -3 -0xd1af -0x91252259 -256 -256 -0 -0 -0 -4 -0xb414 -0x7be8ea5c -256 -256 -0 -0 -0 -2 -0x5b5a -0x570ee1f4 -256 -256 -1 -0 -0 -2 -0xdee5 -0xef06055d -256 -256 -1 -0 -0 -4 -0xe5a5 -0x833fffb9 -256 -256 -0 -0 -0 -4 -0x5544 -0x7ee73d7f -256 -256 -1 -0 -0 -2 -0x8934 -0x36a573c0 -256 -256 -0 -0 -0 -4 -0x43a0 -0x9c9bebb2 -256 -256 -1 -0 -0 -3 -0xec8b -0xe74a164d -256 -256 -0 -0 -0 -3 -0x98b1 -0x3d2a2f81 -256 -256 -0 -0 -0 -3 -0x5159 -0x52afa52a -256 -256 -0 -0 -0 -4 -0x391f -0x25236596 -256 -256 -0 -0 -0 -1 -0xe33 -0x1a37f5f6 -256 -256 -1 -0 -0 -3 -0xec4c -0x567b7084 -256 -256 -1 -0 -0 -3 -0xbb0 -0x51f5b0a3 -256 -256 -1 -0 -0 -1 -0x31b5 -0x72fa9d20 -256 -256 -0 -0 -0 -3 -0x52a0 -0x7e2efcb2 -256 -256 -0 -0 -0 -4 -0xa219 -0x129ad893 -256 -256 -0 -0 -0 -2 -0xffe0 -0x98f5696d -256 -256 -1 -0 -0 -4 -0xe431 -0x6fd9581d -256 -256 -1 -0 -0 -1 -0x5f35 -0x81752777 -256 -256 -1 -0 -0 -2 -0x6d83 -0xfd3398fe -256 -256 -1 -0 -0 -1 -0xf52b -0x62415ce8 -256 -256 -1 -0 -0 -1 -0x48b -0x448dad44 -256 -256 -0 -0 -0 -1 -0x731d -0xcb0e5667 -256 -256 -0 -0 -0 -4 -0xbfbf -0xd5e3973e -256 -256 -0 -0 -0 -4 -0x5830 -0x67036a3f -256 -256 -1 -0 -0 -4 -0xcda -0x9867939f -256 -256 -0 -0 -0 -3 -0x379f -0xabb6029 -256 -256 -0 -0 -0 -4 -0x8256 -0x792de984 -256 -256 -0 -0 -0 -3 -0xc3e4 -0x349e4d2f -256 -256 -0 -0 -0 -3 -0x6d0b -0x1d7b8477 -256 -256 -1 -0 -0 -2 -0x9835 -0xfc4f9e23 -256 -256 -1 -0 -0 -1 -0xe10 -0xe957ceec -256 -256 -0 -0 -0 -3 -0x7f28 -0xc2acc15 -256 -256 -1 -0 -0 -3 -0x8b6e -0xb1afcef5 -256 -256 -0 -0 -0 -3 -0x336a -0xdb5298d5 -256 -256 -0 -0 -0 -4 -0xa3bb -0x5ae34193 -256 -256 -1 -0 -0 -3 -0xc996 -0xdcb0e752 -256 -256 -1 -0 -0 -2 -0x4980 -0x1fc1ef47 -256 -256 -0 -0 -0 -2 -0x96e8 -0x50b73a9f -256 -256 -0 -0 -0 -1 -0x3945 -0x53b236ac -256 -256 -1 -0 -0 -3 -0x7f3a -0xdc6fb0e4 -256 -256 -1 -0 -0 -3 -0x3b7c -0xb406fadf -256 -256 -0 -0 -0 -1 -0x1245 -0x80e1a65b -256 -256 -1 -0 -0 -3 -0xeed9 -0x6a9f8acc -256 -256 -1 -0 -0 -1 -0xc96 -0x6735419 -256 -256 -0 -0 -0 -3 -0x60f4 -0x3f99619 -256 -256 -1 -0 -0 -1 -0x306c -0xc4736bd7 -256 -256 -0 -0 -0 -1 -0x8cdb -0xa4aba617 -256 -256 -1 -0 -0 -1 -0x81be -0xc7841960 -256 -256 -1 -0 -0 -1 -0x7c42 -0x4f1ffad9 -256 -256 -0 -0 -0 -2 -0x21fa -0x30475196 -256 -256 -1 -0 -0 -3 -0x4f9e -0x56cca1f -256 -256 -1 -0 -0 -3 -0x8a3a -0xbf575170 -256 -256 -0 -0 -0 -1 -0x80dc -0xfd85280a -256 -256 -1 -0 -0 -4 -0x5161 -0x78b2b8e1 -256 -256 -0 -0 -0 -1 -0x8a38 -0x3bb8e233 -256 -256 -1 -0 -0 -4 -0x64d6 -0xdfb36a92 -256 -256 -0 -0 -0 -1 -0xf8af -0xd9d3fdaf -256 -256 -1 -0 -0 -4 -0x5782 -0x8d49086e -256 -256 -0 -0 -0 -2 -0x2fe0 -0xb0f50a1d -256 -256 -1 -0 -0 -2 -0x6eed -0x140a031b -256 -256 -1 -0 -0 -2 -0x849a -0x80ee1de8 -256 -256 -0 -0 -0 -3 -0xe9b8 -0x40196180 -256 -256 -0 -0 -0 -4 -0x12d5 -0x71fb120d -256 -256 -1 -0 -0 -2 -0x2ed2 -0x452d8246 -256 -256 -0 -0 -0 -3 -0x370d -0x21459879 -256 -256 -1 -0 -0 -4 -0xeb72 -0xcf246307 -256 -256 -0 -0 -0 -1 -0xd271 -0xc524d36d -256 -256 -1 -0 -0 -4 -0xc719 -0xd103943 -256 -256 -1 -0 -0 -1 -0x3d64 -0x9c7dca76 -256 -256 -1 -0 -0 -2 -0x7980 -0xe6dd0508 -256 -256 -0 -0 -0 -1 -0xf995 -0x714693aa -256 -256 -0 -0 -0 -2 -0x83c -0x427396c4 -256 -256 -1 -0 -0 -4 -0x308d -0xe484118a -256 -256 -1 -0 -0 -2 -0x6966 -0xe25801b -256 -256 -1 -0 -0 -2 -0xafd7 -0xe9c552b3 -256 -256 -0 -0 -0 -3 -0x2e3b -0x83d0b504 -256 -256 -0 -0 -0 -3 -0x964e -0xfa03eb92 -256 -256 -1 -0 -0 -1 -0x85c7 -0x2455aaae -256 -256 -1 -0 -0 -4 -0xe6d8 -0x9da3de15 -256 -256 -0 -0 -0 -1 -0x87cf -0x349dc003 -256 -256 -0 -0 -0 -1 -0x2959 -0xdb0ae3cf -256 -256 -1 -0 -0 -4 -0x9ff3 -0x650de0da -256 -256 -0 -0 -0 -1 -0x3fa1 -0x435e0de7 -256 -256 -0 -0 -0 -4 -0x960e -0xff67a4f4 -256 -256 -0 -0 -0 -1 -0xe199 -0x3305e5c1 -256 -256 -1 -0 -0 -4 -0xa570 -0x8db416ed -256 -256 -0 -0 -0 -3 -0xdf7c -0x44ec680e -256 -256 -0 -0 -0 -2 -0xb593 -0xcb3e82f5 -256 -256 -1 -0 -0 -2 -0x1077 -0x6189d547 -256 -256 -0 -0 -0 -2 -0xde08 -0xf67184f -256 -256 -0 -0 -0 -4 -0x9872 -0xc4000187 -256 -256 -1 -0 -0 -1 -0x9b42 -0x220e3a25 -256 -256 -1 -0 -0 -4 -0x8d81 -0xfb8bb553 -256 -256 -1 -0 -0 -2 -0x44dc -0x22c522a0 -256 -256 -1 -0 -0 -3 -0x128b -0x3225f5e1 -256 -256 -1 -0 -0 -1 -0xd270 -0xc8a0b1c6 -256 -256 -1 -0 -0 -3 -0x9f41 -0x19f5f62d -256 -256 -1 -0 -0 -3 -0xd4e9 -0xdc624415 -256 -256 -0 -0 -0 -3 -0x2d2b -0xa3362e80 -256 -256 -1 -0 -0 -3 -0xb385 -0x55ebc165 -256 -256 -1 -0 -0 -1 -0x6542 -0x74766cdf -256 -256 -0 -0 -0 -1 -0x4432 -0xaae8ac8 -256 -256 -0 -0 -0 -1 -0x6e3d -0x1ae7eb23 -256 -256 -1 -0 -0 -2 -0xe346 -0x9842e48a -256 -256 -1 -0 -0 -4 -0x853 -0x43037228 -256 -256 -0 -0 -0 -1 -0x1490 -0x65278f52 -256 -256 -0 -0 -0 -4 -0x67ef -0x2ee4f4a2 -256 -256 -0 -0 -0 -3 -0xc046 -0x64e865a6 -256 -256 -0 -0 -0 -4 -0x8467 -0x55971d18 -256 -256 -0 -0 -0 -4 -0xe016 -0x13ad5285 -256 -256 -0 -0 -0 -1 -0x1dab -0xa07380e1 -256 -256 -0 -0 -0 -3 -0x2031 -0x51828b29 -256 -256 -1 -0 -0 -3 -0x4c90 -0x45234a63 -256 -256 -1 -0 -0 -1 -0x6c99 -0xc7579950 -256 -256 -1 -0 -0 -1 -0x18d8 -0xbab3f9b5 -256 -256 -0 -0 -0 -3 -0x59a0 -0x9ef2862 -256 -256 -0 -0 -0 -3 -0x76f4 -0x64cfb009 -256 -256 -1 -0 -0 -1 -0xbf3 -0xe22da5e8 -256 -256 -0 -0 -0 -4 -0x7f36 -0x3bc66e62 -256 -256 -1 -0 -0 -3 -0xe771 -0xecc32b30 -256 -256 -1 -0 -0 -1 -0x6347 -0xbeb57ed0 -256 -256 -1 -0 -0 -4 -0x46df -0xb9fec24 -256 -256 -0 -0 -0 -4 -0x38b7 -0x253d2647 -256 -256 -0 -0 -0 -3 -0xc4b -0xc17f49c2 -256 -256 -1 -0 -0 -2 -0x3632 -0x765b7636 -256 -256 -1 -0 -0 -3 -0x2be0 -0x2541f4d3 -256 -256 -1 -0 -0 -2 -0x8173 -0x39b7ef3c -256 -256 -1 -0 -0 -2 -0xa252 -0xe5d907e4 -256 -256 -0 -0 -0 -1 -0xcd72 -0xa328723f -256 -256 -0 -0 -0 -4 -0xa9d -0xc51e33b0 -256 -256 -0 -0 -0 -4 -0x8d9f -0xccd73937 -256 -256 -0 -0 -0 -3 -0x729a -0x7fd8c21 -256 -256 -1 -0 -0 -2 -0x47e2 -0x39e72a93 -256 -256 -0 -0 -0 -3 -0x74d6 -0xf2083de7 -256 -256 -1 -0 -0 -2 -0x6e87 -0xf5091876 -256 -256 -0 -0 -0 -2 -0xba32 -0x41515eb4 -256 -256 -0 -0 -0 -3 -0x45cb -0xaeadcee6 -256 -256 -1 -0 -0 -2 -0xe2ff -0x52618104 -256 -256 -1 -0 -0 -4 -0xff2c -0x1bc802c2 -256 -256 -1 -0 -0 -4 -0xe94d -0x51f351d2 -256 -256 -1 -0 -0 -2 -0x2e49 -0xc3683 -256 -256 -0 -0 -0 -1 -0xd763 -0x921e87a3 -256 -256 -1 -0 -0 -2 -0xba38 -0x76902db3 -256 -256 -0 -0 -0 -1 -0xab6c -0xf0a2087f -256 -256 -1 -0 -0 -2 -0x95ab -0xac8de052 -256 -256 -0 -0 -0 -3 -0x9944 -0x976293da -256 -256 -1 -0 -0 -2 -0x497f -0x845271e5 -256 -256 -1 -0 -0 -1 -0x26f1 -0x8a130619 -256 -256 -0 -0 -0 -2 -0xc53f -0xd61c7542 -256 -256 -0 -0 -0 -3 -0xc8fc -0xb456b96b -256 -256 -0 -0 -0 -3 -0x48d4 -0xdf71774b -256 -256 -0 -0 -0 -3 -0xacae -0xbfeb4fe7 -256 -256 -0 -0 -0 -4 -0xeb99 -0x46667d62 -256 -256 -1 -0 -0 -2 -0x7d84 -0x944d5948 -256 -256 -1 -0 -0 -1 -0xdfa9 -0x60783d2b -256 -256 -1 -0 -0 -3 -0x50ae -0xe10320d5 -256 -256 -0 -0 -0 -4 -0xad26 -0xca566fb0 -256 -256 -0 -0 -0 -4 -0xbb89 -0x1244004f -256 -256 -1 -0 -0 -2 -0x25f7 -0x1824236c -256 -256 -1 -0 -0 -4 -0x21e7 -0x2fd2e5b6 -256 -256 -0 -0 -0 -3 -0x866f -0xa20fc090 -256 -256 -0 -0 -0 -1 -0xc098 -0xa422b807 -256 -256 -1 -0 -0 -4 -0xfa3a -0x633eea7c -256 -256 -1 -0 -0 -3 -0x22da -0x6cd70e2b -256 -256 -1 -0 -0 -3 -0xdfe2 -0xa25ecc8a -256 -256 -1 -0 -0 -4 -0x4c6 -0x528f9eb7 -256 -256 -1 -0 -0 -4 -0xcc7e -0x963602c0 -256 -256 -1 -0 -0 -3 -0x52d6 -0x1d28380f -256 -256 -0 -0 -0 -3 -0xc67c -0x49681e5a -256 -256 -1 -0 -0 -3 -0x5361 -0x4bb98e36 -256 -256 -1 -0 -0 -2 -0xa540 -0xda436e8a -256 -256 -0 -0 -0 -2 -0xab42 -0xe70214ff -256 -256 -0 -0 -0 -2 -0x3235 -0x178d88a1 -256 -256 -0 -0 -0 -4 -0x5c1d -0x366652f7 -256 -256 -0 -0 -0 -2 -0xec6c -0xdc125b5f -256 -256 -1 -0 -0 -2 -0x4775 -0xab3ba858 -256 -256 -1 -0 -0 -3 -0x4f9a -0x429e1c39 -256 -256 -1 -0 -0 -4 -0xf551 -0xa9ff8f90 -256 -256 -1 -0 -0 -4 -0xa96b -0x65b49c8a -256 -256 -1 -0 -0 -4 -0xd6b3 -0x202a7c8b -256 -256 -0 -0 -0 -1 -0xb051 -0xdd8faa46 -256 -256 -0 -0 -0 -2 -0xda57 -0x462bb033 -256 -256 -0 -0 -0 -3 -0xe9a3 -0x94fe402a -256 -256 -0 -0 -0 -4 -0xd3a5 -0xd1982a3 -256 -256 -1 -0 -0 -2 -0x4022 -0xc2fc5ab3 -256 -256 -0 -0 -0 -3 -0x4055 -0xeabdaa47 -256 -256 -1 -0 -0 -4 -0x4697 -0xf97ad107 -256 -256 -1 -0 -0 -1 -0xb984 -0x71bdb025 -256 -256 -0 -0 -0 -2 -0x9f2b -0x2100746f -256 -256 -0 -0 -0 -4 -0x256a -0x7707ec3a -256 -256 -1 -0 -0 -2 -0xeb9b -0x51820559 -256 -256 -1 -0 -0 -1 -0x615d -0xbe9c3460 -256 -256 -1 -0 -0 -3 -0x3ee7 -0xd32ae967 -256 -256 -1 -0 -0 -4 -0x43d0 -0x603b5181 -256 -256 -1 -0 -0 -1 -0x1d65 -0x5ac26e8e -256 -256 -1 -0 -0 -3 -0xa915 -0x55a0859 -256 -256 -0 -0 -0 -3 -0x4f4e -0xfb75f40 -256 -256 -0 -0 -0 -4 -0x89cc -0x37f9d2ba -256 -256 -1 -0 -0 -3 -0xb36a -0x9d456d8e -256 -256 -0 -0 -0 -3 -0xeb34 -0x87e37f5a -256 -256 -1 -0 -0 -3 -0x58f3 -0xb834e438 -256 -256 -0 -0 -0 -3 -0xdabf -0x7754ec3a -256 -256 -1 -0 -0 -2 -0xbfec -0xca582ace -256 -256 -1 -0 -0 -1 -0x5088 -0x362860c7 -256 -256 -0 -0 -0 -1 -0x1298 -0x22c4e0b1 -256 -256 -1 -0 -0 -2 -0x5a8c -0x722488b8 -256 -256 -1 -0 -0 -2 -0xe37a -0xa344595d -256 -256 -1 -0 -0 -1 -0x143a -0x85595137 -256 -256 -1 -0 -0 -4 -0x8d15 -0x9464ef99 -256 -256 -1 -0 -0 -3 -0x9283 -0xbde29730 -256 -256 -1 -0 -0 -2 -0x8913 -0x2f06cdaf -256 -256 -1 -0 -0 -4 -0xadf0 -0x1b828851 -256 -256 -0 -0 -0 -1 -0x186b -0x5f670e32 -256 -256 -1 -0 -0 -4 -0xe035 -0x6dd50fad -256 -256 -0 -0 -0 -4 -0x5271 -0xb853155b -256 -256 -0 -0 -0 -4 -0xc49e -0xe9d67193 -256 -256 -0 -0 -0 -2 -0x5385 -0x98224a0f -256 -256 -1 -0 -0 -1 -0xe3a1 -0x7ffe511a -256 -256 -1 -0 -0 -4 -0xf9de -0x49152c08 -256 -256 -1 -0 -0 -2 -0xbabc -0x9e64d5ba -256 -256 -0 -0 -0 -1 -0x6811 -0xbaff1a7a -256 -256 -1 -0 -0 -1 -0x13bc -0x8f33986d -256 -256 -1 -0 -0 -1 -0x66a9 -0x2271bd6a -256 -256 -1 -0 -0 -2 -0xdb10 -0x5465ac2f -256 -256 -1 -0 -0 -3 -0x2519 -0xfd665384 -256 -256 -0 -0 -0 -4 -0xc62b -0x3a94e639 -256 -256 -0 -0 -0 -2 -0x59ec -0x92e8a507 -256 -256 -0 -0 -0 -3 -0x1fd0 -0xfd40b58a -256 -256 -1 -0 -0 -3 -0x3dde -0x1c0cf502 -256 -256 -1 -0 -0 -4 -0x5372 -0x68c4c034 -256 -256 -1 -0 -0 -3 -0xb00a -0x4972a9da -256 -256 -0 -0 -0 -3 -0x9f8 -0xede01f75 -256 -256 -1 -0 -0 -1 -0x71f3 -0xf8f275fb -256 -256 -0 -0 -0 -2 -0x831f -0xe6aabab8 -256 -256 -0 -0 -0 -2 -0xe589 -0x3d370f30 -256 -256 -0 -0 -0 -2 -0xbafd -0xcca70582 -256 -256 -0 -0 -0 -2 -0xc260 -0xb26333ca -256 -256 -0 -0 -0 -4 -0xd120 -0x677451df -256 -256 -0 -0 -0 -4 -0x8876 -0xef2a6ba0 -256 -256 -1 -0 -0 -4 -0x319a -0x89aba179 -256 -256 -1 -0 -0 -1 -0xc7bc -0x6b724a47 -256 -256 -1 -0 -0 -1 -0xeb67 -0x6a4af5f8 -256 -256 -1 -0 -0 -1 -0xd839 -0xf83bd545 -256 -256 -0 -0 -0 -1 -0x3576 -0x91f6aca3 -256 -256 -1 -0 -0 -2 -0x622f -0xd8e70487 -256 -256 -0 -0 -0 -2 -0xbf91 -0x9b593b17 -256 -256 -1 -0 -0 -3 -0xd94e -0xfd54a442 -256 -256 -0 -0 -0 -3 -0x45be -0x5ab630e4 -256 -256 -1 -0 -0 -3 -0x2ec5 -0x229d627b -256 -256 -1 -0 -0 -3 -0x566d -0x8de721aa -256 -256 -1 -0 -0 -4 -0xda49 -0x94d30ac4 -256 -256 -0 -0 -0 -2 -0x5655 -0x4878f8ed -256 -256 -1 -0 -0 -3 -0x57be -0x7ad4d06f -256 -256 -1 -0 -0 -3 -0x811b -0x53b00284 -256 -256 -1 -0 -0 -4 -0x310d -0x66ac9633 -256 -256 -1 -0 -0 -4 -0x5285 -0x34a582ee -256 -256 -0 -0 -0 -3 -0xdded -0xbf4aaa6c -256 -256 -0 -0 -0 -3 -0x6b7f -0xaa439858 -256 -256 -0 -0 -0 -4 -0x1e2f -0x776b930d -256 -256 -0 -0 -0 -4 -0x90af -0x513854a8 -256 -256 -0 -0 -0 -4 -0xf18b -0x4d89594f -256 -256 -0 -0 -0 -3 -0x9bce -0x457d6dba -256 -256 -1 -0 -0 -4 -0xf03e -0x427930eb -256 -256 -0 -0 -0 -2 -0x5dac -0xbf12bd92 -256 -256 -0 -0 -0 -3 -0x3b10 -0x1663dde6 -256 -256 -1 -0 -0 -4 -0x42b8 -0xbafc7afa -256 -256 -0 -0 -0 -4 -0x1875 -0xc2204117 -256 -256 -0 -0 -0 -4 -0xbabb -0x1d26f3e8 -256 -256 -0 -0 -0 -1 -0x5a76 -0x6c5e33c2 -256 -256 -0 -0 -0 -4 -0xe2d5 -0xd4514dca -256 -256 -0 -0 -0 -2 -0x2363 -0xee462eeb -256 -256 -0 -0 -0 -1 -0x2eb3 -0xd396adde -256 -256 -0 -0 -0 -1 -0x3fe7 -0x484929a0 -256 -256 -0 -0 -0 -4 -0xf8d -0x879e5f9a -256 -256 -1 -0 -0 -2 -0x1156 -0xec5ef44b -256 -256 -0 -0 -0 -2 -0xdabc -0xd00dc6fc -256 -256 -1 -0 -0 -2 -0x916d -0x890bc7a4 -256 -256 -0 -0 -0 -1 -0x5a67 -0x7441bd5f -256 -256 -0 -0 -0 -4 -0x2548 -0xa6262db1 -256 -256 -0 -0 -0 -3 -0xbe01 -0x8c035488 -256 -256 -1 -0 -0 -2 -0x36aa -0xc40900fb -256 -256 -0 -0 -0 -4 -0x92e1 -0x3469036e -256 -256 -0 -0 -0 -2 -0x731 -0xb2ac11e9 -256 -256 -0 -0 -0 -2 -0x6a45 -0x354fff1f -256 -256 -0 -0 -0 -2 -0xc42a -0x4f6069e1 -256 -256 -0 -0 -0 -1 -0x5ea -0x47e20b57 -256 -256 -1 -0 -0 -2 -0xabbc -0xb80963c -256 -256 -0 -0 -0 -3 -0x8d98 -0x298ffbaf -256 -256 -0 -0 -0 -4 -0xaebc -0x54cba68 -256 -256 -0 -0 -0 -3 -0xb613 -0x92511949 -256 -256 -1 -0 -0 -1 -0x5240 -0x78e6e515 -256 -256 -0 -0 -0 -2 -0x151f -0x39ea1cf9 -256 -256 -0 -0 -0 -4 -0xd206 -0xbea2f38c -256 -256 -0 -0 -0 -2 -0xe815 -0xd39ffec0 -256 -256 -0 -0 -0 -2 -0x44d -0x38539614 -256 -256 -1 -0 -0 -3 -0x1169 -0x5355b0d9 -256 -256 -1 -0 -0 -4 -0xad99 -0x948a3da9 -256 -256 -0 -0 -0 -4 -0x1b10 -0x5637f93e -256 -256 -0 -0 -0 -3 -0x391f -0x29214b6b -256 -256 -0 -0 -0 -2 -0xeace -0x16e2d703 -256 -256 -0 -0 -0 -2 -0x5375 -0xf239ea86 -256 -256 -1 -0 -0 -2 -0x2112 -0xc606a48c -256 -256 -1 -0 -0 -1 -0xd279 -0xe8495e6c -256 -256 -0 -0 -0 -3 -0x8b93 -0xc06626f5 -256 -256 -1 -0 -0 -1 -0xbb85 -0x9839ee8c -256 -256 -1 -0 -0 -1 -0x91a3 -0x2e24e15e -256 -256 -0 -0 -0 -2 -0x48d2 -0x9b8135fd -256 -256 -1 -0 -0 -2 -0xf0d8 -0x293d004e -256 -256 -1 -0 -0 -2 -0xd19c -0xbf39ac52 -256 -256 -0 -0 -0 -3 -0xaa19 -0x829222f5 -256 -256 -1 -0 -0 -2 -0xf6d8 -0x7b4bfddc -256 -256 -0 -0 -0 -1 -0xc355 -0x902110f9 -256 -256 -0 -0 -0 -3 -0xc83 -0x59611d9e -256 -256 -0 -0 -0 -3 -0x848a -0x112b0047 -256 -256 -1 -0 -0 -1 -0x1d72 -0x39623dfd -256 -256 -1 -0 -0 -4 -0xdc07 -0x241030a9 -256 -256 -1 -0 -0 -1 -0xfa0 -0xe9c9b568 -256 -256 -1 -0 -0 -1 -0xaa75 -0xfbe557ae -256 -256 -0 -0 -0 -4 -0x5b4a -0x41080ef3 -256 -256 -1 -0 -0 -2 -0x74c6 -0xa2303128 -256 -256 -1 -0 -0 -3 -0x8963 -0xc62afaeb -256 -256 -0 -0 -0 -1 -0xee38 -0x36241a6f -256 -256 -0 -0 -0 -2 -0x180c -0xcf0c2dcd -256 -256 -1 -0 -0 -4 -0x13ae -0xc424241a -256 -256 -0 -0 -0 -3 -0xe451 -0x74db1f38 -256 -256 -1 -0 -0 -3 -0xf285 -0xbd7a8903 -256 -256 -1 -0 -0 -1 -0xe5db -0x392169a6 -256 -256 -1 -0 -0 -2 -0xe5ef -0x92e07fb5 -256 -256 -0 -0 -0 -1 -0x45d2 -0xa92f08fc -256 -256 -1 -0 -0 -2 -0xa72b -0x7fd665cc -256 -256 -1 -0 -0 -3 -0x231f -0xf36ee4cc -256 -256 -1 -0 -0 -3 -0x8672 -0xe83ff033 -256 -256 -0 -0 -0 -4 -0x4b1d -0x451a4967 -256 -256 -0 -0 -0 -2 -0xedbf -0xe466bf3b -256 -256 -1 -0 -0 -4 -0x9725 -0xc46d8ad8 -256 -256 -0 -0 -0 -4 -0x5c3d -0x855b7b0b -256 -256 -0 -0 -0 -3 -0xee48 -0xca80540f -256 -256 -0 -0 -0 -3 -0x141f -0x924d55cf -256 -256 -0 -0 -0 -2 -0x5c90 -0x97926131 -256 -256 -0 -0 -0 -3 -0x929 -0xd62d1ca3 -256 -256 -0 -0 -0 -1 -0x4c1c -0xc1cf9c11 -256 -256 -0 -0 -0 -4 -0x1e8 -0x48742c22 -256 -256 -1 -0 -0 -1 -0xca16 -0xe7da5027 -256 -256 -0 -0 -0 -1 -0xad10 -0x3ae681a8 -256 -256 -0 -0 -0 -4 -0x6d3a -0x65ce81bb -256 -256 -0 -0 -0 -4 -0xa78a -0x3f778e74 -256 -256 -1 -0 -0 -1 -0xbc02 -0x30b6532d -256 -256 -1 -0 -0 -3 -0x9f2f -0xe5d4213a -256 -256 -0 -0 -0 -1 -0x866f -0xae1333a1 -256 -256 -1 -0 -0 -3 -0x557e -0x82019147 -256 -256 -0 -0 -0 -4 -0x8466 -0x423cd8f9 -256 -256 -1 -0 -0 -4 -0xc41f -0xd2d369fe -256 -256 -0 -0 -0 -4 -0xce01 -0x9314ece -256 -256 -0 -0 -0 -3 -0x962b -0xaa9fb781 -256 -256 -0 -0 -0 -1 -0x9557 -0x8a1e48af -256 -256 -1 -0 -0 -2 -0x2114 -0xaf94b54c -256 -256 -1 -0 -0 -3 -0x1e80 -0xa4dfdbd8 -256 -256 -0 -0 -0 -4 -0x7ca2 -0xd2bb04ad -256 -256 -1 -0 -0 -3 -0x51ca -0x14119969 -256 -256 -0 -0 -0 -3 -0x12a0 -0x9683e1c2 -256 -256 -0 -0 -0 -3 -0x7d5b -0xd0f598e9 -256 -256 -0 -0 -0 -2 -0xd28a -0xc9a9a17a -256 -256 -0 -0 -0 -4 -0x566 -0xb681c440 -256 -256 -0 -0 -0 -3 -0xa420 -0x2dd89ec7 -256 -256 -0 -0 -0 -3 -0x1a85 -0xc3b193f4 -256 -256 -0 -0 -0 -3 -0xa326 -0x65ed8d16 -256 -256 -0 -0 -0 -3 -0x3d82 -0xbeabcefa -256 -256 -1 -0 -0 -2 -0x13cf -0xf97571d8 -256 -256 -1 -0 -0 -2 -0xd5fa -0x75b5db9f -256 -256 -1 -0 -0 -3 -0xefca -0x9d4e457a -256 -256 -1 -0 -0 -3 -0x1b70 -0x245377b9 -256 -256 -1 -0 -0 -3 -0x3c17 -0xbbdb2d06 -256 -256 -0 -0 -0 -4 -0x135f -0xf86915f3 -256 -256 -0 -0 -0 -1 -0x6fcc -0x20302146 -256 -256 -1 -0 -0 -4 -0xc2c4 -0x1a9622b1 -256 -256 -1 -0 -0 -3 -0x2dd9 -0x1a2beee3 -256 -256 -0 -0 -0 -2 -0x4bae -0x680ec221 -256 -256 -1 -0 -0 -2 -0xdd92 -0x195cb4ba -256 -256 -0 -0 -0 -1 -0x8e44 -0x356bae55 -256 -256 -1 -0 -0 -2 -0x579 -0x602e71eb -256 -256 -1 -0 -0 -4 -0xf229 -0x9dfde1f5 -256 -256 -1 -0 -0 -4 -0xbf43 -0x8976ea2a -256 -256 -0 -0 -0 -3 -0x5c9a -0xcbebfaad -256 -256 -1 -0 -0 -3 -0x8b9 -0x233d920d -256 -256 -0 -0 -0 -4 -0x2cb -0xf6f24130 -256 -256 -0 -0 -0 -4 -0xd819 -0x50acddc5 -256 -256 -1 -0 -0 -2 -0x4f03 -0x3ae78393 -256 -256 -1 -0 -0 -1 -0x9510 -0x4df311eb -256 -256 -0 -0 -0 -3 -0x222e -0x1bb7db13 -256 -256 -1 -0 -0 -1 -0xa41 -0x65af929c -256 -256 -1 -0 -0 -4 -0x918a -0x4e843a01 -256 -256 -0 -0 -0 -1 -0x2f54 -0x9fd96bb9 -256 -256 -0 -0 -0 -3 -0xbcf7 -0xa55a9e1b -256 -256 -0 -0 -0 -3 -0xbc46 -0x6fd8e603 -256 -256 -0 -0 -0 -1 -0x4f83 -0xf5e2c07c -256 -256 -1 -0 -0 -2 -0x7ad4 -0xf4a4ef01 -256 -256 -1 -0 -0 -1 -0xaaae -0x4a25f627 -256 -256 -1 -0 -0 -1 -0x85c4 -0xf0f10e44 -256 -256 -1 -0 -0 -1 -0xaeb5 -0x3013ca92 -256 -256 -1 -0 -0 -4 -0xd7a3 -0xb3791553 -256 -256 -0 -0 -0 -4 -0xb4cc -0x7e2bcb2d -256 -256 -0 -0 -0 -2 -0xfd62 -0x2feb2bcf -256 -256 -1 -0 -0 -2 -0x57d9 -0xd7d42ea4 -256 -256 -0 -0 -0 -1 -0xa01c -0xcbf78810 -256 -256 -1 -0 -0 -1 -0xbfbc -0x3730c00e -256 -256 -0 -0 -0 -2 -0x9962 -0xaea7a4c4 -256 -256 -1 -0 -0 -4 -0x569 -0xb154f5d3 -256 -256 -0 -0 -0 -2 -0xc04a -0x4dcee161 -256 -256 -1 -0 -0 -3 -0xcf2 -0x89661f41 -256 -256 -1 -0 -0 -2 -0x2794 -0x7adb35f8 -256 -256 -0 -0 -0 -4 -0xecef -0x761bb917 -256 -256 -0 -0 -0 -3 -0xe4b -0xbd1dcec4 -256 -256 -1 -0 -0 -2 -0xa077 -0x689d6323 -256 -256 -0 -0 -0 -2 -0x7d31 -0x11f4588e -256 -256 -0 -0 -0 -4 -0xa2ea -0x7b8f7a7 -256 -256 -0 -0 -0 -1 -0x60ee -0x39e1fb2 -256 -256 -1 -0 -0 -3 -0x8bc7 -0x635f7da3 -256 -256 -0 -0 -0 -1 -0xe3f6 -0x46847152 -256 -256 -0 -0 -0 -1 -0x72bd -0x1a12f6f -256 -256 -0 -0 -0 -4 -0xc7bd -0xc5943540 -256 -256 -1 -0 -0 -4 -0x80c2 -0x8e721c22 -256 -256 -0 -0 -0 -1 -0x4564 -0xcb305e31 -256 -256 -1 -0 -0 -1 -0x5de7 -0x1afc6a2 -256 -256 -1 -0 -0 -2 -0x2490 -0xd3ccfeb6 -256 -256 -1 -0 -0 -1 -0x5c1e -0x9444d627 -256 -256 -1 -0 -0 -2 -0x4063 -0xb93a3828 -256 -256 -1 -0 -0 -1 -0x205c -0xd8bca3bc -256 -256 -0 -0 -0 -2 -0xf75f -0x74643696 -256 -256 -1 -0 -0 -2 -0x3c22 -0xbe4137ef -256 -256 -1 -0 -0 -1 -0x4251 -0x4679e964 -256 -256 -0 -0 -0 -3 -0x6ad8 -0x784eef0d -256 -256 -1 -0 -0 -1 -0xbbed -0xb3bfa499 -256 -256 -1 -0 -0 -3 -0x9fd0 -0x40205ceb -256 -256 -1 -0 -0 -3 -0xbfed -0xb4208e54 -256 -256 -1 -0 -0 -2 -0xd881 -0x2067205f -256 -256 -1 -0 -0 -2 -0x4e1 -0xc5e88717 -256 -256 -1 -0 -0 -4 -0xaeac -0x627f5ff -256 -256 -0 -0 -0 -1 -0x33c8 -0x9f65bc37 -256 -256 -0 -0 -0 -2 -0xcef6 -0xea0d809e -256 -256 -1 -0 -0 -4 -0x2da -0xeaae4578 -256 -256 -1 -0 -0 -1 -0xbba6 -0x43ee64e9 -256 -256 -0 -0 -0 -1 -0x7649 -0xac4c4e65 -256 -256 -1 -0 -0 -1 -0xd5d4 -0x1ff6310f -256 -256 -0 -0 -0 -1 -0xaaac -0x3ccfb6d3 -256 -256 -0 -0 -0 -1 -0xad76 -0x2454b70f -256 -256 -1 -0 -0 -4 -0x3f1c -0x1c350137 -256 -256 -1 -0 -0 -4 -0xb6e5 -0x7de0012e -256 -256 -0 -0 -0 -4 -0x2cbb -0x40bc582e -256 -256 -0 -0 -0 -2 -0x23b3 -0x3cbdda27 -256 -256 -0 -0 -0 -2 -0xca0f -0x4cdf2a92 -256 -256 -1 -0 -0 -1 -0xea8b -0x7dbe4fde -256 -256 -1 -0 -0 -2 -0xcc7 -0x843e187e -256 -256 -0 -0 -0 -2 -0x5031 -0xe08d9b4d -256 -256 -1 -0 -0 -3 -0xa668 -0xc618005d -256 -256 -0 -0 -0 -1 -0x31d0 -0x9ead2679 -256 -256 -0 -0 -0 -3 -0x97df -0x98ac4a67 -256 -256 -0 -0 -0 -1 -0x2579 -0x5294fa82 -256 -256 -1 -0 -0 -2 -0xd45c -0xd9a42703 -256 -256 -1 -0 -0 -1 -0x1fed -0xf99d1434 -256 -256 -0 -0 -0 -2 -0xa961 -0x1b01f61a -256 -256 -1 -0 -0 -4 -0x6da -0x47ac3f97 -256 -256 -1 -0 -0 -3 -0xa813 -0xf44116c2 -256 -256 -0 -0 -0 -1 -0x74e8 -0x8fa7bd3d -256 -256 -0 -0 -0 -2 -0x6af9 -0x5c7d7e0d -256 -256 -0 -0 -0 -4 -0xcea9 -0x8b7a1ccf -256 -256 -1 -0 -0 -1 -0x1bba -0xbf0e4159 -256 -256 -0 -0 -0 -4 -0x6b12 -0x1f1b856b -256 -256 -1 -0 -0 -2 -0x10b7 -0xc92b3b1b -256 -256 -0 -0 -0 -2 -0x1467 -0x66ee541e -256 -256 -1 -0 -0 -3 -0xb671 -0xbe4ae673 -256 -256 -1 -0 -0 -4 -0x69e8 -0xa3d2089d -256 -256 -0 -0 -0 -1 -0x75e4 -0xbbac01a0 -256 -256 -0 -0 -0 -4 -0xfab4 -0x39bcb5a0 -256 -256 -1 -0 -0 -3 -0xdf7a -0x7145fa7b -256 -256 -0 -0 -0 -2 -0x6289 -0xe4d1e581 -256 -256 -0 -0 -0 -4 -0xa0b0 -0x4929d7e5 -256 -256 -0 -0 -0 -1 -0x86b0 -0x99330792 -256 -256 -0 -0 -0 -1 -0x75cb -0x38278733 -256 -256 -0 -0 -0 -2 -0x2be9 -0x386154dd -256 -256 -0 -0 -0 -1 -0xf9c8 -0xf3a19ff2 -256 -256 -0 -0 -0 -2 -0x6dd1 -0x748f7dbc -256 -256 -1 -0 -0 -4 -0xca58 -0x1712b6ef -256 -256 -1 -0 -0 -3 -0x21d0 -0x571febb3 -256 -256 -1 -0 -0 -4 -0xd566 -0x26772383 -256 -256 -0 -0 -0 -1 -0x1974 -0x8c44fb0f -256 -256 -1 -0 -0 -4 -0xda5f -0x5d3844d3 -256 -256 -0 -0 -0 -1 -0xb02b -0x96945019 -256 -256 -0 -0 -0 -2 -0xb603 -0xc70b229f -256 -256 -1 -0 -0 -1 -0xffb1 -0xe49732c9 -256 -256 -1 -0 -0 -1 -0x9000 -0xf705aa65 -256 -256 -1 -0 -0 -3 -0x82e5 -0x45935a6d -256 -256 -1 -0 -0 -2 -0xc4c6 -0x1ac45d55 -256 -256 -0 -0 -0 -1 -0x432f -0x6ad2274a -256 -256 -0 -0 -0 -1 -0xde23 -0x35e4698d -256 -256 -0 -0 -0 -2 -0x1b69 -0xb5934927 -256 -256 -0 -0 -0 -4 -0xfbf7 -0xa376f783 -256 -256 -0 -0 -0 -1 -0x60fd -0xcc2a201c -256 -256 -1 -0 -0 -1 -0xf34c -0xd7c1d70e -256 -256 -1 -0 -0 -3 -0x11f8 -0x88c7b50e -256 -256 -0 -0 -0 -3 -0x6ed0 -0xae6022a7 -256 -256 -1 -0 -0 -1 -0xfdd3 -0x51e871c3 -256 -256 -1 -0 -0 -3 -0x125f -0xb47b3662 -256 -256 -1 -0 -0 -4 -0x93b6 -0x188886dd -256 -256 -0 -0 -0 -2 -0x632f -0xce7a856f -256 -256 -0 -0 -0 -2 -0x290f -0x3fced90b -256 -256 -0 -0 -0 -4 -0xaeb0 -0xa1c4f922 -256 -256 -0 -0 -0 -4 -0x7691 -0x5cf1df11 -256 -256 -0 -0 -0 -3 -0x58f4 -0x7fbf6b66 -256 -256 -1 -0 -0 -4 -0xf6cc -0x9a7374c -256 -256 -1 -0 -0 -2 -0x8921 -0xb7510f5 -256 -256 -0 -0 -0 -3 -0x9dd5 -0x6cf3022c -256 -256 -1 -0 -0 -4 -0x4614 -0xeb026e7a -256 -256 -1 -0 -0 -4 -0x67ec -0x4de6e9ae -256 -256 -0 -0 -0 -2 -0xd437 -0x76acbecc -256 -256 -0 -0 -0 -1 -0xd0d3 -0xa3b82082 -256 -256 -0 -0 -0 -2 -0xc8d8 -0xddd14925 -256 -256 -1 -0 -0 -2 -0x4166 -0xca4fabae -256 -256 -1 -0 -0 -3 -0x2061 -0x73b48d04 -256 -256 -0 -0 -0 -1 -0x3383 -0x4c5cafd0 -256 -256 -0 -0 -0 -2 -0x1ea -0x7d41124a -256 -256 -1 -0 -0 -1 -0x198f -0xbc8f8865 -256 -256 -0 -0 -0 -2 -0x2f6 -0x9883998e -256 -256 -0 -0 -0 -2 -0x2d9 -0x8d24db07 -256 -256 -1 -0 -0 -1 -0xaab6 -0xefd3515d -256 -256 -1 -0 -0 -3 -0x96f1 -0x9b5b2c87 -256 -256 -0 -0 -0 -1 -0x67db -0x52a52a54 -256 -256 -0 -0 -0 -1 -0xbcbd -0xe7357327 -256 -256 -0 -0 -0 -1 -0xf06d -0x7601bdc8 -256 -256 -0 -0 -0 -4 -0x7716 -0x5aedd997 -256 -256 -1 -0 -0 -2 -0x3aab -0xc21e9343 -256 -256 -0 -0 -0 -3 -0xa49a -0xd5745c74 -256 -256 -0 -0 -0 -4 -0xc742 -0xd23ba1ba -256 -256 -1 -0 -0 -3 -0x65ec -0x4b04a8c6 -256 -256 -1 -0 -0 -3 -0xdc86 -0x3905759d -256 -256 -0 -0 -0 -3 -0x60d7 -0xf8b9f786 -256 -256 -0 -0 -0 -1 -0xd44e -0x7d7b09f1 -256 -256 -0 -0 -0 -1 -0xf2d4 -0x8f17e326 -256 -256 -1 -0 -0 -4 -0xf854 -0x6fe1aea1 -256 -256 -1 -0 -0 -3 -0x3165 -0x6346199e -256 -256 -0 -0 -0 -3 -0x2c07 -0x6f276c71 -256 -256 -1 -0 -0 -4 -0x897d -0xedbf1c22 -256 -256 -0 -0 -0 -4 -0xbe3e -0x5bc16e4d -256 -256 -0 -0 -0 -1 -0xf666 -0xa6045b71 -256 -256 -1 -0 -0 -3 -0xd6b9 -0xb87ca990 -256 -256 -0 -0 -0 -3 -0xac90 -0x70521e3 -256 -256 -0 -0 -0 -3 -0x9119 -0x17958a70 -256 -256 -0 -0 -0 -2 -0x430f -0xe975d27f -256 -256 -1 -0 -0 -4 -0x7dc6 -0xa2edb325 -256 -256 -1 -0 -0 -3 -0xf892 -0x42a4d3c0 -256 -256 -1 -0 -0 -3 -0xbefc -0xaca0b98c -256 -256 -0 -0 -0 -1 -0x57e9 -0x3fb1712e -256 -256 -0 -0 -0 -2 -0xc8c9 -0xd1657a68 -256 -256 -1 -0 -0 -1 -0xefd5 -0x4656516c -256 -256 -1 -0 -0 -2 -0x9540 -0x1e66bc81 -256 -256 -1 -0 -0 -4 -0x2c6f -0xfc01268b -256 -256 -0 -0 -0 -1 -0x4cf7 -0x3a40e395 -256 -256 -1 -0 -0 -1 -0x2518 -0x92e75a73 -256 -256 -1 -0 -0 -4 -0xf689 -0xa67fe179 -256 -256 -1 -0 -0 -4 -0xc579 -0x8a091660 -256 -256 -1 -0 -0 -3 -0xf77b -0xc3b8862 -256 -256 -0 -0 -0 -4 -0xacd7 -0xf402a119 -256 -256 -1 -0 -0 -1 -0x80fc -0x1a9de81f -256 -256 -1 -0 -0 -2 -0x44ae -0x2864be63 -256 -256 -0 -0 -0 -2 -0xd9fd -0xc38f5d38 -256 -256 -0 -0 -0 -2 -0x9fe3 -0x2f6829bd -256 -256 -1 -0 -0 -4 -0x355b -0x63282e42 -256 -256 -1 -0 -0 -3 -0x1564 -0xa7335922 -256 -256 -0 -0 -0 -4 -0xebed -0xc8db6ba3 -256 -256 -1 -0 -0 -4 -0x8d4e -0x92d903f -256 -256 -0 -0 -0 -2 -0x7985 -0xb5940084 -256 -256 -0 -0 -0 -3 -0x9f2d -0xe693674d -256 -256 -0 -0 -0 -2 -0xf30c -0xeb4f263f -256 -256 -0 -0 -0 -1 -0xa81a -0x4e613365 -256 -256 -0 -0 -0 -2 -0x51d -0xa67f0ea6 -256 -256 -1 -0 -0 -4 -0x8d85 -0xa170631f -256 -256 -1 -0 -0 -4 -0xf285 -0x25db581c -256 -256 -0 -0 -0 -2 -0x514d -0xb5bb6b60 -256 -256 -1 -0 -0 -4 -0x76e5 -0x1ff75e0 -256 -256 -0 -0 -0 -3 -0xf537 -0x682931c8 -256 -256 -1 -0 -0 -4 -0x683f -0xf3439b67 -256 -256 -0 -0 -0 -3 -0x6143 -0x310ccac4 -256 -256 -1 -0 -0 -4 -0xc67f -0x82dc213d -256 -256 -1 -0 -0 -4 -0x759a -0x7bf27044 -256 -256 -1 -0 -0 -3 -0x1bf6 -0x528b9698 -256 -256 -0 -0 -0 -2 -0xec3a -0x10eb1158 -256 -256 -0 -0 -0 -3 -0xfdc2 -0x2cdde624 -256 -256 -1 -0 -0 -3 -0x50d7 -0x615f223 -256 -256 -1 -0 -0 -2 -0x3f13 -0xdc98e443 -256 -256 -0 -0 -0 -3 -0xe759 -0x662b9b36 -256 -256 -1 -0 -0 -2 -0x2481 -0x840b0df7 -256 -256 -1 -0 -0 -4 -0xb0bd -0x535c95ad -256 -256 -1 -0 -0 -2 -0x8558 -0x9e1a4d7 -256 -256 -0 -0 -0 -2 -0xbe -0x22017096 -256 -256 -1 -0 -0 -1 -0xd208 -0xa0089537 -256 -256 -1 -0 -0 -3 -0xe6e8 -0x3d5e251b -256 -256 -1 -0 -0 -4 -0x6c0e -0xb5ecc1f1 -256 -256 -1 -0 -0 -4 -0xb455 -0xa4c86ae8 -256 -256 -0 -0 -0 -1 -0xf5eb -0x76ea06c3 -256 -256 -1 -0 -0 -4 -0xf845 -0x91aedf85 -256 -256 -1 -0 -0 -4 -0x904a -0xb0ad420a -256 -256 -0 -0 -0 -2 -0x3810 -0x1ecece7b -256 -256 -1 -0 -0 -3 -0x4a94 -0x6cfe4672 -256 -256 -1 -0 -0 -1 -0x4493 -0x665580bc -256 -256 -0 -0 -0 -1 -0x87d3 -0xf219f28 -256 -256 -0 -0 -0 -1 -0x16a2 -0xe32b6b70 -256 -256 -1 -0 -0 -3 -0x8a3a -0x444998b5 -256 -256 -0 -0 -0 -2 -0x1de4 -0x480d44fc -256 -256 -0 -0 -0 -2 -0x427c -0x381a7600 -256 -256 -1 -0 -0 -1 -0xa289 -0xedbe7a84 -256 -256 -0 -0 -0 -1 -0xbd00 -0xf9f9f143 -256 -256 -0 -0 -0 -4 -0xc305 -0xc1ff416a -256 -256 -0 -0 -0 -3 -0x9f87 -0xbb4c4fe9 -256 -256 -1 -0 -0 -3 -0x416f -0x95006429 -256 -256 -1 -0 -0 -3 -0xaa63 -0x2b634ad6 -256 -256 -1 -0 -0 -2 -0xbdab -0x680b56d5 -256 -256 -0 -0 -0 -4 -0x8d28 -0x2bda9dbf -256 -256 -0 -0 -0 -4 -0xce13 -0x9ff55232 -256 -256 -0 -0 -0 -4 -0x9070 -0xe26dc06e -256 -256 -1 -0 -0 -4 -0xf810 -0xacb315f6 -256 -256 -0 -0 -0 -2 -0x6cec -0xd2e82d61 -256 -256 -0 -0 -0 -4 -0x3761 -0x175b4c04 -256 -256 -0 -0 -0 -4 -0x9f13 -0x758da485 -256 -256 -0 -0 -0 -1 -0x877f -0x10a756d2 -256 -256 -0 -0 -0 -4 -0xa703 -0xdef577db -256 -256 -1 -0 -0 -4 -0xb6ff -0x268625a5 -256 -256 -1 -0 -0 -1 -0xa5ec -0x5f4db34e -256 -256 -1 -0 -0 -3 -0x4c0a -0x8c1b2462 -256 -256 -1 -0 -0 -3 -0x54bc -0xf783d336 -256 -256 -0 -0 -0 -3 -0xe30e -0xd0651a01 -256 -256 -0 -0 -0 -2 -0xba03 -0x95af1c42 -256 -256 -1 -0 -0 -3 -0xf132 -0xf9d6ab5a -256 -256 -1 -0 -0 -2 -0xa4ec -0x92d5663 -256 -256 -0 -0 -0 -4 -0xbbfe -0xdea7982c -256 -256 -0 -0 -0 -3 -0x8621 -0x47c6966f -256 -256 -0 -0 -0 -4 -0x501b -0x17cda9c9 -256 -256 -1 -0 -0 -3 -0x4646 -0x86a5c89 -256 -256 -1 -0 -0 -1 -0xc26 -0x6c066d3e -256 -256 -1 -0 -0 -4 -0x7122 -0x5760ee03 -256 -256 -0 -0 -0 -4 -0xaab0 -0xb218d38c -256 -256 -0 -0 -0 -4 -0xd325 -0x28a442d3 -256 -256 -0 -0 -0 -1 -0xf512 -0x8d333b5b -256 -256 -0 -0 -0 -2 -0x28 -0x1a917f4d -256 -256 -1 -0 -0 -2 -0xaf36 -0xad7b6a43 -256 -256 -0 -0 -0 -3 -0x4063 -0x146167cc -256 -256 -1 -0 -0 -3 -0xbe7a -0x462e101f -256 -256 -1 -0 -0 -3 -0x6fb8 -0xc68e2575 -256 -256 -0 -0 -0 -2 -0x4c6f -0x4b8c5c10 -256 -256 -1 -0 -0 -2 -0x1f39 -0xf4f5830e -256 -256 -1 -0 -0 -2 -0x1202 -0xcbcae30 -256 -256 -1 -0 -0 -2 -0xda79 -0x2a9c6f17 -256 -256 -0 -0 -0 -2 -0xe3c2 -0xf05f5d78 -256 -256 -0 -0 -0 -2 -0xf2a -0x91c5b546 -256 -256 -0 -0 -0 -3 -0x9ed6 -0xfdd40759 -256 -256 -1 -0 -0 -4 -0x716a -0x5aef4b01 -256 -256 -0 -0 -0 -1 -0xc1d2 -0x5a1fb609 -256 -256 -1 -0 -0 -3 -0x79f7 -0xb9190b10 -256 -256 -0 -0 -0 -1 -0xdb6d -0x742176ef -256 -256 -1 -0 -0 -2 -0xc935 -0xa80d4651 -256 -256 -1 -0 -0 -2 -0x67aa -0xe8c85530 -256 -256 -0 -0 -0 -2 -0x6771 -0xf4b88bc5 -256 -256 -0 -0 -0 -3 -0x6dcd -0x67c7417c -256 -256 -1 -0 -0 -4 -0xab2c -0x417f765e -256 -256 -1 -0 -0 -2 -0x7c42 -0xd9dea8cb -256 -256 -0 -0 -0 -4 -0x171f -0x41aeb52c -256 -256 -0 -0 -0 -1 -0xd22 -0x90aa25f4 -256 -256 -1 -0 -0 -1 -0x1eb1 -0x410a6d3 -256 -256 -0 -0 -0 -4 -0x1f47 -0xfa5d7331 -256 -256 -0 -0 -0 -2 -0xd3d4 -0xb2064f19 -256 -256 -0 -0 -0 -3 -0xf278 -0x9d95b296 -256 -256 -0 -0 -0 -1 -0x8a80 -0xc785178b -256 -256 -1 -0 -0 -1 -0xe4d -0x1608ecb -256 -256 -1 -0 -0 -1 -0xbb42 -0xc6f6acca -256 -256 -0 -0 -0 -4 -0x2d63 -0xaf35abde -256 -256 -0 -0 -0 -3 -0xcc72 -0xc6129a97 -256 -256 -1 -0 -0 -2 -0x34a6 -0x143c40a2 -256 -256 -0 -0 -0 -3 -0xb20c -0x100a8bb2 -256 -256 -0 -0 -0 -3 -0xdaf6 -0x6857c7cd -256 -256 -1 -0 -0 -2 -0xd50c -0xc233d02e -256 -256 -0 -0 -0 -1 -0x44be -0x121b36eb -256 -256 -0 -0 -0 -4 -0xc7d9 -0xeb42ef9d -256 -256 -1 -0 -0 -4 -0x5bd2 -0x4d7d9da8 -256 -256 -0 -0 -0 -4 -0xc0d3 -0x6230c58d -256 -256 -0 -0 -0 -1 -0x68c8 -0xa6827a4d -256 -256 -1 -0 -0 -4 -0xa42e -0x40c9c5cf -256 -256 -0 -0 -0 -1 -0x8a6d -0xec67daf0 -256 -256 -1 -0 -0 -1 -0xe23a -0x1332ee68 -256 -256 -0 -0 -0 -3 -0xcde6 -0xc1de28b1 -256 -256 -0 -0 -0 -1 -0x3729 -0x7ea1d5bd -256 -256 -0 -0 -0 -2 -0x3b38 -0xbf8d7f87 -256 -256 -0 -0 -0 -1 -0x1bc1 -0xb11f7516 -256 -256 -1 -0 -0 -2 -0xa146 -0xb7cd61f6 -256 -256 -1 -0 -0 -4 -0xd1ee -0x43ffcf31 -256 -256 -0 -0 -0 -3 -0xef4d -0xe63f5ab1 -256 -256 -1 -0 -0 -1 -0xb494 -0x79299166 -256 -256 -0 -0 -0 -4 -0xf25c -0x75a1e1bc -256 -256 -1 -0 -0 -3 -0xb5a -0x218f903 -256 -256 -1 -0 -0 -3 -0x1241 -0x32979f8b -256 -256 -0 -0 -0 -4 -0xd8db -0x7e63eda -256 -256 -1 -0 -0 -4 -0x7614 -0xb9f44730 -256 -256 -0 -0 -0 -1 -0xe673 -0x295b4788 -256 -256 -0 -0 -0 -1 -0x67f7 -0x42d673f7 -256 -256 -0 -0 -0 -2 -0xe24b -0x773c0254 -256 -256 -0 -0 -0 -1 -0x201 -0xe0995297 -256 -256 -0 -0 -0 -3 -0x5d82 -0xdb33a311 -256 -256 -1 -0 -0 -4 -0x7dd5 -0x55faf48e -256 -256 -1 -0 -0 -3 -0xfe74 -0x78f5f7a -256 -256 -0 -0 -0 -4 -0x28f2 -0xfb769935 -256 -256 -0 -0 -0 -4 -0x8cf3 -0xd770e382 -256 -256 -0 -0 -0 -1 -0xb237 -0x7bccf7fc -256 -256 -0 -0 -0 -4 -0xb611 -0xcec967f6 -256 -256 -1 -0 -0 -2 -0x2b2f -0xcd55d807 -256 -256 -1 -0 -0 -4 -0xd3ca -0xd293e1c5 -256 -256 -1 -0 -0 -4 -0xb794 -0x25ac3036 -256 -256 -0 -0 -0 -1 -0xf414 -0x62fc7f1d -256 -256 -1 -0 -0 -4 -0x4c7 -0xc0e36bf9 -256 -256 -0 -0 -0 -2 -0x3bf6 -0xab559cdf -256 -256 -0 -0 -0 -1 -0x6206 -0xffda82a4 -256 -256 -1 -0 -0 -1 -0x1543 -0x2dc9c025 -256 -256 -1 -0 -0 -1 -0x1577 -0x9a4d166b -256 -256 -1 -0 -0 -4 -0xffe0 -0x4d48a310 -256 -256 -0 -0 -0 -3 -0xa75c -0x3b05d26b -256 -256 -0 -0 -0 -1 -0xc4b8 -0xfc3fd19d -256 -256 -0 -0 -0 -1 -0x2ca6 -0x360f9e6e -256 -256 -1 -0 -0 -4 -0x7b19 -0x2dc6d6f6 -256 -256 -1 -0 -0 -1 -0x6f5a -0x2c66666f -256 -256 -1 -0 -0 -1 -0x4402 -0xc65f5ac1 -256 -256 -0 -0 -0 -1 -0x7e9f -0xc13b8aab -256 -256 -0 -0 -0 -3 -0xe05b -0x43959c62 -256 -256 -0 -0 -0 -2 -0x2778 -0xb46dc945 -256 -256 -1 -0 -0 -1 -0xe84e -0x5460ab69 -256 -256 -1 -0 -0 -1 -0xe96 -0xce5ad2d2 -256 -256 -1 -0 -0 -4 -0x2c43 -0x39c22771 -256 -256 -0 -0 -0 -1 -0x621d -0x3a580106 -256 -256 -1 -0 -0 -1 -0x3876 -0x42798358 -256 -256 -0 -0 -0 -4 -0xd7c2 -0xdbe5efe -256 -256 -0 -0 -0 -4 -0x3817 -0xd448a09b -256 -256 -1 -0 -0 -2 -0xf8f5 -0xf892cb40 -256 -256 -1 -0 -0 -4 -0x9c1e -0xd3c658fd -256 -256 -0 -0 -0 -3 -0xadb7 -0x4b062630 -256 -256 -0 -0 -0 -1 -0x9a85 -0xdf8ccb2a -256 -256 -0 -0 -0 -1 -0xcccc -0x89fbdf4 -256 -256 -1 -0 -0 -3 -0x9e50 -0x616d3b01 -256 -256 -0 -0 -0 -1 -0x1fc5 -0x990550ca -256 -256 -0 -0 -0 -2 -0xb4c4 -0x278894dc -256 -256 -1 -0 -0 -1 -0x4fab -0x9dc04cf6 -256 -256 -0 -0 -0 -4 -0x4a1c -0x184a3dd8 -256 -256 -0 -0 -0 -3 -0x37a9 -0xc5fa67f2 -256 -256 -1 -0 -0 -3 -0x539e -0xa03c183f -256 -256 -0 -0 -0 -4 -0xade3 -0xf04952a9 -256 -256 -1 -0 -0 -4 -0xabe7 -0x3a3af3e1 -256 -256 -1 -0 -0 -4 -0xaec2 -0x329fc09d -256 -256 -1 -0 -0 -2 -0x3e56 -0x9f45e102 -256 -256 -0 -0 -0 -1 -0xb955 -0x12c1d622 -256 -256 -1 -0 -0 -1 -0xbb6b -0xcf5ce9a4 -256 -256 -0 -0 -0 -3 -0x76a9 -0xe48abf58 -256 -256 -1 -0 -0 -2 -0x6bc -0x5d282d05 -256 -256 -0 -0 -0 -1 -0xface -0xaec3383e -256 -256 -0 -0 -0 -4 -0x2861 -0x6999566e -256 -256 -1 -0 -0 -1 -0x1ed -0x3e7c79cc -256 -256 -0 -0 -0 -2 -0x80a8 -0x601be6b0 -256 -256 -1 -0 -0 -1 -0x8669 -0x3e71d3ff -256 -256 -0 -0 -0 -2 -0xf201 -0x53d44c08 -256 -256 -0 -0 -0 -4 -0x37de -0xc52c5f89 -256 -256 -0 -0 -0 -4 -0x259b -0x837b888 -256 -256 -1 -0 -0 -1 -0x3908 -0x939849b0 -256 -256 -1 -0 -0 -2 -0xfb43 -0x347750d9 -256 -256 -1 -0 -0 -1 -0x8529 -0xa9e3974b -256 -256 -0 -0 -0 -4 -0x79fa -0x91468747 -256 -256 -0 -0 -0 -4 -0x5c05 -0x95e24fb -256 -256 -0 -0 -0 -4 -0x383a -0xa6d5550 -256 -256 -1 -0 -0 -2 -0x78cd -0xe3104379 -256 -256 -1 -0 -0 -2 -0x20f0 -0xb4634603 -256 -256 -1 -0 -0 -1 -0xaf9a -0x2c59f28f -256 -256 -1 -0 -0 -1 -0xd00b -0x62be015f -256 -256 -1 -0 -0 -1 -0xd563 -0x95557f53 -256 -256 -1 -0 -0 -2 -0x15b4 -0xb48e2025 -256 -256 -1 -0 -0 -3 -0x3152 -0x181af08b -256 -256 -0 -0 -0 -4 -0x70b3 -0xdfa97065 -256 -256 -0 -0 -0 -4 -0xf3d1 -0xd9800f92 -256 -256 -1 -0 -0 -1 -0xd4e3 -0x9f4aae2b -256 -256 -1 -0 -0 -3 -0x7c75 -0x8426fd45 -256 -256 -1 -0 -0 -2 -0x4cf -0xa9b95cdd -256 -256 -0 -0 -0 -4 -0xa55b -0xeead97a5 -256 -256 -0 -0 -0 -2 -0xa68a -0xf6b62248 -256 -256 -0 -0 -0 -3 -0x933c -0x59ec0117 -256 -256 -1 -0 -0 -1 -0x16c8 -0xa7cd2eb -256 -256 -0 -0 -0 -4 -0x5268 -0xfc28b7c7 -256 -256 -1 -0 -0 -1 -0x1961 -0xe7ef297d -256 -256 -0 -0 -0 -2 -0x4011 -0xb69bfd50 -256 -256 -0 -0 -0 -2 -0xa58c -0x3c506ffe -256 -256 -1 -0 -0 -2 -0xf857 -0x95530531 -256 -256 -0 -0 -0 -2 -0x18c8 -0xa966075a -256 -256 -1 -0 -0 -4 -0xd529 -0x9b7ea577 -256 -256 -1 -0 -0 -3 -0xa333 -0x4b3977b5 -256 -256 -0 -0 -0 -4 -0x9dd3 -0x84fafe7d -256 -256 -0 -0 -0 -1 -0xdc9d -0xe48be2ea -256 -256 -1 -0 -0 -4 -0x2fdd -0x4e683eb2 -256 -256 -1 -0 -0 -3 -0x9f39 -0x7cf95001 -256 -256 -0 -0 -0 -1 -0xb035 -0xe783dfec -256 -256 -1 -0 -0 -2 -0x57b -0x501c0c -256 -256 -0 -0 -0 -3 -0x71fa -0x5a3cd0a2 -256 -256 -0 -0 -0 -3 -0x1a44 -0x4244cff4 -256 -256 -0 -0 -0 -3 -0x6fb8 -0x78a113d1 -256 -256 -0 -0 -0 -3 -0x87be -0xa3d88c76 -256 -256 -0 -0 -0 -2 -0x4350 -0x8bac67da -256 -256 -0 -0 -0 -2 -0x9ce -0x3f88c4b -256 -256 -1 -0 -0 -4 -0x4ae0 -0x2887af81 -256 -256 -0 -0 -0 -3 -0x3838 -0xb1a8368e -256 -256 -0 -0 -0 -2 -0x9be1 -0xedf9f235 -256 -256 -0 -0 -0 -1 -0xdcfc -0x7da183c6 -256 -256 -0 -0 -0 -1 -0xc630 -0x2245879b -256 -256 -1 -0 -0 -2 -0xe184 -0xfc1641c0 -256 -256 -0 -0 -0 -1 -0x51d5 -0x81c49f35 -256 -256 -0 -0 -0 -4 -0x1a0a -0x43ccd2dd -256 -256 -1 -0 -0 -3 -0x9bab -0x70155bf5 -256 -256 -0 -0 -0 -2 -0xa646 -0xc0e18e21 -256 -256 -1 -0 -0 -3 -0x569a -0x1749e3a2 -256 -256 -1 -0 -0 -4 -0x2e2f -0x940773af -256 -256 -1 -0 -0 -3 -0x152f -0xdbf16abf -256 -256 -0 -0 -0 -4 -0xb47 -0x38f1d929 -256 -256 -0 -0 -0 -4 -0x9b1e -0xebf03d46 -256 -256 -0 -0 -0 -1 -0x782c -0xdfdaeecd -256 -256 -0 -0 -0 -4 -0x3cb5 -0x76c74083 -256 -256 -1 -0 -0 -1 -0x5ff9 -0xd93ba9ca -256 -256 -1 -0 -0 -1 -0x2823 -0xdd60b14f -256 -256 -0 -0 -0 -3 -0x266 -0xbc007449 -256 -256 -1 -0 -0 -2 -0xf0a7 -0xcb75a730 -256 -256 -0 -0 -0 -4 -0x347d -0x571e6d0 -256 -256 -1 -0 -0 -2 -0x2e13 -0x3030bfa4 -256 -256 -1 -0 -0 -3 -0xca38 -0xb4a5c71c -256 -256 -1 -0 -0 -2 -0x8c80 -0x42ea6346 -256 -256 -1 -0 -0 -2 -0x1ace -0xd79a014f -256 -256 -0 -0 -0 -2 -0x10c6 -0x3eeeee05 -256 -256 -0 -0 -0 -3 -0x4d1b -0x21baeaac -256 -256 -0 -0 -0 -2 -0x7935 -0xdac524f4 -256 -256 -1 -0 -0 -2 -0xa3f4 -0x922c12db -256 -256 -1 -0 -0 -4 -0xedf0 -0x88255c15 -256 -256 -1 -0 -0 -1 -0x222b -0x99732fdb -256 -256 -0 -0 -0 -1 -0xe701 -0x1226c228 -256 -256 -1 -0 -0 -3 -0xaddb -0xb27651a2 -256 -256 -1 -0 -0 -1 -0xac68 -0xfe0747fa -256 -256 -0 -0 -0 -1 -0xdf39 -0xed41a892 -256 -256 -1 -0 -0 -4 -0x5f2d -0xb8eeb3e0 -256 -256 -0 -0 -0 -1 -0x9e8a -0xd6e3f43 -256 -256 -1 -0 -0 -1 -0x98cb -0x83b64119 -256 -256 -1 -0 -0 -2 -0xbd80 -0x440bd3f0 -256 -256 -1 -0 -0 -4 -0x403d -0x170d622 -256 -256 -1 -0 -0 -1 -0xe523 -0x450ceb1a -256 -256 -1 -0 -0 -3 -0xcc78 -0xa13dd243 -256 -256 -1 -0 -0 -1 -0x717c -0x177c215a -256 -256 -1 -0 -0 -4 -0xec70 -0xb058a6b3 -256 -256 -1 -0 -0 -2 -0xdf08 -0x3bb2c050 -256 -256 -0 -0 -0 -1 -0x4516 -0xfcb9d19a -256 -256 -1 -0 -0 -3 -0xbc8c -0x9c637ef5 -256 -256 -1 -0 -0 -4 -0x61ed -0xfd75df94 -256 -256 -1 -0 -0 -2 -0x2692 -0x1be09e95 -256 -256 -1 -0 -0 -1 -0x58e9 -0xb1748124 -256 -256 -0 -0 -0 -1 -0x7726 -0x5994ec49 -256 -256 -1 -0 -0 -4 -0x8e8 -0x25e662b6 -256 -256 -0 -0 -0 -2 -0xa03e -0x56463c5b -256 -256 -0 -0 -0 -3 -0x8eaa -0x41686104 -256 -256 -0 -0 -0 -4 -0xaf51 -0x4d9a157 -256 -256 -0 -0 -0 -4 -0xda31 -0x8e7fa96d -256 -256 -1 -0 -0 -3 -0x79bb -0x701c8f82 -256 -256 -0 -0 -0 -2 -0xc96c -0x56922440 -256 -256 -0 -0 -0 -2 -0x46c2 -0xef679f9a -256 -256 -0 -0 -0 -1 -0xbcc9 -0x378c3e9e -256 -256 -1 -0 -0 -2 -0xd08f -0x84d5ce43 -256 -256 -0 -0 -0 -3 -0x5fc3 -0xf8c025df -256 -256 -0 -0 -0 -4 -0xcaf9 -0x6c889bd0 -256 -256 -1 -0 -0 -3 -0xd3bd -0x19ee41fe -256 -256 -1 -0 -0 -3 -0x898d -0xe73b940a -256 -256 -1 -0 -0 -1 -0x47e0 -0x55771ab1 -256 -256 -0 -0 -0 -4 -0xb643 -0x835daa97 -256 -256 -0 -0 -0 -2 -0xa5c6 -0x5a89078e -256 -256 -0 -0 -0 -3 -0x411 -0x855aedee -256 -256 -0 -0 -0 -2 -0x3f89 -0x31d35b40 -256 -256 -0 -0 -0 -2 -0x3ae2 -0xdb8da2b8 -256 -256 -0 -0 -0 -2 -0x2fcc -0x7b408b66 -256 -256 -1 -0 -0 -4 -0xb219 -0x332e9c44 -256 -256 -0 -0 -0 -3 -0xd54f -0x7c1f1527 -256 -256 -0 -0 -0 -2 -0x3c50 -0x84d30c49 -256 -256 -1 -0 -0 -3 -0x6d5 -0x74a35093 -256 -256 -0 -0 -0 -2 -0xa157 -0xbe34d1c5 -256 -256 -0 -0 -0 -2 -0x1c94 -0xf97c8cf -256 -256 -0 -0 -0 -1 -0xfb2 -0x187b1c8 -256 -256 -1 -0 -0 -3 -0xb393 -0x72aec83c -256 -256 -1 -0 -0 -2 -0x49a2 -0x794d55ee -256 -256 -1 -0 -0 -3 -0xc56a -0x3089d4ce -256 -256 -1 -0 -0 -3 -0xa3c5 -0xc8d27689 -256 -256 -0 -0 -0 -2 -0xcfbd -0x5de93cbf -256 -256 -0 -0 -0 -4 -0x600d -0xa76676b1 -256 -256 -0 -0 -0 -3 -0xa92b -0xc258af96 -256 -256 -0 -0 -0 -2 -0xe15d -0xecf40b9d -256 -256 -1 -0 -0 -1 -0xcd46 -0xf61a1543 -256 -256 -1 -0 -0 -4 -0x357a -0x32990175 -256 -256 -1 -0 -0 -2 -0x3cfa -0xae1aa4ad -256 -256 -0 -0 -0 -2 -0x1286 -0x185e2f8e -256 -256 -1 -0 -0 -2 -0x9c83 -0x29873f82 -256 -256 -0 -0 -0 -1 -0xede3 -0x38792a59 -256 -256 -1 -0 -0 -4 -0x9b59 -0xb6b15a8 -256 -256 -1 -0 -0 -1 -0x61f1 -0x4d17d201 -256 -256 -1 -0 -0 -1 -0x9be -0xe5afdd14 -256 -256 -1 -0 -0 -3 -0x7a31 -0x6806bcc5 -256 -256 -1 -0 -0 -3 -0xca7c -0x1da09f33 -256 -256 -0 -0 -0 -3 -0x398e -0xb3302985 -256 -256 -1 -0 -0 -2 -0x1c4f -0x14561e41 -256 -256 -1 -0 -0 -2 -0xf077 -0x7589305a -256 -256 -1 -0 -0 -3 -0x6854 -0x9a046698 -256 -256 -0 -0 -0 -3 -0x2f2 -0x3bae25ca -256 -256 -0 -0 -0 -1 -0xdeab -0xe3c97daf -256 -256 -1 -0 -0 -3 -0xf202 -0xcd26edc0 -256 -256 -0 -0 -0 -1 -0xcd41 -0x1018e1f8 -256 -256 -1 -0 -0 -3 -0x22b -0xa860912a -256 -256 -1 -0 -0 -1 -0x1f32 -0xe8950d75 -256 -256 -1 -0 -0 -3 -0x6b04 -0x21faf9be -256 -256 -0 -0 -0 -1 -0x835a -0x894adc98 -256 -256 -0 -0 -0 -4 -0x82cb -0x3efdc6f -256 -256 -1 -0 -0 -4 -0xec46 -0x3009895e -256 -256 -0 -0 -0 -4 -0x33c1 -0x820cc05c -256 -256 -0 -0 -0 -3 -0xb388 -0x434483e2 -256 -256 -0 -0 -0 -4 -0x452d -0x5e18a2eb -256 -256 -1 -0 -0 -1 -0xd79f -0x6256c916 -256 -256 -1 -0 -0 -3 -0xe2d2 -0xc5670aa -256 -256 -1 -0 -0 -3 -0x5b6e -0xa4b0a26 -256 -256 -0 -0 -0 -3 -0xb2aa -0xdec2859a -256 -256 -1 -0 -0 -4 -0x4526 -0xd66daf98 -256 -256 -0 -0 -0 -1 -0x6dc6 -0x36d55c27 -256 -256 -0 -0 -0 -1 -0x89fe -0x3291d97 -256 -256 -1 -0 -0 -3 -0x7f9b -0xc1936ecf -256 -256 -0 -0 -0 -4 -0xdbc4 -0xa4f85dfb -256 -256 -0 -0 -0 -4 -0x667a -0x728bd15d -256 -256 -1 -0 -0 -4 -0xf44f -0xecc7108b -256 -256 -0 -0 -0 -2 -0xbe4f -0x72fe1a19 -256 -256 -0 -0 -0 -1 -0x7976 -0xe68064d5 -256 -256 -1 -0 -0 -1 -0xdd9e -0x2b357ac7 -256 -256 -0 -0 -0 -2 -0xf0a5 -0x21a3a03d -256 -256 -0 -0 -0 -2 -0x2fd -0x823eadc6 -256 -256 -0 -0 -0 -4 -0xbeaa -0xe1cf3de -256 -256 -1 -0 -0 -1 -0x773e -0xdec90c49 -256 -256 -1 -0 -0 -3 -0x9d0a -0x3d054f60 -256 -256 -1 -0 -0 -4 -0x853d -0xf38d9a40 -256 -256 -0 -0 -0 -2 -0x55e9 -0x3ad144 -256 -256 -1 -0 -0 -2 -0xaf3a -0x3ac81cf9 -256 -256 -1 -0 -0 -4 -0xc086 -0xfb73006e -256 -256 -0 -0 -0 -4 -0x4133 -0x740cf85f -256 -256 -1 -0 -0 -3 -0x6aef -0x46cbad10 -256 -256 -1 -0 -0 -2 -0x2b16 -0x1bb7caf6 -256 -256 -0 -0 -0 -2 -0x828a -0xa11eabbf -256 -256 -1 -0 -0 -2 -0x3f12 -0x50df235d -256 -256 -1 -0 -0 -4 -0xe20f -0xca0540df -256 -256 -1 -0 -0 -1 -0x2130 -0xb504733a -256 -256 -1 -0 -0 -4 -0x77b2 -0x277b1ea8 -256 -256 -0 -0 -0 -1 -0xa8be -0x4c33a6a8 -256 -256 -0 -0 -0 -3 -0x25f0 -0x1fb2ae15 -256 -256 -1 -0 -0 -4 -0x8b74 -0xcb59b0a9 -256 -256 -1 -0 -0 -3 -0xdc3a -0x6b7631ac -256 -256 -0 -0 -0 -3 -0xccda -0x467b7bfa -256 -256 -0 -0 -0 -3 -0xca4d -0xf1756a9f -256 -256 -0 -0 -0 -3 -0xb4b2 -0x94bf489f -256 -256 -0 -0 -0 -3 -0x991f -0x61b3f5b9 -256 -256 -0 -0 -0 -1 -0xb048 -0x64d4e2c9 -256 -256 -1 -0 -0 -2 -0xbe66 -0xdf8b352c -256 -256 -1 -0 -0 -2 -0x2cf0 -0xc104f578 -256 -256 -1 -0 -0 -3 -0xef74 -0x3fc2e268 -256 -256 -1 -0 -0 -2 -0xa71d -0xa25433dc -256 -256 -0 -0 -0 -3 -0xcd0e -0xe7e563cc -256 -256 -0 -0 -0 -4 -0xa39d -0x7a809dd4 -256 -256 -0 -0 -0 -1 -0x8280 -0x6b0bbc6b -256 -256 -0 -0 -0 -4 -0x5dc7 -0xa854677e -256 -256 -0 -0 -0 -4 -0xa709 -0xd97f13e1 -256 -256 -0 -0 -0 -4 -0x7de5 -0x662cbec1 -256 -256 -1 -0 -0 -2 -0x882 -0x8f1b51f4 -256 -256 -1 -0 -0 -1 -0x73ee -0x7f53aeb8 -256 -256 -1 -0 -0 -1 -0x6613 -0xc3165d1c -256 -256 -1 -0 -0 -1 -0x60ab -0x4a09535a -256 -256 -1 -0 -0 -1 -0x7ef3 -0x90776f8d -256 -256 -0 -0 -0 -2 -0x95d8 -0x8d616119 -256 -256 -0 -0 -0 -1 -0x2b42 -0x3dc0c22f -256 -256 -1 -0 -0 -3 -0xc387 -0xb32d45a3 -256 -256 -1 -0 -0 -1 -0xa8f9 -0x1d963841 -256 -256 -1 -0 -0 -1 -0x1725 -0x52f66e8e -256 -256 -1 -0 -0 -4 -0xa213 -0x8af81559 -256 -256 -0 -0 -0 -4 -0xe89b -0xe47673bf -256 -256 -1 -0 -0 -4 -0x9856 -0x1a3875ff -256 -256 -1 -0 -0 -2 -0x4095 -0x7efce6ac -256 -256 -0 -0 -0 -3 -0xa444 -0x416647ea -256 -256 -1 -0 -0 -1 -0x4b81 -0x83ed5653 -256 -256 -1 -0 -0 -4 -0x73a9 -0x9a07eb8a -256 -256 -0 -0 -0 -3 -0xfa4b -0xdc6dab9 -256 -256 -0 -0 -0 -4 -0xf1 -0x52ff8cb5 -256 -256 -1 -0 -0 -3 -0xbc1a -0xdf051d23 -256 -256 -1 -0 -0 -3 -0xbb00 -0x325a1df7 -256 -256 -0 -0 -0 -2 -0x7e0f -0x9db06873 -256 -256 -1 -0 -0 -4 -0x4d5f -0x782e86e -256 -256 -1 -0 -0 -1 -0xac61 -0xf0f3cf35 -256 -256 -1 -0 -0 -3 -0x8e9c -0x3ecdff41 -256 -256 -0 -0 -0 -1 -0x6cd -0xefdb8d00 -256 -256 -1 -0 -0 -4 -0xfa39 -0xb5361857 -256 -256 -0 -0 -0 -4 -0xa0a2 -0x283777e6 -256 -256 -0 -0 -0 -3 -0x46db -0x43df4b40 -256 -256 -1 -0 -0 -4 -0x43ab -0x9317f1a9 -256 -256 -0 -0 -0 -2 -0x1fd3 -0x2475e140 -256 -256 -0 -0 -0 -2 -0x442c -0x54ed7153 -256 -256 -1 -0 -0 -3 -0xa882 -0xcbafe056 -256 -256 -0 -0 -0 -1 -0x6dbc -0xac48e30e -256 -256 -0 -0 -0 -4 -0xe4fe -0x47b68d76 -256 -256 -1 -0 -0 -4 -0xed96 -0x9146e801 -256 -256 -1 -0 -0 -1 -0x5d77 -0x40c8b1f -256 -256 -0 -0 -0 -2 -0xcddd -0x5202fd03 -256 -256 -1 -0 -0 -1 -0x4ad -0x8a989aa6 -256 -256 -0 -0 -0 -1 -0x2199 -0x2316b0d7 -256 -256 -0 -0 -0 -1 -0x511a -0x504ba700 -256 -256 -0 -0 -0 -3 -0x8a26 -0x575ac8f1 -256 -256 -0 -0 -0 -2 -0x2f19 -0x7e2c6111 -256 -256 -0 -0 -0 -2 -0x589b -0xf2c455c0 -256 -256 -1 -0 -0 -4 -0xff65 -0xba6aa087 -256 -256 -1 -0 -0 -2 -0x160a -0xa5d6541a -256 -256 -0 -0 -0 -1 -0x88ce -0x52321ac0 -256 -256 -1 -0 -0 -4 -0xd6b1 -0x54519940 -256 -256 -1 -0 -0 -3 -0xff02 -0x29b8e764 -256 -256 -0 -0 -0 -2 -0x1c67 -0x889b893c -256 -256 -0 -0 -0 -4 -0x903b -0x22625263 -256 -256 -0 -0 -0 -4 -0xae6b -0xd8892107 -256 -256 -0 -0 -0 -1 -0x3133 -0xef0a6eba -256 -256 -1 -0 -0 -2 -0x46f -0xc5d13810 -256 -256 -0 -0 -0 -1 -0xd476 -0x9bb5cc14 -256 -256 -1 -0 -0 -4 -0xf5e -0xd204fdf4 -256 -256 -1 -0 -0 -4 -0x688d -0x95f0b001 -256 -256 -1 -0 -0 -4 -0x9909 -0x46a727cf -256 -256 -1 -0 -0 -4 -0xfb90 -0xa9332585 -256 -256 -0 -0 -0 -1 -0xd97d -0x84482548 -256 -256 -1 -0 -0 -4 -0xc32a -0xae6b039c -256 -256 -1 -0 -0 -4 -0xdac3 -0x91de8a3b -256 -256 -0 -0 -0 -1 -0x2940 -0x30b863ab -256 -256 -0 -0 -0 -4 -0x8c23 -0xaaf7d964 -256 -256 -0 -0 -0 -1 -0x911d -0xb43714c6 -256 -256 -0 -0 -0 -3 -0xc72d -0x8cd1507c -256 -256 -1 -0 -0 -4 -0xe0aa -0x300e64aa -256 -256 -1 -0 -0 -2 -0xa6d2 -0xc193b957 -256 -256 -1 -0 -0 -4 -0x22d0 -0xa2473362 -256 -256 -0 -0 -0 -1 -0xc764 -0xc0465253 -256 -256 -0 -0 -0 -2 -0xe4f9 -0x20965589 -256 -256 -0 -0 -0 -4 -0xe67e -0xb7e765e4 -256 -256 -1 -0 -0 -1 -0x31fa -0x2d96a0b8 -256 -256 -0 -0 -0 -4 -0x95cf -0x13bc54b4 -256 -256 -0 -0 -0 -4 -0x81e1 -0x45e222d -256 -256 -0 -0 -0 -2 -0x9b29 -0xc0d342d -256 -256 -1 -0 -0 -3 -0xc315 -0x4be9cd53 -256 -256 -1 -0 -0 -2 -0xa2cf -0x7e6133b5 -256 -256 -0 -0 -0 -4 -0x7fdd -0x6a92bffa -256 -256 -0 -0 -0 -1 -0x696d -0x9b283b21 -256 -256 -1 -0 -0 -2 -0x71ad -0x2af5f31e -256 -256 -0 -0 -0 -3 -0xb7e9 -0x83b3626a -256 -256 -0 -0 -0 -3 -0x248f -0xe5e85cf4 -256 -256 -0 -0 -0 -3 -0xa0bc -0x82b598e4 -256 -256 -0 -0 -0 -4 -0x7e5 -0x3659a4e9 -256 -256 -1 -0 -0 -1 -0x6c49 -0xfcba3a7f -256 -256 -0 -0 -0 -1 -0xe466 -0x4c93e4f4 -256 -256 -1 -0 -0 -2 -0x4b4b -0x682eb8d1 -256 -256 -1 -0 -0 -4 -0xf2e1 -0xd1a88d2e -256 -256 -0 -0 -0 -3 -0xd283 -0x3bfccdc8 -256 -256 -0 -0 -0 -4 -0x423e -0xb982266c -256 -256 -0 -0 -0 -3 -0x19a1 -0xfc500d88 -256 -256 -1 -0 -0 -3 -0x4413 -0xd3dc8b96 -256 -256 -0 -0 -0 -1 -0x7457 -0x89343934 -256 -256 -0 -0 -0 -3 -0xb9d -0x2e147e06 -256 -256 -1 -0 -0 -4 -0x69c1 -0xa573a29e -256 -256 -1 -0 -0 -1 -0x1212 -0xb8287762 -256 -256 -0 -0 -0 -2 -0xf889 -0x449eac5c -256 -256 -0 -0 -0 -2 -0x88f3 -0x4ecd1814 -256 -256 -1 -0 -0 -2 -0x553c -0x9066bd0c -256 -256 -1 -0 -0 -1 -0xa094 -0xc1c48f3e -256 -256 -1 -0 -0 -4 -0x352d -0xbaf46cdf -256 -256 -0 -0 -0 -3 -0xe6a1 -0x2c43c3a8 -256 -256 -1 -0 -0 -3 -0xea27 -0x109da369 -256 -256 -1 -0 -0 -2 -0x4f35 -0xeeb9ab7d -256 -256 -0 -0 -0 -4 -0xecf8 -0x4b835549 -256 -256 -0 -0 -0 -4 -0x8f73 -0x3ce42cb -256 -256 -0 -0 -0 -4 -0x76ba -0x9c43243f -256 -256 -0 -0 -0 -3 -0xe028 -0xd36d1ba4 -256 -256 -1 -0 -0 -1 -0xf7e1 -0xce469770 -256 -256 -1 -0 -0 -4 -0xece8 -0x75f3d54b -256 -256 -0 -0 -0 -1 -0xc611 -0xfde11415 -256 -256 -1 -0 -0 -1 -0x8960 -0xece5f910 -256 -256 -0 -0 -0 -2 -0x1795 -0xe71f3772 -256 -256 -0 -0 -0 -4 -0x83f4 -0xfe5d5778 -256 -256 -0 -0 -0 -1 -0x8deb -0x4c61ec4 -256 -256 -1 -0 -0 -3 -0x33c0 -0x8979bbe2 -256 -256 -0 -0 -0 -2 -0x35f9 -0x5fe0ba38 -256 -256 -0 -0 -0 -1 -0x23e0 -0xa5556b55 -256 -256 -0 -0 -0 -1 -0x6bc -0xa2b483c8 -256 -256 -0 -0 -0 -1 -0xa6a6 -0xa6a44c79 -256 -256 -0 -0 -0 -4 -0x9410 -0xea0fb403 -256 -256 -0 -0 -0 -3 -0x57f3 -0xd032c346 -256 -256 -0 -0 -0 -4 -0x15c7 -0x44152ce0 -256 -256 -0 -0 -0 -2 -0x68c8 -0xf632926a -256 -256 -0 -0 -0 -4 -0x5aa0 -0x243b6f26 -256 -256 -1 -0 -0 -2 -0xf745 -0x7cd974b4 -256 -256 -0 -0 -0 -4 -0xdb2c -0x90183df5 -256 -256 -0 -0 -0 -2 -0x974d -0x8b561db -256 -256 -0 -0 -0 -3 -0x803f -0xfb6b5b41 -256 -256 -1 -0 -0 -3 -0xce9b -0xdc75133 -256 -256 -1 -0 -0 -3 -0xe621 -0xd7e87d78 -256 -256 -0 -0 -0 -2 -0xc724 -0x900712f7 -256 -256 -1 -0 -0 -2 -0x9bbb -0xe9e97c40 -256 -256 -0 -0 -0 -4 -0xc6b2 -0x5c548a1c -256 -256 -0 -0 -0 -4 -0x3cac -0x606f8d6 -256 -256 -1 -0 -0 -3 -0x5426 -0x40274641 -256 -256 -0 -0 -0 -1 -0x68d3 -0xa2b3ec33 -256 -256 -0 -0 -0 -1 -0x36c4 -0x660a9da4 -256 -256 -0 -0 -0 -4 -0xeed1 -0x1d24e3dd -256 -256 -1 -0 -0 -4 -0xa598 -0xa5c8b489 -256 -256 -0 -0 -0 -2 -0x1264 -0x8daaf26 -256 -256 -1 -0 -0 -2 -0x2ef -0x980b5bce -256 -256 -1 -0 -0 -1 -0xc1e0 -0xd361a62c -256 -256 -0 -0 -0 -3 -0xa6f5 -0x4bffe7f0 -256 -256 -1 -0 -0 -3 -0x2178 -0x745bcfcb -256 -256 -1 -0 -0 -2 -0x93e6 -0x804f816f -256 -256 -0 -0 -0 -2 -0xc127 -0x8762ccd8 -256 -256 -1 -0 -0 -4 -0x95b6 -0x991fef02 -256 -256 -1 -0 -0 -1 -0x8719 -0xa8e0548d -256 -256 -0 -0 -0 -1 -0x87d -0x725407e5 -256 -256 -1 -0 -0 -1 -0x8140 -0x8df9f5cc -256 -256 -1 -0 -0 -1 -0x519a -0x841a2282 -256 -256 -1 -0 -0 -1 -0xfa8d -0x6a6d62a -256 -256 -0 -0 -0 -2 -0xa7b -0xa6ab8f24 -256 -256 -0 -0 -0 -4 -0x3897 -0x61379a6b -256 -256 -1 -0 -0 -3 -0x54bc -0x58012e4 -256 -256 -1 -0 -0 -3 -0x1612 -0xd431c61b -256 -256 -0 -0 -0 -4 -0x9097 -0x2c146159 -256 -256 -1 -0 -0 -3 -0xa473 -0x33e0fc90 -256 -256 -1 -0 -0 -3 -0x5751 -0x4063ce08 -256 -256 -0 -0 -0 -2 -0x169c -0x1770583 -256 -256 -1 -0 -0 -4 -0x4ce7 -0x530dd204 -256 -256 -0 -0 -0 -4 -0x68f0 -0x43f6a2c6 -256 -256 -1 -0 -0 -1 -0xd97e -0x709cdcad -256 -256 -0 -0 -0 -4 -0xef01 -0xd3fa0be5 -256 -256 -1 -0 -0 -4 -0x57c9 -0xf671595 -256 -256 -0 -0 -0 -1 -0x5478 -0x8968d580 -256 -256 -1 -0 -0 -2 -0x2250 -0x4e767406 -256 -256 -1 -0 -0 -3 -0x4cc7 -0x3d6df39d -256 -256 -0 -0 -0 -1 -0xe238 -0xce3cae58 -256 -256 -0 -0 -0 -2 -0xdac5 -0x6a18da0c -256 -256 -0 -0 -0 -1 -0x8275 -0xaa304377 -256 -256 -1 -0 -0 -1 -0x244a -0x55e1962c -256 -256 -1 -0 -0 -2 -0xabee -0x2dad6cc2 -256 -256 -1 -0 -0 -4 -0xcd0e -0x1df4ede4 -256 -256 -1 -0 -0 -4 -0x863e -0xd06a1a34 -256 -256 -1 -0 -0 -4 -0x29b6 -0xcfc53234 -256 -256 -0 -0 -0 -2 -0x5e90 -0xfeeb3a87 -256 -256 -0 -0 -0 -2 -0x669c -0x9e81a270 -256 -256 -0 -0 -0 -4 -0x3764 -0x1da9b5e7 -256 -256 -0 -0 -0 -3 -0x9689 -0x706a4b70 -256 -256 -0 -0 -0 -3 -0xa121 -0xa4192e32 -256 -256 -1 -0 -0 -2 -0xbf36 -0x33115a1e -256 -256 -0 -0 -0 -3 -0x23b4 -0xfe86c6e7 -256 -256 -0 -0 -0 -3 -0xb5c3 -0x2d10dc9b -256 -256 -1 -0 -0 -1 -0x45c7 -0x766ad01f -256 -256 -1 -0 -0 -4 -0x2ef3 -0x67be537 -256 -256 -1 -0 -0 -2 -0x8c4d -0xa7ebd5be -256 -256 -0 -0 -0 -4 -0x3451 -0xc0f7ec6a -256 -256 -1 -0 -0 -1 -0xc29c -0x6f804a8 -256 -256 -0 -0 -0 -3 -0x2e61 -0x7ef7779a -256 -256 -1 -0 -0 -3 -0xba6a -0xb443e6b -256 -256 -1 -0 -0 -2 -0x646f -0x9d740d86 -256 -256 -0 -0 -0 -2 -0x6098 -0x2782f68c -256 -256 -0 -0 -0 -3 -0x3fa6 -0xcd4ee512 -256 -256 -0 -0 -0 -2 -0xa94 -0x508068e8 -256 -256 -1 -0 -0 -1 -0xf48c -0x33b2b660 -256 -256 -1 -0 -0 -1 -0x56aa -0x71ef1e32 -256 -256 -0 -0 -0 -1 -0x82aa -0x7063a1cd -256 -256 -0 -0 -0 -4 -0xbca7 -0xc91bad28 -256 -256 -1 -0 -0 -2 -0x42ef -0xc4fb05ab -256 -256 -1 -0 -0 -4 -0xcd04 -0xc39a678d -256 -256 -0 -0 -0 -4 -0xdb65 -0x506b7874 -256 -256 -0 -0 -0 -3 -0x2430 -0xaa9feef3 -256 -256 -0 -0 -0 -2 -0xefb6 -0x87b87889 -256 -256 -1 -0 -0 -2 -0x3523 -0x8849b2d4 -256 -256 -1 -0 -0 -4 -0x363c -0x36882ea0 -256 -256 -0 -0 -0 -4 -0xd225 -0xe82213aa -256 -256 -0 -0 -0 -2 -0xeb6f -0x15108ebb -256 -256 -1 -0 -0 -3 -0xfcf4 -0xe343586d -256 -256 -0 -0 -0 -2 -0xfc0a -0xe4ff477 -256 -256 -1 -0 -0 -4 -0x28d0 -0xa21e6201 -256 -256 -1 -0 -0 -3 -0xe225 -0x6f97ab63 -256 -256 -1 -0 -0 -4 -0xab0f -0xe986ea29 -256 -256 -0 -0 -0 -3 -0x8752 -0x26aa71e -256 -256 -0 -0 -0 -1 -0x4c27 -0xd43fb53d -256 -256 -1 -0 -0 -4 -0x43cd -0x55d1ffed -256 -256 -0 -0 -0 -3 -0xc3c -0xa4fe12f9 -256 -256 -0 -0 -0 -3 -0xe9ee -0x98dc6f15 -256 -256 -1 -0 -0 -3 -0x24ce -0xc8b81839 -256 -256 -1 -0 -0 -1 -0xf0fb -0xcaf4c407 -256 -256 -1 -0 -0 -2 -0x2a20 -0x4858d242 -256 -256 -0 -0 -0 -1 -0xd452 -0xa0aa85dd -256 -256 -0 -0 -0 -1 -0x4009 -0xc258faeb -256 -256 -0 -0 -0 -2 -0xd87c -0x384e601f -256 -256 -1 -0 -0 -2 -0xe513 -0xd597d87e -256 -256 -1 -0 -0 -3 -0x4fc0 -0x223560d6 -256 -256 -0 -0 -0 -1 -0x3fae -0x9e11ccdb -256 -256 -1 -0 -0 -2 -0x15d3 -0x3b8e804b -256 -256 -0 -0 -0 -3 -0x10a6 -0x4d397bb5 -256 -256 -1 -0 -0 -3 -0x706e -0x397df47d -256 -256 -0 -0 -0 -1 -0x87e8 -0x1ab808ad -256 -256 -1 -0 -0 -4 -0x7674 -0x2a15269a -256 -256 -1 -0 -0 -4 -0x8cb4 -0xf26ace4f -256 -256 -1 -0 -0 -3 -0x6b06 -0x7d6ad1f -256 -256 -0 -0 -0 -3 -0x6ffe -0x37160e94 -256 -256 -0 -0 -0 -1 -0xbd3a -0xca9b5217 -256 -256 -1 -0 -0 -4 -0xcaa8 -0x69dc13dd -256 -256 -0 -0 -0 -2 -0xbe78 -0x6fdcdef2 -256 -256 -1 -0 -0 -4 -0x732e -0x291b368 -256 -256 -0 -0 -0 -2 -0x8917 -0xa78ee93e -256 -256 -0 -0 -0 -1 -0x870d -0xc30e868e -256 -256 -0 -0 -0 -4 -0x2f4c -0x95fff1bd -256 -256 -1 -0 -0 -2 -0x4648 -0x2b7a7e5e -256 -256 -1 -0 -0 -1 -0xe69d -0x90122f0d -256 -256 -0 -0 -0 -1 -0x8fe8 -0x5af9be75 -256 -256 -0 -0 -0 -1 -0x3873 -0x8412b9c3 -256 -256 -0 -0 -0 -3 -0x5149 -0xbc18ceef -256 -256 -1 -0 -0 -1 -0x4b7d -0xd111a7ff -256 -256 -0 -0 -0 -1 -0xadb -0x598075cd -256 -256 -0 -0 -0 -4 -0x3d24 -0xf4a22c02 -256 -256 -0 -0 -0 -3 -0x88c1 -0xa5439333 -256 -256 -0 -0 -0 -4 -0x58f1 -0x697da29d -256 -256 -0 -0 -0 -4 -0x8c1d -0xf125a993 -256 -256 -1 -0 -0 -3 -0x8bce -0x54c34c6e -256 -256 -1 -0 -0 -4 -0xcc26 -0x528dff3f -256 -256 -1 -0 -0 -4 -0xd649 -0x4097632 -256 -256 -1 -0 -0 -1 -0x9c28 -0xfc77da57 -256 -256 -1 -0 -0 -4 -0x5b75 -0xefb88d5d -256 -256 -1 -0 -0 -1 -0x7f2d -0x6a4d525d -256 -256 -0 -0 -0 -2 -0x4dd8 -0x23f5e0f4 -256 -256 -1 -0 -0 -2 -0xa7c1 -0x4e3a21a4 -256 -256 -0 -0 -0 -4 -0x299c -0x8dd8a3f0 -256 -256 -0 -0 -0 -4 -0x934e -0xd0eb0470 -256 -256 -0 -0 -0 -3 -0xd7e0 -0x2ca5a232 -256 -256 -1 -0 -0 -3 -0x18ea -0x11cbd2f2 -256 -256 -0 -0 -0 -4 -0x3f9b -0xf68456f7 -256 -256 -0 -0 -0 -4 -0x7260 -0x29e91042 -256 -256 -1 -0 -0 -2 -0xdc8f -0x7169ac67 -256 -256 -0 -0 -0 -4 -0xd05c -0x536a8c95 -256 -256 -1 -0 -0 -4 -0x2b9e -0x4e5b578c -256 -256 -0 -0 -0 -3 -0xc12b -0x9e826b14 -256 -256 -0 -0 -0 -1 -0x2bf9 -0xc174fe61 -256 -256 -1 -0 -0 -3 -0x4b2 -0x489cce94 -256 -256 -1 -0 -0 -3 -0x467f -0xef282152 -256 -256 -1 -0 -0 -3 -0xd0a8 -0xeba54726 -256 -256 -1 -0 -0 -2 -0x11ab -0xe4522186 -256 -256 -1 -0 -0 -4 -0x8fb6 -0x312c1dcf -256 -256 -0 -0 -0 -3 -0xbc7c -0xe6fe1eba -256 -256 -0 -0 -0 -2 -0x63b7 -0x59661927 -256 -256 -1 -0 -0 -1 -0xc94b -0xecf544af -256 -256 -1 -0 -0 -3 -0xf669 -0x938ed4e2 -256 -256 -1 -0 -0 -2 -0xd4be -0xa74aa45c -256 -256 -0 -0 -0 -2 -0xbe56 -0x59204023 -256 -256 -1 -0 -0 -3 -0xb4d6 -0x4facdce4 -256 -256 -0 -0 -0 -1 -0x16e8 -0x92d589cc -256 -256 -0 -0 -0 -2 -0xd919 -0x5e6b6e6e -256 -256 -0 -0 -0 -2 -0xc31 -0x36cbf2a4 -256 -256 -1 -0 -0 -4 -0x4dab -0xad919b16 -256 -256 -1 -0 -0 -2 -0x5cdc -0x7bc8f729 -256 -256 -0 -0 -0 -4 -0x30ff -0x9aac340e -256 -256 -0 -0 -0 -2 -0xe13b -0xbd682a20 -256 -256 -1 -0 -0 -3 -0xc8df -0x24a0f7ff -256 -256 -0 -0 -0 -4 -0x35bf -0xf5e0c9ef -256 -256 -1 -0 -0 -1 -0x5fdf -0x3bc79c55 -256 -256 -0 -0 -0 -1 -0x474d -0xfcbecfe5 -256 -256 -0 -0 -0 -1 -0xfa9f -0xa9a1e256 -256 -256 -0 -0 -0 -4 -0xd986 -0xa29f553a -256 -256 -0 -0 -0 -4 -0x4869 -0x76f9bf06 -256 -256 -0 -0 -0 -4 -0x2d27 -0x8bb95649 -256 -256 -0 -0 -0 -1 -0x42ce -0xb9c989bb -256 -256 -1 -0 -0 -2 -0x7bea -0xfb224437 -256 -256 -1 -0 -0 -2 -0xe135 -0x682b7ad2 -256 -256 -0 -0 -0 -1 -0xfa26 -0x4d436ede -256 -256 -0 -0 -0 -4 -0x4b45 -0x976bc5e -256 -256 -1 -0 -0 -3 -0xf927 -0x31673d1e -256 -256 -0 -0 -0 -4 -0x2dcb -0x6decea56 -256 -256 -0 -0 -0 -2 -0x71a4 -0x13223183 -256 -256 -0 -0 -0 -4 -0x7fbc -0x200b94f0 -256 -256 -0 -0 -0 -4 -0xd8a1 -0xa45a81fe -256 -256 -1 -0 -0 -2 -0x304e -0x2ae74699 -256 -256 -1 -0 -0 -3 -0x1a1d -0x1bd44c6b -256 -256 -0 -0 -0 -3 -0x2e69 -0x81202ebd -256 -256 -1 -0 -0 -4 -0xf563 -0x754461b6 -256 -256 -0 -0 -0 -1 -0xac6a -0xe893f0aa -256 -256 -0 -0 -0 -3 -0x1af5 -0xa9c2a391 -256 -256 -1 -0 -0 -1 -0xbeed -0xc1fdac09 -256 -256 -1 -0 -0 -2 -0x20b3 -0x252e3a04 -256 -256 -0 -0 -0 -4 -0x7b92 -0x9e67b7e4 -256 -256 -1 -0 -0 -3 -0xaae6 -0x9f11aa43 -256 -256 -0 -0 -0 -3 -0x8d3f -0xe397709a -256 -256 -1 -0 -0 -2 -0x1d14 -0xdda4914a -256 -256 -0 -0 -0 -2 -0x7d9 -0x4c911216 -256 -256 -0 -0 -0 -2 -0x303d -0x1460ba51 -256 -256 -1 -0 -0 -1 -0x394 -0x67b24d50 -256 -256 -1 -0 -0 -4 -0x5496 -0xf840accf -256 -256 -0 -0 -0 -2 -0x1078 -0x7871701c -256 -256 -0 -0 -0 -4 -0x2d5c -0xaacc235f -256 -256 -0 -0 -0 -4 -0x173e -0xb9f7dadc -256 -256 -1 -0 -0 -2 -0x83fb -0x96f372d2 -256 -256 -1 -0 -0 -3 -0x6d98 -0x290b1d9e -256 -256 -1 -0 -0 -1 -0xe339 -0xc59fc6b4 -256 -256 -1 -0 -0 -3 -0xd561 -0xf2757f6e -256 -256 -0 -0 -0 -1 -0xd204 -0x946d59ea -256 -256 -1 -0 -0 -3 -0xf118 -0x13b975b4 -256 -256 -0 -0 -0 -2 -0x777e -0x4b7ca24c -256 -256 -1 -0 -0 -3 -0x9bc6 -0x2b5c9c31 -256 -256 -0 -0 -0 -4 -0xe53c -0xc9614821 -256 -256 -0 -0 -0 -2 -0x391e -0x88f39152 -256 -256 -1 -0 -0 -3 -0x9562 -0xe87d71df -256 -256 -0 -0 -0 -2 -0x478 -0xf858d204 -256 -256 -1 -0 -0 -2 -0x8641 -0xe082366 -256 -256 -1 -0 -0 -2 -0xded3 -0xd1204383 -256 -256 -1 -0 -0 -3 -0x2b3c -0xe53b7f22 -256 -256 -0 -0 -0 -4 -0x7dd9 -0xfa102e0b -256 -256 -0 -0 -0 -4 -0x7d28 -0x45e7efe -256 -256 -0 -0 -0 -4 -0x936a -0xb705aaa6 -256 -256 -0 -0 -0 -3 -0x5062 -0xc02c0801 -256 -256 -0 -0 -0 -3 -0xb2e6 -0xfb711c34 -256 -256 -0 -0 -0 -3 -0x451 -0x61580442 -256 -256 -1 -0 -0 -3 -0x1f6b -0x468da24b -256 -256 -1 -0 -0 -3 -0x4845 -0x896a2163 -256 -256 -1 -0 -0 -1 -0xa257 -0x45a1ddaa -256 -256 -1 -0 -0 -3 -0x296b -0xa65b5452 -256 -256 -0 -0 -0 -4 -0x50b3 -0xd56a1ae7 -256 -256 -1 -0 -0 -1 -0xb8a8 -0x4127ba15 -256 -256 -1 -0 -0 -4 -0x6a9c -0x34d93902 -256 -256 -0 -0 -0 -2 -0x17ff -0xebccf68b -256 -256 -1 -0 -0 -1 -0x81a8 -0x8f54f0eb -256 -256 -1 -0 -0 -4 -0xcbbc -0x4d173778 -256 -256 -1 -0 -0 -2 -0x720b -0x537e3b8c -256 -256 -0 -0 -0 -4 -0x5b8c -0xc261f32b -256 -256 -1 -0 -0 -4 -0x9cc2 -0x24da0d7d -256 -256 -0 -0 -0 -3 -0x208f -0x97f10e11 -256 -256 -0 -0 -0 -4 -0xb9df -0x2300b260 -256 -256 -1 -0 -0 -3 -0x1cb9 -0x82bb14a7 -256 -256 -0 -0 -0 -2 -0x4937 -0xf976ca67 -256 -256 -0 -0 -0 -4 -0x8e2b -0x96b96bcf -256 -256 -1 -0 -0 -3 -0x3255 -0xfe94d332 -256 -256 -1 -0 -0 -2 -0xe9b7 -0x2275327a -256 -256 -0 -0 -0 -1 -0x4d8c -0x1c8d4114 -256 -256 -1 -0 -0 -1 -0x112c -0xd6ce0035 -256 -256 -1 -0 -0 -3 -0x9e94 -0x72d5afe9 -256 -256 -1 -0 -0 -4 -0xa76e -0xa78ea533 -256 -256 -1 -0 -0 -4 -0x5a02 -0x7d54ce88 -256 -256 -1 -0 -0 -1 -0x982c -0x7349b173 -256 -256 -0 -0 -0 -1 -0xfba8 -0xb0a32588 -256 -256 -1 -0 -0 -1 -0x75d1 -0x236dc08 -256 -256 -0 -0 -0 -3 -0xd386 -0xef28969c -256 -256 -0 -0 -0 -1 -0xceb -0x76ffb44f -256 -256 -0 -0 -0 -2 -0x374e -0x42773de1 -256 -256 -1 -0 -0 -4 -0x4b9d -0x59efff8 -256 -256 -0 -0 -0 -4 -0xa7a0 -0xaf237daf -256 -256 -1 -0 -0 -1 -0x4d9e -0x4be4664 -256 -256 -1 -0 -0 -2 -0xa9a4 -0x2602a504 -256 -256 -0 -0 -0 -2 -0x7952 -0x71407f1c -256 -256 -0 -0 -0 -4 -0x3424 -0x2a014ec3 -256 -256 -1 -0 -0 -3 -0x592e -0x1d71e90e -256 -256 -0 -0 -0 -3 -0x6a85 -0xe4e33f71 -256 -256 -0 -0 -0 -3 -0x9690 -0x845e594b -256 -256 -0 -0 -0 -3 -0x4376 -0x32b233d2 -256 -256 -1 -0 -0 -4 -0xdd99 -0xf0ad5c62 -256 -256 -0 -0 -0 -3 -0xeac6 -0x1494e5c3 -256 -256 -0 -0 -0 -2 -0x67d3 -0x10d504c4 -256 -256 -0 -0 -0 -3 -0x210f -0xe9e0fcce -256 -256 -0 -0 -0 -3 -0xf8d6 -0xf02d10d4 -256 -256 -0 -0 -0 -1 -0x3946 -0x4e6b7d80 -256 -256 -0 -0 -0 -1 -0x5b48 -0xd4da5048 -256 -256 -0 -0 -0 -1 -0x8fde -0x7792e035 -256 -256 -0 -0 -0 -2 -0x6d24 -0x9815c50d -256 -256 -0 -0 -0 -1 -0x95c2 -0x65e4fbd -256 -256 -1 -0 -0 -1 -0xff03 -0x3d04bdad -256 -256 -1 -0 -0 -1 -0x6e27 -0x19192523 -256 -256 -1 -0 -0 -4 -0x1ca8 -0x21eaa18a -256 -256 -1 -0 -0 -1 -0xe7da -0x3cf76922 -256 -256 -1 -0 -0 -3 -0xb08 -0x8b3153ba -256 -256 -1 -0 -0 -4 -0xb865 -0xa62b048d -256 -256 -0 -0 -0 -4 -0xba66 -0x42b22c60 -256 -256 -0 -0 -0 -4 -0xcac3 -0x43c02b28 -256 -256 -1 -0 -0 -1 -0xac48 -0x250fbd71 -256 -256 -1 -0 -0 -1 -0x4fe -0x6a72ea2e -256 -256 -0 -0 -0 -3 -0x2319 -0x219142a -256 -256 -1 -0 -0 -3 -0xcf3b -0x46398e9d -256 -256 -1 -0 -0 -3 -0x3a7c -0xb22ee58 -256 -256 -1 -0 -0 -4 -0x7ab2 -0x3a8a1518 -256 -256 -1 -0 -0 -1 -0x740d -0xd3883a6d -256 -256 -1 -0 -0 -4 -0xd3fd -0xd763fc4 -256 -256 -0 -0 -0 -3 -0x1238 -0x361ff940 -256 -256 -0 -0 -0 -3 -0x1228 -0x806ab71c -256 -256 -1 -0 -0 -4 -0x170c -0x6a0f673 -256 -256 -1 -0 -0 -4 -0x934c -0xbeafea19 -256 -256 -0 -0 -0 -4 -0xe0ce -0x825ac414 -256 -256 -1 -0 -0 -3 -0xf66f -0x4afbdb5d -256 -256 -0 -0 -0 -4 -0xe6ca -0x2a98488e -256 -256 -0 -0 -0 -2 -0x7dac -0xe6799ef0 -256 -256 -0 -0 -0 -3 -0xf451 -0x831096fd -256 -256 -0 -0 -0 -3 -0x26db -0x2a4f6ce0 -256 -256 -0 -0 -0 -2 -0xe89f -0x713c46b2 -256 -256 -1 -0 -0 -3 -0x42f9 -0xec2a8505 -256 -256 -0 -0 -0 -2 -0x8fe6 -0x7d914e89 -256 -256 -1 -0 -0 -2 -0xba0f -0xd22efad -256 -256 -0 -0 -0 -4 -0xd1e5 -0x4137118e -256 -256 -0 -0 -0 -4 -0x8855 -0x16f88e46 -256 -256 -0 -0 -0 -3 -0x5f58 -0x225b0b8 -256 -256 -1 -0 -0 -3 -0xad27 -0x50fdd12c -256 -256 -0 -0 -0 -3 -0x5a75 -0xb7b05040 -256 -256 -1 -0 -0 -1 -0x7293 -0x73955067 -256 -256 -1 -0 -0 -4 -0x92d2 -0xf61f09da -256 -256 -0 -0 -0 -4 -0xf3a6 -0xa3201c93 -256 -256 -1 -0 -0 -3 -0x3ef6 -0xdf851919 -256 -256 -1 -0 -0 -1 -0x88d8 -0xff1ffe22 -256 -256 -0 -0 -0 -4 -0xa367 -0x198bc6d4 -256 -256 -0 -0 -0 -2 -0x5c28 -0x8e97361d -256 -256 -0 -0 -0 -2 -0x9fd0 -0xbe5c180f -256 -256 -1 -0 -0 -3 -0xcafb -0x75a6fbb5 -256 -256 -1 -0 -0 -2 -0x206f -0xf7e12b9b -256 -256 -0 -0 -0 -4 -0xa57a -0x2f8c13a8 -256 -256 -0 -0 -0 -1 -0x4d7 -0xff00ae27 -256 -256 -0 -0 -0 -4 -0x91c -0xe3df1c04 -256 -256 -1 -0 -0 -1 -0xc444 -0x1205c241 -256 -256 -1 -0 -0 -2 -0xf1c8 -0x839b919c -256 -256 -0 -0 -0 -2 -0x866 -0x7ec536e4 -256 -256 -1 -0 -0 -4 -0xf259 -0x84005e59 -256 -256 -0 -0 -0 -3 -0xffd7 -0xe8af34fa -256 -256 -1 -0 -0 -1 -0xd49a -0x38d6c4d5 -256 -256 -1 -0 -0 -2 -0x4026 -0xb4abb44b -256 -256 -0 -0 -0 -2 -0x7a98 -0x18788b3d -256 -256 -0 -0 -0 -4 -0x140 -0x6fa16720 -256 -256 -1 -0 -0 -2 -0x28b7 -0x4d82714a -256 -256 -0 -0 -0 -3 -0xb4bd -0x8518c873 -256 -256 -0 -0 -0 -1 -0xcb20 -0xea5b0a11 -256 -256 -1 -0 -0 -4 -0xac7c -0xecf1c348 -256 -256 -0 -0 -0 -3 -0xdc81 -0x67b1685 -256 -256 -1 -0 -0 -3 -0xd309 -0xc604c7f7 -256 -256 -1 -0 -0 -3 -0xdea5 -0x698ce38d -256 -256 -1 -0 -0 -1 -0x52ef -0x8791e8dc -256 -256 -1 -0 -0 -3 -0xffbb -0xb1c498a6 -256 -256 -1 -0 -0 -1 -0xe6e9 -0xc124fec4 -256 -256 -1 -0 -0 -1 -0xa24 -0x79bea0ac -256 -256 -1 -0 -0 -3 -0xc722 -0xde38e06 -256 -256 -0 -0 -0 -4 -0x73c5 -0x7ccc8d63 -256 -256 -0 -0 -0 -2 -0xc6f7 -0xeca320e8 -256 -256 -0 -0 -0 -3 -0xba8d -0xd9949f26 -256 -256 -1 -0 -0 -3 -0x41d8 -0x169c40f -256 -256 -0 -0 -0 -2 -0x9a1 -0xaa4388c8 -256 -256 -1 -0 -0 -3 -0x7636 -0x7fa8e298 -256 -256 -0 -0 -0 -3 -0x3d4f -0x4c825d3c -256 -256 -1 -0 -0 -3 -0xc1de -0x90f727a9 -256 -256 -1 -0 -0 -3 -0x7b9d -0xf6a879a0 -256 -256 -0 -0 -0 -3 -0x36b3 -0x7be0785b -256 -256 -0 -0 -0 -4 -0x2ed9 -0xa42e8159 -256 -256 -0 -0 -0 -2 -0x5ba3 -0xd8dbabcd -256 -256 -1 -0 -0 -3 -0x257a -0xbf18ff94 -256 -256 -0 -0 -0 -4 -0x12e2 -0x8d61c16d -256 -256 -1 -0 -0 -2 -0x9e5e -0x11ad1ed1 -256 -256 -0 -0 -0 -1 -0xa1ee -0x13995f32 -256 -256 -1 -0 -0 -1 -0x306 -0xd1094a85 -256 -256 -0 -0 -0 -4 -0x2615 -0x1b1c65db -256 -256 -1 -0 -0 -4 -0x1ad -0x5b8837b -256 -256 -0 -0 -0 -2 -0xa73e -0x66778cc3 -256 -256 -0 -0 -0 -3 -0x1018 -0x49423983 -256 -256 -0 -0 -0 -3 -0xc58 -0x57039ecc -256 -256 -0 -0 -0 -1 -0x5c53 -0x9f80a5e7 -256 -256 -1 -0 -0 -4 -0x8433 -0x287479f5 -256 -256 -1 -0 -0 -3 -0x4963 -0x99a620c9 -256 -256 -1 -0 -0 -3 -0xc164 -0xa06b5a8e -256 -256 -0 -0 -0 -3 -0x90f5 -0x97cf91a4 -256 -256 -0 -0 -0 -2 -0x988c -0x76e54863 -256 -256 -0 -0 -0 -1 -0x1d81 -0xf8957de9 -256 -256 -1 -0 -0 -1 -0x610a -0x9ea04098 -256 -256 -0 -0 -0 -1 -0xb1d4 -0x417394b8 -256 -256 -0 -0 -0 -4 -0xd716 -0xef73d7c -256 -256 -1 -0 -0 -2 -0xb7 -0xb5714341 -256 -256 -0 -0 -0 -4 -0x6f20 -0x50187bf3 -256 -256 -0 -0 -0 -2 -0xdbe9 -0x485c0a41 -256 -256 -1 -0 -0 -1 -0x79fe -0x94c8de71 -256 -256 -0 -0 -0 -4 -0x4a36 -0x7003acb1 -256 -256 -0 -0 -0 -4 -0x8f95 -0x22866301 -256 -256 -1 -0 -0 -2 -0xe278 -0x9e2a8b53 -256 -256 -1 -0 -0 -2 -0xdc8a -0x3b531251 -256 -256 -1 -0 -0 -4 -0x1d5b -0x6acd29c7 -256 -256 -1 -0 -0 -3 -0x5967 -0x89f57725 -256 -256 -0 -0 -0 -1 -0x377b -0x8b2fe91b -256 -256 -1 -0 -0 -1 -0xc980 -0x29191521 -256 -256 -1 -0 -0 -4 -0xa5d4 -0x90c59541 -256 -256 -0 -0 -0 -2 -0x981a -0x7e8654a6 -256 -256 -0 -0 -0 -1 -0x7485 -0xf9e0dabd -256 -256 -1 -0 -0 -3 -0xf8e6 -0x1af4d913 -256 -256 -0 -0 -0 -4 -0x7f24 -0xbccbfd0d -256 -256 -1 -0 -0 -4 -0x2ea3 -0x45b2bd5e -256 -256 -1 -0 -0 -2 -0x6ede -0xfe1636b5 -256 -256 -1 -0 -0 -4 -0x7e96 -0x2d28ae2f -256 -256 -1 -0 -0 -3 -0xb1db -0x792fb8fb -256 -256 -1 -0 -0 -3 -0x8638 -0x52647d60 -256 -256 -0 -0 -0 -3 -0xf986 -0x80dea615 -256 -256 -1 -0 -0 -4 -0xecc2 -0x1a81bcfb -256 -256 -1 -0 -0 -1 -0x3cb5 -0x5c9b7b5 -256 -256 -0 -0 -0 -1 -0x4b98 -0xb047ef4d -256 -256 -1 -0 -0 -1 -0x2c23 -0x124c0b2e -256 -256 -0 -0 -0 -3 -0xdcbc -0xac9ff82d -256 -256 -1 -0 -0 -1 -0x9de3 -0xbcce7cbc -256 -256 -0 -0 -0 -4 -0x87d9 -0xaa820773 -256 -256 -0 -0 -0 -2 -0x80fd -0x5f076828 -256 -256 -1 -0 -0 -1 -0xb14e -0x5b83cf63 -256 -256 -0 -0 -0 -3 -0xd8d3 -0xaade9ac8 -256 -256 -0 -0 -0 -4 -0x6ebc -0x324e0eda -256 -256 -1 -0 -0 -2 -0xc82f -0xa40b36c0 -256 -256 -0 -0 -0 -4 -0x28fb -0xd85ffaae -256 -256 -1 -0 -0 -2 -0xf44 -0x6b51bca0 -256 -256 -1 -0 -0 -4 -0xfa96 -0xeb9724ab -256 -256 -0 -0 -0 -4 -0xe310 -0xecafe756 -256 -256 -1 -0 -0 -3 -0x22b0 -0xa850dfb -256 -256 -1 -0 -0 -4 -0x21b7 -0x5d15aec9 -256 -256 -1 -0 -0 -4 -0x45aa -0xe962b25f -256 -256 -0 -0 -0 -3 -0xc3d7 -0x99034bb2 -256 -256 -0 -0 -0 -2 -0x34a8 -0xb99a0d06 -256 -256 -1 -0 -0 -1 -0xace2 -0xe85e98d8 -256 -256 -1 -0 -0 -2 -0x22bb -0x6bdeb17 -256 -256 -1 -0 -0 -2 -0xa2e5 -0x49a082bf -256 -256 -0 -0 -0 -3 -0xc409 -0x16570644 -256 -256 -0 -0 -0 -1 -0x9648 -0x9eea2992 -256 -256 -0 -0 -0 -4 -0x502a -0xf58752e6 -256 -256 -0 -0 -0 -2 -0x408d -0x2e673257 -256 -256 -0 -0 -0 -2 -0x4c9 -0xabc999c4 -256 -256 -1 -0 -0 -3 -0x1c45 -0x490e734b -256 -256 -0 -0 -0 -1 -0xbe44 -0x94aff488 -256 -256 -0 -0 -0 -1 -0xc4b6 -0x30532621 -256 -256 -0 -0 -0 -1 -0x6b71 -0x3ba5c53b -256 -256 -1 -0 -0 -3 -0xd803 -0x40288d66 -256 -256 -1 -0 -0 -1 -0x4eb0 -0x5858be61 -256 -256 -0 -0 -0 -3 -0xb251 -0xc4c68f05 -256 -256 -1 -0 -0 -1 -0xc641 -0xbd5e6a3 -256 -256 -0 -0 -0 -3 -0xfd58 -0xb76b213 -256 -256 -1 -0 -0 -1 -0xd975 -0x1a4fa982 -256 -256 -0 -0 -0 -1 -0x3156 -0x82209094 -256 -256 -1 -0 -0 -1 -0xe935 -0xa5e0a661 -256 -256 -1 -0 -0 -1 -0xcc6d -0xfe83f201 -256 -256 -1 -0 -0 -1 -0x183f -0x6d836d1d -256 -256 -1 -0 -0 -3 -0xd09e -0x15c6d838 -256 -256 -0 -0 -0 -1 -0xbfd7 -0xff57c59f -256 -256 -1 -0 -0 -3 -0x3b0 -0x60d09e29 -256 -256 -0 -0 -0 -2 -0xd297 -0x84377fc3 -256 -256 -1 -0 -0 -2 -0x3559 -0x4a45b0bb -256 -256 -0 -0 -0 -2 -0x511f -0xe7ec524f -256 -256 -1 -0 -0 -4 -0x5942 -0x3f65b48f -256 -256 -1 -0 -0 -3 -0x7d32 -0x7eafbf43 -256 -256 -0 -0 -0 -3 -0x7c78 -0x8f2afc4 -256 -256 -0 -0 -0 -2 -0xa6d9 -0x9002cddc -256 -256 -0 -0 -0 -2 -0x931a -0xb784eea4 -256 -256 -1 -0 -0 -4 -0xe44e -0xfb7717e8 -256 -256 -1 -0 -0 -3 -0xb25d -0xf187ab3d -256 -256 -1 -0 -0 -3 -0x901d -0x6e6b778e -256 -256 -1 -0 -0 -1 -0xfae3 -0x623e2d63 -256 -256 -0 -0 -0 -4 -0x2b75 -0xf23b0a00 -256 -256 -1 -0 -0 -2 -0xe7e9 -0x5f31f23e -256 -256 -0 -0 -0 -4 -0x807b -0x6122d687 -256 -256 -1 -0 -0 -3 -0xefe3 -0xf6fb169a -256 -256 -1 -0 -0 -3 -0x3e98 -0x155e9a6 -256 -256 -0 -0 -0 -4 -0x8793 -0x2da4b7c9 -256 -256 -1 -0 -0 -4 -0xaa18 -0xfdf8ef21 -256 -256 -0 -0 -0 -1 -0xf196 -0x16465758 -256 -256 -0 -0 -0 -3 -0xcc01 -0xb8a2cebd -256 -256 -0 -0 -0 -4 -0xc487 -0xf0193c8 -256 -256 -1 -0 -0 -3 -0x61e0 -0x6bcc2290 -256 -256 -0 -0 -0 -2 -0x33ed -0x4692835e -256 -256 -1 -0 -0 -2 -0x19d1 -0xaf47b131 -256 -256 -1 -0 -0 -4 -0xcc5 -0xea520edf -256 -256 -1 -0 -0 -4 -0xaf7b -0x4b371fe6 -256 -256 -0 -0 -0 -3 -0x1272 -0x824e4c6c -256 -256 -0 -0 -0 -1 -0xcad6 -0x24b8c9ee -256 -256 -0 -0 -0 -4 -0x555a -0xb4dd4d4c -256 -256 -1 -0 -0 -2 -0xe6f8 -0xfe2ee96d -256 -256 -1 -0 -0 -2 -0xa605 -0xd414435e -256 -256 -0 -0 -0 -4 -0xb0f9 -0x2aedc5a2 -256 -256 -1 -0 -0 -2 -0x245d -0xee858fe4 -256 -256 -1 -0 -0 -2 -0x9f45 -0x2f0dff11 -256 -256 -1 -0 -0 -4 -0xa90c -0xbc1f6f20 -256 -256 -0 -0 -0 -4 -0x5800 -0x9667746e -256 -256 -1 -0 -0 -1 -0x170c -0x7452953 -256 -256 -0 -0 -0 -1 -0xbca0 -0x5c04cbcf -256 -256 -1 -0 -0 -4 -0x7569 -0xe397220a -256 -256 -0 -0 -0 -2 -0x9449 -0xcc1136c0 -256 -256 -1 -0 -0 -1 -0x1890 -0xbfd787db -256 -256 -0 -0 -0 -2 -0xfb77 -0xef0b428d -256 -256 -1 -0 -0 -3 -0x54c4 -0x8c255343 -256 -256 -0 -0 -0 -2 -0xc404 -0xf3b3147d -256 -256 -0 -0 -0 -3 -0xe7e2 -0x27fdc7a7 -256 -256 -0 -0 -0 -4 -0x63d2 -0xbb72a56 -256 -256 -0 -0 -0 -3 -0x5f71 -0x77322216 -256 -256 -1 -0 -0 -1 -0xbb0c -0x1833ff0c -256 -256 -1 -0 -0 -2 -0x1a3f -0x410277dc -256 -256 -1 -0 -0 -4 -0xc555 -0xeb71fc0b -256 -256 -0 -0 -0 -2 -0xe11c -0xa3066b88 -256 -256 -0 -0 -0 -1 -0xe9a5 -0xa09583ba -256 -256 -0 -0 -0 -1 -0xa587 -0xd8687b2b -256 -256 -0 -0 -0 -2 -0x4c8f -0x1f928ed4 -256 -256 -0 -0 -0 -2 -0x5d06 -0xc3d9f7db -256 -256 -1 -0 -0 -2 -0xdb01 -0x1d3a544e -256 -256 -0 -0 -0 -1 -0xe1a7 -0xaa94857b -256 -256 -0 -0 -0 -4 -0x34a -0x70d20b6b -256 -256 -1 -0 -0 -3 -0x4290 -0x64051b4 -256 -256 -1 -0 -0 -4 -0x3836 -0xa078bc92 -256 -256 -0 -0 -0 -2 -0xe5d -0x9d0d0b4b -256 -256 -1 -0 -0 -1 -0x7f8d -0x105ca43b -256 -256 -0 -0 -0 -2 -0x82b6 -0xf05eaba5 -256 -256 -1 -0 -0 -3 -0x87c7 -0x59366fd4 -256 -256 -0 -0 -0 -1 -0x8ae0 -0xa8e2addd -256 -256 -1 -0 -0 -4 -0x29bd -0xa299d8a0 -256 -256 -1 -0 -0 -4 -0x6e25 -0x4e56f5c7 -256 -256 -0 -0 -0 -4 -0xea2c -0xd3e7b5cc -256 -256 -0 -0 -0 -1 -0x1451 -0xa1e1392b -256 -256 -0 -0 -0 -2 -0x2e62 -0x458a79fb -256 -256 -0 -0 -0 -1 -0xac82 -0xd6c90266 -256 -256 -0 -0 -0 -4 -0x848f -0x79202c4 -256 -256 -1 -0 -0 -3 -0x8aa6 -0x3022dfbe -256 -256 -1 -0 -0 -1 -0xe91c -0xea3f30b5 -256 -256 -0 -0 -0 -3 -0x18e -0x89de7808 -256 -256 -1 -0 -0 -1 -0x2c01 -0x3d4c2630 -256 -256 -1 -0 -0 -3 -0x9fb3 -0x9be3c601 -256 -256 -1 -0 -0 -2 -0x17c9 -0x963d57ba -256 -256 -0 -0 -0 -1 -0x2f4c -0x3568336f -256 -256 -1 -0 -0 -3 -0x6a11 -0xfa7e3f34 -256 -256 -0 -0 -0 -4 -0x3db2 -0xd827ef53 -256 -256 -1 -0 -0 -1 -0xad3c -0xec219635 -256 -256 -1 -0 -0 -4 -0x4a7e -0x21b29a4e -256 -256 -0 -0 -0 -4 -0xeb79 -0xfd6bd032 -256 -256 -0 -0 -0 -1 -0xd800 -0xea6c9c32 -256 -256 -1 -0 -0 -4 -0xc84e -0x9623c40d -256 -256 -0 -0 -0 -3 -0x3fc9 -0x61a05f54 -256 -256 -1 -0 -0 -3 -0x2a7 -0xef778363 -256 -256 -1 -0 -0 -4 -0x7880 -0x1b255ee0 -256 -256 -0 -0 -0 -3 -0x167c -0xfc252404 -256 -256 -1 -0 -0 -4 -0x1287 -0x617df5db -256 -256 -1 -0 -0 -3 -0xacac -0xd7b71e4f -256 -256 -0 -0 -0 -4 -0x968d -0x1b1acbc4 -256 -256 -0 -0 -0 -1 -0x7663 -0xcc825654 -256 -256 -1 -0 -0 -1 -0xc1a8 -0xd88540f4 -256 -256 -0 -0 -0 -1 -0x6479 -0x3779c3e7 -256 -256 -0 -0 -0 -2 -0x5735 -0x30a8751c -256 -256 -0 -0 -0 -3 -0x76bc -0xd3a47ca6 -256 -256 -0 -0 -0 -4 -0xfe10 -0xf1391c09 -256 -256 -1 -0 -0 -2 -0x5f6e -0x3baaca4 -256 -256 -0 -0 -0 -3 -0x766f -0xca7caba2 -256 -256 -0 -0 -0 -4 -0x6a74 -0xdb3c99e8 -256 -256 -1 -0 -0 -2 -0x2b41 -0x4e671c9e -256 -256 -1 -0 -0 -1 -0x92f4 -0x6375f898 -256 -256 -0 -0 -0 -3 -0xb37d -0xfddc409a -256 -256 -0 -0 -0 -1 -0xba7f -0x1bb44732 -256 -256 -0 -0 -0 -1 -0xf496 -0x526f73d9 -256 -256 -0 -0 -0 -2 -0x8dc0 -0x5bd9c5b5 -256 -256 -1 -0 -0 -1 -0x5994 -0x11a10fab -256 -256 -1 -0 -0 -2 -0xe93 -0xd531d5b0 -256 -256 -0 -0 -0 -2 -0x531c -0x43c82bb8 -256 -256 -1 -0 -0 -3 -0xd326 -0xeb7c868a -256 -256 -0 -0 -0 -3 -0xd99a -0x4dea02d9 -256 -256 -0 -0 -0 -3 -0x80a0 -0xfdcb89b3 -256 -256 -1 -0 -0 -2 -0x4a8 -0xb574cc26 -256 -256 -0 -0 -0 -3 -0x230a -0x302df35c -256 -256 -0 -0 -0 -1 -0x64d2 -0x7379c219 -256 -256 -0 -0 -0 -1 -0x49d4 -0x69fb9593 -256 -256 -0 -0 -0 -4 -0xc843 -0x7e22ba40 -256 -256 -1 -0 -0 -3 -0x114a -0x6f0d6e3d -256 -256 -0 -0 -0 -1 -0xdcfb -0xe9bce8f8 -256 -256 -1 -0 -0 -2 -0x1dd5 -0x7a0cb6d6 -256 -256 -0 -0 -0 -1 -0x8126 -0xf994e5d3 -256 -256 -1 -0 -0 -4 -0x8a2d -0x6d14fd11 -256 -256 -0 -0 -0 -4 -0xd5d9 -0xe2b6532a -256 -256 -0 -0 -0 -4 -0x350b -0x912aec1a -256 -256 -1 -0 -0 -2 -0xef8c -0xcfc3249d -256 -256 -0 -0 -0 -1 -0x9e12 -0x47c37f46 -256 -256 -0 -0 -0 -2 -0xd59b -0x918b96db -256 -256 -0 -0 -0 -1 -0xd0f8 -0x57b81fdd -256 -256 -0 -0 -0 -2 -0xe7d6 -0xaeb37787 -256 -256 -0 -0 -0 -1 -0xb6a6 -0xd705c7fa -256 -256 -0 -0 -0 -3 -0xda0f -0x6fe71610 -256 -256 -1 -0 -0 -1 -0x533d -0x6f079c9f -256 -256 -0 -0 -0 -4 -0xba4c -0x46a1abf3 -256 -256 -0 -0 -0 -3 -0x1b5e -0x8ec395f -256 -256 -0 -0 -0 -3 -0xda58 -0xd73335bb -256 -256 -0 -0 -0 -4 -0xc9f6 -0x74cbb55f -256 -256 -0 -0 -0 -1 -0x67e4 -0xd2815267 -256 -256 -1 -0 -0 -4 -0x2c60 -0x8d78b447 -256 -256 -0 -0 -0 -1 -0x2276 -0xb308e41d -256 -256 -0 -0 -0 -2 -0x71ad -0x64bae804 -256 -256 -0 -0 -0 -1 -0x22b2 -0x9b84da3a -256 -256 -0 -0 -0 -1 -0x61a6 -0x20d47692 -256 -256 -0 -0 -0 -4 -0x1d7c -0x121cc5bb -256 -256 -1 -0 -0 -4 -0x8736 -0x763c608e -256 -256 -0 -0 -0 -2 -0x599a -0xdccc841 -256 -256 -1 -0 -0 -3 -0x6d19 -0xc5356fb8 -256 -256 -0 -0 -0 -2 -0xd559 -0xd360ed5c -256 -256 -1 -0 -0 -1 -0xc3f7 -0xe3c12e12 -256 -256 -1 -0 -0 -1 -0x5e26 -0x1027f043 -256 -256 -1 -0 -0 -3 -0xf8c2 -0x49cc206d -256 -256 -0 -0 -0 -4 -0x52e2 -0x1048ff04 -256 -256 -1 -0 -0 -4 -0x4c2b -0x5c78154b -256 -256 -1 -0 -0 -4 -0xcc20 -0xf3b73573 -256 -256 -1 -0 -0 -1 -0xe32c -0x408a4c2a -256 -256 -1 -0 -0 -4 -0x787 -0x49f46c34 -256 -256 -1 -0 -0 -2 -0xdd59 -0x754d01a7 -256 -256 -0 -0 -0 -3 -0x651a -0xa98550bd -256 -256 -1 -0 -0 -2 -0x4d85 -0x9b13da47 -256 -256 -1 -0 -0 -3 -0x23d0 -0xdef0b72 -256 -256 -0 -0 -0 -1 -0xd2bb -0x33b3bd42 -256 -256 -1 -0 -0 -2 -0x9211 -0xd5bb05e2 -256 -256 -0 -0 -0 -2 -0xb253 -0xf493c806 -256 -256 -1 -0 -0 -3 -0x1388 -0x86c25452 -256 -256 -1 -0 -0 -3 -0x2a83 -0x3a08640a -256 -256 -1 -0 -0 -1 -0x4fe -0xded72f84 -256 -256 -1 -0 -0 -2 -0xcf44 -0x96560fe2 -256 -256 -1 -0 -0 -1 -0x3f01 -0xb219458e -256 -256 -0 -0 -0 -4 -0x55ee -0xfe4b9a78 -256 -256 -0 -0 -0 -4 -0xfe7b -0xfad372c7 -256 -256 -1 -0 -0 -1 -0x7365 -0x7b2cc933 -256 -256 -0 -0 -0 -2 -0xfde9 -0x15221d0d -256 -256 -0 -0 -0 -4 -0xfedc -0x7187207a -256 -256 -1 -0 -0 -4 -0x1843 -0xf373d46b -256 -256 -0 -0 -0 -4 -0x9fdc -0xec5b6eda -256 -256 -0 -0 -0 -1 -0x1869 -0xd28fc2e9 -256 -256 -1 -0 -0 -2 -0x998c -0x5b3f0e1f -256 -256 -1 -0 -0 -4 -0x1893 -0xdc35d8bc -256 -256 -0 -0 -0 -3 -0x830d -0x75680165 -256 -256 -0 -0 -0 -2 -0xb735 -0x8fe9a49c -256 -256 -0 -0 -0 -4 -0x2236 -0x25898e14 -256 -256 -1 -0 -0 -4 -0x91da -0xae0ac439 -256 -256 -0 -0 -0 -4 -0xef97 -0xaa2c1edf -256 -256 -1 -0 -0 -2 -0x3521 -0xebaad218 -256 -256 -1 -0 -0 -4 -0xc807 -0xa329caf1 -256 -256 -1 -0 -0 -1 -0xd3ce -0x8aa5359c -256 -256 -0 -0 -0 -2 -0x44b2 -0xa5983fbd -256 -256 -0 -0 -0 -2 -0x74ba -0xd42bd88c -256 -256 -1 -0 -0 -1 -0x3d1 -0x26e92ea2 -256 -256 -1 -0 -0 -1 -0xd1d9 -0xe21f4fd4 -256 -256 -1 -0 -0 -3 -0x640e -0x57c3417d -256 -256 -0 -0 -0 -4 -0x7b91 -0xcd708692 -256 -256 -1 -0 -0 -4 -0xba3d -0xd2a8ef03 -256 -256 -0 -0 -0 -1 -0x33a3 -0x36e09ffb -256 -256 -0 -0 -0 -4 -0x92bb -0xa37e6305 -256 -256 -0 -0 -0 -2 -0x1363 -0xb954edd8 -256 -256 -0 -0 -0 -3 -0x90c4 -0xc74b827d -256 -256 -0 -0 -0 -3 -0x5974 -0x1cf2037a -256 -256 -1 -0 -0 -4 -0xfacf -0x2fd8a8e3 -256 -256 -0 -0 -0 -4 -0x3086 -0x93c60e61 -256 -256 -1 -0 -0 -1 -0xf675 -0x380e4d7b -256 -256 -0 -0 -0 -4 -0x6181 -0xa7662618 -256 -256 -0 -0 -0 -4 -0x848c -0x7b6b9e59 -256 -256 -0 -0 -0 -2 -0x51 -0x7a71b23c -256 -256 -1 -0 -0 -3 -0x1296 -0xd40b34b2 -256 -256 -1 -0 -0 -3 -0xba67 -0x4e7b3901 -256 -256 -1 -0 -0 -3 -0x46a5 -0xd22c47a3 -256 -256 -0 -0 -0 -3 -0xd48a -0x83225c7d -256 -256 -0 -0 -0 -2 -0xc1 -0x8fb2a1b9 -256 -256 -1 -0 -0 -4 -0x30e -0xbbcb93cc -256 -256 -0 -0 -0 -4 -0xaab9 -0xdfde7731 -256 -256 -1 -0 -0 -4 -0x6f2c -0x702ee31c -256 -256 -1 -0 -0 -2 -0xa4b0 -0xf78de538 -256 -256 -1 -0 -0 -3 -0xccf7 -0x33b8af5b -256 -256 -0 -0 -0 -3 -0xcb49 -0xe423ca69 -256 -256 -0 -0 -0 -1 -0x8cb5 -0x4b18b6e9 -256 -256 -0 -0 -0 -3 -0x7905 -0xd3a9d4c8 -256 -256 -0 -0 -0 -4 -0x380b -0x123d2445 -256 -256 -1 -0 -0 -1 -0x5108 -0x59c0ab0d -256 -256 -0 -0 -0 -1 -0x7830 -0xb330de6d -256 -256 -1 -0 -0 -4 -0x4264 -0xcdb48aba -256 -256 -1 -0 -0 -4 -0xd4df -0xcee723a4 -256 -256 -1 -0 -0 -1 -0x79a6 -0xc58381b6 -256 -256 -0 -0 -0 -2 -0x3246 -0x16b4179 -256 -256 -1 -0 -0 -2 -0xc051 -0x210b683 -256 -256 -0 -0 -0 -4 -0xdd3a -0x47cf5ec4 -256 -256 -0 -0 -0 -1 -0x8dd8 -0xf1be616e -256 -256 -1 -0 -0 -3 -0xcc55 -0xde2d8e01 -256 -256 -1 -0 -0 -1 -0x6ab6 -0xd34b5695 -256 -256 -1 -0 -0 -4 -0x5d64 -0x62e98f89 -256 -256 -1 -0 -0 -3 -0xedbe -0x92cd31e2 -256 -256 -0 -0 -0 -4 -0xb68 -0x5d6f0e57 -256 -256 -1 -0 -0 -3 -0x4551 -0xabd42f3c -256 -256 -1 -0 -0 -3 -0x7275 -0x6e9c39bc -256 -256 -1 -0 -0 -4 -0x6218 -0x1a25bf3c -256 -256 -1 -0 -0 -1 -0xa6cc -0xec1a6bda -256 -256 -0 -0 -0 -1 -0xa214 -0x8c017cfb -256 -256 -1 -0 -0 -4 -0x8fa3 -0x24543138 -256 -256 -0 -0 -0 -1 -0x6c34 -0x838165d1 -256 -256 -0 -0 -0 -4 -0x2198 -0x94708999 -256 -256 -1 -0 -0 -4 -0x85f2 -0x4e3d65cb -256 -256 -1 -0 -0 -3 -0x78cf -0x7a525558 -256 -256 -0 -0 -0 -2 -0x44fd -0xb7208f36 -256 -256 -0 -0 -0 -1 -0xf243 -0x26969f06 -256 -256 -1 -0 -0 -2 -0xc136 -0x66f6b579 -256 -256 -1 -0 -0 -2 -0x2c9b -0xb2071415 -256 -256 -1 -0 -0 -2 -0x6fcc -0xf1c1f2d4 -256 -256 -0 -0 -0 -4 -0xeb1c -0x6bd422b5 -256 -256 -0 -0 -0 -1 -0x5805 -0x6916b7fc -256 -256 -1 -0 -0 -1 -0xf412 -0x227d646e -256 -256 -1 -0 -0 -3 -0x83e0 -0x59c74995 -256 -256 -0 -0 -0 -2 -0x6a1e -0xb19289a0 -256 -256 -0 -0 -0 -2 -0x83e -0x340676a2 -256 -256 -0 -0 -0 -4 -0xa6d6 -0xd0f6d2c9 -256 -256 -1 -0 -0 -1 -0x47b8 -0x86752e3c -256 -256 -1 -0 -0 -1 -0xe393 -0x328f9f0f -256 -256 -0 -0 -0 -1 -0x8d5e -0x84ea5e8a -256 -256 -1 -0 -0 -2 -0xa3dc -0x18d31c89 -256 -256 -0 -0 -0 -2 -0x58ae -0x16d2a6cb -256 -256 -0 -0 -0 -3 -0xea9a -0x6caca67d -256 -256 -0 -0 -0 -3 -0x4e70 -0x8f500806 -256 -256 -0 -0 -0 -1 -0x5666 -0x440fd689 -256 -256 -0 -0 -0 -3 -0x3ea0 -0x41c93359 -256 -256 -0 -0 -0 -1 -0x5fcb -0x52ec5a6e -256 -256 -1 -0 -0 -4 -0x90ee -0x49042cde -256 -256 -1 -0 -0 -3 -0x14c4 -0x4d379fda -256 -256 -1 -0 -0 -3 -0xe19d -0x87f49df -256 -256 -0 -0 -0 -4 -0xf454 -0x74f84882 -256 -256 -0 -0 -0 -4 -0xe2f -0x411c59cf -256 -256 -0 -0 -0 -3 -0xb322 -0xf83b7444 -256 -256 -0 -0 -0 -1 -0xfab9 -0x4e179f01 -256 -256 -0 -0 -0 -1 -0x33a7 -0x178e5d5d -256 -256 -0 -0 -0 -3 -0x8c65 -0x30479b29 -256 -256 -0 -0 -0 -3 -0x18aa -0xb2fd20a4 -256 -256 -1 -0 -0 -3 -0xf1eb -0x72dbf96e -256 -256 -1 -0 -0 -2 -0x9201 -0xdd9489c2 -256 -256 -0 -0 -0 -2 -0xb871 -0x2a2a4f2 -256 -256 -0 -0 -0 -1 -0xe9c3 -0x40c68da3 -256 -256 -0 -0 -0 -4 -0xb2fd -0x7b1d504f -256 -256 -0 -0 -0 -3 -0xf1a5 -0x88b2c686 -256 -256 -0 -0 -0 -2 -0xea76 -0x644b584d -256 -256 -1 -0 -0 -2 -0xf137 -0x80d4386f -256 -256 -1 -0 -0 -2 -0x11da -0xdc091a07 -256 -256 -1 -0 -0 -2 -0xe8d6 -0x5bb6b1cf -256 -256 -0 -0 -0 -4 -0xd5b0 -0x73094ab -256 -256 -1 -0 -0 -1 -0x1d3b -0xb4df55cf -256 -256 -1 -0 -0 -2 -0xe270 -0x8322de33 -256 -256 -0 -0 -0 -4 -0xac2 -0xb3711a8d -256 -256 -0 -0 -0 -1 -0xcf46 -0x279e1045 -256 -256 -1 -0 -0 -3 -0x3e93 -0x1c0c0c6f -256 -256 -1 -0 -0 -3 -0x6e22 -0x8bdcc73b -256 -256 -1 -0 -0 -1 -0x56e9 -0x63aa725f -256 -256 -0 -0 -0 -2 -0xa755 -0x66b0bf90 -256 -256 -0 -0 -0 -4 -0xb0a3 -0xf2a1e9cc -256 -256 -1 -0 -0 -2 -0xd8e9 -0xa4b47ba -256 -256 -0 -0 -0 -3 -0x44ef -0xc7a95001 -256 -256 -0 -0 -0 -1 -0xe578 -0x504de174 -256 -256 -1 -0 -0 -1 -0x6363 -0xbdcf8f07 -256 -256 -1 -0 -0 -4 -0xded2 -0xe8543523 -256 -256 -0 -0 -0 -2 -0x7d2c -0x3a3ee2ff -256 -256 -1 -0 -0 -2 -0x8d89 -0xf610fee6 -256 -256 -0 -0 -0 -3 -0x8713 -0x66448ea0 -256 -256 -1 -0 -0 -3 -0x47fc -0x3eee7819 -256 -256 -1 -0 -0 -4 -0xb720 -0xfebcb193 -256 -256 -1 -0 -0 -2 -0x4820 -0xbb7ad93b -256 -256 -1 -0 -0 -4 -0xb1f6 -0x4c0f275f -256 -256 -1 -0 -0 -4 -0x73b0 -0x4501de94 -256 -256 -1 -0 -0 -4 -0x9f3c -0x12692a96 -256 -256 -1 -0 -0 -1 -0x81d -0x4580cf78 -256 -256 -1 -0 -0 -4 -0x9e53 -0xf0e4658e -256 -256 -0 -0 -0 -3 -0x8ebb -0x800b6ce2 -256 -256 -1 -0 -0 -3 -0xacf8 -0xa65e1f4f -256 -256 -1 -0 -0 -4 -0x65b4 -0xb3fc0548 -256 -256 -1 -0 -0 -1 -0xb588 -0xceaa325 -256 -256 -0 -0 -0 -2 -0x2e9 -0xad81c8f4 -256 -256 -0 -0 -0 -1 -0x55ef -0x5a776e05 -256 -256 -1 -0 -0 -2 -0x1a18 -0xbff99ac2 -256 -256 -1 -0 -0 -2 -0xb7bf -0x441943aa -256 -256 -0 -0 -0 -1 -0x503 -0xfc18f1a0 -256 -256 -0 -0 -0 -1 -0xb64b -0xd1f4eb4e -256 -256 -1 -0 -0 -2 -0x7d05 -0x549dbb3a -256 -256 -0 -0 -0 -4 -0x7b87 -0xff767b22 -256 -256 -1 -0 -0 -3 -0x3254 -0xc531fe8f -256 -256 -0 -0 -0 -4 -0xbba9 -0xc93ea744 -256 -256 -1 -0 -0 -3 -0xbcfd -0x4b6a81eb -256 -256 -0 -0 -0 -4 -0x2b1a -0x9f04a560 -256 -256 -1 -0 -0 -4 -0xd5ce -0xce7fe1c2 -256 -256 -0 -0 -0 -3 -0x8801 -0xc2fb905c -256 -256 -1 -0 -0 -2 -0x680d -0x68906c53 -256 -256 -0 -0 -0 -1 -0x3195 -0xfef2e415 -256 -256 -0 -0 -0 -3 -0x7cbe -0xd286b7af -256 -256 -1 -0 -0 -3 -0x3734 -0x4b6e576e -256 -256 -0 -0 -0 -3 -0xb84d -0xc0829fa6 -256 -256 -0 -0 -0 -2 -0xc391 -0x46216f68 -256 -256 -0 -0 -0 -1 -0xd4fc -0x26df217a -256 -256 -0 -0 -0 -3 -0x655e -0x41ebc816 -256 -256 -0 -0 -0 -2 -0x5575 -0x4ab8e7e3 -256 -256 -0 -0 -0 -1 -0x1a2a -0x5c1f4375 -256 -256 -0 -0 -0 -1 -0x2e30 -0x2aa47b46 -256 -256 -0 -0 -0 -4 -0x333d -0xcbfac79b -256 -256 -0 -0 -0 -1 -0xafc4 -0x97cf78d -256 -256 -1 -0 -0 -4 -0xb583 -0xa3bf4a88 -256 -256 -0 -0 -0 -2 -0xa739 -0x97cef9f6 -256 -256 -1 -0 -0 -2 -0xdc61 -0xd03e6693 -256 -256 -1 -0 -0 -4 -0xaf64 -0x504c6df5 -256 -256 -1 -0 -0 -2 -0x31a -0x3d568ee7 -256 -256 -0 -0 -0 -2 -0x2be4 -0xa2bb546c -256 -256 -0 -0 -0 -1 -0xbc30 -0x45f09b7f -256 -256 -1 -0 -0 -2 -0xc0c -0x9b92a4bd -256 -256 -1 -0 -0 -4 -0x728e -0x2c08cbfe -256 -256 -1 -0 -0 -1 -0x2dcb -0xb384198d -256 -256 -0 -0 -0 -1 -0x5c0d -0x8c04a381 -256 -256 -0 -0 -0 -1 -0xe9c6 -0x90dd7296 -256 -256 -1 -0 -0 -2 -0xfbf9 -0x39f00fea -256 -256 -0 -0 -0 -2 -0x6c8e -0x11057ce3 -256 -256 -0 -0 -0 -4 -0xbdca -0xca3917e0 -256 -256 -1 -0 -0 -4 -0x6a4a -0xe37f9fa0 -256 -256 -1 -0 -0 -3 -0x328f -0x79a5752e -256 -256 -0 -0 -0 -2 -0x7a7 -0xe46f7ae5 -256 -256 -1 -0 -0 -1 -0xaeef -0xbe32aee5 -256 -256 -1 -0 -0 -3 -0x4b96 -0xeaf9f31b -256 -256 -0 -0 -0 -4 -0xc9f6 -0xf1886fcf -256 -256 -0 -0 -0 -1 -0xdd5d -0xbf5efe1e -256 -256 -0 -0 -0 -4 -0x1f40 -0x78d523ba -256 -256 -1 -0 -0 -4 -0x7f17 -0xcd3d1043 -256 -256 -1 -0 -0 -2 -0x162b -0x22d2dcd1 -256 -256 -1 -0 -0 -3 -0x9e89 -0x2e37a388 -256 -256 -0 -0 -0 -2 -0x334e -0xa2ff5621 -256 -256 -1 -0 -0 -2 -0xc826 -0x7677ae1e -256 -256 -0 -0 -0 -2 -0x4819 -0xf745196c -256 -256 -1 -0 -0 -4 -0x50b -0x890ec41 -256 -256 -1 -0 -0 -3 -0xc39 -0x77443fdc -256 -256 -0 -0 -0 -3 -0xecac -0x42c736b9 -256 -256 -1 -0 -0 -1 -0x8754 -0xa5039d74 -256 -256 -0 -0 -0 -4 -0x9522 -0x640632ec -256 -256 -1 -0 -0 -4 -0x2898 -0x3f453180 -256 -256 -0 -0 -0 -3 -0xf74e -0xdd40c95 -256 -256 -0 -0 -0 -2 -0xcf4d -0xd0685ea7 -256 -256 -1 -0 -0 -1 -0x5dc3 -0xa65977c4 -256 -256 -0 -0 -0 -1 -0xba5a -0xa81d0e36 -256 -256 -0 -0 -0 -3 -0xae42 -0x49da9088 -256 -256 -0 -0 -0 -1 -0x7cea -0x4b9cf894 -256 -256 -1 -0 -0 -1 -0xe69a -0xda84d307 -256 -256 -1 -0 -0 -1 -0xcd0c -0xa8ec761c -256 -256 -1 -0 -0 -1 -0x6c -0x1b3205b2 -256 -256 -1 -0 -0 -3 -0x6462 -0xa3cd4c3b -256 -256 -0 -0 -0 -4 -0xf70 -0x315cd65a -256 -256 -0 -0 -0 -1 -0xeb61 -0xbbffba0 -256 -256 -1 -0 -0 -3 -0x2d6f -0xba0e27a2 -256 -256 -1 -0 -0 -2 -0xbc3d -0x83fe0ac3 -256 -256 -0 -0 -0 -3 -0xec22 -0x5435613c -256 -256 -0 -0 -0 -3 -0xce12 -0x90eea861 -256 -256 -0 -0 -0 -1 -0xb40a -0x5a6ac42e -256 -256 -0 -0 -0 -1 -0x915 -0x494e79f8 -256 -256 -0 -0 -0 -4 -0xeed4 -0x40ca99f9 -256 -256 -1 -0 -0 -4 -0x223e -0x228ec450 -256 -256 -0 -0 -0 -2 -0x6fb0 -0x635945a2 -256 -256 -1 -0 -0 -1 -0x7397 -0xc0d1413f -256 -256 -0 -0 -0 -1 -0xfba0 -0x879a3d0 -256 -256 -0 -0 -0 -1 -0x1fac -0xc2681f95 -256 -256 -0 -0 -0 -4 -0xe0e0 -0x167a0bb2 -256 -256 -1 -0 -0 -1 -0xd4f6 -0xda48d273 -256 -256 -0 -0 -0 -2 -0x1e04 -0xee066386 -256 -256 -0 -0 -0 -3 -0xf3ba -0x171ccfd4 -256 -256 -0 -0 -0 -3 -0xfad9 -0x7780022f -256 -256 -0 -0 -0 -4 -0xef96 -0x4c94373f -256 -256 -0 -0 -0 -2 -0x4077 -0x7f1e1ac1 -256 -256 -0 -0 -0 -3 -0x2426 -0xf596fe48 -256 -256 -1 -0 -0 -1 -0x6262 -0x37cf9c08 -256 -256 -0 -0 -0 -4 -0xf310 -0xba5ba5a4 -256 -256 -1 -0 -0 -2 -0x6608 -0x67632a92 -256 -256 -0 -0 -0 -4 -0x4c39 -0xe83592e0 -256 -256 -0 -0 -0 -1 -0x28c -0x4d46e4d4 -256 -256 -0 -0 -0 -3 -0x6a51 -0xfd48eee9 -256 -256 -1 -0 -0 -3 -0xcb46 -0x5a6c3e8f -256 -256 -1 -0 -0 -3 -0x36b8 -0x2e35f16b -256 -256 -1 -0 -0 -3 -0xc921 -0x1350d5e3 -256 -256 -1 -0 -0 -4 -0x6d1c -0x1bd9fdd -256 -256 -0 -0 -0 -1 -0xefba -0xac923e90 -256 -256 -0 -0 -0 -1 -0xb6bb -0xd8294d80 -256 -256 -0 -0 -0 -3 -0x7f59 -0x7128eb11 -256 -256 -1 -0 -0 -1 -0x18a9 -0xf5a42f74 -256 -256 -0 -0 -0 -1 -0x344d -0x43b95ce -256 -256 -0 -0 -0 -4 -0xe249 -0x2313c317 -256 -256 -0 -0 -0 -3 -0xeb78 -0x746d7353 -256 -256 -0 -0 -0 -3 -0x45a2 -0xe4315da6 -256 -256 -0 -0 -0 -2 -0xc73d -0x17a99178 -256 -256 -1 -0 -0 -2 -0x1ed5 -0x193c0717 -256 -256 -0 -0 -0 -2 -0xa081 -0x9f902c94 -256 -256 -0 -0 -0 -1 -0x9b0b -0x187e4dac -256 -256 -1 -0 -0 -3 -0x959d -0xdad553cf -256 -256 -1 -0 -0 -2 -0xe4a1 -0xd4427926 -256 -256 -0 -0 -0 -3 -0x9494 -0x65dda13a -256 -256 -0 -0 -0 -1 -0x1ff -0xdf44f709 -256 -256 -1 -0 -0 -4 -0xd326 -0x966005ad -256 -256 -0 -0 -0 -2 -0x373b -0x42cbb07c -256 -256 -0 -0 -0 -2 -0xfa8d -0x6834e9a7 -256 -256 -0 -0 -0 -2 -0xdff4 -0xda44a6e2 -256 -256 -0 -0 -0 -3 -0x1ebe -0x4e948589 -256 -256 -0 -0 -0 -3 -0x8730 -0xeb0e513f -256 -256 -0 -0 -0 -1 -0x7e01 -0x942d228f -256 -256 -0 -0 -0 -4 -0xf976 -0xc6b9b952 -256 -256 -1 -0 -0 -3 -0x76e8 -0xa6e0f35e -256 -256 -1 -0 -0 -3 -0xc14a -0x109ea70c -256 -256 -1 -0 -0 -3 -0xdb19 -0x5bfe22da -256 -256 -1 -0 -0 -4 -0x7277 -0x1ee00472 -256 -256 -0 -0 -0 -4 -0xc11f -0x658de2a2 -256 -256 -1 -0 -0 -3 -0x648 -0xb1b3e85b -256 -256 -1 -0 -0 -1 -0x357e -0x7d951935 -256 -256 -1 -0 -0 -3 -0x2b72 -0xdbc915f1 -256 -256 -0 -0 -0 -3 -0x7a29 -0x9284f958 -256 -256 -1 -0 -0 -3 -0x93c7 -0x1326b155 -256 -256 -0 -0 -0 -4 -0xebd0 -0x2d64d2ff -256 -256 -0 -0 -0 -3 -0xc636 -0x528af418 -256 -256 -1 -0 -0 -4 -0x749e -0x505184c4 -256 -256 -1 -0 -0 -2 -0xd9ca -0x2427b7e4 -256 -256 -0 -0 -0 -1 -0xe6a8 -0x772d943d -256 -256 -0 -0 -0 -3 -0x7cf6 -0x4a5c846d -256 -256 -1 -0 -0 -3 -0xa064 -0x762ce1b3 -256 -256 -0 -0 -0 -1 -0x2829 -0x43a22eb1 -256 -256 -0 -0 -0 -1 -0x1c65 -0x1499cde5 -256 -256 -0 -0 -0 -4 -0xb379 -0x9511a71 -256 -256 -1 -0 -0 -3 -0xdc6 -0x533a68fc -256 -256 -0 -0 -0 -3 -0xd96 -0xb79986aa -256 -256 -0 -0 -0 -1 -0xa0a5 -0x2c1440a1 -256 -256 -1 -0 -0 -1 -0x37bd -0xe3c6fc52 -256 -256 -0 -0 -0 -1 -0xe8 -0xcbe2e22 -256 -256 -1 -0 -0 -4 -0xa1aa -0xd682f15e -256 -256 -1 -0 -0 -2 -0xe08f -0x960ad1bd -256 -256 -0 -0 -0 -4 -0x76f8 -0x8c1b6dfe -256 -256 -1 -0 -0 -4 -0x44ad -0x286869ab -256 -256 -1 -0 -0 -1 -0x5c7 -0x6076a323 -256 -256 -1 -0 -0 -3 -0x4512 -0x89054303 -256 -256 -0 -0 -0 -1 -0xd3b5 -0x2a447ff1 -256 -256 -1 -0 -0 -2 -0x6c81 -0x180b5c26 -256 -256 -0 -0 -0 -4 -0x7ae0 -0x490e3e37 -256 -256 -1 -0 -0 -1 -0x1152 -0xa2bea17f -256 -256 -1 -0 -0 -3 -0x8979 -0x6e60e83e -256 -256 -1 -0 -0 -2 -0xc923 -0x30261c7f -256 -256 -1 -0 -0 -2 -0x58a6 -0x965f1177 -256 -256 -1 -0 -0 -2 -0xa7f4 -0x871f3500 -256 -256 -1 -0 -0 -1 -0xaa52 -0x6f0d03a8 -256 -256 -0 -0 -0 -1 -0x56e6 -0xea2835e7 -256 -256 -0 -0 -0 -3 -0xc706 -0xaa0c7614 -256 -256 -0 -0 -0 -3 -0x11bb -0x2804abdd -256 -256 -1 -0 -0 -4 -0x847e -0xb45a60c3 -256 -256 -1 -0 -0 -1 -0x395 -0xfc058419 -256 -256 -1 -0 -0 -1 -0x751a -0xce241c3c -256 -256 -0 -0 -0 -3 -0x5d4c -0xca3bb03b -256 -256 -1 -0 -0 -3 -0x2a30 -0xb186b007 -256 -256 -0 -0 -0 -3 -0x2112 -0x3774d96a -256 -256 -1 -0 -0 -4 -0x3a2 -0x6612dfc6 -256 -256 -1 -0 -0 -4 -0x5e78 -0xa939a8c -256 -256 -1 -0 -0 -2 -0xfdd3 -0x11577116 -256 -256 -1 -0 -0 -2 -0xee9b -0xccca35a4 -256 -256 -1 -0 -0 -1 -0x8b38 -0xfb363ec6 -256 -256 -1 -0 -0 -3 -0x653d -0x417f3fd2 -256 -256 -1 -0 -0 -3 -0xf1e0 -0x58e2a566 -256 -256 -1 -0 -0 -2 -0x6684 -0xa922e8b9 -256 -256 -0 -0 -0 -4 -0x753e -0xaf5b7d46 -256 -256 -0 -0 -0 -2 -0x35a6 -0x6745aa71 -256 -256 -1 -0 -0 -2 -0xe314 -0xa76ab667 -256 -256 -0 -0 -0 -4 -0xb5d9 -0xd40c547d -256 -256 -0 -0 -0 -3 -0xcba1 -0xee4a18b0 -256 -256 -1 -0 -0 -3 -0x121d -0xe2747999 -256 -256 -0 -0 -0 -3 -0x8def -0xd818decd -256 -256 -1 -0 -0 -4 -0x8d75 -0x926eb811 -256 -256 -1 -0 -0 -4 -0x45fc -0x3cc5eb21 -256 -256 -1 -0 -0 -1 -0x9726 -0x62fe14d2 -256 -256 -1 -0 -0 -2 -0x650e -0xff590e85 -256 -256 -0 -0 -0 -1 -0x3ada -0xe75becc6 -256 -256 -1 -0 -0 -2 -0x30d7 -0xeb298c0d -256 -256 -1 -0 -0 -2 -0xe549 -0x5596afce -256 -256 -1 -0 -0 -2 -0x2797 -0x4563a737 -256 -256 -0 -0 -0 -2 -0x8620 -0xebb4fe8c -256 -256 -1 -0 -0 -3 -0x82a8 -0x59714dd7 -256 -256 -0 -0 -0 -1 -0xff03 -0xdeb50407 -256 -256 -0 -0 -0 -2 -0x3fe7 -0x38d2e10e -256 -256 -0 -0 -0 -3 -0x3ae0 -0x9048535d -256 -256 -0 -0 -0 -2 -0xf2bc -0x77def68b -256 -256 -1 -0 -0 -3 -0xd0aa -0x2033b706 -256 -256 -0 -0 -0 -1 -0xa27a -0xe71869d3 -256 -256 -0 -0 -0 -3 -0x3de8 -0x803f4b14 -256 -256 -0 -0 -0 -2 -0xb0c9 -0x401a6dfa -256 -256 -0 -0 -0 -2 -0xa729 -0xdedc1bde -256 -256 -1 -0 -0 -4 -0x2f4d -0x2937e852 -256 -256 -0 -0 -0 -1 -0x2ba3 -0xdd2f91fd -256 -256 -0 -0 -0 -3 -0x73de -0x28287b5e -256 -256 -1 -0 -0 -1 -0x6c29 -0xe3f78164 -256 -256 -1 -0 -0 -4 -0xdee9 -0x13cbad4d -256 -256 -1 -0 -0 -3 -0x55f2 -0x44895fb7 -256 -256 -1 -0 -0 -3 -0xa69e -0xb660826e -256 -256 -1 -0 -0 -4 -0x7648 -0xe4dcef19 -256 -256 -0 -0 -0 -3 -0x61e -0x466196 -256 -256 -1 -0 -0 -4 -0x7d18 -0xae0acf2a -256 -256 -0 -0 -0 -3 -0xe0d5 -0xc0a68e54 -256 -256 -0 -0 -0 -2 -0x9a0b -0xe037298a -256 -256 -0 -0 -0 -3 -0x1e92 -0xe6c89f59 -256 -256 -0 -0 -0 -1 -0xcc54 -0x4516ecdc -256 -256 -1 -0 -0 -1 -0xeec3 -0x885dc5de -256 -256 -0 -0 -0 -4 -0xc767 -0x5cac927 -256 -256 -1 -0 -0 -4 -0x3216 -0x97c02e39 -256 -256 -1 -0 -0 -4 -0x48cd -0x4e76ee60 -256 -256 -0 -0 -0 -1 -0x45c3 -0xe049db28 -256 -256 -1 -0 -0 -2 -0x2f54 -0xa2b56667 -256 -256 -0 -0 -0 -4 -0x8f1f -0x7e249fef -256 -256 -1 -0 -0 -1 -0xf3cc -0xf6811c6c -256 -256 -0 -0 -0 -1 -0x2b0d -0xd95efaeb -256 -256 -0 -0 -0 -3 -0x1129 -0x7f37b8e7 -256 -256 -1 -0 -0 -3 -0x5fb6 -0xd2ca8b41 -256 -256 -1 -0 -0 -3 -0x665c -0x8d34efb9 -256 -256 -0 -0 -0 -4 -0x6fba -0xd078a9ba -256 -256 -1 -0 -0 -3 -0x212e -0x56fa93d1 -256 -256 -0 -0 -0 -1 -0x1a58 -0xd95a3c90 -256 -256 -0 -0 -0 -4 -0xa19f -0xfdbff5b5 -256 -256 -1 -0 -0 -1 -0x70c9 -0xe9ce926a -256 -256 -1 -0 -0 -1 -0x59d1 -0x24a45354 -256 -256 -1 -0 -0 -3 -0xf9ca -0xb81503d2 -256 -256 -1 -0 -0 -2 -0x9e4f -0xb39d7266 -256 -256 -0 -0 -0 -2 -0x3b38 -0x74173c0d -256 -256 -0 -0 -0 -3 -0x1412 -0x45993bbe -256 -256 -0 -0 -0 -1 -0xf9f1 -0x93da12bc -256 -256 -0 -0 -0 -4 -0xebf9 -0x332ed6e7 -256 -256 -0 -0 -0 -2 -0x7961 -0x74723137 -256 -256 -1 -0 -0 -4 -0xf30f -0x462d7aa8 -256 -256 -0 -0 -0 -1 -0xcdef -0x6ff7b53d -256 -256 -1 -0 -0 -1 -0x3797 -0x8c22c7dc -256 -256 -1 -0 -0 -1 -0x9762 -0xc5e8f141 -256 -256 -0 -0 -0 -1 -0x1795 -0x70a3e1d3 -256 -256 -0 -0 -0 -1 -0xcbb1 -0xd2d07ed2 -256 -256 -0 -0 -0 -3 -0xb2c2 -0xc4107513 -256 -256 -0 -0 -0 -3 -0x46b2 -0xd9fe358a -256 -256 -0 -0 -0 -2 -0x2261 -0x6d019e37 -256 -256 -1 -0 -0 -2 -0xf820 -0x36f27e61 -256 -256 -1 -0 -0 -2 -0xfed7 -0x64ef532d -256 -256 -0 -0 -0 -3 -0x85c1 -0x80dea7df -256 -256 -0 -0 -0 -2 -0xb6dc -0x2940b99e -256 -256 -0 -0 -0 -2 -0xc9fe -0x40e869c1 -256 -256 -0 -0 -0 -3 -0x2930 -0x44a39a9d -256 -256 -1 -0 -0 -3 -0xbc36 -0x2c9a4e52 -256 -256 -1 -0 -0 -4 -0xc883 -0x20f4e4a2 -256 -256 -0 -0 -0 -4 -0x1a50 -0xda09c650 -256 -256 -1 -0 -0 -1 -0x62fc -0xfc9d06e3 -256 -256 -1 -0 -0 -2 -0x2041 -0x97595981 -256 -256 -1 -0 -0 -4 -0x8c43 -0xe274d9ea -256 -256 -0 -0 -0 -2 -0xf99a -0x59ac0b48 -256 -256 -1 -0 -0 -1 -0xa8de -0x788ef8fc -256 -256 -0 -0 -0 -3 -0xf599 -0x20b6fd71 -256 -256 -1 -0 -0 -1 -0x6a17 -0x3a927591 -256 -256 -1 -0 -0 -4 -0x6e54 -0xbf8ac057 -256 -256 -1 -0 -0 -2 -0x15b4 -0xc5207205 -256 -256 -1 -0 -0 -4 -0x29ce -0x6adea9eb -256 -256 -0 -0 -0 -3 -0xdb19 -0xf2e4d6bc -256 -256 -1 -0 -0 -4 -0x742d -0xf1467ce1 -256 -256 -1 -0 -0 -4 -0x58bb -0x25c13f1d -256 -256 -1 -0 -0 -3 -0x50e2 -0x6f3bd1f1 -256 -256 -0 -0 -0 -2 -0x7d44 -0x2ae1711a -256 -256 -1 -0 -0 -4 -0x55ee -0x59d5a51e -256 -256 -0 -0 -0 -3 -0x7e2f -0xbeef676e -256 -256 -0 -0 -0 -3 -0xa207 -0x99364b58 -256 -256 -1 -0 -0 -1 -0xfe13 -0xd9c31cfb -256 -256 -1 -0 -0 -3 -0xfe95 -0x883b4cc3 -256 -256 -1 -0 -0 -3 -0x8a1f -0x55ee6b70 -256 -256 -0 -0 -0 -2 -0xbea0 -0xfd3e079d -256 -256 -0 -0 -0 -1 -0x6bcc -0x33b57ce0 -256 -256 -0 -0 -0 -4 -0xc2cb -0xbd55cc8e -256 -256 -0 -0 -0 -3 -0x2d7b -0x23a48c5d -256 -256 -1 -0 -0 -4 -0x5e49 -0xacc6b21d -256 -256 -1 -0 -0 -3 -0x320c -0xaca2c846 -256 -256 -1 -0 -0 -3 -0xcab1 -0x2f59b6e -256 -256 -0 -0 -0 -1 -0x5d48 -0x787a1d4c -256 -256 -1 -0 -0 -4 -0x9a6a -0x162db3ab -256 -256 -1 -0 -0 -3 -0xbbd8 -0x75eaafe0 -256 -256 -1 -0 -0 -2 -0xea1 -0x6e7aceb4 -256 -256 -1 -0 -0 -4 -0x50a8 -0x6d587ec6 -256 -256 -1 -0 -0 -1 -0xffd6 -0xeea7311 -256 -256 -1 -0 -0 -2 -0x5f8a -0xdd04c4d -256 -256 -1 -0 -0 -2 -0xc487 -0x7fe04c04 -256 -256 -0 -0 -0 -4 -0x4d14 -0xebd2d671 -256 -256 -1 -0 -0 -4 -0x451a -0x7c56e6dd -256 -256 -1 -0 -0 -4 -0x1045 -0x861010b0 -256 -256 -0 -0 -0 -4 -0x3df7 -0xa1ad61c -256 -256 -0 -0 -0 -1 -0xc201 -0x1fc6cd3d -256 -256 -1 -0 -0 -2 -0x6593 -0x1028b677 -256 -256 -0 -0 -0 -3 -0x2952 -0xdc132b84 -256 -256 -1 -0 -0 -2 -0x5018 -0x416892e1 -256 -256 -1 -0 -0 -1 -0x2b7a -0xa89c6836 -256 -256 -1 -0 -0 -2 -0x1af7 -0x7e12f515 -256 -256 -0 -0 -0 -4 -0x6aab -0x26a4020d -256 -256 -1 -0 -0 -1 -0x53c8 -0xa8109545 -256 -256 -0 -0 -0 -3 -0xff2f -0xd5a03b59 -256 -256 -0 -0 -0 -2 -0xe72d -0xd6d29a74 -256 -256 -1 -0 -0 -2 -0x417c -0xa05e5b70 -256 -256 -0 -0 -0 -4 -0x4811 -0x8bd0fe6e -256 -256 -0 -0 -0 -3 -0xba46 -0x8a64ab52 -256 -256 -1 -0 -0 -2 -0xb8ec -0x7d73b751 -256 -256 -0 -0 -0 -3 -0x7455 -0x6c3456f1 -256 -256 -0 -0 -0 -4 -0xb0ea -0xb20dabb2 -256 -256 -1 -0 -0 -2 -0x6e0c -0x7c750e6c -256 -256 -1 -0 -0 -2 -0xa3e6 -0x142ecfa -256 -256 -0 -0 -0 -2 -0x5f07 -0x82db92f7 -256 -256 -1 -0 -0 -1 -0xae37 -0x49fe5070 -256 -256 -0 -0 -0 -3 -0x1bcb -0xe6f51378 -256 -256 -1 -0 -0 -4 -0xa082 -0x6fea6983 -256 -256 -0 -0 -0 -3 -0xd70f -0xc1b6eb59 -256 -256 -1 -0 -0 -1 -0xb244 -0xb4f2d9fc -256 -256 -1 -0 -0 -4 -0x873a -0xd50f04c8 -256 -256 -1 -0 -0 -2 -0x6242 -0xc9eeca7b -256 -256 -0 -0 -0 -1 -0x647d -0x765779e5 -256 -256 -1 -0 -0 -2 -0x4bb9 -0xc0cb621d -256 -256 -0 -0 -0 -4 -0xf396 -0x16297b5f -256 -256 -1 -0 -0 -2 -0x2662 -0xf2cb6d42 -256 -256 -0 -0 -0 -3 -0xc44b -0xb7cf1258 -256 -256 -0 -0 -0 -3 -0xcc3b -0x8c5921e7 -256 -256 -0 -0 -0 -4 -0x5359 -0x105d7535 -256 -256 -1 -0 -0 -4 -0x81f5 -0x8696496c -256 -256 -1 -0 -0 -4 -0x5485 -0x43c58a23 -256 -256 -0 -0 -0 -3 -0x4f11 -0xdd82b14b -256 -256 -1 -0 -0 -3 -0xd53d -0xe763239b -256 -256 -1 -0 -0 -1 -0x1085 -0xf63db42 -256 -256 -1 -0 -0 -1 -0x1da6 -0xf9699933 -256 -256 -1 -0 -0 -4 -0x2037 -0x9af22ede -256 -256 -1 -0 -0 -1 -0xb96f -0xb5fdd259 -256 -256 -1 -0 -0 -4 -0xd3ce -0xbbc50be9 -256 -256 -0 -0 -0 -2 -0xd7e0 -0x6bb391c3 -256 -256 -1 -0 -0 -3 -0xeeeb -0x13f44150 -256 -256 -1 -0 -0 -2 -0x348f -0x99420570 -256 -256 -1 -0 -0 -1 -0x9112 -0xe397fb05 -256 -256 -1 -0 -0 -3 -0x297a -0x8807226f -256 -256 -1 -0 -0 -1 -0x9cca -0x2ef9171 -256 -256 -0 -0 -0 -3 -0xc90a -0x59c9a5d9 -256 -256 -1 -0 -0 -1 -0x18ed -0x5d5da458 -256 -256 -1 -0 -0 -3 -0x9cee -0x78e35cf2 -256 -256 -0 -0 -0 -4 -0xb0dd -0xf6bad0e -256 -256 -1 -0 -0 -4 -0x22bd -0x126e7673 -256 -256 -1 -0 -0 -4 -0xac95 -0xac5290da -256 -256 -1 -0 -0 -4 -0xb34d -0xdf83fe62 -256 -256 -0 -0 -0 -4 -0x97ae -0x7347d5bf -256 -256 -1 -0 -0 -2 -0xcd7b -0x3cfe9ab1 -256 -256 -0 -0 -0 -1 -0xf93d -0x2ab843ff -256 -256 -1 -0 -0 -2 -0x75fc -0x9e7355d5 -256 -256 -0 -0 -0 -1 -0xe6f -0x71c3b546 -256 -256 -0 -0 -0 -4 -0xadbb -0xeb5eeba8 -256 -256 -1 -0 -0 -4 -0xcc9f -0xcec6b693 -256 -256 -1 -0 -0 -4 -0xb599 -0x1cc4c648 -256 -256 -1 -0 -0 -3 -0xf1ee -0x62490d11 -256 -256 -1 -0 -0 -1 -0xa6c4 -0x71b0e963 -256 -256 -0 -0 -0 -2 -0x3985 -0xb0e90c6d -256 -256 -1 -0 -0 -4 -0x6cf0 -0xbe4803f -256 -256 -1 -0 -0 -4 -0x829d -0x327f1bd2 -256 -256 -1 -0 -0 -1 -0x52a9 -0xfebabf43 -256 -256 -0 -0 -0 -4 -0xad10 -0x94dab226 -256 -256 -1 -0 -0 -4 -0x8fb7 -0x206fbf3d -256 -256 -0 -0 -0 -1 -0xb7bd -0x2b7e9a64 -256 -256 -1 -0 -0 -3 -0x7687 -0xf49a441e -256 -256 -0 -0 -0 -1 -0x245e -0x7263318e -256 -256 -0 -0 -0 -3 -0x4580 -0x3517bfdf -256 -256 -1 -0 -0 -1 -0x3de5 -0x3ad3a4df -256 -256 -1 -0 -0 -1 -0x6a7d -0x9d6fc026 -256 -256 -0 -0 -0 -1 -0xae57 -0xaeb60375 -256 -256 -0 -0 -0 -1 -0x9821 -0xf3a1955c -256 -256 -1 -0 -0 -2 -0x34ba -0x3f5662bb -256 -256 -0 -0 -0 -2 -0xe14d -0x7ba54e8a -256 -256 -1 -0 -0 -2 -0x2276 -0xbfb14ad4 -256 -256 -0 -0 -0 -4 -0xb9ea -0x2d8524db -256 -256 -0 -0 -0 -1 -0x590d -0x4cdce4f9 -256 -256 -1 -0 -0 -2 -0x6882 -0x48c2310d -256 -256 -0 -0 -0 -4 -0x2a33 -0x44f2444b -256 -256 -0 -0 -0 -2 -0x6106 -0x2c976f99 -256 -256 -1 -0 -0 -3 -0xb759 -0x5a721b9c -256 -256 -1 -0 -0 -3 -0xbdc -0xd07a56cc -256 -256 -1 -0 -0 -1 -0x30b3 -0x794c3a8d -256 -256 -0 -0 -0 -3 -0xb370 -0xf4cab511 -256 -256 -1 -0 -0 -3 -0x23f9 -0xee160113 -256 -256 -0 -0 -0 -4 -0x3ee1 -0xab0b4930 -256 -256 -1 -0 -0 -4 -0xbb1 -0x6a71ab86 -256 -256 -0 -0 -0 -2 -0x5909 -0x49f7e720 -256 -256 -0 -0 -0 -1 -0x67f8 -0xd025e3aa -256 -256 -1 -0 -0 -4 -0x5450 -0x3625eac3 -256 -256 -0 -0 -0 -4 -0x13b3 -0x8ca6e3cd -256 -256 -1 -0 -0 -2 -0xab83 -0x63d954ac -256 -256 -1 -0 -0 -1 -0x7788 -0x7fd2b7fc -256 -256 -1 -0 -0 -1 -0x11f7 -0x81919959 -256 -256 -0 -0 -0 -4 -0x4fb6 -0xfe0a5c2d -256 -256 -0 -0 -0 -3 -0x9480 -0xd36fc89c -256 -256 -1 -0 -0 -3 -0xd652 -0xed397a03 -256 -256 -0 -0 -0 -2 -0xbd6f -0x2448f8ac -256 -256 -0 -0 -0 -4 -0x1369 -0x108794ff -256 -256 -1 -0 -0 -3 -0x29e9 -0x52e10306 -256 -256 -1 -0 -0 -1 -0x12fd -0x385f6405 -256 -256 -1 -0 -0 -2 -0x2e17 -0xef983141 -256 -256 -0 -0 -0 -1 -0x1126 -0x589b830b -256 -256 -0 -0 -0 -3 -0x7d72 -0x23d09798 -256 -256 -0 -0 -0 -1 -0xf6f5 -0xcba80978 -256 -256 -0 -0 -0 -2 -0x985a -0x8b3ae2ec -256 -256 -0 -0 -0 -4 -0x8140 -0xbec13aed -256 -256 -0 -0 -0 -3 -0x6aeb -0x7f476474 -256 -256 -0 -0 -0 -4 -0xae55 -0xb9dfb175 -256 -256 -0 -0 -0 -1 -0xb0fa -0xd7e87b5b -256 -256 -0 -0 -0 -1 -0x9b3c -0xab06a8ba -256 -256 -1 -0 -0 -1 -0xf301 -0x299e4907 -256 -256 -1 -0 -0 -3 -0x7f21 -0xae08eec5 -256 -256 -1 -0 -0 -2 -0x17dd -0x1659ca51 -256 -256 -1 -0 -0 -4 -0x61ee -0x381fd558 -256 -256 -1 -0 -0 -3 -0xb62 -0x49853c95 -256 -256 -0 -0 -0 -2 -0x2ccb -0x7d155370 -256 -256 -0 -0 -0 -3 -0x1fd5 -0xfe54b529 -256 -256 -1 -0 -0 -1 -0xfde0 -0x581ebd03 -256 -256 -1 -0 -0 -4 -0x7e08 -0x282375ca -256 -256 -1 -0 -0 -3 -0x4f95 -0xfbcdf60 -256 -256 -1 -0 -0 -2 -0x805d -0x5a081fef -256 -256 -1 -0 -0 -4 -0x5f9f -0xfbfcc439 -256 -256 -1 -0 -0 -3 -0x8e62 -0x597762c1 -256 -256 -1 -0 -0 -3 -0x660e -0xae97cf12 -256 -256 -1 -0 -0 -1 -0xbf01 -0x16dc0d29 -256 -256 -0 -0 -0 -1 -0x9a3c -0x4a1dda7a -256 -256 -0 -0 -0 -2 -0x293c -0x3ea895b9 -256 -256 -0 -0 -0 -4 -0xabe0 -0x837a4a01 -256 -256 -0 -0 -0 -4 -0x48ec -0xc7eff56 -256 -256 -1 -0 -0 -3 -0x519a -0x9245ccca -256 -256 -1 -0 -0 -4 -0x3b6e -0x735de447 -256 -256 -0 -0 -0 -2 -0x53ee -0x62df0c2e -256 -256 -1 -0 -0 -3 -0xc6fc -0xacce2c8f -256 -256 -0 -0 -0 -4 -0x8439 -0x72a5197d -256 -256 -1 -0 -0 -4 -0x9c12 -0x9683bbf6 -256 -256 -0 -0 -0 -3 -0xdb8 -0x511cb30d -256 -256 -1 -0 -0 -3 -0xce8e -0xde7f5bbf -256 -256 -0 -0 -0 -1 -0xde0c -0x628603e6 -256 -256 -0 -0 -0 -2 -0x9582 -0x25e15eec -256 -256 -1 -0 -0 -1 -0x4fa1 -0x892ab68d -256 -256 -0 -0 -0 -1 -0x6f7f -0x8b259348 -256 -256 -1 -0 -0 -4 -0xcaf5 -0x6a3d93e9 -256 -256 -1 -0 -0 -2 -0xe790 -0xb991b160 -256 -256 -0 -0 -0 -2 -0xf87 -0xf56231d0 -256 -256 -0 -0 -0 -1 -0xc94e -0xcb44f24b -256 -256 -1 -0 -0 -3 -0x7758 -0x1ea9e43e -256 -256 -0 -0 -0 -3 -0xa672 -0xd0e65fab -256 -256 -0 -0 -0 -3 -0x5dcb -0xa62e4b7 -256 -256 -1 -0 -0 -1 -0x7877 -0x17d49be8 -256 -256 -0 -0 -0 -4 -0xc4b6 -0xe0d26298 -256 -256 -0 -0 -0 -3 -0xae08 -0x762a381f -256 -256 -1 -0 -0 -3 -0xedd3 -0x14d564e6 -256 -256 -1 -0 -0 -4 -0x2eb8 -0x6440a52e -256 -256 -1 -0 -0 -4 -0x334e -0x117a052c -256 -256 -0 -0 -0 -3 -0x1a88 -0xb28f05fe -256 -256 -0 -0 -0 -1 -0x298c -0x53b3f2cf -256 -256 -0 -0 -0 -2 -0xb8b3 -0x6bef7b3b -256 -256 -0 -0 -0 -3 -0xaf03 -0xee773576 -256 -256 -0 -0 -0 -4 -0xd85a -0x8be5bbc4 -256 -256 -0 -0 -0 -1 -0x3052 -0x480b0196 -256 -256 -0 -0 -0 -1 -0x2dba -0xb363b454 -256 -256 -1 -0 -0 -3 -0x51f8 -0xc5780bfb -256 -256 -1 -0 -0 -2 -0x2617 -0x2d14ed4 -256 -256 -1 -0 -0 -3 -0x6521 -0xf1d1a1af -256 -256 -1 -0 -0 -1 -0x56bf -0x4f739b27 -256 -256 -1 -0 -0 -4 -0x41a3 -0xa7ae921a -256 -256 -1 -0 -0 -4 -0x364f -0xa071a135 -256 -256 -0 -0 -0 -3 -0x6aa4 -0x5a9da6d5 -256 -256 -0 -0 -0 -2 -0x568b -0xefab804 -256 -256 -0 -0 -0 -4 -0xd716 -0xdc5d9679 -256 -256 -1 -0 -0 -2 -0x5e61 -0x56b50396 -256 -256 -0 -0 -0 -1 -0xc739 -0x2ece2e12 -256 -256 -0 -0 -0 -4 -0x1067 -0x27ecf181 -256 -256 -0 -0 -0 -4 -0xf4ae -0xa40740ca -256 -256 -0 -0 -0 -3 -0x9275 -0x36ef359a -256 -256 -1 -0 -0 -2 -0xa9ef -0x9b00c65e -256 -256 -0 -0 -0 -1 -0xb026 -0xd161a3a2 -256 -256 -1 -0 -0 -1 -0x9ce5 -0xeff58111 -256 -256 -1 -0 -0 -3 -0x173d -0x4c298f30 -256 -256 -0 -0 -0 -1 -0x2d5f -0x3e8d604e -256 -256 -0 -0 -0 -3 -0xad79 -0x9343efa1 -256 -256 -0 -0 -0 -2 -0xfe00 -0x28e987dc -256 -256 -1 -0 -0 -1 -0x943e -0xc8e72758 -256 -256 -1 -0 -0 -2 -0xf858 -0xb2e14c95 -256 -256 -1 -0 -0 -2 -0x616d -0xf41bd96c -256 -256 -1 -0 -0 -2 -0x3e79 -0x908da1a1 -256 -256 -0 -0 -0 -4 -0xaac5 -0x4971c15a -256 -256 -0 -0 -0 -3 -0xbc5f -0x11c4bcb9 -256 -256 -1 -0 -0 -4 -0x7b47 -0xbc2bba77 -256 -256 -1 -0 -0 -3 -0x8db9 -0xcc931c4c -256 -256 -0 -0 -0 -4 -0x403e -0x43cb443a -256 -256 -1 -0 -0 -1 -0x997 -0xfa315db7 -256 -256 -0 -0 -0 -1 -0x741 -0x867eb0d5 -256 -256 -1 -0 -0 -3 -0xd3c4 -0x8ba19667 -256 -256 -1 -0 -0 -2 -0x29ee -0xbb1ea3b8 -256 -256 -0 -0 -0 -4 -0x279d -0x28a473a9 -256 -256 -1 -0 -0 -1 -0x7e88 -0xc995e35c -256 -256 -1 -0 -0 -3 -0x2a1f -0xcba3dc25 -256 -256 -0 -0 -0 -4 -0x4d5a -0xb5b14701 -256 -256 -1 -0 -0 -1 -0x626c -0xe8f995ad -256 -256 -0 -0 -0 -1 -0x388d -0x8c926443 -256 -256 -1 -0 -0 -1 -0x417e -0xac72cb0b -256 -256 -1 -0 -0 -2 -0x9e4d -0x1104cdaf -256 -256 -0 -0 -0 -4 -0x5afd -0x462a462f -256 -256 -0 -0 -0 -2 -0xfad1 -0x1f637275 -256 -256 -0 -0 -0 -2 -0x332c -0x84c33e7c -256 -256 -1 -0 -0 -2 -0x3f30 -0xbec48ac8 -256 -256 -1 -0 -0 -1 -0x5c0 -0xc1178a8e -256 -256 -1 -0 -0 -1 -0x49f2 -0x5c192f53 -256 -256 -1 -0 -0 -4 -0x3c3f -0x8731d58a -256 -256 -1 -0 -0 -4 -0x566e -0x3f28fc77 -256 -256 -0 -0 -0 -2 -0x8c98 -0x5cc3754 -256 -256 -0 -0 -0 -3 -0xb04 -0xb97be -256 -256 -0 -0 -0 -4 -0xd7b -0x27c9c272 -256 -256 -0 -0 -0 -3 -0xaba3 -0xc62b5432 -256 -256 -1 -0 -0 -3 -0xd824 -0x75e482a4 -256 -256 -1 -0 -0 -4 -0xa444 -0x6a5c89e0 -256 -256 -0 -0 -0 -3 -0xce25 -0x7ac3f27c -256 -256 -0 -0 -0 -3 -0x33a3 -0x5e68fdd6 -256 -256 -0 -0 -0 -1 -0x1a88 -0x547b42a2 -256 -256 -0 -0 -0 -4 -0xad09 -0xa3debcf9 -256 -256 -1 -0 -0 -3 -0xa021 -0x425079c -256 -256 -1 -0 -0 -3 -0x1087 -0xf5e666de -256 -256 -1 -0 -0 -3 -0xb52a -0x215cb458 -256 -256 -1 -0 -0 -1 -0x53f1 -0xadff6bb7 -256 -256 -1 -0 -0 -3 -0xa7a7 -0xf539e75e -256 -256 -0 -0 -0 -1 -0x3d97 -0xa2d6d636 -256 -256 -0 -0 -0 -1 -0xad98 -0x2fb40d90 -256 -256 -0 -0 -0 -4 -0x30c9 -0xb17595d1 -256 -256 -1 -0 -0 -1 -0x5830 -0x8ee0b717 -256 -256 -1 -0 -0 -3 -0xd28 -0x49e9a470 -256 -256 -0 -0 -0 -2 -0x4f86 -0xc72088e9 -256 -256 -1 -0 -0 -2 -0x955e -0x21b16e4 -256 -256 -1 -0 -0 -4 -0x1618 -0xaf47d8ba -256 -256 -1 -0 -0 -1 -0xbb54 -0xf33b54e7 -256 -256 -1 -0 -0 -3 -0x542 -0xa58ecf4 -256 -256 -1 -0 -0 -3 -0x6f24 -0xda5a4868 -256 -256 -0 -0 -0 -3 -0x7528 -0x70dc284d -256 -256 -1 -0 -0 -1 -0x6236 -0x869936 -256 -256 -1 -0 -0 -3 -0x8bac -0x1bf3d2df -256 -256 -1 -0 -0 -1 -0x9bb1 -0x60c54ec8 -256 -256 -0 -0 -0 -3 -0xa718 -0x6723d017 -256 -256 -0 -0 -0 -3 -0xb9d7 -0xad948423 -256 -256 -0 -0 -0 -1 -0x450d -0x4f7a65ba -256 -256 -0 -0 -0 -1 -0x304c -0x5b68e361 -256 -256 -1 -0 -0 -1 -0x5e64 -0x59b77b91 -256 -256 -1 -0 -0 -3 -0x5432 -0xbf6bf2ca -256 -256 -0 -0 -0 -2 -0xaa3e -0x3f8d4caa -256 -256 -1 -0 -0 -4 -0x9b4c -0x2967344e -256 -256 -0 -0 -0 -3 -0xbd09 -0x93121395 -256 -256 -1 -0 -0 -1 -0x9d36 -0x3def0ac7 -256 -256 -1 -0 -0 -1 -0x3b5b -0x6231522c -256 -256 -1 -0 -0 -2 -0x1ab2 -0xce133737 -256 -256 -0 -0 -0 -2 -0x1cca -0x48d8c77 -256 -256 -1 -0 -0 -1 -0xfd9c -0xa7494725 -256 -256 -1 -0 -0 -2 -0xa09b -0xad8258d2 -256 -256 -1 -0 -0 -1 -0x3291 -0x9439bfc8 -256 -256 -0 -0 -0 -1 -0x8171 -0xd18064b5 -256 -256 -0 -0 -0 -1 -0x5993 -0x7788031e -256 -256 -1 -0 -0 -4 -0x4c33 -0xf5664a3e -256 -256 -1 -0 -0 -4 -0x18b2 -0x501fdf2b -256 -256 -0 -0 -0 -3 -0x3c9a -0x8abc4eb -256 -256 -1 -0 -0 -3 -0xe0f3 -0xb0f47018 -256 -256 -0 -0 -0 -4 -0xb187 -0x5a52d340 -256 -256 -0 -0 -0 -1 -0x8360 -0x5c548887 -256 -256 -0 -0 -0 -4 -0xe055 -0xf4a947a4 -256 -256 -1 -0 -0 -2 -0x6c3d -0xdf2444f8 -256 -256 -0 -0 -0 -2 -0xad91 -0x42eae1bd -256 -256 -0 -0 -0 -1 -0xfcee -0x2eec50c -256 -256 -1 -0 -0 -4 -0x6816 -0xac3551f7 -256 -256 -1 -0 -0 -1 -0x36da -0x641ddb7f -256 -256 -0 -0 -0 -1 -0xee9a -0x194f7aa8 -256 -256 -1 -0 -0 -3 -0x7b55 -0xcb95099c -256 -256 -0 -0 -0 -4 -0xa316 -0x167608d9 -256 -256 -1 -0 -0 -3 -0x9f94 -0xf976ed -256 -256 -1 -0 -0 -2 -0x4950 -0xb9ffa056 -256 -256 -1 -0 -0 -4 -0x3625 -0x2c53d03a -256 -256 -0 -0 -0 -4 -0x8312 -0x71da6090 -256 -256 -1 -0 -0 -1 -0x727a -0x948ed5b0 -256 -256 -0 -0 -0 -4 -0x5d27 -0x5ae27a57 -256 -256 -0 -0 -0 -3 -0xce70 -0x2546a93a -256 -256 -1 -0 -0 -2 -0x49d0 -0x2a9a702c -256 -256 -1 -0 -0 -1 -0xc4d7 -0xe2f6ad61 -256 -256 -1 -0 -0 -1 -0x90a3 -0xb3be08cd -256 -256 -0 -0 -0 -2 -0xb67e -0xb464bab2 -256 -256 -1 -0 -0 -3 -0xccad -0x8559f300 -256 -256 -0 -0 -0 -4 -0xc774 -0xd5f4f06d -256 -256 -0 -0 -0 -4 -0x49ab -0xada43025 -256 -256 -0 -0 -0 -4 -0x98b9 -0xd82f329b -256 -256 -0 -0 -0 -1 -0xf6b5 -0xbd77d40a -256 -256 -0 -0 -0 -4 -0xf9ec -0xa363bc2d -256 -256 -0 -0 -0 -1 -0x912b -0x1a6340b8 -256 -256 -1 -0 -0 -3 -0x2426 -0x5be86f74 -256 -256 -0 -0 -0 -3 -0x369d -0xc73ac232 -256 -256 -0 -0 -0 -3 -0x4e9a -0x323e4cde -256 -256 -0 -0 -0 -4 -0x1f63 -0x2cb60854 -256 -256 -1 -0 -0 -1 -0x3ef8 -0x10febb25 -256 -256 -0 -0 -0 -2 -0x4778 -0x78b600b9 -256 -256 -1 -0 -0 -1 -0xc2d5 -0xcf0bd170 -256 -256 -1 -0 -0 -2 -0x69de -0xcee3a6e3 -256 -256 -1 -0 -0 -1 -0xf674 -0xc9bb79c8 -256 -256 -1 -0 -0 -3 -0xef91 -0x2006b15c -256 -256 -1 -0 -0 -3 -0xc9de -0xe1b7cd15 -256 -256 -0 -0 -0 -1 -0x80fc -0xacf0b2bf -256 -256 -0 -0 -0 -4 -0x10ad -0x619614fd -256 -256 -0 -0 -0 -4 -0xad1e -0x888c4197 -256 -256 -0 -0 -0 -1 -0x17b2 -0xc4fc5b83 -256 -256 -0 -0 -0 -2 -0x1c99 -0x41893b80 -256 -256 -1 -0 -0 -1 -0x3ee6 -0xb36dfcbc -256 -256 -1 -0 -0 -1 -0x9123 -0x621f37fd -256 -256 -0 -0 -0 -1 -0x2e1d -0xb07ae661 -256 -256 -0 -0 -0 -1 -0x50c2 -0x8aad39cc -256 -256 -0 -0 -0 -2 -0x5753 -0x166ccfeb -256 -256 -1 -0 -0 -1 -0x4033 -0xa46023d -256 -256 -0 -0 -0 -2 -0x6317 -0x1f7c672a -256 -256 -0 -0 -0 -1 -0x24db -0x6d6c5ca1 -256 -256 -0 -0 -0 -1 -0x8a4d -0x86c70669 -256 -256 -1 -0 -0 -2 -0xc62c -0x1f25b12e -256 -256 -1 -0 -0 -3 -0xbf15 -0xd299efc5 -256 -256 -1 -0 -0 -2 -0x2f4d -0x735f1e3f -256 -256 -0 -0 -0 -3 -0x4b89 -0x53ce5b4e -256 -256 -0 -0 -0 -2 -0xb7f3 -0xa8b65fe6 -256 -256 -1 -0 -0 -3 -0xc4b1 -0x30b83c7a -256 -256 -0 -0 -0 -2 -0x15be -0x9b18c000 -256 -256 -0 -0 -0 -3 -0x986f -0x1617aa04 -256 -256 -0 -0 -0 -4 -0x70eb -0x9ed6e3a3 -256 -256 -1 -0 -0 -1 -0x74c7 -0x544a9c56 -256 -256 -1 -0 -0 -2 -0x592d -0xbb4e3296 -256 -256 -1 -0 -0 -4 -0xb7ea -0xc3a5c4d -256 -256 -0 -0 -0 -2 -0x198f -0x92432f57 -256 -256 -1 -0 -0 -3 -0x2918 -0xa5aeea54 -256 -256 -0 -0 -0 -4 -0x94b4 -0xd254c4bb -256 -256 -1 -0 -0 -1 -0xfeb5 -0xbe32cd24 -256 -256 -1 -0 -0 -4 -0x8f77 -0xbd884221 -256 -256 -0 -0 -0 -4 -0x22e5 -0xea642ac4 -256 -256 -1 -0 -0 -1 -0xae83 -0x65bebd95 -256 -256 -1 -0 -0 -4 -0xc8eb -0xec56c254 -256 -256 -0 -0 -0 -4 -0x689 -0xc2d9ea35 -256 -256 -1 -0 -0 -1 -0x2692 -0x2f59d746 -256 -256 -0 -0 -0 -2 -0xcfaa -0xf8936c6a -256 -256 -0 -0 -0 -4 -0x36ff -0xd95b5795 -256 -256 -0 -0 -0 -2 -0xf95c -0xc6df366d -256 -256 -0 -0 -0 -4 -0xefbe -0x4a367305 -256 -256 -0 -0 -0 -4 -0x9223 -0x973a3a84 -256 -256 -0 -0 -0 -3 -0xdf36 -0x4cbd2077 -256 -256 -0 -0 -0 -4 -0x4c4f -0xb19a7f22 -256 -256 -1 -0 -0 -2 -0xd958 -0x8ba9f939 -256 -256 -0 -0 -0 -2 -0xe015 -0x75da2834 -256 -256 -0 -0 -0 -4 -0xde54 -0xe6086069 -256 -256 -0 -0 -0 -4 -0x2c51 -0xd5bed845 -256 -256 -0 -0 -0 -1 -0x1fe1 -0xa0d3ed8e -256 -256 -0 -0 -0 -2 -0x726 -0x3b219b57 -256 -256 -1 -0 -0 -1 -0xf38e -0xc6042987 -256 -256 -0 -0 -0 -1 -0x3813 -0x766d418e -256 -256 -1 -0 -0 -4 -0x8d3 -0xe9b78a14 -256 -256 -1 -0 -0 -4 -0xa92d -0xa9a86f59 -256 -256 -1 -0 -0 -3 -0x57fd -0x252845bf -256 -256 -1 -0 -0 -4 -0x322a -0x681be552 -256 -256 -1 -0 -0 -4 -0xb1c0 -0x526a3f00 -256 -256 -0 -0 -0 -1 -0x60be -0x851eaf31 -256 -256 -0 -0 -0 -2 -0x940b -0xceba4fe3 -256 -256 -0 -0 -0 -2 -0x90d0 -0x6b5299e4 -256 -256 -0 -0 -0 -2 -0x5803 -0xd57cedb6 -256 -256 -1 -0 -0 -1 -0xdd2c -0xad363c98 -256 -256 -0 -0 -0 -1 -0xfc81 -0x6f79708f -256 -256 -0 -0 -0 -2 -0xc5d5 -0x77d13ba5 -256 -256 -0 -0 -0 -2 -0x20a5 -0x12e34d8d -256 -256 -1 -0 -0 -1 -0x7b64 -0xd6b3476a -256 -256 -0 -0 -0 -2 -0x4080 -0x1524b9ed -256 -256 -0 -0 -0 -3 -0x9ca5 -0x2191aa77 -256 -256 -0 -0 -0 -1 -0xa04b -0x2b4df659 -256 -256 -0 -0 -0 -1 -0x75de -0x70c604cf -256 -256 -0 -0 -0 -3 -0x9f12 -0x3cb3eaa1 -256 -256 -1 -0 -0 -1 -0x3635 -0x1ca1b3e0 -256 -256 -0 -0 -0 -3 -0xd16f -0x73653a4 -256 -256 -1 -0 -0 -1 -0x4ce7 -0x36788c1a -256 -256 -1 -0 -0 -4 -0x9138 -0x2ed78939 -256 -256 -0 -0 -0 -4 -0x2658 -0x81d1bd3f -256 -256 -1 -0 -0 -3 -0x5769 -0xafb85691 -256 -256 -1 -0 -0 -2 -0x3009 -0xfa7073c9 -256 -256 -0 -0 -0 -4 -0x7078 -0x8150243a -256 -256 -0 -0 -0 -3 -0xc38d -0xfd1d0724 -256 -256 -0 -0 -0 -3 -0x8af7 -0x787b63e5 -256 -256 -0 -0 -0 -1 -0xcab7 -0xc927e2a6 -256 -256 -0 -0 -0 -2 -0xc2a2 -0xdb99386e -256 -256 -0 -0 -0 -3 -0xc6ff -0x55f552e1 -256 -256 -0 -0 -0 -3 -0x9c68 -0x7cd8dd7b -256 -256 -0 -0 -0 -4 -0x6531 -0x35340a70 -256 -256 -1 -0 -0 -1 -0x2d2 -0x4b3af5c8 -256 -256 -1 -0 -0 -4 -0x5f02 -0x99cc2536 -256 -256 -1 -0 -0 -4 -0x389b -0xcae4d306 -256 -256 -1 -0 -0 -4 -0x76cb -0x4056651 -256 -256 -0 -0 -0 -1 -0xc105 -0x1af3e569 -256 -256 -1 -0 -0 -2 -0x6c78 -0x1646e4bf -256 -256 -1 -0 -0 -4 -0x2f21 -0x26d27ba2 -256 -256 -0 -0 -0 -3 -0x37af -0x415908b7 -256 -256 -0 -0 -0 -2 -0xa1a4 -0xe3d4e6da -256 -256 -0 -0 -0 -3 -0x2011 -0x40b99f31 -256 -256 -1 -0 -0 -2 -0xded4 -0x6e06695d -256 -256 -1 -0 -0 -4 -0x6cda -0x8d6c0f83 -256 -256 -1 -0 -0 -4 -0x380a -0xaf8017bf -256 -256 -0 -0 -0 -2 -0x228f -0xd63c9a6 -256 -256 -1 -0 -0 -2 -0x75e5 -0x5ab3b0bc -256 -256 -0 -0 -0 -4 -0x96ee -0xe4a094c5 -256 -256 -1 -0 -0 -2 -0x8a14 -0xeb645268 -256 -256 -1 -0 -0 -3 -0xba51 -0x34e5bfad -256 -256 -0 -0 -0 -3 -0xeabb -0xdf4a4e38 -256 -256 -0 -0 -0 -3 -0x1163 -0xd9699bee -256 -256 -0 -0 -0 -1 -0x69db -0x58002154 -256 -256 -1 -0 -0 -1 -0xe745 -0x1751df52 -256 -256 -1 -0 -0 -3 -0x6679 -0xb201fa4 -256 -256 -0 -0 -0 -3 -0x68c -0x35aaa3b0 -256 -256 -0 -0 -0 -3 -0x54bf -0x581e4f2b -256 -256 -1 -0 -0 -2 -0xd48d -0x87d12c04 -256 -256 -0 -0 -0 -2 -0xbc0 -0xaaa211fc -256 -256 -1 -0 -0 -1 -0xac25 -0x5be7d426 -256 -256 -1 -0 -0 -2 -0xd843 -0x235802cf -256 -256 -1 -0 -0 -2 -0xaa7f -0xcdad4c36 -256 -256 -1 -0 -0 -2 -0xe4c2 -0x68afef67 -256 -256 -1 -0 -0 -3 -0xb94c -0x36fab1e7 -256 -256 -0 -0 -0 -1 -0x2994 -0x932fde0d -256 -256 -1 -0 -0 -1 -0x5295 -0x3f2103c7 -256 -256 -0 -0 -0 -1 -0x8b4a -0xcb96609f -256 -256 -1 -0 -0 -2 -0xb68f -0xdffc0f7e -256 -256 -0 -0 -0 -3 -0x4ca -0x8ab6c2e9 -256 -256 -1 -0 -0 -4 -0x33ce -0xde3ca3c -256 -256 -1 -0 -0 -1 -0x5a7d -0x99326049 -256 -256 -0 -0 -0 -1 -0x2f94 -0x677f38f8 -256 -256 -0 -0 -0 -3 -0xde32 -0xad790216 -256 -256 -1 -0 -0 -1 -0x34fa -0x2f16d9d8 -256 -256 -0 -0 -0 -4 -0xdebb -0x215082bf -256 -256 -0 -0 -0 -4 -0xd92 -0xc89aa267 -256 -256 -0 -0 -0 -3 -0x4aaa -0xaa622233 -256 -256 -0 -0 -0 -1 -0xf19a -0xd9e104e1 -256 -256 -0 -0 -0 -3 -0x6564 -0x805a1675 -256 -256 -1 -0 -0 -3 -0xfc4 -0xa2f0cf09 -256 -256 -1 -0 -0 -4 -0x3996 -0xa1a416ba -256 -256 -1 -0 -0 -2 -0x8eab -0x2f14fe1c -256 -256 -1 -0 -0 -3 -0xab2b -0x88fb2821 -256 -256 -1 -0 -0 -4 -0x884b -0xa1f127d -256 -256 -1 -0 -0 -4 -0x988a -0x64c4aa18 -256 -256 -0 -0 -0 -2 -0x4071 -0xd1e42f53 -256 -256 -0 -0 -0 -1 -0x3b81 -0x8db36ac7 -256 -256 -1 -0 -0 -2 -0x651e -0xe21b2973 -256 -256 -1 -0 -0 -4 -0xadbc -0xcf9cd140 -256 -256 -1 -0 -0 -1 -0x7fec -0x80de8eb4 -256 -256 -0 -0 -0 -3 -0xa187 -0x4536c0d4 -256 -256 -1 -0 -0 -3 -0x7aeb -0x703b0c4e -256 -256 -0 -0 -0 -2 -0xa91c -0x4deeda5e -256 -256 -0 -0 -0 -1 -0xa819 -0x553bd98c -256 -256 -1 -0 -0 -4 -0x43c8 -0xa64a6717 -256 -256 -1 -0 -0 -3 -0xd633 -0xe5c12af7 -256 -256 -0 -0 -0 -3 -0x6e1b -0x9ac2f1e1 -256 -256 -1 -0 -0 -1 -0x9b4e -0xf86da607 -256 -256 -0 -0 -0 -4 -0xc23d -0xf402e475 -256 -256 -1 -0 -0 -1 -0xb24a -0x92f1eceb -256 -256 -0 -0 -0 -2 -0xa862 -0x9933a949 -256 -256 -0 -0 -0 -4 -0x90b3 -0x5f4d49a6 -256 -256 -0 -0 -0 -1 -0x2dbe -0x738e4ee1 -256 -256 -0 -0 -0 -2 -0xf31f -0x74b5f467 -256 -256 -1 -0 -0 -2 -0xedaa -0x8ffbf618 -256 -256 -1 -0 -0 -2 -0xfba9 -0x82a14ef1 -256 -256 -1 -0 -0 -4 -0xc45 -0x5266cf0a -256 -256 -0 -0 -0 -1 -0xe8eb -0x61982dd3 -256 -256 -0 -0 -0 -2 -0x72d8 -0xd920914 -256 -256 -1 -0 -0 -3 -0x6522 -0xe902d56c -256 -256 -1 -0 -0 -4 -0x5f30 -0xa41fa7e5 -256 -256 -1 -0 -0 -1 -0x6576 -0x6b20bebf -256 -256 -0 -0 -0 -4 -0x385a -0xb6a74c61 -256 -256 -0 -0 -0 -1 -0x5c54 -0xd78c6e7d -256 -256 -1 -0 -0 -4 -0x7bc5 -0xd284ee49 -256 -256 -0 -0 -0 -4 -0xb09a -0x4e099680 -256 -256 -1 -0 -0 -1 -0xa9b8 -0xf6f7e691 -256 -256 -0 -0 -0 -2 -0x4685 -0x677f878b -256 -256 -0 -0 -0 -4 -0x5bdc -0x38f5e153 -256 -256 -1 -0 -0 -4 -0x7bb8 -0x15e7be7e -256 -256 -1 -0 -0 -2 -0x1e44 -0x3ea38c4a -256 -256 -1 -0 -0 -4 -0x83c5 -0x8cca7ae8 -256 -256 -1 -0 -0 -3 -0xf3d9 -0x5014f7af -256 -256 -1 -0 -0 -1 -0x7593 -0xf4766572 -256 -256 -0 -0 -0 -3 -0x5fe5 -0x69ca398a -256 -256 -1 -0 -0 -3 -0x5764 -0x8185513f -256 -256 -0 -0 -0 -3 -0x1886 -0x194d5f5c -256 -256 -1 -0 -0 -4 -0x73b8 -0x4c9365ca -256 -256 -0 -0 -0 -2 -0xa879 -0x878fc680 -256 -256 -1 -0 -0 -3 -0x3d3d -0xdcddf433 -256 -256 -1 -0 -0 -4 -0x599e -0x4f998b53 -256 -256 -0 -0 -0 -2 -0xc034 -0xf793bfb6 -256 -256 -0 -0 -0 -3 -0x52c9 -0xfc560967 -256 -256 -0 -0 -0 -2 -0xc6b8 -0x9387c1fe -256 -256 -0 -0 -0 -4 -0x3009 -0xfe333041 -256 -256 -1 -0 -0 -4 -0x38fe -0xf2d51276 -256 -256 -0 -0 -0 -2 -0x31a4 -0x10fc4f40 -256 -256 -1 -0 -0 -3 -0x9ef -0xb4187f0e -256 -256 -0 -0 -0 -3 -0x458b -0x4241b119 -256 -256 -1 -0 -0 -3 -0x5a0c -0x12a39c40 -256 -256 -1 -0 -0 -2 -0x683e -0x8f3b6d01 -256 -256 -1 -0 -0 -1 -0x431b -0x439c2b2c -256 -256 -1 -0 -0 -1 -0xc2eb -0x574079d0 -256 -256 -1 -0 -0 -1 -0x7dca -0x5d750c8f -256 -256 -1 -0 -0 -3 -0x724f -0xe467a8e0 -256 -256 -1 -0 -0 -1 -0xf3b8 -0x619275ea -256 -256 -0 -0 -0 -1 -0xb08b -0x6f628611 -256 -256 -0 -0 -0 -3 -0x976 -0xee9033d2 -256 -256 -1 -0 -0 -3 -0x720c -0x4e3ea903 -256 -256 -0 -0 -0 -4 -0x6818 -0x5f7a9215 -256 -256 -1 -0 -0 -1 -0x10ea -0xf5ce42e4 -256 -256 -0 -0 -0 -1 -0xa496 -0x3ed9767f -256 -256 -1 -0 -0 -1 -0xda16 -0xe5939821 -256 -256 -0 -0 -0 -2 -0x6e2b -0x6a67afd1 -256 -256 -0 -0 -0 -4 -0x9f1c -0x37bc1fca -256 -256 -1 -0 -0 -2 -0x8d26 -0xb1a2ea83 -256 -256 -0 -0 -0 -2 -0x672e -0x6372708 -256 -256 -0 -0 -0 -4 -0xc4af -0x2af91448 -256 -256 -0 -0 -0 -4 -0x63a -0x308753a -256 -256 -1 -0 -0 -4 -0xf979 -0xef2fee38 -256 -256 -1 -0 -0 -1 -0x7118 -0x68344679 -256 -256 -0 -0 -0 -4 -0x475d -0x702d5df4 -256 -256 -1 -0 -0 -1 -0x2400 -0x7781b8f8 -256 -256 -0 -0 -0 -3 -0x2c97 -0xabf4be20 -256 -256 -0 -0 -0 -4 -0xb525 -0x146b0387 -256 -256 -0 -0 -0 -4 -0xd159 -0x6b0250a2 -256 -256 -1 -0 -0 -4 -0xb4d6 -0xa3b1d4e4 -256 -256 -0 -0 -0 -1 -0x78d -0x42c09b1a -256 -256 -1 -0 -0 -4 -0xd4e0 -0xc902753d -256 -256 -1 -0 -0 -1 -0x5ea0 -0x9263628a -256 -256 -1 -0 -0 -3 -0x39b6 -0xb1e5984 -256 -256 -1 -0 -0 -3 -0x6668 -0xafaf4f0a -256 -256 -0 -0 -0 -1 -0xef15 -0xf617a0c2 -256 -256 -1 -0 -0 -4 -0x5f05 -0x38a05d89 -256 -256 -1 -0 -0 -2 -0x948a -0xd43d087f -256 -256 -1 -0 -0 -1 -0x2f2c -0x16833c61 -256 -256 -0 -0 -0 -4 -0x9d5a -0x42498343 -256 -256 -0 -0 -0 -4 -0xe6b1 -0x3845315e -256 -256 -1 -0 -0 -1 -0x1706 -0x8dbcf455 -256 -256 -0 -0 -0 -4 -0xdca0 -0x78941ba -256 -256 -0 -0 -0 -3 -0x63d1 -0x6fcbfc90 -256 -256 -0 -0 -0 -4 -0x17a0 -0xd2330ba5 -256 -256 -0 -0 -0 -1 -0xa031 -0x22fba856 -256 -256 -1 -0 -0 -3 -0xc0af -0x3f0d1e05 -256 -256 -0 -0 -0 -1 -0x3cf8 -0xd5e701f9 -256 -256 -1 -0 -0 -1 -0x64a1 -0xe19f7274 -256 -256 -0 -0 -0 -1 -0xf7ca -0x3e4f4ed1 -256 -256 -1 -0 -0 -3 -0x7989 -0x62c4cee8 -256 -256 -0 -0 -0 -3 -0xa999 -0x4c7ad784 -256 -256 -0 -0 -0 -1 -0xa1d7 -0x3f8f83cc -256 -256 -1 -0 -0 -4 -0xb122 -0xa8611116 -256 -256 -0 -0 -0 -2 -0xf1f3 -0xf53fafa4 -256 -256 -1 -0 -0 -1 -0x591c -0xf7f5619d -256 -256 -1 -0 -0 -3 -0x4fee -0xed10df56 -256 -256 -0 -0 -0 -4 -0x99d7 -0x50e1ac04 -256 -256 -1 -0 -0 -1 -0xae86 -0x516542ad -256 -256 -0 -0 -0 -3 -0x7075 -0x10e95a6b -256 -256 -0 -0 -0 -2 -0x3d16 -0x2a3eb19d -256 -256 -1 -0 -0 -4 -0xbd0d -0xb2c00d58 -256 -256 -0 -0 -0 -1 -0x6 -0x789a4b50 -256 -256 -1 -0 -0 -2 -0x2c25 -0x430a6698 -256 -256 -1 -0 -0 -1 -0xdfda -0x89b3a000 -256 -256 -0 -0 -0 -1 -0xcb79 -0x96dbeeda -256 -256 -1 -0 -0 -3 -0x441e -0x2fb5734a -256 -256 -1 -0 -0 -2 -0xb0f7 -0x6bcfee9d -256 -256 -0 -0 -0 -2 -0xc645 -0xded1fe06 -256 -256 -0 -0 -0 -2 -0x11c7 -0xeda1cbc6 -256 -256 -0 -0 -0 -2 -0x6916 -0x8fdf461 -256 -256 -1 -0 -0 -3 -0x82a1 -0x7e78a040 -256 -256 -1 -0 -0 -4 -0x459e -0x37acfbdb -256 -256 -1 -0 -0 -4 -0x23b5 -0x83da5760 -256 -256 -1 -0 -0 -4 -0xfab4 -0x92de2240 -256 -256 -0 -0 -0 -1 -0x69d1 -0x17bb24d7 -256 -256 -0 -0 -0 -1 -0x3322 -0xabeb4bf9 -256 -256 -1 -0 -0 -2 -0xcb21 -0x17710b9 -256 -256 -1 -0 -0 -4 -0x4dea -0xb809ac0a -256 -256 -0 -0 -0 -2 -0x5f4 -0x3fd78df -256 -256 -0 -0 -0 -4 -0xf21 -0x6cea31fc -256 -256 -0 -0 -0 -3 -0x727c -0xdaf4e76f -256 -256 -1 -0 -0 -4 -0x7a1b -0xdb6fb80c -256 -256 -0 -0 -0 -4 -0x470a -0xf226fb21 -256 -256 -1 -0 -0 -3 -0xfe1c -0x5c0f0f04 -256 -256 -0 -0 -0 -2 -0xc0c9 -0x87e3a3f8 -256 -256 -0 -0 -0 -2 -0x137f -0x50bd8165 -256 -256 -1 -0 -0 -3 -0x424f -0xf35abf11 -256 -256 -1 -0 -0 -2 -0x33b8 -0xb5024c18 -256 -256 -0 -0 -0 -1 -0x3e48 -0xc50b6136 -256 -256 -1 -0 -0 -4 -0x1ca5 -0xe5ee6ef9 -256 -256 -1 -0 -0 -4 -0xea7c -0x2497f012 -256 -256 -1 -0 -0 -4 -0x7776 -0x79c387f7 -256 -256 -1 -0 -0 -4 -0x3341 -0xf5b7531a -256 -256 -1 -0 -0 -1 -0xcc8c -0xc795400e -256 -256 -1 -0 -0 -1 -0xfd4f -0x8dc15f00 -256 -256 -0 -0 -0 -2 -0xc6a9 -0xb71a8e2e -256 -256 -1 -0 -0 -2 -0x2979 -0xf15bdd7a -256 -256 -0 -0 -0 -4 -0x906 -0xb965ba7d -256 -256 -0 -0 -0 -4 -0x198 -0xcb144ed6 -256 -256 -0 -0 -0 -1 -0xf9da -0x8405bc27 -256 -256 -1 -0 -0 -4 -0x7a52 -0xb136d362 -256 -256 -1 -0 -0 -1 -0xe33e -0xc45ea6a9 -256 -256 -0 -0 -0 -1 -0x17eb -0x4788928 -256 -256 -1 -0 -0 -4 -0xb2b6 -0x4af9a9d0 -256 -256 -1 -0 -0 -4 -0xadf4 -0x10ba3aca -256 -256 -1 -0 -0 -2 -0x6a1c -0x847a42b5 -256 -256 -1 -0 -0 -2 -0x423b -0xdaa4725 -256 -256 -0 -0 -0 -3 -0x60be -0xa7f8a30e -256 -256 -0 -0 -0 -2 -0xb68e -0xe49dfd2c -256 -256 -1 -0 -0 -1 -0x73ff -0x7731d2d9 -256 -256 -0 -0 -0 -3 -0xc5a7 -0xf148b37a -256 -256 -0 -0 -0 -3 -0x1590 -0x40bedeab -256 -256 -1 -0 -0 -4 -0x8ce1 -0xd57bffd0 -256 -256 -1 -0 -0 -4 -0x4792 -0x37b9c19c -256 -256 -0 -0 -0 -1 -0xaefe -0xd5f3c52e -256 -256 -1 -0 -0 -3 -0xdafa -0xeb98b5b5 -256 -256 -1 -0 -0 -3 -0x55e -0x4471796b -256 -256 -1 -0 -0 -1 -0xdbfe -0x19343be0 -256 -256 -0 -0 -0 -4 -0xaf59 -0x1f284acc -256 -256 -0 -0 -0 -1 -0x703c -0xc876b105 -256 -256 -0 -0 -0 -2 -0x5590 -0xaa3c430 -256 -256 -1 -0 -0 -4 -0xfe05 -0xc531b0a0 -256 -256 -1 -0 -0 -2 -0x12ca -0xf6da519f -256 -256 -0 -0 -0 -4 -0xdff5 -0xcee2a8d8 -256 -256 -1 -0 -0 -1 -0xd54c -0xa6ed383d -256 -256 -0 -0 -0 -2 -0x3ba -0xb752f64a -256 -256 -0 -0 -0 -3 -0x5daf -0x6ac3bd4f -256 -256 -0 -0 -0 -3 -0xe8d7 -0xcebd891e -256 -256 -0 -0 -0 -4 -0xf957 -0xace77cdd -256 -256 -1 -0 -0 -3 -0x96f8 -0xcaed62bc -256 -256 -0 -0 -0 -2 -0xde00 -0x3774a418 -256 -256 -0 -0 -0 -4 -0x288e -0x31e0428a -256 -256 -1 -0 -0 -4 -0xee2e -0xfa4ebfbf -256 -256 -1 -0 -0 -2 -0x1c36 -0x7664e6f1 -256 -256 -1 -0 -0 -3 -0x243f -0xc372db15 -256 -256 -0 -0 -0 -1 -0x8a23 -0xb8447bef -256 -256 -0 -0 -0 -1 -0x15da -0x91abab64 -256 -256 -0 -0 -0 -4 -0xfcb8 -0xfdc0cf5e -256 -256 -1 -0 -0 -4 -0xf1bf -0x9ebb1883 -256 -256 -1 -0 -0 -1 -0xa689 -0x9a1afec9 -256 -256 -0 -0 -0 -1 -0xe352 -0x468eaed8 -256 -256 -1 -0 -0 -1 -0x4468 -0x90c22d81 -256 -256 -0 -0 -0 -2 -0xc1ef -0x23905684 -256 -256 -1 -0 -0 -2 -0x1721 -0x36322444 -256 -256 -0 -0 -0 -4 -0x7fbb -0xbb2dd0c5 -256 -256 -1 -0 -0 -4 -0xadf7 -0x9514dace -256 -256 -1 -0 -0 -1 -0x1699 -0x843bad0b -256 -256 -1 -0 -0 -1 -0xfedd -0x2d485805 -256 -256 -1 -0 -0 -2 -0x6e52 -0x761f1c51 -256 -256 -0 -0 -0 -1 -0xc7e2 -0x889e6583 -256 -256 -1 -0 -0 -3 -0xaa1b -0xdb7c55ae -256 -256 -1 -0 -0 -1 -0xbcb1 -0xd6ac7e8f -256 -256 -1 -0 -0 -2 -0x20b7 -0x71de13fb -256 -256 -1 -0 -0 -1 -0x112f -0xd176651c -256 -256 -1 -0 -0 -3 -0xabec -0x2197fd8e -256 -256 -1 -0 -0 -4 -0xcc0 -0xf129791e -256 -256 -0 -0 -0 -2 -0x8731 -0xcddd475 -256 -256 -0 -0 -0 -3 -0x79e8 -0xbfdd7b21 -256 -256 -0 -0 -0 -4 -0xb3b3 -0x2e879328 -256 -256 -1 -0 -0 -1 -0xa4c0 -0xdac64a90 -256 -256 -1 -0 -0 -3 -0xe370 -0x393436d5 -256 -256 -1 -0 -0 -4 -0x7834 -0x3bbc62dd -256 -256 -0 -0 -0 -2 -0x37f7 -0x993bb0f5 -256 -256 -1 -0 -0 -3 -0x537e -0xce3d1ba0 -256 -256 -0 -0 -0 -3 -0x28c3 -0xede95130 -256 -256 -1 -0 -0 -2 -0x3ff -0x620ee158 -256 -256 -0 -0 -0 -1 -0x8e85 -0xed485b25 -256 -256 -1 -0 -0 -3 -0x862e -0x7f3328dd -256 -256 -0 -0 -0 -1 -0x8a6f -0xe588c2de -256 -256 -1 -0 -0 -3 -0xf2bb -0xa40fb848 -256 -256 -1 -0 -0 -2 -0xc3b5 -0xed63a0 -256 -256 -1 -0 -0 -4 -0xfa2f -0xd1945ec9 -256 -256 -1 -0 -0 -1 -0xee46 -0xfe69adc2 -256 -256 -1 -0 -0 -1 -0x59eb -0xfcaeec14 -256 -256 -0 -0 -0 -3 -0x2da0 -0xf09b0c5 -256 -256 -0 -0 -0 -1 -0xe1f2 -0x123b12b5 -256 -256 -1 -0 -0 -2 -0x9158 -0x3ea2652c -256 -256 -0 -0 -0 -1 -0xdf49 -0x32281d5b -256 -256 -0 -0 -0 -4 -0xbe2e -0xf2453bce -256 -256 -0 -0 -0 -2 -0xa353 -0x3efe04da -256 -256 -0 -0 -0 -4 -0x2dd6 -0xf6fce499 -256 -256 -0 -0 -0 -1 -0x6a24 -0xbbe8f3a7 -256 -256 -1 -0 -0 -1 -0x7f72 -0xb211c052 -256 -256 -0 -0 -0 -2 -0xd868 -0x59177a0b -256 -256 -1 -0 -0 -2 -0x9bab -0x23cd0998 -256 -256 -0 -0 -0 -3 -0x2f88 -0x42b4ca48 -256 -256 -1 -0 -0 -1 -0xd0b1 -0x44f03c13 -256 -256 -0 -0 -0 -1 -0xde8b -0x925544c7 -256 -256 -0 -0 -0 -1 -0xdba0 -0x3bda3686 -256 -256 -1 -0 -0 -3 -0x4e57 -0x6d5f6398 -256 -256 -1 -0 -0 -1 -0x4098 -0xa22b415 -256 -256 -1 -0 -0 -3 -0xe324 -0xd9e577ff -256 -256 -1 -0 -0 -1 -0x6301 -0xe01466d7 -256 -256 -1 -0 -0 -1 -0x99b6 -0x250ecc60 -256 -256 -0 -0 -0 -3 -0x1476 -0x4006bda -256 -256 -0 -0 -0 -4 -0x8e2c -0xacba0547 -256 -256 -1 -0 -0 -3 -0x1a79 -0xbc3d42d9 -256 -256 -0 -0 -0 -1 -0x1dd8 -0xc23ed504 -256 -256 -1 -0 -0 -1 -0xf78d -0x23a4fd8f -256 -256 -0 -0 -0 -1 -0xa667 -0xb99dcdba -256 -256 -1 -0 -0 -2 -0x6f9c -0x62a28a06 -256 -256 -0 -0 -0 -3 -0x2cbc -0x2c758f99 -256 -256 -1 -0 -0 -1 -0x4e3 -0xcfd7d976 -256 -256 -1 -0 -0 -3 -0x4fc -0x30d4881 -256 -256 -0 -0 -0 -4 -0x904 -0xe92271c0 -256 -256 -0 -0 -0 -3 -0x7cd4 -0xc210fcf1 -256 -256 -0 -0 -0 -4 -0x2497 -0x5abef07b -256 -256 -1 -0 -0 -1 -0x94d1 -0xc3c97619 -256 -256 -0 -0 -0 -3 -0x982a -0x5e3251b -256 -256 -0 -0 -0 -2 -0x1290 -0xd94724b4 -256 -256 -0 -0 -0 -2 -0x5682 -0x35f4cf33 -256 -256 -1 -0 -0 -1 -0x9084 -0x3831b246 -256 -256 -0 -0 -0 -2 -0xda0e -0xf56d2aa9 -256 -256 -0 -0 -0 -2 -0xffa1 -0x9df0aac8 -256 -256 -0 -0 -0 -3 -0x1e92 -0x4712ce8 -256 -256 -1 -0 -0 -3 -0x1c63 -0x76a74f1d -256 -256 -0 -0 -0 -1 -0x6357 -0x63a7f765 -256 -256 -1 -0 -0 -3 -0xba4f -0x7576ac7c -256 -256 -1 -0 -0 -1 -0xe9cc -0xa425526e -256 -256 -0 -0 -0 -2 -0x9386 -0x235139d7 -256 -256 -0 -0 -0 -1 -0x4e07 -0x9deea0c9 -256 -256 -1 -0 -0 -2 -0xd162 -0xedd28b5a -256 -256 -1 -0 -0 -3 -0x9eda -0x8831a974 -256 -256 -0 -0 -0 -2 -0xc7ce -0x56349436 -256 -256 -0 -0 -0 -2 -0xd514 -0xb16beb48 -256 -256 -1 -0 -0 -1 -0xdd78 -0x6747c1bf -256 -256 -0 -0 -0 -2 -0x995e -0x18887f00 -256 -256 -1 -0 -0 -4 -0xeff1 -0x88574c38 -256 -256 -0 -0 -0 -1 -0x40cd -0xa77125f6 -256 -256 -0 -0 -0 -1 -0x64cf -0x7342fc1d -256 -256 -0 -0 -0 -4 -0xad97 -0x99390475 -256 -256 -1 -0 -0 -2 -0xebac -0x993587 -256 -256 -0 -0 -0 -2 -0x8c16 -0x6ed0448f -256 -256 -1 -0 -0 -3 -0xf66f -0x672fbafb -256 -256 -1 -0 -0 -4 -0xb548 -0x5749fc72 -256 -256 -1 -0 -0 -4 -0xc40f -0xe30a1054 -256 -256 -1 -0 -0 -3 -0xaf89 -0xc89501ac -256 -256 -1 -0 -0 -2 -0xe8bd -0xcbf752ab -256 -256 -0 -0 -0 -4 -0x57f9 -0xfb2d23b6 -256 -256 -0 -0 -0 -4 -0x97aa -0xcbc2e2fc -256 -256 -0 -0 -0 -2 -0xa2c9 -0xae883f5 -256 -256 -0 -0 -0 -3 -0xbe91 -0x8a39b444 -256 -256 -1 -0 -0 -2 -0xd44b -0x2ec103b9 -256 -256 -1 -0 -0 -4 -0xc431 -0xdd65ca01 -256 -256 -1 -0 -0 -2 -0x7f25 -0x10baac2c -256 -256 -0 -0 -0 -3 -0x95 -0x41111ff0 -256 -256 -0 -0 -0 -1 -0x1768 -0xbc32aa76 -256 -256 -1 -0 -0 -3 -0x7d6e -0x2fb32a15 -256 -256 -0 -0 -0 -3 -0x635c -0x5f7f0a1b -256 -256 -0 -0 -0 -3 -0xb05e -0xc4761db1 -256 -256 -1 -0 -0 -3 -0x50a4 -0xfb505d5f -256 -256 -0 -0 -0 -3 -0xeda9 -0x2508e569 -256 -256 -0 -0 -0 -4 -0xc4c5 -0x1b1684d0 -256 -256 -1 -0 -0 -2 -0x289 -0x5d409619 -256 -256 -0 -0 -0 -1 -0xebbc -0x34cee40d -256 -256 -1 -0 -0 -4 -0xe0b9 -0x77f1412f -256 -256 -0 -0 -0 -4 -0x632d -0xec802af6 -256 -256 -1 -0 -0 -4 -0x71f2 -0xc505096c -256 -256 -0 -0 -0 -1 -0x774d -0xffa8137a -256 -256 -0 -0 -0 -4 -0x4e99 -0x37548ed2 -256 -256 -0 -0 -0 -4 -0x7901 -0x123446c -256 -256 -0 -0 -0 -1 -0xc06f -0x32204373 -256 -256 -1 -0 -0 -2 -0xf5f1 -0x155ba67c -256 -256 -0 -0 -0 -4 -0x1eb -0x8934cdf1 -256 -256 -0 -0 -0 -3 -0x470b -0xef6e72ef -256 -256 -1 -0 -0 -1 -0x54b6 -0x3c80e5ec -256 -256 -0 -0 -0 -4 -0xce3 -0xf35a1d65 -256 -256 -0 -0 -0 -4 -0xf2f1 -0x962f7bf0 -256 -256 -1 -0 -0 -4 -0xb378 -0xaba26107 -256 -256 -1 -0 -0 -3 -0xf90e -0xaa023d65 -256 -256 -0 -0 -0 -3 -0x42a0 -0xfc92ed92 -256 -256 -0 -0 -0 -2 -0x8e22 -0xa8e140d -256 -256 -0 -0 -0 -4 -0x4d79 -0xb5f9f9bc -256 -256 -1 -0 -0 -4 -0x7579 -0x8b8bf384 -256 -256 -0 -0 -0 -4 -0xd1d7 -0x373165cd -256 -256 -1 -0 -0 -4 -0xbf12 -0x2f9d38f -256 -256 -1 -0 -0 -2 -0xc3cc -0x3dc0879c -256 -256 -1 -0 -0 -4 -0x3a1e -0x1479fccd -256 -256 -1 -0 -0 -3 -0x7f1c -0x9a421b1e -256 -256 -1 -0 -0 -4 -0x4b9b -0xf23b7ffb -256 -256 -1 -0 -0 -1 -0x1878 -0xa534fe89 -256 -256 -0 -0 -0 -3 -0x740f -0x735f981f -256 -256 -1 -0 -0 -3 -0x9517 -0xdef5de3d -256 -256 -0 -0 -0 -1 -0xc6ef -0x68ea187a -256 -256 -0 -0 -0 -4 -0x3199 -0x5ecd7cd6 -256 -256 -0 -0 -0 -1 -0xd9c0 -0x5472c45e -256 -256 -0 -0 -0 -4 -0x4875 -0xa802d400 -256 -256 -0 -0 -0 -1 -0x37e -0xe082290b -256 -256 -1 -0 -0 -4 -0x4dd7 -0x7d97a23a -256 -256 -0 -0 -0 -1 -0x4327 -0x1b91e6b9 -256 -256 -0 -0 -0 -2 -0x5340 -0xf4df92db -256 -256 -0 -0 -0 -3 -0x9dcf -0x44ce82f4 -256 -256 -0 -0 -0 -2 -0xfa0a -0xed5b25a5 -256 -256 -0 -0 -0 -1 -0xfd7f -0xb3193b0b -256 -256 -1 -0 -0 -3 -0xcdad -0x5aec6f52 -256 -256 -0 -0 -0 -4 -0x8d38 -0x6623274e -256 -256 -0 -0 -0 -4 -0xf3e9 -0x4dbf82af -256 -256 -1 -0 -0 -3 -0xffb6 -0xafcc30f5 -256 -256 -0 -0 -0 -2 -0xb0e9 -0xf74b4e4 -256 -256 -1 -0 -0 -2 -0x82e -0x63f5ca0e -256 -256 -0 -0 -0 -4 -0x61b4 -0x9d2ed63c -256 -256 -1 -0 -0 -4 -0x47ce -0x8942f982 -256 -256 -1 -0 -0 -2 -0x8d7f -0x4ab1ee8b -256 -256 -1 -0 -0 -4 -0x1f90 -0x36ba27a1 -256 -256 -1 -0 -0 -4 -0x532f -0x305d0b89 -256 -256 -1 -0 -0 -1 -0x2019 -0x5097120c -256 -256 -0 -0 -0 -1 -0x9a61 -0xe61514f3 -256 -256 -1 -0 -0 -2 -0x7302 -0xc7b3b9ae -256 -256 -1 -0 -0 -4 -0xda3c -0xbd73e0dc -256 -256 -0 -0 -0 -4 -0x51e8 -0x154d32c2 -256 -256 -1 -0 -0 -1 -0x26b6 -0x3b74a0dc -256 -256 -0 -0 -0 -2 -0xfa6b -0xa8f7e54d -256 -256 -0 -0 -0 -4 -0x4877 -0x8e80335b -256 -256 -1 -0 -0 -3 -0x945 -0x30dba729 -256 -256 -0 -0 -0 -3 -0x82f6 -0xea380d94 -256 -256 -1 -0 -0 -4 -0x4dd8 -0xfc48700 -256 -256 -0 -0 -0 -1 -0xc0b0 -0x346a84c0 -256 -256 -0 -0 -0 -3 -0x3332 -0x30615970 -256 -256 -1 -0 -0 -1 -0x4a96 -0xb24179a8 -256 -256 -1 -0 -0 -4 -0xf2ce -0x91f1ec15 -256 -256 -0 -0 -0 -4 -0x3ff1 -0xd98983b0 -256 -256 -1 -0 -0 -1 -0x5650 -0x66a31086 -256 -256 -0 -0 -0 -4 -0x7f3f -0xafd1f7e7 -256 -256 -0 -0 -0 -3 -0xc50a -0x127ecfcc -256 -256 -0 -0 -0 -2 -0x5bc4 -0x979d2cb -256 -256 -1 -0 -0 -2 -0x93a -0x5001ba61 -256 -256 -0 -0 -0 -2 -0xe2a -0xe4e435bb -256 -256 -0 -0 -0 -2 -0x5bb9 -0xa9f8bcdc -256 -256 -1 -0 -0 -4 -0x80f1 -0x3bde74d7 -256 -256 -1 -0 -0 -3 -0x3299 -0xd623af0c -256 -256 -1 -0 -0 -2 -0xa510 -0x9386c54f -256 -256 -1 -0 -0 -2 -0xe90c -0xb03246e -256 -256 -1 -0 -0 -4 -0xf9e3 -0xac2d95b2 -256 -256 -0 -0 -0 -4 -0xe8ab -0x783cffb -256 -256 -0 -0 -0 -2 -0x504d -0x4b364e00 -256 -256 -0 -0 -0 -4 -0xc3e5 -0x1188d90 -256 -256 -0 -0 -0 -2 -0xa983 -0x6eed5b2d -256 -256 -1 -0 -0 -4 -0x1901 -0x1b6c3351 -256 -256 -0 -0 -0 -2 -0xa65e -0x98011269 -256 -256 -1 -0 -0 -4 -0xd756 -0x12a62ec3 -256 -256 -1 -0 -0 -2 -0xe667 -0xa7ad44a3 -256 -256 -1 -0 -0 -3 -0x6f23 -0xf3b4bd0b -256 -256 -0 -0 -0 -1 -0x4259 -0xd0de9b3 -256 -256 -1 -0 -0 -3 -0x9f1a -0xa0183bed -256 -256 -0 -0 -0 -2 -0x4d11 -0x8e004f7c -256 -256 -1 -0 -0 -2 -0x4849 -0xc8a97c9c -256 -256 -0 -0 -0 -3 -0x7c7a -0x76860dd8 -256 -256 -0 -0 -0 -2 -0xdf9b -0xab421901 -256 -256 -0 -0 -0 -1 -0x4420 -0xbc877c1c -256 -256 -0 -0 -0 -3 -0x29de -0xcb04ed41 -256 -256 -0 -0 -0 -3 -0x6399 -0x53a9c83 -256 -256 -1 -0 -0 -2 -0x6a32 -0xa813a7c9 -256 -256 -0 -0 -0 -1 -0x3a5d -0xcff89332 -256 -256 -0 -0 -0 -3 -0x3e29 -0xc2000a1b -256 -256 -0 -0 -0 -3 -0xdf86 -0x86f5a0cb -256 -256 -1 -0 -0 -2 -0xaecc -0xe0326d7e -256 -256 -0 -0 -0 -4 -0x600b -0x1ca33038 -256 -256 -0 -0 -0 -1 -0x32cd -0xdf029930 -256 -256 -1 -0 -0 -3 -0x31b2 -0x69310622 -256 -256 -0 -0 -0 -3 -0x726d -0xc6bbb006 -256 -256 -1 -0 -0 -4 -0x5263 -0x1a9b2e08 -256 -256 -1 -0 -0 -4 -0x6ab7 -0x379c3406 -256 -256 -0 -0 -0 -4 -0x5cda -0x1904ac02 -256 -256 -0 -0 -0 -1 -0x28da -0x6be902da -256 -256 -0 -0 -0 -4 -0x86e9 -0x45aeede4 -256 -256 -0 -0 -0 -2 -0x233c -0x4e271982 -256 -256 -0 -0 -0 -3 -0x4ce7 -0x2180bf9 -256 -256 -0 -0 -0 -4 -0x2078 -0xa5379edb -256 -256 -0 -0 -0 -3 -0x654b -0x487e9856 -256 -256 -0 -0 -0 -4 -0x3de4 -0xac2bc196 -256 -256 -0 -0 -0 -2 -0x858f -0xe0229424 -256 -256 -1 -0 -0 -1 -0xe8c -0xd140f2de -256 -256 -0 -0 -0 -2 -0x6c9 -0x5239cab6 -256 -256 -0 -0 -0 -1 -0x595a -0x2c8989b3 -256 -256 -1 -0 -0 -2 -0x55d8 -0xd84eda05 -256 -256 -0 -0 -0 -3 -0x5bad -0x298ba798 -256 -256 -0 -0 -0 -2 -0x41b8 -0xee2babfd -256 -256 -0 -0 -0 -1 -0x6af2 -0x8fd05217 -256 -256 -0 -0 -0 -1 -0x4cbb -0xcd44fb53 -256 -256 -1 -0 -0 -3 -0x4419 -0xa498f42f -256 -256 -0 -0 -0 -4 -0xb424 -0x2e5dbcdf -256 -256 -1 -0 -0 -3 -0xce7b -0xef7f227d -256 -256 -1 -0 -0 -2 -0xea73 -0xd168cff6 -256 -256 -0 -0 -0 -1 -0xbfe1 -0x3d7e979d -256 -256 -1 -0 -0 -1 -0xb078 -0x613b597c -256 -256 -0 -0 -0 -4 -0x7132 -0x3c5cab1 -256 -256 -1 -0 -0 -4 -0x4f19 -0x3bd667b3 -256 -256 -1 -0 -0 -2 -0xecef -0x288d9237 -256 -256 -1 -0 -0 -2 -0xfa15 -0x9688ba00 -256 -256 -1 -0 -0 -3 -0x221c -0xad23c24e -256 -256 -1 -0 -0 -2 -0xf7cf -0x6cfcac0a -256 -256 -0 -0 -0 -2 -0xc20 -0xed808093 -256 -256 -0 -0 -0 -4 -0x6f1c -0xd1d9ea67 -256 -256 -1 -0 -0 -4 -0x81f1 -0xeead3cf5 -256 -256 -1 -0 -0 -1 -0x4ce -0x67c39714 -256 -256 -1 -0 -0 -3 -0x12c2 -0x77ad40ac -256 -256 -1 -0 -0 -3 -0x8de9 -0x7c62704 -256 -256 -1 -0 -0 -1 -0xf58d -0x5960a268 -256 -256 -0 -0 -0 -3 -0xc721 -0xd3b7fe5a -256 -256 -0 -0 -0 -1 -0xed30 -0x3f9c24b5 -256 -256 -1 -0 -0 -3 -0x3a4f -0x58352431 -256 -256 -0 -0 -0 -1 -0x9ad3 -0x552f7a5d -256 -256 -0 -0 -0 -2 -0xd272 -0xccc2fe0a -256 -256 -0 -0 -0 -3 -0x9593 -0xef889c1d -256 -256 -0 -0 -0 -4 -0x283f -0xc2a53e0b -256 -256 -0 -0 -0 -2 -0x992 -0x1620beea -256 -256 -0 -0 -0 -4 -0x2a6f -0xc2eb9994 -256 -256 -1 -0 -0 -3 -0xf0fc -0x83876847 -256 -256 -0 -0 -0 -2 -0x21 -0xdff66ae8 -256 -256 -1 -0 -0 -4 -0x275c -0x677d8991 -256 -256 -1 -0 -0 -3 -0x8956 -0x427aef1 -256 -256 -1 -0 -0 -1 -0x27e1 -0x5d1130da -256 -256 -1 -0 -0 -2 -0x721f -0xa6b48337 -256 -256 -1 -0 -0 -2 -0x8e9a -0x80d276c2 -256 -256 -0 -0 -0 -3 -0x307a -0x56b8b179 -256 -256 -1 -0 -0 -3 -0xbe07 -0xd4c31ff6 -256 -256 -1 -0 -0 -4 -0x22c1 -0xf2c439a4 -256 -256 -1 -0 -0 -3 -0x7afa -0x5fb62719 -256 -256 -0 -0 -0 -1 -0x5600 -0x590ed99f -256 -256 -1 -0 -0 -2 -0x1d0c -0x428c0edb -256 -256 -1 -0 -0 -2 -0x578a -0xffdbebc3 -256 -256 -0 -0 -0 -2 -0x1e69 -0x6e68bef3 -256 -256 -0 -0 -0 -2 -0x5c27 -0x1ced4e3d -256 -256 -0 -0 -0 -2 -0x8f0e -0xcf82b98f -256 -256 -0 -0 -0 -3 -0x650c -0xed304747 -256 -256 -0 -0 -0 -2 -0x152f -0x778e1241 -256 -256 -0 -0 -0 -3 -0x5446 -0xa855cb63 -256 -256 -1 -0 -0 -3 -0x9a8 -0xfb232fa1 -256 -256 -0 -0 -0 -3 -0xc121 -0xdc605b2e -256 -256 -0 -0 -0 -3 -0x12e8 -0x60d6594c -256 -256 -1 -0 -0 -2 -0x6166 -0xf5c52f7 -256 -256 -1 -0 -0 -3 -0x76bc -0x44d547f2 -256 -256 -1 -0 -0 -4 -0x136f -0xbc62ed36 -256 -256 -1 -0 -0 -3 -0x7b0c -0xf9142097 -256 -256 -0 -0 -0 -1 -0xe530 -0xe3cdc781 -256 -256 -1 -0 -0 -1 -0xd64a -0xae136d0 -256 -256 -1 -0 -0 -2 -0x959 -0x830896cd -256 -256 -1 -0 -0 -3 -0x3a1a -0x6eab550e -256 -256 -0 -0 -0 -1 -0xcbc9 -0xc182a50 -256 -256 -1 -0 -0 -1 -0x8dfd -0xf8e9c9ed -256 -256 -1 -0 -0 -4 -0xc50a -0x18bfe5c4 -256 -256 -0 -0 -0 -1 -0x1f00 -0x779064a4 -256 -256 -0 -0 -0 -3 -0x962 -0x69751399 -256 -256 -0 -0 -0 -3 -0xc532 -0xe2273c1a -256 -256 -1 -0 -0 -4 -0xd255 -0x7dea4157 -256 -256 -1 -0 -0 -3 -0xc981 -0xecdb9a93 -256 -256 -0 -0 -0 -4 -0xcceb -0xac81d0a4 -256 -256 -1 -0 -0 -2 -0x6b1e -0x1cb77ea5 -256 -256 -0 -0 -0 -3 -0xd839 -0xea684b98 -256 -256 -0 -0 -0 -4 -0x3af2 -0xdd81af19 -256 -256 -0 -0 -0 -3 -0x21d -0x46090553 -256 -256 -0 -0 -0 -4 -0xed4d -0x3c9d2bb -256 -256 -1 -0 -0 -4 -0xb6a -0x7fdf7770 -256 -256 -1 -0 -0 -3 -0xc1be -0xdc2cdaf3 -256 -256 -1 -0 -0 -2 -0xb02f -0x1057972c -256 -256 -1 -0 -0 -3 -0xd1dd -0xbaeaf377 -256 -256 -1 -0 -0 -4 -0x330 -0xf55521ba -256 -256 -1 -0 -0 -1 -0x7493 -0x6a24c07b -256 -256 -0 -0 -0 -1 -0x4a41 -0x223e0e46 -256 -256 -1 -0 -0 -3 -0xeb95 -0xbc3a827a -256 -256 -1 -0 -0 -2 -0xb1a0 -0xdfe938e4 -256 -256 -0 -0 -0 -2 -0xb1f3 -0xed9acb82 -256 -256 -1 -0 -0 -2 -0x60d0 -0xa9c6c02b -256 -256 -0 -0 -0 -1 -0x88a1 -0x56d390d -256 -256 -0 -0 -0 -2 -0x98bd -0xb76cf588 -256 -256 -0 -0 -0 -3 -0xf401 -0x5e2c0ffb -256 -256 -0 -0 -0 -2 -0x8157 -0x687e356c -256 -256 -0 -0 -0 -4 -0xe78b -0x236d1c28 -256 -256 -0 -0 -0 -2 -0x4d0f -0x27cfb26d -256 -256 -0 -0 -0 -3 -0x446f -0x8cb51b81 -256 -256 -1 -0 -0 -3 -0xb989 -0x654e9052 -256 -256 -0 -0 -0 -3 -0x82d -0xe56a6649 -256 -256 -0 -0 -0 -2 -0x9d53 -0x433dfdae -256 -256 -1 -0 -0 -2 -0xd6eb -0xbc5ebba3 -256 -256 -1 -0 -0 -1 -0x4439 -0xe0ecc999 -256 -256 -1 -0 -0 -1 -0xced2 -0x853ac0a5 -256 -256 -0 -0 -0 -4 -0x179d -0x827d8a01 -256 -256 -1 -0 -0 -4 -0xcade -0xd3860e86 -256 -256 -1 -0 -0 -1 -0xef09 -0xd138f354 -256 -256 -1 -0 -0 -3 -0x8a61 -0xda102e07 -256 -256 -0 -0 -0 -3 -0x8f8d -0x84dfd1d7 -256 -256 -0 -0 -0 -1 -0x7bbd -0xde69ccd9 -256 -256 -0 -0 -0 -4 -0x3308 -0x3e09e8e7 -256 -256 -0 -0 -0 -3 -0xf411 -0x7b6c670e -256 -256 -0 -0 -0 -2 -0xb867 -0x898b4f3 -256 -256 -1 -0 -0 -4 -0xb792 -0xc94798ce -256 -256 -0 -0 -0 -1 -0xc196 -0x57969b80 -256 -256 -1 -0 -0 -2 -0x8ddd -0x81e86b85 -256 -256 -0 -0 -0 -4 -0xdb2a -0x154c2e6a -256 -256 -1 -0 -0 -4 -0xcbec -0x19394b58 -256 -256 -1 -0 -0 -3 -0x7e38 -0x39119656 -256 -256 -0 -0 -0 -3 -0x8b6e -0xc8ffe598 -256 -256 -0 -0 -0 -1 -0x85e0 -0x194f9ffd -256 -256 -0 -0 -0 -2 -0x4d07 -0x7c7de213 -256 -256 -0 -0 -0 -2 -0xf20 -0x1359cb9e -256 -256 -0 -0 -0 -2 -0xf360 -0x30fd5bd4 -256 -256 -1 -0 -0 -2 -0xb258 -0xbddd1ae7 -256 -256 -0 -0 -0 -4 -0xe8e2 -0x18a815fd -256 -256 -1 -0 -0 -2 -0xe720 -0x85066b69 -256 -256 -0 -0 -0 -3 -0xa62c -0x4b446182 -256 -256 -0 -0 -0 -2 -0x1f83 -0x31d87142 -256 -256 -0 -0 -0 -4 -0xa2c0 -0xd81d47b3 -256 -256 -0 -0 -0 -4 -0x64fa -0x3b06c5ed -256 -256 -1 -0 -0 -4 -0x58b2 -0xa683b4ad -256 -256 -1 -0 -0 -3 -0x7503 -0x3cff755e -256 -256 -1 -0 -0 -4 -0xbaa1 -0xc6621ff1 -256 -256 -1 -0 -0 -1 -0xa468 -0x7c1a0e30 -256 -256 -0 -0 -0 -2 -0x1924 -0x1933986f -256 -256 -0 -0 -0 -1 -0xfdbb -0xebf7909c -256 -256 -1 -0 -0 -1 -0x1e29 -0x2796526d -256 -256 -0 -0 -0 -4 -0xb45e -0x7b38d119 -256 -256 -1 -0 -0 -4 -0x3f56 -0x1fb5f26f -256 -256 -1 -0 -0 -3 -0x1836 -0x294dafd8 -256 -256 -1 -0 -0 -3 -0xa1bd -0x6649adfa -256 -256 -0 -0 -0 -4 -0x9887 -0xc7f0b65a -256 -256 -1 -0 -0 -4 -0x8f7c -0x919cbc37 -256 -256 -0 -0 -0 -2 -0x2414 -0x44e65255 -256 -256 -1 -0 -0 -1 -0xfa96 -0x3bc058e9 -256 -256 -1 -0 -0 -2 -0x649c -0x2262f1a5 -256 -256 -0 -0 -0 -4 -0x3474 -0xf84e49c6 -256 -256 -1 -0 -0 -3 -0x300c -0xd779474b -256 -256 -1 -0 -0 -3 -0x1e28 -0x8abb019e -256 -256 -1 -0 -0 -4 -0xce38 -0x4b77552b -256 -256 -0 -0 -0 -3 -0x4ea4 -0xd54f8b64 -256 -256 -1 -0 -0 -4 -0x2320 -0x6b3086a6 -256 -256 -1 -0 -0 -3 -0xadae -0x53362db0 -256 -256 -0 -0 -0 -4 -0x742f -0x638acff4 -256 -256 -0 -0 -0 -1 -0x695 -0x38a96839 -256 -256 -1 -0 -0 -4 -0x9e2d -0xaa832013 -256 -256 -1 -0 -0 -4 -0xd69d -0x9a11f0d5 -256 -256 -0 -0 -0 -2 -0x1e8a -0xba4f285e -256 -256 -0 -0 -0 -3 -0x9395 -0x1b5af791 -256 -256 -1 -0 -0 -1 -0x2af3 -0xbcd78a0c -256 -256 -1 -0 -0 -3 -0xeb76 -0x6670da18 -256 -256 -1 -0 -0 -1 -0xfa06 -0xc52a37fc -256 -256 -1 -0 -0 -2 -0xd119 -0xab00a859 -256 -256 -0 -0 -0 -2 -0xaab7 -0xbd909890 -256 -256 -0 -0 -0 -3 -0xcdc1 -0xd8201e1d -256 -256 -1 -0 -0 -3 -0xa773 -0x9227cf17 -256 -256 -1 -0 -0 -3 -0xa259 -0xd23b30bb -256 -256 -1 -0 -0 -4 -0x4794 -0xc63cb2e0 -256 -256 -0 -0 -0 -1 -0xf1ee -0x5ece59db -256 -256 -1 -0 -0 -1 -0xe6d -0x94ce6f35 -256 -256 -1 -0 -0 -4 -0x75a4 -0x61bf454 -256 -256 -1 -0 -0 -3 -0xd377 -0xc082a8af -256 -256 -0 -0 -0 -2 -0x4750 -0x26150899 -256 -256 -0 -0 -0 -2 -0xfc25 -0xa58bc721 -256 -256 -1 -0 -0 -3 -0xe00f -0x1d3d7382 -256 -256 -1 -0 -0 -1 -0xb0cc -0xf6d5319d -256 -256 -0 -0 -0 -2 -0x62ce -0x9b95c3fb -256 -256 -0 -0 -0 -3 -0xe83a -0xd9bd501 -256 -256 -0 -0 -0 -4 -0x9091 -0x97a1d487 -256 -256 -0 -0 -0 -1 -0xebb7 -0xec779fd9 -256 -256 -0 -0 -0 -3 -0xdb7e -0x56797c35 -256 -256 -1 -0 -0 -4 -0x4125 -0x84f9eea2 -256 -256 -1 -0 -0 -2 -0x84f -0xa25115e -256 -256 -0 -0 -0 -4 -0x7ef1 -0x3a05df9f -256 -256 -1 -0 -0 -2 -0xdb84 -0xd14b8e8 -256 -256 -1 -0 -0 -2 -0xa147 -0xcc5fb503 -256 -256 -0 -0 -0 -3 -0xeab8 -0xb7e7f0f3 -256 -256 -0 -0 -0 -1 -0x23d1 -0xe141881d -256 -256 -1 -0 -0 -4 -0xed42 -0xc1ba5167 -256 -256 -0 -0 -0 -4 -0xa1ae -0x185d676c -256 -256 -1 -0 -0 -3 -0xbaea -0x1e49fbef -256 -256 -0 -0 -0 -2 -0x72fb -0x88a08c51 -256 -256 -0 -0 -0 -3 -0x7aa8 -0xafd21934 -256 -256 -1 -0 -0 -2 -0xb5f9 -0x1603d634 -256 -256 -1 -0 -0 -2 -0x5c8e -0x3888e0b4 -256 -256 -0 -0 -0 -1 -0xe9c6 -0x874ac7a8 -256 -256 -0 -0 -0 -1 -0xb017 -0x9913e265 -256 -256 -1 -0 -0 -1 -0xbd65 -0x50891074 -256 -256 -1 -0 -0 -4 -0x4443 -0x79243d98 -256 -256 -0 -0 -0 -3 -0x5e73 -0xc0ee5b75 -256 -256 -1 -0 -0 -1 -0xa62e -0xae336d52 -256 -256 -0 -0 -0 -4 -0xfa18 -0xe9a1e11d -256 -256 -1 -0 -0 -1 -0x57e7 -0x65788d44 -256 -256 -0 -0 -0 -3 -0x38f6 -0xda17ec3a -256 -256 -0 -0 -0 -1 -0xbb4e -0xf945f80d -256 -256 -1 -0 -0 -3 -0x5e72 -0x5fdce19e -256 -256 -1 -0 -0 -2 -0xf0fe -0xda71385c -256 -256 -1 -0 -0 -4 -0x6035 -0x2b28e8db -256 -256 -1 -0 -0 -3 -0xfe74 -0xa415eeb0 -256 -256 -1 -0 -0 -2 -0xd0d4 -0xb54fca36 -256 -256 -1 -0 -0 -4 -0x62b2 -0x28c7fd66 -256 -256 -1 -0 -0 -4 -0x3cf7 -0x6be7baf7 -256 -256 -1 -0 -0 -4 -0x1e15 -0x12a5bf9d -256 -256 -0 -0 -0 -2 -0x56ea -0x79f03b35 -256 -256 -1 -0 -0 -4 -0xf2b4 -0xcf027b22 -256 -256 -0 -0 -0 -3 -0x642b -0xfac25c73 -256 -256 -0 -0 -0 -2 -0x7275 -0x816f99b7 -256 -256 -1 -0 -0 -2 -0x5232 -0x16ac9666 -256 -256 -0 -0 -0 -2 -0x613f -0xcefb46d7 -256 -256 -1 -0 -0 -1 -0x140b -0x57c49f61 -256 -256 -1 -0 -0 -3 -0xb1fc -0xad9193b6 -256 -256 -0 -0 -0 -1 -0x5df9 -0x99d15a84 -256 -256 -1 -0 -0 -2 -0x57f7 -0x7c631b3f -256 -256 -1 -0 -0 -4 -0x2289 -0xf5b7f908 -256 -256 -0 -0 -0 -1 -0xbb45 -0xc1161366 -256 -256 -1 -0 -0 -1 -0xd910 -0x1a732ea1 -256 -256 -0 -0 -0 -2 -0x8a1d -0xb94e744b -256 -256 -1 -0 -0 -2 -0xab39 -0x9384d6fc -256 -256 -0 -0 -0 -3 -0xfad2 -0x48b88b1f -256 -256 -1 -0 -0 -3 -0x9dbf -0xa4a11510 -256 -256 -1 -0 -0 -3 -0xa79e -0xeb7097e8 -256 -256 -1 -0 -0 -2 -0xb2ae -0x1b5ba846 -256 -256 -0 -0 -0 -1 -0x92c -0xd7ff92e9 -256 -256 -0 -0 -0 -4 -0x9c2a -0xdad06c72 -256 -256 -0 -0 -0 -2 -0xb96 -0x4dadc737 -256 -256 -0 -0 -0 -1 -0x5222 -0x6382939a -256 -256 -1 -0 -0 -1 -0x7f82 -0x5fc217da -256 -256 -0 -0 -0 -4 -0xca7c -0xc7a8c779 -256 -256 -1 -0 -0 -4 -0x4f68 -0x47cb0097 -256 -256 -1 -0 -0 -3 -0x4633 -0x9815c91 -256 -256 -1 -0 -0 -4 -0x8371 -0x415af77b -256 -256 -1 -0 -0 -3 -0xa482 -0x23dcb554 -256 -256 -0 -0 -0 -3 -0xc414 -0x47421f0e -256 -256 -0 -0 -0 -3 -0x60f9 -0x9722d43a -256 -256 -0 -0 -0 -1 -0x60c9 -0x662d8e9a -256 -256 -0 -0 -0 -1 -0x6333 -0xfa7705ea -256 -256 -0 -0 -0 -1 -0x6f4e -0x28a6a72a -256 -256 -1 -0 -0 -4 -0xc55d -0xb7e49386 -256 -256 -1 -0 -0 -1 -0x7214 -0xecb91b95 -256 -256 -1 -0 -0 -3 -0xd9d5 -0x2879d2bf -256 -256 -0 -0 -0 -2 -0x2b3b -0x395877b1 -256 -256 -0 -0 -0 -1 -0xc2b3 -0x3c834dd9 -256 -256 -0 -0 -0 -2 -0x3b64 -0xd9bb4245 -256 -256 -0 -0 -0 -3 -0x6638 -0x9329ee16 -256 -256 -1 -0 -0 -1 -0x6146 -0xb8f8131f -256 -256 -1 -0 -0 -1 -0x33d5 -0x5ea0fd4a -256 -256 -1 -0 -0 -4 -0x808d -0x48d0b9c0 -256 -256 -0 -0 -0 -3 -0x4b13 -0xebd80bd1 -256 -256 -1 -0 -0 -3 -0xf0a7 -0x400ddc1c -256 -256 -1 -0 -0 -2 -0x4562 -0x5b4a034d -256 -256 -1 -0 -0 -1 -0x7a04 -0xcf813187 -256 -256 -0 -0 -0 -4 -0x6ab8 -0x4316264e -256 -256 -1 -0 -0 -2 -0x4c1 -0xdfdfb7df -256 -256 -1 -0 -0 -2 -0x3302 -0xb2399495 -256 -256 -1 -0 -0 -4 -0xde1 -0xa338c055 -256 -256 -1 -0 -0 -1 -0x55ed -0x83122406 -256 -256 -1 -0 -0 -1 -0x78ca -0xe56bfe56 -256 -256 -1 -0 -0 -2 -0x1ecd -0x39d27ee8 -256 -256 -0 -0 -0 -2 -0xeda1 -0x4412b1f4 -256 -256 -1 -0 -0 -2 -0x8d73 -0x4a3daa77 -256 -256 -1 -0 -0 -4 -0xc692 -0xe49c7666 -256 -256 -0 -0 -0 -2 -0xb0e2 -0xf69819b6 -256 -256 -0 -0 -0 -3 -0x4447 -0x3c4d1849 -256 -256 -1 -0 -0 -4 -0xfacf -0x361ae25a -256 -256 -0 -0 -0 -1 -0x7d55 -0x12fc61f9 -256 -256 -0 -0 -0 -4 -0x7797 -0xe46df286 -256 -256 -0 -0 -0 -1 -0xe6f8 -0x2ba752e8 -256 -256 -1 -0 -0 -1 -0xa6bb -0x9452c670 -256 -256 -1 -0 -0 -3 -0x6db5 -0xf3106e8c -256 -256 -0 -0 -0 -4 -0xe628 -0xf2d98a74 -256 -256 -1 -0 -0 -1 -0xfc5e -0x7861f282 -256 -256 -1 -0 -0 -4 -0x3345 -0x895b444c -256 -256 -0 -0 -0 -4 -0x7eb7 -0x683eb504 -256 -256 -1 -0 -0 -1 -0xf2ee -0x7dff946e -256 -256 -1 -0 -0 -1 -0x6d88 -0xc0caa5e2 -256 -256 -1 -0 -0 -4 -0xb2ca -0x756e4853 -256 -256 -1 -0 -0 -2 -0xfc37 -0x1740f3e9 -256 -256 -0 -0 -0 -3 -0x54fb -0x72fb0bf6 -256 -256 -1 -0 -0 -4 -0xb93e -0x7d21ee6b -256 -256 -0 -0 -0 -1 -0x5aaa -0xfe393b39 -256 -256 -1 -0 -0 -3 -0x1d20 -0x5c55ac4a -256 -256 -0 -0 -0 -1 -0x526c -0x5b14601f -256 -256 -0 -0 -0 -3 -0x64af -0xe6e81f80 -256 -256 -1 -0 -0 -1 -0x82d9 -0x3d0e0b72 -256 -256 -0 -0 -0 -2 -0xb40a -0xa28885f -256 -256 -0 -0 -0 -1 -0x6be7 -0x6f6e7085 -256 -256 -0 -0 -0 -3 -0x6f58 -0x1c5cb5c8 -256 -256 -1 -0 -0 -1 -0xcd39 -0x18babffa -256 -256 -0 -0 -0 -3 -0xd770 -0xf1a0dcd9 -256 -256 -0 -0 -0 -2 -0xbdd7 -0xbabaa09a -256 -256 -0 -0 -0 -4 -0x63f4 -0x28f0e528 -256 -256 -0 -0 -0 -2 -0xbe5d -0x36e9651a -256 -256 -0 -0 -0 -4 -0xac14 -0xd4cb5494 -256 -256 -0 -0 -0 -4 -0x95fe -0xd62c190f -256 -256 -1 -0 -0 -4 -0x93c8 -0x1060f0c2 -256 -256 -1 -0 -0 -3 -0xa570 -0x5cb3769e -256 -256 -1 -0 -0 -4 -0x342d -0x7f45dadd -256 -256 -1 -0 -0 -3 -0x486f -0xb7494339 -256 -256 -0 -0 -0 -4 -0x7e55 -0x7643797 -256 -256 -0 -0 -0 -1 -0xc67c -0x6a2fd586 -256 -256 -1 -0 -0 -2 -0x6130 -0x2877883c -256 -256 -1 -0 -0 -1 -0xa3d2 -0x1dfde0e2 -256 -256 -1 -0 -0 -3 -0x86f5 -0xd75852fd -256 -256 -1 -0 -0 -2 -0xd880 -0x48936d76 -256 -256 -1 -0 -0 -1 -0x27c7 -0xd79ab936 -256 -256 -0 -0 -0 -4 -0xf9f2 -0xff66694f -256 -256 -1 -0 -0 -4 -0x5320 -0xf8f81efb -256 -256 -0 -0 -0 -1 -0x3d64 -0xfc87de06 -256 -256 -1 -0 -0 -3 -0x25f -0x96e0eba1 -256 -256 -1 -0 -0 -3 -0x178e -0x67338fdd -256 -256 -0 -0 -0 -3 -0xca2b -0x7a016488 -256 -256 -1 -0 -0 -4 -0xcc22 -0x996d8d92 -256 -256 -1 -0 -0 -2 -0x5b15 -0x3c007d7e -256 -256 -0 -0 -0 -2 -0x2ca5 -0x782185cc -256 -256 -1 -0 -0 -4 -0x5448 -0x1ed411a6 -256 -256 -1 -0 -0 -4 -0x9550 -0x1b1857cd -256 -256 -0 -0 -0 -1 -0x8333 -0xab73b418 -256 -256 -1 -0 -0 -2 -0xb1e2 -0xc1ab838 -256 -256 -1 -0 -0 -2 -0xf336 -0xd871b387 -256 -256 -0 -0 -0 -3 -0x7edf -0x69ec86ee -256 -256 -1 -0 -0 -1 -0xe860 -0x9946b454 -256 -256 -0 -0 -0 -3 -0x61e1 -0x76dd75ce -256 -256 -1 -0 -0 -4 -0xc686 -0xa13eb6b7 -256 -256 -0 -0 -0 -4 -0xf087 -0xce8c3757 -256 -256 -0 -0 -0 -1 -0xa2be -0x70570990 -256 -256 -0 -0 -0 -2 -0x5d84 -0x9cc0ac3a -256 -256 -1 -0 -0 -1 -0x8c7d -0xcc3e8b56 -256 -256 -0 -0 -0 -3 -0x3cfd -0xcf5506fd -256 -256 -1 -0 -0 -4 -0xe06a -0xbb20cb6d -256 -256 -0 -0 -0 -4 -0x4a8e -0x3bc61781 -256 -256 -1 -0 -0 -3 -0x18b9 -0x65cb3837 -256 -256 -1 -0 -0 -3 -0x74a7 -0x5d37f4ad -256 -256 -1 -0 -0 -1 -0xda0a -0xba646149 -256 -256 -1 -0 -0 -1 -0x837c -0xf6d5ef67 -256 -256 -1 -0 -0 -1 -0x101f -0x3595c1e9 -256 -256 -0 -0 -0 -1 -0x71ba -0x9a4ccb17 -256 -256 -0 -0 -0 -3 -0xa4d9 -0x521df2a2 -256 -256 -1 -0 -0 -1 -0x7692 -0x76ac4f32 -256 -256 -1 -0 -0 -2 -0x6fe2 -0xcfeabb94 -256 -256 -1 -0 -0 -3 -0xe7c3 -0x59a06125 -256 -256 -1 -0 -0 -4 -0x9558 -0x213a437b -256 -256 -1 -0 -0 -4 -0x8905 -0x19178b23 -256 -256 -1 -0 -0 -4 -0x8c1d -0x916834b -256 -256 -0 -0 -0 -2 -0xca2b -0x51f55398 -256 -256 -0 -0 -0 -1 -0x254c -0x699b9c05 -256 -256 -1 -0 -0 -4 -0x33c1 -0x878f2e70 -256 -256 -1 -0 -0 -2 -0xa8ee -0x36ddbc8 -256 -256 -0 -0 -0 -1 -0x94dc -0x5cc3d182 -256 -256 -1 -0 -0 -2 -0x52b1 -0x37a01d2c -256 -256 -1 -0 -0 -4 -0x373 -0xb2f133d0 -256 -256 -1 -0 -0 -2 -0x41ee -0x799b3231 -256 -256 -0 -0 -0 -4 -0xba8d -0x4ecd438a -256 -256 -0 -0 -0 -4 -0x975b -0x65c2aa3c -256 -256 -0 -0 -0 -1 -0x4ddf -0x3ee5f3a4 -256 -256 -0 -0 -0 -1 -0xd9f2 -0xb7c504d2 -256 -256 -1 -0 -0 -4 -0xa96d -0xc07970c1 -256 -256 -1 -0 -0 -2 -0xda94 -0x6b977162 -256 -256 -0 -0 -0 -2 -0x31f4 -0x6a29d471 -256 -256 -0 -0 -0 -4 -0x587c -0x777f43f1 -256 -256 -0 -0 -0 -4 -0x5ee6 -0x43280525 -256 -256 -0 -0 -0 -3 -0x6cb4 -0x858d510f -256 -256 -0 -0 -0 -1 -0x610f -0xd66c4133 -256 -256 -1 -0 -0 -1 -0x7fcf -0x40e6d6a0 -256 -256 -0 -0 -0 -1 -0xe7ed -0x2aa7034d -256 -256 -0 -0 -0 -1 -0x7ba9 -0xbda68876 -256 -256 -1 -0 -0 -3 -0x4739 -0xd93e9184 -256 -256 -0 -0 -0 -2 -0xc7ca -0xcad72eb1 -256 -256 -1 -0 -0 -1 -0x216c -0x4a1bf749 -256 -256 -0 -0 -0 -4 -0xdd57 -0xf848c83e -256 -256 -0 -0 -0 -3 -0xc2f0 -0xb78020f8 -256 -256 -0 -0 -0 -3 -0x51f2 -0xb2416502 -256 -256 -1 -0 -0 -1 -0x3523 -0x72a8820b -256 -256 -1 -0 -0 -4 -0x5c79 -0xa124e08a -256 -256 -1 -0 -0 -1 -0xadc6 -0x57f02f6d -256 -256 -0 -0 -0 -2 -0x5dbe -0x1730887b -256 -256 -0 -0 -0 -2 -0x1a07 -0x2d375ca -256 -256 -0 -0 -0 -4 -0x7c9b -0x2770fbf0 -256 -256 -1 -0 -0 -3 -0xea7c -0x65c624c1 -256 -256 -1 -0 -0 -2 -0xebf1 -0xfc075fbb -256 -256 -1 -0 -0 -3 -0xd2a4 -0x14e5951d -256 -256 -1 -0 -0 -2 -0xf929 -0x3ada6e20 -256 -256 -0 -0 -0 -1 -0x100e -0x6e356d05 -256 -256 -1 -0 -0 -1 -0x2770 -0xd2d7d3a5 -256 -256 -0 -0 -0 -2 -0xf8d7 -0x15417d0d -256 -256 -0 -0 -0 -1 -0xecd7 -0x63e15799 -256 -256 -0 -0 -0 -2 -0x6108 -0x816da3d6 -256 -256 -1 -0 -0 -4 -0x34d4 -0x1d5ece8f -256 -256 -1 -0 -0 -1 -0xc2dd -0x2496263e -256 -256 -0 -0 -0 -4 -0xb33d -0xdc03a8dd -256 -256 -1 -0 -0 -1 -0x5e79 -0x409d9907 -256 -256 -1 -0 -0 -1 -0xfd48 -0x2df29108 -256 -256 -0 -0 -0 -3 -0x9bd0 -0xea12037c -256 -256 -0 -0 -0 -2 -0xdcdb -0x2356770b -256 -256 -1 -0 -0 -4 -0x8212 -0x98aa87c9 -256 -256 -0 -0 -0 -2 -0xe59b -0x87029a68 -256 -256 -1 -0 -0 -3 -0x325f -0xe35dedaf -256 -256 -0 -0 -0 -3 -0x72d8 -0x9deb1eb6 -256 -256 -1 -0 -0 -1 -0xe97a -0xee57650c -256 -256 -1 -0 -0 -1 -0xcae4 -0x60891644 -256 -256 -1 -0 -0 -2 -0xd519 -0x376bda1f -256 -256 -0 -0 -0 -3 -0x4268 -0x7a0b669a -256 -256 -0 -0 -0 -1 -0xcd71 -0xc1d0450f -256 -256 -1 -0 -0 -1 -0xee8 -0xdd3b71d1 -256 -256 -0 -0 -0 -3 -0x9b79 -0xd7373a66 -256 -256 -1 -0 -0 -3 -0x4123 -0x54f0398 -256 -256 -1 -0 -0 -2 -0x376f -0x5a79c66f -256 -256 -0 -0 -0 -2 -0x2b3e -0x76563c07 -256 -256 -0 -0 -0 -1 -0x66e3 -0x2ccc7b34 -256 -256 -1 -0 -0 -3 -0xf393 -0xdd85127a -256 -256 -0 -0 -0 -2 -0x10e2 -0xa95a0dde -256 -256 -1 -0 -0 -4 -0x3397 -0x7db941d3 -256 -256 -1 -0 -0 -3 -0xf743 -0xd112c8a -256 -256 -0 -0 -0 -2 -0x32a5 -0xf17525e6 -256 -256 -1 -0 -0 -3 -0xffaa -0x315c8ed1 -256 -256 -1 -0 -0 -1 -0x354e -0xd0333c6f -256 -256 -1 -0 -0 -1 -0xdd91 -0xf09d6593 -256 -256 -1 -0 -0 -2 -0x4331 -0x4ff5696f -256 -256 -1 -0 -0 -3 -0xdf61 -0xdf461ffe -256 -256 -0 -0 -0 -1 -0xd59d -0x65dd9368 -256 -256 -0 -0 -0 -1 -0xc195 -0xffa31340 -256 -256 -1 -0 -0 -3 -0xdfeb -0x3c519f9e -256 -256 -0 -0 -0 -2 -0x6b60 -0x409a9747 -256 -256 -0 -0 -0 -4 -0x3f39 -0x20410bc2 -256 -256 -0 -0 -0 -3 -0x5897 -0x5d1bc00d -256 -256 -0 -0 -0 -3 -0x3b9d -0xd23b42ef -256 -256 -1 -0 -0 -2 -0x8494 -0xa02f883a -256 -256 -1 -0 -0 -1 -0xab94 -0x2e61e6d1 -256 -256 -0 -0 -0 -3 -0x649c -0xbd8fd9bc -256 -256 -0 -0 -0 -2 -0xef9f -0x916b1d1c -256 -256 -1 -0 -0 -3 -0x8760 -0xfc8c2d67 -256 -256 -1 -0 -0 -3 -0xc5d3 -0x3209f009 -256 -256 -1 -0 -0 -2 -0x187e -0x18ec4b17 -256 -256 -1 -0 -0 -3 -0x1651 -0xa00b3a5e -256 -256 -1 -0 -0 -3 -0x3cce -0xb7e03eb2 -256 -256 -0 -0 -0 -2 -0xf602 -0xcf54e91f -256 -256 -0 -0 -0 -3 -0x6f0a -0xe26d6b36 -256 -256 -0 -0 -0 -3 -0xb31c -0x8823b71f -256 -256 -1 -0 -0 -2 -0xa4b0 -0xe9dbd496 -256 -256 -1 -0 -0 -2 -0x9855 -0x878f8c63 -256 -256 -0 -0 -0 -4 -0x4d84 -0x93d07f8e -256 -256 -0 -0 -0 -1 -0x636a -0xca4e8d8 -256 -256 -1 -0 -0 -1 -0xc4de -0x83869c12 -256 -256 -0 -0 -0 -4 -0xdeb4 -0xbcaaca92 -256 -256 -0 -0 -0 -4 -0xfa8 -0xbcfc9aea -256 -256 -0 -0 -0 -2 -0x8a42 -0xfa2bd89f -256 -256 -1 -0 -0 -2 -0xc12d -0xd389b23d -256 -256 -1 -0 -0 -2 -0x7fe5 -0xcb010b12 -256 -256 -0 -0 -0 -4 -0x4245 -0x50ce44ee -256 -256 -1 -0 -0 -1 -0xc969 -0x493bc8b1 -256 -256 -1 -0 -0 -1 -0xac49 -0xb00287c1 -256 -256 -1 -0 -0 -3 -0x26d0 -0x441ef90e -256 -256 -1 -0 -0 -3 -0x4b26 -0x46bb63fa -256 -256 -1 -0 -0 -1 -0x591c -0x1f177c3d -256 -256 -1 -0 -0 -4 -0x2c46 -0x480181dc -256 -256 -1 -0 -0 -1 -0xa06a -0xc8260c32 -256 -256 -1 -0 -0 -4 -0x75e7 -0x25523fa -256 -256 -1 -0 -0 -2 -0x9e0a -0x5707a53e -256 -256 -0 -0 -0 -4 -0xf64e -0x2b684a97 -256 -256 -0 -0 -0 -3 -0xc46d -0x2f525464 -256 -256 -0 -0 -0 -4 -0xea37 -0x835aa980 -256 -256 -1 -0 -0 -1 -0xb464 -0xbfb91361 -256 -256 -1 -0 -0 -1 -0x9756 -0x94474f44 -256 -256 -0 -0 -0 -3 -0xa4fa -0xc62c87ca -256 -256 -1 -0 -0 -4 -0xfab6 -0x7485f02e -256 -256 -1 -0 -0 -2 -0x131a -0xb2e11388 -256 -256 -1 -0 -0 -4 -0x75f0 -0x1a6999b8 -256 -256 -1 -0 -0 -4 -0x7cb8 -0x10044794 -256 -256 -1 -0 -0 -4 -0x77e4 -0xd93b08e3 -256 -256 -1 -0 -0 -4 -0x79a2 -0x5a26ed7f -256 -256 -0 -0 -0 -1 -0x394f -0xb56be4ff -256 -256 -1 -0 -0 -4 -0xa2b2 -0xddec694c -256 -256 -0 -0 -0 -1 -0x6167 -0x2cf75c97 -256 -256 -0 -0 -0 -1 -0xa23a -0x5f969b1a -256 -256 -1 -0 -0 -4 -0xb491 -0xd291de1 -256 -256 -1 -0 -0 -4 -0x5939 -0x6ac3ec8b -256 -256 -1 -0 -0 -4 -0xc515 -0x41e81705 -256 -256 -1 -0 -0 -4 -0x402a -0xeb0bea67 -256 -256 -1 -0 -0 -4 -0xb8dd -0x7aaae406 -256 -256 -1 -0 -0 -4 -0x1930 -0x398f080f -256 -256 -0 -0 -0 -2 -0xd31 -0x577ebbdf -256 -256 -0 -0 -0 -4 -0x8881 -0x77f59ee1 -256 -256 -1 -0 -0 -4 -0x250a -0x7bc1a9f1 -256 -256 -1 -0 -0 -1 -0x29a5 -0xb6814fe5 -256 -256 -0 -0 -0 -1 -0x7565 -0x1d34cc1 -256 -256 -0 -0 -0 -2 -0x4262 -0xfdef1938 -256 -256 -1 -0 -0 -2 -0x4da9 -0x10fca258 -256 -256 -0 -0 -0 -1 -0xcf32 -0x4cb7760f -256 -256 -0 -0 -0 -4 -0x3a59 -0x1b66b4e7 -256 -256 -1 -0 -0 -2 -0xb957 -0xd23e4ff8 -256 -256 -1 -0 -0 -3 -0xce87 -0x17320e37 -256 -256 -0 -0 -0 -4 -0xdd0e -0x12d83ce1 -256 -256 -1 -0 -0 -1 -0x7a8c -0x80cf317 -256 -256 -1 -0 -0 -1 -0x3043 -0xfbef8a09 -256 -256 -0 -0 -0 -1 -0x3607 -0x37aed048 -256 -256 -0 -0 -0 -3 -0xaeec -0xac692b16 -256 -256 -0 -0 -0 -4 -0x3884 -0xbf08c982 -256 -256 -0 -0 -0 -2 -0xe27c -0xe01628b -256 -256 -0 -0 -0 -3 -0x844c -0x98a94ca7 -256 -256 -1 -0 -0 -2 -0x4a0b -0x8f45a2dd -256 -256 -0 -0 -0 -3 -0xf467 -0xf429d9b -256 -256 -1 -0 -0 -3 -0x200 -0x92bee85d -256 -256 -0 -0 -0 -3 -0x293d -0x2fbc6f3f -256 -256 -0 -0 -0 -4 -0xe7cb -0xa073ba93 -256 -256 -1 -0 -0 -1 -0x5397 -0x4dbd8b09 -256 -256 -0 -0 -0 -4 -0xe1e3 -0xb04c2ca9 -256 -256 -1 -0 -0 -4 -0xa9ed -0x5058f3ee -256 -256 -1 -0 -0 -2 -0xce42 -0xadbff134 -256 -256 -1 -0 -0 -1 -0x37dc -0xef790ef6 -256 -256 -1 -0 -0 -2 -0xc21b -0x51c123c7 -256 -256 -0 -0 -0 -1 -0xcc9c -0xce834b2a -256 -256 -1 -0 -0 -2 -0x148a -0xaa9181b -256 -256 -0 -0 -0 -3 -0x9b3a -0x9961b790 -256 -256 -1 -0 -0 -1 -0xba2e -0x7fc195a6 -256 -256 -1 -0 -0 -4 -0xb49 -0x69adbb88 -256 -256 -1 -0 -0 -3 -0x7229 -0x3ffdcb78 -256 -256 -1 -0 -0 -4 -0x5155 -0x5bc19266 -256 -256 -0 -0 -0 -3 -0x2348 -0x5e223d76 -256 -256 -1 -0 -0 -3 -0x71a2 -0xaaa9c1de -256 -256 -0 -0 -0 -4 -0x6cac -0xd80c4184 -256 -256 -0 -0 -0 -4 -0x69e1 -0x2e8ca970 -256 -256 -0 -0 -0 -3 -0x12a0 -0x68284f25 -256 -256 -1 -0 -0 -3 -0x5e00 -0xc2641ab6 -256 -256 -1 -0 -0 -1 -0x4f92 -0x4c2d8295 -256 -256 -1 -0 -0 -1 -0xfecb -0x2ad7ed66 -256 -256 -1 -0 -0 -1 -0x8086 -0x844a6522 -256 -256 -1 -0 -0 -2 -0x21c7 -0xaba767a5 -256 -256 -1 -0 -0 -3 -0xb62b -0xe7cf7b20 -256 -256 -1 -0 -0 -4 -0xab3e -0x4507621 -256 -256 -0 -0 -0 -2 -0x244c -0xe95191fd -256 -256 -0 -0 -0 -2 -0x1f06 -0x98662aee -256 -256 -0 -0 -0 -2 -0x604 -0x5597cc97 -256 -256 -0 -0 -0 -2 -0xd2df -0x154a58ce -256 -256 -0 -0 -0 -4 -0x3fed -0x4a1c6875 -256 -256 -1 -0 -0 -1 -0xedcd -0xaee736b8 -256 -256 -0 -0 -0 -4 -0x1023 -0xa171e034 -256 -256 -0 -0 -0 -1 -0xa7e6 -0xa3285e61 -256 -256 -1 -0 -0 -2 -0x753d -0xa174b1d2 -256 -256 -1 -0 -0 -2 -0x4f9e -0x4dd70cd2 -256 -256 -1 -0 -0 -2 -0xb819 -0xf8cc1d4e -256 -256 -0 -0 -0 -4 -0xf6 -0x7d27327e -256 -256 -0 -0 -0 -1 -0x4f8d -0x16fb9ebc -256 -256 -0 -0 -0 -1 -0x60bd -0xf8bde58a -256 -256 -1 -0 -0 -4 -0x574f -0xf5e3ee31 -256 -256 -1 -0 -0 -3 -0xe8b0 -0x249bb36c -256 -256 -1 -0 -0 -4 -0xf447 -0x89c9a58 -256 -256 -1 -0 -0 -2 -0x9ebd -0xe9b667ae -256 -256 -1 -0 -0 -4 -0x9dd5 -0x563f814 -256 -256 -0 -0 -0 -1 -0x9cd0 -0xbc2068f2 -256 -256 -0 -0 -0 -4 -0x9b94 -0x4229de45 -256 -256 -0 -0 -0 -3 -0x854c -0xb99c7c77 -256 -256 -0 -0 -0 -3 -0x6182 -0xb2db3b38 -256 -256 -1 -0 -0 -3 -0xef39 -0x5f605d32 -256 -256 -0 -0 -0 -4 -0xcb0 -0x4ab52a81 -256 -256 -0 -0 -0 -3 -0xc021 -0x2dfb88f0 -256 -256 -0 -0 -0 -4 -0xcdf0 -0x3b1c6755 -256 -256 -1 -0 -0 -3 -0xf10b -0xa9d2b5b4 -256 -256 -0 -0 -0 -2 -0xb01 -0xf27509ee -256 -256 -1 -0 -0 -1 -0x77b2 -0xe0b3ffa -256 -256 -0 -0 -0 -1 -0xa09 -0xf2554859 -256 -256 -1 -0 -0 -2 -0xcefb -0x8a8977b8 -256 -256 -0 -0 -0 -3 -0x842e -0x9d4bdd85 -256 -256 -0 -0 -0 -4 -0xb2dc -0x73e4c415 -256 -256 -0 -0 -0 -3 -0x8717 -0x81406f1b -256 -256 -0 -0 -0 -1 -0x6ecb -0xc302349f -256 -256 -1 -0 -0 -3 -0x2a3d -0x16ec3f2b -256 -256 -1 -0 -0 -2 -0x4ff7 -0x99833231 -256 -256 -0 -0 -0 -3 -0x48f6 -0x1fd8815b -256 -256 -0 -0 -0 -3 -0x9afd -0xe831045d -256 -256 -0 -0 -0 -2 -0xeacc -0x48901cd -256 -256 -0 -0 -0 -2 -0x4feb -0x4604015f -256 -256 -1 -0 -0 -1 -0x82b2 -0xd42919b7 -256 -256 -1 -0 -0 -3 -0xe7cf -0x8c15d193 -256 -256 -1 -0 -0 -1 -0x57a -0xb6e0b3c -256 -256 -0 -0 -0 -4 -0x1aa0 -0x84bdc6e6 -256 -256 -0 -0 -0 -3 -0xd2ec -0xaede7be6 -256 -256 -0 -0 -0 -4 -0xdf0b -0x9dcdbeda -256 -256 -1 -0 -0 -4 -0x5222 -0x4181bbf4 -256 -256 -1 -0 -0 -4 -0xa6c5 -0x4edd7885 -256 -256 -0 -0 -0 -1 -0x267c -0x9bd1eb17 -256 -256 -0 -0 -0 -1 -0xabdb -0x3f7ea182 -256 -256 -1 -0 -0 -2 -0x84be -0x9ca91239 -256 -256 -1 -0 -0 -1 -0x6201 -0xa261140 -256 -256 -1 -0 -0 -4 -0xb978 -0x13f52fdd -256 -256 -1 -0 -0 -1 -0x93d2 -0x1c8ad37e -256 -256 -0 -0 -0 -1 -0xd48a -0x7cb26c5d -256 -256 -1 -0 -0 -1 -0x273c -0xa6a04745 -256 -256 -0 -0 -0 -1 -0x574e -0x3aeb28c7 -256 -256 -1 -0 -0 -3 -0xbe56 -0x207d14ce -256 -256 -0 -0 -0 -4 -0x26c4 -0x502b8ebe -256 -256 -1 -0 -0 -4 -0xc4f4 -0x103554ef -256 -256 -0 -0 -0 -3 -0xdfdb -0x9252cf8a -256 -256 -0 -0 -0 -1 -0x16ff -0x8eeff622 -256 -256 -1 -0 -0 -4 -0xfbc3 -0xfe12d10e -256 -256 -0 -0 -0 -1 -0x242c -0x8f6454a2 -256 -256 -1 -0 -0 -2 -0x1949 -0xfd23bbcc -256 -256 -1 -0 -0 -2 -0xe8d8 -0xb5c71775 -256 -256 -1 -0 -0 -2 -0xe742 -0xcd69b4a5 -256 -256 -1 -0 -0 -4 -0x759f -0x4eefbc42 -256 -256 -0 -0 -0 -4 -0x58cb -0xcfca9a72 -256 -256 -1 -0 -0 -1 -0x32c2 -0x392591c4 -256 -256 -0 -0 -0 -1 -0x540e -0x3e6d420d -256 -256 -0 -0 -0 -2 -0x48f0 -0x339c6a9e -256 -256 -0 -0 -0 -1 -0x98f4 -0x554f188d -256 -256 -0 -0 -0 -3 -0x88f5 -0xdf8f8bef -256 -256 -1 -0 -0 -1 -0x3fa1 -0x98ee96ca -256 -256 -1 -0 -0 -4 -0x83c4 -0x13ba5ace -256 -256 -1 -0 -0 -2 -0xad38 -0x3405d7c5 -256 -256 -1 -0 -0 -2 -0x5057 -0x2cf8ab4e -256 -256 -0 -0 -0 -1 -0xe38c -0x1dfcd654 -256 -256 -1 -0 -0 -1 -0x9758 -0x1cede635 -256 -256 -1 -0 -0 -3 -0x1bf7 -0x8f50a97c -256 -256 -0 -0 -0 -1 -0x3da6 -0x409e2331 -256 -256 -1 -0 -0 -2 -0x9980 -0x2132cff -256 -256 -0 -0 -0 -3 -0x71d7 -0x48eeeeff -256 -256 -0 -0 -0 -3 -0x172c -0xdc2b0ea5 -256 -256 -0 -0 -0 -3 -0xa6d7 -0xbc5ef2df -256 -256 -0 -0 -0 -1 -0xe9c -0xc8efc4af -256 -256 -1 -0 -0 -3 -0x9d16 -0x476a4c82 -256 -256 -0 -0 -0 -1 -0x1c6c -0x17e9a50 -256 -256 -0 -0 -0 -3 -0xb9e7 -0xeb4a8562 -256 -256 -1 -0 -0 -4 -0x4ab2 -0x8340b936 -256 -256 -1 -0 -0 -3 -0xd135 -0x99b2139b -256 -256 -1 -0 -0 -4 -0x9908 -0x3ebe41b4 -256 -256 -0 -0 -0 -1 -0x8a32 -0xa74b3e20 -256 -256 -1 -0 -0 -1 -0x4af7 -0x861499ec -256 -256 -0 -0 -0 -2 -0x81e -0x1a7b0911 -256 -256 -0 -0 -0 -1 -0xb0e0 -0xa715a82f -256 -256 -1 -0 -0 -2 -0xe294 -0xef8edc6c -256 -256 -1 -0 -0 -4 -0xaad0 -0xa47d7949 -256 -256 -1 -0 -0 -1 -0x6be7 -0xbeea847f -256 -256 -1 -0 -0 -1 -0xfbfe -0x760359dc -256 -256 -0 -0 -0 -2 -0xf500 -0xba47b5aa -256 -256 -0 -0 -0 -2 -0x7e23 -0xaa4beff9 -256 -256 -1 -0 -0 -2 -0x3cc0 -0x388bdbd9 -256 -256 -1 -0 -0 -3 -0x122e -0xcdd203a9 -256 -256 -0 -0 -0 -2 -0x5662 -0x8eb671ac -256 -256 -0 -0 -0 -4 -0xc6bc -0x90925c63 -256 -256 -0 -0 -0 -3 -0x9dd2 -0x8099f3fe -256 -256 -1 -0 -0 -1 -0xa0b -0x3f30b761 -256 -256 -0 -0 -0 -1 -0x823c -0x42502a9a -256 -256 -1 -0 -0 -3 -0xaa82 -0x6786bd8f -256 -256 -1 -0 -0 -1 -0x74c -0xee4a9340 -256 -256 -0 -0 -0 -1 -0xa89 -0x660893cf -256 -256 -0 -0 -0 -1 -0x8b20 -0xff01df77 -256 -256 -1 -0 -0 -2 -0xcb7e -0x7cf7966d -256 -256 -1 -0 -0 -4 -0xdf87 -0x8d18aed2 -256 -256 -1 -0 -0 -2 -0x67a6 -0x7634d599 -256 -256 -0 -0 -0 -3 -0x71ad -0xda8c2421 -256 -256 -0 -0 -0 -3 -0xdca8 -0xcd53ad06 -256 -256 -0 -0 -0 -2 -0x3650 -0x540ae8de -256 -256 -1 -0 -0 -1 -0xa14d -0x4d6ea569 -256 -256 -0 -0 -0 -1 -0xc86e -0xfe9c98b7 -256 -256 -0 -0 -0 -4 -0xefad -0xa0b853e9 -256 -256 -0 -0 -0 -2 -0x2beb -0x8706de28 -256 -256 -0 -0 -0 -3 -0xb464 -0xda20608e -256 -256 -1 -0 -0 -3 -0x3297 -0x65020e21 -256 -256 -0 -0 -0 -1 -0x870a -0x6de23f5f -256 -256 -0 -0 -0 -2 -0x9244 -0xcf785181 -256 -256 -1 -0 -0 -4 -0x79bb -0x5fd2335f -256 -256 -0 -0 -0 -1 -0x8db2 -0x587e3615 -256 -256 -0 -0 -0 -3 -0x857f -0x47638178 -256 -256 -0 -0 -0 -2 -0x14b3 -0x40f6569e -256 -256 -1 -0 -0 -3 -0x1242 -0x9689ca8a -256 -256 -0 -0 -0 -3 -0x723e -0x2127264e -256 -256 -0 -0 -0 -3 -0x1d6e -0x3e5e8ef5 -256 -256 -1 -0 -0 -4 -0x7789 -0x2db9baa8 -256 -256 -0 -0 -0 -3 -0xf0a5 -0xd1a787aa -256 -256 -0 -0 -0 -4 -0xf8b1 -0x1e0cdf13 -256 -256 -1 -0 -0 -1 -0x2ec7 -0x954f67df -256 -256 -0 -0 -0 -1 -0x9997 -0x4b061cef -256 -256 -1 -0 -0 -4 -0x35a7 -0x71fa6944 -256 -256 -1 -0 -0 -3 -0x7a2b -0xd477c685 -256 -256 -0 -0 -0 -2 -0x4693 -0x44855a64 -256 -256 -1 -0 -0 -3 -0xddd3 -0xadc2b98f -256 -256 -0 -0 -0 -3 -0x9dcd -0xd8169ce0 -256 -256 -1 -0 -0 -3 -0x3162 -0xc24d6229 -256 -256 -0 -0 -0 -2 -0x9f9f -0x95896cd3 -256 -256 -1 -0 -0 -2 -0xe864 -0xb91d603f -256 -256 -1 -0 -0 -4 -0xec23 -0x3cae0091 -256 -256 -0 -0 -0 -1 -0x7475 -0x3bd4ac9c -256 -256 -0 -0 -0 -3 -0xd6b7 -0x69985d0 -256 -256 -1 -0 -0 -4 -0xde33 -0x98b2094c -256 -256 -1 -0 -0 -2 -0xcee2 -0x46954f6a -256 -256 -0 -0 -0 -3 -0x6689 -0xfbe60ceb -256 -256 -0 -0 -0 -4 -0xa99b -0x527d996b -256 -256 -0 -0 -0 -3 -0x6b5c -0x9ce074c2 -256 -256 -0 -0 -0 -1 -0xd017 -0xbe84ffc8 -256 -256 -1 -0 -0 -1 -0xdb82 -0x6fb2212b -256 -256 -1 -0 -0 -1 -0xee8b -0x998e583 -256 -256 -0 -0 -0 -4 -0x750f -0x66f8383d -256 -256 -1 -0 -0 -2 -0xa6df -0x11897ca7 -256 -256 -0 -0 -0 -3 -0xd8c -0x9c4aa84 -256 -256 -1 -0 -0 -3 -0x8693 -0x378fcab8 -256 -256 -0 -0 -0 -3 -0xdfe5 -0xbb021e7d -256 -256 -1 -0 -0 -2 -0x54e -0x64d97511 -256 -256 -1 -0 -0 -3 -0x97f -0x58afc2e1 -256 -256 -0 -0 -0 -2 -0x65ca -0xe0d979e3 -256 -256 -1 -0 -0 -1 -0xe406 -0xf67a98b9 -256 -256 -0 -0 -0 -2 -0xeb53 -0x2d36798b -256 -256 -0 -0 -0 -4 -0xc7ba -0x2d7140d8 -256 -256 -1 -0 -0 -3 -0xbc1d -0xba9f285c -256 -256 -1 -0 -0 -2 -0xc48f -0xef63000 -256 -256 -1 -0 -0 -1 -0x44b1 -0x7baef152 -256 -256 -0 -0 -0 -4 -0xae05 -0x29bc683 -256 -256 -1 -0 -0 -2 -0xe53e -0x6326586c -256 -256 -1 -0 -0 -2 -0x61be -0x2d3e2855 -256 -256 -1 -0 -0 -1 -0x4f08 -0x626e7944 -256 -256 -0 -0 -0 -4 -0xe3f0 -0xe4b7c14f -256 -256 -0 -0 -0 -2 -0x3fbe -0x2c48f2ee -256 -256 -1 -0 -0 -1 -0xc1b7 -0x259bac3d -256 -256 -1 -0 -0 -3 -0x5e3 -0xb0f9a8e2 -256 -256 -1 -0 -0 -1 -0xae5a -0x7571d9cb -256 -256 -1 -0 -0 -3 -0x462b -0x291591c0 -256 -256 -0 -0 -0 -4 -0x4cfa -0x9bb91f2f -256 -256 -0 -0 -0 -3 -0x80e1 -0xcd2c3519 -256 -256 -0 -0 -0 -1 -0xe0ba -0x176fc2f4 -256 -256 -0 -0 -0 -3 -0x221d -0x464d4ac1 -256 -256 -1 -0 -0 -4 -0x9027 -0x39e9290d -256 -256 -1 -0 -0 -2 -0x892d -0xfdd2a340 -256 -256 -1 -0 -0 -2 -0xeece -0xf0be8314 -256 -256 -0 -0 -0 -1 -0x803c -0x501b2f95 -256 -256 -0 -0 -0 -4 -0x93a0 -0x190c3ef5 -256 -256 -1 -0 -0 -2 -0xfa39 -0xa0af1dae -256 -256 -1 -0 -0 -4 -0xb5c0 -0xda8d33b0 -256 -256 -0 -0 -0 -3 -0x739c -0xef75b14c -256 -256 -0 -0 -0 -2 -0x4e4b -0x43e24f33 -256 -256 -0 -0 -0 -1 -0x43c8 -0x4f2c7d1d -256 -256 -0 -0 -0 -2 -0xad9b -0xfd8490fa -256 -256 -1 -0 -0 -2 -0xd0dc -0xe482ece0 -256 -256 -0 -0 -0 -4 -0x1b17 -0x28a6bd7b -256 -256 -0 -0 -0 -2 -0x32a2 -0xe034a8d -256 -256 -1 -0 -0 -2 -0x5caf -0x3f28bd38 -256 -256 -1 -0 -0 -1 -0x7437 -0xb357fb54 -256 -256 -1 -0 -0 -2 -0x6c32 -0x4f23bf5e -256 -256 -1 -0 -0 -3 -0x38fe -0x8c87d85b -256 -256 -0 -0 -0 -1 -0x51e1 -0x98bef05 -256 -256 -1 -0 -0 -2 -0x6df6 -0x97e99756 -256 -256 -1 -0 -0 -2 -0xc309 -0x643d68db -256 -256 -0 -0 -0 -4 -0x7dcf -0xb27f12b0 -256 -256 -1 -0 -0 -4 -0x7051 -0xc536ba4e -256 -256 -0 -0 -0 -3 -0xc767 -0x3f21d4ae -256 -256 -1 -0 -0 -2 -0xe21d -0xfcc906e8 -256 -256 -0 -0 -0 -4 -0x49d9 -0x9425dd17 -256 -256 -0 -0 -0 -4 -0xa878 -0x61de1bf3 -256 -256 -1 -0 -0 -1 -0x70b -0x76d526fe -256 -256 -1 -0 -0 -1 -0x646d -0xfbd10229 -256 -256 -0 -0 -0 -3 -0x4e07 -0x6545d588 -256 -256 -1 -0 -0 -2 -0xe801 -0x9cccf1b0 -256 -256 -1 -0 -0 -3 -0xce7a -0xe761582d -256 -256 -0 -0 -0 -1 -0x5817 -0x35c5eab7 -256 -256 -1 -0 -0 -1 -0x925f -0xf494898c -256 -256 -1 -0 -0 -1 -0xc98f -0x69ee7969 -256 -256 -1 -0 -0 -3 -0x32b7 -0xb3f22019 -256 -256 -1 -0 -0 -1 -0x9b2c -0x31b72e77 -256 -256 -1 -0 -0 -2 -0x6fa1 -0xe3f698a4 -256 -256 -0 -0 -0 -4 -0xe39c -0xb3ac3642 -256 -256 -0 -0 -0 -4 -0x2b78 -0x2a492f13 -256 -256 -1 -0 -0 -4 -0xc3fc -0x2bee4f96 -256 -256 -0 -0 -0 -4 -0x6f1c -0x5e51973 -256 -256 -0 -0 -0 -4 -0x460a -0x7c1ece76 -256 -256 -0 -0 -0 -3 -0xe8a1 -0xa81c2ee3 -256 -256 -1 -0 -0 -4 -0x769c -0xab3e531c -256 -256 -0 -0 -0 -2 -0xcde -0xd5d5e34a -256 -256 -1 -0 -0 -2 -0x8516 -0x628354b2 -256 -256 -0 -0 -0 -1 -0x4ffe -0xf131516b -256 -256 -0 -0 -0 -1 -0xf545 -0x56a042c2 -256 -256 -0 -0 -0 -4 -0xbdbc -0x7757b979 -256 -256 -1 -0 -0 -2 -0x50eb -0x5cff267 -256 -256 -1 -0 -0 -1 -0x2a45 -0x93c9a0ab -256 -256 -0 -0 -0 -1 -0x6083 -0x72dcf23e -256 -256 -1 -0 -0 -4 -0x243d -0xc53f1c08 -256 -256 -0 -0 -0 -3 -0x7fb6 -0xe93e35db -256 -256 -0 -0 -0 -4 -0x2fbc -0x63d05281 -256 -256 -0 -0 -0 -2 -0x5598 -0x47c20617 -256 -256 -0 -0 -0 -4 -0x3fdf -0x3be3379 -256 -256 -1 -0 -0 -2 -0x6ac9 -0x7ae5ac5b -256 -256 -0 -0 -0 -2 -0x72d3 -0xa9e3a81f -256 -256 -0 -0 -0 -2 -0x80d -0xf9f01381 -256 -256 -1 -0 -0 -2 -0x4719 -0xb2b16b43 -256 -256 -0 -0 -0 -3 -0x53b5 -0x91c11edf -256 -256 -1 -0 -0 -3 -0x5d97 -0xaf543a4c -256 -256 -1 -0 -0 -2 -0x4514 -0xde682ee6 -256 -256 -1 -0 -0 -1 -0xd7a3 -0xf5d81d55 -256 -256 -1 -0 -0 -2 -0x3ec5 -0xff7a1446 -256 -256 -0 -0 -0 -1 -0xf527 -0x749e991c -256 -256 -0 -0 -0 -3 -0x56ee -0x900d4408 -256 -256 -0 -0 -0 -2 -0x70ae -0x791442d6 -256 -256 -1 -0 -0 -1 -0xf811 -0x6860ba8b -256 -256 -0 -0 -0 -2 -0x1456 -0x697e1964 -256 -256 -0 -0 -0 -2 -0x144f -0x1aa85a4c -256 -256 -1 -0 -0 -1 -0xccc2 -0xb6cd3977 -256 -256 -1 -0 -0 -3 -0xcffb -0xc6a36369 -256 -256 -1 -0 -0 -1 -0x3d23 -0x6ad640f5 -256 -256 -0 -0 -0 -2 -0x9c56 -0x5e6d28d8 -256 -256 -1 -0 -0 -1 -0x4f91 -0xf021ad65 -256 -256 -0 -0 -0 -3 -0xd714 -0x5936ff20 -256 -256 -1 -0 -0 -4 -0xbac9 -0x91ed9304 -256 -256 -0 -0 -0 -3 -0x48 -0xfb4f1cbd -256 -256 -1 -0 -0 -3 -0x566 -0xab07072d -256 -256 -1 -0 -0 -4 -0xf270 -0xa56ee094 -256 -256 -1 -0 -0 -4 -0xd0b8 -0x4683b65a -256 -256 -1 -0 -0 -1 -0xc6a8 -0x53825263 -256 -256 -1 -0 -0 -1 -0x739f -0xf3e31995 -256 -256 -0 -0 -0 -2 -0x1d33 -0x55d21c9c -256 -256 -0 -0 -0 -1 -0xbbfd -0xa5722024 -256 -256 -0 -0 -0 -3 -0xba16 -0xd93ebe2c -256 -256 -1 -0 -0 -2 -0xa64d -0x35c3773a -256 -256 -0 -0 -0 -4 -0xa37a -0xedf36bc1 -256 -256 -1 -0 -0 -1 -0x8c5 -0xa67d8883 -256 -256 -0 -0 -0 -2 -0xd829 -0xf83f02e9 -256 -256 -1 -0 -0 -2 -0x20d2 -0x997a005f -256 -256 -0 -0 -0 -3 -0x822e -0x2e4dc5d8 -256 -256 -1 -0 -0 -4 -0x5516 -0x624c9b24 -256 -256 -0 -0 -0 -4 -0x365 -0x3c023e0e -256 -256 -1 -0 -0 -4 -0x3fc4 -0xc9604661 -256 -256 -0 -0 -0 -1 -0xdf45 -0x61dd631 -256 -256 -0 -0 -0 -2 -0x7728 -0xe134c34e -256 -256 -0 -0 -0 -1 -0x971d -0x8b7e4d9d -256 -256 -0 -0 -0 -4 -0xf63e -0x7ebfc93e -256 -256 -1 -0 -0 -2 -0xca04 -0x65e079a8 -256 -256 -0 -0 -0 -2 -0x3fbd -0xf86f5207 -256 -256 -0 -0 -0 -3 -0xac7c -0x161c330e -256 -256 -0 -0 -0 -1 -0x2d9f -0xf61c1160 -256 -256 -1 -0 -0 -4 -0x540b -0xf9147f2 -256 -256 -1 -0 -0 -1 -0x4c74 -0x1bd228ce -256 -256 -0 -0 -0 -1 -0xc75f -0xd898d0bd -256 -256 -0 -0 -0 -2 -0xc5a2 -0xe4380e42 -256 -256 -0 -0 -0 -1 -0xab71 -0x35c5e342 -256 -256 -1 -0 -0 -2 -0x330b -0x5251cf7b -256 -256 -0 -0 -0 -2 -0x2049 -0xe165eaaf -256 -256 -0 -0 -0 -1 -0x5717 -0x238f0835 -256 -256 -1 -0 -0 -4 -0x8fcd -0x6241f9c3 -256 -256 -1 -0 -0 -3 -0xa070 -0xad56e998 -256 -256 -1 -0 -0 -3 -0xdcd9 -0x340bbed0 -256 -256 -1 -0 -0 -1 -0xaf3a -0xca830b34 -256 -256 -0 -0 -0 -3 -0x704b -0x4aa39ed8 -256 -256 -1 -0 -0 -4 -0x6c9a -0x4f5e7ee0 -256 -256 -0 -0 -0 -1 -0xabe3 -0xd8a14e7a -256 -256 -0 -0 -0 -2 -0xc409 -0xb6702c12 -256 -256 -0 -0 -0 -3 -0x32e2 -0xe7d5ba6f -256 -256 -1 -0 -0 -3 -0x1a77 -0x7920af85 -256 -256 -0 -0 -0 -2 -0xa603 -0x7afc760f -256 -256 -1 -0 -0 -2 -0xa903 -0xf2ed02ea -256 -256 -1 -0 -0 -2 -0x279e -0xc9edf97e -256 -256 -1 -0 -0 -1 -0x8173 -0x5acf3d09 -256 -256 -1 -0 -0 -3 -0x6ad1 -0xa696be02 -256 -256 -0 -0 -0 -3 -0x231b -0x852b149e -256 -256 -0 -0 -0 -1 -0x8600 -0x139e9205 -256 -256 -1 -0 -0 -4 -0x2213 -0x1f43c34d -256 -256 -0 -0 -0 -4 -0x8324 -0x57805184 -256 -256 -0 -0 -0 -4 -0x6e8 -0x3a5bb803 -256 -256 -0 -0 -0 -2 -0x77eb -0x567a2c6f -256 -256 -0 -0 -0 -4 -0xb2bc -0x5879b77a -256 -256 -0 -0 -0 -4 -0xbdd9 -0x5dd01252 -256 -256 -1 -0 -0 -1 -0x3361 -0xaf87af02 -256 -256 -0 -0 -0 -3 -0xa036 -0x780f8634 -256 -256 -1 -0 -0 -3 -0x22e0 -0x628e79af -256 -256 -1 -0 -0 -1 -0x9cdc -0xb73ce4b9 -256 -256 -0 -0 -0 -2 -0xcc8c -0xa009c6ad -256 -256 -1 -0 -0 -3 -0x1450 -0xb31a5452 -256 -256 -0 -0 -0 -4 -0x8467 -0x4ff44f91 -256 -256 -1 -0 -0 -2 -0xb0c0 -0xd2a7ccb4 -256 -256 -0 -0 -0 -1 -0x9d2c -0xcba4abd1 -256 -256 -1 -0 -0 -2 -0xe70c -0x5d960872 -256 -256 -0 -0 -0 -1 -0x4445 -0x5be56fbf -256 -256 -1 -0 -0 -3 -0xa8f0 -0x134e3985 -256 -256 -1 -0 -0 -2 -0xcc77 -0xc01b61c4 -256 -256 -1 -0 -0 -3 -0x4a6b -0x70fde3d1 -256 -256 -1 -0 -0 -2 -0xdc24 -0x4074dec1 -256 -256 -0 -0 -0 -4 -0xc6e -0x9ad670e0 -256 -256 -0 -0 -0 -1 -0x7738 -0x6563316a -256 -256 -0 -0 -0 -1 -0x2ee3 -0xf86c0d9c -256 -256 -0 -0 -0 -1 -0xedf7 -0x67481788 -256 -256 -1 -0 -0 -4 -0x4e97 -0x2e124778 -256 -256 -1 -0 -0 -1 -0x1106 -0x6fbf58af -256 -256 -1 -0 -0 -3 -0x50a9 -0xca40fdc -256 -256 -1 -0 -0 -4 -0x93b0 -0x534eecb5 -256 -256 -1 -0 -0 -3 -0xd486 -0xf149f34c -256 -256 -0 -0 -0 -2 -0x4c24 -0x976edab6 -256 -256 -0 -0 -0 -3 -0x588f -0xc7a74372 -256 -256 -1 -0 -0 -3 -0xa4f6 -0x1256d516 -256 -256 -1 -0 -0 -1 -0x67de -0xe0415147 -256 -256 -0 -0 -0 -2 -0xd97e -0x6ff8d6a6 -256 -256 -0 -0 -0 -1 -0x9d08 -0x2ee0ab1a -256 -256 -1 -0 -0 -4 -0xa6c3 -0xde670f1f -256 -256 -0 -0 -0 -4 -0x17d -0x34dcb0fd -256 -256 -1 -0 -0 -1 -0x2088 -0x1726dbc5 -256 -256 -0 -0 -0 -2 -0xef3d -0x4cdebe36 -256 -256 -0 -0 -0 -3 -0xa130 -0xce487698 -256 -256 -1 -0 -0 -3 -0xd953 -0x9a25c570 -256 -256 -1 -0 -0 -2 -0x455 -0x71365f88 -256 -256 -1 -0 -0 -2 -0xbee9 -0xf266f68d -256 -256 -0 -0 -0 -4 -0x967 -0xeea9f665 -256 -256 -0 -0 -0 -1 -0x82a3 -0xd7be9fcf -256 -256 -0 -0 -0 -2 -0x346 -0xeac2a22b -256 -256 -0 -0 -0 -3 -0xfb84 -0x7ef8763f -256 -256 -0 -0 -0 -1 -0xef24 -0x22f7f587 -256 -256 -1 -0 -0 -4 -0x94d2 -0x4e5e1295 -256 -256 -0 -0 -0 -2 -0xa49c -0xdab1c25f -256 -256 -0 -0 -0 -2 -0x11a2 -0xa9a48c94 -256 -256 -1 -0 -0 -1 -0xbff9 -0x6d1f8321 -256 -256 -0 -0 -0 -2 -0x3b06 -0xc3b052af -256 -256 -0 -0 -0 -2 -0x2edb -0xf822b088 -256 -256 -1 -0 -0 -1 -0x560a -0x2754d048 -256 -256 -1 -0 -0 -4 -0x8598 -0xdfbf4e4d -256 -256 -1 -0 -0 -1 -0xb59b -0x84d694af -256 -256 -1 -0 -0 -4 -0xfa41 -0x966fc7f5 -256 -256 -0 -0 -0 -1 -0xfff4 -0x877af3bd -256 -256 -1 -0 -0 -2 -0xdd83 -0x8850c3f7 -256 -256 -0 -0 -0 -2 -0xbdde -0x538c163f -256 -256 -0 -0 -0 -2 -0xdbc3 -0x53a0f812 -256 -256 -1 -0 -0 -3 -0xecba -0x3a307fa3 -256 -256 -1 -0 -0 -3 -0xa0e1 -0x129786b6 -256 -256 -0 -0 -0 -1 -0x80bc -0xf6096580 -256 -256 -1 -0 -0 -2 -0x1e55 -0xb8768362 -256 -256 -0 -0 -0 -4 -0xd5d1 -0xaae58c88 -256 -256 -1 -0 -0 -3 -0xcf17 -0xae9b1d65 -256 -256 -1 -0 -0 -3 -0x5800 -0x2997971c -256 -256 -0 -0 -0 -2 -0xd871 -0xfc7e1555 -256 -256 -1 -0 -0 -3 -0x7411 -0x77f0ef96 -256 -256 -0 -0 -0 -2 -0x49e3 -0x935867e0 -256 -256 -0 -0 -0 -2 -0xf983 -0x7efdba3e -256 -256 -0 -0 -0 -1 -0x791d -0x34fa1560 -256 -256 -1 -0 -0 -2 -0x6ad0 -0x3be0d413 -256 -256 -1 -0 -0 -2 -0x426f -0x2a38bb52 -256 -256 -0 -0 -0 -3 -0x1d46 -0xaf7d8b68 -256 -256 -1 -0 -0 -2 -0xdc45 -0x151110a8 -256 -256 -0 -0 -0 -3 -0x3b0c -0xabffe0f3 -256 -256 -0 -0 -0 -3 -0x51eb -0x25eb2bbc -256 -256 -0 -0 -0 -3 -0x7954 -0xa6ec051b -256 -256 -1 -0 -0 -4 -0xf664 -0x40f91baa -256 -256 -1 -0 -0 -4 -0xa1b3 -0x16a52e50 -256 -256 -1 -0 -0 -1 -0xcc4d -0x1b482a84 -256 -256 -1 -0 -0 -2 -0x2a4e -0x945caf32 -256 -256 -0 -0 -0 -1 -0xea88 -0xe3285915 -256 -256 -1 -0 -0 -1 -0x43ef -0xd653c07b -256 -256 -1 -0 -0 -1 -0x8e4d -0x12c3996b -256 -256 -1 -0 -0 -4 -0x928 -0xce6fdcf0 -256 -256 -1 -0 -0 -2 -0x1105 -0x3c281bc3 -256 -256 -0 -0 -0 -4 -0x248d -0xd49debb0 -256 -256 -1 -0 -0 -4 -0x7011 -0x74fa7fed -256 -256 -1 -0 -0 -2 -0xea56 -0x7b3eeb8d -256 -256 -1 -0 -0 -1 -0xde4f -0xb4f314d2 -256 -256 -0 -0 -0 -2 -0x5a5e -0xe8988235 -256 -256 -0 -0 -0 -4 -0x3c3d -0x45eaaee -256 -256 -0 -0 -0 -3 -0xc1d -0xd22083af -256 -256 -1 -0 -0 -3 -0x38d9 -0xb1e3d0a6 -256 -256 -1 -0 -0 -3 -0xdeec -0x9d3910de -256 -256 -0 -0 -0 -2 -0x2aeb -0x71ef19ab -256 -256 -1 -0 -0 -2 -0x288e -0xba8c2910 -256 -256 -0 -0 -0 -2 -0x418c -0xd9d0508 -256 -256 -0 -0 -0 -4 -0x7a4 -0x92f71598 -256 -256 -0 -0 -0 -4 -0xf3e5 -0xc9cd2564 -256 -256 -1 -0 -0 -2 -0x369b -0xc2a1ac3a -256 -256 -0 -0 -0 -1 -0xedf6 -0x59a954b4 -256 -256 -0 -0 -0 -3 -0x655d -0x427e7324 -256 -256 -1 -0 -0 -3 -0xf91 -0x9a7bd9f -256 -256 -0 -0 -0 -4 -0x1082 -0x99e5a755 -256 -256 -1 -0 -0 -1 -0x3bcc -0x8d905aae -256 -256 -1 -0 -0 -4 -0xcf10 -0xe81cc5f0 -256 -256 -0 -0 -0 -3 -0x3987 -0x5bfa496 -256 -256 -0 -0 -0 -4 -0x6c2c -0xfc647865 -256 -256 -0 -0 -0 -1 -0x7141 -0x4fd9bf2b -256 -256 -1 -0 -0 -3 -0x892b -0xeb7465cb -256 -256 -1 -0 -0 -3 -0x85ea -0xa44c3d83 -256 -256 -0 -0 -0 -1 -0x2070 -0xcb0acae -256 -256 -1 -0 -0 -1 -0xc09a -0x493befa3 -256 -256 -0 -0 -0 -2 -0x4389 -0x9a170d15 -256 -256 -0 -0 -0 -3 -0x3d1e -0x6c75977 -256 -256 -0 -0 -0 -4 -0x6306 -0x808de07a -256 -256 -1 -0 -0 -3 -0xcdcf -0xa96225eb -256 -256 -1 -0 -0 -2 -0x5481 -0x553f1bfc -256 -256 -0 -0 -0 -2 -0xb9b3 -0xc6f868c9 -256 -256 -0 -0 -0 -3 -0x9a25 -0x2e16399f -256 -256 -1 -0 -0 -1 -0x9aa0 -0xb5505df2 -256 -256 -0 -0 -0 -3 -0x7166 -0xd2de1bc1 -256 -256 -1 -0 -0 -1 -0xc80c -0xae9fc1bd -256 -256 -0 -0 -0 -4 -0x19cd -0x3e2399ce -256 -256 -1 -0 -0 -3 -0x15ee -0x505cddfc -256 -256 -0 -0 -0 -2 -0xc985 -0xc1a6d5e9 -256 -256 -1 -0 -0 -4 -0x1aed -0xf8472f60 -256 -256 -1 -0 -0 -3 -0x404d -0xf8aefd06 -256 -256 -0 -0 -0 -3 -0xf12e -0x970303ef -256 -256 -0 -0 -0 -2 -0x9823 -0xdf6de56c -256 -256 -0 -0 -0 -2 -0x4bea -0xf5e45154 -256 -256 -1 -0 -0 -1 -0x5ce4 -0xd6eb49eb -256 -256 -1 -0 -0 -4 -0x9efa -0x197d88c4 -256 -256 -1 -0 -0 -4 -0x6c39 -0x731d7264 -256 -256 -0 -0 -0 -4 -0x5aa2 -0xea282f66 -256 -256 -1 -0 -0 -1 -0x9f30 -0x93531e76 -256 -256 -0 -0 -0 -2 -0x9a69 -0x4b126128 -256 -256 -1 -0 -0 -2 -0x1bab -0xdd557fcb -256 -256 -0 -0 -0 -2 -0xef5 -0xf9dd213b -256 -256 -1 -0 -0 -2 -0x7811 -0x9b1082e -256 -256 -1 -0 -0 -3 -0x85cc -0xf24e72d6 -256 -256 -0 -0 -0 -1 -0x5e0 -0xace4a82a -256 -256 -0 -0 -0 -2 -0xe1a3 -0xa4751c3 -256 -256 -1 -0 -0 -1 -0x1c16 -0xf9d84bb6 -256 -256 -1 -0 -0 -4 -0x874 -0x26584ae8 -256 -256 -0 -0 -0 -2 -0xa45e -0xe618433e -256 -256 -0 -0 -0 -1 -0xbf80 -0xc9091b8b -256 -256 -0 -0 -0 -3 -0xe475 -0xced3106 -256 -256 -1 -0 -0 -2 -0x4f87 -0x6353210f -256 -256 -1 -0 -0 -3 -0x2bdc -0xa8d373c3 -256 -256 -1 -0 -0 -1 -0x62e2 -0x65c13b1a -256 -256 -0 -0 -0 -4 -0x3b07 -0x6bdc9562 -256 -256 -1 -0 -0 -4 -0xe8b7 -0xb1711f56 -256 -256 -0 -0 -0 -4 -0x9a29 -0x80a238bb -256 -256 -1 -0 -0 -4 -0x9471 -0x1291b160 -256 -256 -1 -0 -0 -4 -0x34a8 -0x71b4e5a4 -256 -256 -1 -0 -0 -4 -0x7160 -0x23bbb0c9 -256 -256 -1 -0 -0 -3 -0x3802 -0xa0a72bd4 -256 -256 -0 -0 -0 -2 -0xaa05 -0xc5612022 -256 -256 -1 -0 -0 -3 -0x4e1e -0xf20fe764 -256 -256 -0 -0 -0 -3 -0xf749 -0xd85fab3e -256 -256 -1 -0 -0 -4 -0x356b -0x7b1d8580 -256 -256 -0 -0 -0 -3 -0xfac4 -0x5b4b056f -256 -256 -1 -0 -0 -4 -0x4551 -0xf9053e13 -256 -256 -0 -0 -0 -3 -0x39df -0x38943b62 -256 -256 -1 -0 -0 -1 -0xe48f -0xdf2474ba -256 -256 -0 -0 -0 -2 -0x7456 -0x43fb6509 -256 -256 -0 -0 -0 -3 -0x92a4 -0x7404bfb2 -256 -256 -0 -0 -0 -4 -0xbd1e -0xc6b633d -256 -256 -1 -0 -0 -1 -0x59c8 -0xca970c9a -256 -256 -1 -0 -0 -2 -0x95ca -0x9a6bdc70 -256 -256 -1 -0 -0 -4 -0xb233 -0x7ebf0030 -256 -256 -1 -0 -0 -4 -0x685b -0x5695706e -256 -256 -0 -0 -0 -2 -0x109b -0x3111259a -256 -256 -1 -0 -0 -2 -0xba65 -0x41c91215 -256 -256 -1 -0 -0 -2 -0xbd3c -0x23e88514 -256 -256 -0 -0 -0 -1 -0xc906 -0x55b86c00 -256 -256 -1 -0 -0 -4 -0xeb6a -0xea1443c5 -256 -256 -1 -0 -0 -3 -0x5717 -0xb71cafa6 -256 -256 -0 -0 -0 -4 -0x2fa5 -0x632a1cc8 -256 -256 -1 -0 -0 -2 -0x9321 -0x7169a96d -256 -256 -0 -0 -0 -2 -0x1c7f -0xca732b3c -256 -256 -1 -0 -0 -3 -0xabcb -0xc1cea61c -256 -256 -1 -0 -0 -1 -0x38d4 -0x6336a1dc -256 -256 -1 -0 -0 -2 -0xe815 -0xcbc62f4 -256 -256 -1 -0 -0 -4 -0xf1b2 -0xb0e86c69 -256 -256 -0 -0 -0 -1 -0x5d54 -0xcd98bc18 -256 -256 -1 -0 -0 -2 -0x4adb -0x7bb1a7a -256 -256 -1 -0 -0 -2 -0x1ffa -0x6dc8db06 -256 -256 -1 -0 -0 -1 -0xa2e5 -0x5c9ae671 -256 -256 -1 -0 -0 -2 -0xa416 -0x5f95e629 -256 -256 -1 -0 -0 -2 -0x5f4c -0xd5812d11 -256 -256 -1 -0 -0 -1 -0x16f1 -0x5b1fa1a2 -256 -256 -1 -0 -0 -2 -0x17e9 -0xc5b6f40e -256 -256 -1 -0 -0 -4 -0xebc8 -0xa1ff6126 -256 -256 -0 -0 -0 -1 -0x647c -0xb5d4ddc5 -256 -256 -0 -0 -0 -2 -0x8cc6 -0x4f5cc3d4 -256 -256 -1 -0 -0 -3 -0xc34d -0xceb2f275 -256 -256 -0 -0 -0 -4 -0x2abe -0xecc10547 -256 -256 -0 -0 -0 -1 -0x2627 -0x700c474d -256 -256 -1 -0 -0 -4 -0xa976 -0x24bef79a -256 -256 -0 -0 -0 -2 -0x45b6 -0x437b33cb -256 -256 -0 -0 -0 -1 -0x9e26 -0x8901ba26 -256 -256 -1 -0 -0 -1 -0xfc39 -0x9de2d203 -256 -256 -0 -0 -0 -3 -0x6699 -0x549f9398 -256 -256 -1 -0 -0 -3 -0x2970 -0xb4e54708 -256 -256 -0 -0 -0 -4 -0x9ed7 -0x8a141292 -256 -256 -0 -0 -0 -1 -0x3758 -0x41e02489 -256 -256 -0 -0 -0 -4 -0xd761 -0xc8911131 -256 -256 -1 -0 -0 -1 -0xca96 -0x49eaa824 -256 -256 -0 -0 -0 -2 -0x378c -0x7d5d2b74 -256 -256 -0 -0 -0 -1 -0x1d2d -0xd9da3465 -256 -256 -0 -0 -0 -2 -0x8aa4 -0xd2da13de -256 -256 -0 -0 -0 -1 -0xbf4 -0x3af72646 -256 -256 -0 -0 -0 -3 -0x45e6 -0x91e2abff -256 -256 -0 -0 -0 -4 -0xdd9b -0xb185f66d -256 -256 -0 -0 -0 -1 -0xb60 -0x4b162643 -256 -256 -0 -0 -0 -3 -0x554a -0x5881b89 -256 -256 -0 -0 -0 -2 -0xec1c -0xea650737 -256 -256 -0 -0 -0 -1 -0xb558 -0x7ca23133 -256 -256 -0 -0 -0 -3 -0x1e88 -0xfe3f50c2 -256 -256 -1 -0 -0 -2 -0x3098 -0x72a696c2 -256 -256 -1 -0 -0 -2 -0x13cb -0xe963db42 -256 -256 -1 -0 -0 -2 -0xd312 -0xaa5bb238 -256 -256 -1 -0 -0 -4 -0x6279 -0x4ddeca45 -256 -256 -1 -0 -0 -4 -0xf892 -0x23ee9c3b -256 -256 -0 -0 -0 -3 -0xcacf -0x2cfabc31 -256 -256 -1 -0 -0 -2 -0xd069 -0xa9e75ff -256 -256 -0 -0 -0 -1 -0xf6ac -0xe6c9ebff -256 -256 -0 -0 -0 -2 -0x247 -0xe9e50eec -256 -256 -0 -0 -0 -2 -0x8760 -0x2eb2ec33 -256 -256 -0 -0 -0 -1 -0xc725 -0x10ce181c -256 -256 -1 -0 -0 -3 -0xcba4 -0x1be127e3 -256 -256 -0 -0 -0 -3 -0x89cd -0x6226a47 -256 -256 -0 -0 -0 -1 -0x5715 -0x8fa378d6 -256 -256 -1 -0 -0 -4 -0xb183 -0xbc25a81a -256 -256 -1 -0 -0 -1 -0x688b -0xd4a4e819 -256 -256 -0 -0 -0 -4 -0xad98 -0xc42381d6 -256 -256 -1 -0 -0 -1 -0x9411 -0x5b57c620 -256 -256 -1 -0 -0 -4 -0xb484 -0x82522d82 -256 -256 -0 -0 -0 -4 -0x27a5 -0xc7055cf3 -256 -256 -0 -0 -0 -4 -0xd65f -0x8cc5232 -256 -256 -1 -0 -0 -4 -0x70af -0x4e6a7e80 -256 -256 -0 -0 -0 -4 -0xa0c8 -0x4230ed02 -256 -256 -1 -0 -0 -2 -0x8b87 -0xa101b5df -256 -256 -1 -0 -0 -4 -0x4fd6 -0x77e5e706 -256 -256 -1 -0 -0 -1 -0x9cda -0xa1e6ebae -256 -256 -0 -0 -0 -2 -0xb0ee -0x46a0a975 -256 -256 -0 -0 -0 -1 -0x2e13 -0x184c4337 -256 -256 -1 -0 -0 -1 -0x6b40 -0x96b39e1b -256 -256 -1 -0 -0 -1 -0x7746 -0x99ec4f5d -256 -256 -0 -0 -0 -1 -0x2eb0 -0x85beac1d -256 -256 -0 -0 -0 -4 -0x52e1 -0x9f84fde1 -256 -256 -0 -0 -0 -2 -0x9e5 -0x6618dbb0 -256 -256 -0 -0 -0 -3 -0x1c40 -0xe0553ec5 -256 -256 -0 -0 -0 -1 -0xde29 -0xadaaeb57 -256 -256 -1 -0 -0 -3 -0xcaad -0xe47266aa -256 -256 -1 -0 -0 -4 -0x7e5b -0x4d39188f -256 -256 -1 -0 -0 -2 -0xdba1 -0x7823167c -256 -256 -0 -0 -0 -3 -0x1489 -0xdcc9f225 -256 -256 -1 -0 -0 -4 -0xfe1a -0x6c6a9dc0 -256 -256 -0 -0 -0 -2 -0x5c6c -0x7e920595 -256 -256 -1 -0 -0 -1 -0xc12f -0xcaca3309 -256 -256 -1 -0 -0 -3 -0x78 -0x3d1ceffc -256 -256 -1 -0 -0 -4 -0xd8a9 -0x8acff88b -256 -256 -0 -0 -0 -3 -0x6a59 -0x1d87d386 -256 -256 -0 -0 -0 -2 -0xfa49 -0x11e992e7 -256 -256 -0 -0 -0 -4 -0x724a -0x3f5366ca -256 -256 -1 -0 -0 -1 -0xa10 -0x8262969a -256 -256 -1 -0 -0 -4 -0xef6c -0x5fb0b9b0 -256 -256 -0 -0 -0 -3 -0xcb6f -0xc3bd9e9b -256 -256 -0 -0 -0 -4 -0x1797 -0xb39875ae -256 -256 -1 -0 -0 -2 -0x52f6 -0xaba339a4 -256 -256 -1 -0 -0 -4 -0x84e3 -0x8d3dd169 -256 -256 -1 -0 -0 -1 -0x598c -0x7a9d091 -256 -256 -1 -0 -0 -3 -0x1f43 -0x2fc1e5a3 -256 -256 -1 -0 -0 -4 -0x2598 -0xa4c81fe8 -256 -256 -0 -0 -0 -1 -0x8282 -0x535f8c81 -256 -256 -0 -0 -0 -2 -0xc2d5 -0x25f0783b -256 -256 -1 -0 -0 -3 -0xd0b0 -0x434749f1 -256 -256 -0 -0 -0 -2 -0xe7ae -0xbb6bf8f4 -256 -256 -0 -0 -0 -4 -0xa628 -0x406d25c6 -256 -256 -0 -0 -0 -2 -0x6bc9 -0x1542e58a -256 -256 -0 -0 -0 -4 -0x5de -0x43747c79 -256 -256 -0 -0 -0 -2 -0x4b68 -0xce6f6528 -256 -256 -0 -0 -0 -2 -0x9bdb -0x3ae0e5f6 -256 -256 -1 -0 -0 -4 -0x1f5 -0x83598ab6 -256 -256 -1 -0 -0 -4 -0x9fb7 -0x85d3edea -256 -256 -0 -0 -0 -4 -0xab6e -0xa0451189 -256 -256 -0 -0 -0 -3 -0x176 -0x97e13962 -256 -256 -0 -0 -0 -3 -0x86ad -0xa3b3fcf2 -256 -256 -0 -0 -0 -2 -0x1475 -0x3cebd1df -256 -256 -0 -0 -0 -3 -0x2c99 -0xa32e1022 -256 -256 -1 -0 -0 -1 -0xae1 -0xdde5b205 -256 -256 -0 -0 -0 -1 -0x674c -0x958f8d49 -256 -256 -1 -0 -0 -1 -0x21dd -0x3145d114 -256 -256 -0 -0 -0 -4 -0x9931 -0xad7f4c92 -256 -256 -0 -0 -0 -1 -0xcca9 -0xe0f84056 -256 -256 -0 -0 -0 -4 -0xe275 -0x52141fbd -256 -256 -1 -0 -0 -4 -0xe2d0 -0xe58b83d4 -256 -256 -0 -0 -0 -1 -0x2546 -0x5c413aba -256 -256 -0 -0 -0 -1 -0x3d55 -0x46ab7994 -256 -256 -1 -0 -0 -1 -0xbc3d -0x45f7ce3f -256 -256 -0 -0 -0 -4 -0x5653 -0x83826941 -256 -256 -1 -0 -0 -1 -0x306d -0x9bf89776 -256 -256 -1 -0 -0 -4 -0x6afa -0x27d52fde -256 -256 -1 -0 -0 -2 -0xc0ba -0xb8351a60 -256 -256 -0 -0 -0 -4 -0x99cb -0x9e6941c3 -256 -256 -0 -0 -0 -4 -0xd812 -0x54ed9d5d -256 -256 -1 -0 -0 -1 -0x1245 -0xb5441daf -256 -256 -0 -0 -0 -1 -0x330f -0xe23e265f -256 -256 -1 -0 -0 -4 -0xba04 -0xc33700f6 -256 -256 -1 -0 -0 -4 -0xc3f6 -0x19269c27 -256 -256 -0 -0 -0 -1 -0x6df3 -0xc7a75e24 -256 -256 -1 -0 -0 -3 -0x3f2c -0x1584f814 -256 -256 -1 -0 -0 -1 -0x3ef3 -0x907f340d -256 -256 -0 -0 -0 -4 -0xc4b6 -0x195a9fe6 -256 -256 -1 -0 -0 -3 -0x1f43 -0x362632ca -256 -256 -0 -0 -0 -1 -0x7b37 -0x488bc239 -256 -256 -1 -0 -0 -1 -0x3cbe -0xea78696 -256 -256 -0 -0 -0 -1 -0xa5f3 -0xc5013de9 -256 -256 -1 -0 -0 -4 -0x92c0 -0xc1514491 -256 -256 -1 -0 -0 -2 -0xf91e -0xfe0708bf -256 -256 -0 -0 -0 -3 -0x783b -0xdd6c4707 -256 -256 -0 -0 -0 -2 -0x3bbd -0x92946917 -256 -256 -1 -0 -0 -4 -0x1370 -0xfd1311a9 -256 -256 -0 -0 -0 -1 -0x211a -0x56440686 -256 -256 -0 -0 -0 -3 -0x77ff -0x6244711d -256 -256 -0 -0 -0 -4 -0xf47f -0x9c284f5c -256 -256 -0 -0 -0 -4 -0xd10f -0x257d4193 -256 -256 -1 -0 -0 -4 -0x27b0 -0x183beaa3 -256 -256 -0 -0 -0 -1 -0x7600 -0xf1c579bd -256 -256 -1 -0 -0 -3 -0x30a7 -0xfd58a07e -256 -256 -1 -0 -0 -2 -0xada9 -0xddcc5975 -256 -256 -1 -0 -0 -2 -0x3aa0 -0x2f61e939 -256 -256 -0 -0 -0 -3 -0x326a -0xd7d16429 -256 -256 -1 -0 -0 -4 -0x685b -0x81e0defc -256 -256 -0 -0 -0 -3 -0xf925 -0xa6c61f29 -256 -256 -1 -0 -0 -2 -0xc0e2 -0x62e96cc0 -256 -256 -1 -0 -0 -1 -0x1c80 -0x4a9bcefb -256 -256 -0 -0 -0 -4 -0xbbd7 -0xb898d065 -256 -256 -1 -0 -0 -4 -0x3c1c -0x946c7dac -256 -256 -1 -0 -0 -3 -0x842c -0x42e5b9f1 -256 -256 -0 -0 -0 -2 -0x4140 -0x8d40bcf0 -256 -256 -1 -0 -0 -2 -0x20d3 -0x88071dec -256 -256 -0 -0 -0 -1 -0x4173 -0x113b5b0b -256 -256 -1 -0 -0 -1 -0xf8aa -0x41f018ce -256 -256 -0 -0 -0 -3 -0x763 -0xabee9b3d -256 -256 -1 -0 -0 -1 -0x86c1 -0x6747c5dd -256 -256 -0 -0 -0 -2 -0x3fc4 -0x9814056d -256 -256 -1 -0 -0 -2 -0x4b7 -0x70450559 -256 -256 -0 -0 -0 -3 -0xbb0f -0xfab64cbe -256 -256 -1 -0 -0 -2 -0x3e05 -0x261a30d8 -256 -256 -1 -0 -0 -1 -0x2af0 -0xb819d10c -256 -256 -1 -0 -0 -4 -0x33e4 -0xd467a862 -256 -256 -1 -0 -0 -3 -0x42fd -0x34187b1b -256 -256 -1 -0 -0 -3 -0xa207 -0x8eb003b4 -256 -256 -0 -0 -0 -2 -0x3367 -0x4236754a -256 -256 -1 -0 -0 -1 -0xbabb -0x6ae25400 -256 -256 -1 -0 -0 -2 -0xacc0 -0x9522e140 -256 -256 -1 -0 -0 -3 -0xc145 -0x6c9dd4ff -256 -256 -0 -0 -0 -4 -0x634a -0x318d00cb -256 -256 -1 -0 -0 -3 -0x824c -0x8978576 -256 -256 -0 -0 -0 -3 -0x7dd2 -0xfd24ab81 -256 -256 -0 -0 -0 -4 -0x525b -0x184384d5 -256 -256 -1 -0 -0 -1 -0x2008 -0x9ae7e24c -256 -256 -1 -0 -0 -3 -0x3719 -0xa27f47e5 -256 -256 -1 -0 -0 -2 -0xc179 -0xf3a9c9af -256 -256 -0 -0 -0 -4 -0xfbd4 -0xece4455 -256 -256 -0 -0 -0 -3 -0xaa05 -0x220e5c41 -256 -256 -0 -0 -0 -4 -0x6602 -0xeeb83e06 -256 -256 -1 -0 -0 -4 -0xdf2e -0x75eeea2c -256 -256 -1 -0 -0 -3 -0x9eaa -0xe6d305e1 -256 -256 -1 -0 -0 -2 -0xade0 -0xf774b43f -256 -256 -1 -0 -0 -3 -0x1356 -0x6f1b6f7d -256 -256 -1 -0 -0 -2 -0x990b -0x4f6be228 -256 -256 -0 -0 -0 -3 -0x3adb -0xf1ef4a4d -256 -256 -0 -0 -0 -2 -0x74b3 -0xe3a897ac -256 -256 -1 -0 -0 -4 -0x2c76 -0x5b9d62b2 -256 -256 -0 -0 -0 -1 -0xbc42 -0x8ef16851 -256 -256 -1 -0 -0 -4 -0x7617 -0x72fcf879 -256 -256 -1 -0 -0 -4 -0x41ed -0xbfa24f50 -256 -256 -0 -0 -0 -2 -0x37fc -0x1779757d -256 -256 -1 -0 -0 -2 -0x53cf -0xce5ecb7c -256 -256 -0 -0 -0 -1 -0x93e3 -0xcdba06a -256 -256 -0 -0 -0 -1 -0xa77 -0x9c0f0924 -256 -256 -1 -0 -0 -3 -0x2d68 -0x77da412c -256 -256 -0 -0 -0 -2 -0x9648 -0x816ca8b1 -256 -256 -0 -0 -0 -1 -0xb4e5 -0x7aa7eae1 -256 -256 -0 -0 -0 -4 -0x9f92 -0x807f2aa2 -256 -256 -1 -0 -0 -2 -0x537 -0xb07ec829 -256 -256 -1 -0 -0 -1 -0xa2fc -0x8ecb79a2 -256 -256 -1 -0 -0 -1 -0x8146 -0x38f43d4b -256 -256 -1 -0 -0 -1 -0x3bf6 -0x99f1e17 -256 -256 -1 -0 -0 -4 -0x3af6 -0x47ca3b13 -256 -256 -1 -0 -0 -2 -0xcbcc -0x80e323f9 -256 -256 -1 -0 -0 -2 -0x8616 -0x987857ad -256 -256 -1 -0 -0 -3 -0xd5f9 -0xbdd31748 -256 -256 -0 -0 -0 -2 -0x9d05 -0x42ec3035 -256 -256 -1 -0 -0 -1 -0x4782 -0xffc65d21 -256 -256 -1 -0 -0 -2 -0xf0c7 -0x6b2c84d4 -256 -256 -0 -0 -0 -2 -0xcded -0xf90b1f1 -256 -256 -0 -0 -0 -1 -0xd062 -0x4471e78e -256 -256 -0 -0 -0 -4 -0xc544 -0x2032989c -256 -256 -1 -0 -0 -1 -0xead9 -0x7f0f2c5b -256 -256 -1 -0 -0 -3 -0xf6e4 -0x1eb2c65f -256 -256 -1 -0 -0 -1 -0x5bf8 -0x669573c6 -256 -256 -1 -0 -0 -2 -0x5ecf -0x19a5a779 -256 -256 -0 -0 -0 -1 -0x894 -0x9c15d95b -256 -256 -0 -0 -0 -1 -0xbd90 -0x355713cc -256 -256 -0 -0 -0 -2 -0x992a -0x67bff273 -256 -256 -1 -0 -0 -2 -0xb3e -0x546e51c2 -256 -256 -1 -0 -0 -2 -0xe0f4 -0xd4e547c7 -256 -256 -1 -0 -0 -3 -0x12f0 -0x6bb4f072 -256 -256 -1 -0 -0 -1 -0xe9fd -0x86cd38df -256 -256 -0 -0 -0 -3 -0x2c9b -0x9baa12ab -256 -256 -1 -0 -0 -3 -0x8367 -0x2313db7b -256 -256 -1 -0 -0 -3 -0x511 -0x890564c7 -256 -256 -0 -0 -0 -3 -0x5e72 -0xbfc17e77 -256 -256 -0 -0 -0 -1 -0x7932 -0x814cf2e3 -256 -256 -1 -0 -0 -1 -0xdaaf -0xbd2ea0e0 -256 -256 -1 -0 -0 -2 -0xbd4a -0x3752b8d0 -256 -256 -0 -0 -0 -3 -0x2301 -0x3b1a1 -256 -256 -1 -0 -0 -1 -0x11f0 -0xc6d5510 -256 -256 -0 -0 -0 -1 -0x6494 -0x9dd94c2a -256 -256 -0 -0 -0 -2 -0xf0ec -0x3e4f8554 -256 -256 -0 -0 -0 -4 -0x5ce8 -0xb6d33b73 -256 -256 -1 -0 -0 -1 -0x8216 -0xf17ce2db -256 -256 -0 -0 -0 -2 -0x7a1d -0x7dd25cdd -256 -256 -0 -0 -0 -4 -0x7748 -0x77b74d4d -256 -256 -1 -0 -0 -3 -0xbb60 -0x29443d62 -256 -256 -0 -0 -0 -4 -0x5ac9 -0x2d83f994 -256 -256 -0 -0 -0 -1 -0x9dde -0xb8c2aad2 -256 -256 -0 -0 -0 -3 -0xeb0c -0x780c164a -256 -256 -1 -0 -0 -2 -0x44f -0xff48e3bb -256 -256 -1 -0 -0 -2 -0x4ab3 -0x7ad984dd -256 -256 -1 -0 -0 -2 -0xc4c -0x47583280 -256 -256 -0 -0 -0 -2 -0xab58 -0x973d4cb4 -256 -256 -0 -0 -0 -4 -0x7bc9 -0x7f4166a2 -256 -256 -0 -0 -0 -4 -0x423e -0x11cf1ef1 -256 -256 -1 -0 -0 -3 -0xf22c -0xbd73c7ff -256 -256 -0 -0 -0 -4 -0x6f9c -0xc939cbaf -256 -256 -1 -0 -0 -2 -0x673f -0x10744a14 -256 -256 -0 -0 -0 -2 -0x485e -0x1cd73dcb -256 -256 -1 -0 -0 -2 -0x6a47 -0x23870210 -256 -256 -0 -0 -0 -3 -0x301d -0x4744a16c -256 -256 -1 -0 -0 -2 -0xaaed -0x18da11bf -256 -256 -1 -0 -0 -2 -0xf202 -0x59f4d61f -256 -256 -1 -0 -0 -4 -0xc290 -0x3871f8f8 -256 -256 -0 -0 -0 -4 -0x8041 -0x9c86b97e -256 -256 -0 -0 -0 -2 -0x1842 -0x337ed89 -256 -256 -1 -0 -0 -3 -0x90b6 -0x36ec520d -256 -256 -0 -0 -0 -1 -0x8c86 -0xad2575ce -256 -256 -0 -0 -0 -2 -0x5817 -0xee5b9ff1 -256 -256 -0 -0 -0 -2 -0x857a -0x22006ca -256 -256 -1 -0 -0 -4 -0x2df9 -0x2d4a7d66 -256 -256 -1 -0 -0 -2 -0x25ab -0xe057db04 -256 -256 -1 -0 -0 -2 -0x10e7 -0x2daa260f -256 -256 -1 -0 -0 -4 -0x4073 -0x71097238 -256 -256 -0 -0 -0 -1 -0x973a -0x102a1f3c -256 -256 -1 -0 -0 -3 -0xfe52 -0x509f80ae -256 -256 -0 -0 -0 -4 -0x490d -0xc69005f7 -256 -256 -0 -0 -0 -4 -0xd5b9 -0x887d63d3 -256 -256 -1 -0 -0 -1 -0xaa90 -0x5799339f -256 -256 -1 -0 -0 -3 -0x473f -0x436c2f3d -256 -256 -0 -0 -0 -3 -0xbe4 -0x830ebdbf -256 -256 -1 -0 -0 -1 -0x29d7 -0x2591bec3 -256 -256 -0 -0 -0 -1 -0x9b5c -0x7ed82bb4 -256 -256 -0 -0 -0 -3 -0xd042 -0x8fbd02bc -256 -256 -1 -0 -0 -2 -0x76e0 -0xfcf8181e -256 -256 -1 -0 -0 -2 -0x6a82 -0x60dbca06 -256 -256 -0 -0 -0 -3 -0x61d6 -0xb61f6d91 -256 -256 -1 -0 -0 -1 -0x48ed -0x91ee13db -256 -256 -1 -0 -0 -3 -0x88e2 -0x82e7705 -256 -256 -0 -0 -0 -4 -0x3de4 -0x92c79812 -256 -256 -1 -0 -0 -2 -0xfeb0 -0xc3800054 -256 -256 -1 -0 -0 -3 -0xd6a7 -0x2c017642 -256 -256 -1 -0 -0 -2 -0x777c -0x1c07709f -256 -256 -0 -0 -0 -2 -0x500 -0xeb80447e -256 -256 -1 -0 -0 -4 -0x8bb -0x8d71f5ce -256 -256 -1 -0 -0 -1 -0x76c9 -0xd6b6f5e4 -256 -256 -1 -0 -0 -3 -0xf4bc -0x6a99f67e -256 -256 -0 -0 -0 -3 -0xcb21 -0x4a566ae -256 -256 -0 -0 -0 -4 -0x4d0 -0x7a4e2d4a -256 -256 -0 -0 -0 -3 -0xafc1 -0xac437ff1 -256 -256 -0 -0 -0 -4 -0x9328 -0xfda558a7 -256 -256 -1 -0 -0 -4 -0x2053 -0x1f2bcc9b -256 -256 -1 -0 -0 -1 -0x3506 -0xa4591464 -256 -256 -1 -0 -0 -1 -0x201 -0x5a3059c4 -256 -256 -0 -0 -0 -1 -0x54a0 -0xd2a695d -256 -256 -1 -0 -0 -3 -0x81bd -0x2d1c4d51 -256 -256 -1 -0 -0 -2 -0x3c61 -0x7bfefaee -256 -256 -1 -0 -0 -3 -0xe204 -0x2a6359ac -256 -256 -0 -0 -0 -4 -0xf63 -0xd2420c93 -256 -256 -1 -0 -0 -2 -0x4bfd -0x8c9e13c4 -256 -256 -1 -0 -0 -2 -0x6ee -0xf3588b61 -256 -256 -1 -0 -0 -2 -0xa15e -0xd6d81c10 -256 -256 -1 -0 -0 -1 -0x49b2 -0xedb5c67e -256 -256 -1 -0 -0 -3 -0x4414 -0x4d341ad8 -256 -256 -1 -0 -0 -4 -0x8d0f -0x24587e5d -256 -256 -1 -0 -0 -4 -0x2785 -0x9cb4fc80 -256 -256 -0 -0 -0 -3 -0x445a -0x402a6380 -256 -256 -1 -0 -0 -4 -0xcf7b -0xc4d8c823 -256 -256 -1 -0 -0 -2 -0x1ad5 -0xa2945828 -256 -256 -0 -0 -0 -4 -0xdb21 -0x6776dce5 -256 -256 -0 -0 -0 -1 -0x4d15 -0xad3b163 -256 -256 -0 -0 -0 -1 -0x25b8 -0xb85c9270 -256 -256 -1 -0 -0 -4 -0x7dae -0x46cd3f2f -256 -256 -1 -0 -0 -4 -0x5a34 -0x4925b87 -256 -256 -1 -0 -0 -1 -0x1657 -0x355ff160 -256 -256 -0 -0 -0 -4 -0x8474 -0x689f1d09 -256 -256 -0 -0 -0 -4 -0xefa7 -0x47fae2f -256 -256 -1 -0 -0 -4 -0x2f4d -0x9a0cc963 -256 -256 -1 -0 -0 -3 -0xb41e -0xb5f222c8 -256 -256 -0 -0 -0 -3 -0x3f7 -0xa865a83e -256 -256 -0 -0 -0 -4 -0x50e -0xb2f5c56f -256 -256 -0 -0 -0 -1 -0x9952 -0xb65e601e -256 -256 -0 -0 -0 -1 -0x3b2d -0x51a6e9d9 -256 -256 -0 -0 -0 -3 -0x29f1 -0x9c03195b -256 -256 -0 -0 -0 -4 -0xb1a6 -0x13f28de9 -256 -256 -0 -0 -0 -4 -0x5ee9 -0xf896e104 -256 -256 -0 -0 -0 -1 -0x36fd -0xd085a7aa -256 -256 -1 -0 -0 -1 -0xf315 -0xa4c1490c -256 -256 -1 -0 -0 -1 -0x246f -0x876e8e12 -256 -256 -0 -0 -0 -4 -0xda15 -0x35e53a10 -256 -256 -1 -0 -0 -4 -0xf4c7 -0xc56712bf -256 -256 -0 -0 -0 -3 -0xc4a -0xd75ec60f -256 -256 -0 -0 -0 -2 -0x4bac -0xaaa87049 -256 -256 -1 -0 -0 -3 -0xfdb2 -0x67c0b92b -256 -256 -0 -0 -0 -4 -0xd56a -0x4f7d6d27 -256 -256 -1 -0 -0 -4 -0xacb6 -0x9519c199 -256 -256 -1 -0 -0 -4 -0x64f4 -0xc5196985 -256 -256 -1 -0 -0 -4 -0x787d -0x90e31468 -256 -256 -1 -0 -0 -1 -0x871c -0x65b123d4 -256 -256 -1 -0 -0 -1 -0xcb8e -0x62d5553e -256 -256 -0 -0 -0 -1 -0xc9a0 -0x3f38b82a -256 -256 -0 -0 -0 -1 -0x291e -0x7171fabf -256 -256 -0 -0 -0 -2 -0x89c6 -0x4a96bfd9 -256 -256 -0 -0 -0 -2 -0x43bd -0x9886413f -256 -256 -0 -0 -0 -4 -0x862f -0xed08b16e -256 -256 -1 -0 -0 -3 -0x17bb -0xf3120084 -256 -256 -0 -0 -0 -2 -0x6726 -0xf37b7bec -256 -256 -1 -0 -0 -3 -0x4963 -0xa8e0b21b -256 -256 -1 -0 -0 -2 -0xe68a -0xda760952 -256 -256 -0 -0 -0 -1 -0x78df -0xf784f714 -256 -256 -0 -0 -0 -1 -0xf3a9 -0xfb481149 -256 -256 -1 -0 -0 -2 -0x7b2e -0x7063e737 -256 -256 -1 -0 -0 -1 -0x4077 -0x2774d110 -256 -256 -0 -0 -0 -1 -0xe164 -0xb4a4fcc9 -256 -256 -0 -0 -0 -3 -0xc0c0 -0xcf45f09b -256 -256 -1 -0 -0 -1 -0x74e8 -0xbb7dacae -256 -256 -1 -0 -0 -1 -0x319e -0x68f80ffc -256 -256 -0 -0 -0 -4 -0x2a22 -0x4ed36b41 -256 -256 -0 -0 -0 -2 -0xe653 -0x10246f0a -256 -256 -0 -0 -0 -4 -0xa1c4 -0x718454f3 -256 -256 -0 -0 -0 -2 -0x1cd6 -0x5f309289 -256 -256 -1 -0 -0 -3 -0xb7fb -0xe8b46b75 -256 -256 -0 -0 -0 -1 -0x3157 -0xc6d6b506 -256 -256 -0 -0 -0 -1 -0xf112 -0x15cf718c -256 -256 -0 -0 -0 -4 -0x1862 -0x43253e42 -256 -256 -1 -0 -0 -4 -0xa40 -0x82eaea4a -256 -256 -1 -0 -0 -1 -0x5a52 -0xa8d88316 -256 -256 -0 -0 -0 -2 -0xe5d2 -0x6f857c49 -256 -256 -1 -0 -0 -4 -0x477a -0x4551cf39 -256 -256 -0 -0 -0 -4 -0xcfff -0x8ce9aa7d -256 -256 -0 -0 -0 -4 -0xd5b -0xbda3ed9 -256 -256 -0 -0 -0 -4 -0x5dd0 -0x36ab453d -256 -256 -1 -0 -0 -2 -0xde37 -0x221b829 -256 -256 -0 -0 -0 -2 -0x146 -0xd935ff50 -256 -256 -1 -0 -0 -2 -0xa4f -0x1cf29b76 -256 -256 -1 -0 -0 -3 -0xb3e4 -0x2285c9cf -256 -256 -0 -0 -0 -1 -0x6005 -0x6b17e9c7 -256 -256 -0 -0 -0 -3 -0xeae2 -0xb3dd9395 -256 -256 -1 -0 -0 -1 -0x5d52 -0xf85ba762 -256 -256 -0 -0 -0 -3 -0xf0ef -0xb641eba -256 -256 -1 -0 -0 -2 -0x9984 -0xe37e27ee -256 -256 -0 -0 -0 -3 -0x3f40 -0x85cd21b -256 -256 -1 -0 -0 -3 -0xa845 -0xec9da1c1 -256 -256 -0 -0 -0 -1 -0xe2ca -0x4bab57d1 -256 -256 -1 -0 -0 -3 -0x7589 -0xf212dbea -256 -256 -0 -0 -0 -2 -0x66a7 -0xab9cd3fb -256 -256 -1 -0 -0 -1 -0x7a98 -0x63d4b8dd -256 -256 -1 -0 -0 -4 -0xde9e -0x64822725 -256 -256 -1 -0 -0 -2 -0xc82e -0x850013f4 -256 -256 -0 -0 -0 -2 -0xda8d -0x12db3de -256 -256 -0 -0 -0 -4 -0x4c5d -0x2c4ced57 -256 -256 -1 -0 -0 -1 -0x6a90 -0xeb01b651 -256 -256 -1 -0 -0 -4 -0x6af8 -0x762cab77 -256 -256 -0 -0 -0 -1 -0x9f2b -0xb3b2a3fc -256 -256 -0 -0 -0 -2 -0xbdba -0xd2aaf5f6 -256 -256 -0 -0 -0 -4 -0xc3bd -0x82ca2100 -256 -256 -0 -0 -0 -2 -0x2e5a -0x663c3d16 -256 -256 -0 -0 -0 -4 -0xdfb -0x64dc9b91 -256 -256 -1 -0 -0 -1 -0xccc6 -0xa244fdc1 -256 -256 -1 -0 -0 -4 -0x774c -0x93f9be56 -256 -256 -0 -0 -0 -2 -0x8ad4 -0x650e1b72 -256 -256 -0 -0 -0 -3 -0xa2d9 -0x666216ce -256 -256 -0 -0 -0 -1 -0x825e -0x2421fdfe -256 -256 -0 -0 -0 -4 -0x246e -0xaced732a -256 -256 -1 -0 -0 -2 -0xee6f -0x4bef7e8b -256 -256 -1 -0 -0 -3 -0xf8e9 -0x2267a4cd -256 -256 -0 -0 -0 -1 -0xc61f -0x57fbb17b -256 -256 -0 -0 -0 -3 -0xc256 -0xb1ad933e -256 -256 -0 -0 -0 -2 -0xc6f6 -0x3f0f5fe6 -256 -256 -0 -0 -0 -2 -0xd53c -0x70f62b5d -256 -256 -1 -0 -0 -4 -0x74f0 -0x56ae7bd1 -256 -256 -1 -0 -0 -2 -0x8178 -0xbfd2ad38 -256 -256 -1 -0 -0 -1 -0x137 -0x94a99cc9 -256 -256 -1 -0 -0 -2 -0xaa1d -0x38792c53 -256 -256 -1 -0 -0 -1 -0x8d9c -0x4178d15c -256 -256 -1 -0 -0 -1 -0xce4c -0x972de382 -256 -256 -0 -0 -0 -2 -0x3773 -0xd2031dc -256 -256 -1 -0 -0 -4 -0x847 -0x884a7c35 -256 -256 -1 -0 -0 -4 -0xaee8 -0x7d62e94c -256 -256 -0 -0 -0 -3 -0xd804 -0xefaefc79 -256 -256 -1 -0 -0 -3 -0xf240 -0xbe9d0b75 -256 -256 -0 -0 -0 -1 -0x3004 -0xa70f101 -256 -256 -1 -0 -0 -1 -0x4d5c -0x165532b -256 -256 -1 -0 -0 -1 -0xf44e -0x1cc9257e -256 -256 -0 -0 -0 -1 -0x57a -0x4e46d0ee -256 -256 -0 -0 -0 -4 -0x2ac7 -0xf9e18c78 -256 -256 -0 -0 -0 -1 -0x74b6 -0x84a14446 -256 -256 -1 -0 -0 -3 -0x9d89 -0x977b9ccb -256 -256 -0 -0 -0 -4 -0x3725 -0x36560f20 -256 -256 -0 -0 -0 -2 -0x6ddd -0x58d83984 -256 -256 -1 -0 -0 -2 -0x5288 -0xbc25fe60 -256 -256 -1 -0 -0 -1 -0x4d69 -0x117fc309 -256 -256 -1 -0 -0 -1 -0x8375 -0x3256779a -256 -256 -0 -0 -0 -3 -0x8aa2 -0xc00abd3e -256 -256 -0 -0 -0 -2 -0xee8a -0x804602f2 -256 -256 -0 -0 -0 -3 -0xb3a -0xf0afec5f -256 -256 -1 -0 -0 -3 -0x7582 -0xc92b80b1 -256 -256 -0 -0 -0 -3 -0x713d -0xa574871a -256 -256 -0 -0 -0 -3 -0xd791 -0x826792c8 -256 -256 -1 -0 -0 -1 -0x1991 -0xf28cbc5e -256 -256 -0 -0 -0 -1 -0xaf27 -0xb104b961 -256 -256 -0 -0 -0 -3 -0x6292 -0xad813ebb -256 -256 -1 -0 -0 -2 -0xb0be -0x1b0d0a14 -256 -256 -1 -0 -0 -1 -0xc94e -0x231e4e3c -256 -256 -0 -0 -0 -1 -0xaeb1 -0x6947b0ce -256 -256 -0 -0 -0 -1 -0xb624 -0x5ea54611 -256 -256 -0 -0 -0 -3 -0x46e4 -0x325e57b3 -256 -256 -1 -0 -0 -1 -0xf28c -0xe2a2e22f -256 -256 -0 -0 -0 -2 -0x8a88 -0x7f20c5db -256 -256 -0 -0 -0 -1 -0x7904 -0xb3c7ffed -256 -256 -1 -0 -0 -3 -0x9a33 -0xbe049998 -256 -256 -1 -0 -0 -4 -0x392b -0x3b1a58f8 -256 -256 -0 -0 -0 -3 -0x6eaf -0xada50b6 -256 -256 -1 -0 -0 -2 -0x42a6 -0xe73bb36c -256 -256 -0 -0 -0 -2 -0xaa6a -0x732ac3dc -256 -256 -1 -0 -0 -1 -0x552d -0xd7480e23 -256 -256 -0 -0 -0 -2 -0x6e68 -0x86370d27 -256 -256 -0 -0 -0 -1 -0xa325 -0xd8b352c0 -256 -256 -1 -0 -0 -4 -0xa320 -0x41775d24 -256 -256 -0 -0 -0 -1 -0x704 -0x9dc96125 -256 -256 -0 -0 -0 -1 -0xe3ec -0xc4434cdc -256 -256 -1 -0 -0 -4 -0xa90d -0x1c32736e -256 -256 -0 -0 -0 -4 -0x130 -0xb8038f3 -256 -256 -0 -0 -0 -3 -0x8b0b -0x1a80bbfd -256 -256 -0 -0 -0 -4 -0x7a80 -0xc6c70f2d -256 -256 -1 -0 -0 -3 -0x20cc -0xc93aed5c -256 -256 -0 -0 -0 -2 -0xc6b4 -0xbfaed3b5 -256 -256 -1 -0 -0 -3 -0x2968 -0xbd78be4a -256 -256 -1 -0 -0 -3 -0xc7b3 -0xa0f034b7 -256 -256 -1 -0 -0 -3 -0x8054 -0x31d800b7 -256 -256 -0 -0 -0 -3 -0xfba5 -0x27a09015 -256 -256 -0 -0 -0 -3 -0xa64d -0xd987f348 -256 -256 -0 -0 -0 -2 -0x567b -0x38456669 -256 -256 -1 -0 -0 -1 -0xdfe9 -0xad422842 -256 -256 -1 -0 -0 -1 -0xb829 -0x99689c4 -256 -256 -1 -0 -0 -3 -0xdd0d -0x4b1cca6 -256 -256 -1 -0 -0 -3 -0x5e3e -0xda82d1d5 -256 -256 -1 -0 -0 -4 -0xb41 -0xc9ed6c1a -256 -256 -1 -0 -0 -4 -0x1ee -0xc75b70cc -256 -256 -1 -0 -0 -2 -0xb52a -0xde6c7541 -256 -256 -1 -0 -0 -4 -0xf606 -0x2e0a8254 -256 -256 -0 -0 -0 -3 -0x4b90 -0x55a18bc6 -256 -256 -0 -0 -0 -3 -0xfd9c -0x6d9d2433 -256 -256 -1 -0 -0 -1 -0xa1b7 -0x8f266c1a -256 -256 -1 -0 -0 -2 -0x55b2 -0x2f684e9 -256 -256 -0 -0 -0 -2 -0xc496 -0x656c22af -256 -256 -0 -0 -0 -4 -0x8a51 -0xeee1af21 -256 -256 -0 -0 -0 -1 -0xb88e -0x498b88d6 -256 -256 -1 -0 -0 -3 -0x671e -0x341fcf29 -256 -256 -0 -0 -0 -2 -0x4eb8 -0x90fd955c -256 -256 -0 -0 -0 -1 -0x908f -0xb47162ec -256 -256 -1 -0 -0 -2 -0x433f -0x9a31e421 -256 -256 -1 -0 -0 -1 -0x300a -0x1b5156b1 -256 -256 -0 -0 -0 -2 -0xe92d -0xb25ab438 -256 -256 -0 -0 -0 -2 -0xd616 -0xd38dcec4 -256 -256 -0 -0 -0 -4 -0x1206 -0x951e7d42 -256 -256 -0 -0 -0 -3 -0xb2fe -0x1a9e2f86 -256 -256 -1 -0 -0 -1 -0xfc5f -0x23e0d0eb -256 -256 -0 -0 -0 -2 -0x974c -0xfb35519a -256 -256 -1 -0 -0 -2 -0x8010 -0x80fb6c01 -256 -256 -1 -0 -0 -4 -0xce15 -0x717efb1e -256 -256 -0 -0 -0 -3 -0x652a -0xfc2419e5 -256 -256 -1 -0 -0 -3 -0x4afe -0x1b51550a -256 -256 -1 -0 -0 -1 -0x8c9 -0x629c3b14 -256 -256 -1 -0 -0 -3 -0xdf3b -0x82fe95ef -256 -256 -0 -0 -0 -1 -0x5dd7 -0xec8cda72 -256 -256 -1 -0 -0 -3 -0x8990 -0x461dbcdf -256 -256 -1 -0 -0 -3 -0x83c1 -0x213165d5 -256 -256 -1 -0 -0 -2 -0x82be -0x3072cc53 -256 -256 -0 -0 -0 -1 -0xe99b -0xf552a918 -256 -256 -0 -0 -0 -4 -0xb9a1 -0x40b5b62b -256 -256 -0 -0 -0 -4 -0xb801 -0xf01e36b4 -256 -256 -1 -0 -0 -1 -0xca46 -0x4ae8ef95 -256 -256 -0 -0 -0 -4 -0x6fa9 -0x6927d36b -256 -256 -1 -0 -0 -2 -0xad2b -0x9c200923 -256 -256 -0 -0 -0 -1 -0x4fc -0x41b7a4e7 -256 -256 -0 -0 -0 -1 -0x2b36 -0x34026499 -256 -256 -1 -0 -0 -1 -0x2e07 -0xcd6eb7a9 -256 -256 -0 -0 -0 -2 -0x2c59 -0x5236621c -256 -256 -1 -0 -0 -1 -0x5a2 -0xb8b328a0 -256 -256 -1 -0 -0 -2 -0x2b0e -0x3bb0b1d1 -256 -256 -0 -0 -0 -2 -0x437f -0xab3c791f -256 -256 -0 -0 -0 -1 -0x24ec -0x84f9f380 -256 -256 -0 -0 -0 -1 -0x752c -0x66308ffa -256 -256 -0 -0 -0 -3 -0x7406 -0x90b75fa0 -256 -256 -1 -0 -0 -4 -0xd169 -0xb6e27f05 -256 -256 -0 -0 -0 -4 -0xb59 -0xf41d7738 -256 -256 -0 -0 -0 -2 -0xacdf -0xdb6fa104 -256 -256 -1 -0 -0 -3 -0x4263 -0x212b9ce9 -256 -256 -0 -0 -0 -3 -0x7f9d -0x5c24792e -256 -256 -1 -0 -0 -2 -0x9ead -0x9fc53408 -256 -256 -0 -0 -0 -4 -0xe370 -0x3d3b1718 -256 -256 -0 -0 -0 -1 -0xd971 -0x5d229270 -256 -256 -0 -0 -0 -2 -0x590e -0xed853306 -256 -256 -1 -0 -0 -1 -0x111d -0x7745b136 -256 -256 -0 -0 -0 -3 -0x8975 -0x7a402f2b -256 -256 -1 -0 -0 -1 -0xc686 -0xbbcf7d5 -256 -256 -1 -0 -0 -4 -0x9bdf -0xf65abb5a -256 -256 -0 -0 -0 -4 -0x2440 -0xf44aa0a6 -256 -256 -0 -0 -0 -4 -0x573e -0x626df64c -256 -256 -0 -0 -0 -3 -0xf417 -0xaa940dbf -256 -256 -1 -0 -0 -1 -0x4a5b -0xaf6acb1b -256 -256 -1 -0 -0 -1 -0x57af -0xb933757b -256 -256 -1 -0 -0 -2 -0x5286 -0xbbe0ef8d -256 -256 -1 -0 -0 -2 -0x548b -0xd872065a -256 -256 -0 -0 -0 -3 -0xfc3f -0xe3e48a27 -256 -256 -1 -0 -0 -1 -0x9f10 -0x7df342b5 -256 -256 -0 -0 -0 -1 -0x935d -0xf256368a -256 -256 -1 -0 -0 -3 -0xe6c7 -0x6b29cd8c -256 -256 -0 -0 -0 -3 -0x8644 -0xa270bb73 -256 -256 -0 -0 -0 -1 -0xa9f -0xaec2361d -256 -256 -1 -0 -0 -3 -0x6d24 -0x82b0252f -256 -256 -1 -0 -0 -4 -0xb78c -0x97a68884 -256 -256 -0 -0 -0 -2 -0x4f97 -0xa5297ad0 -256 -256 -0 -0 -0 -4 -0x3799 -0xb1637575 -256 -256 -1 -0 -0 -4 -0x918f -0x433effec -256 -256 -0 -0 -0 -4 -0x5c62 -0x4b320dbf -256 -256 -1 -0 -0 -3 -0x9655 -0x2ac10169 -256 -256 -1 -0 -0 -2 -0x547e -0x7a0a2a67 -256 -256 -0 -0 -0 -2 -0x8b5f -0x6245fadd -256 -256 -0 -0 -0 -1 -0x89a1 -0xe5edd027 -256 -256 -1 -0 -0 -1 -0x88be -0x89950844 -256 -256 -0 -0 -0 -4 -0xe7e4 -0x29b9cd36 -256 -256 -1 -0 -0 -2 -0x82a5 -0x5bc4cb23 -256 -256 -0 -0 -0 -3 -0xe895 -0xc4f37dfe -256 -256 -1 -0 -0 -3 -0x7852 -0xff3b9cf3 -256 -256 -0 -0 -0 -3 -0x9c77 -0x9470e9d5 -256 -256 -1 -0 -0 -3 -0xe05a -0xc91ff2c7 -256 -256 -1 -0 -0 -4 -0xd6d8 -0xc69f62c -256 -256 -1 -0 -0 -3 -0xa282 -0x31e1bfe8 -256 -256 -1 -0 -0 -2 -0x86f4 -0x775cf5db -256 -256 -0 -0 -0 -3 -0xc312 -0xa5f0f70b -256 -256 -1 -0 -0 -3 -0xe527 -0x1166f2a8 -256 -256 -0 -0 -0 -3 -0xb796 -0xc45d42cb -256 -256 -1 -0 -0 -3 -0xc7b0 -0x883e4952 -256 -256 -0 -0 -0 -2 -0xc1b3 -0x9be70468 -256 -256 -0 -0 -0 -4 -0xc861 -0x81f2090a -256 -256 -0 -0 -0 -2 -0x708e -0x601d9e91 -256 -256 -0 -0 -0 -2 -0x1d14 -0x8a9b794e -256 -256 -0 -0 -0 -3 -0x6d7a -0xaa814a27 -256 -256 -0 -0 -0 -1 -0xd920 -0xbf00eb75 -256 -256 -1 -0 -0 -4 -0x1a4c -0xf99a5a56 -256 -256 -1 -0 -0 -4 -0x19c6 -0x8997bf01 -256 -256 -0 -0 -0 -3 -0x2ba3 -0x13b110b3 -256 -256 -0 -0 -0 -1 -0x66e1 -0xfe613ae2 -256 -256 -1 -0 -0 -2 -0xefb1 -0x78b8cb4e -256 -256 -0 -0 -0 -1 -0xfcd6 -0xde9c4070 -256 -256 -1 -0 -0 -3 -0x3ca6 -0x9b3927e3 -256 -256 -1 -0 -0 -2 -0xb706 -0x86a5eff4 -256 -256 -0 -0 -0 -1 -0x9d6e -0x23ce61fb -256 -256 -0 -0 -0 -2 -0x1f7d -0x98b8395b -256 -256 -0 -0 -0 -2 -0xcb38 -0x10f2f898 -256 -256 -1 -0 -0 -1 -0x5d7d -0xc3fff126 -256 -256 -1 -0 -0 -2 -0x8d63 -0xe2811c0c -256 -256 -1 -0 -0 -1 -0xdce -0x3d607fc -256 -256 -1 -0 -0 -1 -0xaa58 -0xf8dfc941 -256 -256 -0 -0 -0 -2 -0x6e21 -0xbe71bd49 -256 -256 -1 -0 -0 -2 -0xb728 -0xa3aff25 -256 -256 -0 -0 -0 -1 -0x6f2 -0xbb366d0f -256 -256 -1 -0 -0 -2 -0x870 -0x3fe9cf21 -256 -256 -1 -0 -0 -1 -0x2657 -0x288fdcf0 -256 -256 -1 -0 -0 -3 -0x9c15 -0x79deeb91 -256 -256 -0 -0 -0 -1 -0x5d65 -0x6373b069 -256 -256 -1 -0 -0 -4 -0x3ae2 -0xfea3ec3e -256 -256 -1 -0 -0 -3 -0x9d97 -0x6b8a077d -256 -256 -0 -0 -0 -3 -0x5570 -0xbe641d05 -256 -256 -0 -0 -0 -3 -0x8eac -0xad31b0a0 -256 -256 -0 -0 -0 -4 -0xc05d -0x4917f16a -256 -256 -1 -0 -0 -2 -0x8296 -0x5bf71686 -256 -256 -0 -0 -0 -2 -0x9389 -0x3b222b18 -256 -256 -0 -0 -0 -2 -0xaddd -0x44b439fb -256 -256 -1 -0 -0 -1 -0x5338 -0xd6bbb220 -256 -256 -0 -0 -0 -1 -0xff34 -0xc777325e -256 -256 -1 -0 -0 -2 -0x788b -0x5e89faca -256 -256 -1 -0 -0 -3 -0xee6 -0x5366686a -256 -256 -1 -0 -0 -3 -0xec9e -0x6657d0d8 -256 -256 -1 -0 -0 -4 -0x7e88 -0xe6a956d3 -256 -256 -1 -0 -0 -2 -0xe552 -0x5b105f11 -256 -256 -1 -0 -0 -1 -0x47d0 -0xb9f94384 -256 -256 -1 -0 -0 -2 -0x80b0 -0x22d6e31b -256 -256 -0 -0 -0 -1 -0x2d53 -0xf0e9bcc9 -256 -256 -1 -0 -0 -1 -0xdbc6 -0x3102f002 -256 -256 -1 -0 -0 -3 -0xccb3 -0x8f9ee30c -256 -256 -1 -0 -0 -1 -0x1dec -0xb360e523 -256 -256 -0 -0 -0 -4 -0x91f4 -0xafc8beff -256 -256 -1 -0 -0 -3 -0x4786 -0xc897e909 -256 -256 -1 -0 -0 -3 -0xc34d -0xaba06a91 -256 -256 -1 -0 -0 -1 -0xadc9 -0x5b7df553 -256 -256 -0 -0 -0 -3 -0x9700 -0xba49bfc9 -256 -256 -1 -0 -0 -4 -0x9bdf -0x148689c0 -256 -256 -0 -0 -0 -2 -0x6ae2 -0x1ae95ca6 -256 -256 -0 -0 -0 -2 -0x8192 -0xbc5d060c -256 -256 -1 -0 -0 -4 -0x11ba -0x2fb6a685 -256 -256 -1 -0 -0 -4 -0xe46c -0x5d2f6092 -256 -256 -0 -0 -0 -4 -0xcf9c -0xb1e5a54a -256 -256 -0 -0 -0 -4 -0x20f1 -0xdeb61ec4 -256 -256 -0 -0 -0 -4 -0xd935 -0x122f72b5 -256 -256 -0 -0 -0 -1 -0x5f58 -0xad5f0592 -256 -256 -1 -0 -0 -1 -0x4f46 -0xa3b4da41 -256 -256 -1 -0 -0 -3 -0xe136 -0x6aa7ff1a -256 -256 -1 -0 -0 -3 -0xc19e -0x9d66b6ba -256 -256 -1 -0 -0 -3 -0xc43a -0xa83479b1 -256 -256 -1 -0 -0 -4 -0x8b7f -0xb08029c2 -256 -256 -0 -0 -0 -2 -0x41f6 -0xcb0990cf -256 -256 -1 -0 -0 -3 -0x86d5 -0xc254c3b2 -256 -256 -0 -0 -0 -2 -0x9bd7 -0x7c397dca -256 -256 -0 -0 -0 -3 -0xa16 -0xb33e7e60 -256 -256 -0 -0 -0 -2 -0x2948 -0x6ef82a96 -256 -256 -0 -0 -0 -2 -0x4324 -0x7eb757ef -256 -256 -1 -0 -0 -2 -0xbe8a -0xc345b6b2 -256 -256 -0 -0 -0 -1 -0x154b -0xe9021a76 -256 -256 -1 -0 -0 -4 -0x9bdd -0x57c312eb -256 -256 -1 -0 -0 -1 -0xec10 -0xb9f9b68 -256 -256 -1 -0 -0 -2 -0xeb9e -0x5d3c23e3 -256 -256 -0 -0 -0 -2 -0xea5f -0x8cdf0ce9 -256 -256 -0 -0 -0 -2 -0xe475 -0x33bc788d -256 -256 -0 -0 -0 -4 -0xa761 -0x15b73bd4 -256 -256 -1 -0 -0 -3 -0x447c -0x849b73b0 -256 -256 -1 -0 -0 -3 -0xa99c -0x4992dd4d -256 -256 -0 -0 -0 -1 -0x1bd8 -0x4666932f -256 -256 -0 -0 -0 -2 -0x316f -0xa3875e6 -256 -256 -1 -0 -0 -1 -0x7c04 -0x3f6f4a88 -256 -256 -1 -0 -0 -3 -0xce4d -0x681b1fab -256 -256 -1 -0 -0 -1 -0x29f1 -0xe2f4bdd2 -256 -256 -0 -0 -0 -1 -0xab82 -0x51094073 -256 -256 -0 -0 -0 -2 -0x2e8 -0xaa7c8fdb -256 -256 -1 -0 -0 -4 -0xfd59 -0xe3324d90 -256 -256 -1 -0 -0 -3 -0xb562 -0xc8831375 -256 -256 -1 -0 -0 -3 -0x9555 -0x5c0546d4 -256 -256 -0 -0 -0 -2 -0x8920 -0x340a1f93 -256 -256 -1 -0 -0 -2 -0x4855 -0xf07340db -256 -256 -1 -0 -0 -3 -0xe6d2 -0x4578342e -256 -256 -0 -0 -0 -3 -0x81ae -0xbcf32ed6 -256 -256 -0 -0 -0 -2 -0x6d0c -0xceba83dc -256 -256 -1 -0 -0 -2 -0x5249 -0x2110f358 -256 -256 -1 -0 -0 -3 -0x9891 -0x3544f798 -256 -256 -1 -0 -0 -2 -0xb758 -0x7745c25a -256 -256 -1 -0 -0 -3 -0xe40a -0xc419c88d -256 -256 -0 -0 -0 -1 -0x677d -0x45c5b89c -256 -256 -0 -0 -0 -1 -0xcc86 -0x62442c9d -256 -256 -1 -0 -0 -2 -0xee4 -0x43894146 -256 -256 -1 -0 -0 -3 -0xff6e -0xca85fe67 -256 -256 -0 -0 -0 -2 -0x8783 -0x6af782fc -256 -256 -1 -0 -0 -2 -0x9244 -0xdc79eae0 -256 -256 -1 -0 -0 -4 -0x32af -0xae32b4dc -256 -256 -1 -0 -0 -1 -0xf9fb -0xcc142b38 -256 -256 -1 -0 -0 -1 -0x4438 -0xd3d6abdd -256 -256 -1 -0 -0 -4 -0xbb76 -0x4afb5e29 -256 -256 -1 -0 -0 -3 -0x8ec3 -0xed51e5fb -256 -256 -0 -0 -0 -2 -0x8eb3 -0x27d50c25 -256 -256 -1 -0 -0 -2 -0xfca2 -0xe86faeed -256 -256 -1 -0 -0 -3 -0xe0cb -0xd799cda2 -256 -256 -1 -0 -0 -4 -0x7d68 -0x557561bf -256 -256 -0 -0 -0 -3 -0x952a -0xea9a50fb -256 -256 -1 -0 -0 -4 -0x2fcd -0x2a16f3d9 -256 -256 -1 -0 -0 -1 -0xbf59 -0xd680906b -256 -256 -1 -0 -0 -4 -0xcac6 -0xcafa3914 -256 -256 -0 -0 -0 -4 -0xf2fd -0x6d5fcbfa -256 -256 -0 -0 -0 -2 -0x905a -0x1182d327 -256 -256 -1 -0 -0 -2 -0x9236 -0x9bbc19aa -256 -256 -1 -0 -0 -3 -0xf29b -0xaf41eec3 -256 -256 -0 -0 -0 -3 -0xc4bb -0x12fe806a -256 -256 -1 -0 -0 -1 -0x902f -0x83e30743 -256 -256 -0 -0 -0 -1 -0x169f -0xabebba38 -256 -256 -1 -0 -0 -1 -0x5aec -0x167326b3 -256 -256 -1 -0 -0 -2 -0x2144 -0x36b62049 -256 -256 -0 -0 -0 -4 -0xbb -0x38e5307c -256 -256 -0 -0 -0 -2 -0x47ad -0xa7995f49 -256 -256 -0 -0 -0 -2 -0x9601 -0xd4966807 -256 -256 -0 -0 -0 -2 -0x469b -0xa3f2d3f4 -256 -256 -1 -0 -0 -4 -0xc77c -0xbd8c17dc -256 -256 -1 -0 -0 -4 -0x8492 -0x2473ec8f -256 -256 -0 -0 -0 -1 -0xbc94 -0xe7fc596d -256 -256 -1 -0 -0 -2 -0x7e1 -0xe3f3c439 -256 -256 -0 -0 -0 -3 -0xb3cf -0xdabaf12f -256 -256 -1 -0 -0 -1 -0x5060 -0x2ee9f88f -256 -256 -1 -0 -0 -1 -0xcc18 -0x85f2c49b -256 -256 -0 -0 -0 -1 -0xe1a1 -0x5f36dda4 -256 -256 -0 -0 -0 -1 -0x78d5 -0xac8e99b5 -256 -256 -1 -0 -0 -4 -0x1f4a -0x549e2ab1 -256 -256 -0 -0 -0 -1 -0x6560 -0x9a11fec9 -256 -256 -0 -0 -0 -1 -0x5049 -0xffe3db82 -256 -256 -1 -0 -0 -2 -0x3442 -0xd0367a1 -256 -256 -1 -0 -0 -4 -0x413e -0xe5d0ba64 -256 -256 -0 -0 -0 -1 -0x9c9b -0xf94e3194 -256 -256 -1 -0 -0 -1 -0x77b2 -0xa0fc5fef -256 -256 -0 -0 -0 -4 -0xffe5 -0x1da8636e -256 -256 -1 -0 -0 -3 -0xa0f5 -0xda50bcac -256 -256 -1 -0 -0 -3 -0x89a3 -0x7ff0c2b1 -256 -256 -1 -0 -0 -3 -0xc092 -0x7c9eff32 -256 -256 -1 -0 -0 -3 -0x3842 -0x3917ce94 -256 -256 -1 -0 -0 -1 -0xedb3 -0x38694e82 -256 -256 -0 -0 -0 -3 -0x7a18 -0x75ab1f3f -256 -256 -0 -0 -0 -1 -0xbfb1 -0x11dff0c3 -256 -256 -0 -0 -0 -4 -0xc447 -0x79923f6c -256 -256 -1 -0 -0 -2 -0xdf01 -0x48894c2c -256 -256 -0 -0 -0 -3 -0x4b19 -0x9a958ca6 -256 -256 -1 -0 -0 -4 -0x4a4f -0x2fe00f09 -256 -256 -0 -0 -0 -2 -0x388d -0x2eb8a952 -256 -256 -0 -0 -0 -2 -0xdb01 -0xc6bf49a4 -256 -256 -0 -0 -0 -2 -0xeb08 -0xf0d3f8c3 -256 -256 -1 -0 -0 -3 -0x6c81 -0x272d3624 -256 -256 -0 -0 -0 -4 -0x73ba -0x13ccc31 -256 -256 -0 -0 -0 -2 -0x56f4 -0xdc343966 -256 -256 -1 -0 -0 -3 -0xc98 -0xa57237e5 -256 -256 -0 -0 -0 -3 -0xe368 -0x2e5be7ef -256 -256 -0 -0 -0 -3 -0xc996 -0x4808b29 -256 -256 -0 -0 -0 -4 -0x9740 -0xa4934d29 -256 -256 -0 -0 -0 -1 -0x6bf7 -0xad24e9a7 -256 -256 -1 -0 -0 -3 -0x9367 -0xb5cb39ee -256 -256 -0 -0 -0 -4 -0x8086 -0xcd3fd893 -256 -256 -0 -0 -0 -1 -0x1429 -0x648beb2e -256 -256 -1 -0 -0 -3 -0x4a59 -0x88f056d0 -256 -256 -0 -0 -0 -2 -0xb3ca -0x35945596 -256 -256 -1 -0 -0 -4 -0x49f7 -0x3f05d5e2 -256 -256 -0 -0 -0 -2 -0xd667 -0xd1bc607e -256 -256 -1 -0 -0 -2 -0xcb07 -0x1b9f4541 -256 -256 -1 -0 -0 -2 -0xe5a0 -0x7215300e -256 -256 -1 -0 -0 -3 -0x6abf -0x9fa2f2ae -256 -256 -0 -0 -0 -1 -0x8c31 -0x780cebbe -256 -256 -1 -0 -0 -4 -0x473 -0x44a9639f -256 -256 -0 -0 -0 -2 -0xcc5 -0x9de03141 -256 -256 -0 -0 -0 -4 -0x656d -0xb23209d -256 -256 -0 -0 -0 -1 -0x5188 -0xa6a782dd -256 -256 -1 -0 -0 -1 -0xd32e -0x3c29ff6e -256 -256 -1 -0 -0 -2 -0xff00 -0x14200b49 -256 -256 -1 -0 -0 -4 -0x9e71 -0x13a3b7a -256 -256 -1 -0 -0 -3 -0xf74e -0x7b23bc4c -256 -256 -1 -0 -0 -4 -0x332f -0x9949626 -256 -256 -0 -0 -0 -3 -0x1bdc -0x7ec50005 -256 -256 -1 -0 -0 -4 -0xcd81 -0xbe312bc6 -256 -256 -1 -0 -0 -4 -0x470c -0x8837edd1 -256 -256 -1 -0 -0 -1 -0xd10d -0xb96bb8c7 -256 -256 -0 -0 -0 -3 -0x7f17 -0x611adb21 -256 -256 -0 -0 -0 -2 -0x8118 -0xb5b7a4d -256 -256 -0 -0 -0 -4 -0xba76 -0x78423f0f -256 -256 -0 -0 -0 -2 -0x821a -0x65b1847d -256 -256 -0 -0 -0 -3 -0x53d1 -0x98283fbe -256 -256 -1 -0 -0 -4 -0x3302 -0x4d33c93 -256 -256 -1 -0 -0 -4 -0x4af2 -0xfb4c8314 -256 -256 -0 -0 -0 -1 -0x6a9c -0x97036fc9 -256 -256 -1 -0 -0 -4 -0xf5a7 -0x682731e2 -256 -256 -0 -0 -0 -3 -0xfcc8 -0x992de8e -256 -256 -1 -0 -0 -1 -0x6e86 -0x56317a41 -256 -256 -1 -0 -0 -1 -0x3614 -0xd0eaba6 -256 -256 -1 -0 -0 -2 -0xeb6f -0x235c4f6a -256 -256 -0 -0 -0 -4 -0xda4c -0xa7657a26 -256 -256 -0 -0 -0 -1 -0xa01f -0x4b50477a -256 -256 -0 -0 -0 -1 -0xa070 -0x9aee22f5 -256 -256 -0 -0 -0 -1 -0xc0c1 -0x1d8ec0 -256 -256 -1 -0 -0 -1 -0xa485 -0xe909f993 -256 -256 -0 -0 -0 -1 -0xd71c -0xf923c19f -256 -256 -1 -0 -0 -2 -0xf310 -0x40212f16 -256 -256 -1 -0 -0 -3 -0x12f -0x6abad792 -256 -256 -0 -0 -0 -2 -0xccba -0x89d8bf5b -256 -256 -1 -0 -0 -4 -0xcbfc -0xcb95ba31 -256 -256 -1 -0 -0 -2 -0xa961 -0xacb941ea -256 -256 -0 -0 -0 -4 -0x7a24 -0xaf57c834 -256 -256 -0 -0 -0 -2 -0x1b97 -0x83deaa68 -256 -256 -0 -0 -0 -3 -0x769 -0x8372bd45 -256 -256 -1 -0 -0 -3 -0x8698 -0x473c8671 -256 -256 -1 -0 -0 -4 -0x4290 -0x3f2d3d44 -256 -256 -0 -0 -0 -2 -0xeb27 -0x1cc0e8a4 -256 -256 -0 -0 -0 -1 -0x4e8 -0x19b4664c -256 -256 -1 -0 -0 -3 -0xa2c1 -0xa6e67e78 -256 -256 -0 -0 -0 -4 -0x2d53 -0x7cb5ee1a -256 -256 -1 -0 -0 -3 -0x835a -0xfa775c45 -256 -256 -0 -0 -0 -2 -0x2e29 -0xdb4f3a07 -256 -256 -1 -0 -0 -1 -0xfe9 -0xc31e059b -256 -256 -0 -0 -0 -3 -0xa167 -0xd2fdd416 -256 -256 -1 -0 -0 -2 -0x3f27 -0x20156ff6 -256 -256 -0 -0 -0 -3 -0xeb2f -0x81e82db4 -256 -256 -1 -0 -0 -2 -0xe763 -0xd08094af -256 -256 -0 -0 -0 -4 -0xd206 -0xd231dce7 -256 -256 -1 -0 -0 -3 -0xfa70 -0x1ad0adae -256 -256 -1 -0 -0 -3 -0x7868 -0x55569665 -256 -256 -0 -0 -0 -4 -0xf7fe -0x93a7e5de -256 -256 -0 -0 -0 -1 -0xcad4 -0x636bee2 -256 -256 -1 -0 -0 -3 -0x9da9 -0x4347330 -256 -256 -1 -0 -0 -1 -0xa4bf -0x5ab49085 -256 -256 -0 -0 -0 -1 -0x4220 -0x3242d708 -256 -256 -1 -0 -0 -3 -0x1059 -0xbc594c35 -256 -256 -0 -0 -0 -3 -0x4230 -0x87f0de9 -256 -256 -0 -0 -0 -3 -0xc228 -0xef627ae4 -256 -256 -1 -0 -0 -1 -0x5369 -0x14651696 -256 -256 -1 -0 -0 -4 -0xbba9 -0x9e1d9946 -256 -256 -0 -0 -0 -2 -0x554c -0xa093a8c8 -256 -256 -0 -0 -0 -2 -0xdc24 -0x33d498b3 -256 -256 -0 -0 -0 -3 -0x1277 -0x98dd300a -256 -256 -1 -0 -0 -4 -0xb218 -0x9fb1bfff -256 -256 -1 -0 -0 -3 -0xb740 -0x2e62eedb -256 -256 -0 -0 -0 -3 -0x9c97 -0xe32f2d9d -256 -256 -0 -0 -0 -3 -0x4183 -0xae8ac7bf -256 -256 -1 -0 -0 -4 -0xe942 -0x77ef839c -256 -256 -1 -0 -0 -2 -0x49a7 -0x48d0b6da -256 -256 -1 -0 -0 -3 -0x69fc -0x1ed54371 -256 -256 -1 -0 -0 -4 -0xe36d -0xf6e5b79c -256 -256 -1 -0 -0 -2 -0xc8ba -0x203cabb6 -256 -256 -1 -0 -0 -1 -0x6227 -0x58b8e313 -256 -256 -0 -0 -0 -1 -0x5abe -0xcbb9aa07 -256 -256 -0 -0 -0 -1 -0xb278 -0x17f75222 -256 -256 -1 -0 -0 -1 -0xe45f -0x151d3998 -256 -256 -0 -0 -0 -1 -0x4aba -0x51c82ec3 -256 -256 -1 -0 -0 -1 -0x8896 -0x75c7a0bf -256 -256 -1 -0 -0 -2 -0x8eab -0x270c5e54 -256 -256 -0 -0 -0 -1 -0xd781 -0x8066b2e7 -256 -256 -0 -0 -0 -4 -0x8e3a -0x9812516c -256 -256 -0 -0 -0 -4 -0x7c1b -0xac0336be -256 -256 -0 -0 -0 -4 -0xb6f -0xfb11cb19 -256 -256 -1 -0 -0 -3 -0x61d6 -0x5de07ce -256 -256 -1 -0 -0 -2 -0x7661 -0xb272069b -256 -256 -1 -0 -0 -2 -0x6469 -0x43ac97c2 -256 -256 -1 -0 -0 -4 -0x1fb0 -0xd5f19ab5 -256 -256 -0 -0 -0 -1 -0xf67 -0x843ccf41 -256 -256 -1 -0 -0 -4 -0x6787 -0x188347c3 -256 -256 -1 -0 -0 -4 -0xdf01 -0x5ef05f64 -256 -256 -0 -0 -0 -4 -0xe247 -0x586e531d -256 -256 -0 -0 -0 -1 -0x9537 -0x6f656372 -256 -256 -1 -0 -0 -3 -0x73c0 -0x87c7a45b -256 -256 -0 -0 -0 -4 -0x37e7 -0x38cc9fbb -256 -256 -0 -0 -0 -1 -0x7eea -0x21ef9e4c -256 -256 -1 -0 -0 -4 -0x78c8 -0xd7abbd81 -256 -256 -1 -0 -0 -4 -0x91a5 -0xd601cf4f -256 -256 -0 -0 -0 -1 -0x9494 -0x98d502c1 -256 -256 -1 -0 -0 -2 -0x3137 -0x95667d36 -256 -256 -1 -0 -0 -2 -0x39d1 -0x4ac97fb5 -256 -256 -0 -0 -0 -4 -0x27a4 -0xb09b95e7 -256 -256 -1 -0 -0 -3 -0x3a2e -0x4fb154b1 -256 -256 -1 -0 -0 -2 -0xae81 -0x1cc37f3a -256 -256 -1 -0 -0 -1 -0x957c -0x2e8bc273 -256 -256 -0 -0 -0 -4 -0xee45 -0xf071120c -256 -256 -1 -0 -0 -2 -0xcdda -0x13c310ef -256 -256 -0 -0 -0 -3 -0x52ca -0x2fdc2e2d -256 -256 -1 -0 -0 -4 -0xb0ea -0x7d88a53f -256 -256 -1 -0 -0 -2 -0xf99b -0x1af15486 -256 -256 -1 -0 -0 -2 -0xbd27 -0x4629e7ed -256 -256 -0 -0 -0 -3 -0xb0d8 -0xcf713c6 -256 -256 -0 -0 -0 -2 -0x7eb -0x774cd009 -256 -256 -1 -0 -0 -2 -0x394a -0x3363e9da -256 -256 -1 -0 -0 -2 -0x6c93 -0x52cc1248 -256 -256 -1 -0 -0 -4 -0xce88 -0x9432675a -256 -256 -1 -0 -0 -3 -0x2ca6 -0x581ca5cd -256 -256 -1 -0 -0 -1 -0x1382 -0x62259fb9 -256 -256 -0 -0 -0 -3 -0x94f -0x1511443 -256 -256 -0 -0 -0 -2 -0x5df6 -0x2f0ad94a -256 -256 -1 -0 -0 -4 -0x7305 -0x120f17a9 -256 -256 -0 -0 -0 -2 -0xa9a1 -0xbaa95f90 -256 -256 -0 -0 -0 -4 -0xcabf -0x34b8d452 -256 -256 -1 -0 -0 -4 -0x970f -0x5cc45732 -256 -256 -0 -0 -0 -4 -0xb623 -0x79029fe2 -256 -256 -1 -0 -0 -1 -0x1165 -0xd9b2efc9 -256 -256 -1 -0 -0 -2 -0x6bb6 -0x6259ac3b -256 -256 -0 -0 -0 -3 -0x735e -0x50f09743 -256 -256 -0 -0 -0 -1 -0x56be -0x7dea8795 -256 -256 -0 -0 -0 -3 -0xb84 -0x6c0dd32 -256 -256 -0 -0 -0 -3 -0xf542 -0xcaa34313 -256 -256 -1 -0 -0 -4 -0xb3d4 -0x9fac392b -256 -256 -1 -0 -0 -1 -0x85a6 -0x798263a2 -256 -256 -1 -0 -0 -2 -0x28f3 -0xce45fc44 -256 -256 -0 -0 -0 -1 -0xcf87 -0xf280bd3c -256 -256 -1 -0 -0 -4 -0xefa4 -0x180378bb -256 -256 -1 -0 -0 -4 -0x7ae7 -0x81d57ae2 -256 -256 -0 -0 -0 -3 -0x3e5f -0xec35e981 -256 -256 -1 -0 -0 -2 -0x971e -0xb2091a2f -256 -256 -1 -0 -0 -2 -0x4dc6 -0x77ace9f0 -256 -256 -0 -0 -0 -1 -0xc5a2 -0xe4b77ad8 -256 -256 -0 -0 -0 -4 -0x1b55 -0x2c3b5c4b -256 -256 -0 -0 -0 -2 -0xbe97 -0xe7ade0e -256 -256 -1 -0 -0 -4 -0xc06a -0x8d1ad96 -256 -256 -0 -0 -0 -1 -0xbebb -0x8a356909 -256 -256 -1 -0 -0 -4 -0x3c30 -0x48b51ea0 -256 -256 -1 -0 -0 -1 -0x61a -0xd5ba42f9 -256 -256 -0 -0 -0 -2 -0x8e1b -0x3d001bb7 -256 -256 -0 -0 -0 -4 -0xafca -0x84338ad9 -256 -256 -0 -0 -0 -1 -0x861a -0x57fa9e32 -256 -256 -0 -0 -0 -1 -0x9c8 -0xfcd910f -256 -256 -0 -0 -0 -1 -0x5a8 -0x8beb5f60 -256 -256 -0 -0 -0 -3 -0xbb5c -0xc17e3b34 -256 -256 -0 -0 -0 -1 -0x4312 -0x434eeb75 -256 -256 -1 -0 -0 -3 -0x8302 -0xc18dc9f1 -256 -256 -0 -0 -0 -4 -0x7390 -0xbd91368a -256 -256 -0 -0 -0 -1 -0xb914 -0x48f7d1b2 -256 -256 -0 -0 -0 -2 -0x26b0 -0x96903837 -256 -256 -1 -0 -0 -4 -0xe28c -0x167e6262 -256 -256 -1 -0 -0 -2 -0x4d8e -0xaa393a38 -256 -256 -1 -0 -0 -4 -0x6e3b -0xc9cd6333 -256 -256 -0 -0 -0 -3 -0x81bb -0xe94f9f15 -256 -256 -0 -0 -0 -2 -0x7966 -0x6a5cb727 -256 -256 -0 -0 -0 -1 -0x7feb -0x9b307c38 -256 -256 -0 -0 -0 -1 -0x5509 -0x31766b14 -256 -256 -0 -0 -0 -2 -0x6688 -0x1a447657 -256 -256 -1 -0 -0 -3 -0x39de -0xdba2eb75 -256 -256 -1 -0 -0 -4 -0xc758 -0xe8481256 -256 -256 -1 -0 -0 -4 -0x5ff2 -0xb31b5e5b -256 -256 -1 -0 -0 -1 -0x3ca9 -0xfd008fb9 -256 -256 -1 -0 -0 -1 -0xae9b -0x3027409d -256 -256 -1 -0 -0 -3 -0x66cd -0xe63cb6c8 -256 -256 -0 -0 -0 -4 -0x4190 -0x8be0925a -256 -256 -0 -0 -0 -3 -0x6de -0x47631001 -256 -256 -1 -0 -0 -2 -0x87a -0x773dedf -256 -256 -1 -0 -0 -2 -0x6fb7 -0x411fbf85 -256 -256 -0 -0 -0 -2 -0x4d3d -0x50969d0d -256 -256 -1 -0 -0 -1 -0xbadd -0x8167dcd1 -256 -256 -0 -0 -0 -2 -0x8f92 -0xa526424c -256 -256 -0 -0 -0 -3 -0xe3be -0x71081ede -256 -256 -0 -0 -0 -4 -0x18c8 -0x6494ba32 -256 -256 -0 -0 -0 -3 -0x8d10 -0xbeda1aa -256 -256 -0 -0 -0 -2 -0x7c6a -0xafc2767b -256 -256 -0 -0 -0 -1 -0x7bd7 -0xc40e66c0 -256 -256 -1 -0 -0 -1 -0x118a -0x6d0aabe -256 -256 -1 -0 -0 -1 -0x86bf -0x95ebf1e7 -256 -256 -1 -0 -0 -4 -0x4e6e -0x7200756 -256 -256 -1 -0 -0 -4 -0xc4a8 -0x205ed474 -256 -256 -1 -0 -0 -1 -0xd839 -0x7c9b75cb -256 -256 -0 -0 -0 -2 -0x10f3 -0x8ed91b7d -256 -256 -1 -0 -0 -3 -0xdbae -0xd4241d5c -256 -256 -1 -0 -0 -1 -0x9f64 -0xce6f6ca1 -256 -256 -1 -0 -0 -2 -0xe86a -0xd2fd48d0 -256 -256 -0 -0 -0 -3 -0x7a62 -0x939e631b -256 -256 -0 -0 -0 -2 -0x85b -0x504d8975 -256 -256 -0 -0 -0 -2 -0x4160 -0x4cd3c946 -256 -256 -0 -0 -0 -3 -0xf4d9 -0xdbc63bdb -256 -256 -0 -0 -0 -2 -0xd01b -0xc21ba7d1 -256 -256 -0 -0 -0 -1 -0x4ced -0xc1170829 -256 -256 -1 -0 -0 -1 -0x7e7d -0xc54759da -256 -256 -1 -0 -0 -3 -0x55c -0xfa3c8f90 -256 -256 -1 -0 -0 -1 -0xea1 -0xc03a9b4b -256 -256 -1 -0 -0 -2 -0x3e07 -0x4822db4d -256 -256 -1 -0 -0 -3 -0x3cf3 -0xb3e37683 -256 -256 -0 -0 -0 -1 -0xf9a6 -0xc69e851c -256 -256 -1 -0 -0 -2 -0x16ac -0xd3918f29 -256 -256 -1 -0 -0 -1 -0x725 -0x747d84e2 -256 -256 -0 -0 -0 -3 -0x810c -0x3d26641d -256 -256 -0 -0 -0 -1 -0x6bbe -0x2cf88abd -256 -256 -1 -0 -0 -3 -0xaac7 -0x67653ff5 -256 -256 -1 -0 -0 -1 -0xa772 -0x6c3381da -256 -256 -0 -0 -0 -3 -0x30ec -0xa290e28d -256 -256 -1 -0 -0 -1 -0x271e -0xd5e56e2d -256 -256 -0 -0 -0 -3 -0xc8ea -0xc2c8b466 -256 -256 -0 -0 -0 -1 -0xe9a9 -0x853e267c -256 -256 -1 -0 -0 -1 -0x7955 -0x2c4fe59 -256 -256 -0 -0 -0 -3 -0x819c -0x62e7f05c -256 -256 -0 -0 -0 -4 -0xf6dc -0xa4ce4336 -256 -256 -1 -0 -0 -3 -0x63e3 -0xbd74a9cd -256 -256 -1 -0 -0 -3 -0x6c37 -0x45b25d64 -256 -256 -1 -0 -0 -3 -0xe1bd -0x732a59b9 -256 -256 -0 -0 -0 -3 -0x2e72 -0xe088b016 -256 -256 -0 -0 -0 -1 -0x6fca -0x4d859810 -256 -256 -0 -0 -0 -2 -0xe98f -0x17d2c9d8 -256 -256 -1 -0 -0 -1 -0xfd3c -0x619e8ee4 -256 -256 -1 -0 -0 -3 -0x85b2 -0xc00e09a0 -256 -256 -1 -0 -0 -4 -0xff96 -0x786f2a68 -256 -256 -0 -0 -0 -4 -0x5e1a -0xf5d0acc8 -256 -256 -1 -0 -0 -2 -0x4543 -0xf4cc59d7 -256 -256 -0 -0 -0 -2 -0x1c4a -0x1b7125d -256 -256 -1 -0 -0 -2 -0xe3cb -0xa53098dd -256 -256 -1 -0 -0 -2 -0x9f0 -0x6f9fb230 -256 -256 -0 -0 -0 -4 -0x162e -0xf9fc06a6 -256 -256 -0 -0 -0 -3 -0xec08 -0x506c0de -256 -256 -1 -0 -0 -4 -0xd86c -0xdad1b16d -256 -256 -1 -0 -0 -1 -0x57b1 -0xa7d23c4a -256 -256 -0 -0 -0 -4 -0x89db -0xd753c83c -256 -256 -1 -0 -0 -4 -0xc3d4 -0xc5b44e54 -256 -256 -1 -0 -0 -4 -0xd97 -0xf8aa2652 -256 -256 -1 -0 -0 -3 -0xa964 -0x1d933a49 -256 -256 -1 -0 -0 -2 -0xda5c -0x9abf0b43 -256 -256 -0 -0 -0 -2 -0xa086 -0xf8dbcde6 -256 -256 -0 -0 -0 -2 -0x4e77 -0x640af39f -256 -256 -1 -0 -0 -4 -0x5b75 -0x437cfc09 -256 -256 -1 -0 -0 -2 -0x7304 -0x88bbefee -256 -256 -1 -0 -0 -4 -0x2bcc -0xe2402f3e -256 -256 -0 -0 -0 -2 -0x3f8e -0xd2be70d3 -256 -256 -1 -0 -0 -1 -0xb246 -0xc7bb4f6 -256 -256 -1 -0 -0 -1 -0xa5cd -0xb9aaef55 -256 -256 -1 -0 -0 -4 -0x6943 -0xf75d44dd -256 -256 -0 -0 -0 -2 -0x7026 -0x8d8728c4 -256 -256 -0 -0 -0 -1 -0x3242 -0xac8827a8 -256 -256 -1 -0 -0 -2 -0x87a9 -0x2de04220 -256 -256 -1 -0 -0 -2 -0x66b4 -0x906f01b7 -256 -256 -1 -0 -0 -3 -0x208a -0xab3a17b8 -256 -256 -0 -0 -0 -1 -0xdbfe -0x7c95ae63 -256 -256 -1 -0 -0 -1 -0xf1c7 -0xe24d65d5 -256 -256 -1 -0 -0 -1 -0x7a7f -0x7d7a44b1 -256 -256 -0 -0 -0 -4 -0xfc96 -0xea12f7b -256 -256 -0 -0 -0 -1 -0xaae0 -0xa0139987 -256 -256 -1 -0 -0 -4 -0xef1c -0x263db92b -256 -256 -1 -0 -0 -2 -0x7acf -0x12a51261 -256 -256 -1 -0 -0 -4 -0x2801 -0x2ef59527 -256 -256 -1 -0 -0 -1 -0x4e03 -0xe499d3c3 -256 -256 -1 -0 -0 -4 -0x74e9 -0x8df3fd78 -256 -256 -1 -0 -0 -1 -0x4158 -0x776fa596 -256 -256 -1 -0 -0 -3 -0x1393 -0xe3615b04 -256 -256 -1 -0 -0 -4 -0x3d82 -0xcba5ac95 -256 -256 -1 -0 -0 -2 -0x2dae -0xcdb9b156 -256 -256 -0 -0 -0 -3 -0x9f8b -0x852eb7d -256 -256 -0 -0 -0 -3 -0xcb01 -0xd72888e -256 -256 -0 -0 -0 -1 -0xc89 -0x6f2c7c29 -256 -256 -0 -0 -0 -2 -0x6f4f -0x4d14686a -256 -256 -0 -0 -0 -3 -0xc3fe -0x7c6f2600 -256 -256 -0 -0 -0 -3 -0xeace -0xca6669f8 -256 -256 -1 -0 -0 -2 -0x7fce -0xc30a66da -256 -256 -0 -0 -0 -3 -0xd8c8 -0x6dfda07 -256 -256 -0 -0 -0 -1 -0xdbbf -0x30afbb0e -256 -256 -1 -0 -0 -3 -0xa21a -0x8899300f -256 -256 -0 -0 -0 -1 -0xa3dd -0xc92cbc29 -256 -256 -1 -0 -0 -2 -0x89ac -0xa7888364 -256 -256 -0 -0 -0 -3 -0x9a5e -0x6b446bcb -256 -256 -1 -0 -0 -3 -0x461a -0x7690218a -256 -256 -1 -0 -0 -1 -0xa664 -0xccc239b -256 -256 -1 -0 -0 -2 -0x14a1 -0x63a6dc2 -256 -256 -0 -0 -0 -2 -0x7cc5 -0x4c7f6eb -256 -256 -1 -0 -0 -4 -0x2970 -0xf86c7f08 -256 -256 -1 -0 -0 -3 -0x8fba -0x62e9ddd3 -256 -256 -0 -0 -0 -3 -0x57e2 -0xaf9be94b -256 -256 -1 -0 -0 -2 -0x3275 -0x40642879 -256 -256 -1 -0 -0 -4 -0x2f58 -0x315e76f2 -256 -256 -1 -0 -0 -1 -0xd9b2 -0xd45fe8c7 -256 -256 -0 -0 -0 -2 -0xf3c8 -0x11aa4f5b -256 -256 -0 -0 -0 -4 -0x7813 -0xf0470f80 -256 -256 -1 -0 -0 -1 -0x238f -0x73c1aa6 -256 -256 -1 -0 -0 -4 -0xeef3 -0x350a7c6d -256 -256 -1 -0 -0 -2 -0x5ef6 -0x1254d92 -256 -256 -1 -0 -0 -2 -0x8bc -0x31437dff -256 -256 -1 -0 -0 -4 -0xd977 -0xf557f980 -256 -256 -0 -0 -0 -3 -0xc10b -0xb1f26e09 -256 -256 -0 -0 -0 -1 -0xb2bf -0x4132ee14 -256 -256 -0 -0 -0 -1 -0xb6b8 -0x6e205b1c -256 -256 -0 -0 -0 -1 -0x9f75 -0x4efadb41 -256 -256 -1 -0 -0 -3 -0x8770 -0x9e3047b0 -256 -256 -1 -0 -0 -4 -0x1787 -0x5f174192 -256 -256 -1 -0 -0 -2 -0xe4fc -0xae69520 -256 -256 -0 -0 -0 -2 -0xd159 -0x94f01272 -256 -256 -1 -0 -0 -1 -0x978e -0x959ce3cc -256 -256 -0 -0 -0 -2 -0x1d1e -0xb4edb46e -256 -256 -1 -0 -0 -3 -0x25a8 -0x7f4157f5 -256 -256 -0 -0 -0 -3 -0x28ee -0xe8a9d0ce -256 -256 -0 -0 -0 -3 -0xec4c -0x55ca7f51 -256 -256 -1 -0 -0 -2 -0xb4c0 -0xe50f4c9f -256 -256 -1 -0 -0 -2 -0xcde5 -0xb9d93a4a -256 -256 -0 -0 -0 -2 -0x25f2 -0x7816e0a5 -256 -256 -0 -0 -0 -2 -0xc18c -0x9b870c69 -256 -256 -1 -0 -0 -3 -0xb3a1 -0x37bdca1a -256 -256 -0 -0 -0 -3 -0xfcfe -0x1783ffb6 -256 -256 -0 -0 -0 -3 -0x1e50 -0x8639befd -256 -256 -1 -0 -0 -3 -0xce4a -0x22c5af93 -256 -256 -1 -0 -0 -1 -0x1c87 -0xc844a933 -256 -256 -1 -0 -0 -1 -0xc793 -0x3e595da5 -256 -256 -1 -0 -0 -1 -0xef3f -0x6c2322fa -256 -256 -0 -0 -0 -1 -0xcda4 -0xc0bd496c -256 -256 -1 -0 -0 -3 -0xa3e5 -0x7d02aff7 -256 -256 -1 -0 -0 -2 -0x39f9 -0x3398201d -256 -256 -1 -0 -0 -1 -0x4c5b -0x10466d7 -256 -256 -0 -0 -0 -1 -0x60d2 -0x565091f9 -256 -256 -0 -0 -0 -4 -0xa51c -0x926e4edd -256 -256 -1 -0 -0 -2 -0x5671 -0xf779a64 -256 -256 -1 -0 -0 -1 -0x6b85 -0xea21f9cc -256 -256 -1 -0 -0 -3 -0xfb00 -0x8e26be74 -256 -256 -0 -0 -0 -3 -0xecea -0x65f069d6 -256 -256 -0 -0 -0 -2 -0xa251 -0x9c346704 -256 -256 -1 -0 -0 -2 -0x9b44 -0xd57527b6 -256 -256 -1 -0 -0 -4 -0x876d -0xff0f2c1b -256 -256 -1 -0 -0 -3 -0x3255 -0x371b5b50 -256 -256 -1 -0 -0 -4 -0x21c8 -0x5945229d -256 -256 -1 -0 -0 -1 -0x6c01 -0x67313697 -256 -256 -1 -0 -0 -3 -0x61b9 -0xb143c6d3 -256 -256 -1 -0 -0 -3 -0x625a -0x649a9b1c -256 -256 -0 -0 -0 -4 -0xf026 -0xef352a2e -256 -256 -0 -0 -0 -3 -0xef97 -0x35495200 -256 -256 -1 -0 -0 -2 -0x234 -0x1faea1ec -256 -256 -1 -0 -0 -4 -0x241 -0xe0ca57b5 -256 -256 -1 -0 -0 -3 -0x20a -0xb8e4b8cf -256 -256 -1 -0 -0 -1 -0xb9cf -0x9261f8ff -256 -256 -1 -0 -0 -3 -0xbab3 -0xc4ab3c8e -256 -256 -1 -0 -0 -4 -0xe585 -0xeff68e7f -256 -256 -0 -0 -0 -4 -0x478d -0xc314b430 -256 -256 -0 -0 -0 -3 -0x660c -0xecd6194 -256 -256 -1 -0 -0 -3 -0xd394 -0xd481f09d -256 -256 -1 -0 -0 -3 -0xd1ff -0x2f49a316 -256 -256 -1 -0 -0 -3 -0x2c62 -0xb4b88c03 -256 -256 -0 -0 -0 -2 -0xfad0 -0x63294ecf -256 -256 -0 -0 -0 -2 -0xcfe7 -0x611d907c -256 -256 -0 -0 -0 -3 -0x3d12 -0x6911628f -256 -256 -1 -0 -0 -3 -0x2976 -0x5691b493 -256 -256 -1 -0 -0 -4 -0x5b65 -0xf05b461d -256 -256 -1 -0 -0 -3 -0xed7c -0xb6aafcd4 -256 -256 -1 -0 -0 -4 -0x5898 -0xf094be97 -256 -256 -0 -0 -0 -2 -0x3517 -0xb55a415 -256 -256 -1 -0 -0 -3 -0x6b1 -0xa1f049a3 -256 -256 -0 -0 -0 -3 -0x47f0 -0x89cb3e25 -256 -256 -1 -0 -0 -3 -0x2175 -0x6ad41281 -256 -256 -1 -0 -0 -2 -0x9871 -0x94433049 -256 -256 -1 -0 -0 -1 -0xcea5 -0xc19cfa6c -256 -256 -1 -0 -0 -4 -0x12ce -0xa824fd6b -256 -256 -0 -0 -0 -1 -0xea55 -0x49569b12 -256 -256 -1 -0 -0 -3 -0xd478 -0x7b08ace4 -256 -256 -0 -0 -0 -3 -0xef9f -0xfd80c849 -256 -256 -1 -0 -0 -3 -0xc3cc -0x9dbdae99 -256 -256 -1 -0 -0 -1 -0xed7c -0x3d937edd -256 -256 -0 -0 -0 -2 -0x5565 -0xb06cdb49 -256 -256 -0 -0 -0 -4 -0x84d5 -0xae4b9b25 -256 -256 -1 -0 -0 -3 -0x1a20 -0xe0a7e2bb -256 -256 -1 -0 -0 -3 -0xbd1a -0xa0deb7a8 -256 -256 -1 -0 -0 -1 -0x83d4 -0x4b7fda60 -256 -256 -0 -0 -0 -4 -0xd0f4 -0x162b9ea1 -256 -256 -0 -0 -0 -4 -0xf005 -0xfc4b9c7f -256 -256 -0 -0 -0 -4 -0x4adc -0xa21f6c7c -256 -256 -1 -0 -0 -2 -0x1933 -0x6ddd0bd3 -256 -256 -0 -0 -0 -4 -0x72fb -0xffeb9a17 -256 -256 -0 -0 -0 -2 -0x2c65 -0xdbaf0c9a -256 -256 -1 -0 -0 -4 -0x58d7 -0xd35030d2 -256 -256 -1 -0 -0 -1 -0x6448 -0x8670557d -256 -256 -0 -0 -0 -3 -0x868c -0x92a4a52 -256 -256 -0 -0 -0 -4 -0xa8e6 -0xf411b8e2 -256 -256 -0 -0 -0 -4 -0x29a -0xdec471c6 -256 -256 -1 -0 -0 -2 -0x772e -0x6bd0ab1e -256 -256 -1 -0 -0 -4 -0x2329 -0x17318905 -256 -256 -0 -0 -0 -1 -0xfeb -0x46ab16a8 -256 -256 -1 -0 -0 -1 -0x96a1 -0x582f196c -256 -256 -0 -0 -0 -4 -0xf0c9 -0x807c6009 -256 -256 -0 -0 -0 -3 -0x2dbc -0xd96ea64a -256 -256 -1 -0 -0 -1 -0xbb0e -0x1fae51ba -256 -256 -0 -0 -0 -4 -0x1379 -0x447c6cb5 -256 -256 -1 -0 -0 -1 -0x7b28 -0x547aa9a6 -256 -256 -1 -0 -0 -2 -0x30f7 -0x7ac0b024 -256 -256 -0 -0 -0 -1 -0x4ad4 -0x65f13571 -256 -256 -1 -0 -0 -1 -0x22e2 -0xd821ebc6 -256 -256 -1 -0 -0 -3 -0xc929 -0xf5e4ddff -256 -256 -1 -0 -0 -4 -0xb334 -0xed5a938d -256 -256 -0 -0 -0 -3 -0xd29e -0xc5f41b46 -256 -256 -0 -0 -0 -4 -0x20ab -0x27447080 -256 -256 -0 -0 -0 -3 -0xc4c5 -0x34791757 -256 -256 -1 -0 -0 -4 -0x7376 -0xd9294c72 -256 -256 -1 -0 -0 -1 -0x780f -0xde0e6bce -256 -256 -1 -0 -0 -4 -0x22f7 -0xc6546af9 -256 -256 -0 -0 -0 -3 -0x325b -0xc1e3f473 -256 -256 -1 -0 -0 -3 -0x278 -0xbf2d6714 -256 -256 -0 -0 -0 -4 -0x800f -0x7714b337 -256 -256 -0 -0 -0 -2 -0xa877 -0xa626e339 -256 -256 -0 -0 -0 -3 -0xa424 -0x91b4d716 -256 -256 -0 -0 -0 -4 -0x2d25 -0x60cee279 -256 -256 -0 -0 -0 -1 -0x9dc6 -0x88cf3a0a -256 -256 -1 -0 -0 -2 -0x8b8f -0xf46f4bd7 -256 -256 -1 -0 -0 -2 -0xf74 -0x27bce9bc -256 -256 -0 -0 -0 -2 -0x8440 -0xdb00d2d9 -256 -256 -0 -0 -0 -1 -0x1271 -0xf5238aae -256 -256 -1 -0 -0 -4 -0x3c4a -0x37a2d1b0 -256 -256 -1 -0 -0 -3 -0xa079 -0x24239d4b -256 -256 -0 -0 -0 -1 -0x1311 -0xcc9bd5d0 -256 -256 -1 -0 -0 -4 -0x75d7 -0x502ec6e2 -256 -256 -0 -0 -0 -3 -0x28e7 -0x5f220458 -256 -256 -0 -0 -0 -3 -0x4ef7 -0x1bc8ca68 -256 -256 -1 -0 -0 -3 -0x6ca7 -0x8dd0a0aa -256 -256 -0 -0 -0 -2 -0xd766 -0x6fe0a0b6 -256 -256 -1 -0 -0 -2 -0xb9d6 -0xf448ae4 -256 -256 -1 -0 -0 -3 -0xa015 -0xcbfef9ec -256 -256 -1 -0 -0 -1 -0xaafd -0xabffb233 -256 -256 -1 -0 -0 -3 -0x7014 -0x42f0095 -256 -256 -1 -0 -0 -2 -0x8545 -0xd1fd1eab -256 -256 -0 -0 -0 -4 -0x84b9 -0x2f25edca -256 -256 -1 -0 -0 -2 -0x6b6e -0xf2638a45 -256 -256 -1 -0 -0 -3 -0xa274 -0x8d889f13 -256 -256 -1 -0 -0 -1 -0x7a63 -0x3aaf192b -256 -256 -0 -0 -0 -4 -0xfdc7 -0x2bb84a1a -256 -256 -1 -0 -0 -4 -0x6208 -0x9f2be82b -256 -256 -0 -0 -0 -3 -0xb78a -0x6be950fa -256 -256 -0 -0 -0 -1 -0x3c81 -0x1b001a08 -256 -256 -0 -0 -0 -4 -0xcb64 -0x324702c5 -256 -256 -1 -0 -0 -2 -0x6641 -0xd714d10b -256 -256 -1 -0 -0 -1 -0x5644 -0xc80fb521 -256 -256 -0 -0 -0 -1 -0x3331 -0xeadd6f96 -256 -256 -1 -0 -0 -2 -0x161f -0xf3dcf5aa -256 -256 -0 -0 -0 -3 -0xd3b1 -0x8c5c1d16 -256 -256 -0 -0 -0 -3 -0x1474 -0xad6b345d -256 -256 -1 -0 -0 -3 -0x3c2 -0x6778dcac -256 -256 -0 -0 -0 -3 -0x4624 -0xebf3f648 -256 -256 -1 -0 -0 -2 -0x7550 -0x118d4254 -256 -256 -1 -0 -0 -2 -0x4c68 -0x8d7478b9 -256 -256 -1 -0 -0 -1 -0x6ed -0xed5b0be8 -256 -256 -1 -0 -0 -3 -0x8b23 -0x575b40a1 -256 -256 -1 -0 -0 -3 -0x1404 -0xc11ec18a -256 -256 -0 -0 -0 -4 -0x26b8 -0x986680bf -256 -256 -0 -0 -0 -4 -0x5ccb -0x335ab319 -256 -256 -0 -0 -0 -4 -0xba3c -0x21b9f278 -256 -256 -0 -0 -0 -3 -0x6509 -0x25d5a55c -256 -256 -1 -0 -0 -2 -0xc004 -0xb456181f -256 -256 -0 -0 -0 -3 -0xc762 -0xe68292a5 -256 -256 -0 -0 -0 -4 -0x1b42 -0xa342a729 -256 -256 -1 -0 -0 -4 -0x411c -0x5cd8c943 -256 -256 -1 -0 -0 -4 -0x444e -0x1704484d -256 -256 -1 -0 -0 -2 -0xebce -0xbed7b93e -256 -256 -1 -0 -0 -4 -0xfe85 -0x7efac0ca -256 -256 -1 -0 -0 -3 -0xe82f -0x87da8705 -256 -256 -0 -0 -0 -3 -0x6653 -0x20a19b63 -256 -256 -0 -0 -0 -1 -0xd73 -0x6f17f8e8 -256 -256 -1 -0 -0 -4 -0x3a1c -0xfc70f314 -256 -256 -0 -0 -0 -2 -0xa5aa -0x1413148f -256 -256 -1 -0 -0 -4 -0xbde4 -0xd8c8db79 -256 -256 -0 -0 -0 -3 -0x31fc -0x25a73420 -256 -256 -1 -0 -0 -4 -0xbbd -0x94046cc4 -256 -256 -1 -0 -0 -4 -0x380c -0xda983911 -256 -256 -1 -0 -0 -4 -0xcff7 -0xc3ceb3a5 -256 -256 -0 -0 -0 -3 -0x5c2d -0x6b602459 -256 -256 -1 -0 -0 -1 -0x6916 -0x57dd9795 -256 -256 -1 -0 -0 -1 -0xfb48 -0x45d70c9a -256 -256 -1 -0 -0 -4 -0x659f -0xf95d01c9 -256 -256 -0 -0 -0 -3 -0xf44a -0x4273a3af -256 -256 -1 -0 -0 -2 -0xc939 -0x8d2e4ac7 -256 -256 -1 -0 -0 -3 -0x2ec7 -0x284a5d77 -256 -256 -1 -0 -0 -1 -0xa6be -0xfcf0d80e -256 -256 -1 -0 -0 -4 -0xe228 -0x3a347639 -256 -256 -0 -0 -0 -1 -0x26c8 -0xe98a7513 -256 -256 -1 -0 -0 -1 -0x7608 -0x9dea3dc8 -256 -256 -0 -0 -0 -3 -0x356a -0xc371b0c9 -256 -256 -0 -0 -0 -3 -0x3ed6 -0xad88cce6 -256 -256 -0 -0 -0 -1 -0x7477 -0x75e6c14a -256 -256 -1 -0 -0 -4 -0xf1d -0x2ce6c04a -256 -256 -1 -0 -0 -1 -0x69ab -0x2cdc9edf -256 -256 -1 -0 -0 -3 -0x5775 -0x91dac2d6 -256 -256 -0 -0 -0 -4 -0x7286 -0x9a6be130 -256 -256 -0 -0 -0 -4 -0xbefa -0x829a6e3b -256 -256 -1 -0 -0 -4 -0x3a30 -0xa3265ceb -256 -256 -1 -0 -0 -3 -0x2414 -0xe63212aa -256 -256 -1 -0 -0 -2 -0xc746 -0x289dac5e -256 -256 -0 -0 -0 -2 -0x1439 -0x523283e0 -256 -256 -0 -0 -0 -3 -0x7d8f -0xa8dea557 -256 -256 -1 -0 -0 -2 -0x6acd -0x7d2140dd -256 -256 -0 -0 -0 -2 -0x95e6 -0x5398934e -256 -256 -1 -0 -0 -2 -0x969b -0xf788ca7e -256 -256 -0 -0 -0 -3 -0x1017 -0xb6ee7e05 -256 -256 -1 -0 -0 -3 -0x1b36 -0x7bb58203 -256 -256 -0 -0 -0 -3 -0x44ec -0x23b6724f -256 -256 -0 -0 -0 -1 -0xfc42 -0x94de7dcd -256 -256 -0 -0 -0 -2 -0x4910 -0x22f31886 -256 -256 -1 -0 -0 -1 -0x584a -0x6d380cff -256 -256 -1 -0 -0 -1 -0x315b -0x725cc9d6 -256 -256 -0 -0 -0 -1 -0xac76 -0xba611afb -256 -256 -1 -0 -0 -3 -0xc8ed -0x22b3ed6b -256 -256 -1 -0 -0 -3 -0xda00 -0x8109335f -256 -256 -0 -0 -0 -2 -0x2853 -0x1bea2434 -256 -256 -0 -0 -0 -4 -0x9261 -0x1e2aae68 -256 -256 -1 -0 -0 -1 -0xa520 -0x7625e30f -256 -256 -1 -0 -0 -2 -0xeb72 -0xe227b992 -256 -256 -0 -0 -0 -4 -0x29d9 -0x72b6b1c9 -256 -256 -1 -0 -0 -1 -0xa790 -0x7b664822 -256 -256 -1 -0 -0 -3 -0x63d2 -0xdd1fa44f -256 -256 -1 -0 -0 -1 -0x201b -0xb6c2209a -256 -256 -1 -0 -0 -1 -0xdd82 -0x5e405d6e -256 -256 -1 -0 -0 -4 -0x6cea -0x8e75d95c -256 -256 -1 -0 -0 -4 -0x18ef -0xba4887c4 -256 -256 -1 -0 -0 -2 -0xb3d4 -0x8d25c3de -256 -256 -1 -0 -0 -2 -0x6d02 -0xa73a674d -256 -256 -0 -0 -0 -1 -0xb535 -0xdae30db4 -256 -256 -0 -0 -0 -4 -0x815d -0xcaac0ee8 -256 -256 -0 -0 -0 -4 -0xf536 -0xa8035d9f -256 -256 -0 -0 -0 -3 -0x457 -0xbbdef6f2 -256 -256 -1 -0 -0 -1 -0x2fe6 -0xe6b7214f -256 -256 -1 -0 -0 -3 -0xae9c -0x78becda9 -256 -256 -1 -0 -0 -3 -0xd0e7 -0x4f7be4cb -256 -256 -1 -0 -0 -1 -0xc9ac -0x1dc54ec3 -256 -256 -1 -0 -0 -2 -0xc8f7 -0xa0327996 -256 -256 -0 -0 -0 -4 -0x36ad -0xd0b1dcc2 -256 -256 -1 -0 -0 -4 -0xf5ec -0x18242ed2 -256 -256 -0 -0 -0 -3 -0x15c4 -0xcaa768df -256 -256 -1 -0 -0 -4 -0x130a -0xdd1b9191 -256 -256 -1 -0 -0 -1 -0x7101 -0x262659d5 -256 -256 -0 -0 -0 -2 -0xe3c7 -0xe430e72a -256 -256 -0 -0 -0 -3 -0x6f73 -0x82e08c9a -256 -256 -1 -0 -0 -4 -0x8423 -0x772bc025 -256 -256 -0 -0 -0 -2 -0x3c0a -0x48561377 -256 -256 -0 -0 -0 -2 -0x5196 -0xad3d23f3 -256 -256 -0 -0 -0 -3 -0x8daa -0xced3e27d -256 -256 -0 -0 -0 -1 -0x8262 -0xd732b9f3 -256 -256 -0 -0 -0 -4 -0x3cec -0xb0667244 -256 -256 -0 -0 -0 -2 -0xa91a -0x51c48832 -256 -256 -1 -0 -0 -3 -0xd02e -0x6a294be3 -256 -256 -0 -0 -0 -4 -0xb17d -0xc93be017 -256 -256 -0 -0 -0 -2 -0x30af -0x20db2a0e -256 -256 -0 -0 -0 -3 -0xe3ec -0xe146031e -256 -256 -0 -0 -0 -2 -0x3400 -0x3d46c7fb -256 -256 -1 -0 -0 -1 -0xcfa5 -0x48fb39eb -256 -256 -1 -0 -0 -3 -0x229c -0xe19e423a -256 -256 -0 -0 -0 -2 -0x2a38 -0x599428ba -256 -256 -1 -0 -0 -4 -0xfc76 -0x40d15dc4 -256 -256 -0 -0 -0 -3 -0x3663 -0xe6253114 -256 -256 -1 -0 -0 -2 -0xf521 -0xca01041b -256 -256 -1 -0 -0 -4 -0x795e -0x452eb106 -256 -256 -0 -0 -0 -3 -0xa075 -0x2e15dcde -256 -256 -1 -0 -0 -4 -0x8ef7 -0x4e6d333e -256 -256 -1 -0 -0 -2 -0x8b7d -0xa6993f70 -256 -256 -1 -0 -0 -2 -0xd384 -0x1984ab33 -256 -256 -1 -0 -0 -1 -0x8479 -0x8a728f39 -256 -256 -0 -0 -0 -4 -0x789a -0x75d8aa1e -256 -256 -1 -0 -0 -1 -0x8b4f -0x9ca62c30 -256 -256 -1 -0 -0 -3 -0xcfbb -0x2f300ea9 -256 -256 -1 -0 -0 -2 -0xfb31 -0xb81f4b3b -256 -256 -0 -0 -0 -3 -0x1ced -0x2d8c3425 -256 -256 -0 -0 -0 -3 -0xcd84 -0x2dc067cc -256 -256 -0 -0 -0 -2 -0xc63a -0x4944ba2f -256 -256 -1 -0 -0 -2 -0xed3a -0x4066773f -256 -256 -1 -0 -0 -3 -0x9c5e -0xe04aaecc -256 -256 -1 -0 -0 -3 -0x58f8 -0xea0f5ee0 -256 -256 -1 -0 -0 -2 -0x4bca -0xb03b2bd4 -256 -256 -0 -0 -0 -3 -0x63ea -0xc86dde15 -256 -256 -0 -0 -0 -4 -0x7a9a -0xbd624c11 -256 -256 -1 -0 -0 -3 -0x4a89 -0x50690ef0 -256 -256 -0 -0 -0 -3 -0xe0d1 -0xf0fd08e0 -256 -256 -1 -0 -0 -2 -0x8bf0 -0xa54763b3 -256 -256 -0 -0 -0 -3 -0x9c07 -0x86417447 -256 -256 -0 -0 -0 -2 -0x3e29 -0xd2fd9d6a -256 -256 -1 -0 -0 -4 -0xc286 -0x71659242 -256 -256 -0 -0 -0 -2 -0xe44c -0x64cd3662 -256 -256 -0 -0 -0 -3 -0x1c49 -0x8f5782ff -256 -256 -1 -0 -0 -3 -0x2d85 -0xed384b28 -256 -256 -0 -0 -0 -1 -0x781c -0x14c64f3f -256 -256 -0 -0 -0 -1 -0x6bd6 -0x7173ad0b -256 -256 -0 -0 -0 -4 -0xad8c -0xc7338b59 -256 -256 -1 -0 -0 -4 -0xfe3e -0x103554ae -256 -256 -1 -0 -0 -1 -0xfdce -0x4d0d443c -256 -256 -1 -0 -0 -2 -0x57d8 -0xc4b19b4a -256 -256 -0 -0 -0 -2 -0x7764 -0x8432e2e2 -256 -256 -1 -0 -0 -3 -0x87d9 -0x6a71406a -256 -256 -1 -0 -0 -4 -0xef63 -0xfd1891c8 -256 -256 -0 -0 -0 -2 -0xb14c -0x2dbad354 -256 -256 -0 -0 -0 -4 -0x563e -0x708fb8c4 -256 -256 -0 -0 -0 -4 -0x3bdb -0x8f8a2d11 -256 -256 -0 -0 -0 -2 -0x9a71 -0xd8156853 -256 -256 -0 -0 -0 -4 -0xd263 -0x545ec55c -256 -256 -1 -0 -0 -4 -0x80b6 -0x86c23230 -256 -256 -0 -0 -0 -3 -0xdd42 -0xcd1319d7 -256 -256 -1 -0 -0 -3 -0x1c39 -0x40844a4e -256 -256 -0 -0 -0 -3 -0x846 -0x40bfe6f -256 -256 -1 -0 -0 -4 -0xce28 -0x8d7a07c5 -256 -256 -1 -0 -0 -1 -0x84c8 -0xa732894 -256 -256 -0 -0 -0 -3 -0xddd2 -0x324e1825 -256 -256 -0 -0 -0 -4 -0x6594 -0x3006247 -256 -256 -1 -0 -0 -3 -0x5eda -0xd8a3bce -256 -256 -1 -0 -0 -1 -0x8fe1 -0x4cec355b -256 -256 -1 -0 -0 -2 -0xa028 -0x9400d9a -256 -256 -0 -0 -0 -4 -0x2351 -0x13809602 -256 -256 -1 -0 -0 -1 -0x186b -0xd550598 -256 -256 -0 -0 -0 -1 -0xc5fa -0xfd9cb651 -256 -256 -1 -0 -0 -2 -0xce20 -0xbd217a57 -256 -256 -0 -0 -0 -4 -0x471e -0x3cbc406 -256 -256 -0 -0 -0 -4 -0x8549 -0x1b92f486 -256 -256 -1 -0 -0 -2 -0xca55 -0xb9b1a353 -256 -256 -0 -0 -0 -1 -0x761d -0xb0b61d6f -256 -256 -1 -0 -0 -1 -0x64ce -0x9d6c40c7 -256 -256 -1 -0 -0 -4 -0x4237 -0x8d166d27 -256 -256 -0 -0 -0 -3 -0x9781 -0x55248d68 -256 -256 -1 -0 -0 -1 -0x8e13 -0xd4999051 -256 -256 -1 -0 -0 -3 -0x4f15 -0x259f9d10 -256 -256 -0 -0 -0 -2 -0xbb8e -0x3cae2b91 -256 -256 -0 -0 -0 -3 -0xd8ab -0xa241efed -256 -256 -1 -0 -0 -4 -0xbda8 -0x6966e95b -256 -256 -1 -0 -0 -1 -0xb7b3 -0x84b7e561 -256 -256 -0 -0 -0 -4 -0xd146 -0x913ba7b2 -256 -256 -0 -0 -0 -4 -0xd10f -0x6958436c -256 -256 -0 -0 -0 -4 -0x9077 -0x2062faa -256 -256 -0 -0 -0 -3 -0xe7 -0xb1011e0d -256 -256 -0 -0 -0 -1 -0xfabd -0x7753692c -256 -256 -1 -0 -0 -3 -0x9bbb -0xf748b020 -256 -256 -1 -0 -0 -2 -0xa447 -0x7565c6c5 -256 -256 -0 -0 -0 -4 -0x62ff -0xfee0303f -256 -256 -0 -0 -0 -1 -0xf122 -0x448e9625 -256 -256 -1 -0 -0 -2 -0x18ed -0x3816e6f1 -256 -256 -0 -0 -0 -2 -0x249d -0xeff3e56d -256 -256 -1 -0 -0 -4 -0x54c0 -0x201e4429 -256 -256 -0 -0 -0 -2 -0x23b0 -0xa9cbc729 -256 -256 -0 -0 -0 -1 -0x51de -0x95c4dc8b -256 -256 -1 -0 -0 -4 -0xca2b -0x641f705b -256 -256 -0 -0 -0 -1 -0xec61 -0x5166cc49 -256 -256 -1 -0 -0 -1 -0x7298 -0x563936b2 -256 -256 -1 -0 -0 -2 -0x9e2b -0xe130debf -256 -256 -0 -0 -0 -4 -0x8cfe -0xb1607114 -256 -256 -0 -0 -0 -2 -0x5edc -0x5f373f9d -256 -256 -1 -0 -0 -4 -0xd2bd -0xed269e1e -256 -256 -1 -0 -0 -4 -0x2721 -0x55cd928a -256 -256 -1 -0 -0 -1 -0xf09 -0x77631e41 -256 -256 -0 -0 -0 -1 -0x8e65 -0x249337a0 -256 -256 -1 -0 -0 -3 -0xbda8 -0x46ac74c6 -256 -256 -1 -0 -0 -1 -0xe5b6 -0xa01d7f6a -256 -256 -0 -0 -0 -4 -0xa378 -0x15df0e60 -256 -256 -1 -0 -0 -3 -0x4742 -0x429fc23f -256 -256 -0 -0 -0 -3 -0xcaf1 -0xb4830370 -256 -256 -1 -0 -0 -3 -0x123f -0x3720ad4c -256 -256 -1 -0 -0 -3 -0xe0d7 -0xa4f33ed1 -256 -256 -1 -0 -0 -4 -0xb8e7 -0x2075e25e -256 -256 -0 -0 -0 -1 -0x91c -0xd1cc40c9 -256 -256 -0 -0 -0 -1 -0xf9cd -0x5ea200d2 -256 -256 -0 -0 -0 -4 -0xa579 -0x77e72c74 -256 -256 -1 -0 -0 -4 -0x1922 -0xe9045a0a -256 -256 -0 -0 -0 -3 -0xe8cc -0xb2149422 -256 -256 -1 -0 -0 -2 -0xafdd -0x726fe943 -256 -256 -1 -0 -0 -3 -0x9f6d -0x5a8ac57b -256 -256 -0 -0 -0 -1 -0xf7f7 -0xc8521df5 -256 -256 -1 -0 -0 -2 -0x2c02 -0x4d8a135a -256 -256 -1 -0 -0 -1 -0x392b -0x2081182f -256 -256 -0 -0 -0 -3 -0x76c2 -0x57b0fe21 -256 -256 -1 -0 -0 -2 -0x3eaf -0xe9f965af -256 -256 -0 -0 -0 -3 -0x1d54 -0x91761d11 -256 -256 -0 -0 -0 -4 -0x822b -0x5b1b4946 -256 -256 -0 -0 -0 -4 -0x7db1 -0x6b882840 -256 -256 -1 -0 -0 -4 -0x2df1 -0x14bfb5d6 -256 -256 -0 -0 -0 -1 -0xeb2b -0xc19c15bb -256 -256 -0 -0 -0 -1 -0x6124 -0x8191c5c -256 -256 -0 -0 -0 -1 -0x2b1d -0x3326b1d6 -256 -256 -0 -0 -0 -2 -0x2bc -0x86febf24 -256 -256 -0 -0 -0 -3 -0x1c89 -0x71912f79 -256 -256 -1 -0 -0 -4 -0x29ff -0xdf515a5c -256 -256 -1 -0 -0 -4 -0x4812 -0x589e6921 -256 -256 -1 -0 -0 -3 -0x5b54 -0x3c3286e0 -256 -256 -1 -0 -0 -1 -0xc25d -0x1928a96b -256 -256 -0 -0 -0 -4 -0xf812 -0x11f6a271 -256 -256 -0 -0 -0 -4 -0xfea -0x3c4d6610 -256 -256 -0 -0 -0 -3 -0x6669 -0xc84e8ca6 -256 -256 -0 -0 -0 -3 -0x4ae -0x9afd6e04 -256 -256 -0 -0 -0 -4 -0x92e9 -0x162d1046 -256 -256 -1 -0 -0 -4 -0x875a -0xa07f44a2 -256 -256 -0 -0 -0 -4 -0xb4de -0x5bb01249 -256 -256 -0 -0 -0 -3 -0x8e0c -0xe1147a48 -256 -256 -1 -0 -0 -3 -0xd9a9 -0xf21a708a -256 -256 -1 -0 -0 -3 -0x3885 -0x997c9a13 -256 -256 -1 -0 -0 -2 -0x6cc1 -0x4feaadce -256 -256 -1 -0 -0 -4 -0x71d7 -0xfa9a503f -256 -256 -1 -0 -0 -2 -0x4ddc -0x60510304 -256 -256 -1 -0 -0 -3 -0xbc95 -0xafde5dac -256 -256 -1 -0 -0 -4 -0xc40c -0xa2bd6897 -256 -256 -1 -0 -0 -2 -0x93e8 -0x373d5bc6 -256 -256 -1 -0 -0 -1 -0x9969 -0xd4d1831c -256 -256 -0 -0 -0 -1 -0x92d6 -0xe892aed3 -256 -256 -1 -0 -0 -4 -0xe45f -0xccc8b574 -256 -256 -0 -0 -0 -4 -0x39b1 -0xc1c42024 -256 -256 -1 -0 -0 -3 -0xca77 -0xb4183a9d -256 -256 -0 -0 -0 -3 -0xeae0 -0xff4659cf -256 -256 -1 -0 -0 -4 -0x925b -0x9a292ff7 -256 -256 -1 -0 -0 -4 -0xfb82 -0xee4164cd -256 -256 -0 -0 -0 -2 -0x3853 -0xa0864c52 -256 -256 -1 -0 -0 -1 -0x9d77 -0x6999c458 -256 -256 -1 -0 -0 -2 -0x87d4 -0xb62c79dd -256 -256 -0 -0 -0 -1 -0x49a3 -0x4adc7118 -256 -256 -0 -0 -0 -3 -0x2c71 -0x1411eb8a -256 -256 -0 -0 -0 -2 -0xb1eb -0xe77e43bb -256 -256 -0 -0 -0 -2 -0xfc05 -0x28b632ad -256 -256 -0 -0 -0 -1 -0xcfcd -0x7d0f6a59 -256 -256 -0 -0 -0 -1 -0xf9a1 -0x8552eeee -256 -256 -0 -0 -0 -4 -0xb840 -0x37d0bd0 -256 -256 -1 -0 -0 -2 -0xfa5e -0x9ad3ee91 -256 -256 -0 -0 -0 -4 -0x800a -0x8a5aded9 -256 -256 -1 -0 -0 -1 -0x7250 -0xe4bb56c7 -256 -256 -0 -0 -0 -4 -0x8110 -0x2f41fb42 -256 -256 -0 -0 -0 -2 -0x34c8 -0x634ce723 -256 -256 -0 -0 -0 -3 -0xfeeb -0x742f72e1 -256 -256 -0 -0 -0 -4 -0x639d -0xa6f4c550 -256 -256 -0 -0 -0 -1 -0x65e5 -0x7ef0acfa -256 -256 -1 -0 -0 -3 -0x24e4 -0x2f967798 -256 -256 -0 -0 -0 -4 -0x23ea -0x8953437b -256 -256 -1 -0 -0 -2 -0xa50e -0x232e241e -256 -256 -1 -0 -0 -4 -0x6427 -0x6998e732 -256 -256 -0 -0 -0 -4 -0x217a -0xb72987df -256 -256 -0 -0 -0 -1 -0xfbad -0x762fc6ba -256 -256 -0 -0 -0 -3 -0xf909 -0xb2e0b691 -256 -256 -1 -0 -0 -3 -0x93a5 -0x669ebf91 -256 -256 -1 -0 -0 -1 -0x583c -0x5a1195b7 -256 -256 -0 -0 -0 -3 -0x6d6f -0xe66c7090 -256 -256 -0 -0 -0 -3 -0x31a3 -0x982feff6 -256 -256 -1 -0 -0 -3 -0xe7d3 -0x52a9184c -256 -256 -1 -0 -0 -3 -0x1cca -0x13427a7e -256 -256 -1 -0 -0 -3 -0x4fb0 -0x68bdc004 -256 -256 -0 -0 -0 -4 -0x7e63 -0x31a6d783 -256 -256 -0 -0 -0 -1 -0x4eb -0xeb767520 -256 -256 -1 -0 -0 -2 -0x777d -0xd282b506 -256 -256 -0 -0 -0 -3 -0xe3a8 -0xc51b589c -256 -256 -1 -0 -0 -3 -0xfcb0 -0x6370f206 -256 -256 -1 -0 -0 -1 -0xd950 -0x62dcf0cc -256 -256 -0 -0 -0 -3 -0xa5e0 -0xd59dbada -256 -256 -1 -0 -0 -4 -0x9f92 -0xcecbda41 -256 -256 -1 -0 -0 -3 -0xb2b7 -0x31248ae4 -256 -256 -0 -0 -0 -2 -0x63d4 -0x885d44c4 -256 -256 -0 -0 -0 -4 -0x94a0 -0xc254a756 -256 -256 -0 -0 -0 -1 -0xa254 -0x4a19c86a -256 -256 -0 -0 -0 -4 -0xc404 -0x6a9fce5 -256 -256 -0 -0 -0 -2 -0x368a -0x8063ff4e -256 -256 -1 -0 -0 -4 -0x687 -0x4af4d7f -256 -256 -0 -0 -0 -4 -0x346b -0xa8d4f7ff -256 -256 -0 -0 -0 -2 -0x948b -0x640c7481 -256 -256 -0 -0 -0 -3 -0x8576 -0x5a328c91 -256 -256 -0 -0 -0 -4 -0x1513 -0x5330db06 -256 -256 -0 -0 -0 -1 -0x14fe -0x277c6dfb -256 -256 -0 -0 -0 -1 -0x1158 -0x650280df -256 -256 -0 -0 -0 -4 -0x9859 -0xea9deb42 -256 -256 -0 -0 -0 -4 -0x4ef4 -0xb013c1d4 -256 -256 -1 -0 -0 -4 -0x677d -0xc18cf19a -256 -256 -0 -0 -0 -4 -0x2787 -0x7ebab0f8 -256 -256 -0 -0 -0 -4 -0x4a32 -0xda198da5 -256 -256 -1 -0 -0 -3 -0x2b6 -0x959dbce9 -256 -256 -1 -0 -0 -2 -0x19b9 -0x3ce64804 -256 -256 -1 -0 -0 -3 -0x770e -0x96926bae -256 -256 -0 -0 -0 -2 -0x586d -0xa4e9b4e1 -256 -256 -0 -0 -0 -3 -0xf7ea -0x8d524161 -256 -256 -0 -0 -0 -1 -0x29a5 -0xac826ce0 -256 -256 -1 -0 -0 -1 -0xd5c7 -0x52ea417c -256 -256 -1 -0 -0 -2 -0x87cd -0xfab1f816 -256 -256 -1 -0 -0 -3 -0x3183 -0x4b4fb8b9 -256 -256 -1 -0 -0 -1 -0x8cde -0x5fa83f33 -256 -256 -1 -0 -0 -4 -0x1f1b -0xbb8bbd0a -256 -256 -1 -0 -0 -3 -0x4b36 -0x13444767 -256 -256 -1 -0 -0 -4 -0xce69 -0x9a0c3e34 -256 -256 -1 -0 -0 -1 -0xdabb -0x4f22a1d3 -256 -256 -1 -0 -0 -1 -0x5084 -0x21ae1b41 -256 -256 -1 -0 -0 -3 -0xc1c7 -0x45dd253d -256 -256 -0 -0 -0 -1 -0x1630 -0xf5f92a78 -256 -256 -1 -0 -0 -3 -0xd39 -0xf8eccc2d -256 -256 -0 -0 -0 -4 -0x4fd2 -0x9f129eb9 -256 -256 -0 -0 -0 -4 -0xc486 -0xd1b680d0 -256 -256 -0 -0 -0 -1 -0x8946 -0x6e6e9c0f -256 -256 -0 -0 -0 -2 -0x6e59 -0x6fd409fb -256 -256 -0 -0 -0 -2 -0x38df -0xef623fbf -256 -256 -1 -0 -0 -1 -0xde54 -0xd271109d -256 -256 -0 -0 -0 -3 -0x3244 -0x8c5cfefb -256 -256 -1 -0 -0 -2 -0x520 -0xbb0afc13 -256 -256 -1 -0 -0 -1 -0xb43b -0xe18763a -256 -256 -1 -0 -0 -3 -0xcd12 -0x3bdc739e -256 -256 -1 -0 -0 -4 -0x5982 -0xba2201ea -256 -256 -1 -0 -0 -2 -0x3af4 -0x6b88e340 -256 -256 -0 -0 -0 -4 -0xf9a2 -0x3104002a -256 -256 -1 -0 -0 -1 -0xed2f -0xb77092a3 -256 -256 -1 -0 -0 -2 -0xb72a -0x2297240f -256 -256 -0 -0 -0 -1 -0xcfd8 -0xefb5b0e9 -256 -256 -1 -0 -0 -3 -0x5f6e -0x5f4ec0e1 -256 -256 -0 -0 -0 -2 -0x4ae2 -0xf05126f -256 -256 -1 -0 -0 -2 -0x9f62 -0x9d6a8f5 -256 -256 -1 -0 -0 -2 -0x87da -0x27e73406 -256 -256 -1 -0 -0 -1 -0x3c4f -0x7e3f31be -256 -256 -1 -0 -0 -3 -0x754a -0xbcca57c5 -256 -256 -0 -0 -0 -3 -0x8a18 -0x3669489f -256 -256 -1 -0 -0 -3 -0x2a93 -0xa79699cb -256 -256 -1 -0 -0 -1 -0x88bd -0x643abf79 -256 -256 -0 -0 -0 -1 -0x3620 -0xaa3cd646 -256 -256 -1 -0 -0 -3 -0x9f1b -0x88722a6a -256 -256 -1 -0 -0 -1 -0x9ab -0xd4271352 -256 -256 -0 -0 -0 -1 -0x81a6 -0x8854446b -256 -256 -1 -0 -0 -3 -0x4ab8 -0x7018cb69 -256 -256 -1 -0 -0 -4 -0x6038 -0x92745a6d -256 -256 -0 -0 -0 -3 -0xa74d -0x5499eb02 -256 -256 -1 -0 -0 -3 -0x45ac -0xd12b2b80 -256 -256 -0 -0 -0 -4 -0x87fe -0x2d6f2f45 -256 -256 -0 -0 -0 -4 -0xdb0c -0xa0c73946 -256 -256 -0 -0 -0 -4 -0x2f35 -0xe0a6a13 -256 -256 -0 -0 -0 -2 -0xe1cb -0x6623097 -256 -256 -1 -0 -0 -4 -0x186f -0x5e0f3257 -256 -256 -1 -0 -0 -4 -0xea38 -0xcc0e4bc8 -256 -256 -0 -0 -0 -3 -0xc6ab -0xcfe4b786 -256 -256 -0 -0 -0 -1 -0xe92e -0xc02e13f6 -256 -256 -0 -0 -0 -2 -0x4e10 -0x173dc653 -256 -256 -0 -0 -0 -2 -0xc28a -0x93b29516 -256 -256 -1 -0 -0 -1 -0x2cf7 -0xabe4d25 -256 -256 -0 -0 -0 -2 -0x9556 -0xe65eef11 -256 -256 -1 -0 -0 -2 -0x1b8d -0x3f315399 -256 -256 -1 -0 -0 -1 -0x236a -0x29fdf573 -256 -256 -1 -0 -0 -1 -0xd6f5 -0xadf1372a -256 -256 -1 -0 -0 -3 -0xd129 -0xe76b7af6 -256 -256 -1 -0 -0 -4 -0x587c -0x5395d460 -256 -256 -0 -0 -0 -1 -0x47a -0xbdc6bebf -256 -256 -0 -0 -0 -1 -0x2122 -0x7256d514 -256 -256 -0 -0 -0 -3 -0x3177 -0x9cd49dc5 -256 -256 -0 -0 -0 -2 -0xc6a1 -0xa2758be5 -256 -256 -1 -0 -0 -2 -0x4acd -0xde8a39b1 -256 -256 -0 -0 -0 -1 -0x86fd -0x22fa151 -256 -256 -0 -0 -0 -3 -0xaa09 -0x31245e61 -256 -256 -1 -0 -0 -4 -0x928c -0x6c6dfdf0 -256 -256 -1 -0 -0 -3 -0xfb22 -0xf3450c2d -256 -256 -1 -0 -0 -4 -0xd793 -0x771688c9 -256 -256 -1 -0 -0 -4 -0x3d85 -0xd8d83c01 -256 -256 -0 -0 -0 -2 -0x87ee -0x999c2191 -256 -256 -1 -0 -0 -2 -0x5952 -0x5427ee7e -256 -256 -1 -0 -0 -3 -0xe5b1 -0x27f2c02e -256 -256 -0 -0 -0 -4 -0x92e6 -0xd7604e26 -256 -256 -1 -0 -0 -3 -0x66cc -0x5d195834 -256 -256 -0 -0 -0 -2 -0xc9fb -0x937a982a -256 -256 -1 -0 -0 -2 -0xf1c2 -0x57b4edbc -256 -256 -0 -0 -0 -4 -0xc8ef -0x34422cde -256 -256 -1 -0 -0 -1 -0xc5bd -0xd6db385d -256 -256 -0 -0 -0 -1 -0x10ac -0x845ce16e -256 -256 -0 -0 -0 -1 -0xdb -0x9d306b84 -256 -256 -1 -0 -0 -4 -0x4e5a -0x6bf6af8b -256 -256 -1 -0 -0 -4 -0x3b98 -0x2040d135 -256 -256 -1 -0 -0 -1 -0xfa70 -0x763358c2 -256 -256 -1 -0 -0 -3 -0x61d3 -0x6eebfffc -256 -256 -0 -0 -0 -3 -0xb9a4 -0xd30e508 -256 -256 -1 -0 -0 -1 -0x3c30 -0x9e6a961 -256 -256 -1 -0 -0 -4 -0x947a -0x6eeb28f1 -256 -256 -1 -0 -0 -1 -0x6799 -0x4e947389 -256 -256 -0 -0 -0 -1 -0xbe86 -0xe01f014d -256 -256 -1 -0 -0 -2 -0xfd4f -0xd40a2f62 -256 -256 -1 -0 -0 -1 -0xbf80 -0x6087e50 -256 -256 -0 -0 -0 -1 -0x22ff -0xb1f760f7 -256 -256 -0 -0 -0 -3 -0x7ea3 -0xd659f5b0 -256 -256 -1 -0 -0 -4 -0xe2bd -0x2dd03dc3 -256 -256 -0 -0 -0 -3 -0xdb56 -0x71c75764 -256 -256 -1 -0 -0 -2 -0x4e6b -0xdaac786a -256 -256 -1 -0 -0 -4 -0x949d -0x9b9e196d -256 -256 -0 -0 -0 -2 -0x3bd1 -0x40703202 -256 -256 -0 -0 -0 -4 -0xc1d3 -0xcaeaa1d1 -256 -256 -1 -0 -0 -3 -0x7edf -0x10868604 -256 -256 -0 -0 -0 -2 -0x8c74 -0x37dda14b -256 -256 -1 -0 -0 -1 -0xc1f6 -0x8efa7327 -256 -256 -0 -0 -0 -4 -0x9ffb -0xa0edac15 -256 -256 -1 -0 -0 -3 -0x6784 -0x3e6ec53b -256 -256 -1 -0 -0 -4 -0xe54d -0x68a002b6 -256 -256 -1 -0 -0 -4 -0xd5cc -0x8fb64cb7 -256 -256 -1 -0 -0 -3 -0xda22 -0x77091f81 -256 -256 -0 -0 -0 -1 -0xded7 -0x9c3bfc0d -256 -256 -1 -0 -0 -3 -0x355d -0x2889913b -256 -256 -0 -0 -0 -2 -0x20c4 -0xd6733b45 -256 -256 -0 -0 -0 -1 -0xd4d4 -0x9eae5fbd -256 -256 -1 -0 -0 -4 -0x242e -0xf78f9beb -256 -256 -1 -0 -0 -1 -0xd55e -0x4bbcdce7 -256 -256 -1 -0 -0 -2 -0x2428 -0x5869ca40 -256 -256 -1 -0 -0 -4 -0xf798 -0xace6b7ac -256 -256 -0 -0 -0 -4 -0xfbf0 -0x100a86b0 -256 -256 -1 -0 -0 -4 -0xe683 -0x242ec2b0 -256 -256 -1 -0 -0 -1 -0x168a -0xbe3547c8 -256 -256 -1 -0 -0 -2 -0xadc3 -0xa009b0c3 -256 -256 -0 -0 -0 -3 -0xa178 -0x78cd2c1f -256 -256 -1 -0 -0 -4 -0x7ddf -0x8f860352 -256 -256 -0 -0 -0 -3 -0x2c8c -0x219b427b -256 -256 -0 -0 -0 -2 -0xb91e -0x3bb7852e -256 -256 -0 -0 -0 -2 -0xc027 -0x86f590ef -256 -256 -0 -0 -0 -4 -0x1e56 -0x2c3f8b5c -256 -256 -0 -0 -0 -4 -0xaf4b -0xcc7b9271 -256 -256 -1 -0 -0 -1 -0xa3e7 -0xaa545662 -256 -256 -1 -0 -0 -4 -0xb2de -0xbee3e00c -256 -256 -0 -0 -0 -3 -0x233b -0xf9420807 -256 -256 -0 -0 -0 -2 -0xbf41 -0xb229467b -256 -256 -1 -0 -0 -3 -0xda60 -0xa5f41339 -256 -256 -1 -0 -0 -2 -0x4a7a -0xd64e2e7b -256 -256 -0 -0 -0 -2 -0xe81 -0xbd8b2f8f -256 -256 -1 -0 -0 -2 -0x2fdb -0xf1a9916a -256 -256 -1 -0 -0 -4 -0xa5bb -0x1ec71934 -256 -256 -0 -0 -0 -2 -0x7e6a -0xe4356534 -256 -256 -0 -0 -0 -3 -0x80a7 -0x2194d3e8 -256 -256 -0 -0 -0 -1 -0xd50b -0xd0366a26 -256 -256 -0 -0 -0 -4 -0x23e6 -0x379b6a7a -256 -256 -1 -0 -0 -4 -0xe323 -0x7da016c2 -256 -256 -0 -0 -0 -3 -0xd988 -0x3643748a -256 -256 -0 -0 -0 -1 -0xddb2 -0xe2d57a89 -256 -256 -0 -0 -0 -4 -0xc7a1 -0xafa9f8f9 -256 -256 -1 -0 -0 -3 -0x302d -0x577d3d7d -256 -256 -1 -0 -0 -2 -0x1001 -0xcc4aa27a -256 -256 -0 -0 -0 -2 -0xca39 -0x5d9a8705 -256 -256 -1 -0 -0 -2 -0xb015 -0x8778cb0f -256 -256 -0 -0 -0 -1 -0x1792 -0x5dc17eb1 -256 -256 -0 -0 -0 -4 -0xaeb2 -0xefb8ca69 -256 -256 -1 -0 -0 -1 -0x63a0 -0xd5329d0e -256 -256 -0 -0 -0 -3 -0x9f68 -0xad8725e1 -256 -256 -0 -0 -0 -3 -0xb6d9 -0x97ad705 -256 -256 -0 -0 -0 -2 -0x1205 -0xfc79e0b0 -256 -256 -0 -0 -0 -2 -0x1412 -0x3444965b -256 -256 -0 -0 -0 -3 -0x7f81 -0x3ff589b1 -256 -256 -0 -0 -0 -4 -0xf28c -0xe74cd9ed -256 -256 -1 -0 -0 -4 -0xa530 -0xb763da51 -256 -256 -0 -0 -0 -3 -0x533a -0x1a90e4e7 -256 -256 -1 -0 -0 -4 -0xc50a -0x75c7a44d -256 -256 -0 -0 -0 -1 -0xfdcb -0xb407e5d4 -256 -256 -0 -0 -0 -2 -0xa292 -0xa9ef8318 -256 -256 -1 -0 -0 -1 -0x45be -0x22640443 -256 -256 -0 -0 -0 -2 -0x2ebe -0x1139a12d -256 -256 -1 -0 -0 -1 -0x9103 -0x41b684a7 -256 -256 -0 -0 -0 -4 -0xfe8 -0x8c7606db -256 -256 -0 -0 -0 -3 -0x3a27 -0x39516f6b -256 -256 -0 -0 -0 -3 -0xe4af -0x6171dcaa -256 -256 -0 -0 -0 -4 -0x6928 -0x83a1de57 -256 -256 -0 -0 -0 -2 -0x99c7 -0xca7513e6 -256 -256 -0 -0 -0 -2 -0xa4 -0x86d5ff4a -256 -256 -0 -0 -0 -4 -0x3365 -0x3bd1368 -256 -256 -1 -0 -0 -4 -0xf338 -0xccc92ec1 -256 -256 -1 -0 -0 -1 -0x82de -0x7be485b4 -256 -256 -0 -0 -0 -3 -0x727a -0xa6e3c6fd -256 -256 -1 -0 -0 -3 -0x29f7 -0xb9a4650f -256 -256 -1 -0 -0 -1 -0x33d -0x6416fc44 -256 -256 -1 -0 -0 -3 -0x896c -0x8cdafcb2 -256 -256 -0 -0 -0 -2 -0xfa99 -0x7d0772ab -256 -256 -0 -0 -0 -3 -0xb32 -0x4e16cc34 -256 -256 -0 -0 -0 -4 -0xafb9 -0xae055d8 -256 -256 -1 -0 -0 -3 -0xde84 -0x429ddce -256 -256 -1 -0 -0 -1 -0x5137 -0x6d5afa9e -256 -256 -1 -0 -0 -2 -0xec22 -0xd8487041 -256 -256 -0 -0 -0 -3 -0x742c -0xc63b4c5b -256 -256 -0 -0 -0 -1 -0xe7b0 -0x87772b44 -256 -256 -0 -0 -0 -1 -0x20d9 -0x6452f782 -256 -256 -1 -0 -0 -1 -0x825e -0x7d694306 -256 -256 -1 -0 -0 -1 -0xab27 -0x13155c10 -256 -256 -1 -0 -0 -4 -0xa25e -0x6801a596 -256 -256 -1 -0 -0 -2 -0x8a6e -0x1abf61f3 -256 -256 -0 -0 -0 -3 -0xd7f0 -0xc2ddf618 -256 -256 -1 -0 -0 -1 -0xb7d9 -0x257d63f3 -256 -256 -1 -0 -0 -4 -0xcaa1 -0x26b3028f -256 -256 -0 -0 -0 -3 -0xfea6 -0x5d13dc12 -256 -256 -1 -0 -0 -4 -0xc2af -0x1c9266b1 -256 -256 -0 -0 -0 -1 -0xc85d -0xc143ec83 -256 -256 -1 -0 -0 -1 -0x3975 -0xd20a744d -256 -256 -1 -0 -0 -1 -0x6066 -0xdc2b8891 -256 -256 -1 -0 -0 -1 -0x87dc -0x565da204 -256 -256 -0 -0 -0 -1 -0x8f12 -0x14cafbf8 -256 -256 -0 -0 -0 -3 -0x1a02 -0x54618575 -256 -256 -1 -0 -0 -4 -0x6665 -0x369b3472 -256 -256 -0 -0 -0 -3 -0x3988 -0x6ba61e04 -256 -256 -1 -0 -0 -4 -0x7cc1 -0x9baf1860 -256 -256 -0 -0 -0 -1 -0xa40b -0xc17d723f -256 -256 -1 -0 -0 -1 -0x83be -0xfbc1abe0 -256 -256 -0 -0 -0 -3 -0xe1e0 -0x74bd0d -256 -256 -1 -0 -0 -1 -0x651 -0x6b91520d -256 -256 -1 -0 -0 -4 -0xbc8c -0xbc9f783a -256 -256 -1 -0 -0 -2 -0x26fc -0xdae5b6ad -256 -256 -1 -0 -0 -1 -0x18c2 -0x6acd3dd2 -256 -256 -0 -0 -0 -3 -0x970e -0xf711243a -256 -256 -0 -0 -0 -4 -0x206b -0x11028b87 -256 -256 -0 -0 -0 -4 -0x58ff -0x115b9fd1 -256 -256 -1 -0 -0 -3 -0xb411 -0x4c8f34e -256 -256 -1 -0 -0 -1 -0x9755 -0x9029246a -256 -256 -1 -0 -0 -1 -0x8e1e -0xcc248305 -256 -256 -0 -0 -0 -4 -0x2c6d -0xb978462a -256 -256 -0 -0 -0 -4 -0xad73 -0x746d3c45 -256 -256 -1 -0 -0 -2 -0xb9f9 -0xf6edb6de -256 -256 -1 -0 -0 -1 -0xf088 -0xffb6a05f -256 -256 -1 -0 -0 -4 -0x3d6e -0xb3d3bf54 -256 -256 -0 -0 -0 -2 -0x5728 -0xb562fe7d -256 -256 -1 -0 -0 -4 -0x46e6 -0x765224d1 -256 -256 -1 -0 -0 -4 -0x1a1b -0xe45ebc32 -256 -256 -1 -0 -0 -3 -0x4fd7 -0x40f79adb -256 -256 -1 -0 -0 -1 -0x2b2d -0x9c1a62d6 -256 -256 -0 -0 -0 -3 -0xf584 -0xbb528d02 -256 -256 -0 -0 -0 -4 -0xdc73 -0xaed82082 -256 -256 -0 -0 -0 -4 -0xb6b0 -0x3777156a -256 -256 -0 -0 -0 -2 -0xc3ca -0xdd58bb8 -256 -256 -1 -0 -0 -2 -0xdcd2 -0xe420f534 -256 -256 -0 -0 -0 -3 -0xb87a -0xcde7411e -256 -256 -1 -0 -0 -1 -0xcc63 -0x6e0ec3e4 -256 -256 -0 -0 -0 -1 -0x5f7f -0xb801196 -256 -256 -0 -0 -0 -3 -0xd477 -0xfb7cbd4c -256 -256 -1 -0 -0 -4 -0xc437 -0x18838e8a -256 -256 -1 -0 -0 -3 -0xa2e7 -0xe5375bcb -256 -256 -1 -0 -0 -3 -0x5993 -0x13d2c5a -256 -256 -0 -0 -0 -3 -0x7615 -0x9a17627c -256 -256 -0 -0 -0 -2 -0x420c -0x21e5c5e8 -256 -256 -0 -0 -0 -2 -0xc26d -0xdb051238 -256 -256 -1 -0 -0 -3 -0x34dc -0x3f637367 -256 -256 -1 -0 -0 -3 -0x5706 -0x54ec0a38 -256 -256 -1 -0 -0 -1 -0xa673 -0x6ee704e6 -256 -256 -1 -0 -0 -1 -0xd53e -0x5b8ff903 -256 -256 -1 -0 -0 -4 -0x9a39 -0x77bc1f47 -256 -256 -0 -0 -0 -1 -0x2cfb -0x5b36c369 -256 -256 -1 -0 -0 -3 -0x1cad -0x77ca4edf -256 -256 -0 -0 -0 -4 -0x2f6 -0x1927282f -256 -256 -1 -0 -0 -1 -0x352e -0x46f94f22 -256 -256 -0 -0 -0 -2 -0xe5d6 -0x726821cd -256 -256 -1 -0 -0 -4 -0x1f90 -0x13e9ab94 -256 -256 -1 -0 -0 -3 -0xd4df -0xfbf6a5ed -256 -256 -1 -0 -0 -4 -0x74d0 -0x59014c8e -256 -256 -1 -0 -0 -2 -0x6ec0 -0x1a3627d5 -256 -256 -1 -0 -0 -3 -0xcaba -0x537fa2a6 -256 -256 -0 -0 -0 -4 -0xa39f -0x357ba1dd -256 -256 -1 -0 -0 -2 -0x34e2 -0x1eb371d4 -256 -256 -0 -0 -0 -1 -0xe0b4 -0x2b4c0f04 -256 -256 -0 -0 -0 -4 -0xc319 -0x1d6edc66 -256 -256 -1 -0 -0 -4 -0xa55b -0xa6c319f2 -256 -256 -1 -0 -0 -3 -0xe954 -0xa234e50f -256 -256 -1 -0 -0 -1 -0x5624 -0x17b64d10 -256 -256 -0 -0 -0 -4 -0xa1fb -0xf54e007e -256 -256 -0 -0 -0 -4 -0xea98 -0x12bca0c8 -256 -256 -1 -0 -0 -4 -0xb5ee -0x388bb876 -256 -256 -0 -0 -0 -4 -0x348e -0x77b8a369 -256 -256 -1 -0 -0 -1 -0xe5d5 -0x7246614b -256 -256 -1 -0 -0 -3 -0xfdca -0xfd899eca -256 -256 -1 -0 -0 -2 -0xa2b7 -0xe0d7cc2f -256 -256 -0 -0 -0 -2 -0x18bd -0x16d5d4a7 -256 -256 -0 -0 -0 -1 -0x33e9 -0xe7153242 -256 -256 -1 -0 -0 -3 -0xb8cd -0xc0c27dc1 -256 -256 -0 -0 -0 -1 -0x3ac6 -0x73155ab8 -256 -256 -1 -0 -0 -2 -0x4ec1 -0x14d5bcfc -256 -256 -0 -0 -0 -2 -0x6f05 -0x1bb9a6ba -256 -256 -1 -0 -0 -4 -0x80de -0x8be0703b -256 -256 -0 -0 -0 -4 -0xf119 -0xb90e61c0 -256 -256 -0 -0 -0 -4 -0x5245 -0xecc8284a -256 -256 -0 -0 -0 -4 -0x7073 -0xc85d05f0 -256 -256 -0 -0 -0 -2 -0x662c -0x29bee1f9 -256 -256 -0 -0 -0 -1 -0x33c9 -0x183bceea -256 -256 -0 -0 -0 -3 -0xeef7 -0x8a422cbd -256 -256 -1 -0 -0 -1 -0x2f47 -0xc03321f9 -256 -256 -0 -0 -0 -3 -0xb8fe -0x40fcd249 -256 -256 -1 -0 -0 -1 -0x4f2e -0x2b3c2a7 -256 -256 -1 -0 -0 -3 -0x3afa -0x15e313f8 -256 -256 -1 -0 -0 -2 -0xb3d8 -0x6ddcf44c -256 -256 -0 -0 -0 -2 -0x3c2c -0xcf37be5a -256 -256 -1 -0 -0 -1 -0xd3a6 -0x705d216d -256 -256 -1 -0 -0 -4 -0x2f3a -0xb8800ff1 -256 -256 -0 -0 -0 -1 -0x4afe -0xdfd76994 -256 -256 -1 -0 -0 -1 -0x1fce -0xbc17a96f -256 -256 -0 -0 -0 -1 -0x4f9 -0x3a3b75bd -256 -256 -1 -0 -0 -1 -0x2c0a -0xda8e824f -256 -256 -0 -0 -0 -1 -0xe185 -0x53341d7e -256 -256 -0 -0 -0 -2 -0x5a4e -0x87e5d24a -256 -256 -0 -0 -0 -1 -0x9bac -0xde0457ad -256 -256 -0 -0 -0 -1 -0x3bc4 -0xaaa63751 -256 -256 -1 -0 -0 -2 -0x8f25 -0x89a892d9 -256 -256 -1 -0 -0 -2 -0xe9ae -0xce1299af -256 -256 -0 -0 -0 -2 -0x8d60 -0x36fd31c5 -256 -256 -1 -0 -0 -2 -0xdb05 -0xa097cf9d -256 -256 -1 -0 -0 -4 -0xf65d -0xf402d6cb -256 -256 -0 -0 -0 -3 -0x676e -0xc768e0cb -256 -256 -0 -0 -0 -1 -0x4f00 -0xd3b98383 -256 -256 -1 -0 -0 -2 -0x5f3b -0xd7251f8f -256 -256 -1 -0 -0 -4 -0x28be -0x3fc0feb6 -256 -256 -0 -0 -0 -1 -0xbbe9 -0x94e1d494 -256 -256 -0 -0 -0 -2 -0x86eb -0x544b0443 -256 -256 -1 -0 -0 -1 -0x25c4 -0xdad19887 -256 -256 -0 -0 -0 -3 -0x363b -0x35afb1fc -256 -256 -0 -0 -0 -3 -0x4309 -0xcdb8af6a -256 -256 -0 -0 -0 -2 -0x2281 -0xcbe0554b -256 -256 -0 -0 -0 -4 -0x21fb -0xa9d7e450 -256 -256 -0 -0 -0 -4 -0xb8e0 -0x4b75bbd6 -256 -256 -0 -0 -0 -4 -0x2540 -0x69e1ebf0 -256 -256 -1 -0 -0 -2 -0xdf97 -0x539b768 -256 -256 -0 -0 -0 -1 -0xcb2c -0xdd28e9cf -256 -256 -1 -0 -0 -1 -0x4f8c -0x3bb9dccd -256 -256 -0 -0 -0 -1 -0xdcd4 -0x4cfb4189 -256 -256 -1 -0 -0 -4 -0x34a -0xc3c44946 -256 -256 -0 -0 -0 -2 -0xd0d5 -0x77dd6462 -256 -256 -1 -0 -0 -3 -0xceb6 -0x5a415bbf -256 -256 -1 -0 -0 -4 -0x6836 -0x239a3cf5 -256 -256 -0 -0 -0 -4 -0x1dd0 -0xee0daf06 -256 -256 -0 -0 -0 -4 -0x37c4 -0x47e7b13d -256 -256 -0 -0 -0 -3 -0x7b56 -0xd3848493 -256 -256 -0 -0 -0 -3 -0x9788 -0x41f937d0 -256 -256 -0 -0 -0 -4 -0x49 -0x615345c1 -256 -256 -1 -0 -0 -4 -0x12be -0xff3fb587 -256 -256 -0 -0 -0 -4 -0xa5ea -0xf2decb29 -256 -256 -0 -0 -0 -1 -0x8b82 -0x7fa83e4f -256 -256 -0 -0 -0 -1 -0x6e5d -0xf15bd0c7 -256 -256 -0 -0 -0 -2 -0x86db -0x15256f08 -256 -256 -0 -0 -0 -1 -0x7fa5 -0x55a94b18 -256 -256 -0 -0 -0 -4 -0x7928 -0x444df893 -256 -256 -0 -0 -0 -2 -0x117c -0x6fa756a3 -256 -256 -1 -0 -0 -4 -0xf11b -0xb3d52f25 -256 -256 -1 -0 -0 -1 -0x59c1 -0x555d3584 -256 -256 -0 -0 -0 -2 -0x3053 -0x1681fcdd -256 -256 -1 -0 -0 -4 -0x9558 -0x953bc52a -256 -256 -0 -0 -0 -3 -0x92ee -0x18764bc6 -256 -256 -0 -0 -0 -4 -0xcf22 -0xb551ed90 -256 -256 -1 -0 -0 -2 -0x8ea -0xc713b7a4 -256 -256 -1 -0 -0 -4 -0x4bdf -0xdaea3547 -256 -256 -1 -0 -0 -3 -0x285f -0x66c34636 -256 -256 -0 -0 -0 -3 -0x26a5 -0x5f33b18a -256 -256 -0 -0 -0 -1 -0xba34 -0x8893f09b -256 -256 -1 -0 -0 -4 -0x261e -0x911b3a14 -256 -256 -0 -0 -0 -2 -0x42cd -0x8f269c57 -256 -256 -1 -0 -0 -3 -0xdf3e -0xb6f7e65e -256 -256 -0 -0 -0 -4 -0x68d -0x4dc57844 -256 -256 -0 -0 -0 -3 -0x97e3 -0x115e9600 -256 -256 -0 -0 -0 -3 -0x9f23 -0x79a1fdf3 -256 -256 -0 -0 -0 -1 -0xd14e -0x7eafe562 -256 -256 -1 -0 -0 -2 -0xd7c8 -0x8550ea03 -256 -256 -0 -0 -0 -1 -0x96c3 -0xd6b560e0 -256 -256 -0 -0 -0 -2 -0xb75e -0x77c0bffe -256 -256 -0 -0 -0 -1 -0x37e2 -0x1bb1d108 -256 -256 -1 -0 -0 -2 -0x5f5e -0xf8789494 -256 -256 -1 -0 -0 -2 -0x29a3 -0x20316d53 -256 -256 -1 -0 -0 -3 -0x8c99 -0xdce92100 -256 -256 -0 -0 -0 -1 -0xc7e1 -0xf244e53d -256 -256 -1 -0 -0 -4 -0x2ce3 -0x6026bce8 -256 -256 -0 -0 -0 -2 -0xe897 -0xe8bc60ad -256 -256 -0 -0 -0 -4 -0x7d0a -0x3cd98a46 -256 -256 -0 -0 -0 -4 -0x7110 -0xb413fe2d -256 -256 -0 -0 -0 -1 -0xca8e -0x625b08b8 -256 -256 -1 -0 -0 -2 -0xba72 -0x752a500b -256 -256 -1 -0 -0 -1 -0xaa0d -0x3c1ee0f -256 -256 -0 -0 -0 -2 -0x98a7 -0x99eaa4e7 -256 -256 -1 -0 -0 -3 -0x8937 -0xf8800aa4 -256 -256 -0 -0 -0 -2 -0x12e1 -0x761a61ad -256 -256 -1 -0 -0 -3 -0x1578 -0x937a173a -256 -256 -1 -0 -0 -3 -0x31ae -0x3a53ed7e -256 -256 -0 -0 -0 -3 -0x90f3 -0x86fd0e64 -256 -256 -0 -0 -0 -4 -0xa580 -0x9ff9fda2 -256 -256 -0 -0 -0 -4 -0x8e0f -0xdc1efb7a -256 -256 -1 -0 -0 -1 -0xe6e8 -0x6b286a5d -256 -256 -1 -0 -0 -2 -0xbbff -0x39107853 -256 -256 -1 -0 -0 -1 -0x20f9 -0xa3ffe37a -256 -256 -0 -0 -0 -4 -0x8ad0 -0x4bd8caa2 -256 -256 -1 -0 -0 -2 -0xdd62 -0x912a760d -256 -256 -1 -0 -0 -4 -0xf0dc -0xe1eca36d -256 -256 -0 -0 -0 -1 -0xc58c -0xe1db4480 -256 -256 -0 -0 -0 -4 -0x6eda -0x2baf19c8 -256 -256 -0 -0 -0 -2 -0x8a1b -0x3c1200c5 -256 -256 -1 -0 -0 -3 -0x2bba -0x81299082 -256 -256 -0 -0 -0 -2 -0x29dc -0xbdf084e1 -256 -256 -1 -0 -0 -4 -0xfd50 -0xa4450ff1 -256 -256 -1 -0 -0 -3 -0xe284 -0x8171fdb3 -256 -256 -1 -0 -0 -3 -0xc72c -0xe79e8a21 -256 -256 -0 -0 -0 -4 -0xb5f9 -0x452aeeea -256 -256 -1 -0 -0 -3 -0xec8 -0x52fddd21 -256 -256 -0 -0 -0 -3 -0x48a5 -0x5f1bbdc5 -256 -256 -1 -0 -0 -1 -0x1673 -0xbaf4aed3 -256 -256 -1 -0 -0 -4 -0x2e6 -0x520dbc29 -256 -256 -0 -0 -0 -2 -0x7e69 -0xf84f83a2 -256 -256 -1 -0 -0 -3 -0x2421 -0x2b873e41 -256 -256 -0 -0 -0 -4 -0xdd7c -0xcbd6b083 -256 -256 -0 -0 -0 -2 -0x701a -0x454c8f16 -256 -256 -0 -0 -0 -1 -0x435f -0x8ffcd0a1 -256 -256 -1 -0 -0 -2 -0xb05 -0x4df147ef -256 -256 -0 -0 -0 -2 -0x863d -0x49c17069 -256 -256 -1 -0 -0 -4 -0x4461 -0x702c9e80 -256 -256 -0 -0 -0 -4 -0x5169 -0x9f445fc9 -256 -256 -1 -0 -0 -1 -0xa283 -0x88f9425a -256 -256 -1 -0 -0 -4 -0x6a50 -0xe191b8ff -256 -256 -0 -0 -0 -2 -0x7622 -0x5d665a1a -256 -256 -0 -0 -0 -4 -0x92c5 -0xafb94ccd -256 -256 -0 -0 -0 -2 -0x3fc8 -0x1466545b -256 -256 -0 -0 -0 -2 -0x357e -0xaa6c5e42 -256 -256 -1 -0 -0 -1 -0x992c -0x1c6a5023 -256 -256 -1 -0 -0 -3 -0xe85c -0x6094707f -256 -256 -0 -0 -0 -3 -0x7549 -0xfb940bff -256 -256 -0 -0 -0 -2 -0x276d -0x3b786e0e -256 -256 -1 -0 -0 -2 -0xd1f5 -0x36926cdd -256 -256 -1 -0 -0 -3 -0x519c -0xa9037064 -256 -256 -1 -0 -0 -2 -0x7853 -0xedf8729c -256 -256 -0 -0 -0 -3 -0xb0d2 -0x8714524f -256 -256 -1 -0 -0 -2 -0x8eae -0x10b3d3b5 -256 -256 -1 -0 -0 -4 -0x95 -0xf6b3a660 -256 -256 -0 -0 -0 -1 -0xa98d -0x38de8ec0 -256 -256 -0 -0 -0 -4 -0x3c84 -0x49ef03af -256 -256 -0 -0 -0 -1 -0xb15e -0x3c00539a -256 -256 -1 -0 -0 -4 -0xd80d -0x614a7c4d -256 -256 -0 -0 -0 -4 -0x8cab -0x6af544ec -256 -256 -0 -0 -0 -2 -0x66aa -0x9c4c9020 -256 -256 -0 -0 -0 -2 -0x24a5 -0x20fab1a7 -256 -256 -1 -0 -0 -2 -0xbe9d -0x7c705ee7 -256 -256 -0 -0 -0 -1 -0xd6b4 -0xbf9cca00 -256 -256 -0 -0 -0 -1 -0x2d47 -0xfea3ed6b -256 -256 -0 -0 -0 -2 -0x4223 -0x83996d4 -256 -256 -1 -0 -0 -1 -0x784a -0x21dacbfa -256 -256 -0 -0 -0 -1 -0xf867 -0x1d117515 -256 -256 -0 -0 -0 -4 -0xd8a0 -0xe1743ee7 -256 -256 -0 -0 -0 -3 -0x2cae -0x235e8244 -256 -256 -1 -0 -0 -2 -0xdefe -0xfe08145a -256 -256 -1 -0 -0 -2 -0x4fdc -0x51089206 -256 -256 -1 -0 -0 -1 -0x958a -0xa3b827d7 -256 -256 -0 -0 -0 -4 -0x40f1 -0x7dc69454 -256 -256 -0 -0 -0 -3 -0xdb57 -0xbcc9b93a -256 -256 -0 -0 -0 -3 -0x3794 -0xb258641a -256 -256 -1 -0 -0 -2 -0x2b4d -0x5d848a5c -256 -256 -1 -0 -0 -1 -0x7f52 -0x28b34904 -256 -256 -0 -0 -0 -4 -0x9053 -0x59d69743 -256 -256 -0 -0 -0 -3 -0x23cd -0xaf1d0e6 -256 -256 -1 -0 -0 -3 -0xaea8 -0x2a2e3353 -256 -256 -1 -0 -0 -3 -0xcc6f -0xe22cac10 -256 -256 -1 -0 -0 -3 -0x5cbe -0x455e4002 -256 -256 -0 -0 -0 -4 -0xae6c -0x212dd4a6 -256 -256 -0 -0 -0 -1 -0x2d58 -0x146cdcf8 -256 -256 -1 -0 -0 -1 -0x45e6 -0x2c0b511b -256 -256 -1 -0 -0 -4 -0xb866 -0x409f5da0 -256 -256 -0 -0 -0 -1 -0xde9f -0xad6ac3aa -256 -256 -1 -0 -0 -4 -0x5ed2 -0xfc5c91ba -256 -256 -0 -0 -0 -2 -0x10b8 -0x7d28f827 -256 -256 -0 -0 -0 -1 -0xd0da -0xced4d0ae -256 -256 -0 -0 -0 -4 -0x329e -0x5038a65c -256 -256 -0 -0 -0 -1 -0x94c4 -0x255e48c4 -256 -256 -1 -0 -0 -3 -0xa047 -0xcf784901 -256 -256 -1 -0 -0 -2 -0xf7ac -0x12736fc8 -256 -256 -0 -0 -0 -2 -0x10a -0xcdd77937 -256 -256 -0 -0 -0 -2 -0x5de8 -0x4a284fa9 -256 -256 -0 -0 -0 -4 -0x2ae1 -0xcbc1c283 -256 -256 -0 -0 -0 -2 -0xef12 -0x156ebf -256 -256 -1 -0 -0 -2 -0xb3f3 -0x6c4d9c91 -256 -256 -0 -0 -0 -3 -0x1b60 -0x7e1e94a5 -256 -256 -0 -0 -0 -1 -0xd30b -0x427e0b6d -256 -256 -0 -0 -0 -2 -0xadb2 -0x24b5173 -256 -256 -1 -0 -0 -1 -0xc075 -0x269b1344 -256 -256 -1 -0 -0 -4 -0xaf62 -0x67aaf9d3 -256 -256 -0 -0 -0 -1 -0x59e -0x8cd7e3e1 -256 -256 -1 -0 -0 -1 -0x1ef6 -0x5da47905 -256 -256 -1 -0 -0 -4 -0xa125 -0xca55074f -256 -256 -0 -0 -0 -1 -0x8c -0x4c18b4c2 -256 -256 -0 -0 -0 -4 -0x4cf9 -0x519aefdb -256 -256 -1 -0 -0 -1 -0x6094 -0xc776029b -256 -256 -1 -0 -0 -3 -0xc7b7 -0x390bec86 -256 -256 -0 -0 -0 -2 -0x3f39 -0xaa6ea4e1 -256 -256 -1 -0 -0 -4 -0x4db -0x2957969b -256 -256 -0 -0 -0 -2 -0x4f5b -0xb4851bcb -256 -256 -1 -0 -0 -1 -0xe0bf -0x9dced717 -256 -256 -0 -0 -0 -3 -0xafe -0x65c1f235 -256 -256 -0 -0 -0 -3 -0x5b9b -0xc5a66354 -256 -256 -1 -0 -0 -3 -0xa355 -0xefee361d -256 -256 -1 -0 -0 -1 -0x132d -0xb2ddb1cc -256 -256 -1 -0 -0 -3 -0x866c -0x84e4dc99 -256 -256 -1 -0 -0 -3 -0xd047 -0x1ad247e3 -256 -256 -1 -0 -0 -1 -0x6250 -0x58765851 -256 -256 -0 -0 -0 -1 -0xadb3 -0xfc31af2a -256 -256 -0 -0 -0 -1 -0xeebb -0x43ab598b -256 -256 -0 -0 -0 -3 -0x5548 -0xef776f6c -256 -256 -0 -0 -0 -4 -0x8179 -0xab286f7a -256 -256 -0 -0 -0 -1 -0xb974 -0x939405a4 -256 -256 -1 -0 -0 -2 -0x2917 -0xfdf18bd8 -256 -256 -1 -0 -0 -4 -0x87b7 -0xf4cae6c6 -256 -256 -1 -0 -0 -1 -0xe24d -0xb41219ce -256 -256 -0 -0 -0 -1 -0xbc7b -0x856a66fb -256 -256 -0 -0 -0 -1 -0x3045 -0x8ca27bb -256 -256 -0 -0 -0 -1 -0xa4f3 -0x57ebad23 -256 -256 -0 -0 -0 -4 -0xc8a7 -0x838c404b -256 -256 -0 -0 -0 -4 -0x5ba8 -0xcc813b5a -256 -256 -0 -0 -0 -3 -0x2ea5 -0xb5e3ea18 -256 -256 -0 -0 -0 -1 -0x37a2 -0xf1a257f1 -256 -256 -0 -0 -0 -1 -0x3b26 -0xb0ee9031 -256 -256 -0 -0 -0 -2 -0x730a -0x33a9bed6 -256 -256 -1 -0 -0 -2 -0x4f1c -0xad21924c -256 -256 -1 -0 -0 -3 -0x499c -0x6ae1b2f3 -256 -256 -1 -0 -0 -1 -0xc456 -0xe1fc6597 -256 -256 -1 -0 -0 -2 -0x4754 -0xda0f6bb2 -256 -256 -0 -0 -0 -3 -0xed7d -0xdf597346 -256 -256 -1 -0 -0 -4 -0xeb21 -0x3d6876ef -256 -256 -0 -0 -0 -1 -0x2542 -0x5b4cafd8 -256 -256 -0 -0 -0 -1 -0xf0fd -0xc61969a0 -256 -256 -0 -0 -0 -4 -0xc7a2 -0x842993ca -256 -256 -1 -0 -0 -3 -0xf0f9 -0x1cd47234 -256 -256 -0 -0 -0 -2 -0x19df -0x14730dec -256 -256 -1 -0 -0 -4 -0x9559 -0x349089d -256 -256 -0 -0 -0 -1 -0xc86c -0x7dc8ca00 -256 -256 -1 -0 -0 -3 -0xe0ce -0xf3c11bbc -256 -256 -0 -0 -0 -3 -0x859 -0x96795a64 -256 -256 -0 -0 -0 -2 -0xe2d6 -0x85f3076b -256 -256 -1 -0 -0 -2 -0xbce7 -0x8a17f995 -256 -256 -0 -0 -0 -1 -0xdeee -0xeddd9448 -256 -256 -1 -0 -0 -3 -0x7e03 -0xbd95fca1 -256 -256 -1 -0 -0 -3 -0x26ff -0x66f3840d -256 -256 -1 -0 -0 -1 -0x68fa -0x8ea00120 -256 -256 -1 -0 -0 -1 -0x9943 -0xd621bda6 -256 -256 -0 -0 -0 -1 -0xa89e -0x595b56d4 -256 -256 -0 -0 -0 -4 -0x32ea -0xce0cc5d3 -256 -256 -1 -0 -0 -1 -0xf8a8 -0x524016cc -256 -256 -0 -0 -0 -1 -0x48a3 -0x57e8bc29 -256 -256 -1 -0 -0 -4 -0x8f65 -0xc67960f6 -256 -256 -1 -0 -0 -1 -0xf746 -0x44acb66 -256 -256 -1 -0 -0 -2 -0x3700 -0xed115970 -256 -256 -1 -0 -0 -4 -0x2292 -0x2a9d42e0 -256 -256 -1 -0 -0 -3 -0x26c0 -0x49b1f1cc -256 -256 -1 -0 -0 -2 -0x5856 -0xb3720a4c -256 -256 -0 -0 -0 -1 -0x9bec -0x254380fe -256 -256 -0 -0 -0 -3 -0x7640 -0x9361f715 -256 -256 -1 -0 -0 -1 -0x435b -0xfb88fa0c -256 -256 -0 -0 -0 -4 -0x29c6 -0xc987eacd -256 -256 -1 -0 -0 -4 -0xbc6c -0x4d375cc0 -256 -256 -0 -0 -0 -2 -0xcc0d -0xa0376020 -256 -256 -0 -0 -0 -4 -0xb9e7 -0x6a553b5a -256 -256 -1 -0 -0 -2 -0x3dd2 -0xbe6e70a3 -256 -256 -1 -0 -0 -3 -0x6865 -0xf1fcafd9 -256 -256 -1 -0 -0 -2 -0xa3d0 -0xd37e264e -256 -256 -1 -0 -0 -3 -0x2f7c -0xb76861a3 -256 -256 -1 -0 -0 -2 -0x8914 -0x5ba4bb59 -256 -256 -1 -0 -0 -3 -0xd6d8 -0x9a0331c6 -256 -256 -0 -0 -0 -3 -0x7816 -0x2bed2c93 -256 -256 -0 -0 -0 -3 -0x5595 -0x7f1dbbc2 -256 -256 -0 -0 -0 -3 -0x8f48 -0xe1c48778 -256 -256 -0 -0 -0 -2 -0xccde -0xa71e82f2 -256 -256 -1 -0 -0 -4 -0x12b9 -0x4446d9f9 -256 -256 -1 -0 -0 -2 -0x921f -0x564fae16 -256 -256 -1 -0 -0 -4 -0x6eb3 -0x134b304c -256 -256 -1 -0 -0 -2 -0x1d25 -0xae222ab9 -256 -256 -0 -0 -0 -2 -0xee65 -0x825ccd10 -256 -256 -0 -0 -0 -4 -0x5a80 -0xc03adf5a -256 -256 -1 -0 -0 -1 -0x266e -0x83a92a4f -256 -256 -1 -0 -0 -3 -0x9425 -0x4b952380 -256 -256 -1 -0 -0 -1 -0x5763 -0x1e869d41 -256 -256 -0 -0 -0 -3 -0x3da4 -0xa52652a0 -256 -256 -1 -0 -0 -3 -0x909a -0xf7b288de -256 -256 -0 -0 -0 -1 -0x4c67 -0x61ab7717 -256 -256 -0 -0 -0 -1 -0xdb8 -0xf2c22d5a -256 -256 -0 -0 -0 -1 -0x2b4c -0x9be218a6 -256 -256 -1 -0 -0 -3 -0x6128 -0x9891f569 -256 -256 -0 -0 -0 -3 -0xacfa -0x84a9003e -256 -256 -0 -0 -0 -4 -0xfae4 -0xd516c374 -256 -256 -0 -0 -0 -4 -0xdce3 -0xd56c6148 -256 -256 -0 -0 -0 -1 -0xc21f -0x74daeb5f -256 -256 -1 -0 -0 -2 -0x9e7 -0x4bc08f09 -256 -256 -0 -0 -0 -2 -0x6459 -0x61cf3e95 -256 -256 -0 -0 -0 -1 -0x4852 -0x3becce9a -256 -256 -1 -0 -0 -1 -0xb1b3 -0x32e8f98d -256 -256 -0 -0 -0 -3 -0xf460 -0x21d21311 -256 -256 -0 -0 -0 -2 -0xeff5 -0xb84ba8f4 -256 -256 -1 -0 -0 -2 -0x16c0 -0xa8a8fc8c -256 -256 -1 -0 -0 -1 -0xd048 -0xc748ff80 -256 -256 -0 -0 -0 -4 -0x4535 -0x19c38372 -256 -256 -1 -0 -0 -3 -0x86b6 -0xaa00d8df -256 -256 -1 -0 -0 -4 -0xe009 -0xf7544ed2 -256 -256 -1 -0 -0 -4 -0x8df1 -0x3f3d43f8 -256 -256 -1 -0 -0 -4 -0xa7c3 -0x94ebfd03 -256 -256 -0 -0 -0 -4 -0x6542 -0x3ed64f82 -256 -256 -0 -0 -0 -3 -0x7f84 -0x863500eb -256 -256 -0 -0 -0 -4 -0x8ed7 -0x3978485 -256 -256 -1 -0 -0 -2 -0x3dce -0x6d4e7d26 -256 -256 -0 -0 -0 -1 -0x7a6f -0xd6204b0e -256 -256 -1 -0 -0 -2 -0xa99 -0x7e05fecb -256 -256 -1 -0 -0 -2 -0x48df -0x5fd87b64 -256 -256 -0 -0 -0 -4 -0x562 -0xcd75aec3 -256 -256 -0 -0 -0 -1 -0xacc5 -0x96cb9732 -256 -256 -0 -0 -0 -3 -0x8605 -0xd1bc5585 -256 -256 -1 -0 -0 -1 -0x28cf -0xed78ae2e -256 -256 -1 -0 -0 -3 -0x5de2 -0x91cfa4b8 -256 -256 -0 -0 -0 -1 -0xc536 -0x931ae398 -256 -256 -1 -0 -0 -3 -0x5859 -0x1a769038 -256 -256 -0 -0 -0 -1 -0x13a9 -0x5c1bc237 -256 -256 -1 -0 -0 -4 -0xf490 -0xd389ad27 -256 -256 -1 -0 -0 -1 -0xacdf -0x496b59df -256 -256 -1 -0 -0 -4 -0xd074 -0x8181ae0d -256 -256 -1 -0 -0 -3 -0x355 -0x7e49eec2 -256 -256 -1 -0 -0 -2 -0x376a -0x5963bcc4 -256 -256 -0 -0 -0 -2 -0xd808 -0x2417e12f -256 -256 -0 -0 -0 -2 -0x3b03 -0xa6e75e77 -256 -256 -1 -0 -0 -2 -0xcd17 -0xc8280380 -256 -256 -0 -0 -0 -2 -0x85ff -0x82c44db4 -256 -256 -1 -0 -0 -2 -0xe0c -0x4019080a -256 -256 -1 -0 -0 -4 -0x3a09 -0x63bb979 -256 -256 -0 -0 -0 -2 -0x278c -0x4b41e746 -256 -256 -0 -0 -0 -1 -0x7f7d -0xb3f4f429 -256 -256 -0 -0 -0 -3 -0x2a0a -0x94c71b4c -256 -256 -0 -0 -0 -1 -0x6e05 -0x2bd55fef -256 -256 -1 -0 -0 -2 -0x1b72 -0x32848bec -256 -256 -1 -0 -0 -4 -0x9926 -0x7209134c -256 -256 -0 -0 -0 -2 -0x558a -0x75f8c6a9 -256 -256 -0 -0 -0 -4 -0x417a -0x1ac68ae6 -256 -256 -1 -0 -0 -3 -0x3551 -0xda5f6008 -256 -256 -1 -0 -0 -2 -0x8625 -0x8a406fb6 -256 -256 -1 -0 -0 -1 -0x16d0 -0xd7fe731a -256 -256 -0 -0 -0 -4 -0x391d -0xcaca564 -256 -256 -1 -0 -0 -4 -0x4636 -0x5bdd845a -256 -256 -1 -0 -0 -4 -0x8ed3 -0xd5f2e990 -256 -256 -1 -0 -0 -4 -0x2dad -0x10cc8602 -256 -256 -1 -0 -0 -4 -0xdf02 -0xccb1a140 -256 -256 -0 -0 -0 -1 -0x7e20 -0x544b942 -256 -256 -1 -0 -0 -1 -0x961d -0x3480843e -256 -256 -1 -0 -0 -4 -0xf247 -0x20c37a6a -256 -256 -0 -0 -0 -4 -0x18d8 -0x4dd9bc55 -256 -256 -1 -0 -0 -4 -0xab76 -0x3960869f -256 -256 -1 -0 -0 -4 -0x3429 -0x277b8acb -256 -256 -1 -0 -0 -1 -0xd6e2 -0x40bb672 -256 -256 -0 -0 -0 -1 -0x629b -0xe813774c -256 -256 -1 -0 -0 -4 -0x2db -0x79e52dc7 -256 -256 -1 -0 -0 -2 -0x5a8c -0x7389d509 -256 -256 -1 -0 -0 -2 -0xb3dc -0x8bda5bba -256 -256 -1 -0 -0 -2 -0xe01f -0x5d4e7f96 -256 -256 -0 -0 -0 -4 -0xb03 -0x7c21a21d -256 -256 -0 -0 -0 -4 -0x9ddf -0x926f17d5 -256 -256 -0 -0 -0 -3 -0x8c2e -0xe45cccf3 -256 -256 -0 -0 -0 -2 -0x8c53 -0xa6d3aac9 -256 -256 -0 -0 -0 -3 -0x7463 -0x605d765c -256 -256 -0 -0 -0 -1 -0x786f -0xe2da6304 -256 -256 -1 -0 -0 -4 -0x488f -0xf6a0bff9 -256 -256 -0 -0 -0 -4 -0xe38e -0x35868f29 -256 -256 -0 -0 -0 -2 -0x3a31 -0x394326f8 -256 -256 -1 -0 -0 -3 -0x43bd -0xc311deb7 -256 -256 -0 -0 -0 -1 -0x5807 -0xc84b512f -256 -256 -0 -0 -0 -1 -0x328b -0xc1f021f0 -256 -256 -1 -0 -0 -3 -0x2cbd -0xc8fc663c -256 -256 -1 -0 -0 -2 -0xf44a -0xe5fba381 -256 -256 -1 -0 -0 -4 -0x8352 -0x28633dbd -256 -256 -0 -0 -0 -2 -0x8e93 -0xe5a41e41 -256 -256 -1 -0 -0 -1 -0xb47b -0x330f5fb -256 -256 -1 -0 -0 -3 -0xa08a -0x62329625 -256 -256 -0 -0 -0 -3 -0xabd8 -0xf484780e -256 -256 -0 -0 -0 -3 -0xdea6 -0x49755154 -256 -256 -1 -0 -0 -3 -0xb264 -0xbcba0f52 -256 -256 -0 -0 -0 -3 -0x73c5 -0x1c3ce99b -256 -256 -0 -0 -0 -2 -0xcab5 -0x4c607304 -256 -256 -1 -0 -0 -1 -0x9f7c -0x45930a53 -256 -256 -0 -0 -0 -4 -0x9cc8 -0xbc480511 -256 -256 -0 -0 -0 -2 -0x2f7a -0x2c5209fd -256 -256 -0 -0 -0 -2 -0x14f5 -0x48f312a7 -256 -256 -0 -0 -0 -4 -0x52e -0x13680254 -256 -256 -1 -0 -0 -2 -0x726 -0x8e1b63e7 -256 -256 -1 -0 -0 -4 -0x857 -0xa9f0d78a -256 -256 -1 -0 -0 -2 -0x3883 -0x517de69f -256 -256 -0 -0 -0 -4 -0x9c0c -0x8e69ee8a -256 -256 -1 -0 -0 -2 -0x770a -0xfa03f54a -256 -256 -1 -0 -0 -3 -0x32a8 -0x9663a8e8 -256 -256 -1 -0 -0 -2 -0xfec3 -0x4e5b75d6 -256 -256 -0 -0 -0 -3 -0x79eb -0x44b0630 -256 -256 -1 -0 -0 -3 -0x5dc8 -0xe7bc922a -256 -256 -0 -0 -0 -1 -0xb46c -0x2e9d5aa7 -256 -256 -1 -0 -0 -2 -0x8f7 -0x2a09c244 -256 -256 -0 -0 -0 -2 -0x33a3 -0x6d8141f8 -256 -256 -0 -0 -0 -3 -0x49f6 -0x3d3d7c6d -256 -256 -0 -0 -0 -1 -0x1ab2 -0x9c2a110a -256 -256 -0 -0 -0 -2 -0xb7c5 -0xb5ebf516 -256 -256 -0 -0 -0 -1 -0xff1a -0xe70ce03b -256 -256 -1 -0 -0 -3 -0x2481 -0xea403bd8 -256 -256 -0 -0 -0 -1 -0x44d5 -0xe2648660 -256 -256 -0 -0 -0 -2 -0x3873 -0x9cfe52e6 -256 -256 -1 -0 -0 -3 -0xa4a6 -0x39bd9ed8 -256 -256 -1 -0 -0 -4 -0x3449 -0xa3d81048 -256 -256 -1 -0 -0 -3 -0x6327 -0x63439f6c -256 -256 -0 -0 -0 -2 -0xf3fb -0xf1d91dde -256 -256 -1 -0 -0 -1 -0xcdc3 -0xb5369c12 -256 -256 -1 -0 -0 -4 -0x9a64 -0x7e3aa972 -256 -256 -0 -0 -0 -1 -0x8e42 -0xdbdd248a -256 -256 -0 -0 -0 -1 -0x5066 -0x5a96c58d -256 -256 -1 -0 -0 -2 -0xb210 -0x5f170c5f -256 -256 -1 -0 -0 -3 -0x8327 -0x2159c5dc -256 -256 -1 -0 -0 -1 -0xc173 -0x4ba4e1ab -256 -256 -1 -0 -0 -1 -0x974a -0xefed3e85 -256 -256 -1 -0 -0 -2 -0x715d -0x844679 -256 -256 -1 -0 -0 -1 -0xd162 -0x8f789c72 -256 -256 -0 -0 -0 -3 -0x1643 -0x9892d77a -256 -256 -0 -0 -0 -1 -0xcd3f -0x49b77e7b -256 -256 -1 -0 -0 -3 -0xbef6 -0x10aef4aa -256 -256 -1 -0 -0 -1 -0xb807 -0x76eae1c2 -256 -256 -0 -0 -0 -1 -0xa5ce -0xcfcea279 -256 -256 -1 -0 -0 -1 -0xf91a -0xcf79a808 -256 -256 -0 -0 -0 -2 -0x7a04 -0x45a6774 -256 -256 -1 -0 -0 -3 -0xc446 -0x33a5174d -256 -256 -1 -0 -0 -3 -0x45a2 -0x3786e116 -256 -256 -1 -0 -0 -3 -0xb2ba -0x52ff5d7a -256 -256 -0 -0 -0 -1 -0x7afe -0xdf580ee6 -256 -256 -0 -0 -0 -1 -0xfd25 -0xbc42eb1d -256 -256 -0 -0 -0 -1 -0x5ad0 -0x21fa8cc3 -256 -256 -1 -0 -0 -1 -0xcc4b -0xeeef622c -256 -256 -0 -0 -0 -3 -0xba52 -0x91ae663b -256 -256 -1 -0 -0 -2 -0x669a -0x7f4d4a23 -256 -256 -1 -0 -0 -4 -0xad37 -0x31abaa4d -256 -256 -0 -0 -0 -1 -0xd724 -0xcd871a32 -256 -256 -1 -0 -0 -3 -0xcef0 -0x1f8a7cd -256 -256 -0 -0 -0 -1 -0xcbf6 -0x29f4c977 -256 -256 -1 -0 -0 -3 -0x23fd -0xebec5fa2 -256 -256 -1 -0 -0 -2 -0x853b -0x1986e6eb -256 -256 -1 -0 -0 -1 -0x90c1 -0xc934315e -256 -256 -1 -0 -0 -3 -0x7b17 -0xa4debcdb -256 -256 -1 -0 -0 -3 -0x5bac -0xfafebb72 -256 -256 -0 -0 -0 -4 -0x8a42 -0x5a8ac16a -256 -256 -1 -0 -0 -2 -0x21aa -0xa7e7a465 -256 -256 -1 -0 -0 -2 -0x2d8 -0x4421a14 -256 -256 -0 -0 -0 -1 -0xf911 -0x29e1f694 -256 -256 -0 -0 -0 -1 -0xe170 -0x82a81a1e -256 -256 -1 -0 -0 -3 -0xced5 -0x72498c5d -256 -256 -0 -0 -0 -1 -0x1ed8 -0x5b6f7713 -256 -256 -0 -0 -0 -3 -0xe94c -0xf617e27b -256 -256 -0 -0 -0 -4 -0x3aca -0x998363ae -256 -256 -1 -0 -0 -4 -0x220f -0xf0b17d3d -256 -256 -0 -0 -0 -1 -0xef54 -0xd43d8b68 -256 -256 -0 -0 -0 -1 -0x43a4 -0x36d2500c -256 -256 -0 -0 -0 -1 -0xc1d3 -0x6f41fbf2 -256 -256 -1 -0 -0 -3 -0x3e40 -0xf15c8787 -256 -256 -0 -0 -0 -2 -0xefdb -0x278a7bf1 -256 -256 -1 -0 -0 -4 -0x2ff9 -0x7554e484 -256 -256 -0 -0 -0 -4 -0x2138 -0x5a6a19fa -256 -256 -0 -0 -0 -4 -0x2e97 -0xb9aa6a87 -256 -256 -1 -0 -0 -2 -0x65a5 -0x7f7ab874 -256 -256 -0 -0 -0 -2 -0x268e -0x898f0077 -256 -256 -1 -0 -0 -1 -0xc7c8 -0x8e7fad2b -256 -256 -1 -0 -0 -2 -0xc0a8 -0x817e4085 -256 -256 -0 -0 -0 -1 -0x414f -0x549143c9 -256 -256 -0 -0 -0 -2 -0x4826 -0x4533d1d -256 -256 -0 -0 -0 -2 -0x4532 -0x22fad3f -256 -256 -0 -0 -0 -2 -0xf406 -0x29b61ec1 -256 -256 -1 -0 -0 -1 -0xa4a1 -0x802ddd44 -256 -256 -0 -0 -0 -4 -0x30ca -0x3c314da5 -256 -256 -0 -0 -0 -3 -0x9ec3 -0x9fe55290 -256 -256 -0 -0 -0 -2 -0x44e9 -0x9031dc0d -256 -256 -1 -0 -0 -1 -0x384e -0xb146d145 -256 -256 -0 -0 -0 -2 -0xcce9 -0x6ab4998 -256 -256 -1 -0 -0 -4 -0xcba2 -0xed037429 -256 -256 -0 -0 -0 -4 -0xe83f -0xd78652f1 -256 -256 -0 -0 -0 -3 -0x8e6f -0x93df3aac -256 -256 -1 -0 -0 -1 -0x291e -0x2624044a -256 -256 -0 -0 -0 -1 -0x35f1 -0x4caff2a2 -256 -256 -1 -0 -0 -2 -0xe5f7 -0xaf4a7aaa -256 -256 -0 -0 -0 -4 -0x270e -0x575202f0 -256 -256 -0 -0 -0 -4 -0xe81e -0x3ffe105d -256 -256 -1 -0 -0 -1 -0xa886 -0x74e34770 -256 -256 -0 -0 -0 -2 -0x8225 -0x4779e05 -256 -256 -0 -0 -0 -2 -0xcc5f -0x5473a598 -256 -256 -1 -0 -0 -4 -0xebd4 -0x6ce3ef34 -256 -256 -1 -0 -0 -1 -0x45ad -0xe2781476 -256 -256 -1 -0 -0 -3 -0x773f -0xadd46a7c -256 -256 -0 -0 -0 -2 -0x693c -0x77462802 -256 -256 -0 -0 -0 -3 -0x5c04 -0x4639c1ff -256 -256 -0 -0 -0 -4 -0x178e -0x61180ebb -256 -256 -1 -0 -0 -2 -0xfe70 -0xa73081ed -256 -256 -0 -0 -0 -3 -0x58d2 -0x7778e5d9 -256 -256 -0 -0 -0 -4 -0x4b6f -0x6c392c79 -256 -256 -0 -0 -0 -3 -0xd775 -0xa10eab35 -256 -256 -0 -0 -0 -1 -0x64cf -0xcdbe5d9a -256 -256 -1 -0 -0 -3 -0xc438 -0x4f4d80fb -256 -256 -1 -0 -0 -3 -0x3ad0 -0x873a97d9 -256 -256 -1 -0 -0 -2 -0xb1a0 -0x7dbecf97 -256 -256 -0 -0 -0 -3 -0x2a6b -0xb74ef256 -256 -256 -1 -0 -0 -1 -0x9b92 -0xb0aac3dc -256 -256 -0 -0 -0 -2 -0xd172 -0x76a29230 -256 -256 -1 -0 -0 -3 -0xcbf7 -0x5c144eb2 -256 -256 -0 -0 -0 -4 -0x2d58 -0x9f267569 -256 -256 -1 -0 -0 -4 -0x5c21 -0x15b25ad1 -256 -256 -0 -0 -0 -2 -0xd273 -0x6312b910 -256 -256 -1 -0 -0 -3 -0x68d2 -0x944040d1 -256 -256 -0 -0 -0 -2 -0xde6 -0x6257517 -256 -256 -0 -0 -0 -3 -0x98bd -0xde97eb62 -256 -256 -0 -0 -0 -3 -0xed65 -0x152258f4 -256 -256 -1 -0 -0 -2 -0x3808 -0x6fe88259 -256 -256 -1 -0 -0 -2 -0xb550 -0xa5b9bc54 -256 -256 -0 -0 -0 -4 -0x7304 -0xf125558c -256 -256 -1 -0 -0 -1 -0x4282 -0xf7ab4008 -256 -256 -1 -0 -0 -1 -0x9a89 -0xc5b22187 -256 -256 -0 -0 -0 -3 -0x1831 -0xdc1ebc -256 -256 -1 -0 -0 -4 -0xd20f -0x6818de12 -256 -256 -1 -0 -0 -3 -0xd989 -0x472f0753 -256 -256 -0 -0 -0 -1 -0xc46a -0x8089779b -256 -256 -0 -0 -0 -4 -0x3fc4 -0x161f9a1f -256 -256 -1 -0 -0 -1 -0x870a -0x6d3f0b1e -256 -256 -0 -0 -0 -4 -0x7d6 -0x45ceb817 -256 -256 -1 -0 -0 -2 -0x446c -0x89110521 -256 -256 -0 -0 -0 -3 -0x504e -0x516e826e -256 -256 -1 -0 -0 -1 -0xcc75 -0x3443581c -256 -256 -0 -0 -0 -2 -0xcfd0 -0x303075ed -256 -256 -0 -0 -0 -2 -0xb2a7 -0xc833139e -256 -256 -1 -0 -0 -2 -0x4961 -0xd563445c -256 -256 -0 -0 -0 -3 -0x9dde -0x325c82fe -256 -256 -1 -0 -0 -4 -0xeb81 -0x28f8f81d -256 -256 -1 -0 -0 -2 -0xdbb2 -0x83228cf8 -256 -256 -1 -0 -0 -3 -0xc097 -0xb4875e6a -256 -256 -1 -0 -0 -4 -0xe4ce -0xae4487 -256 -256 -1 -0 -0 -1 -0xcc02 -0x99dbd5 -256 -256 -1 -0 -0 -2 -0xeca6 -0x353c373d -256 -256 -1 -0 -0 -1 -0x2978 -0xf0fbbd05 -256 -256 -0 -0 -0 -1 -0xa7fc -0xd3cd292f -256 -256 -1 -0 -0 -1 -0xe565 -0x5587deda -256 -256 -1 -0 -0 -3 -0xedcc -0x6db3e464 -256 -256 -0 -0 -0 -1 -0xd606 -0x28221b8b -256 -256 -1 -0 -0 -3 -0x1016 -0x14eca7c4 -256 -256 -1 -0 -0 -2 -0x18d8 -0xe0aa6a9d -256 -256 -1 -0 -0 -2 -0xb6cd -0xda4caed7 -256 -256 -0 -0 -0 -1 -0x9edb -0x564b1ceb -256 -256 -0 -0 -0 -3 -0xe430 -0x8b322bd1 -256 -256 -0 -0 -0 -4 -0xb381 -0x4fbba749 -256 -256 -1 -0 -0 -3 -0xe2e5 -0xee63a818 -256 -256 -0 -0 -0 -3 -0x9b63 -0xd9441d05 -256 -256 -0 -0 -0 -3 -0xb12a -0x2af24bb4 -256 -256 -1 -0 -0 -2 -0xb5d3 -0x60bf14b0 -256 -256 -1 -0 -0 -4 -0xf993 -0x31e33d64 -256 -256 -1 -0 -0 -3 -0x94a0 -0x2fdc367a -256 -256 -1 -0 -0 -2 -0xd765 -0xc742b54 -256 -256 -0 -0 -0 -2 -0xae68 -0xef15a054 -256 -256 -0 -0 -0 -1 -0x4bbd -0x3a48c3d4 -256 -256 -0 -0 -0 -1 -0xcefc -0x118cb258 -256 -256 -0 -0 -0 -4 -0xa289 -0xfa89fa46 -256 -256 -1 -0 -0 -4 -0x9cdc -0xe5bca779 -256 -256 -0 -0 -0 -2 -0x8369 -0xb44e1d52 -256 -256 -1 -0 -0 -3 -0xd874 -0xabee2776 -256 -256 -0 -0 -0 -3 -0xdbfb -0x86de4189 -256 -256 -0 -0 -0 -2 -0x2e25 -0x3f5daa61 -256 -256 -1 -0 -0 -3 -0xa271 -0x5cc672ac -256 -256 -0 -0 -0 -2 -0xd2b7 -0x3032bab -256 -256 -1 -0 -0 -3 -0xfcf3 -0xed91d7bf -256 -256 -1 -0 -0 -2 -0xa8af -0x336cf088 -256 -256 -1 -0 -0 -4 -0xbfbb -0x71e1a009 -256 -256 -1 -0 -0 -3 -0x89f7 -0x45bf413c -256 -256 -1 -0 -0 -2 -0x51f5 -0x69dee5cd -256 -256 -0 -0 -0 -2 -0x12f6 -0x8002f0b5 -256 -256 -0 -0 -0 -4 -0x6a5f -0xd8c1a1c1 -256 -256 -0 -0 -0 -1 -0xe1d1 -0x9ab9d78b -256 -256 -1 -0 -0 -1 -0xebf9 -0x696921e9 -256 -256 -0 -0 -0 -4 -0x5e61 -0x888ee5c7 -256 -256 -0 -0 -0 -1 -0xfea7 -0x80f3080b -256 -256 -1 -0 -0 -3 -0x11bf -0x3801c286 -256 -256 -0 -0 -0 -1 -0x7947 -0xff168a9 -256 -256 -0 -0 -0 -2 -0xdd4b -0x1f08e0e9 -256 -256 -1 -0 -0 -2 -0xe2f2 -0xcbd0de85 -256 -256 -1 -0 -0 -3 -0x3dc1 -0x4eef6beb -256 -256 -1 -0 -0 -4 -0x7e3e -0x1788e36e -256 -256 -1 -0 -0 -3 -0xcc4 -0x556d8e0f -256 -256 -1 -0 -0 -4 -0xff02 -0x4b470e59 -256 -256 -0 -0 -0 -3 -0xfcd6 -0x1bb75480 -256 -256 -0 -0 -0 -4 -0x3f96 -0xe5a68791 -256 -256 -1 -0 -0 -2 -0x65ab -0xf2196816 -256 -256 -1 -0 -0 -1 -0xa7ec -0x833969a2 -256 -256 -0 -0 -0 -4 -0xee11 -0x156a8b2c -256 -256 -0 -0 -0 -2 -0xd682 -0x652450a1 -256 -256 -0 -0 -0 -1 -0x3430 -0xc75f61cf -256 -256 -0 -0 -0 -4 -0x193c -0xe3a51bb -256 -256 -1 -0 -0 -3 -0xe99f -0xce0454a -256 -256 -1 -0 -0 -4 -0xb7d5 -0x57682708 -256 -256 -1 -0 -0 -1 -0x63c1 -0x8e832ed3 -256 -256 -1 -0 -0 -2 -0x95d8 -0x2bca1f81 -256 -256 -1 -0 -0 -1 -0x4db9 -0xe085ae32 -256 -256 -0 -0 -0 -4 -0xcd27 -0x5f8c08bd -256 -256 -1 -0 -0 -1 -0xe38b -0x8a889d6e -256 -256 -0 -0 -0 -1 -0x9954 -0x9923f1b1 -256 -256 -0 -0 -0 -2 -0x7e88 -0xfe7ef962 -256 -256 -1 -0 -0 -3 -0x3a4f -0x213e4956 -256 -256 -1 -0 -0 -2 -0xc936 -0x276c646d -256 -256 -0 -0 -0 -2 -0xc612 -0x17447e7f -256 -256 -0 -0 -0 -3 -0x78d3 -0x7173fd7c -256 -256 -1 -0 -0 -4 -0xa8ff -0x5a91acaa -256 -256 -0 -0 -0 -4 -0x51d1 -0x721c20e4 -256 -256 -0 -0 -0 -1 -0x4334 -0x62fe9781 -256 -256 -1 -0 -0 -4 -0x21e -0x59ed7383 -256 -256 -1 -0 -0 -2 -0x8db -0xdd412ef -256 -256 -1 -0 -0 -4 -0x691e -0x4585691f -256 -256 -1 -0 -0 -3 -0x8fae -0x79e8dc5e -256 -256 -1 -0 -0 -2 -0xd2c0 -0x2806d482 -256 -256 -0 -0 -0 -1 -0xaaac -0xc0a5b0b2 -256 -256 -0 -0 -0 -3 -0xd1a1 -0xc9799984 -256 -256 -1 -0 -0 -2 -0x9191 -0xb43fb177 -256 -256 -0 -0 -0 -4 -0xb51 -0x877b5ed4 -256 -256 -1 -0 -0 -1 -0xa828 -0x19646ec1 -256 -256 -1 -0 -0 -4 -0x410c -0xe30dc68 -256 -256 -1 -0 -0 -4 -0x8fc1 -0x48b5b8a1 -256 -256 -0 -0 -0 -2 -0xedb9 -0x2874d96f -256 -256 -1 -0 -0 -4 -0xacc2 -0x8f52e1dc -256 -256 -0 -0 -0 -1 -0xd197 -0xcad43db7 -256 -256 -1 -0 -0 -2 -0x8428 -0xb9b34ccc -256 -256 -0 -0 -0 -4 -0x4594 -0x104da54a -256 -256 -1 -0 -0 -2 -0x9cc3 -0x3d347bf1 -256 -256 -0 -0 -0 -1 -0xa8b0 -0x673dde01 -256 -256 -1 -0 -0 -3 -0x7323 -0x28837568 -256 -256 -1 -0 -0 -4 -0x9db4 -0x2c3962bc -256 -256 -1 -0 -0 -2 -0xb301 -0xecba2b24 -256 -256 -0 -0 -0 -1 -0xf32a -0x66638456 -256 -256 -1 -0 -0 -2 -0x96c3 -0x71ddc700 -256 -256 -1 -0 -0 -2 -0x7de2 -0x92bf3080 -256 -256 -0 -0 -0 -4 -0xc698 -0x7290e86 -256 -256 -0 -0 -0 -2 -0x2f80 -0xf2beb9fc -256 -256 -0 -0 -0 -1 -0x71c5 -0xf4a73249 -256 -256 -1 -0 -0 -4 -0x2aa3 -0xde503c50 -256 -256 -0 -0 -0 -1 -0xecbc -0x68af6d03 -256 -256 -1 -0 -0 -2 -0xe066 -0xf9ce6fe4 -256 -256 -0 -0 -0 -4 -0x16f5 -0x7bf3ac34 -256 -256 -1 -0 -0 -1 -0xeb58 -0xe1714370 -256 -256 -1 -0 -0 -3 -0xcc56 -0x23766cd5 -256 -256 -0 -0 -0 -3 -0x9279 -0x97e28a1c -256 -256 -0 -0 -0 -4 -0xd290 -0x2f116af -256 -256 -0 -0 -0 -4 -0x29c8 -0xd76ddf39 -256 -256 -1 -0 -0 -4 -0x4677 -0x8010752b -256 -256 -1 -0 -0 -3 -0xbbd3 -0x5f0b34f0 -256 -256 -0 -0 -0 -2 -0xba1 -0xda382eda -256 -256 -0 -0 -0 -1 -0xe4 -0x55d0c9d2 -256 -256 -1 -0 -0 -3 -0x45ab -0x58c70610 -256 -256 -0 -0 -0 -2 -0x6c84 -0xe6b4745e -256 -256 -1 -0 -0 -4 -0x9229 -0xf0a47963 -256 -256 -1 -0 -0 -4 -0xedef -0x3ab683d9 -256 -256 -0 -0 -0 -2 -0x46aa -0x9924dffe -256 -256 -0 -0 -0 -2 -0x57cc -0x1237e663 -256 -256 -0 -0 -0 -3 -0x635e -0xbb040a77 -256 -256 -1 -0 -0 -1 -0x5770 -0x879758c9 -256 -256 -0 -0 -0 -3 -0x5555 -0xe6e7a21e -256 -256 -0 -0 -0 -2 -0x7772 -0x3ae2d1ae -256 -256 -0 -0 -0 -2 -0x1fcd -0xabbd5fa1 -256 -256 -0 -0 -0 -1 -0xbf1f -0xfa8ac62 -256 -256 -1 -0 -0 -2 -0x60f9 -0xacf6d113 -256 -256 -0 -0 -0 -2 -0x29ce -0xeef74669 -256 -256 -1 -0 -0 -3 -0x6e68 -0xf81758d3 -256 -256 -1 -0 -0 -4 -0xef7b -0x428134c8 -256 -256 -1 -0 -0 -4 -0x93cf -0xb69219fa -256 -256 -0 -0 -0 -2 -0xd51 -0xfd2cf50a -256 -256 -1 -0 -0 -1 -0x2067 -0xa8e75087 -256 -256 -0 -0 -0 -2 -0x95d4 -0xad954460 -256 -256 -1 -0 -0 -1 -0xb6ca -0xe83184c5 -256 -256 -1 -0 -0 -4 -0xad97 -0x1c311e6b -256 -256 -1 -0 -0 -3 -0x83f4 -0x4f7f3258 -256 -256 -0 -0 -0 -3 -0x167e -0x310d1e44 -256 -256 -0 -0 -0 -3 -0x67e4 -0x713f52c0 -256 -256 -1 -0 -0 -1 -0x4073 -0x9765201f -256 -256 -0 -0 -0 -1 -0x9548 -0xc227142b -256 -256 -1 -0 -0 -2 -0xa44e -0xcbd69aaf -256 -256 -1 -0 -0 -1 -0x115e -0x901c008c -256 -256 -1 -0 -0 -3 -0xd9dc -0x8ff0cec -256 -256 -0 -0 -0 -1 -0x8c9d -0xe0184954 -256 -256 -0 -0 -0 -4 -0x583d -0xf29400c9 -256 -256 -0 -0 -0 -3 -0xfce1 -0xa33ecbd2 -256 -256 -0 -0 -0 -3 -0xf1b -0xda9d30e4 -256 -256 -1 -0 -0 -3 -0x1504 -0xcadce63d -256 -256 -1 -0 -0 -2 -0xc754 -0xac9557a7 -256 -256 -0 -0 -0 -1 -0xb2 -0x68ba353 -256 -256 -0 -0 -0 -4 -0x1b4c -0xc60738ec -256 -256 -0 -0 -0 -1 -0xfc26 -0xe42f5ee1 -256 -256 -0 -0 -0 -1 -0xfa9e -0xa97dfd05 -256 -256 -1 -0 -0 -4 -0xbc0d -0x721ba802 -256 -256 -1 -0 -0 -2 -0x9637 -0x89c3e5b2 -256 -256 -1 -0 -0 -2 -0x1e88 -0x4d9fe543 -256 -256 -1 -0 -0 -1 -0x33dc -0xb77c8d0d -256 -256 -1 -0 -0 -2 -0x1ca1 -0xc996cdc0 -256 -256 -0 -0 -0 -2 -0x6fe9 -0x2f1a761 -256 -256 -0 -0 -0 -1 -0xa7b4 -0x2a8f7bf7 -256 -256 -1 -0 -0 -2 -0x2538 -0x246745c1 -256 -256 -0 -0 -0 -1 -0xfdc7 -0xe58e5e42 -256 -256 -1 -0 -0 -1 -0xc5f -0x388390de -256 -256 -1 -0 -0 -3 -0xc627 -0x2ce0ad1d -256 -256 -0 -0 -0 -1 -0x9cf2 -0xb9711079 -256 -256 -1 -0 -0 -3 -0xd80d -0x7d602d37 -256 -256 -0 -0 -0 -1 -0x4778 -0x6d26015c -256 -256 -0 -0 -0 -1 -0x710d -0x59853e65 -256 -256 -1 -0 -0 -3 -0x1190 -0x144ba73a -256 -256 -1 -0 -0 -1 -0xa146 -0xe7fa20d8 -256 -256 -1 -0 -0 -3 -0x73ae -0xc6a4ba18 -256 -256 -1 -0 -0 -3 -0x7cb3 -0x563db195 -256 -256 -0 -0 -0 -1 -0x4d59 -0x8087f3b3 -256 -256 -0 -0 -0 -3 -0xffec -0x7bb78c70 -256 -256 -0 -0 -0 -2 -0x8e0f -0xdff9762a -256 -256 -1 -0 -0 -1 -0x6560 -0xbef6f800 -256 -256 -1 -0 -0 -1 -0xe9e0 -0x2b997f -256 -256 -1 -0 -0 -4 -0xe1c0 -0xa9cd039 -256 -256 -0 -0 -0 -2 -0x79b8 -0xc49079f5 -256 -256 -1 -0 -0 -4 -0x6dbc -0x4e91a9f4 -256 -256 -0 -0 -0 -2 -0x51c0 -0x2fefd5d3 -256 -256 -0 -0 -0 -4 -0x91d3 -0x77607d -256 -256 -0 -0 -0 -3 -0xcbbb -0xf484672e -256 -256 -1 -0 -0 -4 -0x195f -0xa203ee34 -256 -256 -0 -0 -0 -4 -0xe24a -0xd0127b2b -256 -256 -1 -0 -0 -4 -0x8968 -0x9b7261a3 -256 -256 -1 -0 -0 -1 -0x7d89 -0x73a693c6 -256 -256 -0 -0 -0 -3 -0x6ad2 -0x41dcb296 -256 -256 -1 -0 -0 -3 -0x9e70 -0x8bd9b9e7 -256 -256 -0 -0 -0 -1 -0xeae1 -0xb23a5564 -256 -256 -0 -0 -0 -2 -0xfe17 -0xcc8631cc -256 -256 -0 -0 -0 -3 -0x5d3e -0xf3365ff0 -256 -256 -0 -0 -0 -2 -0xbc60 -0x78afa3f4 -256 -256 -1 -0 -0 -4 -0xd22c -0x6ffb8719 -256 -256 -1 -0 -0 -1 -0x1e3a -0xc6c246c2 -256 -256 -0 -0 -0 -1 -0x5d4c -0xa3048f08 -256 -256 -1 -0 -0 -2 -0xc2a9 -0x56e1ccdd -256 -256 -0 -0 -0 -2 -0xe2bc -0xe4b615f4 -256 -256 -0 -0 -0 -3 -0x57ac -0xf6734e11 -256 -256 -1 -0 -0 -1 -0xa9bf -0xb47b17c8 -256 -256 -0 -0 -0 -3 -0x60e5 -0xd031e163 -256 -256 -1 -0 -0 -1 -0xf7d9 -0xbda73c5a -256 -256 -0 -0 -0 -1 -0xca2a -0x22cde972 -256 -256 -0 -0 -0 -2 -0xbf15 -0x65374cfb -256 -256 -0 -0 -0 -2 -0x544d -0x2292092f -256 -256 -0 -0 -0 -3 -0x37d9 -0x110b42ed -256 -256 -1 -0 -0 -4 -0xdc5b -0x98db1238 -256 -256 -1 -0 -0 -1 -0x833 -0x803d93b8 -256 -256 -1 -0 -0 -3 -0x94ae -0xb8f2fbb6 -256 -256 -1 -0 -0 -1 -0xf28b -0x21968ea7 -256 -256 -0 -0 -0 -2 -0x4089 -0x68ec422a -256 -256 -0 -0 -0 -2 -0xfdc -0xd0639328 -256 -256 -0 -0 -0 -3 -0x4839 -0x3922601 -256 -256 -0 -0 -0 -2 -0xab75 -0x8250e2d2 -256 -256 -1 -0 -0 -2 -0xd17d -0x7d2b1351 -256 -256 -1 -0 -0 -4 -0xb004 -0xab48d84f -256 -256 -1 -0 -0 -4 -0x3124 -0xef855628 -256 -256 -1 -0 -0 -2 -0xb839 -0x2566ad1c -256 -256 -0 -0 -0 -1 -0x81f3 -0x12439dca -256 -256 -0 -0 -0 -3 -0xcfc1 -0x3309ce55 -256 -256 -0 -0 -0 -2 -0xb5f1 -0x45c1e6ac -256 -256 -0 -0 -0 -3 -0xcab5 -0x8d032bca -256 -256 -0 -0 -0 -3 -0xf38b -0xb3595bba -256 -256 -0 -0 -0 -4 -0x6acc -0x6f941748 -256 -256 -0 -0 -0 -1 -0x8b83 -0x270e6d25 -256 -256 -1 -0 -0 -4 -0x24af -0xab540abd -256 -256 -1 -0 -0 -3 -0xcbd -0xb30c26db -256 -256 -0 -0 -0 -2 -0xcc53 -0x7817fbfc -256 -256 -0 -0 -0 -4 -0x9211 -0x8895b482 -256 -256 -0 -0 -0 -4 -0xe9e8 -0x51cbc331 -256 -256 -1 -0 -0 -4 -0x61ed -0xdc8ca17 -256 -256 -1 -0 -0 -2 -0x485e -0x86b52a1e -256 -256 -1 -0 -0 -3 -0xc8d3 -0x1311ee23 -256 -256 -1 -0 -0 -3 -0x8ccb -0x49c48c17 -256 -256 -1 -0 -0 -1 -0xedd8 -0xf3671b8e -256 -256 -1 -0 -0 -1 -0x9dab -0xa07a6bfa -256 -256 -1 -0 -0 -3 -0x6bd9 -0xeca10d70 -256 -256 -1 -0 -0 -3 -0xd26d -0xb0dba4bd -256 -256 -1 -0 -0 -1 -0xdcdb -0xfb8cc3b8 -256 -256 -0 -0 -0 -4 -0x9126 -0xcc8e7f6a -256 -256 -0 -0 -0 -2 -0x4493 -0xcba919d1 -256 -256 -1 -0 -0 -4 -0xed8b -0xa2254206 -256 -256 -0 -0 -0 -3 -0x1c95 -0x9b18f30c -256 -256 -0 -0 -0 -4 -0x2e0c -0x4f2533dc -256 -256 -0 -0 -0 -2 -0xcf9e -0x61643abf -256 -256 -1 -0 -0 -1 -0x163d -0x3039a02b -256 -256 -1 -0 -0 -1 -0xec6c -0xed57fc21 -256 -256 -0 -0 -0 -3 -0x4b46 -0x4f2b0839 -256 -256 -0 -0 -0 -4 -0xff7 -0xd304c525 -256 -256 -1 -0 -0 -3 -0x372d -0x1ebb7fe0 -256 -256 -1 -0 -0 -1 -0x75a0 -0xd2a21024 -256 -256 -1 -0 -0 -3 -0x7588 -0x54c14473 -256 -256 -0 -0 -0 -4 -0xd941 -0x7d35d796 -256 -256 -0 -0 -0 -2 -0x87f3 -0x61c61211 -256 -256 -1 -0 -0 -4 -0xa8a0 -0x219ee546 -256 -256 -1 -0 -0 -2 -0xc956 -0x35d8e53b -256 -256 -1 -0 -0 -1 -0x501f -0x9553cf41 -256 -256 -0 -0 -0 -2 -0x786a -0xc7c788bf -256 -256 -0 -0 -0 -1 -0xe72e -0xac78538c -256 -256 -0 -0 -0 -1 -0xc711 -0x6479b02b -256 -256 -1 -0 -0 -4 -0xaf31 -0xfeea8068 -256 -256 -0 -0 -0 -1 -0x6466 -0x24ea7123 -256 -256 -1 -0 -0 -3 -0x83ce -0x46ffcab8 -256 -256 -1 -0 -0 -1 -0x40f8 -0x258a5594 -256 -256 -1 -0 -0 -1 -0x26ad -0x4d990404 -256 -256 -0 -0 -0 -2 -0x8013 -0xbf4bb682 -256 -256 -1 -0 -0 -2 -0x3c6 -0x3a2db6fb -256 -256 -1 -0 -0 -3 -0xe407 -0x738cace -256 -256 -0 -0 -0 -4 -0x474b -0x26bfa9b0 -256 -256 -1 -0 -0 -4 -0x6b07 -0x6aa92526 -256 -256 -1 -0 -0 -4 -0x5e6e -0xab0f0b73 -256 -256 -0 -0 -0 -1 -0x886f -0x8850c646 -256 -256 -0 -0 -0 -4 -0x1279 -0x4ed102be -256 -256 -0 -0 -0 -1 -0xec99 -0xe62cef0e -256 -256 -0 -0 -0 -4 -0x5021 -0x2524ec66 -256 -256 -0 -0 -0 -4 -0x29e3 -0xc3b6b41b -256 -256 -1 -0 -0 -2 -0x300d -0x456002ec -256 -256 -1 -0 -0 -2 -0xd220 -0x769793e8 -256 -256 -1 -0 -0 -1 -0x65e0 -0x896513d5 -256 -256 -0 -0 -0 -1 -0x2a5e -0xfa50ec77 -256 -256 -0 -0 -0 -3 -0x610f -0x49bb8837 -256 -256 -0 -0 -0 -3 -0x3613 -0xaafd692a -256 -256 -0 -0 -0 -2 -0x3b56 -0x531b0e4c -256 -256 -0 -0 -0 -1 -0xc641 -0xaa8535a2 -256 -256 -1 -0 -0 -1 -0x2f0b -0x1ee33b71 -256 -256 -1 -0 -0 -2 -0x3671 -0xfefba9a3 -256 -256 -0 -0 -0 -3 -0xc71b -0xfc179423 -256 -256 -1 -0 -0 -4 -0xbb84 -0xea30da74 -256 -256 -1 -0 -0 -3 -0x29c7 -0x5bc74402 -256 -256 -0 -0 -0 -2 -0x3198 -0x7a0c054d -256 -256 -0 -0 -0 -2 -0xe929 -0x2e96c295 -256 -256 -0 -0 -0 -3 -0x646f -0x8f4eb4b -256 -256 -0 -0 -0 -4 -0xfe81 -0x74692bf -256 -256 -1 -0 -0 -1 -0xfca0 -0x593db892 -256 -256 -0 -0 -0 -3 -0xab8a -0x9bb9951a -256 -256 -1 -0 -0 -4 -0xfa03 -0x9224a1be -256 -256 -1 -0 -0 -2 -0x3463 -0x6c83fd7c -256 -256 -0 -0 -0 -2 -0xa138 -0x667d37e1 -256 -256 -0 -0 -0 -4 -0x586e -0x59e08d8d -256 -256 -0 -0 -0 -2 -0x2be3 -0xfa64a7a5 -256 -256 -0 -0 -0 -1 -0xf9ef -0xc90520ef -256 -256 -0 -0 -0 -4 -0x4312 -0x51c4de9f -256 -256 -0 -0 -0 -1 -0x6da0 -0x460971ab -256 -256 -1 -0 -0 -4 -0x48 -0x701201da -256 -256 -0 -0 -0 -2 -0x3269 -0x61e9379e -256 -256 -1 -0 -0 -4 -0xb0b6 -0xb713b4fe -256 -256 -1 -0 -0 -4 -0x801f -0x6405b286 -256 -256 -1 -0 -0 -2 -0x9626 -0x1dec61ca -256 -256 -1 -0 -0 -1 -0x87b5 -0x72c511d4 -256 -256 -0 -0 -0 -3 -0xd4b4 -0xa5b49fcc -256 -256 -1 -0 -0 -4 -0x5ebb -0xd9998c43 -256 -256 -0 -0 -0 -2 -0xc44d -0x217c5850 -256 -256 -0 -0 -0 -3 -0x3936 -0xb43cf611 -256 -256 -1 -0 -0 -2 -0xa1b5 -0x73a0832a -256 -256 -1 -0 -0 -2 -0x38dc -0x74c289f2 -256 -256 -0 -0 -0 -3 -0x4972 -0x3358e21d -256 -256 -1 -0 -0 -4 -0x434b -0x8de3ceee -256 -256 -0 -0 -0 -3 -0xe950 -0x549ed4b0 -256 -256 -1 -0 -0 -4 -0x3711 -0x65d17d11 -256 -256 -0 -0 -0 -1 -0x44d4 -0xb35e799e -256 -256 -1 -0 -0 -4 -0xd684 -0x1388fee6 -256 -256 -0 -0 -0 -3 -0x504b -0x9d33151f -256 -256 -0 -0 -0 -1 -0x2880 -0xb9a3cee1 -256 -256 -0 -0 -0 -4 -0xa34a -0xdcde8841 -256 -256 -0 -0 -0 -2 -0x13b0 -0x8f4d71a3 -256 -256 -0 -0 -0 -2 -0xaa66 -0xf9786cd4 -256 -256 -0 -0 -0 -2 -0x2dab -0xe1f0553 -256 -256 -0 -0 -0 -3 -0x6980 -0x316c661b -256 -256 -1 -0 -0 -2 -0x7636 -0xfc16d326 -256 -256 -0 -0 -0 -4 -0x3867 -0x2c00108f -256 -256 -1 -0 -0 -4 -0x5fc -0x6263d447 -256 -256 -1 -0 -0 -1 -0x331c -0x5d4c1ea2 -256 -256 -0 -0 -0 -3 -0x3ccc -0xe45dc5ad -256 -256 -1 -0 -0 -1 -0x5bc6 -0x276b1257 -256 -256 -0 -0 -0 -2 -0x3b6d -0x4611a802 -256 -256 -1 -0 -0 -1 -0xdb09 -0x72e8eb09 -256 -256 -1 -0 -0 -2 -0xff5a -0xa2c96ffb -256 -256 -0 -0 -0 -4 -0xcba9 -0x9e016981 -256 -256 -0 -0 -0 -3 -0x2803 -0xf4a282a -256 -256 -1 -0 -0 -3 -0x452b -0x9085ae33 -256 -256 -1 -0 -0 -2 -0xf7de -0xa8e3028c -256 -256 -0 -0 -0 -1 -0xb5a6 -0xfcef0d91 -256 -256 -1 -0 -0 -4 -0x94b1 -0xe2f49912 -256 -256 -0 -0 -0 -1 -0x6330 -0xcab7b61b -256 -256 -1 -0 -0 -3 -0x7631 -0x2c839280 -256 -256 -0 -0 -0 -1 -0x73b0 -0x7a173b0 -256 -256 -0 -0 -0 -2 -0xff39 -0x8398e265 -256 -256 -0 -0 -0 -3 -0xa80c -0x99c77573 -256 -256 -1 -0 -0 -4 -0xa8f4 -0x47acbb6e -256 -256 -0 -0 -0 -3 -0x89c4 -0x52d75288 -256 -256 -1 -0 -0 -3 -0x3545 -0x379017f5 -256 -256 -1 -0 -0 -3 -0xd81f -0xb5430cfc -256 -256 -1 -0 -0 -4 -0x55a9 -0xb08e0fb0 -256 -256 -0 -0 -0 -2 -0x95af -0xc7f8d4da -256 -256 -0 -0 -0 -2 -0x5835 -0x8819b9f8 -256 -256 -0 -0 -0 -3 -0xee4b -0x1323f24d -256 -256 -0 -0 -0 -3 -0x2a8d -0x599fce12 -256 -256 -0 -0 -0 -3 -0xbdda -0x416aa039 -256 -256 -1 -0 -0 -2 -0xe878 -0xf42abb33 -256 -256 -1 -0 -0 -2 -0x4dc6 -0xc51ea2f6 -256 -256 -0 -0 -0 -4 -0x2e90 -0x1aabcbc4 -256 -256 -1 -0 -0 -4 -0x6205 -0x9cac367 -256 -256 -1 -0 -0 -1 -0xf887 -0xde6b213d -256 -256 -0 -0 -0 -3 -0x4a9f -0x20ea4672 -256 -256 -0 -0 -0 -2 -0x8e39 -0x3df42b94 -256 -256 -1 -0 -0 -4 -0x16ae -0xd659eb02 -256 -256 -0 -0 -0 -3 -0xea86 -0xaea53359 -256 -256 -1 -0 -0 -1 -0xf368 -0xe72003d0 -256 -256 -0 -0 -0 -3 -0xb03f -0xb8821979 -256 -256 -0 -0 -0 -1 -0xd2b0 -0x11052387 -256 -256 -1 -0 -0 -4 -0xc107 -0x87431e4c -256 -256 -0 -0 -0 -4 -0xbe87 -0xe88821a3 -256 -256 -0 -0 -0 -4 -0xb0b -0x2afa1038 -256 -256 -1 -0 -0 -2 -0xb7a2 -0xb4072136 -256 -256 -1 -0 -0 -3 -0x7b81 -0x8c56b060 -256 -256 -0 -0 -0 -4 -0xc5ed -0x15eccd79 -256 -256 -0 -0 -0 -3 -0x7f55 -0xdeec3f0e -256 -256 -1 -0 -0 -4 -0x2c9b -0xde57e2bf -256 -256 -0 -0 -0 -2 -0x850c -0x1c06c788 -256 -256 -0 -0 -0 -2 -0x7b33 -0x5a1942b1 -256 -256 -1 -0 -0 -2 -0x26ba -0x3512e274 -256 -256 -0 -0 -0 -3 -0x46e -0xfae52d33 -256 -256 -1 -0 -0 -4 -0x1668 -0x484bcb64 -256 -256 -1 -0 -0 -1 -0x51ee -0x402695a0 -256 -256 -0 -0 -0 -4 -0xdeb4 -0xeb1ca575 -256 -256 -0 -0 -0 -3 -0xe931 -0xa6105978 -256 -256 -0 -0 -0 -4 -0x8d44 -0xda094df4 -256 -256 -1 -0 -0 -1 -0x799e -0xa050c25b -256 -256 -1 -0 -0 -2 -0xbd79 -0x2d59b5bc -256 -256 -1 -0 -0 -3 -0x199e -0xff8f1e56 -256 -256 -0 -0 -0 -4 -0xd1fb -0xd8792684 -256 -256 -1 -0 -0 -1 -0x6cca -0xd0a6e292 -256 -256 -1 -0 -0 -4 -0xc259 -0x4a6d6257 -256 -256 -1 -0 -0 -2 -0x44ce -0x57ac2a0c -256 -256 -0 -0 -0 -1 -0xd40 -0xd69054fd -256 -256 -0 -0 -0 -3 -0xbf58 -0xb757dcb1 -256 -256 -0 -0 -0 -1 -0xb01 -0xd6138cac -256 -256 -0 -0 -0 -2 -0xa036 -0x2eb34a4b -256 -256 -1 -0 -0 -3 -0xd1e0 -0xd8f26302 -256 -256 -0 -0 -0 -2 -0x9a1b -0xff8e6dee -256 -256 -0 -0 -0 -4 -0x542b -0x30577c29 -256 -256 -1 -0 -0 -4 -0x518a -0x7a65b1d5 -256 -256 -1 -0 -0 -3 -0x41fd -0x6a03eb34 -256 -256 -0 -0 -0 -4 -0xc119 -0x70d60369 -256 -256 -0 -0 -0 -2 -0xd563 -0xa95d4cc -256 -256 -0 -0 -0 -1 -0x7635 -0x8af840b5 -256 -256 -1 -0 -0 -1 -0xb516 -0x9e8a1c8 -256 -256 -1 -0 -0 -4 -0xa4e -0xcaee1395 -256 -256 -0 -0 -0 -3 -0xd9b9 -0x2879691c -256 -256 -1 -0 -0 -1 -0x68bc -0x8543a5de -256 -256 -1 -0 -0 -2 -0x5fd7 -0x2e4ab6f8 -256 -256 -0 -0 -0 -3 -0xbd75 -0x9338a899 -256 -256 -0 -0 -0 -3 -0x6176 -0x7592c7ba -256 -256 -0 -0 -0 -1 -0xcf97 -0x77f41286 -256 -256 -0 -0 -0 -2 -0x4037 -0x4a3aa746 -256 -256 -0 -0 -0 -3 -0xbcaf -0x46e66c40 -256 -256 -0 -0 -0 -4 -0x7cef -0x97d82594 -256 -256 -1 -0 -0 -1 -0xa4da -0xfa51201e -256 -256 -0 -0 -0 -3 -0x3ca4 -0x4b9df592 -256 -256 -1 -0 -0 -3 -0xdb27 -0xea9f66f8 -256 -256 -0 -0 -0 -4 -0x1efd -0xaa0fd4cb -256 -256 -0 -0 -0 -3 -0x2b06 -0x5cc0f4dd -256 -256 -1 -0 -0 -1 -0x50a0 -0x798cb975 -256 -256 -1 -0 -0 -1 -0x3ae8 -0x1c32d010 -256 -256 -0 -0 -0 -1 -0x3b13 -0xb791286f -256 -256 -1 -0 -0 -2 -0xde7e -0x823a214f -256 -256 -0 -0 -0 -3 -0x4f16 -0xd3607e16 -256 -256 -0 -0 -0 -2 -0xc2c9 -0x41490989 -256 -256 -0 -0 -0 -2 -0x911a -0xb50bcee8 -256 -256 -0 -0 -0 -3 -0x11fe -0x8694393f -256 -256 -1 -0 -0 -3 -0x2ed8 -0xa28f7c0c -256 -256 -1 -0 -0 -4 -0x49ff -0x75c38efb -256 -256 -0 -0 -0 -4 -0x6a78 -0xc81871c0 -256 -256 -0 -0 -0 -1 -0xbc88 -0x9f6ca31a -256 -256 -1 -0 -0 -4 -0x12b8 -0xc0ed3171 -256 -256 -1 -0 -0 -3 -0xd840 -0x3826fb20 -256 -256 -1 -0 -0 -4 -0xf0c8 -0x1a319492 -256 -256 -1 -0 -0 -2 -0xed6c -0xe1e06982 -256 -256 -0 -0 -0 -4 -0xddef -0x7efaaf9b -256 -256 -0 -0 -0 -2 -0x59a3 -0x4cf73f69 -256 -256 -1 -0 -0 -2 -0x4a38 -0x2006fe65 -256 -256 -1 -0 -0 -1 -0x2c08 -0x559ed0c7 -256 -256 -0 -0 -0 -4 -0x3bc -0x23d53544 -256 -256 -0 -0 -0 -4 -0x3757 -0x6a857880 -256 -256 -0 -0 -0 -1 -0x73f9 -0x6d032b42 -256 -256 -0 -0 -0 -4 -0xfb96 -0x739c801 -256 -256 -0 -0 -0 -3 -0xf3ca -0x101033b7 -256 -256 -1 -0 -0 -4 -0xe4fd -0xb2ac0741 -256 -256 -0 -0 -0 -2 -0x59db -0xf244a48 -256 -256 -1 -0 -0 -4 -0xe5dd -0x735638cc -256 -256 -1 -0 -0 -1 -0x9837 -0x27808294 -256 -256 -0 -0 -0 -1 -0x8407 -0x93d0aeac -256 -256 -0 -0 -0 -2 -0x4c98 -0xe69352e3 -256 -256 -1 -0 -0 -2 -0x628c -0x86e5913f -256 -256 -1 -0 -0 -4 -0xf656 -0x1454a5af -256 -256 -1 -0 -0 -1 -0x907c -0x4fe4b4e4 -256 -256 -0 -0 -0 -4 -0xd248 -0x104f706b -256 -256 -1 -0 -0 -1 -0xcf -0xc0470a01 -256 -256 -0 -0 -0 -2 -0x78fb -0x63612f4e -256 -256 -1 -0 -0 -3 -0xc27d -0x9e6d3165 -256 -256 -0 -0 -0 -4 -0x69e6 -0x8e42f4fd -256 -256 -0 -0 -0 -4 -0x16cb -0xb76d7cd8 -256 -256 -1 -0 -0 -4 -0x784e -0xc918c998 -256 -256 -1 -0 -0 -4 -0x4e37 -0x763e700c -256 -256 -1 -0 -0 -2 -0x817e -0x63c81db7 -256 -256 -1 -0 -0 -1 -0x9e69 -0x34260e2c -256 -256 -0 -0 -0 -2 -0x4c9e -0xeb147260 -256 -256 -1 -0 -0 -4 -0x557e -0xf76a5146 -256 -256 -0 -0 -0 -1 -0x49c9 -0x9150b66a -256 -256 -1 -0 -0 -2 -0x62ee -0x6834006f -256 -256 -1 -0 -0 -3 -0x97f0 -0xe349d03d -256 -256 -0 -0 -0 -2 -0x32a4 -0xc81893cb -256 -256 -1 -0 -0 -2 -0x7415 -0x50ccefba -256 -256 -0 -0 -0 -4 -0x7ae5 -0x99ed4d2b -256 -256 -0 -0 -0 -3 -0xcbf0 -0x1f537645 -256 -256 -0 -0 -0 -2 -0x423a -0x84b03fdf -256 -256 -1 -0 -0 -4 -0xe51e -0xb3777552 -256 -256 -0 -0 -0 -1 -0xc8d7 -0xd9e867f4 -256 -256 -0 -0 -0 -2 -0x5d80 -0xa0f0957e -256 -256 -1 -0 -0 -4 -0xf2cd -0x3fcbab12 -256 -256 -0 -0 -0 -4 -0x74c -0x35e38c52 -256 -256 -1 -0 -0 -3 -0x8c00 -0xdf12883c -256 -256 -0 -0 -0 -3 -0xf24c -0x109df4e2 -256 -256 -0 -0 -0 -2 -0xd059 -0x5198a32 -256 -256 -0 -0 -0 -4 -0x74db -0x2c277465 -256 -256 -1 -0 -0 -2 -0x6b5a -0x97fa39c1 -256 -256 -1 -0 -0 -2 -0xa821 -0xbf0c0bf1 -256 -256 -1 -0 -0 -2 -0x9b50 -0x89bb2942 -256 -256 -0 -0 -0 -2 -0xfad6 -0x8462d4ac -256 -256 -1 -0 -0 -1 -0xab1e -0x81dbd6dd -256 -256 -1 -0 -0 -1 -0xbafe -0x25c37315 -256 -256 -1 -0 -0 -2 -0x9abd -0x72c82554 -256 -256 -1 -0 -0 -4 -0x1277 -0x34a4bd17 -256 -256 -1 -0 -0 -3 -0xb5aa -0x1fae1160 -256 -256 -0 -0 -0 -3 -0x73a8 -0x8585beeb -256 -256 -1 -0 -0 -2 -0x2fab -0x76ee7b76 -256 -256 -0 -0 -0 -2 -0xb96d -0xde303ae3 -256 -256 -0 -0 -0 -2 -0xe16c -0x5a9bd487 -256 -256 -1 -0 -0 -4 -0x90d3 -0xabbec39 -256 -256 -0 -0 -0 -4 -0xa751 -0xeb0e8843 -256 -256 -1 -0 -0 -1 -0x804d -0x500cf63d -256 -256 -0 -0 -0 -3 -0xef31 -0xfa44f97c -256 -256 -0 -0 -0 -2 -0x1056 -0xbc4eccbd -256 -256 -1 -0 -0 -1 -0x6958 -0x3566aa4e -256 -256 -1 -0 -0 -3 -0x41a -0xd93ba92e -256 -256 -0 -0 -0 -2 -0xfb42 -0x27ca1750 -256 -256 -0 -0 -0 -4 -0xdfd4 -0x78490d37 -256 -256 -0 -0 -0 -1 -0x8ab6 -0xf19ef5ac -256 -256 -1 -0 -0 -2 -0x4043 -0x74f3b280 -256 -256 -1 -0 -0 -2 -0xd377 -0xc03f300b -256 -256 -0 -0 -0 -1 -0x3a20 -0xd6d16286 -256 -256 -1 -0 -0 -4 -0x4079 -0x91b01e01 -256 -256 -0 -0 -0 -2 -0xb877 -0x7e213aed -256 -256 -0 -0 -0 -4 -0xb760 -0x48d6145f -256 -256 -1 -0 -0 -1 -0xf62f -0x5afd372b -256 -256 -1 -0 -0 -3 -0xc4f9 -0xe5046562 -256 -256 -0 -0 -0 -3 -0xdb75 -0x990d49c3 -256 -256 -0 -0 -0 -4 -0x7e0a -0x43d71771 -256 -256 -0 -0 -0 -1 -0x3f6a -0xfebf4087 -256 -256 -1 -0 -0 -3 -0x3cf5 -0x194e816c -256 -256 -1 -0 -0 -4 -0x7cf1 -0xb7ca888 -256 -256 -1 -0 -0 -4 -0x28d0 -0x4afff390 -256 -256 -1 -0 -0 -2 -0xad6d -0xa1374212 -256 -256 -0 -0 -0 -3 -0x30fb -0xab91220f -256 -256 -1 -0 -0 -1 -0x87b6 -0xb9c44ec5 -256 -256 -1 -0 -0 -4 -0x425a -0x8d3bd06b -256 -256 -0 -0 -0 -1 -0xb5b8 -0x9b4251dc -256 -256 -0 -0 -0 -2 -0x7fa1 -0x11e5a18d -256 -256 -1 -0 -0 -3 -0x56fc -0xf6e58f89 -256 -256 -0 -0 -0 -3 -0xce21 -0x8203a990 -256 -256 -0 -0 -0 -2 -0x24e -0xf98f741f -256 -256 -1 -0 -0 -4 -0x9401 -0xd65a45fa -256 -256 -0 -0 -0 -2 -0x4293 -0x84635683 -256 -256 -0 -0 -0 -2 -0x3245 -0xa8279b18 -256 -256 -0 -0 -0 -3 -0x1eba -0x73224286 -256 -256 -0 -0 -0 -1 -0xd1e -0xb3b7c60c -256 -256 -0 -0 -0 -3 -0x7327 -0xee115e4d -256 -256 -0 -0 -0 -1 -0x993e -0x5fa0ad31 -256 -256 -1 -0 -0 -2 -0xfe5f -0xb1a528a0 -256 -256 -1 -0 -0 -4 -0x633f -0x4298c061 -256 -256 -0 -0 -0 -1 -0x6f7 -0x19ad46a2 -256 -256 -0 -0 -0 -3 -0x8973 -0x6dabf883 -256 -256 -0 -0 -0 -1 -0x3a4d -0x802d84be -256 -256 -1 -0 -0 -4 -0xb9ff -0xfd73a06b -256 -256 -1 -0 -0 -1 -0x4a60 -0x664cfc02 -256 -256 -1 -0 -0 -3 -0x80b7 -0x8d097a02 -256 -256 -0 -0 -0 -2 -0xfd12 -0xe6ea769c -256 -256 -1 -0 -0 -4 -0x9cec -0x95c1f9e0 -256 -256 -0 -0 -0 -4 -0xf8d0 -0xf5e7a65d -256 -256 -0 -0 -0 -1 -0x2ce1 -0x10e0cd80 -256 -256 -0 -0 -0 -2 -0x9f0f -0x33afe2ed -256 -256 -1 -0 -0 -2 -0x48e0 -0xb2f257e0 -256 -256 -0 -0 -0 -4 -0x16f0 -0xcb133a41 -256 -256 -1 -0 -0 -1 -0x55c7 -0x6ebfb82d -256 -256 -0 -0 -0 -1 -0x4109 -0xd1bb77b3 -256 -256 -0 -0 -0 -2 -0x64dc -0x24de81eb -256 -256 -0 -0 -0 -3 -0xe827 -0x1ffd53c3 -256 -256 -0 -0 -0 -4 -0xff05 -0xde0d7895 -256 -256 -0 -0 -0 -2 -0xf334 -0xc479403f -256 -256 -0 -0 -0 -1 -0x2520 -0x7c3aa407 -256 -256 -1 -0 -0 -1 -0xa67f -0xebb40e92 -256 -256 -1 -0 -0 -2 -0x1fd9 -0xddf3fd4c -256 -256 -0 -0 -0 -2 -0x8ee5 -0x32724a4b -256 -256 -0 -0 -0 -4 -0xbcc6 -0x98c56a9d -256 -256 -1 -0 -0 -2 -0x7c12 -0x741d7aae -256 -256 -1 -0 -0 -3 -0xed07 -0x91e0ffd0 -256 -256 -1 -0 -0 -1 -0x42a5 -0xf8ba70bc -256 -256 -0 -0 -0 -4 -0xb573 -0x5a212ab5 -256 -256 -1 -0 -0 -4 -0x51e1 -0xb2930347 -256 -256 -1 -0 -0 -1 -0xb437 -0xf0403fdd -256 -256 -1 -0 -0 -2 -0x325b -0x2be84e4f -256 -256 -0 -0 -0 -4 -0x7ba -0x19f95917 -256 -256 -0 -0 -0 -1 -0xb18c -0x21ca4049 -256 -256 -1 -0 -0 -4 -0xeaa1 -0xfd83741e -256 -256 -1 -0 -0 -2 -0xeec8 -0x590d5854 -256 -256 -0 -0 -0 -4 -0xfb4c -0x3ed3c459 -256 -256 -0 -0 -0 -1 -0x36e -0x5e0261b9 -256 -256 -1 -0 -0 -1 -0x21f8 -0xa492915 -256 -256 -0 -0 -0 -3 -0x29b -0x7f863613 -256 -256 -0 -0 -0 -1 -0x808 -0xc77b9140 -256 -256 -1 -0 -0 -4 -0x1104 -0x1978049d -256 -256 -0 -0 -0 -4 -0xf61b -0xdc20ab79 -256 -256 -1 -0 -0 -1 -0x35d7 -0xfa430a70 -256 -256 -1 -0 -0 -2 -0x200f -0x77e24470 -256 -256 -0 -0 -0 -4 -0x6bce -0xc783e5f6 -256 -256 -0 -0 -0 -4 -0xed02 -0x42bbcb61 -256 -256 -1 -0 -0 -1 -0xa727 -0x4e40bd1 -256 -256 -0 -0 -0 -2 -0x7041 -0x95e16451 -256 -256 -0 -0 -0 -4 -0x5ca4 -0x60a04a79 -256 -256 -1 -0 -0 -4 -0xaf8a -0x2a70fb63 -256 -256 -1 -0 -0 -3 -0xd2cf -0xda13ca94 -256 -256 -0 -0 -0 -4 -0x9756 -0x7b4c5d07 -256 -256 -1 -0 -0 -4 -0x1690 -0x3ee55e28 -256 -256 -1 -0 -0 -4 -0x4776 -0x893d48e6 -256 -256 -0 -0 -0 -4 -0x7b99 -0x7b0292e -256 -256 -0 -0 -0 -1 -0x8b92 -0x556ff02c -256 -256 -0 -0 -0 -2 -0xea95 -0x5bbffe7 -256 -256 -1 -0 -0 -1 -0xdf13 -0x9411023 -256 -256 -0 -0 -0 -4 -0x537e -0x47af97a1 -256 -256 -1 -0 -0 -3 -0x30ce -0xfb569099 -256 -256 -1 -0 -0 -3 -0x18ef -0x456ad952 -256 -256 -0 -0 -0 -1 -0x5a9f -0xd663d79a -256 -256 -1 -0 -0 -3 -0xc39b -0x206fa2f7 -256 -256 -1 -0 -0 -3 -0xb9d2 -0x2e7d0cd4 -256 -256 -0 -0 -0 -1 -0x3f22 -0xee28025a -256 -256 -0 -0 -0 -3 -0x7523 -0x8133387b -256 -256 -0 -0 -0 -4 -0x6f79 -0x427e8f2a -256 -256 -1 -0 -0 -3 -0x71a1 -0xc6884408 -256 -256 -1 -0 -0 -1 -0xd2fa -0x54706684 -256 -256 -1 -0 -0 -1 -0x270d -0xebe4cfe1 -256 -256 -0 -0 -0 -1 -0xec3 -0x5de25aaf -256 -256 -1 -0 -0 -1 -0x3598 -0xd6e433bf -256 -256 -1 -0 -0 -2 -0xaff6 -0xeb16aad8 -256 -256 -1 -0 -0 -1 -0xce9f -0xcb77986f -256 -256 -0 -0 -0 -1 -0x2740 -0xfe68c54c -256 -256 -0 -0 -0 -2 -0x9278 -0xfc8be5d -256 -256 -0 -0 -0 -1 -0x1142 -0x4b17e92f -256 -256 -0 -0 -0 -4 -0x50d5 -0x25144ed6 -256 -256 -1 -0 -0 -3 -0xc4f3 -0x516893bb -256 -256 -1 -0 -0 -4 -0xcf02 -0x77a870d4 -256 -256 -0 -0 -0 -4 -0x5d56 -0x808e6f8b -256 -256 -0 -0 -0 -2 -0x969f -0x4325df48 -256 -256 -0 -0 -0 -2 -0x357b -0xcf6ea330 -256 -256 -0 -0 -0 -1 -0xb1b0 -0x78e91ef3 -256 -256 -1 -0 -0 -2 -0x38ca -0x28261ed8 -256 -256 -1 -0 -0 -1 -0xdcc -0x85eca73 -256 -256 -0 -0 -0 -3 -0xca5a -0x134f95ab -256 -256 -0 -0 -0 -1 -0x6076 -0x7223092d -256 -256 -1 -0 -0 -3 -0x769d -0xf9002f9a -256 -256 -0 -0 -0 -3 -0x6513 -0x769db483 -256 -256 -1 -0 -0 -4 -0xc698 -0xe71f8214 -256 -256 -0 -0 -0 -4 -0xa9fb -0x2e5083ab -256 -256 -0 -0 -0 -3 -0x74b7 -0x5b0c0101 -256 -256 -0 -0 -0 -2 -0x812d -0x29eeccd4 -256 -256 -0 -0 -0 -1 -0xef61 -0x4ccba938 -256 -256 -1 -0 -0 -3 -0xa8fb -0x80ffb2a1 -256 -256 -0 -0 -0 -1 -0x377e -0x24dcf2d9 -256 -256 -1 -0 -0 -2 -0x2470 -0xc2b1ddda -256 -256 -0 -0 -0 -2 -0x2ffc -0xf2de30b2 -256 -256 -0 -0 -0 -2 -0x313c -0x7604e148 -256 -256 -1 -0 -0 -2 -0x31b4 -0xc217d31b -256 -256 -1 -0 -0 -3 -0x23ca -0x2c911b6c -256 -256 -1 -0 -0 -1 -0xe6ec -0x94ed477c -256 -256 -0 -0 -0 -4 -0x829e -0xc71fc264 -256 -256 -0 -0 -0 -4 -0xcf9b -0x6f5bbecf -256 -256 -0 -0 -0 -2 -0xa40b -0x34a64279 -256 -256 -1 -0 -0 -4 -0xa5a7 -0x4d603b03 -256 -256 -0 -0 -0 -3 -0xf649 -0x63c8c1ed -256 -256 -1 -0 -0 -4 -0x28bc -0x2e90424f -256 -256 -0 -0 -0 -1 -0x7dbb -0xdc1a7c83 -256 -256 -1 -0 -0 -3 -0x6f1f -0xf2b9fd8a -256 -256 -0 -0 -0 -3 -0x140d -0x356535bf -256 -256 -1 -0 -0 -4 -0x933a -0x600d5ee1 -256 -256 -1 -0 -0 -3 -0x5550 -0xbbe57b93 -256 -256 -0 -0 -0 -2 -0x3976 -0xffb7231b -256 -256 -1 -0 -0 -1 -0x7d3 -0xd8f54902 -256 -256 -0 -0 -0 -4 -0x19a1 -0x6542bd78 -256 -256 -1 -0 -0 -1 -0xaac4 -0xb163e2ef -256 -256 -1 -0 -0 -3 -0x1d6 -0xfdd906dd -256 -256 -1 -0 -0 -2 -0x78d7 -0x7c926476 -256 -256 -0 -0 -0 -1 -0xd776 -0x566b5436 -256 -256 -0 -0 -0 -1 -0xa693 -0xe0161137 -256 -256 -0 -0 -0 -2 -0x9ec6 -0x8b5eb112 -256 -256 -1 -0 -0 -4 -0x21f6 -0xb1fc90e1 -256 -256 -1 -0 -0 -2 -0xada6 -0x5b470b70 -256 -256 -0 -0 -0 -4 -0x271d -0x54c1c4eb -256 -256 -0 -0 -0 -4 -0xcfc4 -0xdc85a577 -256 -256 -0 -0 -0 -1 -0xa186 -0x9c7e97a5 -256 -256 -1 -0 -0 -4 -0x517e -0x7b6e38d0 -256 -256 -0 -0 -0 -2 -0xafc5 -0x2b790ff0 -256 -256 -1 -0 -0 -1 -0xacd6 -0xf526624d -256 -256 -0 -0 -0 -1 -0xc7ef -0xf9119ffb -256 -256 -0 -0 -0 -1 -0xb179 -0x81c881b6 -256 -256 -0 -0 -0 -2 -0x4e34 -0xe029b9ab -256 -256 -1 -0 -0 -3 -0xb9cc -0x98f6484e -256 -256 -0 -0 -0 -1 -0xfa14 -0x9c041852 -256 -256 -0 -0 -0 -4 -0xd9eb -0xaca51d32 -256 -256 -0 -0 -0 -4 -0x5250 -0xf7834583 -256 -256 -0 -0 -0 -2 -0x67b9 -0x1b845d2b -256 -256 -1 -0 -0 -3 -0x43bb -0xba414821 -256 -256 -0 -0 -0 -3 -0xf90 -0xe502c7c2 -256 -256 -1 -0 -0 -2 -0xe779 -0xf5bdc870 -256 -256 -1 -0 -0 -1 -0xe19c -0x5ca4ef5d -256 -256 -0 -0 -0 -3 -0x3e6c -0xa12d813a -256 -256 -1 -0 -0 -2 -0x53c8 -0xa4af3d95 -256 -256 -0 -0 -0 -1 -0x2b19 -0xa3372dd2 -256 -256 -1 -0 -0 -3 -0xb43 -0xd85be77a -256 -256 -0 -0 -0 -1 -0x5086 -0x89f6487c -256 -256 -0 -0 -0 -4 -0xa942 -0x86c47c12 -256 -256 -1 -0 -0 -4 -0x817 -0x7e83e3fb -256 -256 -1 -0 -0 -3 -0xcbfb -0xd3ec7084 -256 -256 -1 -0 -0 -2 -0xa0fc -0xaf1ebab1 -256 -256 -1 -0 -0 -2 -0x52c4 -0x7398f813 -256 -256 -0 -0 -0 -2 -0xa5e -0xe1ff1d36 -256 -256 -0 -0 -0 -2 -0x3020 -0xd287eba9 -256 -256 -0 -0 -0 -3 -0x22b3 -0xdc2df8dc -256 -256 -0 -0 -0 -2 -0xe62b -0x22c5dda6 -256 -256 -0 -0 -0 -4 -0x95d9 -0x9b3f28f8 -256 -256 -1 -0 -0 -2 -0x2e00 -0xc81ce8d0 -256 -256 -0 -0 -0 -4 -0x3700 -0x4c235918 -256 -256 -0 -0 -0 -2 -0xd5f -0x6d26b051 -256 -256 -1 -0 -0 -4 -0xc42c -0xe4bb5f6f -256 -256 -1 -0 -0 -4 -0x5c37 -0xb5fafada -256 -256 -0 -0 -0 -3 -0x1285 -0xbf85f4c5 -256 -256 -0 -0 -0 -4 -0xe00d -0xe3e4fbe2 -256 -256 -1 -0 -0 -1 -0xa629 -0xed1b161f -256 -256 -1 -0 -0 -4 -0xc859 -0xd7309b2b -256 -256 -0 -0 -0 -2 -0x981c -0xf75a258b -256 -256 -1 -0 -0 -2 -0x62f9 -0x8a6079e3 -256 -256 -0 -0 -0 -2 -0xcd88 -0x2eb3f142 -256 -256 -1 -0 -0 -3 -0xe2f8 -0x876b6ef5 -256 -256 -1 -0 -0 -3 -0xee20 -0xe5c585f7 -256 -256 -0 -0 -0 -1 -0x5b0f -0xbda8c109 -256 -256 -1 -0 -0 -2 -0x92aa -0x6a59b0d -256 -256 -0 -0 -0 -4 -0x5817 -0x4b7860aa -256 -256 -1 -0 -0 -1 -0x3c2b -0x3f25993e -256 -256 -1 -0 -0 -4 -0xdfb1 -0x8c57a5d6 -256 -256 -1 -0 -0 -1 -0xe7cb -0xb5251019 -256 -256 -1 -0 -0 -1 -0x2482 -0x4ec8f376 -256 -256 -1 -0 -0 -4 -0x4c30 -0x794f2911 -256 -256 -0 -0 -0 -3 -0x8aa6 -0xb1d38171 -256 -256 -1 -0 -0 -2 -0xebcc -0x15b427df -256 -256 -0 -0 -0 -4 -0x81c6 -0xe2f80f0c -256 -256 -0 -0 -0 -4 -0xc222 -0x6b34d868 -256 -256 -1 -0 -0 -3 -0x5721 -0x81196b94 -256 -256 -0 -0 -0 -1 -0x6440 -0x238bf0b6 -256 -256 -1 -0 -0 -2 -0xcf93 -0x9f82a609 -256 -256 -1 -0 -0 -1 -0x4d5d -0x5e52174f -256 -256 -1 -0 -0 -4 -0x6571 -0xe22cbe5b -256 -256 -1 -0 -0 -2 -0xfdfe -0xaffabdcc -256 -256 -0 -0 -0 -4 -0xb7e9 -0xcaae9f4d -256 -256 -0 -0 -0 -3 -0xb9b1 -0xe5e89a37 -256 -256 -1 -0 -0 -2 -0xdbd7 -0x23ce0bb4 -256 -256 -0 -0 -0 -1 -0x5947 -0x152d9dd9 -256 -256 -1 -0 -0 -3 -0xa5b1 -0x873e6f65 -256 -256 -1 -0 -0 -1 -0xc761 -0x15169781 -256 -256 -0 -0 -0 -3 -0x9176 -0x36ff5483 -256 -256 -1 -0 -0 -4 -0x1f93 -0xcbc1fcd9 -256 -256 -1 -0 -0 -3 -0xd1e7 -0x33a15998 -256 -256 -1 -0 -0 -2 -0x63e1 -0x356569bc -256 -256 -1 -0 -0 -4 -0x71e9 -0xfc819af5 -256 -256 -1 -0 -0 -1 -0xd22d -0x10f7fd7f -256 -256 -0 -0 -0 -3 -0xd065 -0x5e4cd622 -256 -256 -0 -0 -0 -1 -0x66f2 -0xecbccc6f -256 -256 -1 -0 -0 -4 -0xad84 -0x3ea617f0 -256 -256 -0 -0 -0 -4 -0xef7f -0x958b17d3 -256 -256 -1 -0 -0 -2 -0xf714 -0xdb4ab372 -256 -256 -1 -0 -0 -2 -0x7b0 -0x37d2d997 -256 -256 -0 -0 -0 -1 -0xa22a -0xddceedd2 -256 -256 -0 -0 -0 -1 -0xb88a -0x81405e3f -256 -256 -1 -0 -0 -1 -0x84c4 -0x85d5758b -256 -256 -0 -0 -0 -3 -0xcfc8 -0xd5f357ad -256 -256 -0 -0 -0 -4 -0x7a91 -0x66ff821f -256 -256 -0 -0 -0 -4 -0x2959 -0x1b1eaed2 -256 -256 -1 -0 -0 -1 -0x6e12 -0x16e5755e -256 -256 -0 -0 -0 -4 -0xfc09 -0xf9e4ef4 -256 -256 -0 -0 -0 -1 -0xcf58 -0x5d63ef62 -256 -256 -0 -0 -0 -2 -0xa564 -0x1ea95d31 -256 -256 -0 -0 -0 -1 -0x9933 -0xa46b75f7 -256 -256 -1 -0 -0 -1 -0x3e53 -0x151ff105 -256 -256 -0 -0 -0 -1 -0xa3b0 -0x38ccff7 -256 -256 -1 -0 -0 -2 -0xc4d1 -0x1d9c70a4 -256 -256 -0 -0 -0 -3 -0x809a -0x1d26b3f1 -256 -256 -0 -0 -0 -2 -0x76a4 -0x14e6796c -256 -256 -0 -0 -0 -1 -0x44de -0x97579920 -256 -256 -1 -0 -0 -1 -0x9b56 -0xd95f37c5 -256 -256 -1 -0 -0 -3 -0x4f78 -0x3d9954f3 -256 -256 -1 -0 -0 -3 -0x629 -0x492ffb66 -256 -256 -1 -0 -0 -1 -0xc92c -0x75654f26 -256 -256 -0 -0 -0 -4 -0x2e03 -0x14f4cb8 -256 -256 -0 -0 -0 -2 -0xae17 -0x3602d47 -256 -256 -1 -0 -0 -3 -0xa9c0 -0x14b252ea -256 -256 -1 -0 -0 -4 -0x2293 -0x357e57f1 -256 -256 -1 -0 -0 -1 -0x52c9 -0x5adb06b4 -256 -256 -1 -0 -0 -1 -0x2aba -0xfa17d98c -256 -256 -1 -0 -0 -4 -0xd448 -0xf336c263 -256 -256 -0 -0 -0 -4 -0xe528 -0x69544b14 -256 -256 -1 -0 -0 -1 -0xf643 -0x58e0dba5 -256 -256 -1 -0 -0 -2 -0xb310 -0x8c086112 -256 -256 -1 -0 -0 -1 -0xfb7c -0xeb9130ec -256 -256 -1 -0 -0 -2 -0x1e3d -0xf83816ca -256 -256 -1 -0 -0 -3 -0x7b65 -0xc603c3e4 -256 -256 -0 -0 -0 -1 -0x19a5 -0xe17ac63f -256 -256 -1 -0 -0 -4 -0xa9a4 -0xdd77556d -256 -256 -1 -0 -0 -4 -0xe248 -0xe0b6a5e4 -256 -256 -1 -0 -0 -3 -0x497c -0x66938fcb -256 -256 -0 -0 -0 -4 -0xf2e8 -0xbd6601da -256 -256 -1 -0 -0 -2 -0x69da -0x8f7a6c76 -256 -256 -1 -0 -0 -2 -0xf37 -0xca21bbcf -256 -256 -0 -0 -0 -4 -0x247e -0x5d30a96b -256 -256 -1 -0 -0 -1 -0xbcc6 -0x417e60d1 -256 -256 -0 -0 -0 -4 -0x8a10 -0x6901958e -256 -256 -0 -0 -0 -4 -0xa581 -0xc9c3ca61 -256 -256 -0 -0 -0 -3 -0x3a0d -0xa934dec2 -256 -256 -1 -0 -0 -3 -0xa71f -0xb4139155 -256 -256 -0 -0 -0 -1 -0x739c -0xf47a54bd -256 -256 -0 -0 -0 -4 -0xfb4d -0xc187dcdd -256 -256 -1 -0 -0 -2 -0x30ec -0xf7efa315 -256 -256 -1 -0 -0 -1 -0xf07b -0x1acadee0 -256 -256 -0 -0 -0 -3 -0x673e -0x679d8d2b -256 -256 -1 -0 -0 -4 -0x7db0 -0x1e070bcf -256 -256 -0 -0 -0 -3 -0xf514 -0x3147e0b3 -256 -256 -0 -0 -0 -3 -0x8a58 -0xbd12d782 -256 -256 -0 -0 -0 -4 -0xf409 -0xce6ba641 -256 -256 -1 -0 -0 -3 -0xc4c3 -0x9a6c0198 -256 -256 -1 -0 -0 -4 -0x4987 -0x3bb73ba4 -256 -256 -1 -0 -0 -1 -0xb0a8 -0x564540d7 -256 -256 -0 -0 -0 -3 -0xea75 -0x5ba1ceee -256 -256 -0 -0 -0 -3 -0xb81c -0x5c7708ea -256 -256 -0 -0 -0 -2 -0xfd6b -0xa4547e9d -256 -256 -0 -0 -0 -1 -0x8dbc -0x5b8d7fd5 -256 -256 -1 -0 -0 -2 -0xc58 -0x57200373 -256 -256 -1 -0 -0 -1 -0x6b0f -0x50c3e94e -256 -256 -0 -0 -0 -3 -0x8243 -0xbf6589c -256 -256 -0 -0 -0 -2 -0x1e18 -0xe7b4e9ba -256 -256 -1 -0 -0 -4 -0x73b0 -0xde1e2b42 -256 -256 -1 -0 -0 -4 -0x5bad -0xde171e4c -256 -256 -1 -0 -0 -4 -0x279b -0x11047bc2 -256 -256 -1 -0 -0 -1 -0x3ae8 -0xbbfbc024 -256 -256 -0 -0 -0 -4 -0xdbc5 -0x8bda2021 -256 -256 -0 -0 -0 -3 -0x84ec -0x9e94642 -256 -256 -0 -0 -0 -4 -0x3a79 -0xbb50b781 -256 -256 -0 -0 -0 -1 -0xb002 -0x6b295abd -256 -256 -1 -0 -0 -2 -0x98bf -0xde1e21c2 -256 -256 -0 -0 -0 -4 -0xa94e -0x94814615 -256 -256 -1 -0 -0 -2 -0x47c3 -0x52373971 -256 -256 -0 -0 -0 -3 -0x3789 -0xc2878cc5 -256 -256 -1 -0 -0 -1 -0x6705 -0x3941c310 -256 -256 -0 -0 -0 -3 -0x47d9 -0xfdcff1f8 -256 -256 -1 -0 -0 -3 -0x8256 -0xe508a9fb -256 -256 -1 -0 -0 -4 -0x5d75 -0x2efb4d01 -256 -256 -0 -0 -0 -3 -0x275 -0xe000294c -256 -256 -0 -0 -0 -1 -0xa201 -0xdf6d4cbb -256 -256 -0 -0 -0 -3 -0xd1da -0x27a1c5e9 -256 -256 -1 -0 -0 -3 -0x35f5 -0xb6bbe1cf -256 -256 -0 -0 -0 -1 -0x526e -0x8915218d -256 -256 -1 -0 -0 -1 -0xb3f6 -0xaca0fc0e -256 -256 -1 -0 -0 -2 -0x9a7d -0xbc91e0d -256 -256 -1 -0 -0 -2 -0x1241 -0x8f530458 -256 -256 -1 -0 -0 -4 -0xfc3f -0xcdc5842b -256 -256 -1 -0 -0 -4 -0x252e -0xf5552067 -256 -256 -1 -0 -0 -1 -0xfcb1 -0xe67faf1d -256 -256 -1 -0 -0 -2 -0x4776 -0x5ff81aef -256 -256 -0 -0 -0 -2 -0x62a0 -0x1237a40c -256 -256 -0 -0 -0 -3 -0xf350 -0xbfbc5797 -256 -256 -1 -0 -0 -3 -0x7525 -0x43274abd -256 -256 -0 -0 -0 -3 -0xd875 -0x5f0c3540 -256 -256 -0 -0 -0 -1 -0x8cd1 -0xb645a3c2 -256 -256 -1 -0 -0 -3 -0xe2ae -0x49505d0e -256 -256 -0 -0 -0 -4 -0x8454 -0xf5e878cc -256 -256 -1 -0 -0 -4 -0xbe1b -0xa1ab2ffc -256 -256 -0 -0 -0 -3 -0x2366 -0x2fdada76 -256 -256 -1 -0 -0 -2 -0x2652 -0xc1931e56 -256 -256 -0 -0 -0 -4 -0x32b1 -0x3018d288 -256 -256 -0 -0 -0 -3 -0x897 -0x4c3d150b -256 -256 -0 -0 -0 -1 -0x7630 -0x38ab031d -256 -256 -0 -0 -0 -2 -0x7e38 -0x9d0337a0 -256 -256 -1 -0 -0 -3 -0xd970 -0x75ff6e4a -256 -256 -1 -0 -0 -4 -0x80bf -0xb09f637b -256 -256 -0 -0 -0 -4 -0xec11 -0x6640f693 -256 -256 -1 -0 -0 -3 -0xa4a9 -0x3972831c -256 -256 -1 -0 -0 -1 -0x5c44 -0xfb5cad30 -256 -256 -0 -0 -0 -3 -0xba81 -0x5abf22fd -256 -256 -1 -0 -0 -3 -0x3dda -0x34ee391d -256 -256 -0 -0 -0 -3 -0xf970 -0x363ee4fa -256 -256 -0 -0 -0 -2 -0x1b52 -0xf83de025 -256 -256 -0 -0 -0 -3 -0x6738 -0xfe56d805 -256 -256 -0 -0 -0 -1 -0xef44 -0xd620c5fd -256 -256 -0 -0 -0 -3 -0x5fd3 -0xd2104a87 -256 -256 -1 -0 -0 -1 -0xbebe -0x49280702 -256 -256 -1 -0 -0 -1 -0x2271 -0x4548c058 -256 -256 -0 -0 -0 -3 -0x417 -0x62df5ab8 -256 -256 -1 -0 -0 -1 -0x218a -0x3f195cd5 -256 -256 -0 -0 -0 -3 -0xbac9 -0x2e763255 -256 -256 -0 -0 -0 -3 -0x8e63 -0x677bc08c -256 -256 -0 -0 -0 -1 -0xf9a7 -0x2d1880ef -256 -256 -0 -0 -0 -4 -0xeb4d -0xcc8f4f37 -256 -256 -0 -0 -0 -3 -0xf883 -0x8b664cd0 -256 -256 -1 -0 -0 -2 -0x58ca -0x407d840c -256 -256 -1 -0 -0 -1 -0x6499 -0xe4687b7e -256 -256 -0 -0 -0 -1 -0x18c1 -0x6f0f513f -256 -256 -0 -0 -0 -4 -0x87f8 -0x4e1abcff -256 -256 -1 -0 -0 -2 -0x4c17 -0x5ea8e8e8 -256 -256 -0 -0 -0 -3 -0x2b10 -0x18f1ced1 -256 -256 -0 -0 -0 -2 -0xd8cf -0x5451323b -256 -256 -0 -0 -0 -4 -0x7abe -0x277bb6f8 -256 -256 -0 -0 -0 -4 -0x8691 -0xc6b33738 -256 -256 -1 -0 -0 -1 -0x64d8 -0x28fc1f90 -256 -256 -0 -0 -0 -4 -0x359 -0xbca8b024 -256 -256 -1 -0 -0 -4 -0x711c -0xb98eb0 -256 -256 -0 -0 -0 -2 -0x2104 -0x934ee56d -256 -256 -0 -0 -0 -1 -0x725c -0x9f6173b1 -256 -256 -0 -0 -0 -2 -0x5660 -0xc96b11f7 -256 -256 -0 -0 -0 -3 -0xfe1c -0x8b50d58f -256 -256 -0 -0 -0 -1 -0xbe6 -0xfd59a0ad -256 -256 -0 -0 -0 -1 -0xc2ff -0x4c54e704 -256 -256 -0 -0 -0 -1 -0xd79d -0xd0966db6 -256 -256 -1 -0 -0 -4 -0x2033 -0x44efc354 -256 -256 -0 -0 -0 -2 -0xb -0x8f8f25d4 -256 -256 -0 -0 -0 -3 -0xda87 -0xb3e43cac -256 -256 -0 -0 -0 -1 -0xb047 -0x3718e491 -256 -256 -0 -0 -0 -1 -0xf218 -0xbc5b1470 -256 -256 -1 -0 -0 -3 -0x9141 -0x8f264004 -256 -256 -1 -0 -0 -1 -0x9d75 -0x947b3815 -256 -256 -0 -0 -0 -1 -0xf904 -0xdda67929 -256 -256 -1 -0 -0 -1 -0xb0de -0x627884ed -256 -256 -1 -0 -0 -2 -0x9c3c -0x45f13bf6 -256 -256 -0 -0 -0 -2 -0x7f00 -0xcfae067d -256 -256 -1 -0 -0 -3 -0x32eb -0x72483a2e -256 -256 -1 -0 -0 -2 -0x4ee9 -0xc9963f33 -256 -256 -1 -0 -0 -2 -0x3928 -0x6e038eb5 -256 -256 -0 -0 -0 -1 -0xb372 -0x9390ad6a -256 -256 -0 -0 -0 -1 -0x4c1 -0xd59a6d -256 -256 -0 -0 -0 -1 -0xacfb -0xbf78c1b6 -256 -256 -0 -0 -0 -2 -0x2b30 -0xe9dd2d48 -256 -256 -0 -0 -0 -1 -0x8cbe -0x48ad2ee4 -256 -256 -1 -0 -0 -1 -0x5e21 -0x9ef4c13b -256 -256 -0 -0 -0 -2 -0xbf41 -0xe207abf0 -256 -256 -1 -0 -0 -2 -0x8a19 -0x6e010e51 -256 -256 -1 -0 -0 -4 -0xf40a -0xf31cabca -256 -256 -0 -0 -0 -3 -0x8009 -0x56530cfe -256 -256 -0 -0 -0 -4 -0xd085 -0x97daad47 -256 -256 -1 -0 -0 -1 -0xe054 -0x77bddd81 -256 -256 -0 -0 -0 -1 -0x170 -0x74d397e5 -256 -256 -1 -0 -0 -1 -0x8a3e -0x92ee6a08 -256 -256 -0 -0 -0 -2 -0x9516 -0x2935c6ea -256 -256 -0 -0 -0 -1 -0x7745 -0xda21a995 -256 -256 -1 -0 -0 -4 -0x2ba5 -0x9b5240b -256 -256 -0 -0 -0 -4 -0xb60a -0xe43593ee -256 -256 -1 -0 -0 -4 -0x6777 -0x94a143ee -256 -256 -0 -0 -0 -4 -0x9e9c -0xa2f54111 -256 -256 -0 -0 -0 -1 -0x84ec -0x82b4f695 -256 -256 -0 -0 -0 -1 -0x71d8 -0x889426ad -256 -256 -0 -0 -0 -4 -0xa835 -0x815d1c5e -256 -256 -0 -0 -0 -2 -0xee10 -0x31c9c649 -256 -256 -0 -0 -0 -4 -0x5981 -0x6f65c4af -256 -256 -0 -0 -0 -3 -0xbcf0 -0x407cc6e0 -256 -256 -1 -0 -0 -2 -0xdc52 -0xb3d0be2 -256 -256 -0 -0 -0 -2 -0x77d5 -0xb259f430 -256 -256 -1 -0 -0 -1 -0xd185 -0xb4bf4063 -256 -256 -0 -0 -0 -3 -0x24db -0xe391338 -256 -256 -0 -0 -0 -4 -0x2c0 -0x9658924c -256 -256 -0 -0 -0 -3 -0xf413 -0x3d8cb292 -256 -256 -1 -0 -0 -1 -0x6f8d -0x3c014a4f -256 -256 -1 -0 -0 -4 -0xfe5c -0x99815332 -256 -256 -1 -0 -0 -1 -0xe9a9 -0x46ec60be -256 -256 -1 -0 -0 -4 -0x5d52 -0xbdebcc9f -256 -256 -1 -0 -0 -1 -0xff6a -0x8b94730b -256 -256 -0 -0 -0 -2 -0x5429 -0xd78ea645 -256 -256 -0 -0 -0 -2 -0xa3da -0x703cec8e -256 -256 -1 -0 -0 -2 -0xb8f7 -0xfc903454 -256 -256 -0 -0 -0 -4 -0xca07 -0xfd8c719e -256 -256 -0 -0 -0 -1 -0xee4d -0x91b3068e -256 -256 -1 -0 -0 -3 -0x2551 -0x5b11c04f -256 -256 -0 -0 -0 -2 -0x3575 -0x6afc852d -256 -256 -0 -0 -0 -2 -0xe9f5 -0x3d3c9259 -256 -256 -0 -0 -0 -3 -0xa746 -0x9944144 -256 -256 -0 -0 -0 -4 -0x746b -0x1e2adb8a -256 -256 -0 -0 -0 -1 -0xd615 -0x76b939e3 -256 -256 -1 -0 -0 -3 -0xb2b5 -0x4ec8fce4 -256 -256 -1 -0 -0 -1 -0x6d5a -0xe8575f9a -256 -256 -1 -0 -0 -4 -0xe1b -0xa3fde903 -256 -256 -1 -0 -0 -3 -0xbc77 -0xaa87d37c -256 -256 -1 -0 -0 -3 -0xb0c1 -0x95bf8092 -256 -256 -0 -0 -0 -2 -0xd7b5 -0xf11bcb72 -256 -256 -0 -0 -0 -2 -0x8370 -0xa335808a -256 -256 -0 -0 -0 -2 -0x9f83 -0x296d9484 -256 -256 -0 -0 -0 -4 -0xf042 -0xf33fd4a5 -256 -256 -0 -0 -0 -2 -0x7c25 -0x3253cfdc -256 -256 -0 -0 -0 -1 -0x4142 -0xd7b4fc22 -256 -256 -1 -0 -0 -2 -0x73b2 -0xb9a2ac64 -256 -256 -0 -0 -0 -2 -0xe20e -0x6db72390 -256 -256 -0 -0 -0 -2 -0x369a -0x7a673a4a -256 -256 -0 -0 -0 -3 -0x611b -0xfd135e95 -256 -256 -0 -0 -0 -3 -0xa1d4 -0x831fbd48 -256 -256 -0 -0 -0 -4 -0x3cf7 -0xe7fd8768 -256 -256 -1 -0 -0 -1 -0x88fb -0x8e1a7e8f -256 -256 -0 -0 -0 -2 -0x680b -0xfa0b64e4 -256 -256 -0 -0 -0 -3 -0x7c11 -0x1552dacf -256 -256 -0 -0 -0 -3 -0xcbe5 -0x18eaac8e -256 -256 -0 -0 -0 -3 -0x7adc -0x4f8070b6 -256 -256 -0 -0 -0 -4 -0xbfb6 -0xd02e4ba1 -256 -256 -1 -0 -0 -1 -0x5942 -0x9c68871b -256 -256 -0 -0 -0 -3 -0x68f8 -0x30ea54a9 -256 -256 -0 -0 -0 -2 -0xd9c4 -0x673ec7e8 -256 -256 -1 -0 -0 -1 -0xb3dc -0x8baf30fb -256 -256 -1 -0 -0 -1 -0x3d5c -0x88c8166a -256 -256 -0 -0 -0 -1 -0x5a6c -0x7953f55 -256 -256 -0 -0 -0 -3 -0x3b89 -0xdc0e5446 -256 -256 -0 -0 -0 -4 -0x4382 -0xb46b5710 -256 -256 -0 -0 -0 -3 -0xb86 -0x3dae845 -256 -256 -1 -0 -0 -3 -0xb67a -0x1ca4e75d -256 -256 -0 -0 -0 -4 -0xe1c2 -0xf0e6d24e -256 -256 -1 -0 -0 -1 -0xd79a -0xbbedc8ec -256 -256 -0 -0 -0 -2 -0x4d3d -0xbd343ede -256 -256 -1 -0 -0 -2 -0xccbd -0x3f049b4e -256 -256 -0 -0 -0 -2 -0xf3d0 -0x2213c516 -256 -256 -0 -0 -0 -3 -0x8a00 -0x7c5725bc -256 -256 -1 -0 -0 -1 -0xe79f -0xe5723d9e -256 -256 -1 -0 -0 -1 -0xdbfc -0x5dd3ed14 -256 -256 -0 -0 -0 -2 -0x6954 -0xf15b82e0 -256 -256 -0 -0 -0 -3 -0x7d8e -0xff225bbc -256 -256 -1 -0 -0 -3 -0x65a4 -0x7950b3ac -256 -256 -0 -0 -0 -2 -0x7a50 -0xf50a4802 -256 -256 -0 -0 -0 -4 -0x481e -0xf3cce3 -256 -256 -1 -0 -0 -1 -0xddb8 -0x3d1f830c -256 -256 -1 -0 -0 -1 -0xbf5f -0x30dcdad3 -256 -256 -0 -0 -0 -2 -0x17b6 -0x476a9b69 -256 -256 -1 -0 -0 -3 -0x820e -0x29eeaf81 -256 -256 -1 -0 -0 -2 -0x4568 -0xd337e518 -256 -256 -1 -0 -0 -3 -0xe2b8 -0xd9935ad7 -256 -256 -0 -0 -0 -1 -0x71dc -0xc947d6d4 -256 -256 -0 -0 -0 -2 -0x59f0 -0x501bf5b3 -256 -256 -0 -0 -0 -2 -0x4e4e -0x5859c297 -256 -256 -1 -0 -0 -3 -0xa3da -0x841660b8 -256 -256 -1 -0 -0 -1 -0x7c17 -0x11c05db -256 -256 -0 -0 -0 -1 -0xff31 -0x5634ceef -256 -256 -0 -0 -0 -1 -0xb8fb -0x73bc45a0 -256 -256 -0 -0 -0 -2 -0x53e8 -0x9917cc64 -256 -256 -1 -0 -0 -3 -0xa7a9 -0x38777425 -256 -256 -1 -0 -0 -2 -0x154c -0x1b3f8266 -256 -256 -1 -0 -0 -4 -0xf475 -0x7a9b025b -256 -256 -0 -0 -0 -4 -0x7b9 -0x2ef6cb95 -256 -256 -0 -0 -0 -1 -0x7eb4 -0x60005864 -256 -256 -0 -0 -0 -1 -0xbace -0xd68c651d -256 -256 -1 -0 -0 -3 -0x52d6 -0x46dbc999 -256 -256 -1 -0 -0 -1 -0x35f -0xb66852a0 -256 -256 -0 -0 -0 -1 -0x3dce -0x3b755cac -256 -256 -1 -0 -0 -2 -0x4bd8 -0xaf7d31ea -256 -256 -1 -0 -0 -3 -0xd017 -0x157fa08a -256 -256 -0 -0 -0 -3 -0x1f36 -0x154da89b -256 -256 -1 -0 -0 -4 -0x77d8 -0x2a399924 -256 -256 -0 -0 -0 -4 -0x405 -0x3d40b8b7 -256 -256 -0 -0 -0 -1 -0x9120 -0x586096e6 -256 -256 -0 -0 -0 -3 -0x6ba4 -0x10cc8677 -256 -256 -0 -0 -0 -4 -0x84fc -0x298bd79c -256 -256 -1 -0 -0 -4 -0xb1ea -0x2949ac5a -256 -256 -0 -0 -0 -4 -0x1f56 -0x817171f9 -256 -256 -1 -0 -0 -2 -0x955a -0xb03e45ab -256 -256 -0 -0 -0 -2 -0xfe1e -0x69034cc5 -256 -256 -0 -0 -0 -3 -0x5f42 -0xb01bb049 -256 -256 -0 -0 -0 -4 -0x2e33 -0xaef0e563 -256 -256 -1 -0 -0 -3 -0x9d0a -0xb3416c62 -256 -256 -0 -0 -0 -3 -0x538c -0xa64b9a7d -256 -256 -1 -0 -0 -4 -0xe441 -0x99d1f9a2 -256 -256 -1 -0 -0 -3 -0x3cc3 -0xf7f5aac5 -256 -256 -1 -0 -0 -4 -0x4b2d -0xe55a8acf -256 -256 -0 -0 -0 -1 -0xec07 -0x3a7fd37f -256 -256 -0 -0 -0 -1 -0xd5c -0x4868387c -256 -256 -0 -0 -0 -4 -0x103a -0x4cd56b45 -256 -256 -0 -0 -0 -3 -0xd9b -0x4d13e7b6 -256 -256 -1 -0 -0 -4 -0x10b5 -0x4038d766 -256 -256 -0 -0 -0 -1 -0x93a -0x1eb7eb14 -256 -256 -1 -0 -0 -2 -0xd3cd -0xdf5b37b0 -256 -256 -0 -0 -0 -2 -0x6135 -0xfb8f1b57 -256 -256 -1 -0 -0 -2 -0x1ff7 -0xab814d1b -256 -256 -0 -0 -0 -3 -0x5660 -0x7ee61736 -256 -256 -1 -0 -0 -4 -0x60f0 -0x9168b5a8 -256 -256 -1 -0 -0 -1 -0xbef5 -0xae0161dd -256 -256 -0 -0 -0 -2 -0x91e8 -0x614a0f0f -256 -256 -1 -0 -0 -3 -0x10e3 -0x204f8a9f -256 -256 -1 -0 -0 -3 -0xfe4c -0x978be248 -256 -256 -1 -0 -0 -3 -0xe922 -0x2d3c638f -256 -256 -0 -0 -0 -2 -0xb628 -0x4ed58f50 -256 -256 -0 -0 -0 -1 -0x61a0 -0x28ee3d67 -256 -256 -1 -0 -0 -4 -0x52db -0x263aa010 -256 -256 -1 -0 -0 -4 -0x2ed6 -0xa9b32d1a -256 -256 -0 -0 -0 -2 -0xb2f6 -0xfc5f660e -256 -256 -0 -0 -0 -4 -0x31ef -0x5226b3d0 -256 -256 -0 -0 -0 -4 -0xe1 -0x4689d0cd -256 -256 -1 -0 -0 -1 -0xd19a -0xf0a479dd -256 -256 -0 -0 -0 -1 -0x7863 -0xc3dde7f4 -256 -256 -1 -0 -0 -1 -0x3a14 -0xbe1ca5d -256 -256 -1 -0 -0 -4 -0xa3ea -0x87cde979 -256 -256 -1 -0 -0 -2 -0xc47b -0x70a0823 -256 -256 -0 -0 -0 -4 -0x522c -0xbaf0fa95 -256 -256 -1 -0 -0 -2 -0xaff9 -0x1cb32f41 -256 -256 -0 -0 -0 -2 -0x8985 -0xf22bf8be -256 -256 -0 -0 -0 -4 -0x966f -0x6e63b3a1 -256 -256 -0 -0 -0 -3 -0x1ffb -0x726b1b46 -256 -256 -0 -0 -0 -4 -0xf8a8 -0xd6b0990e -256 -256 -0 -0 -0 -2 -0x89ec -0x488b53cf -256 -256 -0 -0 -0 -2 -0xa1cd -0x1f335d6 -256 -256 -1 -0 -0 -1 -0xfabd -0x2c3d4f19 -256 -256 -1 -0 -0 -2 -0xe8b4 -0xbc7e9fa -256 -256 -0 -0 -0 -2 -0xcd60 -0x3b54d87 -256 -256 -1 -0 -0 -3 -0xee50 -0xbb1d65c9 -256 -256 -1 -0 -0 -2 -0xac41 -0x3d449e2f -256 -256 -1 -0 -0 -1 -0xf18c -0x25a7cdad -256 -256 -0 -0 -0 -2 -0x5f96 -0xefc6069f -256 -256 -1 -0 -0 -2 -0x78f6 -0xda79d837 -256 -256 -1 -0 -0 -4 -0xa9e5 -0x7c5358e2 -256 -256 -1 -0 -0 -3 -0x64f8 -0x378d4243 -256 -256 -0 -0 -0 -1 -0x46f1 -0x52f30983 -256 -256 -1 -0 -0 -3 -0xe9a9 -0x9f6bde80 -256 -256 -0 -0 -0 -2 -0xde63 -0x70a94869 -256 -256 -0 -0 -0 -2 -0x6bff -0xb11d7713 -256 -256 -0 -0 -0 -1 -0x9782 -0x1a52e5ae -256 -256 -1 -0 -0 -3 -0x8045 -0x67033d44 -256 -256 -0 -0 -0 -4 -0xfaa4 -0x6e7ff7ba -256 -256 -0 -0 -0 -1 -0x1f27 -0x6522d874 -256 -256 -1 -0 -0 -2 -0x6e35 -0x74af2c82 -256 -256 -1 -0 -0 -2 -0x2874 -0x5720b00f -256 -256 -1 -0 -0 -4 -0xe360 -0xb311dd0f -256 -256 -0 -0 -0 -2 -0xebba -0x57a99230 -256 -256 -1 -0 -0 -3 -0x681d -0x71fbd2b1 -256 -256 -1 -0 -0 -2 -0x8213 -0xee250bd5 -256 -256 -1 -0 -0 -1 -0x9ccd -0x240b9bf3 -256 -256 -0 -0 -0 -4 -0x5e70 -0x9a927cd6 -256 -256 -1 -0 -0 -2 -0xa3e8 -0x6d94c281 -256 -256 -1 -0 -0 -2 -0xc97c -0x1c6ffa8a -256 -256 -0 -0 -0 -3 -0xd92 -0xb3caeedf -256 -256 -0 -0 -0 -4 -0xb11d -0xc697096d -256 -256 -0 -0 -0 -3 -0x993 -0xf5dddf9a -256 -256 -0 -0 -0 -3 -0x445e -0xd7d3b6f4 -256 -256 -1 -0 -0 -2 -0x6ebb -0x38a77518 -256 -256 -1 -0 -0 -3 -0xe115 -0x572a6af6 -256 -256 -0 -0 -0 -4 -0x51e5 -0x34aff121 -256 -256 -0 -0 -0 -4 -0x1675 -0xd23769b3 -256 -256 -0 -0 -0 -2 -0x432 -0xf9468ad -256 -256 -1 -0 -0 -2 -0x3c38 -0x466b6df4 -256 -256 -0 -0 -0 -2 -0x34cd -0x8afdb2a3 -256 -256 -1 -0 -0 -4 -0x3a00 -0x2d299ef9 -256 -256 -0 -0 -0 -2 -0xd65f -0xb7c13a5b -256 -256 -0 -0 -0 -1 -0x4e25 -0xc2dd2ce0 -256 -256 -1 -0 -0 -4 -0x5c23 -0x5441c5c1 -256 -256 -1 -0 -0 -3 -0xdde4 -0x26f3791c -256 -256 -1 -0 -0 -3 -0x2474 -0x35c0f2cf -256 -256 -1 -0 -0 -2 -0x5c2 -0xe4b2340b -256 -256 -0 -0 -0 -1 -0x1662 -0xb411f301 -256 -256 -1 -0 -0 -3 -0x41f0 -0xc6f9b576 -256 -256 -0 -0 -0 -2 -0x8851 -0xabb254ce -256 -256 -1 -0 -0 -4 -0x6d1c -0x48a89183 -256 -256 -0 -0 -0 -3 -0x5ef3 -0xeb1acf1f -256 -256 -0 -0 -0 -1 -0x68e5 -0xcb0bf064 -256 -256 -1 -0 -0 -4 -0xd3d2 -0x7dbc3bec -256 -256 -0 -0 -0 -4 -0x6b21 -0x3066bd4d -256 -256 -0 -0 -0 -2 -0xf088 -0xb3f55af -256 -256 -1 -0 -0 -2 -0xdc15 -0x6fc25ef -256 -256 -0 -0 -0 -2 -0x64b4 -0x73e8e61 -256 -256 -0 -0 -0 -1 -0xa52d -0xc69169e5 -256 -256 -1 -0 -0 -3 -0xd373 -0xda7daf6c -256 -256 -1 -0 -0 -3 -0x5006 -0xe05b4d9e -256 -256 -0 -0 -0 -2 -0x51c6 -0xb05e55b6 -256 -256 -0 -0 -0 -1 -0xdbbd -0x61098b1a -256 -256 -1 -0 -0 -1 -0x8e0a -0x6ed2965d -256 -256 -1 -0 -0 -4 -0xd24a -0xe5b91a97 -256 -256 -0 -0 -0 -1 -0x2da9 -0xe801bf47 -256 -256 -0 -0 -0 -1 -0xc0b3 -0xe492f5c0 -256 -256 -1 -0 -0 -4 -0x2398 -0xe55996cb -256 -256 -0 -0 -0 -1 -0x3e8e -0x3f31f01c -256 -256 -1 -0 -0 -2 -0x427d -0xc9aceb3e -256 -256 -1 -0 -0 -3 -0x499c -0x20873e02 -256 -256 -0 -0 -0 -3 -0xe33c -0x5f3dfea4 -256 -256 -1 -0 -0 -1 -0xb48a -0x2d7d25c9 -256 -256 -0 -0 -0 -1 -0xb563 -0xc8339d47 -256 -256 -0 -0 -0 -2 -0x3498 -0xdb1bb3b8 -256 -256 -0 -0 -0 -4 -0x51be -0x2048fada -256 -256 -1 -0 -0 -2 -0xcff6 -0xf0144c49 -256 -256 -0 -0 -0 -1 -0x68b7 -0xda69a42d -256 -256 -1 -0 -0 -3 -0xc60b -0x9e450a4 -256 -256 -0 -0 -0 -4 -0xe45d -0x8e07c3ed -256 -256 -0 -0 -0 -3 -0xd36d -0xe4c9e0a9 -256 -256 -1 -0 -0 -2 -0xc09a -0x54e595f8 -256 -256 -0 -0 -0 -3 -0x1ff5 -0x5e8ed46a -256 -256 -0 -0 -0 -4 -0xd185 -0x3e9fcb8b -256 -256 -1 -0 -0 -3 -0x4963 -0x6597deaa -256 -256 -1 -0 -0 -2 -0xa891 -0x37436325 -256 -256 -0 -0 -0 -4 -0x2c05 -0x9e5999c4 -256 -256 -1 -0 -0 -3 -0xfc8b -0xf8cb4e75 -256 -256 -1 -0 -0 -3 -0x59c3 -0xe2edf161 -256 -256 -0 -0 -0 -1 -0x58b6 -0x17a63471 -256 -256 -1 -0 -0 -1 -0x3839 -0x62c27e60 -256 -256 -1 -0 -0 -4 -0x60e6 -0x8b430872 -256 -256 -0 -0 -0 -3 -0xd8d7 -0x31f4532d -256 -256 -0 -0 -0 -3 -0x7eac -0xc03514b9 -256 -256 -1 -0 -0 -3 -0x457b -0x6700c69d -256 -256 -0 -0 -0 -4 -0xa997 -0x99cdba3d -256 -256 -0 -0 -0 -4 -0x5a01 -0xc4568f86 -256 -256 -1 -0 -0 -2 -0xa775 -0x9b95f3d0 -256 -256 -1 -0 -0 -1 -0xa2de -0x5a035027 -256 -256 -0 -0 -0 -2 -0xa63 -0x9c240da9 -256 -256 -0 -0 -0 -2 -0x6456 -0xd0484ebe -256 -256 -0 -0 -0 -3 -0xc251 -0x414b62da -256 -256 -0 -0 -0 -2 -0x9e76 -0xf8f529b7 -256 -256 -1 -0 -0 -4 -0x67f -0xdd60759d -256 -256 -0 -0 -0 -3 -0xec40 -0xba9503f1 -256 -256 -0 -0 -0 -1 -0xf19d -0x3db7e7da -256 -256 -1 -0 -0 -3 -0x8529 -0xcb25e64e -256 -256 -1 -0 -0 -2 -0x8c52 -0xf7dbe907 -256 -256 -0 -0 -0 -1 -0xd723 -0xf1852c81 -256 -256 -0 -0 -0 -4 -0x15bc -0x948f0472 -256 -256 -1 -0 -0 -2 -0x6b4c -0xa55ffbba -256 -256 -0 -0 -0 -1 -0x266b -0xc4e0aa06 -256 -256 -0 -0 -0 -1 -0x7b24 -0xdbaaae85 -256 -256 -1 -0 -0 -2 -0x4af4 -0x889d1251 -256 -256 -0 -0 -0 -4 -0x5b50 -0xe7208db1 -256 -256 -0 -0 -0 -1 -0x9a8e -0x35aa1b2c -256 -256 -1 -0 -0 -4 -0xeb19 -0x8aa7393a -256 -256 -1 -0 -0 -1 -0xbf98 -0xb2921961 -256 -256 -1 -0 -0 -3 -0xf145 -0x32d6e34d -256 -256 -1 -0 -0 -4 -0x20d -0xc070b94 -256 -256 -0 -0 -0 -4 -0x7e36 -0x7eeb1c3f -256 -256 -0 -0 -0 -1 -0x3c62 -0xcd288b45 -256 -256 -0 -0 -0 -2 -0x28f7 -0x7d2bd71e -256 -256 -0 -0 -0 -1 -0x9833 -0xc94e03 -256 -256 -0 -0 -0 -4 -0x17d7 -0xa2946f43 -256 -256 -1 -0 -0 -4 -0xfcdd -0xa6488655 -256 -256 -1 -0 -0 -3 -0x1eac -0x6467cc42 -256 -256 -0 -0 -0 -3 -0x9f27 -0xe9e4afdd -256 -256 -0 -0 -0 -3 -0x14cf -0x88e96253 -256 -256 -0 -0 -0 -1 -0xbf62 -0x36eb9fc7 -256 -256 -0 -0 -0 -3 -0xa66c -0xc100ad08 -256 -256 -0 -0 -0 -1 -0xf277 -0x604dafc6 -256 -256 -0 -0 -0 -3 -0xfadf -0x705b53be -256 -256 -0 -0 -0 -4 -0xa0a8 -0x1510412c -256 -256 -0 -0 -0 -3 -0x306e -0x9bc53449 -256 -256 -0 -0 -0 -4 -0x262 -0xfe3fa55c -256 -256 -1 -0 -0 -1 -0x289a -0x5c62b5c2 -256 -256 -0 -0 -0 -2 -0x91a3 -0xb7c23275 -256 -256 -1 -0 -0 -4 -0xdd5e -0x54ba6420 -256 -256 -1 -0 -0 -2 -0x47bb -0xd05175c3 -256 -256 -1 -0 -0 -3 -0x39fa -0x96fb6c1e -256 -256 -1 -0 -0 -2 -0x2d9b -0xe831de5 -256 -256 -0 -0 -0 -4 -0x7bbf -0xa8fe045d -256 -256 -0 -0 -0 -1 -0x4c8b -0x5e4a6f54 -256 -256 -0 -0 -0 -3 -0x7c13 -0xedb4507f -256 -256 -1 -0 -0 -1 -0xd08b -0x933353a1 -256 -256 -1 -0 -0 -2 -0xd47 -0x487e4986 -256 -256 -0 -0 -0 -1 -0x74a2 -0xb7968d98 -256 -256 -1 -0 -0 -1 -0xb9b7 -0xff4260ed -256 -256 -0 -0 -0 -1 -0x95cc -0x74ebae88 -256 -256 -0 -0 -0 -2 -0x6c80 -0xd99774a1 -256 -256 -1 -0 -0 -3 -0xcde5 -0xa455f739 -256 -256 -0 -0 -0 -4 -0xbfa9 -0x1bc245e3 -256 -256 -1 -0 -0 -1 -0x967d -0x8d404bf4 -256 -256 -0 -0 -0 -1 -0x559b -0x84a52cb6 -256 -256 -1 -0 -0 -2 -0xfb61 -0xd70742fe -256 -256 -0 -0 -0 -2 -0xe03c -0xbba080d6 -256 -256 -1 -0 -0 -2 -0x4a40 -0xbc14b21e -256 -256 -0 -0 -0 -3 -0x840a -0xede5d26d -256 -256 -1 -0 -0 -3 -0xe3ba -0xc8eb7a51 -256 -256 -1 -0 -0 -4 -0xeb99 -0xaf820cc9 -256 -256 -1 -0 -0 -4 -0x6172 -0x357ea815 -256 -256 -0 -0 -0 -2 -0x480 -0x6c64b9f8 -256 -256 -0 -0 -0 -2 -0x6cee -0xb059ff4f -256 -256 -1 -0 -0 -3 -0x40b2 -0x860acca4 -256 -256 -1 -0 -0 -3 -0x929f -0xcbbce96f -256 -256 -1 -0 -0 -4 -0x8f34 -0x70a1f621 -256 -256 -0 -0 -0 -4 -0x27f8 -0x30614340 -256 -256 -1 -0 -0 -4 -0xfb8c -0x6aea721e -256 -256 -0 -0 -0 -4 -0x2266 -0xac5f637d -256 -256 -1 -0 -0 -1 -0x9f6a -0x782cc218 -256 -256 -0 -0 -0 -3 -0xed5b -0x1e915aaa -256 -256 -1 -0 -0 -1 -0x3427 -0xe4e9833a -256 -256 -1 -0 -0 -4 -0xd8a4 -0x7cbeaba -256 -256 -0 -0 -0 -4 -0x6b15 -0x96270db7 -256 -256 -0 -0 -0 -2 -0xcae -0xe9f76e02 -256 -256 -1 -0 -0 -2 -0xe5ed -0x136cdb5 -256 -256 -1 -0 -0 -4 -0xbf55 -0x9bcd0594 -256 -256 -0 -0 -0 -2 -0x9f48 -0xbfd928cb -256 -256 -0 -0 -0 -4 -0xc952 -0x4fbd5800 -256 -256 -1 -0 -0 -4 -0x81e9 -0x84709a95 -256 -256 -0 -0 -0 -2 -0x41e5 -0xe5941198 -256 -256 -1 -0 -0 -4 -0x32f8 -0xc0ca353 -256 -256 -1 -0 -0 -2 -0x7ce6 -0x4b2d1adb -256 -256 -0 -0 -0 -3 -0x542d -0x361419ac -256 -256 -1 -0 -0 -2 -0x46e4 -0x920869d8 -256 -256 -1 -0 -0 -2 -0xcb16 -0xcff26630 -256 -256 -0 -0 -0 -3 -0x3ea5 -0xa3663909 -256 -256 -0 -0 -0 -2 -0xf431 -0x52efdb56 -256 -256 -1 -0 -0 -3 -0xff95 -0xc7029a1c -256 -256 -0 -0 -0 -1 -0xeef5 -0x78d19cd -256 -256 -1 -0 -0 -4 -0xa165 -0x59eb86e9 -256 -256 -0 -0 -0 -1 -0xf416 -0xf487c7f3 -256 -256 -0 -0 -0 -4 -0xa39e -0x7fd2e9fa -256 -256 -0 -0 -0 -4 -0x1148 -0xc7ea95d6 -256 -256 -1 -0 -0 -1 -0xa912 -0xf3c9fa19 -256 -256 -1 -0 -0 -3 -0x2334 -0x212cf03a -256 -256 -1 -0 -0 -2 -0x9563 -0x873c84c3 -256 -256 -0 -0 -0 -3 -0xd3a2 -0x2d0a1d1b -256 -256 -1 -0 -0 -4 -0xf4d9 -0x606f1fee -256 -256 -0 -0 -0 -4 -0x4eaf -0xcb2ba2be -256 -256 -1 -0 -0 -4 -0xce0d -0x42306971 -256 -256 -1 -0 -0 -4 -0xc045 -0xf9d09b4a -256 -256 -0 -0 -0 -1 -0xe71b -0x99e69c11 -256 -256 -0 -0 -0 -1 -0x4d43 -0xf6a6926c -256 -256 -0 -0 -0 -3 -0xad39 -0x22eb1c82 -256 -256 -0 -0 -0 -4 -0x6bbf -0xd55e484f -256 -256 -0 -0 -0 -1 -0x4444 -0xc8a11d70 -256 -256 -1 -0 -0 -3 -0xa651 -0xea5edf59 -256 -256 -1 -0 -0 -4 -0x615f -0xb7105e7f -256 -256 -1 -0 -0 -1 -0x7853 -0x81032f96 -256 -256 -1 -0 -0 -1 -0x268f -0xdcb3feb -256 -256 -1 -0 -0 -1 -0xce46 -0xd9a41e56 -256 -256 -0 -0 -0 -3 -0x2eec -0xfc9ec19e -256 -256 -0 -0 -0 -4 -0x18cc -0x75ff3926 -256 -256 -1 -0 -0 -2 -0x115b -0xed2101f3 -256 -256 -0 -0 -0 -4 -0x2956 -0xd310cd8 -256 -256 -1 -0 -0 -3 -0x1da1 -0x4d053e26 -256 -256 -0 -0 -0 -3 -0x8618 -0xa3e37034 -256 -256 -1 -0 -0 -3 -0xbaf6 -0xe9cd1120 -256 -256 -0 -0 -0 -1 -0x8217 -0x9c6dd337 -256 -256 -0 -0 -0 -4 -0x3d93 -0x9faa4931 -256 -256 -0 -0 -0 -1 -0xa1ff -0xa6f6057b -256 -256 -1 -0 -0 -3 -0xa218 -0x3281a947 -256 -256 -1 -0 -0 -2 -0x4983 -0xb46a91e6 -256 -256 -0 -0 -0 -2 -0x4904 -0xd84afe86 -256 -256 -1 -0 -0 -4 -0xe465 -0x5d4c890e -256 -256 -1 -0 -0 -4 -0x842e -0xa6ab636f -256 -256 -1 -0 -0 -3 -0x6f1c -0xff13533 -256 -256 -0 -0 -0 -2 -0xe3ac -0x13db93e9 -256 -256 -0 -0 -0 -1 -0x5631 -0x57212793 -256 -256 -0 -0 -0 -3 -0xec88 -0xe6468db4 -256 -256 -0 -0 -0 -4 -0x9893 -0x688ab86b -256 -256 -1 -0 -0 -1 -0xc0fa -0xf1168dfd -256 -256 -0 -0 -0 -4 -0x608 -0x2f409be4 -256 -256 -0 -0 -0 -3 -0xe20f -0xba9c84a0 -256 -256 -1 -0 -0 -1 -0x98b7 -0x4efe441d -256 -256 -1 -0 -0 -3 -0x523a -0x71bb0538 -256 -256 -1 -0 -0 -3 -0x66fd -0x627933aa -256 -256 -0 -0 -0 -4 -0x652 -0xab5336b9 -256 -256 -0 -0 -0 -4 -0x7f5c -0xf686a266 -256 -256 -1 -0 -0 -1 -0x2465 -0xcccb2d48 -256 -256 -1 -0 -0 -2 -0xc323 -0x67d58221 -256 -256 -1 -0 -0 -2 -0xc93a -0x511d18ed -256 -256 -0 -0 -0 -2 -0x2f2 -0x6fe4b36d -256 -256 -1 -0 -0 -2 -0xa2e4 -0xd70d417c -256 -256 -0 -0 -0 -2 -0xa6fe -0xc55e66bd -256 -256 -1 -0 -0 -2 -0xdf57 -0x273a2c7e -256 -256 -1 -0 -0 -3 -0x2bc -0xf46c7090 -256 -256 -1 -0 -0 -3 -0x7dc5 -0x5a79c5fc -256 -256 -1 -0 -0 -2 -0xe595 -0xd59b46e9 -256 -256 -0 -0 -0 -4 -0xbcda -0x43dcf828 -256 -256 -1 -0 -0 -1 -0x27e4 -0x9bd5f9e -256 -256 -1 -0 -0 -1 -0xcfe4 -0x7a03f852 -256 -256 -0 -0 -0 -3 -0xf931 -0x57c42d79 -256 -256 -0 -0 -0 -2 -0x1a9 -0xcd0fc6e0 -256 -256 -1 -0 -0 -3 -0xcf1 -0x391272cd -256 -256 -1 -0 -0 -2 -0x9d66 -0xa2cb1a5e -256 -256 -0 -0 -0 -4 -0x21b3 -0xe9382bb9 -256 -256 -1 -0 -0 -2 -0x17ef -0xba70ac9d -256 -256 -1 -0 -0 -2 -0x2df9 -0xf508742f -256 -256 -1 -0 -0 -4 -0xe174 -0x97e72aab -256 -256 -0 -0 -0 -4 -0x777c -0x45b8dd1e -256 -256 -1 -0 -0 -2 -0x2043 -0xc49e71a7 -256 -256 -1 -0 -0 -2 -0x2066 -0xcb839021 -256 -256 -1 -0 -0 -4 -0xffd7 -0xb88d83d4 -256 -256 -1 -0 -0 -3 -0xcbd8 -0x4848f3d3 -256 -256 -1 -0 -0 -4 -0x9aa5 -0x553bebb5 -256 -256 -0 -0 -0 -4 -0xedb1 -0x51b308f2 -256 -256 -1 -0 -0 -4 -0xf4be -0x7f9f48f7 -256 -256 -0 -0 -0 -3 -0xc5f8 -0xd6aea3e7 -256 -256 -1 -0 -0 -1 -0x701e -0x5f7ef956 -256 -256 -0 -0 -0 -4 -0x72aa -0x127864b9 -256 -256 -0 -0 -0 -3 -0x885d -0xa357b4f -256 -256 -0 -0 -0 -1 -0xc2d6 -0xecf642c4 -256 -256 -1 -0 -0 -3 -0x90ef -0x2369049e -256 -256 -1 -0 -0 -4 -0x9d35 -0xb6fad349 -256 -256 -0 -0 -0 -3 -0xed0f -0xb22a5f04 -256 -256 -1 -0 -0 -1 -0x56d5 -0xb1c285f5 -256 -256 -1 -0 -0 -3 -0xec9c -0xf0c48260 -256 -256 -1 -0 -0 -1 -0x9d2d -0x4fb260e3 -256 -256 -0 -0 -0 -3 -0xcc5e -0xd5d2ef94 -256 -256 -0 -0 -0 -4 -0xc0c3 -0xd8ab3d94 -256 -256 -1 -0 -0 -3 -0x12e9 -0xb657505e -256 -256 -0 -0 -0 -4 -0x1bf5 -0x459d2cbb -256 -256 -0 -0 -0 -4 -0xfb25 -0xab238595 -256 -256 -0 -0 -0 -3 -0x6784 -0xe43ae5d3 -256 -256 -1 -0 -0 -2 -0x2db5 -0x889dfd5f -256 -256 -1 -0 -0 -4 -0xac3f -0x43201b8b -256 -256 -1 -0 -0 -2 -0x2db6 -0x620aa77e -256 -256 -1 -0 -0 -3 -0xe6e -0x4ad768de -256 -256 -0 -0 -0 -2 -0xc017 -0x9236e248 -256 -256 -0 -0 -0 -1 -0xd996 -0xd40e37d9 -256 -256 -1 -0 -0 -4 -0x353 -0x103f91e8 -256 -256 -1 -0 -0 -3 -0x5200 -0x1163f63d -256 -256 -0 -0 -0 -2 -0x1abb -0x28ae07ab -256 -256 -1 -0 -0 -3 -0x7eb7 -0x9be56c01 -256 -256 -0 -0 -0 -4 -0xe43b -0xaaa2c6cf -256 -256 -1 -0 -0 -1 -0xcbee -0xb7d2ec9b -256 -256 -1 -0 -0 -4 -0x2dab -0x139febc2 -256 -256 -1 -0 -0 -2 -0x7c59 -0x7527422a -256 -256 -0 -0 -0 -1 -0x7703 -0x84bebe96 -256 -256 -1 -0 -0 -4 -0x3ca4 -0xd381f0e8 -256 -256 -0 -0 -0 -2 -0x7fd7 -0x6a82bcdf -256 -256 -1 -0 -0 -2 -0x5d6f -0x1136a511 -256 -256 -0 -0 -0 -4 -0xf620 -0x2ccc4fb2 -256 -256 -1 -0 -0 -3 -0x93db -0xecfcaeaf -256 -256 -0 -0 -0 -1 -0x4378 -0x78d33052 -256 -256 -0 -0 -0 -2 -0xe9 -0x213f932d -256 -256 -0 -0 -0 -4 -0x819b -0x90c9826e -256 -256 -1 -0 -0 -4 -0xd41d -0xf8cc7bba -256 -256 -0 -0 -0 -4 -0xd1f0 -0x8334b452 -256 -256 -1 -0 -0 -3 -0x56b8 -0x7d4cfe03 -256 -256 -1 -0 -0 -2 -0x61a9 -0x15cdb7ac -256 -256 -1 -0 -0 -1 -0x9eda -0x3bb4634b -256 -256 -1 -0 -0 -4 -0x23c0 -0xe54cfad0 -256 -256 -1 -0 -0 -4 -0x80a7 -0x27a2a3df -256 -256 -1 -0 -0 -2 -0x40d4 -0x6ade058 -256 -256 -1 -0 -0 -4 -0x4f64 -0x1b57b2b0 -256 -256 -1 -0 -0 -4 -0x62a2 -0xf8a49c95 -256 -256 -1 -0 -0 -2 -0x4ced -0x32def5b2 -256 -256 -1 -0 -0 -4 -0xd048 -0x6316182e -256 -256 -0 -0 -0 -1 -0x2c34 -0x3fc9a9e7 -256 -256 -1 -0 -0 -1 -0xbb03 -0x9db1095c -256 -256 -1 -0 -0 -3 -0x4b2f -0xed766844 -256 -256 -0 -0 -0 -1 -0x83a9 -0xdca838d9 -256 -256 -1 -0 -0 -2 -0xc8ca -0xc32509df -256 -256 -0 -0 -0 -3 -0xec70 -0x99214694 -256 -256 -1 -0 -0 -2 -0x25a0 -0xf682b3a4 -256 -256 -1 -0 -0 -4 -0x6820 -0x8d1cc683 -256 -256 -1 -0 -0 -1 -0x8243 -0x62fd505b -256 -256 -1 -0 -0 -1 -0xea74 -0x2d2c0e4b -256 -256 -1 -0 -0 -2 -0x7e45 -0xbd15da72 -256 -256 -1 -0 -0 -3 -0x6ab5 -0x34125e12 -256 -256 -1 -0 -0 -2 -0x27e4 -0x271f8e7f -256 -256 -1 -0 -0 -4 -0xa0bb -0xdebef6e -256 -256 -1 -0 -0 -4 -0x46ae -0xad40f125 -256 -256 -0 -0 -0 -4 -0xd0cd -0xfa2b724e -256 -256 -1 -0 -0 -3 -0x585b -0x9805b7de -256 -256 -1 -0 -0 -4 -0x29c5 -0xedad3e02 -256 -256 -0 -0 -0 -3 -0x17c7 -0x31412fea -256 -256 -1 -0 -0 -4 -0x6da1 -0xc9b2ce72 -256 -256 -0 -0 -0 -4 -0xe24d -0x58139296 -256 -256 -0 -0 -0 -4 -0x3a91 -0x37c0b26f -256 -256 -1 -0 -0 -1 -0x2b6 -0x5de1b1c6 -256 -256 -1 -0 -0 -2 -0x5dc1 -0x821f1f95 -256 -256 -0 -0 -0 -1 -0x3bee -0x1b07528b -256 -256 -1 -0 -0 -1 -0x16ad -0xba7aec26 -256 -256 -0 -0 -0 -3 -0x386e -0x5c9c0570 -256 -256 -1 -0 -0 -1 -0xe8df -0x7b38558e -256 -256 -1 -0 -0 -1 -0x4558 -0x49fe1430 -256 -256 -1 -0 -0 -4 -0xf32c -0xc717989c -256 -256 -1 -0 -0 -2 -0x68e4 -0x5f6007a1 -256 -256 -0 -0 -0 -1 -0x523b -0xdf502d84 -256 -256 -0 -0 -0 -1 -0xc89a -0x33305622 -256 -256 -1 -0 -0 -2 -0x9e1b -0x5b01a570 -256 -256 -1 -0 -0 -3 -0xdc60 -0x3bf5c789 -256 -256 -1 -0 -0 -4 -0xab53 -0x10fd6662 -256 -256 -0 -0 -0 -3 -0xd16 -0xac62b819 -256 -256 -0 -0 -0 -4 -0x16a9 -0xc22e76ca -256 -256 -1 -0 -0 -3 -0x2daf -0x54ff18de -256 -256 -0 -0 -0 -3 -0xbcb -0x33fdabb4 -256 -256 -1 -0 -0 -1 -0x4fc2 -0xdd4e5b7d -256 -256 -0 -0 -0 -1 -0x12a -0x1e196221 -256 -256 -1 -0 -0 -4 -0x7278 -0x6a8b9206 -256 -256 -1 -0 -0 -1 -0x28f2 -0x9f29dc21 -256 -256 -0 -0 -0 -3 -0x878a -0xab4b6862 -256 -256 -1 -0 -0 -2 -0x13ba -0x774f466c -256 -256 -1 -0 -0 -2 -0xb9ec -0x17b257b3 -256 -256 -0 -0 -0 -3 -0x4990 -0x1096c533 -256 -256 -1 -0 -0 -3 -0x2a73 -0x244b3ebb -256 -256 -0 -0 -0 -1 -0x9bd2 -0xa4aa0640 -256 -256 -0 -0 -0 -3 -0x2317 -0x3fd98d07 -256 -256 -1 -0 -0 -1 -0xe0cf -0x85b4b732 -256 -256 -0 -0 -0 -1 -0xd036 -0xf9ec773a -256 -256 -0 -0 -0 -4 -0xa8b0 -0x98c62164 -256 -256 -0 -0 -0 -2 -0xc655 -0x84155051 -256 -256 -0 -0 -0 -3 -0x84b8 -0xa65ddb72 -256 -256 -1 -0 -0 -1 -0x88c7 -0x25b78e50 -256 -256 -0 -0 -0 -3 -0xbc63 -0x383389a5 -256 -256 -1 -0 -0 -2 -0x54aa -0x343b371e -256 -256 -1 -0 -0 -3 -0x4c02 -0xbb175261 -256 -256 -1 -0 -0 -3 -0x6ba0 -0x55445271 -256 -256 -1 -0 -0 -1 -0x155a -0x52cdaf8a -256 -256 -0 -0 -0 -2 -0x7b76 -0x2a5a9836 -256 -256 -1 -0 -0 -4 -0xc131 -0xcd396f0a -256 -256 -1 -0 -0 -3 -0x1444 -0xaacc74ae -256 -256 -1 -0 -0 -1 -0x171a -0xc430914c -256 -256 -0 -0 -0 -3 -0xec01 -0x270d372f -256 -256 -0 -0 -0 -1 -0xd4e5 -0xcb89f1c8 -256 -256 -1 -0 -0 -4 -0xfc79 -0x631ff157 -256 -256 -0 -0 -0 -3 -0x2a1c -0x98bfad89 -256 -256 -1 -0 -0 -2 -0xb284 -0x5abeb045 -256 -256 -0 -0 -0 -2 -0xd58b -0xa130c204 -256 -256 -1 -0 -0 -3 -0x52f4 -0x2e47a92e -256 -256 -0 -0 -0 -4 -0x5f0a -0xb903581e -256 -256 -0 -0 -0 -4 -0x46ec -0xef8740e -256 -256 -1 -0 -0 -3 -0xf6ea -0xf22ba0cc -256 -256 -1 -0 -0 -2 -0xb55c -0xf565c87a -256 -256 -1 -0 -0 -2 -0x19d -0xa8f0e494 -256 -256 -0 -0 -0 -2 -0x91df -0xca766f51 -256 -256 -1 -0 -0 -3 -0x81cc -0x6eb6e9f -256 -256 -1 -0 -0 -4 -0x95f5 -0x7e8c94cb -256 -256 -0 -0 -0 -2 -0x21f4 -0xbdb0147c -256 -256 -0 -0 -0 -4 -0xea27 -0xdd280069 -256 -256 -0 -0 -0 -1 -0x2b39 -0xeae271bf -256 -256 -1 -0 -0 -2 -0x5bc2 -0x3886dc56 -256 -256 -1 -0 -0 -4 -0xa7d -0x2491f826 -256 -256 -0 -0 -0 -3 -0xef2e -0x2ce61207 -256 -256 -0 -0 -0 -3 -0x2ce0 -0xba06dfba -256 -256 -1 -0 -0 -2 -0x5746 -0xb5b2ec4f -256 -256 -0 -0 -0 -3 -0x7210 -0xbd0c89ee -256 -256 -0 -0 -0 -2 -0x7366 -0x1e108a73 -256 -256 -1 -0 -0 -2 -0xf5d9 -0x4270f29c -256 -256 -1 -0 -0 -2 -0xa0cb -0x35f92a67 -256 -256 -1 -0 -0 -3 -0x35a1 -0xd77d7726 -256 -256 -1 -0 -0 -1 -0xde0b -0x8554ad69 -256 -256 -1 -0 -0 -2 -0x4f45 -0x9161335a -256 -256 -1 -0 -0 -4 -0x5dae -0xd42c674 -256 -256 -1 -0 -0 -1 -0x9e1f -0xd8338bc3 -256 -256 -1 -0 -0 -3 -0xc7ea -0x299100c1 -256 -256 -0 -0 -0 -4 -0x64fe -0xa952aff3 -256 -256 -0 -0 -0 -3 -0xd509 -0x99a3d462 -256 -256 -0 -0 -0 -1 -0x5384 -0x43418cc1 -256 -256 -0 -0 -0 -2 -0xd117 -0x8e030f09 -256 -256 -1 -0 -0 -2 -0xb967 -0xce0107f3 -256 -256 -1 -0 -0 -2 -0x976c -0xfbce781 -256 -256 -0 -0 -0 -1 -0xeb79 -0x353067d0 -256 -256 -0 -0 -0 -3 -0x4a1a -0x92aae552 -256 -256 -0 -0 -0 -3 -0x58f3 -0x93fc7a00 -256 -256 -0 -0 -0 -1 -0x2f51 -0x6df94b6a -256 -256 -0 -0 -0 -2 -0xe73d -0xc5eb4ef8 -256 -256 -0 -0 -0 -4 -0xcc8 -0xb9b2ad4d -256 -256 -1 -0 -0 -4 -0xcb -0xeb535f40 -256 -256 -1 -0 -0 -2 -0x2f1d -0x7faf18c0 -256 -256 -0 -0 -0 -2 -0xee3d -0x8fac0c99 -256 -256 -0 -0 -0 -4 -0x65f7 -0xe80848a4 -256 -256 -0 -0 -0 -4 -0xb03c -0x8857802f -256 -256 -1 -0 -0 -1 -0xba26 -0xf21a5ef4 -256 -256 -0 -0 -0 -4 -0xaa75 -0xf8ac1e62 -256 -256 -1 -0 -0 -4 -0x37bc -0x31053764 -256 -256 -0 -0 -0 -2 -0x1bba -0xae2bb5a3 -256 -256 -0 -0 -0 -1 -0x21de -0xba1ab6fd -256 -256 -0 -0 -0 -2 -0x207 -0xa23248f2 -256 -256 -0 -0 -0 -3 -0x66d5 -0x46fb7bf6 -256 -256 -0 -0 -0 -1 -0x8873 -0xb52e0502 -256 -256 -0 -0 -0 -2 -0x13a4 -0x8e6e3aee -256 -256 -0 -0 -0 -1 -0x5c02 -0x850d8da6 -256 -256 -1 -0 -0 -2 -0xcc2d -0x126a53c1 -256 -256 -1 -0 -0 -1 -0xe06 -0xaec71777 -256 -256 -0 -0 -0 -4 -0xbdd0 -0x2f680717 -256 -256 -0 -0 -0 -3 -0x14e5 -0x287cdb08 -256 -256 -0 -0 -0 -1 -0x1aa6 -0xf3d98f6e -256 -256 -0 -0 -0 -2 -0xc4f6 -0x509e3a11 -256 -256 -0 -0 -0 -1 -0x787e -0x92bbd43 -256 -256 -1 -0 -0 -2 -0xc491 -0x57600b3d -256 -256 -0 -0 -0 -2 -0xbef4 -0xc4245422 -256 -256 -1 -0 -0 -1 -0xe8e6 -0x495d04e9 -256 -256 -0 -0 -0 -3 -0x4e57 -0x7c3881a8 -256 -256 -1 -0 -0 -1 -0x9e25 -0xd5e0c97 -256 -256 -0 -0 -0 -1 -0x64d9 -0x5bb1560f -256 -256 -1 -0 -0 -2 -0xee16 -0x5758f972 -256 -256 -0 -0 -0 -2 -0xb7f4 -0x7406dea2 -256 -256 -1 -0 -0 -4 -0xcfd7 -0xdcd36437 -256 -256 -1 -0 -0 -2 -0x1a5a -0x6d8d50f3 -256 -256 -0 -0 -0 -4 -0xf855 -0x51e126c1 -256 -256 -1 -0 -0 -4 -0xe205 -0xa8f3a88b -256 -256 -0 -0 -0 -3 -0xb05c -0x7e22bd60 -256 -256 -1 -0 -0 -1 -0xc6f3 -0x2508a441 -256 -256 -1 -0 -0 -4 -0x18e -0x6e4d5ce -256 -256 -1 -0 -0 -4 -0x825d -0xe42f6ac9 -256 -256 -1 -0 -0 -4 -0x9a7b -0x6b5d25d6 -256 -256 -1 -0 -0 -3 -0x78d5 -0x3f42049d -256 -256 -1 -0 -0 -2 -0x3dcd -0x688382e9 -256 -256 -0 -0 -0 -4 -0xeae1 -0x32bf1c05 -256 -256 -0 -0 -0 -4 -0x169e -0x9fc179 -256 -256 -1 -0 -0 -4 -0xd72a -0xb0afe66a -256 -256 -1 -0 -0 -4 -0x6469 -0x8cc97985 -256 -256 -1 -0 -0 -4 -0x9ad4 -0x2e197b43 -256 -256 -0 -0 -0 -3 -0xc2b9 -0xa4f7a456 -256 -256 -0 -0 -0 -2 -0x90da -0x9ea53176 -256 -256 -0 -0 -0 -2 -0xda85 -0x8338fb3 -256 -256 -0 -0 -0 -2 -0x7b23 -0x3cd508f9 -256 -256 -0 -0 -0 -2 -0xba04 -0x6576d7db -256 -256 -0 -0 -0 -2 -0x8a61 -0x3c08a1a0 -256 -256 -1 -0 -0 -1 -0xdbc3 -0x9c25a058 -256 -256 -1 -0 -0 -2 -0x3bfe -0x5501a56d -256 -256 -1 -0 -0 -2 -0x399a -0x529875d6 -256 -256 -0 -0 -0 -1 -0x205a -0xb458036d -256 -256 -0 -0 -0 -4 -0x1201 -0x40228e2c -256 -256 -1 -0 -0 -4 -0xbd75 -0xa38a6f58 -256 -256 -1 -0 -0 -2 -0xe488 -0x7f7826bd -256 -256 -1 -0 -0 -1 -0x6d64 -0xd5c89797 -256 -256 -1 -0 -0 -1 -0x3a69 -0x3dd92826 -256 -256 -1 -0 -0 -4 -0x63d4 -0xb00c0781 -256 -256 -1 -0 -0 -1 -0x2b9 -0x21e18ff8 -256 -256 -1 -0 -0 -2 -0xb1ea -0x78924200 -256 -256 -0 -0 -0 -4 -0x6244 -0x86676e7d -256 -256 -1 -0 -0 -3 -0x2601 -0x5f59f589 -256 -256 -0 -0 -0 -4 -0xb8e4 -0x2ef8a259 -256 -256 -0 -0 -0 -1 -0x3a58 -0x45af3981 -256 -256 -1 -0 -0 -2 -0xc1e2 -0xa1579811 -256 -256 -0 -0 -0 -4 -0x4094 -0xeeca2a3 -256 -256 -1 -0 -0 -1 -0x8572 -0x5b6a5819 -256 -256 -0 -0 -0 -3 -0x5630 -0xd259ead7 -256 -256 -0 -0 -0 -2 -0xb4dc -0x9cbe5030 -256 -256 -0 -0 -0 -2 -0x3b26 -0xe0decf33 -256 -256 -1 -0 -0 -2 -0x2fe1 -0xd96a2add -256 -256 -0 -0 -0 -1 -0x9c20 -0x2953cc42 -256 -256 -0 -0 -0 -1 -0x70f1 -0x702f822c -256 -256 -1 -0 -0 -3 -0x78de -0xf2d57289 -256 -256 -0 -0 -0 -3 -0xf99d -0xde93a172 -256 -256 -1 -0 -0 -2 -0xec27 -0xce7410ad -256 -256 -0 -0 -0 -1 -0xa73 -0xb66aa1ce -256 -256 -1 -0 -0 -2 -0x65d -0x2788993b -256 -256 -1 -0 -0 -4 -0xe575 -0x70843c14 -256 -256 -1 -0 -0 -3 -0xcbac -0xa26c6efb -256 -256 -0 -0 -0 -2 -0x5069 -0x9853b268 -256 -256 -1 -0 -0 -2 -0x1848 -0x1909716b -256 -256 -1 -0 -0 -4 -0x76cc -0xf737463c -256 -256 -0 -0 -0 -3 -0x1f13 -0x6c3281cb -256 -256 -0 -0 -0 -4 -0xfda0 -0x8f46797b -256 -256 -0 -0 -0 -4 -0x6a2d -0x7dc6c398 -256 -256 -0 -0 -0 -2 -0x31eb -0x513ff052 -256 -256 -1 -0 -0 -1 -0x8ec6 -0x1c833f04 -256 -256 -0 -0 -0 -2 -0xee11 -0xda7c4304 -256 -256 -0 -0 -0 -3 -0x9d94 -0x36f91ce1 -256 -256 -0 -0 -0 -3 -0xc219 -0x45b50d97 -256 -256 -1 -0 -0 -4 -0x46ef -0xdf5cc33 -256 -256 -0 -0 -0 -1 -0xf478 -0x11869986 -256 -256 -0 -0 -0 -3 -0xadc3 -0xb088342d -256 -256 -0 -0 -0 -4 -0x6434 -0xb22a6575 -256 -256 -1 -0 -0 -4 -0x100 -0x49f269a6 -256 -256 -0 -0 -0 -1 -0x84d7 -0x1062b481 -256 -256 -0 -0 -0 -2 -0x2311 -0x3ec4a7e1 -256 -256 -1 -0 -0 -2 -0x7afb -0xde211e36 -256 -256 -1 -0 -0 -4 -0xb86e -0xa1dda9fa -256 -256 -1 -0 -0 -3 -0x7bd1 -0xd58f13d3 -256 -256 -1 -0 -0 -2 -0x5cbc -0x6a910e05 -256 -256 -1 -0 -0 -3 -0xe2a2 -0x10ffbc63 -256 -256 -1 -0 -0 -1 -0xd0f8 -0xea269038 -256 -256 -0 -0 -0 -4 -0x8c47 -0x663a1208 -256 -256 -1 -0 -0 -1 -0x266b -0x412b8f08 -256 -256 -0 -0 -0 -4 -0xea9e -0xbc3941a6 -256 -256 -0 -0 -0 -2 -0x624e -0x3cf69c14 -256 -256 -1 -0 -0 -3 -0x6d8e -0xaf03abb6 -256 -256 -1 -0 -0 -1 -0xf677 -0x7ad1b85 -256 -256 -1 -0 -0 -2 -0xa3b4 -0xc96b5f0c -256 -256 -1 -0 -0 -3 -0x35f8 -0x168a83a -256 -256 -1 -0 -0 -4 -0x4665 -0x13fb567f -256 -256 -1 -0 -0 -2 -0x3257 -0x2a61be86 -256 -256 -1 -0 -0 -4 -0xde80 -0xdd33aa30 -256 -256 -0 -0 -0 -3 -0x4901 -0xacffdc49 -256 -256 -1 -0 -0 -4 -0xf7f8 -0x601c45a9 -256 -256 -0 -0 -0 -3 -0x3ef -0xc17c04e4 -256 -256 -1 -0 -0 -4 -0xd8a4 -0x236bb19a -256 -256 -0 -0 -0 -2 -0x564d -0x1bed3086 -256 -256 -0 -0 -0 -3 -0x649d -0xa9c1bcd8 -256 -256 -0 -0 -0 -2 -0x79ca -0x5720ba4c -256 -256 -1 -0 -0 -2 -0xdac -0x6b0e4348 -256 -256 -1 -0 -0 -1 -0x31c6 -0x13a3ae2d -256 -256 -1 -0 -0 -1 -0x4292 -0xfb9e571a -256 -256 -0 -0 -0 -4 -0xf6ed -0x7269437d -256 -256 -1 -0 -0 -4 -0x492a -0xf362503d -256 -256 -0 -0 -0 -1 -0x35d9 -0x31a869d9 -256 -256 -1 -0 -0 -4 -0x4a1a -0x98c4a9d2 -256 -256 -0 -0 -0 -4 -0x7cc3 -0x9fcd7740 -256 -256 -0 -0 -0 -2 -0x7031 -0xf1665c8a -256 -256 -0 -0 -0 -3 -0xc1eb -0x6f4474d -256 -256 -1 -0 -0 -2 -0xefeb -0x30ec0ffd -256 -256 -1 -0 -0 -1 -0x5a81 -0xa0828bec -256 -256 -1 -0 -0 -3 -0xb691 -0x8d6ba266 -256 -256 -1 -0 -0 -3 -0xa376 -0x96fc9cb8 -256 -256 -0 -0 -0 -1 -0xab21 -0x3916e275 -256 -256 -0 -0 -0 -4 -0x560f -0x5a57507f -256 -256 -1 -0 -0 -3 -0x9e4e -0x185fdd23 -256 -256 -0 -0 -0 -1 -0x6cee -0x194c1788 -256 -256 -0 -0 -0 -1 -0xcd8c -0x1b72a45f -256 -256 -0 -0 -0 -4 -0xe32a -0x3caa136e -256 -256 -0 -0 -0 -2 -0x257c -0xbf017be8 -256 -256 -0 -0 -0 -1 -0xc6ae -0x35dd3882 -256 -256 -1 -0 -0 -3 -0xd2a1 -0x3302fb2f -256 -256 -1 -0 -0 -4 -0x74b2 -0x31ad3cef -256 -256 -1 -0 -0 -4 -0x4a40 -0xbb7d94f7 -256 -256 -0 -0 -0 -4 -0x2d -0x917d266c -256 -256 -1 -0 -0 -1 -0x12e0 -0xdb00a94b -256 -256 -1 -0 -0 -3 -0xda7a -0x5cc6c0d7 -256 -256 -0 -0 -0 -3 -0x971f -0x7db2f0ae -256 -256 -1 -0 -0 -2 -0xf595 -0x7eee4589 -256 -256 -0 -0 -0 -2 -0x6878 -0x707def43 -256 -256 -1 -0 -0 -1 -0x1dbc -0xa2b74e15 -256 -256 -0 -0 -0 -3 -0x2f14 -0xecf1ba87 -256 -256 -0 -0 -0 -1 -0x430 -0x95084bd7 -256 -256 -0 -0 -0 -3 -0x70f3 -0x3afa24ee -256 -256 -0 -0 -0 -3 -0x34b0 -0xce854947 -256 -256 -1 -0 -0 -1 -0x6e1e -0x2c61a815 -256 -256 -1 -0 -0 -1 -0x7d3e -0x7e890ebe -256 -256 -1 -0 -0 -2 -0xf0ae -0xfd417d9a -256 -256 -1 -0 -0 -3 -0x82bc -0xac9f42ae -256 -256 -1 -0 -0 -2 -0x2103 -0xd3b249c -256 -256 -0 -0 -0 -2 -0xdb6b -0xf7559576 -256 -256 -0 -0 -0 -1 -0x7564 -0x42c06d8b -256 -256 -0 -0 -0 -2 -0xe33 -0xcadbca7e -256 -256 -1 -0 -0 -2 -0x6367 -0xe86445f3 -256 -256 -0 -0 -0 -1 -0x8c4e -0x7df95c5d -256 -256 -1 -0 -0 -3 -0xede9 -0x22e42a33 -256 -256 -1 -0 -0 -4 -0x8edc -0x2dac598f -256 -256 -1 -0 -0 -3 -0x23c5 -0xa2182235 -256 -256 -0 -0 -0 -2 -0x44cd -0x4bce255f -256 -256 -1 -0 -0 -4 -0xdb9a -0x7b9109e8 -256 -256 -0 -0 -0 -1 -0xbf46 -0xa9399950 -256 -256 -1 -0 -0 -4 -0x9032 -0xb72c4fe5 -256 -256 -0 -0 -0 -1 -0x9ad0 -0x512196f1 -256 -256 -1 -0 -0 -4 -0xa344 -0x5654b517 -256 -256 -1 -0 -0 -2 -0xcf2c -0xed415111 -256 -256 -1 -0 -0 -2 -0x1a36 -0xebe101ac -256 -256 -1 -0 -0 -1 -0xa6a6 -0x82f2a5d0 -256 -256 -0 -0 -0 -1 -0x1e56 -0x5d0ff592 -256 -256 -1 -0 -0 -2 -0x6270 -0xdcecce3c -256 -256 -1 -0 -0 -4 -0x39a3 -0x89a1a139 -256 -256 -1 -0 -0 -2 -0x8329 -0xd3664675 -256 -256 -1 -0 -0 -4 -0xc93f -0xdcc8ee53 -256 -256 -1 -0 -0 -3 -0x4f04 -0xc8070bd4 -256 -256 -0 -0 -0 -4 -0x1ff3 -0x3011c77a -256 -256 -0 -0 -0 -4 -0x9bcd -0x8a31ed58 -256 -256 -0 -0 -0 -1 -0xa056 -0xac67b818 -256 -256 -0 -0 -0 -4 -0x85f4 -0x5eec7409 -256 -256 -1 -0 -0 -2 -0xd748 -0x37edfe7a -256 -256 -0 -0 -0 -2 -0x94ec -0x239e923f -256 -256 -0 -0 -0 -1 -0x317c -0x606dd0b8 -256 -256 -1 -0 -0 -3 -0x6059 -0x470ffa3a -256 -256 -0 -0 -0 -2 -0x89de -0x623b4f16 -256 -256 -1 -0 -0 -3 -0x3f7a -0x82efdd71 -256 -256 -1 -0 -0 -4 -0x6622 -0xe40afcdb -256 -256 -0 -0 -0 -4 -0x86db -0xfa76a3fc -256 -256 -0 -0 -0 -1 -0x7829 -0x52a3d9c -256 -256 -1 -0 -0 -1 -0xfe8c -0xe629ef5c -256 -256 -0 -0 -0 -4 -0xf50e -0x6e775160 -256 -256 -0 -0 -0 -3 -0x43ef -0xaeef10fc -256 -256 -1 -0 -0 -4 -0x4b7e -0x4a410689 -256 -256 -0 -0 -0 -4 -0xf2e2 -0xa6c1561e -256 -256 -1 -0 -0 -2 -0x1ced -0x7ae4a02d -256 -256 -1 -0 -0 -3 -0xfebc -0xb7db97b9 -256 -256 -0 -0 -0 -4 -0x681a -0xeaa949fa -256 -256 -1 -0 -0 -2 -0xbdd4 -0xf33999d5 -256 -256 -0 -0 -0 -3 -0x6d6b -0x3c18bd53 -256 -256 -0 -0 -0 -4 -0xc6ce -0x8773c187 -256 -256 -1 -0 -0 -4 -0x7f7e -0xc40129c3 -256 -256 -0 -0 -0 -4 -0x40c -0x4de8b71 -256 -256 -1 -0 -0 -1 -0xb37d -0xa48d854f -256 -256 -0 -0 -0 -1 -0x3459 -0x75693a8a -256 -256 -1 -0 -0 -3 -0x35c5 -0x43522c48 -256 -256 -0 -0 -0 -1 -0xb370 -0x6b5ddd69 -256 -256 -0 -0 -0 -4 -0xb2a3 -0x370bdbf5 -256 -256 -0 -0 -0 -2 -0xf4b2 -0xea005109 -256 -256 -1 -0 -0 -4 -0xffed -0x9eae4fdd -256 -256 -0 -0 -0 -2 -0xfb2e -0x3233d179 -256 -256 -0 -0 -0 -4 -0x53b3 -0xb69e6f36 -256 -256 -1 -0 -0 -2 -0x45c8 -0x34520ce7 -256 -256 -1 -0 -0 -1 -0x1eb -0xe80fdad2 -256 -256 -0 -0 -0 -3 -0x69e3 -0xfaed17f4 -256 -256 -0 -0 -0 -3 -0x9e69 -0xbbb39aaa -256 -256 -1 -0 -0 -1 -0xa03e -0x80e8403e -256 -256 -0 -0 -0 -4 -0xb13d -0xc5daec49 -256 -256 -1 -0 -0 -1 -0x1549 -0xabfbb06 -256 -256 -0 -0 -0 -4 -0xa69d -0x825bf496 -256 -256 -1 -0 -0 -4 -0x460 -0x70289791 -256 -256 -1 -0 -0 -2 -0x767a -0xab1947a9 -256 -256 -0 -0 -0 -1 -0x4efb -0x39a40cfd -256 -256 -0 -0 -0 -4 -0xe0a8 -0x647773aa -256 -256 -0 -0 -0 -1 -0xd92c -0x7528ed7d -256 -256 -1 -0 -0 -2 -0x584d -0xac2d3037 -256 -256 -1 -0 -0 -1 -0x45e3 -0x9be07be2 -256 -256 -1 -0 -0 -4 -0x6f25 -0xdaaecb3e -256 -256 -0 -0 -0 -3 -0xde19 -0xe99800e6 -256 -256 -0 -0 -0 -3 -0xe05b -0xaa919593 -256 -256 -1 -0 -0 -1 -0xa8ac -0x3dcb1959 -256 -256 -0 -0 -0 -2 -0xc29f -0xc64b62e4 -256 -256 -1 -0 -0 -3 -0x42cf -0x6f242bc4 -256 -256 -1 -0 -0 -3 -0xcc4b -0xaed008ce -256 -256 -1 -0 -0 -2 -0xf7c0 -0xfbdececc -256 -256 -1 -0 -0 -3 -0xf819 -0x5fe3f64f -256 -256 -1 -0 -0 -2 -0x5215 -0xdd280155 -256 -256 -1 -0 -0 -2 -0xe249 -0xe43a604a -256 -256 -0 -0 -0 -2 -0xbbef -0x47f0b2b8 -256 -256 -0 -0 -0 -4 -0xc4b9 -0xf67a7713 -256 -256 -0 -0 -0 -1 -0xff53 -0x9f1cd64f -256 -256 -1 -0 -0 -4 -0xc52c -0x6a30ea39 -256 -256 -0 -0 -0 -1 -0x622e -0x21660adf -256 -256 -0 -0 -0 -2 -0x9e19 -0x495b2e96 -256 -256 -0 -0 -0 -3 -0x34f8 -0xe21dd9e5 -256 -256 -0 -0 -0 -3 -0xc4f9 -0xe346e92a -256 -256 -1 -0 -0 -4 -0x8844 -0x6256cec6 -256 -256 -0 -0 -0 -3 -0x9ee1 -0xc139c843 -256 -256 -1 -0 -0 -1 -0x7b68 -0xeec21b91 -256 -256 -0 -0 -0 -2 -0xccf4 -0xd8983f48 -256 -256 -1 -0 -0 -1 -0xbe4d -0x1d2f793f -256 -256 -0 -0 -0 -2 -0x244f -0xa90f0381 -256 -256 -1 -0 -0 -2 -0x4e2 -0x38594d04 -256 -256 -0 -0 -0 -3 -0xbcd5 -0xb7660719 -256 -256 -0 -0 -0 -2 -0xab5c -0xcd1a2a86 -256 -256 -0 -0 -0 -4 -0x7be8 -0xfd85943b -256 -256 -0 -0 -0 -3 -0xbc88 -0xecec4b44 -256 -256 -1 -0 -0 -1 -0xeca5 -0xa74e982 -256 -256 -0 -0 -0 -4 -0x4084 -0x1294c0ea -256 -256 -1 -0 -0 -4 -0x29a2 -0x5cdd179c -256 -256 -0 -0 -0 -2 -0x330e -0xc16ef3bd -256 -256 -1 -0 -0 -1 -0x9da1 -0x9a6440c5 -256 -256 -0 -0 -0 -4 -0x124e -0xe2ea6881 -256 -256 -0 -0 -0 -3 -0x8e9f -0x7d046749 -256 -256 -0 -0 -0 -2 -0x3cf4 -0x36fd936e -256 -256 -0 -0 -0 -4 -0xa785 -0x40f04802 -256 -256 -0 -0 -0 -3 -0x6e00 -0x198a407b -256 -256 -1 -0 -0 -1 -0x9363 -0x9c8c47d7 -256 -256 -0 -0 -0 -3 -0xffc4 -0xe072ffed -256 -256 -1 -0 -0 -1 -0x902b -0xd494f19f -256 -256 -1 -0 -0 -4 -0xc97c -0x3428f07f -256 -256 -1 -0 -0 -4 -0x6270 -0xe77918a4 -256 -256 -0 -0 -0 -4 -0x4230 -0x516f2823 -256 -256 -1 -0 -0 -4 -0x1fec -0xafb9d308 -256 -256 -0 -0 -0 -3 -0x97dc -0xba93b85a -256 -256 -1 -0 -0 -3 -0x1db3 -0x6668f28f -256 -256 -0 -0 -0 -2 -0x62b6 -0x251493cd -256 -256 -0 -0 -0 -3 -0x1c82 -0x25acd184 -256 -256 -1 -0 -0 -2 -0xb371 -0x61bc4759 -256 -256 -0 -0 -0 -3 -0x4df3 -0xa8b2ebef -256 -256 -1 -0 -0 -4 -0x36bc -0x8f4dca9 -256 -256 -1 -0 -0 -4 -0x55f2 -0x4ee850b2 -256 -256 -1 -0 -0 -1 -0xf55b -0xa84eb02 -256 -256 -1 -0 -0 -1 -0x888a -0x4e9dffd9 -256 -256 -0 -0 -0 -3 -0x759d -0xd225f0c5 -256 -256 -0 -0 -0 -3 -0xb606 -0x83ccb249 -256 -256 -0 -0 -0 -2 -0xe30f -0x2016563f -256 -256 -0 -0 -0 -2 -0x2759 -0xdecfccc -256 -256 -1 -0 -0 -3 -0x8542 -0xad293084 -256 -256 -0 -0 -0 -1 -0xef48 -0xce741dee -256 -256 -0 -0 -0 -2 -0xe407 -0x4f762004 -256 -256 -0 -0 -0 -4 -0xf724 -0x6be41d1c -256 -256 -1 -0 -0 -1 -0x726c -0x9a1e5bd7 -256 -256 -1 -0 -0 -3 -0x6bdb -0x1da1b298 -256 -256 -0 -0 -0 -1 -0x6927 -0xcbc3c3e4 -256 -256 -0 -0 -0 -2 -0xe375 -0xb654fc2 -256 -256 -1 -0 -0 -1 -0xe3f4 -0xb2813dac -256 -256 -1 -0 -0 -1 -0x2af -0xeed7201c -256 -256 -1 -0 -0 -4 -0xd993 -0xa6791c51 -256 -256 -1 -0 -0 -4 -0x174f -0xa131398a -256 -256 -1 -0 -0 -4 -0x64d5 -0x92299e9a -256 -256 -0 -0 -0 -1 -0x7808 -0x6d72469f -256 -256 -0 -0 -0 -3 -0xb6c0 -0xe09a8f9e -256 -256 -0 -0 -0 -4 -0xb7b2 -0x5ea15d41 -256 -256 -0 -0 -0 -1 -0x7838 -0x1fecf86 -256 -256 -0 -0 -0 -2 -0x74a1 -0x9a242cef -256 -256 -1 -0 -0 -1 -0x79bc -0xd928c515 -256 -256 -0 -0 -0 -3 -0x26a -0xc92de116 -256 -256 -0 -0 -0 -2 -0xaf46 -0x7a19d13 -256 -256 -0 -0 -0 -1 -0xe887 -0x5e2fc537 -256 -256 -1 -0 -0 -2 -0xfbb -0x80137b04 -256 -256 -1 -0 -0 -2 -0x211c -0x9acdf4c2 -256 -256 -1 -0 -0 -3 -0x662e -0x54b2ecd8 -256 -256 -1 -0 -0 -1 -0x8b38 -0xd77f4ab6 -256 -256 -0 -0 -0 -4 -0x558c -0xf5a9ec93 -256 -256 -1 -0 -0 -1 -0xc35 -0x59c32201 -256 -256 -1 -0 -0 -1 -0xcca3 -0x235b5552 -256 -256 -1 -0 -0 -3 -0x3e71 -0x89d42842 -256 -256 -1 -0 -0 -3 -0x3bc7 -0xcc2b40f6 -256 -256 -1 -0 -0 -2 -0x9845 -0xe038934f -256 -256 -0 -0 -0 -2 -0x322e -0xd6ab7309 -256 -256 -1 -0 -0 -3 -0x36ac -0xaca4da5 -256 -256 -0 -0 -0 -3 -0x295f -0xc17172c8 -256 -256 -1 -0 -0 -2 -0x4e6f -0x516ade78 -256 -256 -1 -0 -0 -2 -0x5b9f -0xfd8c69c7 -256 -256 -1 -0 -0 -1 -0x3c38 -0x50df5cda -256 -256 -1 -0 -0 -3 -0x18de -0x387654d4 -256 -256 -1 -0 -0 -3 -0xc340 -0x979e59e8 -256 -256 -1 -0 -0 -3 -0x6228 -0x634b84a4 -256 -256 -1 -0 -0 -2 -0x9996 -0x1d6cd81 -256 -256 -1 -0 -0 -1 -0x6b3b -0x46d98d4e -256 -256 -1 -0 -0 -3 -0x17b4 -0x93afda40 -256 -256 -0 -0 -0 -1 -0x410e -0xbacffccb -256 -256 -0 -0 -0 -4 -0x4bb2 -0xd1122297 -256 -256 -1 -0 -0 -3 -0x6937 -0x20012e75 -256 -256 -1 -0 -0 -3 -0xca9e -0x8121b5ba -256 -256 -1 -0 -0 -3 -0xd8c0 -0x41b1740f -256 -256 -1 -0 -0 -1 -0x15da -0xd878af8b -256 -256 -0 -0 -0 -3 -0x5054 -0x6e96529b -256 -256 -1 -0 -0 -4 -0x70a0 -0xfe34eb62 -256 -256 -0 -0 -0 -4 -0x2be2 -0x440b8fa -256 -256 -1 -0 -0 -1 -0xd6fc -0x78f073b5 -256 -256 -1 -0 -0 -4 -0xd33e -0x816cba98 -256 -256 -1 -0 -0 -3 -0x8733 -0x2b947808 -256 -256 -0 -0 -0 -4 -0xf8a1 -0x971d2a94 -256 -256 -1 -0 -0 -4 -0x331e -0xaa5d6095 -256 -256 -1 -0 -0 -4 -0xa5a2 -0x76c4e022 -256 -256 -0 -0 -0 -4 -0xda76 -0xa689254f -256 -256 -1 -0 -0 -1 -0x79cc -0x6e18b561 -256 -256 -0 -0 -0 -4 -0x196b -0xf5424b76 -256 -256 -0 -0 -0 -4 -0xe169 -0xd0d700b6 -256 -256 -1 -0 -0 -4 -0x24d1 -0xd01c7fb1 -256 -256 -0 -0 -0 -3 -0x4b18 -0x97376337 -256 -256 -1 -0 -0 -4 -0x3a4d -0xc9644a91 -256 -256 -0 -0 -0 -3 -0x29de -0x3c78daf9 -256 -256 -1 -0 -0 -2 -0x87db -0xa5869df8 -256 -256 -0 -0 -0 -4 -0x82e -0x7f38d4d4 -256 -256 -0 -0 -0 -2 -0x3935 -0x9f8bacae -256 -256 -0 -0 -0 -3 -0x9208 -0xe2733839 -256 -256 -0 -0 -0 -2 -0x2be5 -0x9b6f71ce -256 -256 -1 -0 -0 -4 -0xf6df -0x75271130 -256 -256 -0 -0 -0 -1 -0x632a -0x8039fd9f -256 -256 -1 -0 -0 -4 -0xf343 -0x8d0bfae9 -256 -256 -0 -0 -0 -3 -0x3243 -0x98678fde -256 -256 -1 -0 -0 -3 -0xf9c1 -0x91b12f55 -256 -256 -1 -0 -0 -4 -0xd63b -0x1c2caf09 -256 -256 -0 -0 -0 -1 -0x72c3 -0x2aa4682a -256 -256 -1 -0 -0 -3 -0x26ca -0x4195bdf1 -256 -256 -0 -0 -0 -3 -0xb855 -0x9bd388c9 -256 -256 -0 -0 -0 -4 -0x88d3 -0xd5e4305b -256 -256 -1 -0 -0 -1 -0x142c -0x91e10d1c -256 -256 -1 -0 -0 -1 -0x8ede -0xcc620259 -256 -256 -1 -0 -0 -3 -0xc2 -0xbe7003a3 -256 -256 -0 -0 -0 -3 -0xc9db -0x55e0a055 -256 -256 -0 -0 -0 -4 -0x3e86 -0x976cb4b7 -256 -256 -0 -0 -0 -2 -0xfc61 -0x27a4c468 -256 -256 -1 -0 -0 -4 -0xe19a -0x7448c86d -256 -256 -1 -0 -0 -3 -0xdaa4 -0x59ea9bfa -256 -256 -1 -0 -0 -3 -0x4c79 -0x8e7d89b -256 -256 -0 -0 -0 -4 -0xf950 -0x53c9fd66 -256 -256 -1 -0 -0 -3 -0x3fec -0x84230094 -256 -256 -0 -0 -0 -2 -0x6455 -0x508c59c1 -256 -256 -1 -0 -0 -2 -0xbf65 -0x675608fb -256 -256 -0 -0 -0 -2 -0xa4f8 -0xbf9c5f1e -256 -256 -0 -0 -0 -3 -0xa531 -0xcc5efecc -256 -256 -1 -0 -0 -1 -0x2a40 -0x4d60f791 -256 -256 -0 -0 -0 -4 -0x26af -0x980fb4a0 -256 -256 -0 -0 -0 -3 -0xf4f9 -0xcb7d1247 -256 -256 -1 -0 -0 -2 -0xb15e -0x30ba2492 -256 -256 -1 -0 -0 -4 -0xd91c -0x252590a2 -256 -256 -1 -0 -0 -1 -0x7222 -0xde4f2c0 -256 -256 -1 -0 -0 -4 -0xc3e8 -0x4a0eff32 -256 -256 -1 -0 -0 -2 -0x22ab -0x90be6706 -256 -256 -0 -0 -0 -2 -0x6781 -0x3e0ec43 -256 -256 -1 -0 -0 -2 -0xf6b6 -0x5e13365d -256 -256 -1 -0 -0 -3 -0xc182 -0x4cc2655b -256 -256 -1 -0 -0 -2 -0x35a6 -0x1453281d -256 -256 -0 -0 -0 -1 -0x8a5f -0x91f49ed1 -256 -256 -0 -0 -0 -4 -0x7e5c -0xf28a2a17 -256 -256 -1 -0 -0 -2 -0x29a7 -0xc986ae78 -256 -256 -1 -0 -0 -2 -0xfc1a -0x84ff5887 -256 -256 -0 -0 -0 -3 -0xe624 -0x73dfaf62 -256 -256 -1 -0 -0 -2 -0x3428 -0xb862a9fd -256 -256 -0 -0 -0 -4 -0x403c -0x7ed4ac83 -256 -256 -0 -0 -0 -1 -0x305 -0xfdb845d3 -256 -256 -0 -0 -0 -4 -0x812 -0xdff72396 -256 -256 -1 -0 -0 -4 -0x93e5 -0x6f9ab99a -256 -256 -1 -0 -0 -1 -0xa2c -0x727371f7 -256 -256 -1 -0 -0 -3 -0xa9ba -0xf142f07d -256 -256 -0 -0 -0 -2 -0x51f9 -0x380b39b5 -256 -256 -1 -0 -0 -4 -0x2daf -0xa880538f -256 -256 -1 -0 -0 -2 -0xeea5 -0x2d2e1eca -256 -256 -0 -0 -0 -4 -0xe7c0 -0x83a2cb7e -256 -256 -1 -0 -0 -2 -0xa5a4 -0x7647128 -256 -256 -0 -0 -0 -1 -0x6d9c -0xc9dda62e -256 -256 -1 -0 -0 -2 -0xbbf9 -0x253dc5ca -256 -256 -1 -0 -0 -3 -0xfdf0 -0xf0f5cff0 -256 -256 -1 -0 -0 -2 -0xfd00 -0xe613187c -256 -256 -0 -0 -0 -2 -0x169a -0x8268f5c0 -256 -256 -0 -0 -0 -4 -0x1170 -0x9d4d1cb5 -256 -256 -1 -0 -0 -3 -0x5ea9 -0xc37b64bb -256 -256 -1 -0 -0 -3 -0x88ea -0xefb2f2ad -256 -256 -0 -0 -0 -3 -0x31f1 -0x183bbbc2 -256 -256 -1 -0 -0 -3 -0xa6b5 -0x715ec344 -256 -256 -0 -0 -0 -2 -0x18a5 -0xd5e137c2 -256 -256 -0 -0 -0 -3 -0x25c -0xc1f59afd -256 -256 -0 -0 -0 -4 -0xcfd1 -0x2fad1cd6 -256 -256 -1 -0 -0 -1 -0x838b -0x2a3f07ee -256 -256 -0 -0 -0 -3 -0x3b8d -0x51c21499 -256 -256 -0 -0 -0 -4 -0x1d5a -0xf21081af -256 -256 -0 -0 -0 -4 -0xdda2 -0x2740a0d6 -256 -256 -1 -0 -0 -1 -0xe7c7 -0xe5558a96 -256 -256 -0 -0 -0 -1 -0x2469 -0x650a67d2 -256 -256 -1 -0 -0 -1 -0x5bdb -0x55576469 -256 -256 -0 -0 -0 -1 -0x9479 -0x75975267 -256 -256 -1 -0 -0 -1 -0xb394 -0x6bc3edc4 -256 -256 -1 -0 -0 -1 -0x2184 -0x73998ea8 -256 -256 -1 -0 -0 -4 -0xbc53 -0xdd02ecd3 -256 -256 -1 -0 -0 -4 -0x8d2e -0xfb8cf166 -256 -256 -0 -0 -0 -4 -0xe65e -0x10faffb9 -256 -256 -0 -0 -0 -3 -0x75a6 -0xe5f2ede9 -256 -256 -1 -0 -0 -2 -0xd42a -0x790145 -256 -256 -1 -0 -0 -4 -0xad1f -0x2d34f479 -256 -256 -1 -0 -0 -2 -0x24cc -0xf0137a91 -256 -256 -1 -0 -0 -1 -0xe4d2 -0xde7761d5 -256 -256 -0 -0 -0 -3 -0xb86a -0xab08c106 -256 -256 -1 -0 -0 -4 -0x50e0 -0x490b1795 -256 -256 -0 -0 -0 -2 -0xda96 -0xc283e3c4 -256 -256 -1 -0 -0 -4 -0x367e -0x8cef1014 -256 -256 -1 -0 -0 -1 -0x5805 -0xd690f6c6 -256 -256 -1 -0 -0 -4 -0x64ab -0xe5c71bc4 -256 -256 -1 -0 -0 -3 -0x34ad -0x19c3d13 -256 -256 -1 -0 -0 -1 -0xee73 -0x53c35c83 -256 -256 -0 -0 -0 -3 -0x622 -0x6b532f1d -256 -256 -1 -0 -0 -3 -0x6baf -0x6b450257 -256 -256 -0 -0 -0 -4 -0x3c1d -0xd520ed8c -256 -256 -1 -0 -0 -4 -0x98b2 -0x1a5becec -256 -256 -0 -0 -0 -1 -0x5297 -0xb50887d -256 -256 -1 -0 -0 -2 -0x274c -0x7799b2a5 -256 -256 -0 -0 -0 -2 -0x3a5c -0xa8c2fbf0 -256 -256 -1 -0 -0 -1 -0x8fe2 -0xad6693f2 -256 -256 -0 -0 -0 -1 -0xfa2 -0x7bce7c33 -256 -256 -0 -0 -0 -3 -0x3226 -0xebf05ccb -256 -256 -0 -0 -0 -2 -0x2b3e -0xb6381feb -256 -256 -0 -0 -0 -4 -0x26e0 -0xe02da299 -256 -256 -0 -0 -0 -3 -0xba09 -0x733266f2 -256 -256 -0 -0 -0 -1 -0x2edf -0xcb23ba03 -256 -256 -1 -0 -0 -4 -0x5700 -0x5657f528 -256 -256 -0 -0 -0 -3 -0xd492 -0x1e20d207 -256 -256 -0 -0 -0 -3 -0x29b6 -0x8cc821 -256 -256 -0 -0 -0 -2 -0xe90e -0x24b7f2db -256 -256 -1 -0 -0 -2 -0xbc26 -0x2ab5920d -256 -256 -0 -0 -0 -1 -0x6007 -0xaf80aab8 -256 -256 -1 -0 -0 -1 -0x306e -0xc26f9283 -256 -256 -0 -0 -0 -2 -0xa57e -0x71175ec5 -256 -256 -0 -0 -0 -2 -0x5218 -0xc692496c -256 -256 -1 -0 -0 -3 -0xe529 -0x35e1538f -256 -256 -1 -0 -0 -2 -0xbdc -0xcfa14a8d -256 -256 -0 -0 -0 -2 -0x42d3 -0xbb29077e -256 -256 -1 -0 -0 -4 -0x769 -0x6b07012a -256 -256 -0 -0 -0 -2 -0x73e4 -0xdf968ba7 -256 -256 -0 -0 -0 -1 -0xab97 -0xfc7c171b -256 -256 -1 -0 -0 -3 -0x46da -0xd88eca23 -256 -256 -1 -0 -0 -1 -0xcd3d -0xa80f2b5 -256 -256 -1 -0 -0 -3 -0x4842 -0xcccd35d3 -256 -256 -1 -0 -0 -1 -0x9092 -0x43d12b88 -256 -256 -0 -0 -0 -1 -0x94eb -0xad83f8b0 -256 -256 -1 -0 -0 -2 -0xb238 -0xb502f9fc -256 -256 -1 -0 -0 -2 -0x9419 -0xdd51a69e -256 -256 -0 -0 -0 -4 -0xdaa2 -0x20335bfa -256 -256 -1 -0 -0 -2 -0x5ffa -0xad74885 -256 -256 -1 -0 -0 -2 -0xfa5c -0x806fd303 -256 -256 -1 -0 -0 -4 -0xb3e3 -0x6a1c48eb -256 -256 -0 -0 -0 -1 -0x8ef1 -0x2af6acf1 -256 -256 -1 -0 -0 -4 -0xf99b -0x968dc822 -256 -256 -1 -0 -0 -2 -0xb7c4 -0x42008ced -256 -256 -1 -0 -0 -2 -0xbbfb -0x3dc459dc -256 -256 -1 -0 -0 -2 -0xd6dd -0x59f4bc70 -256 -256 -0 -0 -0 -1 -0x30b7 -0xfaaa0f46 -256 -256 -1 -0 -0 -3 -0x9e12 -0xda3e7cbc -256 -256 -0 -0 -0 -4 -0x6183 -0xbd515dda -256 -256 -0 -0 -0 -1 -0x5767 -0x83e75a05 -256 -256 -0 -0 -0 -2 -0x439f -0xa8158abf -256 -256 -1 -0 -0 -1 -0x7477 -0xbdbf5787 -256 -256 -1 -0 -0 -3 -0xc5ce -0x670c6d03 -256 -256 -1 -0 -0 -3 -0xa142 -0x19335188 -256 -256 -0 -0 -0 -4 -0x36dd -0x5e9fc728 -256 -256 -1 -0 -0 -1 -0x4f58 -0x55c122d5 -256 -256 -0 -0 -0 -2 -0xfa2 -0x68bdf88a -256 -256 -1 -0 -0 -3 -0xd6d3 -0xf393b25b -256 -256 -0 -0 -0 -3 -0x3cc0 -0x95ccab6f -256 -256 -0 -0 -0 -4 -0x58b5 -0xe6d7de8 -256 -256 -1 -0 -0 -3 -0x277a -0x713169bf -256 -256 -1 -0 -0 -3 -0xeed1 -0x5bb70d8c -256 -256 -0 -0 -0 -2 -0x7af4 -0x6151d22c -256 -256 -1 -0 -0 -2 -0xf525 -0x41c44857 -256 -256 -0 -0 -0 -3 -0xa717 -0xefa9c5af -256 -256 -1 -0 -0 -4 -0x2707 -0x62de618c -256 -256 -1 -0 -0 -1 -0x1fa7 -0x534ff6ac -256 -256 -1 -0 -0 -4 -0x639f -0xc4e94485 -256 -256 -0 -0 -0 -3 -0x7fc7 -0x5ca74631 -256 -256 -1 -0 -0 -3 -0xd10a -0x3fd0c691 -256 -256 -0 -0 -0 -3 -0x33c3 -0x4f1dffe6 -256 -256 -1 -0 -0 -3 -0xba4c -0xb56849c1 -256 -256 -0 -0 -0 -1 -0x6925 -0x374e1fee -256 -256 -1 -0 -0 -3 -0x11e2 -0x834a7e22 -256 -256 -0 -0 -0 -4 -0xfb98 -0x51f6f66b -256 -256 -0 -0 -0 -4 -0x39e1 -0x38a56bbf -256 -256 -0 -0 -0 -4 -0x9395 -0xcd9a9165 -256 -256 -0 -0 -0 -3 -0xa776 -0xeecfaf23 -256 -256 -1 -0 -0 -4 -0xc955 -0xb5b15710 -256 -256 -1 -0 -0 -4 -0xc59b -0xc426c992 -256 -256 -0 -0 -0 -3 -0xd7e7 -0x48ba32f8 -256 -256 -0 -0 -0 -2 -0x242c -0xb5689149 -256 -256 -1 -0 -0 -4 -0xbec2 -0x8851831e -256 -256 -0 -0 -0 -1 -0x5af5 -0x11af0755 -256 -256 -1 -0 -0 -4 -0x7499 -0x5e210cef -256 -256 -0 -0 -0 -4 -0xc829 -0x6fea9659 -256 -256 -1 -0 -0 -2 -0x7ddf -0x170642f9 -256 -256 -0 -0 -0 -2 -0xc0a0 -0x82e3dbdd -256 -256 -1 -0 -0 -3 -0x413f -0xf2454e86 -256 -256 -0 -0 -0 -4 -0xb91f -0xa58d16d7 -256 -256 -1 -0 -0 -2 -0xcb6 -0x5b054460 -256 -256 -1 -0 -0 -4 -0xe250 -0x87b27f28 -256 -256 -0 -0 -0 -4 -0x2308 -0xe41d253 -256 -256 -0 -0 -0 -1 -0x83da -0x7da899c1 -256 -256 -0 -0 -0 -1 -0x39da -0x7a90791d -256 -256 -0 -0 -0 -1 -0xd500 -0xf7dee4ce -256 -256 -0 -0 -0 -3 -0x42ea -0x12302317 -256 -256 -1 -0 -0 -2 -0x9800 -0x4e7a9c71 -256 -256 -1 -0 -0 -4 -0x2051 -0xbfabaaa8 -256 -256 -1 -0 -0 -2 -0x353a -0xbdae939f -256 -256 -1 -0 -0 -1 -0x5725 -0x8d250cd6 -256 -256 -0 -0 -0 -3 -0xa850 -0x972de8f -256 -256 -1 -0 -0 -3 -0x1daf -0x22789d4f -256 -256 -1 -0 -0 -3 -0xd2d -0x25d6b2fa -256 -256 -0 -0 -0 -1 -0x5a0f -0xeac83d25 -256 -256 -0 -0 -0 -4 -0xfa88 -0xa596a7dc -256 -256 -0 -0 -0 -3 -0x762b -0x2ea75f60 -256 -256 -1 -0 -0 -1 -0x670e -0x25ef1794 -256 -256 -0 -0 -0 -1 -0xf453 -0xf145fbe6 -256 -256 -1 -0 -0 -3 -0x36aa -0x3fa9f3bd -256 -256 -0 -0 -0 -1 -0x3f3 -0x94c18c10 -256 -256 -1 -0 -0 -1 -0xef3a -0xd7cd6962 -256 -256 -0 -0 -0 -1 -0x26ce -0xe5d8b4da -256 -256 -1 -0 -0 -3 -0xa802 -0x461b63ba -256 -256 -0 -0 -0 -4 -0x1d20 -0x3682cd53 -256 -256 -0 -0 -0 -1 -0x98e2 -0xf0bf4318 -256 -256 -1 -0 -0 -2 -0x6706 -0xc9ac7db3 -256 -256 -0 -0 -0 -4 -0x753c -0x124936dd -256 -256 -1 -0 -0 -3 -0x3af3 -0xd9abce8d -256 -256 -0 -0 -0 -4 -0x21d2 -0x2c683024 -256 -256 -0 -0 -0 -1 -0x75f4 -0x3262d21 -256 -256 -0 -0 -0 -1 -0xf6d5 -0x5fbc8bc4 -256 -256 -1 -0 -0 -2 -0x6965 -0x2fa46e64 -256 -256 -1 -0 -0 -2 -0x81a4 -0x305659b3 -256 -256 -0 -0 -0 -1 -0xae29 -0x12ab694d -256 -256 -1 -0 -0 -1 -0xeed3 -0x444fd63a -256 -256 -1 -0 -0 -2 -0x5e60 -0x6c8aaa2 -256 -256 -1 -0 -0 -1 -0x7d48 -0x579e0baf -256 -256 -1 -0 -0 -2 -0x4d49 -0xad06f7cd -256 -256 -1 -0 -0 -3 -0x4a46 -0x40055e4b -256 -256 -0 -0 -0 -3 -0x47de -0xdde86a0a -256 -256 -0 -0 -0 -4 -0xa35a -0x9dfe58ae -256 -256 -1 -0 -0 -2 -0x4250 -0xfcc64dc6 -256 -256 -1 -0 -0 -4 -0x9297 -0x52d28750 -256 -256 -0 -0 -0 -1 -0x8b2e -0xdbbeb958 -256 -256 -0 -0 -0 -4 -0x2341 -0xb060bb7d -256 -256 -1 -0 -0 -3 -0xd32a -0xd27b0446 -256 -256 -0 -0 -0 -1 -0x573f -0x567d67d5 -256 -256 -1 -0 -0 -2 -0x773a -0xdd10d671 -256 -256 -0 -0 -0 -3 -0x6e4 -0xae5a4b55 -256 -256 -0 -0 -0 -4 -0x1c18 -0x5ae1d820 -256 -256 -1 -0 -0 -1 -0xce52 -0x8fb517a9 -256 -256 -1 -0 -0 -4 -0x5bcb -0xbb8d036a -256 -256 -1 -0 -0 -2 -0x8830 -0xf779d827 -256 -256 -1 -0 -0 -3 -0xfacd -0x3d18e75c -256 -256 -0 -0 -0 -4 -0x7d25 -0x14104d90 -256 -256 -0 -0 -0 -1 -0x28c7 -0xaee19585 -256 -256 -0 -0 -0 -3 -0xbae2 -0x86d88b52 -256 -256 -0 -0 -0 -4 -0xdb64 -0xda51d81d -256 -256 -1 -0 -0 -4 -0x7aec -0xab81879e -256 -256 -0 -0 -0 -1 -0xab43 -0x54490e15 -256 -256 -0 -0 -0 -2 -0x3ddf -0x273db5f2 -256 -256 -1 -0 -0 -2 -0x2aba -0xfb9caf3f -256 -256 -1 -0 -0 -2 -0x50b5 -0x36d0cfb5 -256 -256 -1 -0 -0 -3 -0x8bd8 -0xb0cf97f1 -256 -256 -0 -0 -0 -3 -0x107b -0xa939a533 -256 -256 -0 -0 -0 -1 -0xd875 -0xde020b07 -256 -256 -1 -0 -0 -3 -0xb460 -0xd64f346d -256 -256 -1 -0 -0 -2 -0x740b -0x23694d21 -256 -256 -1 -0 -0 -4 -0xc26a -0xbdd480e8 -256 -256 -1 -0 -0 -4 -0xd9b2 -0x67051e08 -256 -256 -0 -0 -0 -3 -0xda17 -0xd7b4a254 -256 -256 -1 -0 -0 -3 -0xa80a -0xc7e631f5 -256 -256 -0 -0 -0 -1 -0x7135 -0x962adffd -256 -256 -0 -0 -0 -4 -0xb02b -0xbd21f43e -256 -256 -1 -0 -0 -1 -0xbd4c -0x826a9ad3 -256 -256 -0 -0 -0 -3 -0xfccd -0xe6d2b0c7 -256 -256 -0 -0 -0 -1 -0xaba7 -0x807c93ce -256 -256 -1 -0 -0 -1 -0x71e5 -0x3f82cfe7 -256 -256 -0 -0 -0 -4 -0xad3f -0x7947d2d0 -256 -256 -0 -0 -0 -2 -0x26a8 -0x7d1dcd3e -256 -256 -0 -0 -0 -2 -0x942d -0x4bfad0d2 -256 -256 -0 -0 -0 -4 -0xe90d -0x2c9b4b4c -256 -256 -1 -0 -0 -4 -0xd0d8 -0x5a73058e -256 -256 -0 -0 -0 -2 -0x82 -0x9ace5e65 -256 -256 -1 -0 -0 -4 -0x8ff2 -0x8730de -256 -256 -1 -0 -0 -3 -0x5df1 -0x214a67f9 -256 -256 -0 -0 -0 -2 -0x22c3 -0x7c86a1ec -256 -256 -1 -0 -0 -1 -0x569d -0x8251d058 -256 -256 -1 -0 -0 -1 -0xedd1 -0x93a16c1f -256 -256 -1 -0 -0 -1 -0xba1 -0x636d79c3 -256 -256 -0 -0 -0 -3 -0x8ab -0xf80dd1dc -256 -256 -0 -0 -0 -4 -0x444e -0xf2d622eb -256 -256 -0 -0 -0 -1 -0x53d7 -0x26f331c0 -256 -256 -0 -0 -0 -2 -0x5dfc -0xeeeb4d0e -256 -256 -1 -0 -0 -2 -0x7544 -0xfdf3e521 -256 -256 -0 -0 -0 -3 -0xf1ac -0x3ef4c49d -256 -256 -1 -0 -0 -3 -0x607 -0x7567380a -256 -256 -0 -0 -0 -4 -0x38ad -0x3e28fd17 -256 -256 -0 -0 -0 -4 -0x9635 -0xd05f076a -256 -256 -0 -0 -0 -1 -0x1bf9 -0x4221182f -256 -256 -1 -0 -0 -3 -0x5c92 -0xbad5961 -256 -256 -0 -0 -0 -4 -0xf97e -0x8c762b90 -256 -256 -0 -0 -0 -1 -0x1c8a -0x3816432f -256 -256 -0 -0 -0 -1 -0x7d91 -0xefbd6a86 -256 -256 -1 -0 -0 -1 -0xd5fa -0xf7990c98 -256 -256 -1 -0 -0 -3 -0x3552 -0xa27a9e55 -256 -256 -0 -0 -0 -3 -0xf576 -0x5f14f909 -256 -256 -1 -0 -0 -2 -0x96f6 -0x917358ad -256 -256 -1 -0 -0 -3 -0x5670 -0xbda50e27 -256 -256 -1 -0 -0 -3 -0xcf0f -0xed616275 -256 -256 -1 -0 -0 -1 -0xf0d1 -0x45c3a836 -256 -256 -0 -0 -0 -2 -0x66b5 -0xc92932b -256 -256 -1 -0 -0 -3 -0xe024 -0xdd5167df -256 -256 -0 -0 -0 -3 -0x329f -0x71a4599d -256 -256 -1 -0 -0 -3 -0x57bc -0x8be87656 -256 -256 -0 -0 -0 -3 -0xd895 -0x91318a4b -256 -256 -0 -0 -0 -4 -0xbcb0 -0x21604bcf -256 -256 -0 -0 -0 -1 -0x585b -0x2babacc6 -256 -256 -1 -0 -0 -4 -0xfb70 -0xb1a02a45 -256 -256 -1 -0 -0 -1 -0x3939 -0xfdc84711 -256 -256 -0 -0 -0 -1 -0xcc50 -0xf9dc09f3 -256 -256 -0 -0 -0 -2 -0x2eb8 -0x963cb96e -256 -256 -1 -0 -0 -2 -0xab49 -0xa594005e -256 -256 -1 -0 -0 -2 -0x357d -0xe35195be -256 -256 -1 -0 -0 -1 -0x8109 -0xd5b70ced -256 -256 -0 -0 -0 -1 -0xa380 -0x6a37d034 -256 -256 -1 -0 -0 -2 -0x7477 -0xd8f43be4 -256 -256 -1 -0 -0 -1 -0xb206 -0xdf46a9f8 -256 -256 -0 -0 -0 -3 -0xe59b -0xa6caca80 -256 -256 -1 -0 -0 -1 -0x5b9b -0xf3328dea -256 -256 -1 -0 -0 -4 -0xe69c -0xe2a3b11a -256 -256 -0 -0 -0 -2 -0x76bb -0x4b7cf86a -256 -256 -0 -0 -0 -4 -0xb2b2 -0x1e31af75 -256 -256 -1 -0 -0 -2 -0x9c7f -0x30afc51c -256 -256 -0 -0 -0 -1 -0x58b0 -0xd9c9236 -256 -256 -0 -0 -0 -1 -0x7050 -0xf267001a -256 -256 -1 -0 -0 -2 -0xa576 -0x6134ddc -256 -256 -1 -0 -0 -1 -0x4d12 -0x676dafeb -256 -256 -1 -0 -0 -1 -0x952d -0x2d4503bb -256 -256 -0 -0 -0 -1 -0x7fc9 -0xeafbad46 -256 -256 -0 -0 -0 -3 -0xe67a -0xf3d57d1c -256 -256 -1 -0 -0 -4 -0x8af8 -0xf6a1c1d6 -256 -256 -1 -0 -0 -2 -0x74d3 -0x5ccc4f87 -256 -256 -1 -0 -0 -2 -0xda38 -0x2ef5b396 -256 -256 -0 -0 -0 -3 -0x5114 -0x36f025e4 -256 -256 -1 -0 -0 -1 -0xc8ab -0xbb6de433 -256 -256 -1 -0 -0 -3 -0x8ccc -0xde0f3a46 -256 -256 -0 -0 -0 -4 -0xe336 -0x5284e4f7 -256 -256 -0 -0 -0 -2 -0xa9d4 -0xa1a9d534 -256 -256 -0 -0 -0 -2 -0xf6a0 -0x90131d00 -256 -256 -0 -0 -0 -4 -0xb8bc -0x89408dde -256 -256 -0 -0 -0 -2 -0x36da -0x1975b0c1 -256 -256 -0 -0 -0 -2 -0x7d50 -0xb3583faa -256 -256 -0 -0 -0 -2 -0x10d2 -0x210fa9bc -256 -256 -1 -0 -0 -1 -0x9a07 -0x2bb75465 -256 -256 -1 -0 -0 -2 -0x843d -0x28a7a127 -256 -256 -0 -0 -0 -3 -0x70c9 -0x957aa214 -256 -256 -1 -0 -0 -2 -0xd799 -0x1e05a4ac -256 -256 -0 -0 -0 -4 -0xfb42 -0xa2fc1109 -256 -256 -1 -0 -0 -2 -0x8998 -0x67b8a6a6 -256 -256 -0 -0 -0 -1 -0x19d6 -0xfa2b807 -256 -256 -1 -0 -0 -2 -0x6767 -0x1c5c6752 -256 -256 -0 -0 -0 -1 -0xa671 -0xd55eb62c -256 -256 -1 -0 -0 -4 -0xb36b -0x9a85964 -256 -256 -0 -0 -0 -2 -0xe6c3 -0xb05833b -256 -256 -0 -0 -0 -2 -0xb306 -0xab26c19 -256 -256 -1 -0 -0 -2 -0xd8b7 -0x84a7ce11 -256 -256 -1 -0 -0 -1 -0xfaaa -0xbe41309a -256 -256 -0 -0 -0 -1 -0x5f28 -0xefc3e09f -256 -256 -0 -0 -0 -2 -0x29c -0x84c2e952 -256 -256 -1 -0 -0 -4 -0xf692 -0xac2ee65d -256 -256 -1 -0 -0 -1 -0x4de0 -0x997f9cfd -256 -256 -0 -0 -0 -2 -0xa5f0 -0xc73e5370 -256 -256 -1 -0 -0 -1 -0x4ad0 -0x6a2d085b -256 -256 -1 -0 -0 -2 -0x9bb8 -0x5fde1bb -256 -256 -1 -0 -0 -2 -0x10b6 -0xcf2bf6a5 -256 -256 -0 -0 -0 -3 -0x9f0d -0x4fdec969 -256 -256 -0 -0 -0 -3 -0xb4cf -0x16146dfd -256 -256 -1 -0 -0 -4 -0x17c4 -0x515abb61 -256 -256 -1 -0 -0 -3 -0x65e4 -0x1392d799 -256 -256 -1 -0 -0 -2 -0xcaec -0x3776cec3 -256 -256 -0 -0 -0 -2 -0x9674 -0xd686f2ad -256 -256 -0 -0 -0 -1 -0x86c7 -0xff22ca76 -256 -256 -0 -0 -0 -1 -0xe398 -0xa840b10b -256 -256 -0 -0 -0 -2 -0xa8d8 -0x20456c9b -256 -256 -1 -0 -0 -3 -0xad25 -0x53766903 -256 -256 -1 -0 -0 -1 -0x1cb1 -0xed26f3f1 -256 -256 -0 -0 -0 -1 -0xaa4c -0xb74ed0a -256 -256 -0 -0 -0 -1 -0xaae9 -0xfff3f80d -256 -256 -0 -0 -0 -4 -0x257c -0xec5a6783 -256 -256 -0 -0 -0 -1 -0xbb8c -0x6df89e2a -256 -256 -0 -0 -0 -4 -0xf003 -0x311b2768 -256 -256 -1 -0 -0 -3 -0x42fd -0x7cf064eb -256 -256 -1 -0 -0 -2 -0x1ea1 -0xb1181b7a -256 -256 -0 -0 -0 -1 -0xc893 -0x9e921e33 -256 -256 -0 -0 -0 -3 -0x219d -0x8eeebd3f -256 -256 -0 -0 -0 -3 -0xca -0x371daa62 -256 -256 -0 -0 -0 -3 -0xc23c -0x80f4781f -256 -256 -1 -0 -0 -2 -0xc76f -0x13fe9be5 -256 -256 -0 -0 -0 -2 -0xf2f5 -0xb196c2d3 -256 -256 -0 -0 -0 -2 -0x3373 -0xb370d006 -256 -256 -1 -0 -0 -1 -0xd35a -0xa47f7a7f -256 -256 -1 -0 -0 -3 -0x8c40 -0x8284de4e -256 -256 -0 -0 -0 -2 -0xa23f -0x6ba3d1c4 -256 -256 -0 -0 -0 -3 -0x12be -0x2648d3e2 -256 -256 -0 -0 -0 -4 -0x88e5 -0xb1631b06 -256 -256 -1 -0 -0 -3 -0xc7c -0x7869d600 -256 -256 -1 -0 -0 -2 -0xe64e -0xe489cbf8 -256 -256 -1 -0 -0 -2 -0x4628 -0x47615909 -256 -256 -1 -0 -0 -4 -0xf463 -0x365cdd94 -256 -256 -1 -0 -0 -2 -0xf500 -0x1e9bcc18 -256 -256 -0 -0 -0 -3 -0x2a96 -0x9bda14ce -256 -256 -0 -0 -0 -2 -0x911b -0x25e41824 -256 -256 -0 -0 -0 -4 -0x81b9 -0x2407c1fa -256 -256 -1 -0 -0 -1 -0xc0fe -0x9d96411b -256 -256 -0 -0 -0 -3 -0xfbca -0x92e053d6 -256 -256 -1 -0 -0 -3 -0xc3c3 -0x528d41fd -256 -256 -0 -0 -0 -3 -0x32f7 -0xe88bd438 -256 -256 -1 -0 -0 -1 -0x8508 -0x76db8b9e -256 -256 -0 -0 -0 -4 -0xa886 -0xc008dad9 -256 -256 -0 -0 -0 -3 -0x16a4 -0xda2ac9d2 -256 -256 -1 -0 -0 -3 -0xeddd -0xac0a1dea -256 -256 -1 -0 -0 -4 -0x79c6 -0x2e49dc53 -256 -256 -1 -0 -0 -2 -0x21ab -0x6d765f11 -256 -256 -0 -0 -0 -1 -0x8c00 -0xcb2f1f71 -256 -256 -1 -0 -0 -2 -0x5ba6 -0xdfc861e6 -256 -256 -1 -0 -0 -1 -0x6209 -0x6650258a -256 -256 -0 -0 -0 -4 -0x3724 -0xbacb5b0 -256 -256 -0 -0 -0 -3 -0x3106 -0x283d5744 -256 -256 -0 -0 -0 -4 -0x1edc -0x9d8a2fb1 -256 -256 -0 -0 -0 -2 -0x535e -0x399689b2 -256 -256 -0 -0 -0 -4 -0x1bcc -0xd2cbb3a -256 -256 -0 -0 -0 -3 -0xb0a0 -0x6c5943d1 -256 -256 -1 -0 -0 -2 -0x38db -0x89e16cb3 -256 -256 -1 -0 -0 -2 -0x8698 -0x6c764c4a -256 -256 -0 -0 -0 -2 -0x73b6 -0xdfeb6432 -256 -256 -1 -0 -0 -4 -0x3d9c -0x49018c9d -256 -256 -1 -0 -0 -4 -0xd44f -0x4023c7bd -256 -256 -0 -0 -0 -3 -0x164a -0xb18b3a4e -256 -256 -0 -0 -0 -2 -0x8cb8 -0xe6f4e13f -256 -256 -1 -0 -0 -2 -0x995a -0x29def0d6 -256 -256 -1 -0 -0 -3 -0x1859 -0xec65d3b2 -256 -256 -0 -0 -0 -4 -0x207 -0xb86eb338 -256 -256 -0 -0 -0 -2 -0xc07f -0x969fd25 -256 -256 -0 -0 -0 -1 -0x8a77 -0xc6a189ca -256 -256 -0 -0 -0 -3 -0xb10e -0x1aa345aa -256 -256 -0 -0 -0 -3 -0xa28d -0x50822c67 -256 -256 -0 -0 -0 -4 -0x982d -0x9aacc8d2 -256 -256 -0 -0 -0 -2 -0x80ea -0x56c07cbb -256 -256 -0 -0 -0 -4 -0xef97 -0xbf08c77a -256 -256 -1 -0 -0 -3 -0x6344 -0x4e0faa36 -256 -256 -0 -0 -0 -1 -0xa7f0 -0x8f90d275 -256 -256 -0 -0 -0 -1 -0x52a3 -0x33b304f7 -256 -256 -0 -0 -0 -2 -0x1cc6 -0x6bc8e499 -256 -256 -1 -0 -0 -1 -0x15f3 -0xe0f0b4b4 -256 -256 -0 -0 -0 -3 -0xb411 -0xcf4ab30f -256 -256 -0 -0 -0 -2 -0x41d9 -0x512ab7c3 -256 -256 -1 -0 -0 -3 -0x6323 -0x2b6da38e -256 -256 -0 -0 -0 -2 -0x5899 -0xdccb2e6e -256 -256 -0 -0 -0 -4 -0x60bf -0x3ae8d0e6 -256 -256 -1 -0 -0 -1 -0xc102 -0x68f68b45 -256 -256 -0 -0 -0 -1 -0x435a -0x6cf46470 -256 -256 -0 -0 -0 -3 -0x8fdb -0x37e694c8 -256 -256 -1 -0 -0 -1 -0x13d8 -0x4a117bcb -256 -256 -1 -0 -0 -1 -0x12fd -0x25c1c90d -256 -256 -0 -0 -0 -3 -0x48cd -0xb2ddc665 -256 -256 -0 -0 -0 -4 -0x8bf9 -0xea456c22 -256 -256 -0 -0 -0 -4 -0xe31d -0xce7f1ae5 -256 -256 -0 -0 -0 -2 -0x4d36 -0x6a2b6146 -256 -256 -1 -0 -0 -4 -0x4dde -0x57d141d8 -256 -256 -1 -0 -0 -4 -0x31c9 -0xc334e9f1 -256 -256 -0 -0 -0 -1 -0x193a -0x34f0c678 -256 -256 -1 -0 -0 -3 -0x8cd6 -0x2931d642 -256 -256 -1 -0 -0 -1 -0x673b -0x63e61297 -256 -256 -1 -0 -0 -1 -0xa8d5 -0x1938cf53 -256 -256 -0 -0 -0 -4 -0x95e7 -0x60283522 -256 -256 -1 -0 -0 -3 -0x56cb -0x6753216c -256 -256 -0 -0 -0 -1 -0xf751 -0x1688fe15 -256 -256 -1 -0 -0 -3 -0x58e -0xe22f3bda -256 -256 -1 -0 -0 -2 -0xaa58 -0x20acf762 -256 -256 -0 -0 -0 -2 -0x4a64 -0x69d14271 -256 -256 -1 -0 -0 -1 -0xde97 -0x3dd54837 -256 -256 -0 -0 -0 -4 -0x5fbd -0x9dc19670 -256 -256 -1 -0 -0 -1 -0x3e30 -0x4767e8f0 -256 -256 -0 -0 -0 -4 -0x6065 -0x68456397 -256 -256 -0 -0 -0 -4 -0x9c3e -0x4e76c7ac -256 -256 -1 -0 -0 -4 -0xf65b -0x42e9412 -256 -256 -1 -0 -0 -3 -0xfa50 -0x93dd2935 -256 -256 -0 -0 -0 -4 -0xb714 -0xa9517b64 -256 -256 -1 -0 -0 -4 -0x4dcb -0xd3c7471 -256 -256 -0 -0 -0 -2 -0x58e3 -0x466b5edb -256 -256 -1 -0 -0 -3 -0x8466 -0xf546a3da -256 -256 -0 -0 -0 -1 -0xee19 -0xb1cf0670 -256 -256 -1 -0 -0 -2 -0xe6fa -0x5db28a78 -256 -256 -1 -0 -0 -4 -0x30c6 -0x35370ca1 -256 -256 -1 -0 -0 -3 -0xbc3f -0x99882b04 -256 -256 -1 -0 -0 -2 -0xa8fc -0xc2d2f223 -256 -256 -0 -0 -0 -4 -0xebc7 -0x7844229f -256 -256 -0 -0 -0 -3 -0x3142 -0xdcb7185a -256 -256 -1 -0 -0 -1 -0x27a6 -0xb0a069f -256 -256 -1 -0 -0 -3 -0x11ef -0xea0fba5e -256 -256 -0 -0 -0 -4 -0xc509 -0xb31373b -256 -256 -0 -0 -0 -2 -0xc965 -0xbd0a3178 -256 -256 -1 -0 -0 -4 -0x5057 -0x19374b64 -256 -256 -0 -0 -0 -3 -0xb565 -0xf50e7137 -256 -256 -0 -0 -0 -1 -0x8eb7 -0xa0f4551b -256 -256 -1 -0 -0 -4 -0xb518 -0x5379fc35 -256 -256 -1 -0 -0 -4 -0x127c -0x9c536563 -256 -256 -0 -0 -0 -1 -0xf8ec -0x63a1f2bd -256 -256 -0 -0 -0 -4 -0x7dc -0xcfad58d -256 -256 -0 -0 -0 -2 -0x39cd -0xea33778e -256 -256 -0 -0 -0 -2 -0x28c0 -0x607833fa -256 -256 -1 -0 -0 -3 -0xa800 -0x37d253d5 -256 -256 -1 -0 -0 -4 -0x6922 -0xef6ebaf3 -256 -256 -1 -0 -0 -4 -0xc864 -0x8b05d0b5 -256 -256 -0 -0 -0 -2 -0xd3bd -0x77ea0c2a -256 -256 -0 -0 -0 -3 -0x6170 -0xd6a6221b -256 -256 -1 -0 -0 -4 -0x8839 -0xfe6784a0 -256 -256 -0 -0 -0 -2 -0xf923 -0x88f5f234 -256 -256 -0 -0 -0 -4 -0x42bc -0x2f653a1a -256 -256 -0 -0 -0 -2 -0x7f35 -0x147dbf2e -256 -256 -1 -0 -0 -3 -0x8a61 -0x578bb13 -256 -256 -0 -0 -0 -3 -0x88d9 -0x6771e3a6 -256 -256 -0 -0 -0 -1 -0xdc29 -0xe25d6de2 -256 -256 -0 -0 -0 -1 -0x4e24 -0xc2a25f6d -256 -256 -1 -0 -0 -1 -0x1758 -0xd9cd6202 -256 -256 -1 -0 -0 -2 -0xf5e6 -0xa73ef54f -256 -256 -1 -0 -0 -4 -0xac5e -0x42a1ef92 -256 -256 -0 -0 -0 -3 -0x554e -0x31fa786e -256 -256 -1 -0 -0 -2 -0xc319 -0x70bfb6da -256 -256 -0 -0 -0 -4 -0xd964 -0xe053af92 -256 -256 -0 -0 -0 -4 -0x6ae9 -0x8b83d48e -256 -256 -0 -0 -0 -4 -0x4a91 -0xb4ff4891 -256 -256 -1 -0 -0 -2 -0x696c -0x99ab082f -256 -256 -0 -0 -0 -2 -0xd197 -0x77e852a4 -256 -256 -1 -0 -0 -4 -0x9010 -0xca33fa8d -256 -256 -0 -0 -0 -3 -0x96cd -0x27c0ff5d -256 -256 -1 -0 -0 -1 -0x700a -0xc662328b -256 -256 -0 -0 -0 -4 -0x31ca -0x6ad95759 -256 -256 -1 -0 -0 -2 -0x4b75 -0x9c386ed8 -256 -256 -1 -0 -0 -2 -0xd71d -0x80b98383 -256 -256 -1 -0 -0 -4 -0x8832 -0x42ea40b7 -256 -256 -1 -0 -0 -4 -0x35c5 -0x408fdaba -256 -256 -1 -0 -0 -3 -0xc6f7 -0x9140a42f -256 -256 -0 -0 -0 -3 -0x9437 -0xfa566fed -256 -256 -1 -0 -0 -1 -0x5aa1 -0xe22587cd -256 -256 -1 -0 -0 -3 -0xec66 -0xd5ee3fd0 -256 -256 -0 -0 -0 -4 -0xed82 -0x127cc0fc -256 -256 -0 -0 -0 -4 -0x896b -0x7e345fa9 -256 -256 -1 -0 -0 -2 -0x7f8f -0xee47711e -256 -256 -1 -0 -0 -3 -0x495d -0x6bc0f91d -256 -256 -0 -0 -0 -1 -0xa27c -0xc1e9188a -256 -256 -0 -0 -0 -3 -0x9de1 -0x101934d -256 -256 -1 -0 -0 -2 -0x1034 -0x3af981c9 -256 -256 -0 -0 -0 -4 -0x485d -0xf9ba3d00 -256 -256 -0 -0 -0 -3 -0xeea6 -0xf683dbc4 -256 -256 -1 -0 -0 -2 -0x3395 -0xdb3bf49a -256 -256 -0 -0 -0 -4 -0xc3eb -0xd44b3c71 -256 -256 -0 -0 -0 -2 -0x76b0 -0x3f3fcfcd -256 -256 -0 -0 -0 -2 -0x3438 -0x5d287c16 -256 -256 -1 -0 -0 -2 -0xce09 -0x574a75d6 -256 -256 -0 -0 -0 -3 -0x630c -0xa8b616d2 -256 -256 -1 -0 -0 -3 -0x9c2 -0x5e7d775 -256 -256 -0 -0 -0 -1 -0xf8c7 -0x655ab873 -256 -256 -0 -0 -0 -4 -0x9bc9 -0xe0edb9b4 -256 -256 -0 -0 -0 -1 -0x1984 -0xe87768e9 -256 -256 -1 -0 -0 -4 -0x7faa -0xb60b61df -256 -256 -0 -0 -0 -2 -0x1b05 -0x81b26f9 -256 -256 -1 -0 -0 -2 -0x3f19 -0xac9a6a74 -256 -256 -0 -0 -0 -1 -0x82bd -0xa686f70c -256 -256 -0 -0 -0 -1 -0x4555 -0xcc43f6ec -256 -256 -1 -0 -0 -2 -0x3d07 -0x1b942e40 -256 -256 -1 -0 -0 -1 -0xaee7 -0x9b885bb4 -256 -256 -1 -0 -0 -2 -0x54b -0xe6b208ff -256 -256 -0 -0 -0 -4 -0x475f -0x83abcc63 -256 -256 -0 -0 -0 -1 -0xb2eb -0x1b4390d7 -256 -256 -0 -0 -0 -2 -0x16a7 -0x11e89a20 -256 -256 -0 -0 -0 -2 -0xf756 -0xb64e71a9 -256 -256 -0 -0 -0 -4 -0xc38 -0x92dca712 -256 -256 -1 -0 -0 -2 -0x9f9f -0x773c4091 -256 -256 -0 -0 -0 -2 -0x9fce -0x8f8ae3f5 -256 -256 -1 -0 -0 -4 -0x9a02 -0xa1a112e9 -256 -256 -1 -0 -0 -2 -0xb36f -0x3e4abb58 -256 -256 -1 -0 -0 -1 -0xa30c -0x2c86c280 -256 -256 -0 -0 -0 -3 -0xe75c -0x13d0164b -256 -256 -0 -0 -0 -1 -0x3914 -0x7fa0e83b -256 -256 -1 -0 -0 -4 -0x66ce -0x5b569faf -256 -256 -0 -0 -0 -4 -0x555b -0xcaea2004 -256 -256 -1 -0 -0 -2 -0x5aea -0xb096b338 -256 -256 -1 -0 -0 -2 -0x7149 -0xeff0c06 -256 -256 -1 -0 -0 -4 -0x9707 -0x6489004 -256 -256 -0 -0 -0 -4 -0xd37 -0xf6ef28e4 -256 -256 -0 -0 -0 -3 -0x302 -0x5100949c -256 -256 -1 -0 -0 -2 -0x34ff -0xf85437f3 -256 -256 -1 -0 -0 -4 -0xc00d -0x7b8f1987 -256 -256 -1 -0 -0 -4 -0x2d3a -0x3de92c33 -256 -256 -0 -0 -0 -3 -0x55f8 -0xdfe1d6c3 -256 -256 -1 -0 -0 -3 -0xe17f -0xe60fefc9 -256 -256 -0 -0 -0 -2 -0xff40 -0xec2d3cd4 -256 -256 -0 -0 -0 -3 -0x5e34 -0xabdefe45 -256 -256 -0 -0 -0 -2 -0xacf6 -0xe884f090 -256 -256 -1 -0 -0 -1 -0xe013 -0x97035c47 -256 -256 -1 -0 -0 -1 -0x42e3 -0xf8fac71a -256 -256 -1 -0 -0 -3 -0x534 -0x910f7f9a -256 -256 -1 -0 -0 -3 -0xd2fd -0xd635c592 -256 -256 -1 -0 -0 -1 -0x9ff8 -0x1e2bf46c -256 -256 -0 -0 -0 -1 -0xd77d -0x62ad0c56 -256 -256 -1 -0 -0 -1 -0x6a56 -0x15984bbf -256 -256 -0 -0 -0 -1 -0x7daa -0xeb1c4a8d -256 -256 -0 -0 -0 -1 -0x88ac -0x65de649d -256 -256 -1 -0 -0 -4 -0xf245 -0x348af859 -256 -256 -1 -0 -0 -2 -0xf954 -0xb39207d9 -256 -256 -0 -0 -0 -2 -0xd1e6 -0x310a1456 -256 -256 -0 -0 -0 -4 -0xc076 -0xa685d364 -256 -256 -0 -0 -0 -3 -0x3c76 -0xb01e35ce -256 -256 -1 -0 -0 -3 -0xf5d3 -0xbbd056c4 -256 -256 -1 -0 -0 -3 -0x9617 -0xa88e2c83 -256 -256 -0 -0 -0 -1 -0x3d50 -0x4f98a2c8 -256 -256 -1 -0 -0 -1 -0x8b96 -0xf7798838 -256 -256 -0 -0 -0 -1 -0x35f4 -0x1715e18b -256 -256 -1 -0 -0 -1 -0x4091 -0xeeacc50a -256 -256 -0 -0 -0 -1 -0x5e5d -0x63abdf05 -256 -256 -1 -0 -0 -2 -0xaed4 -0x9a9556cc -256 -256 -0 -0 -0 -3 -0xd002 -0x6a0a261e -256 -256 -0 -0 -0 -3 -0xd8fa -0x1b24c49b -256 -256 -0 -0 -0 -1 -0x502a -0x1de7a377 -256 -256 -0 -0 -0 -2 -0xd02e -0xa2b226ef -256 -256 -0 -0 -0 -3 -0xb752 -0x304cef98 -256 -256 -1 -0 -0 -1 -0x5872 -0xeee84d36 -256 -256 -1 -0 -0 -4 -0x594b -0x59dfbf0f -256 -256 -1 -0 -0 -4 -0x3c91 -0xab5b8f19 -256 -256 -0 -0 -0 -3 -0xb194 -0x6a655087 -256 -256 -0 -0 -0 -1 -0x5235 -0xb574571 -256 -256 -1 -0 -0 -4 -0x38c5 -0xbeae3cb5 -256 -256 -0 -0 -0 -3 -0xc335 -0x8bd4be75 -256 -256 -1 -0 -0 -3 -0x73cb -0x1ba0e15e -256 -256 -1 -0 -0 -3 -0x47bc -0x1b1d2dc8 -256 -256 -1 -0 -0 -3 -0x3a5c -0xc99a90ac -256 -256 -0 -0 -0 -4 -0x141b -0x5f673910 -256 -256 -1 -0 -0 -2 -0xecb4 -0x59e9dbed -256 -256 -1 -0 -0 -2 -0x5af2 -0xb39a8680 -256 -256 -1 -0 -0 -3 -0xb37f -0x1d5a52e -256 -256 -1 -0 -0 -4 -0xa8ef -0x6702fa9e -256 -256 -1 -0 -0 -2 -0xa0b5 -0x5ba30c0b -256 -256 -0 -0 -0 -4 -0x6c49 -0x6fd1bf51 -256 -256 -1 -0 -0 -4 -0x76eb -0x2c2cfc4d -256 -256 -1 -0 -0 -1 -0xa115 -0x3cc8f488 -256 -256 -1 -0 -0 -4 -0xa99a -0x3abb97ee -256 -256 -1 -0 -0 -4 -0x59e7 -0x69110c83 -256 -256 -0 -0 -0 -4 -0x2105 -0xac6716cc -256 -256 -0 -0 -0 -2 -0x2af7 -0xb134e742 -256 -256 -0 -0 -0 -2 -0x9206 -0x6cc20744 -256 -256 -1 -0 -0 -3 -0xfed9 -0x4ffbfd9a -256 -256 -0 -0 -0 -4 -0xeddf -0xe00e4ce2 -256 -256 -1 -0 -0 -3 -0x1644 -0x28eefd86 -256 -256 -1 -0 -0 -3 -0x35b2 -0x39945baf -256 -256 -1 -0 -0 -3 -0xd32c -0xdb2db28e -256 -256 -1 -0 -0 -4 -0x3ca2 -0x55e73f5 -256 -256 -1 -0 -0 -3 -0x566a -0x310d9ded -256 -256 -0 -0 -0 -2 -0x2dcf -0x6495e5e9 -256 -256 -1 -0 -0 -1 -0x1721 -0xe4acf5c4 -256 -256 -0 -0 -0 -1 -0x3cff -0xf688a56c -256 -256 -0 -0 -0 -2 -0x2978 -0xfc35dd25 -256 -256 -1 -0 -0 -4 -0xf58a -0x5ab25e23 -256 -256 -1 -0 -0 -2 -0x6364 -0x61f88022 -256 -256 -0 -0 -0 -1 -0x88d6 -0x51dee1f8 -256 -256 -0 -0 -0 -4 -0x5b13 -0x1c5e274f -256 -256 -1 -0 -0 -2 -0x1dd1 -0x1b40d98a -256 -256 -0 -0 -0 -1 -0x5e8b -0xe7a2c475 -256 -256 -1 -0 -0 -1 -0xc729 -0xffa4b112 -256 -256 -1 -0 -0 -1 -0x46a6 -0x822428fa -256 -256 -0 -0 -0 -4 -0x4fb8 -0xf4d6676c -256 -256 -1 -0 -0 -4 -0x6c3b -0x20173fe3 -256 -256 -0 -0 -0 -2 -0xb1b1 -0x74f34f1e -256 -256 -0 -0 -0 -2 -0x4e00 -0x6136a874 -256 -256 -1 -0 -0 -1 -0x5af3 -0x63852901 -256 -256 -0 -0 -0 -2 -0x130d -0x21833819 -256 -256 -1 -0 -0 -1 -0x2dc0 -0x49ded432 -256 -256 -0 -0 -0 -1 -0x3dad -0x5bc316ba -256 -256 -1 -0 -0 -3 -0xbbbf -0x7e8c1809 -256 -256 -1 -0 -0 -4 -0x3d48 -0x8cd62c74 -256 -256 -1 -0 -0 -2 -0x99c0 -0x74975415 -256 -256 -1 -0 -0 -2 -0x6c2f -0x6d998674 -256 -256 -1 -0 -0 -3 -0x936f -0xb66d023f -256 -256 -1 -0 -0 -1 -0x3b10 -0xf9e1c486 -256 -256 -1 -0 -0 -4 -0xf2d7 -0xea450df5 -256 -256 -0 -0 -0 -2 -0x2eb0 -0xcbf264a -256 -256 -1 -0 -0 -4 -0xc440 -0x9c188c77 -256 -256 -1 -0 -0 -4 -0x8c2 -0x1ee191bf -256 -256 -0 -0 -0 -2 -0x2c80 -0xeaa71c11 -256 -256 -0 -0 -0 -3 -0x24d7 -0xea174a2b -256 -256 -1 -0 -0 -2 -0xac7e -0x4acb616a -256 -256 -0 -0 -0 -1 -0xe25c -0x56217ee1 -256 -256 -0 -0 -0 -4 -0xb06 -0x5e05db9c -256 -256 -1 -0 -0 -4 -0x886d -0x31c414df -256 -256 -1 -0 -0 -3 -0xf3aa -0x97d57bb6 -256 -256 -0 -0 -0 -3 -0x5359 -0x8a38935c -256 -256 -1 -0 -0 -4 -0xfd76 -0xbea6a168 -256 -256 -0 -0 -0 -3 -0xdc26 -0xf160a69b -256 -256 -0 -0 -0 -4 -0x9140 -0x1f7e353b -256 -256 -0 -0 -0 -2 -0x42fe -0x579e43a9 -256 -256 -0 -0 -0 -1 -0x6c1c -0xcd9a5206 -256 -256 -0 -0 -0 -4 -0x7aa4 -0xd3cd3f9a -256 -256 -0 -0 -0 -4 -0x4f48 -0xd43cf83 -256 -256 -1 -0 -0 -3 -0xb1e9 -0xbe1a410b -256 -256 -0 -0 -0 -2 -0xe57b -0xaae2837 -256 -256 -0 -0 -0 -2 -0x5152 -0x157a6f88 -256 -256 -0 -0 -0 -4 -0xfbf0 -0xc9eee757 -256 -256 -0 -0 -0 -2 -0x890a -0xeb088add -256 -256 -0 -0 -0 -2 -0xea10 -0xa156067 -256 -256 -0 -0 -0 -1 -0x7eb1 -0x9cc1fd92 -256 -256 -0 -0 -0 -2 -0x17df -0x3c0bcdc9 -256 -256 -0 -0 -0 -2 -0x272e -0x83fa3ca5 -256 -256 -1 -0 -0 -2 -0xf777 -0xa5e554cd -256 -256 -1 -0 -0 -2 -0xa772 -0xe07a2446 -256 -256 -0 -0 -0 -4 -0x5044 -0x10b93ac6 -256 -256 -0 -0 -0 -3 -0xdcb9 -0x30c1e8a7 -256 -256 -1 -0 -0 -4 -0xf6c0 -0x3d1426c8 -256 -256 -1 -0 -0 -3 -0xa1b3 -0xb2555118 -256 -256 -1 -0 -0 -2 -0x7c7f -0xe675b940 -256 -256 -1 -0 -0 -2 -0xc668 -0x952a236a -256 -256 -1 -0 -0 -4 -0xfd41 -0x103738b2 -256 -256 -1 -0 -0 -2 -0x45cd -0xf4a3b820 -256 -256 -1 -0 -0 -4 -0xfd78 -0xe18a7a12 -256 -256 -1 -0 -0 -3 -0x7602 -0x241a0d09 -256 -256 -0 -0 -0 -1 -0x1705 -0x17ef1f49 -256 -256 -1 -0 -0 -4 -0x229c -0x7db9861b -256 -256 -0 -0 -0 -4 -0x49db -0x4b2c145c -256 -256 -0 -0 -0 -2 -0x8ef1 -0x2340c578 -256 -256 -1 -0 -0 -2 -0x9250 -0x36263e54 -256 -256 -0 -0 -0 -2 -0x5b9d -0x158972f5 -256 -256 -0 -0 -0 -2 -0x3a19 -0xbd9d2110 -256 -256 -1 -0 -0 -3 -0xbf7e -0x7a1e2e5c -256 -256 -1 -0 -0 -4 -0xaadf -0xcde54c68 -256 -256 -1 -0 -0 -4 -0xffe0 -0x6ee28f0d -256 -256 -1 -0 -0 -2 -0xbc1d -0x9fc7da04 -256 -256 -1 -0 -0 -4 -0x97a2 -0x20564248 -256 -256 -0 -0 -0 -1 -0x10ee -0xe70617e8 -256 -256 -0 -0 -0 -2 -0xc7f -0xdb998aa9 -256 -256 -1 -0 -0 -2 -0x7e4c -0x6cdd7bd1 -256 -256 -0 -0 -0 -1 -0x5bbd -0x6a3f2a06 -256 -256 -1 -0 -0 -1 -0xc7f5 -0x408146ec -256 -256 -1 -0 -0 -3 -0x3502 -0x1e70a3e6 -256 -256 -1 -0 -0 -2 -0x22fa -0x7409f13c -256 -256 -1 -0 -0 -1 -0x2d3d -0xd3d33e80 -256 -256 -0 -0 -0 -2 -0x6869 -0xbb0a8754 -256 -256 -1 -0 -0 -3 -0xfa04 -0xa11b05f6 -256 -256 -0 -0 -0 -2 -0x73c1 -0xddc86bfa -256 -256 -1 -0 -0 -3 -0x3fbc -0xf71da8c1 -256 -256 -0 -0 -0 -1 -0xbfa5 -0xc0414a8a -256 -256 -0 -0 -0 -1 -0x9533 -0xa8f9d202 -256 -256 -0 -0 -0 -2 -0x70ae -0x92a63cbc -256 -256 -1 -0 -0 -3 -0x18d -0xf69af062 -256 -256 -0 -0 -0 -2 -0x2b9a -0x2727c36 -256 -256 -0 -0 -0 -1 -0xf22a -0x75b730d -256 -256 -1 -0 -0 -4 -0xd44b -0x1d5bc1f0 -256 -256 -0 -0 -0 -1 -0x9d6b -0xfe3b4f5 -256 -256 -0 -0 -0 -3 -0x3987 -0x9ab51550 -256 -256 -1 -0 -0 -1 -0x1d5d -0x69d0ca87 -256 -256 -0 -0 -0 -4 -0xeb1a -0x2f834aab -256 -256 -1 -0 -0 -4 -0xfe36 -0x4330fbcc -256 -256 -1 -0 -0 -3 -0xbaa2 -0x31e7a5a1 -256 -256 -1 -0 -0 -1 -0xf3da -0x9823b3c2 -256 -256 -1 -0 -0 -2 -0x518c -0xf819e9a2 -256 -256 -0 -0 -0 -3 -0x5100 -0xc2aa663e -256 -256 -1 -0 -0 -1 -0x5ec2 -0x59d2ffce -256 -256 -1 -0 -0 -4 -0x5b64 -0x8cd6bba0 -256 -256 -1 -0 -0 -1 -0xd698 -0xc29ff83f -256 -256 -0 -0 -0 -1 -0x1b87 -0xf5227a88 -256 -256 -1 -0 -0 -4 -0x4cad -0x8a7a75fc -256 -256 -1 -0 -0 -1 -0xb1ba -0x2a3126ec -256 -256 -1 -0 -0 -4 -0x8f23 -0x5e0c81e1 -256 -256 -0 -0 -0 -3 -0x2b5a -0x35a4054 -256 -256 -0 -0 -0 -3 -0x93e -0xb3321e6e -256 -256 -0 -0 -0 -4 -0x7b50 -0x4d22cdc1 -256 -256 -0 -0 -0 -2 -0xf5dc -0xa23bcc49 -256 -256 -0 -0 -0 -3 -0xf1a8 -0x3fc4f915 -256 -256 -1 -0 -0 -3 -0x7bdd -0x100b4521 -256 -256 -0 -0 -0 -1 -0x5775 -0x7e73fe5b -256 -256 -1 -0 -0 -2 -0x80d8 -0xee802088 -256 -256 -0 -0 -0 -3 -0xf32b -0xc2cf0baa -256 -256 -0 -0 -0 -3 -0x3064 -0x20c1a7c8 -256 -256 -1 -0 -0 -3 -0x4101 -0x2d517186 -256 -256 -0 -0 -0 -4 -0xe12c -0xb9501b50 -256 -256 -0 -0 -0 -2 -0x3514 -0xa6cfaf46 -256 -256 -0 -0 -0 -2 -0x857f -0x27f2aa88 -256 -256 -1 -0 -0 -3 -0x51d4 -0x18a16057 -256 -256 -1 -0 -0 -1 -0x11b2 -0xcfafa93e -256 -256 -1 -0 -0 -1 -0x53d3 -0x1365a4c9 -256 -256 -0 -0 -0 -2 -0xd2d8 -0x57a9a502 -256 -256 -1 -0 -0 -4 -0x37fb -0xb3b56d1e -256 -256 -0 -0 -0 -2 -0x3ce6 -0xdf06f469 -256 -256 -0 -0 -0 -1 -0x5eb5 -0xe16f1785 -256 -256 -1 -0 -0 -2 -0xbaf6 -0x87d2fc1b -256 -256 -0 -0 -0 -3 -0xb975 -0x5bddb661 -256 -256 -1 -0 -0 -2 -0x8602 -0xf61bcae8 -256 -256 -0 -0 -0 -2 -0x7bb3 -0x8cc066d0 -256 -256 -1 -0 -0 -2 -0xc210 -0xff0f3f6 -256 -256 -0 -0 -0 -3 -0x1c6d -0x663ad793 -256 -256 -0 -0 -0 -1 -0x278f -0x7992c112 -256 -256 -0 -0 -0 -3 -0x2fb6 -0xa871a0ae -256 -256 -1 -0 -0 -2 -0x75e -0x60aee80e -256 -256 -1 -0 -0 -3 -0xd3f0 -0x85ae419e -256 -256 -0 -0 -0 -3 -0x4789 -0x72cbaa74 -256 -256 -1 -0 -0 -1 -0x6acb -0xdc652537 -256 -256 -1 -0 -0 -2 -0x9788 -0xc9eae37a -256 -256 -0 -0 -0 -1 -0xfbfa -0x4560ddfd -256 -256 -0 -0 -0 -2 -0x106c -0xa2d1725e -256 -256 -0 -0 -0 -2 -0x551b -0xb26b7c9b -256 -256 -0 -0 -0 -2 -0xe271 -0xb76ac709 -256 -256 -1 -0 -0 -3 -0x1a8c -0xcccdf1f8 -256 -256 -0 -0 -0 -2 -0x67a8 -0xe27921d -256 -256 -0 -0 -0 -2 -0x4977 -0x70653702 -256 -256 -1 -0 -0 -4 -0xae43 -0x50f201e -256 -256 -1 -0 -0 -4 -0x93db -0x5152a4f7 -256 -256 -1 -0 -0 -4 -0x55f1 -0x8e79458a -256 -256 -0 -0 -0 -2 -0xd195 -0x6146cb5 -256 -256 -1 -0 -0 -2 -0x1033 -0xa3e1446a -256 -256 -1 -0 -0 -3 -0x645a -0x10c38fa4 -256 -256 -0 -0 -0 -3 -0x6d31 -0xa65d4246 -256 -256 -0 -0 -0 -1 -0x5ccd -0xf1cb1a0d -256 -256 -1 -0 -0 -4 -0x28c5 -0x55f1f20d -256 -256 -0 -0 -0 -4 -0xa96 -0x3d7b0b13 -256 -256 -0 -0 -0 -1 -0x3448 -0x497ecfeb -256 -256 -0 -0 -0 -2 -0xceea -0x7744c6a -256 -256 -0 -0 -0 -1 -0xff01 -0x8b17e61c -256 -256 -0 -0 -0 -3 -0x7c1c -0x90dc7cc1 -256 -256 -0 -0 -0 -4 -0x75d -0xaf69a250 -256 -256 -1 -0 -0 -3 -0x493f -0x6c0bb11b -256 -256 -0 -0 -0 -4 -0xc1f8 -0x5277bf7e -256 -256 -1 -0 -0 -4 -0x602f -0xa25afdd1 -256 -256 -0 -0 -0 -1 -0xdeb6 -0xff0155b1 -256 -256 -0 -0 -0 -2 -0xac4b -0x6b5b9a81 -256 -256 -0 -0 -0 -1 -0xdff3 -0x6648528a -256 -256 -0 -0 -0 -3 -0x2e85 -0xd654a6c3 -256 -256 -0 -0 -0 -4 -0x1357 -0xf38f665d -256 -256 -0 -0 -0 -1 -0x7d31 -0x1cd4b037 -256 -256 -1 -0 -0 -4 -0x67dd -0x552b600 -256 -256 -1 -0 -0 -2 -0xe4f2 -0xe8052bbd -256 -256 -1 -0 -0 -3 -0xbdcb -0xcc6f6e01 -256 -256 -1 -0 -0 -4 -0x9b4e -0x8d849874 -256 -256 -1 -0 -0 -4 -0x48f3 -0x4d460787 -256 -256 -1 -0 -0 -1 -0xce89 -0x43a432b9 -256 -256 -0 -0 -0 -2 -0x6ef7 -0xbd05a177 -256 -256 -0 -0 -0 -3 -0xf76e -0x8d7c6324 -256 -256 -0 -0 -0 -4 -0xa301 -0xe7ec7ce5 -256 -256 -0 -0 -0 -3 -0x47c5 -0xc8338186 -256 -256 -1 -0 -0 -1 -0x384c -0x8d1ce7ae -256 -256 -0 -0 -0 -4 -0xd1de -0x532add8b -256 -256 -1 -0 -0 -2 -0xffb3 -0xc979b2cd -256 -256 -1 -0 -0 -3 -0xfccd -0x3f362ad1 -256 -256 -0 -0 -0 -2 -0xa4f -0x8a2ad9b8 -256 -256 -1 -0 -0 -3 -0x686 -0xd58d3154 -256 -256 -0 -0 -0 -3 -0x3e4 -0xaa4c8cb -256 -256 -1 -0 -0 -2 -0xa69 -0xaf188cfe -256 -256 -0 -0 -0 -1 -0xcdb1 -0x5ad2fb73 -256 -256 -0 -0 -0 -3 -0xc79a -0x2a8d922d -256 -256 -1 -0 -0 -2 -0xc955 -0x67d22b5f -256 -256 -0 -0 -0 -3 -0x3389 -0x1656c8db -256 -256 -1 -0 -0 -4 -0xbfef -0x57d4c225 -256 -256 -0 -0 -0 -4 -0x43bd -0xd166a810 -256 -256 -1 -0 -0 -2 -0x1fc6 -0x6ece274f -256 -256 -0 -0 -0 -3 -0x8dea -0xaf65c1e1 -256 -256 -1 -0 -0 -4 -0xc030 -0xa0d9be02 -256 -256 -1 -0 -0 -2 -0xf019 -0x44176e7f -256 -256 -1 -0 -0 -3 -0xa42 -0xc8c83988 -256 -256 -1 -0 -0 -1 -0x9452 -0xb667a93d -256 -256 -1 -0 -0 -3 -0x77e8 -0xa38ca4f0 -256 -256 -0 -0 -0 -1 -0xc3c -0xec19d06a -256 -256 -0 -0 -0 -4 -0x2cad -0x3f4cc4af -256 -256 -1 -0 -0 -4 -0x19ec -0x24b275bb -256 -256 -1 -0 -0 -3 -0xb1c3 -0xe87145f3 -256 -256 -1 -0 -0 -4 -0x9b9f -0x4b067771 -256 -256 -0 -0 -0 -2 -0x915a -0x8b03b4be -256 -256 -1 -0 -0 -4 -0xed9 -0xdbfa6078 -256 -256 -1 -0 -0 -4 -0xa9e2 -0x19e59a83 -256 -256 -1 -0 -0 -1 -0x4510 -0xd1fedab0 -256 -256 -0 -0 -0 -2 -0x3196 -0xb7dd5bb7 -256 -256 -1 -0 -0 -2 -0xacf0 -0x2759aea -256 -256 -1 -0 -0 -1 -0x60c9 -0x1d0099eb -256 -256 -1 -0 -0 -2 -0x3769 -0xfcd5476d -256 -256 -0 -0 -0 -4 -0x4d44 -0x6f91f0f6 -256 -256 -1 -0 -0 -1 -0x727 -0xcd99361d -256 -256 -1 -0 -0 -1 -0xa7e7 -0x922035c8 -256 -256 -1 -0 -0 -1 -0xed24 -0x6e193014 -256 -256 -1 -0 -0 -2 -0xabd0 -0x117f5ee6 -256 -256 -1 -0 -0 -3 -0x4f -0x7a7d0c3c -256 -256 -0 -0 -0 -2 -0xfafb -0x4b91f301 -256 -256 -0 -0 -0 -4 -0x2e0d -0x90d91dc3 -256 -256 -1 -0 -0 -2 -0x26a0 -0x7511c761 -256 -256 -0 -0 -0 -1 -0x24e6 -0xc1bface6 -256 -256 -1 -0 -0 -4 -0xb8ab -0xc99bcd58 -256 -256 -1 -0 -0 -3 -0xc2a4 -0xe07d5225 -256 -256 -0 -0 -0 -2 -0xaec7 -0xd3a53d05 -256 -256 -0 -0 -0 -4 -0xed8 -0x9f20a849 -256 -256 -1 -0 -0 -4 -0x353d -0x88daa4e0 -256 -256 -1 -0 -0 -4 -0x3acf -0x29d4d5e2 -256 -256 -0 -0 -0 -2 -0xaf5e -0x102683a6 -256 -256 -1 -0 -0 -4 -0x7e46 -0xf5a84b79 -256 -256 -0 -0 -0 -2 -0x845a -0xfa4eece1 -256 -256 -1 -0 -0 -3 -0x3254 -0x2cf566c4 -256 -256 -1 -0 -0 -3 -0x423e -0x15529562 -256 -256 -1 -0 -0 -1 -0x4441 -0x10d15ddc -256 -256 -1 -0 -0 -4 -0x9eb -0xaf417e7b -256 -256 -1 -0 -0 -4 -0x2b28 -0x3212e2f1 -256 -256 -0 -0 -0 -3 -0x8ef0 -0x5355cff9 -256 -256 -1 -0 -0 -1 -0xfaec -0x4d0913b6 -256 -256 -0 -0 -0 -3 -0xb76d -0xfba72386 -256 -256 -1 -0 -0 -2 -0x296f -0x3adf3817 -256 -256 -0 -0 -0 -4 -0x9051 -0xcd4d7083 -256 -256 -1 -0 -0 -1 -0xad82 -0x6282b8b4 -256 -256 -1 -0 -0 -3 -0x60a2 -0x5bb2c9de -256 -256 -0 -0 -0 -4 -0x8ff4 -0x887cf789 -256 -256 -0 -0 -0 -1 -0x36ec -0x3ecf3756 -256 -256 -1 -0 -0 -1 -0x8977 -0x30cfc4e -256 -256 -0 -0 -0 -3 -0x4a76 -0xfbcfa640 -256 -256 -0 -0 -0 -2 -0x196f -0x6288936f -256 -256 -1 -0 -0 -4 -0xbb56 -0xe8482e75 -256 -256 -1 -0 -0 -2 -0xec06 -0x8ab035c2 -256 -256 -0 -0 -0 -2 -0x1815 -0x84306a45 -256 -256 -1 -0 -0 -4 -0x2c06 -0xb299da9a -256 -256 -0 -0 -0 -4 -0xf2aa -0xc0801ef6 -256 -256 -1 -0 -0 -1 -0x55fc -0x3cc41a13 -256 -256 -1 -0 -0 -4 -0xab6 -0x5987a922 -256 -256 -0 -0 -0 -4 -0x534 -0x2a3b9b90 -256 -256 -0 -0 -0 -4 -0x6ac0 -0x6a3ffb53 -256 -256 -1 -0 -0 -4 -0xcb7d -0x16594b8 -256 -256 -1 -0 -0 -1 -0x29f4 -0x3679b09f -256 -256 -0 -0 -0 -4 -0xae7d -0x6dea1942 -256 -256 -1 -0 -0 -3 -0x3985 -0x5f264a48 -256 -256 -0 -0 -0 -2 -0xe05 -0xb9944989 -256 -256 -0 -0 -0 -2 -0x3ba5 -0xa53ed8f0 -256 -256 -1 -0 -0 -2 -0xb043 -0x7a58accb -256 -256 -0 -0 -0 -2 -0x4115 -0xacf3a63a -256 -256 -0 -0 -0 -2 -0xeb9a -0x6d79bac0 -256 -256 -0 -0 -0 -1 -0x2eaa -0xd8653aa9 -256 -256 -0 -0 -0 -1 -0x876c -0x9562d0ec -256 -256 -1 -0 -0 -3 -0xcae6 -0xcce2998e -256 -256 -1 -0 -0 -1 -0x511a -0x78d9f5a3 -256 -256 -1 -0 -0 -2 -0x6ceb -0xdadd271 -256 -256 -1 -0 -0 -4 -0x879c -0x58f90a4b -256 -256 -0 -0 -0 -4 -0xa2c8 -0x3a6ce869 -256 -256 -0 -0 -0 -1 -0x7635 -0xe8004d12 -256 -256 -0 -0 -0 -3 -0xf626 -0x16b8f8f5 -256 -256 -1 -0 -0 -2 -0x49f6 -0x5c7a666e -256 -256 -1 -0 -0 -1 -0x7f1d -0xf83b32d3 -256 -256 -0 -0 -0 -1 -0x5442 -0xdeb01a51 -256 -256 -1 -0 -0 -3 -0x6e35 -0xaf159ea8 -256 -256 -1 -0 -0 -1 -0x48d8 -0xa94b3a4a -256 -256 -0 -0 -0 -3 -0x62c7 -0xf68f02e7 -256 -256 -0 -0 -0 -4 -0x1623 -0xaa2db30c -256 -256 -0 -0 -0 -4 -0x995c -0x1a6f7873 -256 -256 -0 -0 -0 -2 -0xa06 -0xbab811a3 -256 -256 -1 -0 -0 -1 -0xc350 -0xd4e15b21 -256 -256 -1 -0 -0 -3 -0x11a6 -0x7e49c0b4 -256 -256 -0 -0 -0 -1 -0x3f47 -0xfba3c4d5 -256 -256 -0 -0 -0 -1 -0x207 -0x7ee4c31d -256 -256 -0 -0 -0 -2 -0x9a8f -0xbe2b96b9 -256 -256 -1 -0 -0 -3 -0xf634 -0xdfc2cf0f -256 -256 -1 -0 -0 -3 -0x2119 -0xe0da3bf4 -256 -256 -1 -0 -0 -3 -0x1e5d -0x549050fb -256 -256 -0 -0 -0 -3 -0xedad -0xb4098187 -256 -256 -1 -0 -0 -1 -0x2436 -0x451d32b9 -256 -256 -1 -0 -0 -4 -0x5f61 -0xb92c0e28 -256 -256 -0 -0 -0 -1 -0x9b6f -0xa136f408 -256 -256 -1 -0 -0 -4 -0x5c29 -0xc630dff1 -256 -256 -0 -0 -0 -2 -0x94d3 -0xbf13ce60 -256 -256 -0 -0 -0 -1 -0x19b7 -0xaa87dc3d -256 -256 -1 -0 -0 -1 -0x69f2 -0xdae2720b -256 -256 -0 -0 -0 -2 -0xbb34 -0xbb3934be -256 -256 -0 -0 -0 -2 -0x8b62 -0x7fccc7d -256 -256 -0 -0 -0 -3 -0x44cc -0xda94de81 -256 -256 -0 -0 -0 -4 -0x4c01 -0x78553b0e -256 -256 -0 -0 -0 -3 -0x8f5 -0xa25c4ed7 -256 -256 -1 -0 -0 -2 -0xf1f0 -0xfbc61955 -256 -256 -0 -0 -0 -1 -0xc46f -0x9ad9da81 -256 -256 -0 -0 -0 -3 -0xcf16 -0xffe69e60 -256 -256 -1 -0 -0 -4 -0x41d9 -0x24e0177e -256 -256 -0 -0 -0 -4 -0xed24 -0xbc35ecc9 -256 -256 -0 -0 -0 -2 -0xcd49 -0x62539d48 -256 -256 -0 -0 -0 -2 -0xbf0e -0xefdfb529 -256 -256 -0 -0 -0 -1 -0xe46 -0x5a6fd6dd -256 -256 -0 -0 -0 -4 -0x9002 -0x3c324261 -256 -256 -0 -0 -0 -2 -0xfd06 -0x42251193 -256 -256 -0 -0 -0 -1 -0x6d45 -0x65ca7d08 -256 -256 -1 -0 -0 -3 -0xd2d -0xea687fc3 -256 -256 -1 -0 -0 -2 -0x66e8 -0xc6125ae8 -256 -256 -0 -0 -0 -2 -0x15e -0x1e202ed2 -256 -256 -1 -0 -0 -2 -0x4257 -0x83a5d8de -256 -256 -0 -0 -0 -2 -0x72a2 -0xe7742cb6 -256 -256 -0 -0 -0 -4 -0x48cc -0x499aaac4 -256 -256 -1 -0 -0 -3 -0x7779 -0x2b2081d1 -256 -256 -0 -0 -0 -2 -0xd538 -0x3f316cc8 -256 -256 -0 -0 -0 -2 -0x2e31 -0xf6418889 -256 -256 -0 -0 -0 -3 -0x645a -0x4b225608 -256 -256 -1 -0 -0 -2 -0x2785 -0x4e5b2506 -256 -256 -0 -0 -0 -1 -0x8f53 -0xa3e8926 -256 -256 -1 -0 -0 -2 -0x5b0e -0xe7ad4f7f -256 -256 -0 -0 -0 -4 -0x6e04 -0x3bb2f39c -256 -256 -1 -0 -0 -3 -0x8d30 -0xcb2be254 -256 -256 -1 -0 -0 -3 -0xbbe9 -0xd2374174 -256 -256 -0 -0 -0 -2 -0x70a0 -0x9d6388cf -256 -256 -0 -0 -0 -2 -0x4620 -0xdf979306 -256 -256 -0 -0 -0 -1 -0xd117 -0x57312ff8 -256 -256 -1 -0 -0 -4 -0x58a7 -0x12ac03b4 -256 -256 -0 -0 -0 -3 -0x8f67 -0xdaacea90 -256 -256 -0 -0 -0 -2 -0xc345 -0x84afa054 -256 -256 -0 -0 -0 -3 -0x9472 -0x7132effd -256 -256 -1 -0 -0 -1 -0x8f03 -0xeffc7f38 -256 -256 -1 -0 -0 -1 -0x7a60 -0xc9fc92db -256 -256 -1 -0 -0 -3 -0x261b -0xf0a45c59 -256 -256 -1 -0 -0 -1 -0x7854 -0xb49bfc3d -256 -256 -0 -0 -0 -1 -0x8b6 -0xf36b7991 -256 -256 -1 -0 -0 -4 -0x80da -0x97ecc7a4 -256 -256 -1 -0 -0 -2 -0x793d -0x850018f1 -256 -256 -1 -0 -0 -2 -0xc450 -0x6a971d87 -256 -256 -0 -0 -0 -3 -0x2860 -0x59804353 -256 -256 -1 -0 -0 -3 -0x7ce6 -0x4729ae92 -256 -256 -1 -0 -0 -2 -0xbad6 -0xdbea42ae -256 -256 -1 -0 -0 -1 -0x41bb -0x362fa3bc -256 -256 -1 -0 -0 -3 -0xf40e -0x1e13278 -256 -256 -0 -0 -0 -1 -0x88fa -0xb9cdf12f -256 -256 -1 -0 -0 -4 -0x7266 -0x98aecfee -256 -256 -1 -0 -0 -3 -0x1f5f -0x53bf989d -256 -256 -0 -0 -0 -2 -0x32b1 -0xb40e512a -256 -256 -0 -0 -0 -4 -0x7fd6 -0x357a6b1c -256 -256 -0 -0 -0 -1 -0x304b -0x66ed7d49 -256 -256 -0 -0 -0 -4 -0xf999 -0xad27b974 -256 -256 -0 -0 -0 -4 -0x528b -0xfc4e1413 -256 -256 -1 -0 -0 -1 -0x6aa1 -0xd9e8f6aa -256 -256 -1 -0 -0 -4 -0xb745 -0x4a56e8e8 -256 -256 -0 -0 -0 -1 -0xa9f1 -0xd8320431 -256 -256 -0 -0 -0 -3 -0x2676 -0xcfd15746 -256 -256 -1 -0 -0 -2 -0xaa0e -0x37c8f884 -256 -256 -0 -0 -0 -1 -0xacf0 -0xb542ab84 -256 -256 -0 -0 -0 -4 -0x2f67 -0xd71ec6e0 -256 -256 -0 -0 -0 -4 -0xdcbe -0x571feacc -256 -256 -1 -0 -0 -2 -0xe50d -0xb03dc72 -256 -256 -1 -0 -0 -3 -0x3528 -0x7950882a -256 -256 -0 -0 -0 -1 -0xa91f -0xcf2e9ac9 -256 -256 -0 -0 -0 -1 -0x9a48 -0x4cd7c075 -256 -256 -0 -0 -0 -2 -0x8286 -0x58397224 -256 -256 -0 -0 -0 -1 -0xc866 -0xc663b2fb -256 -256 -1 -0 -0 -1 -0x3eb3 -0xba87927a -256 -256 -1 -0 -0 -2 -0xb484 -0x1c2ef701 -256 -256 -1 -0 -0 -3 -0x3295 -0xa2438732 -256 -256 -0 -0 -0 -2 -0x772f -0xdd46fbad -256 -256 -1 -0 -0 -4 -0xfb5a -0xfae94939 -256 -256 -0 -0 -0 -3 -0xb9d -0x4a26abac -256 -256 -0 -0 -0 -3 -0x24f9 -0x82fc60fc -256 -256 -0 -0 -0 -1 -0xa149 -0x832b4a1c -256 -256 -1 -0 -0 -1 -0xc47c -0x2492be4f -256 -256 -0 -0 -0 -2 -0xdd93 -0x575845d1 -256 -256 -0 -0 -0 -4 -0xa191 -0xedba2c7d -256 -256 -0 -0 -0 -3 -0xd3b3 -0x74aa7f8 -256 -256 -0 -0 -0 -3 -0xfe6f -0xeac4b915 -256 -256 -1 -0 -0 -3 -0xeeb9 -0x4e53572d -256 -256 -1 -0 -0 -4 -0x5e75 -0x34ba28b2 -256 -256 -1 -0 -0 -2 -0xb12b -0xeb017ca7 -256 -256 -1 -0 -0 -4 -0x58e2 -0x1e9deb1 -256 -256 -1 -0 -0 -4 -0x4fc -0x8042397b -256 -256 -0 -0 -0 -4 -0x132d -0x3026869e -256 -256 -1 -0 -0 -2 -0xce03 -0x74241d8b -256 -256 -1 -0 -0 -3 -0x8197 -0xb3e0d3a1 -256 -256 -0 -0 -0 -2 -0xd153 -0x5313dab5 -256 -256 -0 -0 -0 -1 -0xf2e6 -0x76521429 -256 -256 -1 -0 -0 -2 -0xe763 -0x7a581185 -256 -256 -0 -0 -0 -1 -0xe82a -0x30b8ca67 -256 -256 -1 -0 -0 -2 -0xce4b -0xc15e4667 -256 -256 -0 -0 -0 -2 -0x9bc2 -0xc3603fb -256 -256 -0 -0 -0 -3 -0x53c4 -0x7379629 -256 -256 -1 -0 -0 -1 -0x8fe4 -0x5b8e3cba -256 -256 -0 -0 -0 -2 -0x3e46 -0xc9a68293 -256 -256 -1 -0 -0 -4 -0x96cc -0x83f95e8d -256 -256 -1 -0 -0 -2 -0xce1 -0xbcc09dbf -256 -256 -0 -0 -0 -3 -0x7c82 -0x77a07a5c -256 -256 -1 -0 -0 -4 -0x4256 -0xd740322d -256 -256 -0 -0 -0 -2 -0x82fd -0xbd3cc3c4 -256 -256 -1 -0 -0 -4 -0xb605 -0xcbf8fc4 -256 -256 -0 -0 -0 -3 -0x8704 -0x6a1b24ec -256 -256 -1 -0 -0 -2 -0x7518 -0x61946cad -256 -256 -1 -0 -0 -1 -0x331a -0x8b8c09a3 -256 -256 -0 -0 -0 -2 -0xb3aa -0x9b07e543 -256 -256 -1 -0 -0 -4 -0x90d5 -0xfa7a4f49 -256 -256 -0 -0 -0 -3 -0x4b8e -0x85e86d5d -256 -256 -0 -0 -0 -4 -0xfc94 -0x3f8b6697 -256 -256 -1 -0 -0 -1 -0x29fe -0xb6324dfe -256 -256 -1 -0 -0 -1 -0x8a4d -0x3f4eee2d -256 -256 -0 -0 -0 -4 -0xb59 -0x9b7e5734 -256 -256 -0 -0 -0 -3 -0x4fd4 -0xda529c79 -256 -256 -1 -0 -0 -3 -0x592b -0x730fa1e3 -256 -256 -0 -0 -0 -2 -0x7b20 -0xda6af0d7 -256 -256 -0 -0 -0 -2 -0xff8d -0x352949f3 -256 -256 -0 -0 -0 -2 -0x2693 -0x3440f202 -256 -256 -1 -0 -0 -2 -0x3144 -0x922f06ef -256 -256 -0 -0 -0 -2 -0x9c59 -0x84a0834f -256 -256 -0 -0 -0 -2 -0xc854 -0x8a17f64c -256 -256 -0 -0 -0 -1 -0xd6f -0x68a61dfc -256 -256 -1 -0 -0 -3 -0x2734 -0x387ab318 -256 -256 -0 -0 -0 -4 -0x882 -0x40a3bd0b -256 -256 -1 -0 -0 -1 -0x2d21 -0x6eb57653 -256 -256 -1 -0 -0 -1 -0x552d -0xf695b281 -256 -256 -0 -0 -0 -1 -0xe328 -0x49cb6558 -256 -256 -0 -0 -0 -1 -0xf21e -0xcbf004b2 -256 -256 -1 -0 -0 -1 -0xea66 -0x2c1624b0 -256 -256 -1 -0 -0 -4 -0xa6fd -0xe8dbbcf6 -256 -256 -1 -0 -0 -1 -0x3c6c -0xd46037e8 -256 -256 -0 -0 -0 -2 -0xf8d7 -0x90ccf6b3 -256 -256 -1 -0 -0 -1 -0x19c7 -0x57e179 -256 -256 -1 -0 -0 -1 -0xbd7b -0x1435573e -256 -256 -1 -0 -0 -1 -0xd9d0 -0xacedeef -256 -256 -0 -0 -0 -3 -0xed05 -0x47e22368 -256 -256 -0 -0 -0 -1 -0x90c -0x149ebb39 -256 -256 -1 -0 -0 -4 -0xa395 -0xc42a6f2a -256 -256 -0 -0 -0 -2 -0x10d -0x9e1b0000 -256 -256 -0 -0 -0 -2 -0x16e3 -0x8605fc4a -256 -256 -1 -0 -0 -1 -0xf52 -0xba67279e -256 -256 -0 -0 -0 -4 -0xb044 -0x83712a0b -256 -256 -1 -0 -0 -4 -0xe03b -0x809df1b1 -256 -256 -1 -0 -0 -2 -0xe78f -0x6be586e4 -256 -256 -1 -0 -0 -4 -0xd3c1 -0xf60efe86 -256 -256 -1 -0 -0 -2 -0x277a -0x677cab51 -256 -256 -1 -0 -0 -1 -0x1988 -0x89140f71 -256 -256 -0 -0 -0 -2 -0x526d -0xd3201a4b -256 -256 -1 -0 -0 -4 -0x7056 -0xffb9729b -256 -256 -1 -0 -0 -3 -0xff0f -0x76f5ee72 -256 -256 -1 -0 -0 -3 -0x2ef0 -0xb673c55a -256 -256 -1 -0 -0 -3 -0xd37a -0x5f53516e -256 -256 -1 -0 -0 -3 -0x47c0 -0xdf5df7a1 -256 -256 -0 -0 -0 -2 -0x9b29 -0x54fb3049 -256 -256 -0 -0 -0 -2 -0xc1e8 -0xcce242a5 -256 -256 -1 -0 -0 -2 -0x80d -0xd4ca8291 -256 -256 -0 -0 -0 -2 -0xceb6 -0xce08dd52 -256 -256 -1 -0 -0 -4 -0x266f -0x7f6b07ef -256 -256 -1 -0 -0 -1 -0xc3a2 -0x98d16825 -256 -256 -0 -0 -0 -1 -0x7fb3 -0x6abe8e1f -256 -256 -1 -0 -0 -3 -0xbc20 -0x756eb4e4 -256 -256 -0 -0 -0 -4 -0xb98 -0x9c93256b -256 -256 -1 -0 -0 -4 -0x5209 -0x280f1a6e -256 -256 -0 -0 -0 -4 -0xf389 -0x14350a5e -256 -256 -0 -0 -0 -3 -0x1f29 -0x71ec6f73 -256 -256 -1 -0 -0 -1 -0x345f -0x2775ad1 -256 -256 -0 -0 -0 -4 -0x44cb -0xbf6364b -256 -256 -0 -0 -0 -1 -0x26a6 -0x6e478d8d -256 -256 -0 -0 -0 -1 -0x957a -0xcd294f99 -256 -256 -0 -0 -0 -2 -0xf78 -0xf1b2a795 -256 -256 -1 -0 -0 -3 -0xebc9 -0xed8a404d -256 -256 -1 -0 -0 -3 -0x4324 -0xc946e205 -256 -256 -0 -0 -0 -2 -0x1c6f -0x7deb1b2f -256 -256 -0 -0 -0 -2 -0x219c -0x145425d0 -256 -256 -0 -0 -0 -1 -0xc771 -0x2a1fa50a -256 -256 -0 -0 -0 -2 -0xc889 -0x760d379 -256 -256 -1 -0 -0 -3 -0x5e88 -0x817f55a6 -256 -256 -1 -0 -0 -3 -0x2d10 -0xe0d8cff -256 -256 -1 -0 -0 -1 -0x2abf -0xf18bc264 -256 -256 -1 -0 -0 -3 -0xcea5 -0xffd9e565 -256 -256 -1 -0 -0 -1 -0xd656 -0x1ffe1eb9 -256 -256 -1 -0 -0 -2 -0x2388 -0xd7fb8cce -256 -256 -0 -0 -0 -4 -0x2fcc -0xcb86efe1 -256 -256 -0 -0 -0 -1 -0x39db -0xdfb316ad -256 -256 -1 -0 -0 -1 -0x30d3 -0x196be221 -256 -256 -1 -0 -0 -3 -0x1350 -0xc63dd381 -256 -256 -1 -0 -0 -3 -0x8e9b -0x9c60e405 -256 -256 -0 -0 -0 -4 -0xfa4f -0x6943132e -256 -256 -0 -0 -0 -1 -0xb360 -0xb4fca249 -256 -256 -1 -0 -0 -3 -0x900d -0x519ecede -256 -256 -1 -0 -0 -1 -0x7b01 -0xa1429946 -256 -256 -0 -0 -0 -4 -0xdc16 -0x4869367f -256 -256 -0 -0 -0 -2 -0x82a4 -0x51d1cac2 -256 -256 -1 -0 -0 -3 -0xe381 -0x8955227f -256 -256 -0 -0 -0 -4 -0x846 -0xddc170ac -256 -256 -1 -0 -0 -4 -0xd04f -0xa110ffbe -256 -256 -0 -0 -0 -1 -0x376b -0xb5315bbe -256 -256 -1 -0 -0 -1 -0x93da -0xe7d36817 -256 -256 -0 -0 -0 -3 -0x9985 -0xbea42a5e -256 -256 -1 -0 -0 -1 -0xa867 -0x23cc6451 -256 -256 -0 -0 -0 -4 -0xa6f6 -0x4fb55741 -256 -256 -1 -0 -0 -3 -0x8802 -0xffa3586d -256 -256 -1 -0 -0 -3 -0x335a -0xc62eb36d -256 -256 -1 -0 -0 -3 -0xc82c -0x5ce53dfa -256 -256 -0 -0 -0 -1 -0xb73f -0x45692017 -256 -256 -0 -0 -0 -1 -0xc00f -0x8714ecae -256 -256 -1 -0 -0 -2 -0xe8c -0x6c7ccb8d -256 -256 -0 -0 -0 -2 -0xaa3a -0xa941c7df -256 -256 -0 -0 -0 -2 -0x2d15 -0x550252f0 -256 -256 -0 -0 -0 -3 -0x85f8 -0xeb1755c6 -256 -256 -1 -0 -0 -3 -0xdd9e -0x419d9cea -256 -256 -0 -0 -0 -1 -0x5272 -0xe79c7b58 -256 -256 -0 -0 -0 -3 -0x2eff -0xc6ca3082 -256 -256 -1 -0 -0 -4 -0x358e -0x69a4bc8 -256 -256 -0 -0 -0 -2 -0x51ef -0x7ec16787 -256 -256 -0 -0 -0 -1 -0x7380 -0xc6fa27e6 -256 -256 -1 -0 -0 -3 -0xcfe6 -0x7ba7f431 -256 -256 -1 -0 -0 -4 -0x3bfa -0xd40e8a28 -256 -256 -0 -0 -0 -3 -0xb88 -0x30c0499e -256 -256 -0 -0 -0 -1 -0x12ae -0x8f81494a -256 -256 -1 -0 -0 -3 -0x37d -0xd9edafe6 -256 -256 -0 -0 -0 -1 -0x3d00 -0x87c9abbc -256 -256 -1 -0 -0 -3 -0x99f3 -0x6dc3b09a -256 -256 -1 -0 -0 -1 -0xc400 -0x80b664d8 -256 -256 -0 -0 -0 -3 -0x81e6 -0x6121208f -256 -256 -0 -0 -0 -2 -0x79b9 -0x7a32f15b -256 -256 -1 -0 -0 -1 -0x28d6 -0x7f4bb1bb -256 -256 -0 -0 -0 -4 -0x755c -0x84ca4a4f -256 -256 -0 -0 -0 -3 -0x3474 -0x12244cce -256 -256 -0 -0 -0 -4 -0x503c -0x14a35b8b -256 -256 -1 -0 -0 -2 -0xe678 -0x31dd42cd -256 -256 -1 -0 -0 -2 -0xc000 -0x41164c71 -256 -256 -1 -0 -0 -2 -0x5f0f -0x59adc47 -256 -256 -0 -0 -0 -2 -0x33f -0xd76b68 -256 -256 -1 -0 -0 -1 -0x297b -0xfa44755d -256 -256 -0 -0 -0 -4 -0xdd8d -0x6b32b3f3 -256 -256 -0 -0 -0 -3 -0x1cb3 -0x17ba377a -256 -256 -1 -0 -0 -3 -0x6e03 -0x2ec665a0 -256 -256 -0 -0 -0 -4 -0x76d7 -0x178826a3 -256 -256 -0 -0 -0 -4 -0x4710 -0xfc155af3 -256 -256 -0 -0 -0 -4 -0x76f2 -0xd70689eb -256 -256 -0 -0 -0 -4 -0x41aa -0x9dc4cb22 -256 -256 -0 -0 -0 -1 -0x4df9 -0xed504d5f -256 -256 -1 -0 -0 -2 -0x4848 -0xf1a84d61 -256 -256 -1 -0 -0 -2 -0xa057 -0x7fa59a8f -256 -256 -0 -0 -0 -4 -0xf174 -0xe2fe7870 -256 -256 -1 -0 -0 -4 -0x61a -0x7c78124d -256 -256 -1 -0 -0 -4 -0x2545 -0xf40df61c -256 -256 -1 -0 -0 -1 -0x272 -0xd2013e4c -256 -256 -1 -0 -0 -2 -0x32b1 -0x76412c97 -256 -256 -1 -0 -0 -1 -0x20d2 -0xc0ad1337 -256 -256 -0 -0 -0 -1 -0x5ce2 -0x82fd8983 -256 -256 -1 -0 -0 -3 -0x1120 -0xe03e01f8 -256 -256 -1 -0 -0 -3 -0x23b3 -0x515853bb -256 -256 -1 -0 -0 -2 -0x52d3 -0x4932b8ad -256 -256 -0 -0 -0 -4 -0x8df6 -0xc543eae5 -256 -256 -0 -0 -0 -4 -0x91e7 -0x38605108 -256 -256 -1 -0 -0 -2 -0x86ae -0xba4a31ed -256 -256 -1 -0 -0 -4 -0x503c -0x7606671 -256 -256 -0 -0 -0 -2 -0xe54d -0x5990d8db -256 -256 -0 -0 -0 -2 -0x4c4a -0xc92a99d4 -256 -256 -1 -0 -0 -1 -0xff92 -0xf417d205 -256 -256 -0 -0 -0 -1 -0x2441 -0x474d7909 -256 -256 -1 -0 -0 -1 -0x6b66 -0x25dd3741 -256 -256 -0 -0 -0 -3 -0xe9c9 -0xefd8cc47 -256 -256 -1 -0 -0 -2 -0x59c0 -0xa5ca9b04 -256 -256 -1 -0 -0 -4 -0x4760 -0xfdee88dc -256 -256 -0 -0 -0 -1 -0x6d25 -0x6b29563e -256 -256 -0 -0 -0 -1 -0xca35 -0xaaf0182e -256 -256 -1 -0 -0 -1 -0x6d9f -0xe14f5966 -256 -256 -1 -0 -0 -2 -0x3213 -0x3fa26e44 -256 -256 -1 -0 -0 -3 -0x3e3f -0x1cdbf001 -256 -256 -1 -0 -0 -4 -0x91bb -0xb1f62a4d -256 -256 -0 -0 -0 -3 -0x7d18 -0xc60e5fec -256 -256 -0 -0 -0 -3 -0xb493 -0x4412eead -256 -256 -0 -0 -0 -4 -0x11f4 -0x14560030 -256 -256 -1 -0 -0 -2 -0xf17d -0x51594603 -256 -256 -0 -0 -0 -4 -0x5e85 -0xa75828c6 -256 -256 -0 -0 -0 -3 -0x6b82 -0x1ce0fe2e -256 -256 -0 -0 -0 -1 -0x8da6 -0xdc5c3f0c -256 -256 -1 -0 -0 -3 -0x7b41 -0x357d6be6 -256 -256 -0 -0 -0 -3 -0x39d1 -0x3a038382 -256 -256 -1 -0 -0 -4 -0xc592 -0x49cf88cd -256 -256 -0 -0 -0 -4 -0x9470 -0x394113e -256 -256 -0 -0 -0 -1 -0xc0f0 -0x31a5f687 -256 -256 -0 -0 -0 -2 -0x712f -0xba2f9411 -256 -256 -1 -0 -0 -1 -0x7493 -0x1821d21c -256 -256 -0 -0 -0 -3 -0x1e2a -0xa1cd325c -256 -256 -1 -0 -0 -1 -0x7b0c -0x47674609 -256 -256 -1 -0 -0 -3 -0x7b2f -0x7c29f250 -256 -256 -1 -0 -0 -2 -0xfc77 -0x5da5a654 -256 -256 -0 -0 -0 -3 -0x201c -0x632a9bca -256 -256 -1 -0 -0 -4 -0xadf4 -0xd05f4df6 -256 -256 -0 -0 -0 -1 -0xc93f -0x4a5de690 -256 -256 -1 -0 -0 -4 -0xf8cb -0xcdedcfb3 -256 -256 -1 -0 -0 -3 -0xde45 -0xdd77564f -256 -256 -1 -0 -0 -2 -0xefe0 -0x4ef94610 -256 -256 -1 -0 -0 -2 -0xe301 -0xfb96b4b5 -256 -256 -1 -0 -0 -1 -0x4990 -0xafc920b2 -256 -256 -0 -0 -0 -3 -0xe8b0 -0x9b97ca14 -256 -256 -1 -0 -0 -1 -0x76f -0x9a93324a -256 -256 -0 -0 -0 -1 -0x2023 -0x6895c3f4 -256 -256 -1 -0 -0 -4 -0x8634 -0x344c1a83 -256 -256 -0 -0 -0 -3 -0x1c80 -0x5ec32e2e -256 -256 -0 -0 -0 -2 -0x2aa0 -0x99aa4b39 -256 -256 -0 -0 -0 -4 -0x330c -0xd7d7cc41 -256 -256 -1 -0 -0 -2 -0xbbd1 -0x56e9569f -256 -256 -0 -0 -0 -2 -0xc80f -0xc576f644 -256 -256 -0 -0 -0 -3 -0x2bd3 -0xa5b83717 -256 -256 -1 -0 -0 -2 -0xc90a -0xd99a1929 -256 -256 -0 -0 -0 -3 -0x536b -0xd18978c5 -256 -256 -1 -0 -0 -1 -0x8611 -0xce4fe2ca -256 -256 -1 -0 -0 -3 -0x6a5e -0x87dd186a -256 -256 -0 -0 -0 -1 -0x1856 -0x4cd8a331 -256 -256 -1 -0 -0 -3 -0xc983 -0x7c86c2e3 -256 -256 -0 -0 -0 -3 -0x5eab -0x69d28c0c -256 -256 -1 -0 -0 -4 -0x9f37 -0xafd51574 -256 -256 -1 -0 -0 -3 -0xfeb2 -0x795eddb6 -256 -256 -0 -0 -0 -1 -0x1e7f -0x5167b7c4 -256 -256 -0 -0 -0 -1 -0x97c -0xb66c04e7 -256 -256 -1 -0 -0 -4 -0xf590 -0x7b43e65f -256 -256 -0 -0 -0 -2 -0x9923 -0x10dc6f71 -256 -256 -0 -0 -0 -3 -0xe332 -0x2f5f1335 -256 -256 -0 -0 -0 -4 -0x62df -0xebf835b9 -256 -256 -0 -0 -0 -1 -0xc909 -0x8af77aef -256 -256 -1 -0 -0 -1 -0xfeb -0x67d82178 -256 -256 -0 -0 -0 -2 -0xfe30 -0x742353e9 -256 -256 -1 -0 -0 -4 -0x9995 -0xcb7d662f -256 -256 -1 -0 -0 -4 -0xa134 -0x608dc87b -256 -256 -1 -0 -0 -2 -0xba57 -0x423157a1 -256 -256 -1 -0 -0 -4 -0x741c -0x53dbd941 -256 -256 -1 -0 -0 -3 -0x5899 -0x4c03e5aa -256 -256 -1 -0 -0 -4 -0xeddb -0x8865f322 -256 -256 -0 -0 -0 -3 -0x91f9 -0x938c2ee1 -256 -256 -0 -0 -0 -1 -0xe41 -0xbd62fcba -256 -256 -1 -0 -0 -3 -0xdba9 -0xae770ca5 -256 -256 -0 -0 -0 -3 -0x3681 -0x576e639d -256 -256 -1 -0 -0 -1 -0xadb -0xcce84294 -256 -256 -0 -0 -0 -4 -0xd106 -0x9a754383 -256 -256 -0 -0 -0 -4 -0x9019 -0x7f652cd -256 -256 -0 -0 -0 -3 -0x2457 -0x8684042f -256 -256 -1 -0 -0 -1 -0x9ad4 -0x782479a7 -256 -256 -1 -0 -0 -2 -0x9272 -0x920fa1b3 -256 -256 -0 -0 -0 -1 -0x45a8 -0xdfc4ee1 -256 -256 -0 -0 -0 -3 -0xcb7f -0x172825bf -256 -256 -1 -0 -0 -3 -0xde6e -0xcc3c96fb -256 -256 -1 -0 -0 -1 -0x79ef -0x2b6aee15 -256 -256 -1 -0 -0 -1 -0x913b -0xbbc7159f -256 -256 -1 -0 -0 -4 -0x24e -0x57505854 -256 -256 -0 -0 -0 -4 -0x67ad -0x75df9328 -256 -256 -1 -0 -0 -3 -0xa39 -0xb76d94ae -256 -256 -0 -0 -0 -2 -0x74ce -0x98ad92b3 -256 -256 -1 -0 -0 -1 -0x1485 -0xf8a7f7e6 -256 -256 -1 -0 -0 -4 -0x4366 -0x3df05469 -256 -256 -0 -0 -0 -2 -0x19f5 -0xc0f45287 -256 -256 -1 -0 -0 -2 -0xdcfa -0x7b0cc6ed -256 -256 -0 -0 -0 -1 -0x5f9f -0x1d9ae06f -256 -256 -1 -0 -0 -1 -0xfb40 -0x26265ce2 -256 -256 -0 -0 -0 -4 -0xa522 -0xbed210c4 -256 -256 -0 -0 -0 -1 -0xe1c9 -0x10f73d27 -256 -256 -0 -0 -0 -1 -0x3a8a -0x911eba77 -256 -256 -0 -0 -0 -4 -0x92a6 -0x5e8a25e7 -256 -256 -0 -0 -0 -4 -0xd2b5 -0x84fba366 -256 -256 -0 -0 -0 -1 -0x7de2 -0x6ba21f62 -256 -256 -1 -0 -0 -2 -0x7503 -0x6da67323 -256 -256 -1 -0 -0 -3 -0x19cc -0xc943eeb -256 -256 -0 -0 -0 -2 -0x8dc7 -0x3deaaa93 -256 -256 -1 -0 -0 -3 -0xafb5 -0x7a64ce95 -256 -256 -0 -0 -0 -3 -0xdfad -0xccfd28a7 -256 -256 -0 -0 -0 -4 -0x4eed -0x7a7ab623 -256 -256 -0 -0 -0 -3 -0x448e -0x91e7d6b9 -256 -256 -0 -0 -0 -2 -0xf2da -0x15717882 -256 -256 -0 -0 -0 -2 -0x511a -0xc3df6cf9 -256 -256 -1 -0 -0 -3 -0x1587 -0xfdff36f9 -256 -256 -0 -0 -0 -1 -0x5351 -0xe9dc2e4b -256 -256 -0 -0 -0 -1 -0x1ffa -0x8dba4027 -256 -256 -0 -0 -0 -3 -0xd4d2 -0xfb605c -256 -256 -1 -0 -0 -1 -0xb83c -0xe97c9e04 -256 -256 -0 -0 -0 -3 -0xeba3 -0x56bc294e -256 -256 -1 -0 -0 -1 -0x7935 -0x1d2b4257 -256 -256 -0 -0 -0 -1 -0x19fb -0x83904a46 -256 -256 -1 -0 -0 -4 -0x3968 -0xbe723087 -256 -256 -0 -0 -0 -3 -0xee44 -0xf184d6e7 -256 -256 -0 -0 -0 -1 -0x72b1 -0xaf9ecaa0 -256 -256 -1 -0 -0 -4 -0x5c61 -0xb519cb0f -256 -256 -0 -0 -0 -4 -0x9281 -0xfe2f8a8f -256 -256 -1 -0 -0 -3 -0xbbb5 -0x948ede22 -256 -256 -0 -0 -0 -2 -0x11f6 -0x307d4746 -256 -256 -1 -0 -0 -2 -0xc7f0 -0x10b840c2 -256 -256 -1 -0 -0 -1 -0x7017 -0x672363f1 -256 -256 -1 -0 -0 -2 -0x5bf2 -0xf656a2d7 -256 -256 -1 -0 -0 -4 -0xc31a -0x35d1b020 -256 -256 -0 -0 -0 -3 -0xb34a -0xf4333098 -256 -256 -0 -0 -0 -1 -0x658b -0xbd058688 -256 -256 -0 -0 -0 -4 -0xb0c1 -0x600d20ae -256 -256 -1 -0 -0 -2 -0x3193 -0xfa8dc8d3 -256 -256 -1 -0 -0 -2 -0xb00f -0xa853c6a2 -256 -256 -1 -0 -0 -4 -0xf7e9 -0x30925909 -256 -256 -0 -0 -0 -2 -0xa970 -0x6db608b -256 -256 -0 -0 -0 -1 -0x4600 -0x8a782c45 -256 -256 -1 -0 -0 -1 -0xec79 -0xb55341a4 -256 -256 -1 -0 -0 -2 -0x716f -0xa6cb9b55 -256 -256 -0 -0 -0 -1 -0xc2e3 -0xf801d996 -256 -256 -1 -0 -0 -3 -0x98a2 -0x15d6351 -256 -256 -0 -0 -0 -1 -0x75cd -0xd2a38011 -256 -256 -0 -0 -0 -2 -0xaaa -0x2587b1ff -256 -256 -0 -0 -0 -3 -0x6faa -0xfc993993 -256 -256 -0 -0 -0 -1 -0x78a9 -0xd6c9ec05 -256 -256 -1 -0 -0 -3 -0x322d -0xafc43ed -256 -256 -0 -0 -0 -4 -0x2683 -0x9f4eb730 -256 -256 -1 -0 -0 -1 -0x5bc7 -0x21d8bf4a -256 -256 -0 -0 -0 -1 -0xd12a -0x59478482 -256 -256 -1 -0 -0 -4 -0x3ed7 -0x361289a1 -256 -256 -1 -0 -0 -4 -0x5733 -0x78c3c060 -256 -256 -1 -0 -0 -4 -0xea59 -0x2852fc2e -256 -256 -0 -0 -0 -4 -0x296d -0x9d1b0cc2 -256 -256 -0 -0 -0 -1 -0x9a44 -0xe8366130 -256 -256 -0 -0 -0 -2 -0x77e -0xe31365ef -256 -256 -1 -0 -0 -2 -0x756b -0xd0785935 -256 -256 -0 -0 -0 -4 -0xef59 -0x37ecf172 -256 -256 -1 -0 -0 -3 -0xce13 -0x7c3f5c26 -256 -256 -0 -0 -0 -3 -0x76a9 -0xdbe970c8 -256 -256 -0 -0 -0 -3 -0x7ca4 -0x97a90b56 -256 -256 -1 -0 -0 -3 -0xac3e -0x2c85e22a -256 -256 -0 -0 -0 -2 -0xf2ce -0x9da09325 -256 -256 -0 -0 -0 -1 -0x699f -0x59789937 -256 -256 -1 -0 -0 -2 -0xe248 -0xd4cb154a -256 -256 -0 -0 -0 -3 -0x8ea0 -0xe9212bfd -256 -256 -0 -0 -0 -1 -0xa862 -0xd0bd1859 -256 -256 -1 -0 -0 -2 -0x356e -0x62fd4047 -256 -256 -1 -0 -0 -4 -0x3847 -0x6e57d2cd -256 -256 -1 -0 -0 -1 -0xb153 -0x4e98d39b -256 -256 -1 -0 -0 -1 -0x617d -0x41c4c612 -256 -256 -0 -0 -0 -1 -0x1a48 -0x13a67a21 -256 -256 -1 -0 -0 -2 -0x2484 -0x1496c10a -256 -256 -1 -0 -0 -1 -0xbe8a -0x1907b2f7 -256 -256 -1 -0 -0 -4 -0x3a2 -0x3cfd94ff -256 -256 -0 -0 -0 -3 -0x8acb -0x3eddd1fe -256 -256 -1 -0 -0 -4 -0xcb90 -0xb9f8d191 -256 -256 -0 -0 -0 -1 -0x8632 -0xc1fb5667 -256 -256 -0 -0 -0 -1 -0x9615 -0x5597ba8b -256 -256 -0 -0 -0 -3 -0x917c -0x6f9ae521 -256 -256 -1 -0 -0 -3 -0x7077 -0x18089c88 -256 -256 -1 -0 -0 -4 -0xf6c7 -0xe710db47 -256 -256 -0 -0 -0 -3 -0xbde0 -0x7e659d7b -256 -256 -0 -0 -0 -1 -0x1627 -0xb9484c2b -256 -256 -0 -0 -0 -1 -0x28e -0x7004c58f -256 -256 -0 -0 -0 -1 -0xdcb2 -0x6d9f55b8 -256 -256 -0 -0 -0 -2 -0x4995 -0x7276edcb -256 -256 -1 -0 -0 -4 -0x6f13 -0xe8a9982c -256 -256 -1 -0 -0 -4 -0xbec5 -0x598b6500 -256 -256 -0 -0 -0 -3 -0x5728 -0x4f8874a7 -256 -256 -1 -0 -0 -4 -0x44bd -0x4a658fd1 -256 -256 -0 -0 -0 -1 -0x7d56 -0x959a9c90 -256 -256 -0 -0 -0 -3 -0xfbaf -0x5ad6e5f1 -256 -256 -1 -0 -0 -3 -0x32ea -0xe2743c89 -256 -256 -0 -0 -0 -4 -0xfde8 -0xe1de4901 -256 -256 -0 -0 -0 -1 -0xbd6c -0x190b8e3e -256 -256 -1 -0 -0 -3 -0xf00f -0x3b63f322 -256 -256 -1 -0 -0 -1 -0xede9 -0xf00b35d1 -256 -256 -0 -0 -0 -4 -0xfa15 -0xab62509f -256 -256 -0 -0 -0 -3 -0x2d52 -0xf0114ee -256 -256 -0 -0 -0 -3 -0x3730 -0xc6fe8c2f -256 -256 -0 -0 -0 -2 -0x72c4 -0xeee802d9 -256 -256 -1 -0 -0 -2 -0xbab4 -0xec692696 -256 -256 -0 -0 -0 -2 -0x79a9 -0xa97678ec -256 -256 -1 -0 -0 -2 -0xa4b -0x13dc904e -256 -256 -1 -0 -0 -4 -0x96d5 -0x80450e86 -256 -256 -0 -0 -0 -3 -0xdfa6 -0x87a68f7f -256 -256 -1 -0 -0 -4 -0x3f17 -0xe110710e -256 -256 -1 -0 -0 -4 -0xf691 -0xf3be07fa -256 -256 -1 -0 -0 -3 -0xb0d8 -0x9619bebd -256 -256 -0 -0 -0 -4 -0x8eef -0x8ae87a7e -256 -256 -1 -0 -0 -2 -0x5078 -0xef7d4a1c -256 -256 -1 -0 -0 -3 -0xf75b -0x84f2c942 -256 -256 -1 -0 -0 -1 -0x9241 -0xa0d9527b -256 -256 -1 -0 -0 -4 -0x58ee -0x47a6c70d -256 -256 -0 -0 -0 -1 -0x8213 -0x4373d890 -256 -256 -1 -0 -0 -1 -0xb9cb -0x158685ab -256 -256 -0 -0 -0 -1 -0xf6ad -0x7ab91464 -256 -256 -0 -0 -0 -1 -0xc0d2 -0x53063a7f -256 -256 -0 -0 -0 -2 -0x9ae9 -0x725e1f7f -256 -256 -0 -0 -0 -3 -0xadc4 -0xd585ee0 -256 -256 -1 -0 -0 -4 -0xc049 -0x2a7ca22c -256 -256 -0 -0 -0 -2 -0x86cb -0x60640b0 -256 -256 -0 -0 -0 -1 -0xdf6f -0x7baae21d -256 -256 -1 -0 -0 -2 -0xda2e -0x882d97f3 -256 -256 -1 -0 -0 -2 -0x40dc -0xc5b79cec -256 -256 -1 -0 -0 -1 -0x60bf -0xa4eb22e4 -256 -256 -1 -0 -0 -4 -0x7f6c -0xa463b3d0 -256 -256 -1 -0 -0 -2 -0xe9de -0x809bc58b -256 -256 -1 -0 -0 -2 -0x2650 -0xdeea5af7 -256 -256 -1 -0 -0 -2 -0x7930 -0xc82c11bd -256 -256 -1 -0 -0 -3 -0x636c -0x463686fb -256 -256 -1 -0 -0 -4 -0xf15 -0xcd268cf1 -256 -256 -1 -0 -0 -1 -0x7e3e -0x88bdab63 -256 -256 -1 -0 -0 -2 -0x738a -0xb2d87c18 -256 -256 -0 -0 -0 -2 -0x8b37 -0xc8d7d1fe -256 -256 -0 -0 -0 -4 -0xe203 -0x11b350d1 -256 -256 -1 -0 -0 -1 -0x7c2d -0x49be6bd -256 -256 -0 -0 -0 -4 -0xd5e0 -0xb7a6f42b -256 -256 -1 -0 -0 -1 -0xa475 -0x2770c1ce -256 -256 -0 -0 -0 -4 -0xc5b1 -0x56df9c66 -256 -256 -0 -0 -0 -1 -0x5e9f -0xe4c740bb -256 -256 -0 -0 -0 -1 -0xeab5 -0x257046ad -256 -256 -1 -0 -0 -3 -0x854a -0x4f3ffdbf -256 -256 -1 -0 -0 -1 -0x9fab -0xd4626516 -256 -256 -0 -0 -0 -4 -0xbb0e -0x250eeda0 -256 -256 -0 -0 -0 -3 -0x46d -0xe752510f -256 -256 -0 -0 -0 -4 -0x15de -0xb2a9114f -256 -256 -1 -0 -0 -1 -0xbd48 -0x2fc9ead9 -256 -256 -1 -0 -0 -3 -0x4789 -0x14b6773b -256 -256 -0 -0 -0 -2 -0x10f9 -0x3a058b8f -256 -256 -1 -0 -0 -4 -0xf7bd -0xc3746e68 -256 -256 -0 -0 -0 -2 -0x784a -0x124de0cf -256 -256 -1 -0 -0 -3 -0xcb43 -0x2dc51da3 -256 -256 -1 -0 -0 -3 -0x7ae0 -0xca882703 -256 -256 -0 -0 -0 -1 -0x709b -0xbc5f4c74 -256 -256 -1 -0 -0 -1 -0x524e -0x911c928b -256 -256 -1 -0 -0 -3 -0x26c -0xc82de197 -256 -256 -1 -0 -0 -2 -0xdceb -0xab8f143f -256 -256 -0 -0 -0 -2 -0x1a6d -0x71d73193 -256 -256 -1 -0 -0 -3 -0x212 -0x6461efc8 -256 -256 -0 -0 -0 -1 -0xaa62 -0xd51c803c -256 -256 -1 -0 -0 -4 -0x6167 -0x46fe3192 -256 -256 -1 -0 -0 -4 -0xc6bb -0xfa58d4f4 -256 -256 -1 -0 -0 -4 -0x1840 -0x1064cf2d -256 -256 -0 -0 -0 -3 -0xa97e -0xa6de0163 -256 -256 -0 -0 -0 -2 -0x3296 -0xffe10529 -256 -256 -0 -0 -0 -2 -0xb073 -0x6e6a6474 -256 -256 -0 -0 -0 -3 -0x9a7d -0x444037ae -256 -256 -0 -0 -0 -4 -0xf87f -0xfe3072bf -256 -256 -1 -0 -0 -4 -0xda24 -0x38c891b9 -256 -256 -0 -0 -0 -4 -0x7a13 -0x6124a76c -256 -256 -0 -0 -0 -4 -0xc8b0 -0x9e7474e8 -256 -256 -1 -0 -0 -1 -0x9fc3 -0x484a020f -256 -256 -1 -0 -0 -2 -0x8f26 -0x1558c221 -256 -256 -0 -0 -0 -4 -0x1cd -0x42387750 -256 -256 -1 -0 -0 -3 -0x6054 -0xfcddcdf8 -256 -256 -0 -0 -0 -2 -0x28b8 -0xc93f4e9 -256 -256 -1 -0 -0 -4 -0x3a28 -0x6f9ebef7 -256 -256 -1 -0 -0 -1 -0x7509 -0x5bc3c6a5 -256 -256 -1 -0 -0 -3 -0x414d -0xc7ce4b21 -256 -256 -0 -0 -0 -4 -0x67fc -0xeae13b4f -256 -256 -0 -0 -0 -2 -0xb882 -0x4a3ad425 -256 -256 -0 -0 -0 -3 -0xf338 -0xc13920ea -256 -256 -1 -0 -0 -4 -0x9ffd -0x52c640e -256 -256 -0 -0 -0 -2 -0xe7f4 -0x2bafb7dc -256 -256 -0 -0 -0 -1 -0x98e6 -0x4b76f8b2 -256 -256 -0 -0 -0 -4 -0x4259 -0x79e5a3fb -256 -256 -1 -0 -0 -2 -0x39e2 -0xeeb431bf -256 -256 -0 -0 -0 -4 -0x59b2 -0x9d333a4f -256 -256 -0 -0 -0 -1 -0xbccb -0xba0f34ab -256 -256 -1 -0 -0 -3 -0xe994 -0x22054cb2 -256 -256 -0 -0 -0 -2 -0x9c28 -0x5d2363c -256 -256 -0 -0 -0 -3 -0x253a -0x161fd920 -256 -256 -0 -0 -0 -3 -0x1167 -0x81af4b0e -256 -256 -1 -0 -0 -4 -0x3ac2 -0xb13e89fd -256 -256 -0 -0 -0 -2 -0xb81d -0x25a9820c -256 -256 -1 -0 -0 -1 -0xfd3 -0x6775570a -256 -256 -1 -0 -0 -3 -0xcc05 -0xb2618688 -256 -256 -1 -0 -0 -4 -0xb98c -0x1acb751b -256 -256 -0 -0 -0 -4 -0x5e63 -0x69ee7d72 -256 -256 -1 -0 -0 -1 -0xa495 -0xa1f1c51 -256 -256 -0 -0 -0 -2 -0x9c59 -0x9230f0e7 -256 -256 -0 -0 -0 -1 -0x81a3 -0xd77c780f -256 -256 -0 -0 -0 -3 -0xa7af -0x8dc31351 -256 -256 -0 -0 -0 -2 -0xeb9 -0x382472c8 -256 -256 -1 -0 -0 -3 -0x9a85 -0xcfcf73e0 -256 -256 -0 -0 -0 -1 -0xfb36 -0xb629c4e7 -256 -256 -1 -0 -0 -1 -0xa71a -0x10dfa96c -256 -256 -0 -0 -0 -2 -0xbafb -0x41850a18 -256 -256 -0 -0 -0 -1 -0xa650 -0x1dbc0482 -256 -256 -1 -0 -0 -1 -0x605b -0x12c38206 -256 -256 -0 -0 -0 -1 -0x6da2 -0xa2a4d570 -256 -256 -1 -0 -0 -1 -0xcaab -0x8d20e263 -256 -256 -1 -0 -0 -4 -0xce06 -0x85b2123e -256 -256 -0 -0 -0 -3 -0xc50 -0xdfd1341c -256 -256 -1 -0 -0 -1 -0x3489 -0x5a84d0ad -256 -256 -0 -0 -0 -2 -0xb321 -0x756c419e -256 -256 -0 -0 -0 -2 -0x1461 -0xc8adc274 -256 -256 -1 -0 -0 -3 -0x9853 -0xfe295e84 -256 -256 -1 -0 -0 -4 -0x494b -0xa96a27d1 -256 -256 -0 -0 -0 -4 -0xa9fd -0x6eb6eb1f -256 -256 -0 -0 -0 -2 -0x5910 -0x5727d35 -256 -256 -0 -0 -0 -2 -0xef0a -0x3de0ca55 -256 -256 -0 -0 -0 -4 -0x1a77 -0xf7d942b3 -256 -256 -0 -0 -0 -3 -0xff1f -0xd8da21f -256 -256 -0 -0 -0 -1 -0xfb82 -0xb64f90d9 -256 -256 -1 -0 -0 -1 -0x98f0 -0x419a9f86 -256 -256 -0 -0 -0 -4 -0x725d -0x67d69393 -256 -256 -1 -0 -0 -3 -0xd507 -0x4aaed7ed -256 -256 -0 -0 -0 -1 -0x69f -0xc6626bde -256 -256 -0 -0 -0 -4 -0x9a80 -0x68bd8f80 -256 -256 -1 -0 -0 -3 -0xd6a2 -0x2693caf7 -256 -256 -1 -0 -0 -3 -0x9aa3 -0x4959b0da -256 -256 -1 -0 -0 -4 -0xd23a -0x6b6fdb29 -256 -256 -0 -0 -0 -3 -0x6ca2 -0xf9a4020f -256 -256 -1 -0 -0 -1 -0xd44e -0x8c30d1e7 -256 -256 -0 -0 -0 -2 -0x5a8c -0x9780f5ba -256 -256 -1 -0 -0 -1 -0xe562 -0x639f10da -256 -256 -1 -0 -0 -1 -0x21fd -0x386561be -256 -256 -0 -0 -0 -3 -0x7f67 -0xfda928c4 -256 -256 -0 -0 -0 -2 -0x4c61 -0xd41d80fd -256 -256 -0 -0 -0 -4 -0xdabf -0x5d108527 -256 -256 -0 -0 -0 -3 -0x24de -0x44eff126 -256 -256 -0 -0 -0 -1 -0xd3f4 -0xf4514bf3 -256 -256 -0 -0 -0 -3 -0xb0c9 -0x10b3be56 -256 -256 -1 -0 -0 -2 -0xe352 -0x8f04d1f0 -256 -256 -1 -0 -0 -2 -0x8318 -0x198c7ab8 -256 -256 -0 -0 -0 -1 -0x210b -0xdaf14195 -256 -256 -0 -0 -0 -1 -0xfd0 -0xa2c0a8eb -256 -256 -1 -0 -0 -2 -0xf22a -0xc37a17dc -256 -256 -1 -0 -0 -4 -0x826a -0x14160bcd -256 -256 -1 -0 -0 -3 -0x4e0b -0x741805a -256 -256 -0 -0 -0 -4 -0x9cc8 -0x2227277b -256 -256 -0 -0 -0 -4 -0xb80f -0xf9416c81 -256 -256 -1 -0 -0 -4 -0x62d5 -0x21327a32 -256 -256 -0 -0 -0 -2 -0xf6d9 -0x792bc7ce -256 -256 -1 -0 -0 -1 -0x759e -0xd4d20941 -256 -256 -0 -0 -0 -3 -0x54c7 -0x374d9021 -256 -256 -1 -0 -0 -2 -0xeca -0x387a4e73 -256 -256 -1 -0 -0 -2 -0xc008 -0xc5e230fc -256 -256 -1 -0 -0 -3 -0x2244 -0xb3487ad2 -256 -256 -0 -0 -0 -4 -0xbdb1 -0x58f96393 -256 -256 -0 -0 -0 -2 -0xded -0x6c95b49 -256 -256 -0 -0 -0 -4 -0x1472 -0x3dc659f7 -256 -256 -1 -0 -0 -3 -0xca94 -0xe6d46090 -256 -256 -1 -0 -0 -1 -0x755f -0xcb6905bc -256 -256 -1 -0 -0 -4 -0x83cf -0x28f6b95c -256 -256 -1 -0 -0 -3 -0x57a8 -0x78a4262c -256 -256 -1 -0 -0 -4 -0x42d1 -0x1178433b -256 -256 -0 -0 -0 -3 -0xe95f -0x3f3fcfa7 -256 -256 -0 -0 -0 -3 -0xf202 -0x2bdb4889 -256 -256 -0 -0 -0 -1 -0x7b56 -0xabff7dbb -256 -256 -1 -0 -0 -1 -0x4b28 -0xacf26109 -256 -256 -0 -0 -0 -1 -0xf51e -0xbe8f08ce -256 -256 -0 -0 -0 -4 -0x3f64 -0xa6b9bfae -256 -256 -1 -0 -0 -2 -0x4690 -0x362c0d0d -256 -256 -1 -0 -0 -1 -0xcd2 -0xce0ded53 -256 -256 -0 -0 -0 -4 -0x184d -0x301ebad0 -256 -256 -1 -0 -0 -3 -0xe6ee -0x433e0de8 -256 -256 -1 -0 -0 -3 -0xd049 -0x1d64c73b -256 -256 -0 -0 -0 -4 -0xbd3c -0x72046963 -256 -256 -1 -0 -0 -4 -0x5a93 -0x39b34900 -256 -256 -1 -0 -0 -3 -0x93ea -0xc961c1f3 -256 -256 -1 -0 -0 -3 -0xbe4f -0xe5fe09a -256 -256 -1 -0 -0 -4 -0x99c0 -0xeae77864 -256 -256 -0 -0 -0 -3 -0x3f2d -0xb5366a42 -256 -256 -0 -0 -0 -3 -0x6958 -0xd0e16c23 -256 -256 -0 -0 -0 -4 -0xe5ba -0xc6a347b7 -256 -256 -0 -0 -0 -2 -0x7ad7 -0xeddaf687 -256 -256 -1 -0 -0 -3 -0x1ff -0xe91f65f6 -256 -256 -0 -0 -0 -4 -0x2f7e -0xabc82e4b -256 -256 -1 -0 -0 -2 -0x6543 -0x5b58ecaf -256 -256 -0 -0 -0 -3 -0xa0f5 -0x3dd2bd69 -256 -256 -0 -0 -0 -4 -0xb2e5 -0x96d829cb -256 -256 -0 -0 -0 -3 -0xf942 -0xb9547270 -256 -256 -1 -0 -0 -4 -0xf493 -0x2ef4bb60 -256 -256 -0 -0 -0 -1 -0xb26 -0x1334be29 -256 -256 -0 -0 -0 -4 -0x5df4 -0xef8ed88 -256 -256 -1 -0 -0 -3 -0xd6f -0x2b1630a3 -256 -256 -0 -0 -0 -1 -0xe06 -0x5bf98f17 -256 -256 -0 -0 -0 -1 -0x3bb -0x4bd8dbf -256 -256 -0 -0 -0 -3 -0xdf24 -0x7b76a6bc -256 -256 -1 -0 -0 -1 -0xd053 -0xe83b57f1 -256 -256 -0 -0 -0 -2 -0xb848 -0x53892a32 -256 -256 -0 -0 -0 -4 -0x4f88 -0x6aabd13e -256 -256 -0 -0 -0 -3 -0x51f -0xca6b462c -256 -256 -1 -0 -0 -1 -0xf1b8 -0xeccbc121 -256 -256 -0 -0 -0 -4 -0xb0e4 -0xbaebd668 -256 -256 -0 -0 -0 -4 -0x66c9 -0x1573760d -256 -256 -0 -0 -0 -4 -0x9ecf -0x35b69abb -256 -256 -1 -0 -0 -1 -0x53a4 -0xc5e44524 -256 -256 -1 -0 -0 -3 -0xa827 -0xef042041 -256 -256 -0 -0 -0 -1 -0x704d -0x88481cd1 -256 -256 -0 -0 -0 -3 -0x396c -0x57a82418 -256 -256 -0 -0 -0 -3 -0x4457 -0x22c4d1f0 -256 -256 -1 -0 -0 -1 -0x7288 -0xa5e45f9d -256 -256 -0 -0 -0 -3 -0x421c -0xd40d8537 -256 -256 -0 -0 -0 -4 -0xb4af -0x1979a23 -256 -256 -1 -0 -0 -3 -0xebbf -0x4b84aba9 -256 -256 -0 -0 -0 -1 -0xf6d6 -0xc5458873 -256 -256 -0 -0 -0 -3 -0xd83d -0xff296f4a -256 -256 -1 -0 -0 -3 -0x58a7 -0xa7557693 -256 -256 -0 -0 -0 -4 -0xa798 -0xe6e62357 -256 -256 -1 -0 -0 -1 -0x6d50 -0x6e453da0 -256 -256 -0 -0 -0 -3 -0x86c9 -0x3573c282 -256 -256 -0 -0 -0 -4 -0x33c5 -0xf241599b -256 -256 -1 -0 -0 -1 -0xf6b -0xd9733df9 -256 -256 -0 -0 -0 -1 -0xf45d -0xaf7aa516 -256 -256 -0 -0 -0 -1 -0xd6b4 -0x37d59ff9 -256 -256 -0 -0 -0 -2 -0x5ba7 -0x303b2234 -256 -256 -0 -0 -0 -4 -0x7a2c -0x9a5b2a8f -256 -256 -0 -0 -0 -3 -0x4706 -0x847524ea -256 -256 -1 -0 -0 -2 -0xe3dd -0x26fb59a8 -256 -256 -1 -0 -0 -4 -0x6af8 -0x70c460f6 -256 -256 -0 -0 -0 -4 -0x6ca8 -0xbe7569b9 -256 -256 -0 -0 -0 -3 -0x7d29 -0x16558e37 -256 -256 -1 -0 -0 -1 -0xe890 -0xc0bd5d50 -256 -256 -0 -0 -0 -4 -0x1263 -0x49801e2f -256 -256 -1 -0 -0 -3 -0xe926 -0x6eed0f21 -256 -256 -1 -0 -0 -3 -0xf14c -0xaf225609 -256 -256 -0 -0 -0 -4 -0xb5f9 -0xd72ea00 -256 -256 -1 -0 -0 -1 -0x72cf -0xcd4ef6da -256 -256 -1 -0 -0 -2 -0x9317 -0x9eea72f7 -256 -256 -1 -0 -0 -4 -0x1e2c -0x4772ea52 -256 -256 -0 -0 -0 -1 -0x9899 -0xb9d6fc3b -256 -256 -0 -0 -0 -2 -0xe21c -0x25d971dd -256 -256 -0 -0 -0 -4 -0xc0b7 -0xc6854cd6 -256 -256 -1 -0 -0 -2 -0xa75e -0x44ca2f3e -256 -256 -0 -0 -0 -1 -0x1c6a -0x7b61db1b -256 -256 -0 -0 -0 -4 -0xb484 -0x2d41bdc9 -256 -256 -0 -0 -0 -1 -0xf40f -0xc12943bd -256 -256 -0 -0 -0 -3 -0x3256 -0x3b165c9f -256 -256 -1 -0 -0 -1 -0xa66b -0xac5e5562 -256 -256 -1 -0 -0 -4 -0x3133 -0xa25da422 -256 -256 -1 -0 -0 -1 -0x384b -0x143a2d35 -256 -256 -1 -0 -0 -2 -0x5307 -0xde516605 -256 -256 -1 -0 -0 -3 -0x2882 -0xe7ffdc9e -256 -256 -1 -0 -0 -1 -0xf232 -0x7697773 -256 -256 -1 -0 -0 -4 -0xe65e -0xca95da27 -256 -256 -1 -0 -0 -3 -0xa087 -0x4fa792aa -256 -256 -1 -0 -0 -3 -0xa1ab -0x42a31044 -256 -256 -0 -0 -0 -1 -0xf603 -0x1e2b7e1 -256 -256 -1 -0 -0 -4 -0x9826 -0x9664ca37 -256 -256 -1 -0 -0 -3 -0xc1e4 -0xe8d4165 -256 -256 -1 -0 -0 -1 -0x3c08 -0x23446a4d -256 -256 -0 -0 -0 -4 -0xac6c -0x740c9bc9 -256 -256 -0 -0 -0 -4 -0x6289 -0xd7390b9b -256 -256 -1 -0 -0 -4 -0x7579 -0xfc952db9 -256 -256 -0 -0 -0 -2 -0x4d5d -0xd71055b8 -256 -256 -0 -0 -0 -3 -0x21e5 -0x1ef59578 -256 -256 -0 -0 -0 -2 -0x93d4 -0x261e7beb -256 -256 -1 -0 -0 -1 -0x3584 -0xff87cdff -256 -256 -1 -0 -0 -3 -0x812f -0xd83b920a -256 -256 -1 -0 -0 -1 -0x2ccb -0x788bb8f4 -256 -256 -1 -0 -0 -4 -0x1beb -0x7473195b -256 -256 -0 -0 -0 -4 -0x4b18 -0xaf2abd86 -256 -256 -0 -0 -0 -2 -0x5e31 -0x76f36e39 -256 -256 -1 -0 -0 -1 -0x906c -0x9029c1d5 -256 -256 -1 -0 -0 -3 -0x5457 -0x58f63ec3 -256 -256 -0 -0 -0 -3 -0x29db -0xf5464a89 -256 -256 -0 -0 -0 -3 -0x568e -0xc4a6b69e -256 -256 -1 -0 -0 -2 -0xba4f -0x8a5b6ded -256 -256 -0 -0 -0 -4 -0x6b5b -0x69f1098c -256 -256 -0 -0 -0 -4 -0x4d0c -0x60a7476 -256 -256 -0 -0 -0 -3 -0xd55c -0xba318b07 -256 -256 -0 -0 -0 -4 -0x4c1c -0x1636278 -256 -256 -0 -0 -0 -1 -0xf079 -0x54c8886c -256 -256 -1 -0 -0 -2 -0xafcb -0xab9bc51d -256 -256 -1 -0 -0 -1 -0xedde -0x30c32c75 -256 -256 -1 -0 -0 -2 -0x2fd -0x63d5e732 -256 -256 -0 -0 -0 -3 -0xaacf -0x72dee418 -256 -256 -0 -0 -0 -1 -0x79ee -0x5486cb76 -256 -256 -1 -0 -0 -2 -0x789d -0x8db44961 -256 -256 -0 -0 -0 -1 -0x117b -0x95af7e9a -256 -256 -0 -0 -0 -2 -0x7d24 -0x94cdc5e3 -256 -256 -0 -0 -0 -3 -0x8f34 -0x3dee7f0f -256 -256 -1 -0 -0 -4 -0xf437 -0x55688665 -256 -256 -0 -0 -0 -1 -0xc864 -0x43387d55 -256 -256 -0 -0 -0 -4 -0xce10 -0x814e2fac -256 -256 -1 -0 -0 -4 -0x2a07 -0xba292a13 -256 -256 -0 -0 -0 -4 -0xa818 -0x2edda017 -256 -256 -0 -0 -0 -3 -0xa2a8 -0x79670567 -256 -256 -0 -0 -0 -3 -0xe4c6 -0x814498b5 -256 -256 -1 -0 -0 -1 -0xb1bf -0x4fe8fbab -256 -256 -1 -0 -0 -3 -0x6980 -0x57aa7134 -256 -256 -0 -0 -0 -1 -0xf958 -0x83943c4b -256 -256 -0 -0 -0 -1 -0x97ff -0xa2bcdf31 -256 -256 -0 -0 -0 -1 -0x1eba -0xbb542e85 -256 -256 -0 -0 -0 -4 -0x3b9b -0x9be3685e -256 -256 -0 -0 -0 -1 -0x8d65 -0xdba7ed6e -256 -256 -1 -0 -0 -1 -0x72a2 -0x90a7e791 -256 -256 -0 -0 -0 -3 -0x341 -0xd32aa3c4 -256 -256 -0 -0 -0 -1 -0x7ac7 -0x3e350f4f -256 -256 -1 -0 -0 -1 -0x6069 -0xf9e8451b -256 -256 -1 -0 -0 -1 -0x766f -0x1b1703d0 -256 -256 -0 -0 -0 -2 -0x9f50 -0x255af832 -256 -256 -0 -0 -0 -4 -0xe132 -0xe61a70b3 -256 -256 -1 -0 -0 -4 -0x9067 -0x41956af7 -256 -256 -0 -0 -0 -4 -0xba8c -0x73c10010 -256 -256 -0 -0 -0 -4 -0x9113 -0xc79dc111 -256 -256 -1 -0 -0 -3 -0xdc58 -0x83483e7a -256 -256 -0 -0 -0 -4 -0xc958 -0xb6a6d1de -256 -256 -0 -0 -0 -4 -0x5039 -0xa19192bc -256 -256 -1 -0 -0 -1 -0xd3bf -0xdaaff40f -256 -256 -1 -0 -0 -1 -0xaa57 -0xd48dbc72 -256 -256 -0 -0 -0 -4 -0x2e -0xcfa70479 -256 -256 -1 -0 -0 -4 -0x2321 -0x2696d81f -256 -256 -0 -0 -0 -1 -0x1d48 -0xa7c07497 -256 -256 -1 -0 -0 -1 -0xa1de -0x9631afc6 -256 -256 -1 -0 -0 -2 -0x62a4 -0xcb087ab2 -256 -256 -1 -0 -0 -3 -0xbf94 -0xb5a1cef9 -256 -256 -0 -0 -0 -2 -0xe125 -0xa7fd312b -256 -256 -1 -0 -0 -4 -0xc9f0 -0x311b69b -256 -256 -0 -0 -0 -3 -0x6b1 -0xb9e6d199 -256 -256 -1 -0 -0 -1 -0x805d -0x277c07 -256 -256 -1 -0 -0 -4 -0x8748 -0xbe00eba1 -256 -256 -0 -0 -0 -2 -0x86b8 -0xdb87ecd5 -256 -256 -0 -0 -0 -2 -0x3719 -0xbd5cdd39 -256 -256 -1 -0 -0 -2 -0x1de5 -0x1d54acac -256 -256 -1 -0 -0 -4 -0xf36a -0x601b33bf -256 -256 -0 -0 -0 -3 -0xb635 -0x69c7d966 -256 -256 -0 -0 -0 -4 -0x2d -0x7568e4a6 -256 -256 -0 -0 -0 -1 -0x76e4 -0x7d6bb80b -256 -256 -1 -0 -0 -3 -0x6da7 -0x577e859e -256 -256 -1 -0 -0 -3 -0xe3e5 -0xd30d2232 -256 -256 -0 -0 -0 -2 -0xba58 -0x236e74b0 -256 -256 -0 -0 -0 -3 -0xaa1c -0x70513ce5 -256 -256 -1 -0 -0 -2 -0x50d7 -0x87de9cd9 -256 -256 -0 -0 -0 -2 -0x2819 -0xc4d29143 -256 -256 -1 -0 -0 -4 -0x82a -0x9cd88142 -256 -256 -1 -0 -0 -3 -0xb53d -0xc45286b7 -256 -256 -1 -0 -0 -3 -0x7829 -0x99156a4b -256 -256 -0 -0 -0 -2 -0x4ae6 -0x4b5ee4e4 -256 -256 -0 -0 -0 -3 -0x2e89 -0xcf929428 -256 -256 -1 -0 -0 -1 -0xf09d -0xbecb7ef3 -256 -256 -1 -0 -0 -1 -0x99c2 -0x3fc7cb56 -256 -256 -1 -0 -0 -2 -0x4fec -0x6a5f9e4d -256 -256 -1 -0 -0 -3 -0xa616 -0x2fdce9f7 -256 -256 -0 -0 -0 -3 -0xa6c2 -0x9a1e00b6 -256 -256 -1 -0 -0 -3 -0xd95b -0x8b01512f -256 -256 -0 -0 -0 -4 -0x6bb2 -0xf8dc3142 -256 -256 -1 -0 -0 -3 -0x3c12 -0x351737ac -256 -256 -0 -0 -0 -4 -0x1a9f -0x5fc63d43 -256 -256 -1 -0 -0 -4 -0x4fbd -0xe5a3c25f -256 -256 -1 -0 -0 -1 -0xd3f7 -0x8d5d39c1 -256 -256 -0 -0 -0 -1 -0x5379 -0xd188c1c5 -256 -256 -0 -0 -0 -1 -0xd894 -0x336c40fa -256 -256 -1 -0 -0 -2 -0xd2b4 -0xe2127bdc -256 -256 -1 -0 -0 -2 -0x67c1 -0xd8f75ea4 -256 -256 -1 -0 -0 -1 -0x8361 -0x7bb3d862 -256 -256 -0 -0 -0 -1 -0x5c37 -0x23c6f915 -256 -256 -0 -0 -0 -2 -0xdbcc -0xf63cefd3 -256 -256 -0 -0 -0 -4 -0x60ad -0x88b236fb -256 -256 -1 -0 -0 -3 -0xbdb8 -0xc5c0580c -256 -256 -1 -0 -0 -2 -0xb694 -0xee142fd9 -256 -256 -1 -0 -0 -2 -0x7fae -0x9cf6d1b2 -256 -256 -0 -0 -0 -3 -0x202f -0x41b800d4 -256 -256 -1 -0 -0 -1 -0x4102 -0xf2c18702 -256 -256 -1 -0 -0 -1 -0x800d -0xe4d68ff5 -256 -256 -0 -0 -0 -4 -0xa5d6 -0xfe2bc1c3 -256 -256 -0 -0 -0 -2 -0x6b8f -0x31cd912f -256 -256 -1 -0 -0 -2 -0xedfa -0xb8de2c09 -256 -256 -0 -0 -0 -3 -0xe3d9 -0x3f5fb7 -256 -256 -1 -0 -0 -2 -0xfb20 -0xbf21baae -256 -256 -1 -0 -0 -2 -0x53cd -0x745447f0 -256 -256 -0 -0 -0 -3 -0x8c69 -0xaf1f3c3d -256 -256 -1 -0 -0 -3 -0x58c7 -0xe9912c71 -256 -256 -0 -0 -0 -3 -0xc31d -0x88f673bd -256 -256 -0 -0 -0 -3 -0xe679 -0x4576858e -256 -256 -0 -0 -0 -2 -0x16f6 -0xdc6c6e95 -256 -256 -0 -0 -0 -1 -0x45df -0x6b230619 -256 -256 -0 -0 -0 -2 -0xc65d -0x7c1a8858 -256 -256 -1 -0 -0 -3 -0xb39c -0x52cec551 -256 -256 -0 -0 -0 -3 -0x9e0d -0x7ed8dc63 -256 -256 -1 -0 -0 -2 -0xac52 -0x6c39a6cd -256 -256 -0 -0 -0 -4 -0x4396 -0xeff3492b -256 -256 -0 -0 -0 -1 -0xff2e -0xf6fab78c -256 -256 -1 -0 -0 -3 -0x63ff -0xe938bc7a -256 -256 -0 -0 -0 -4 -0x4616 -0xa232f3a8 -256 -256 -1 -0 -0 -1 -0x9562 -0xa12b7e80 -256 -256 -1 -0 -0 -4 -0x147c -0x2ba09f85 -256 -256 -1 -0 -0 -2 -0x8a5f -0xc1f4a60 -256 -256 -1 -0 -0 -1 -0xa6c0 -0xf6b468bb -256 -256 -1 -0 -0 -1 -0xade9 -0xa5e9437f -256 -256 -0 -0 -0 -2 -0xbee4 -0x7da9ab99 -256 -256 -0 -0 -0 -4 -0x17af -0x1f6aec7d -256 -256 -1 -0 -0 -2 -0xb1a3 -0xe54e6847 -256 -256 -0 -0 -0 -1 -0x666f -0xa6931e4a -256 -256 -1 -0 -0 -3 -0x25ac -0x7be93e05 -256 -256 -1 -0 -0 -3 -0xf946 -0x7e3582ed -256 -256 -1 -0 -0 -3 -0xe48e -0x77a6674c -256 -256 -1 -0 -0 -1 -0x9d1c -0xc290aa38 -256 -256 -0 -0 -0 -4 -0xb420 -0x901ee1a6 -256 -256 -1 -0 -0 -2 -0x88e0 -0x6bc6f0c3 -256 -256 -0 -0 -0 -3 -0xad05 -0x78ef789d -256 -256 -1 -0 -0 -3 -0x82b0 -0x7ae93a69 -256 -256 -1 -0 -0 -4 -0x9e81 -0x9c4f5b44 -256 -256 -0 -0 -0 -4 -0x49a8 -0x5728ba54 -256 -256 -1 -0 -0 -4 -0x6816 -0xe0f2c58a -256 -256 -0 -0 -0 -1 -0xd866 -0x185c175a -256 -256 -1 -0 -0 -4 -0x926a -0x6092ce47 -256 -256 -0 -0 -0 -4 -0x3f73 -0x4d0086 -256 -256 -0 -0 -0 -1 -0x4fc8 -0x6f4deb84 -256 -256 -1 -0 -0 -4 -0x4ddc -0x65e97c1e -256 -256 -0 -0 -0 -3 -0x7780 -0x73f42ba9 -256 -256 -1 -0 -0 -1 -0x7620 -0x2d7c2beb -256 -256 -0 -0 -0 -3 -0xa948 -0x9d5c3790 -256 -256 -1 -0 -0 -4 -0xac4b -0xa7b3dbaf -256 -256 -1 -0 -0 -2 -0x9d4b -0x5f235ab0 -256 -256 -0 -0 -0 -2 -0x29f4 -0x991fd12f -256 -256 -0 -0 -0 -4 -0xf7be -0xe278d19c -256 -256 -0 -0 -0 -4 -0x3073 -0x7ed96d5e -256 -256 -0 -0 -0 -4 -0xc405 -0xd92134b8 -256 -256 -1 -0 -0 -2 -0xc7f7 -0xb15c3dc1 -256 -256 -0 -0 -0 -4 -0xb4a9 -0xc6bfabc0 -256 -256 -1 -0 -0 -1 -0xaa19 -0xaf59eacb -256 -256 -1 -0 -0 -3 -0xb715 -0xfed7ff3 -256 -256 -0 -0 -0 -3 -0xdae5 -0x6cd926b0 -256 -256 -0 -0 -0 -1 -0x3a83 -0xb06b19de -256 -256 -0 -0 -0 -2 -0x3b35 -0x40edeb98 -256 -256 -0 -0 -0 -3 -0xf7dd -0x5062ccb4 -256 -256 -0 -0 -0 -3 -0x5dea -0x75140ea6 -256 -256 -1 -0 -0 -1 -0x41eb -0xc8313b8f -256 -256 -0 -0 -0 -2 -0xf16a -0x5ca08a48 -256 -256 -0 -0 -0 -3 -0x74b -0x527b0126 -256 -256 -1 -0 -0 -1 -0x6333 -0x908d8a78 -256 -256 -0 -0 -0 -2 -0xa7b3 -0xf50260ca -256 -256 -0 -0 -0 -2 -0x5726 -0x2c3b726b -256 -256 -0 -0 -0 -3 -0x6e97 -0xd4477c2a -256 -256 -1 -0 -0 -4 -0xb644 -0x79d5c167 -256 -256 -1 -0 -0 -4 -0x3e26 -0x6005aa5d -256 -256 -0 -0 -0 -3 -0x5478 -0x5fcb1435 -256 -256 -1 -0 -0 -4 -0xbd5d -0xb9711785 -256 -256 -1 -0 -0 -3 -0xc021 -0x53a097aa -256 -256 -1 -0 -0 -3 -0x53a3 -0x53a7bab8 -256 -256 -1 -0 -0 -2 -0xa263 -0x67313942 -256 -256 -1 -0 -0 -3 -0x11c5 -0x5776e69 -256 -256 -0 -0 -0 -4 -0xc9e -0x53ad5b0b -256 -256 -0 -0 -0 -3 -0xa713 -0x3d9fcfee -256 -256 -1 -0 -0 -4 -0x182f -0x488f9ddd -256 -256 -0 -0 -0 -4 -0xd78a -0x4cadbe65 -256 -256 -1 -0 -0 -2 -0x2977 -0xf42fa3ab -256 -256 -1 -0 -0 -4 -0x3f83 -0x581f0d14 -256 -256 -1 -0 -0 -3 -0x4bbe -0xfc0de004 -256 -256 -1 -0 -0 -4 -0xd2d8 -0x490ae086 -256 -256 -1 -0 -0 -1 -0x4e9 -0xc66c5f7 -256 -256 -0 -0 -0 -2 -0x34ce -0x8cce0d24 -256 -256 -1 -0 -0 -4 -0x70c8 -0x2679731c -256 -256 -1 -0 -0 -2 -0x6d92 -0xbb79473e -256 -256 -1 -0 -0 -1 -0xee3f -0x3a6c0260 -256 -256 -0 -0 -0 -2 -0xb05f -0xbb631573 -256 -256 -1 -0 -0 -3 -0x60f9 -0x2df6cc09 -256 -256 -1 -0 -0 -1 -0x62f4 -0x1cc54612 -256 -256 -0 -0 -0 -1 -0xb387 -0xc5007cc6 -256 -256 -0 -0 -0 -3 -0x2762 -0xb9c9c322 -256 -256 -1 -0 -0 -2 -0x215a -0x782ab6d7 -256 -256 -1 -0 -0 -3 -0x3f76 -0x1e6244d0 -256 -256 -0 -0 -0 -3 -0xae8e -0x3c3cebab -256 -256 -1 -0 -0 -3 -0x1f71 -0x73ba4807 -256 -256 -1 -0 -0 -2 -0xccdc -0xb42e0e14 -256 -256 -0 -0 -0 -4 -0xdaaa -0x84e25a2a -256 -256 -1 -0 -0 -2 -0x34a4 -0x7a38e075 -256 -256 -0 -0 -0 -2 -0x746d -0x4db80499 -256 -256 -0 -0 -0 -2 -0xaadf -0x69fccb14 -256 -256 -0 -0 -0 -4 -0xc6c8 -0xf74da004 -256 -256 -1 -0 -0 -3 -0xe0cc -0x8cebdd0f -256 -256 -1 -0 -0 -4 -0x55b7 -0x2759491a -256 -256 -1 -0 -0 -1 -0xa2ed -0xd6aafa5e -256 -256 -0 -0 -0 -4 -0xfd50 -0x7efb61bf -256 -256 -0 -0 -0 -4 -0xb5d2 -0xe3a974f8 -256 -256 -1 -0 -0 -2 -0xa15d -0x115a2be9 -256 -256 -1 -0 -0 -2 -0x79b8 -0x70d142a8 -256 -256 -1 -0 -0 -3 -0x5be4 -0x69a241d5 -256 -256 -1 -0 -0 -3 -0x2d0b -0xda0a31c -256 -256 -1 -0 -0 -3 -0xd1f7 -0x6ab5a44b -256 -256 -0 -0 -0 -3 -0xb1a5 -0x30f1acc8 -256 -256 -0 -0 -0 -4 -0x8cb1 -0x69afddd0 -256 -256 -1 -0 -0 -2 -0x528e -0x2255e44e -256 -256 -1 -0 -0 -3 -0xda02 -0x28fed7fa -256 -256 -1 -0 -0 -2 -0xd5f1 -0xf5933ea4 -256 -256 -0 -0 -0 -1 -0x3b7b -0x5d22ad0d -256 -256 -0 -0 -0 -3 -0x3021 -0x4348aeaa -256 -256 -0 -0 -0 -1 -0xa01c -0x311c6534 -256 -256 -1 -0 -0 -1 -0xcbb9 -0x159906c7 -256 -256 -1 -0 -0 -3 -0x375 -0x1b9a7d4d -256 -256 -1 -0 -0 -2 -0xf960 -0x310b3d65 -256 -256 -1 -0 -0 -4 -0x7da3 -0xfc3e761b -256 -256 -0 -0 -0 -2 -0xfba2 -0x56b867e8 -256 -256 -0 -0 -0 -1 -0xefb3 -0xdd5a26fc -256 -256 -0 -0 -0 -3 -0x686d -0x66ca5343 -256 -256 -0 -0 -0 -4 -0xb267 -0x8520500d -256 -256 -0 -0 -0 -3 -0x5905 -0x47a02fab -256 -256 -0 -0 -0 -1 -0xbf0f -0x8eff8c0b -256 -256 -1 -0 -0 -4 -0xbe7e -0x76b6e1dd -256 -256 -0 -0 -0 -3 -0xa296 -0xd1bd57e2 -256 -256 -0 -0 -0 -4 -0x4566 -0xb04f6c83 -256 -256 -0 -0 -0 -4 -0xd19d -0x6685129a -256 -256 -0 -0 -0 -4 -0x2b65 -0x48c8a088 -256 -256 -1 -0 -0 -1 -0xed00 -0x2d069043 -256 -256 -1 -0 -0 -1 -0xf06e -0x3e16afde -256 -256 -0 -0 -0 -3 -0x90dd -0x38b1d894 -256 -256 -1 -0 -0 -4 -0x781c -0x3754fa14 -256 -256 -1 -0 -0 -2 -0x4659 -0xaeaf8af7 -256 -256 -1 -0 -0 -3 -0xbb55 -0xd6cbb546 -256 -256 -1 -0 -0 -4 -0xc5ff -0x2046deee -256 -256 -0 -0 -0 -1 -0x580e -0xf44434bb -256 -256 -1 -0 -0 -4 -0x3a49 -0xf4e8132c -256 -256 -0 -0 -0 -1 -0x24fb -0xb89c6856 -256 -256 -0 -0 -0 -4 -0x33dd -0x3c93dcfc -256 -256 -1 -0 -0 -2 -0x1faa -0x86a4af72 -256 -256 -1 -0 -0 -1 -0x82c1 -0x855831c6 -256 -256 -1 -0 -0 -4 -0xc7b4 -0x8fb5e21d -256 -256 -0 -0 -0 -3 -0x306f -0xd98eecc7 -256 -256 -0 -0 -0 -4 -0xfba1 -0xefbc76e2 -256 -256 -0 -0 -0 -4 -0x3c34 -0xa1154e96 -256 -256 -0 -0 -0 -3 -0xe350 -0x6699218d -256 -256 -1 -0 -0 -2 -0x536a -0xe5121eb3 -256 -256 -1 -0 -0 -4 -0xd79b -0xcd0cb4f -256 -256 -0 -0 -0 -4 -0xb51b -0xe1df18a0 -256 -256 -1 -0 -0 -1 -0x409c -0xefcf5130 -256 -256 -1 -0 -0 -2 -0x542c -0xe84d0653 -256 -256 -1 -0 -0 -4 -0x6b4 -0x9b1b891e -256 -256 -0 -0 -0 -4 -0x606 -0xb541506c -256 -256 -0 -0 -0 -2 -0xb42c -0xa7d5b482 -256 -256 -1 -0 -0 -1 -0xa57e -0xc549738d -256 -256 -0 -0 -0 -1 -0xcfbe -0x78fb692 -256 -256 -0 -0 -0 -3 -0xfb5 -0x1f327119 -256 -256 -1 -0 -0 -3 -0x29e3 -0x436c11a1 -256 -256 -0 -0 -0 -2 -0x7349 -0x249035f2 -256 -256 -0 -0 -0 -3 -0x9b0c -0x622ec090 -256 -256 -0 -0 -0 -1 -0xc693 -0xfa2f7aac -256 -256 -1 -0 -0 -4 -0x6129 -0xf5afbcc0 -256 -256 -0 -0 -0 -1 -0x872b -0x74b7fccc -256 -256 -1 -0 -0 -4 -0xea3c -0xf49e220d -256 -256 -0 -0 -0 -4 -0x3d8b -0x702ae9dc -256 -256 -1 -0 -0 -2 -0x6a8a -0xf825a9a4 -256 -256 -1 -0 -0 -4 -0x8166 -0x4ef4852a -256 -256 -1 -0 -0 -3 -0xd866 -0xdfdd86ed -256 -256 -0 -0 -0 -1 -0x1570 -0x1a7ed31e -256 -256 -0 -0 -0 -4 -0x9327 -0x27b68b41 -256 -256 -1 -0 -0 -4 -0xc494 -0x817e29cb -256 -256 -0 -0 -0 -2 -0x6b04 -0x88d23c22 -256 -256 -0 -0 -0 -1 -0x1e56 -0xb5bafdb8 -256 -256 -0 -0 -0 -2 -0x5852 -0xa607c102 -256 -256 -0 -0 -0 -2 -0x281d -0xf99ed7b -256 -256 -0 -0 -0 -3 -0x2cc0 -0xec6933cd -256 -256 -0 -0 -0 -4 -0x8df3 -0xe3a4249d -256 -256 -1 -0 -0 -4 -0x8975 -0xf5064005 -256 -256 -1 -0 -0 -4 -0x9a6e -0xe22a91cd -256 -256 -1 -0 -0 -3 -0x9487 -0xf31a4f86 -256 -256 -0 -0 -0 -2 -0x46f4 -0x303bc7c7 -256 -256 -1 -0 -0 -2 -0x58be -0xeed5d200 -256 -256 -0 -0 -0 -3 -0xa737 -0xf2e2c688 -256 -256 -1 -0 -0 -2 -0x45fb -0x56691f85 -256 -256 -0 -0 -0 -3 -0x9ced -0x454c8883 -256 -256 -1 -0 -0 -1 -0x3ab6 -0x79c084bc -256 -256 -1 -0 -0 -2 -0xc461 -0x4a343042 -256 -256 -1 -0 -0 -4 -0x84d2 -0x9431ec26 -256 -256 -0 -0 -0 -4 -0x4966 -0x226c713a -256 -256 -0 -0 -0 -3 -0x6018 -0xb6df4a2a -256 -256 -1 -0 -0 -2 -0x741b -0x1c0cd659 -256 -256 -0 -0 -0 -3 -0x2713 -0xd3fffa97 -256 -256 -0 -0 -0 -4 -0x6f08 -0x6ee93a60 -256 -256 -0 -0 -0 -2 -0x9e0b -0x7a0a713e -256 -256 -1 -0 -0 -4 -0xbaa7 -0x83937906 -256 -256 -0 -0 -0 -2 -0x477b -0x5ff20241 -256 -256 -1 -0 -0 -2 -0xde4c -0xbfbb7226 -256 -256 -1 -0 -0 -4 -0xf1a9 -0x954a6fb7 -256 -256 -1 -0 -0 -3 -0x9012 -0x319c6575 -256 -256 -0 -0 -0 -3 -0x7b73 -0x28ff64 -256 -256 -0 -0 -0 -1 -0x851f -0x1d3850f6 -256 -256 -1 -0 -0 -3 -0x1e69 -0xd9170d09 -256 -256 -1 -0 -0 -1 -0x450f -0x6f8a230b -256 -256 -1 -0 -0 -3 -0x2bfa -0x11116ffd -256 -256 -0 -0 -0 -2 -0x7d59 -0xb997c91d -256 -256 -0 -0 -0 -3 -0xb67d -0x153f13c7 -256 -256 -1 -0 -0 -3 -0x170c -0xbc41ae84 -256 -256 -1 -0 -0 -4 -0xce19 -0xcf8fc0bf -256 -256 -0 -0 -0 -2 -0xb0de -0x8787709d -256 -256 -1 -0 -0 -3 -0x4418 -0xe8e8ec52 -256 -256 -1 -0 -0 -1 -0xe891 -0x80439920 -256 -256 -0 -0 -0 -1 -0x71e8 -0x8b85da92 -256 -256 -0 -0 -0 -3 -0x5d73 -0xdd924a11 -256 -256 -0 -0 -0 -2 -0x9c65 -0x55b1f51 -256 -256 -0 -0 -0 -2 -0x787d -0xf1d89b4d -256 -256 -0 -0 -0 -1 -0xa376 -0xde3df9d3 -256 -256 -1 -0 -0 -2 -0xe0d5 -0xf103673e -256 -256 -1 -0 -0 -4 -0x7c62 -0x58143243 -256 -256 -0 -0 -0 -3 -0x34ce -0xcb815a85 -256 -256 -0 -0 -0 -2 -0xd9c2 -0x5f99eeac -256 -256 -0 -0 -0 -4 -0xcbd0 -0x4f4521f0 -256 -256 -1 -0 -0 -1 -0x4adf -0x7be90501 -256 -256 -0 -0 -0 -3 -0xb027 -0xc3598e91 -256 -256 -1 -0 -0 -2 -0x87b8 -0xcb5fdcf9 -256 -256 -1 -0 -0 -1 -0x6c8 -0xf597b9ad -256 -256 -1 -0 -0 -4 -0xad1b -0x79a80d2f -256 -256 -1 -0 -0 -4 -0xe491 -0x1077064e -256 -256 -1 -0 -0 -2 -0x2820 -0xa82641f2 -256 -256 -0 -0 -0 -1 -0xc7d2 -0x17f233e -256 -256 -1 -0 -0 -2 -0xfc0 -0xd44f5b1a -256 -256 -0 -0 -0 -3 -0xa549 -0xd608005d -256 -256 -1 -0 -0 -1 -0x6cf2 -0x497f2abe -256 -256 -1 -0 -0 -2 -0x9f0 -0xe98b1173 -256 -256 -0 -0 -0 -2 -0xeace -0xdbff7355 -256 -256 -0 -0 -0 -3 -0x7ea4 -0x7be3364e -256 -256 -0 -0 -0 -3 -0x46f3 -0xdd6b77f9 -256 -256 -1 -0 -0 -4 -0x2d27 -0xdc479386 -256 -256 -0 -0 -0 -3 -0x1ef4 -0x65334f22 -256 -256 -1 -0 -0 -2 -0x5f55 -0x330400ee -256 -256 -0 -0 -0 -3 -0x8a25 -0xc0aa7e7a -256 -256 -1 -0 -0 -2 -0x8a44 -0x436be484 -256 -256 -0 -0 -0 -4 -0x44ac -0x2d08ef61 -256 -256 -0 -0 -0 -3 -0x7311 -0x6a10dfe4 -256 -256 -1 -0 -0 -3 -0x177e -0x7a6d3a9 -256 -256 -0 -0 -0 -2 -0x446a -0xc454c5a3 -256 -256 -1 -0 -0 -3 -0x14b9 -0xa3e6d40a -256 -256 -0 -0 -0 -3 -0x6de9 -0x9d252f73 -256 -256 -0 -0 -0 -1 -0xd67a -0x8dc4237a -256 -256 -1 -0 -0 -1 -0x77c -0x6e8a6b8d -256 -256 -1 -0 -0 -4 -0x74d2 -0x60f19bb4 -256 -256 -1 -0 -0 -2 -0x6de -0xb421ffb8 -256 -256 -0 -0 -0 -1 -0x3173 -0xf9de2c16 -256 -256 -0 -0 -0 -1 -0xd87f -0xe2161479 -256 -256 -0 -0 -0 -4 -0x8d4 -0xed8aa362 -256 -256 -1 -0 -0 -2 -0x27de -0xd3662e -256 -256 -1 -0 -0 -3 -0xaa0 -0x45ca651a -256 -256 -1 -0 -0 -2 -0x1930 -0xd0d9bc7a -256 -256 -0 -0 -0 -3 -0x1fa1 -0x89e41e43 -256 -256 -1 -0 -0 -1 -0x84a0 -0x5a0c25b6 -256 -256 -0 -0 -0 -4 -0xdb2b -0x75a21fb -256 -256 -0 -0 -0 -4 -0x7e15 -0xebc183e4 -256 -256 -1 -0 -0 -2 -0x40fd -0x3d409330 -256 -256 -1 -0 -0 -3 -0x9622 -0xb48abcf3 -256 -256 -0 -0 -0 -1 -0x293f -0x7600e72e -256 -256 -1 -0 -0 -2 -0x168c -0x78478d48 -256 -256 -0 -0 -0 -4 -0x67bf -0xc5d34276 -256 -256 -0 -0 -0 -1 -0xf9a5 -0x8b609f47 -256 -256 -0 -0 -0 -3 -0x9f99 -0x377588d4 -256 -256 -1 -0 -0 -3 -0x7988 -0x4fd50434 -256 -256 -0 -0 -0 -4 -0x2e4 -0x5f34929 -256 -256 -0 -0 -0 -1 -0x290e -0x85f9c3ee -256 -256 -0 -0 -0 -1 -0xe8a2 -0x1dadca59 -256 -256 -1 -0 -0 -1 -0x2e71 -0xb610583b -256 -256 -1 -0 -0 -1 -0x3851 -0xfa51be2e -256 -256 -0 -0 -0 -3 -0x9894 -0x5ac0c2ef -256 -256 -1 -0 -0 -2 -0xdbd0 -0xa82f90b9 -256 -256 -1 -0 -0 -1 -0x4aa1 -0x1c5a8a65 -256 -256 -0 -0 -0 -2 -0x42bc -0x29dc069a -256 -256 -1 -0 -0 -1 -0xc762 -0xdbc2e667 -256 -256 -1 -0 -0 -1 -0x3d67 -0x422929d3 -256 -256 -0 -0 -0 -3 -0xb3d6 -0xc1f57513 -256 -256 -0 -0 -0 -1 -0x8239 -0xad759310 -256 -256 -0 -0 -0 -3 -0xb5d2 -0xc91150cd -256 -256 -1 -0 -0 -3 -0x368f -0x3a546621 -256 -256 -1 -0 -0 -1 -0x7692 -0xa6e01fe5 -256 -256 -0 -0 -0 -4 -0x5ac9 -0xe4f200bb -256 -256 -1 -0 -0 -3 -0xc25 -0x4577589 -256 -256 -1 -0 -0 -4 -0x719f -0x85113ce -256 -256 -1 -0 -0 -1 -0xb852 -0xa8ee797c -256 -256 -1 -0 -0 -1 -0xf604 -0x5eb09f05 -256 -256 -0 -0 -0 -2 -0x580f -0x66e66a5c -256 -256 -1 -0 -0 -2 -0x11c9 -0x6c4fbe71 -256 -256 -1 -0 -0 -1 -0xa6a -0xe62ef813 -256 -256 -1 -0 -0 -1 -0x51cc -0x2cf4e2c7 -256 -256 -0 -0 -0 -1 -0x40bd -0xe948e20e -256 -256 -0 -0 -0 -1 -0x31a9 -0xee4ac000 -256 -256 -0 -0 -0 -3 -0x4cfb -0x10eefeb4 -256 -256 -1 -0 -0 -1 -0x4aca -0xdceed6cf -256 -256 -0 -0 -0 -4 -0x489a -0x50394f12 -256 -256 -0 -0 -0 -4 -0x4bc4 -0xf441b642 -256 -256 -0 -0 -0 -2 -0x8854 -0x45ab4421 -256 -256 -1 -0 -0 -2 -0x5362 -0x48ba2035 -256 -256 -1 -0 -0 -1 -0xb7e3 -0xabd71f4b -256 -256 -1 -0 -0 -2 -0xf17b -0x7f1ba0f8 -256 -256 -0 -0 -0 -4 -0xba80 -0x937dbdf6 -256 -256 -0 -0 -0 -1 -0x3bb1 -0x9abf267d -256 -256 -1 -0 -0 -2 -0xe0 -0x2d164806 -256 -256 -1 -0 -0 -4 -0xbdb6 -0xd9b2bbf7 -256 -256 -1 -0 -0 -4 -0x731f -0x7533470b -256 -256 -1 -0 -0 -3 -0xc649 -0xa799cb29 -256 -256 -0 -0 -0 -4 -0xfc2d -0x6b2fad93 -256 -256 -1 -0 -0 -4 -0x2739 -0xb4dc8dda -256 -256 -1 -0 -0 -4 -0x8969 -0xbca02ed1 -256 -256 -0 -0 -0 -4 -0xd99 -0x1995fabd -256 -256 -0 -0 -0 -1 -0xcdca -0x46f532f4 -256 -256 -0 -0 -0 -4 -0xf815 -0xab062b1a -256 -256 -1 -0 -0 -2 -0xdcc -0x601dac8d -256 -256 -0 -0 -0 -3 -0x72d0 -0x65918b39 -256 -256 -1 -0 -0 -3 -0xdd8d -0xf50b273b -256 -256 -0 -0 -0 -1 -0x9357 -0xf7c48cd -256 -256 -1 -0 -0 -1 -0x6769 -0xbc5bb539 -256 -256 -0 -0 -0 -2 -0xb289 -0x504c6c72 -256 -256 -1 -0 -0 -3 -0x5327 -0xb2d99510 -256 -256 -1 -0 -0 -2 -0xe57a -0xa7c98baf -256 -256 -0 -0 -0 -4 -0x4a17 -0x531e876f -256 -256 -0 -0 -0 -1 -0xe7eb -0x28c959b4 -256 -256 -1 -0 -0 -2 -0x8641 -0xef13fa3d -256 -256 -1 -0 -0 -4 -0x7f0 -0xea46c541 -256 -256 -0 -0 -0 -4 -0x7766 -0x55696f2b -256 -256 -0 -0 -0 -4 -0x4fdd -0x3cdeb89f -256 -256 -1 -0 -0 -1 -0xece2 -0xc8a0f27f -256 -256 -1 -0 -0 -1 -0x36e2 -0xc2f95edf -256 -256 -0 -0 -0 -4 -0x42e9 -0x404ea1e2 -256 -256 -0 -0 -0 -2 -0xdea4 -0xeaa7fad6 -256 -256 -0 -0 -0 -4 -0x3553 -0xddba2ac7 -256 -256 -0 -0 -0 -4 -0x57e7 -0x37b78ac2 -256 -256 -0 -0 -0 -2 -0xe591 -0x871ddf84 -256 -256 -1 -0 -0 -4 -0xbaf3 -0x2891b5c6 -256 -256 -0 -0 -0 -1 -0xd9a -0xc4d96dea -256 -256 -1 -0 -0 -1 -0x57c9 -0xebb05573 -256 -256 -1 -0 -0 -1 -0xe5fe -0xbd02de80 -256 -256 -1 -0 -0 -4 -0x174b -0x4576f50 -256 -256 -1 -0 -0 -2 -0xd737 -0xeaa8c57a -256 -256 -0 -0 -0 -2 -0xf7c2 -0x2851a2cd -256 -256 -1 -0 -0 -2 -0xd6eb -0x27ac5af -256 -256 -1 -0 -0 -4 -0xc54 -0x6879da68 -256 -256 -0 -0 -0 -4 -0xe0cb -0x97c147a9 -256 -256 -1 -0 -0 -3 -0x3c9a -0x2e7ce5d7 -256 -256 -0 -0 -0 -3 -0x49f0 -0x3105c134 -256 -256 -1 -0 -0 -1 -0x5e09 -0x278f1e25 -256 -256 -1 -0 -0 -3 -0xb366 -0xf3a8831e -256 -256 -1 -0 -0 -4 -0x5357 -0x9fc74175 -256 -256 -0 -0 -0 -4 -0xde3d -0x2c2c79df -256 -256 -1 -0 -0 -3 -0x607f -0x4a04e22a -256 -256 -1 -0 -0 -1 -0xf3d -0x9d3e58b5 -256 -256 -1 -0 -0 -3 -0x20e8 -0xb5a61715 -256 -256 -1 -0 -0 -2 -0x395a -0xeec026d8 -256 -256 -1 -0 -0 -3 -0x3028 -0x9a7bb597 -256 -256 -0 -0 -0 -3 -0xab79 -0xa2e949e9 -256 -256 -0 -0 -0 -3 -0x9531 -0x25eccd36 -256 -256 -1 -0 -0 -3 -0x51b3 -0xcd693d57 -256 -256 -1 -0 -0 -1 -0x1efc -0x2096e273 -256 -256 -0 -0 -0 -3 -0xab0e -0x206b30c9 -256 -256 -1 -0 -0 -2 -0x4762 -0xfdacbfa3 -256 -256 -1 -0 -0 -3 -0xa1ac -0xf31fcb20 -256 -256 -0 -0 -0 -3 -0x558c -0xe86dd404 -256 -256 -0 -0 -0 -4 -0xf835 -0x1c792b27 -256 -256 -0 -0 -0 -2 -0x8ad -0x83643859 -256 -256 -0 -0 -0 -2 -0x1a0 -0xf2b1df2d -256 -256 -1 -0 -0 -3 -0xbef -0x34228aa7 -256 -256 -1 -0 -0 -4 -0x9838 -0xd56f6512 -256 -256 -1 -0 -0 -4 -0x613d -0x21d04bd0 -256 -256 -0 -0 -0 -3 -0x6d52 -0x6922b10d -256 -256 -1 -0 -0 -4 -0x3d08 -0xe8e900cb -256 -256 -0 -0 -0 -4 -0xfc33 -0x43dc187e -256 -256 -0 -0 -0 -3 -0x6b29 -0xc103b788 -256 -256 -0 -0 -0 -3 -0x9a70 -0x9303e86d -256 -256 -0 -0 -0 -1 -0xa07a -0x6aae1232 -256 -256 -0 -0 -0 -2 -0xaeba -0xc9d8c491 -256 -256 -1 -0 -0 -2 -0x7cfb -0x79bdbf8a -256 -256 -1 -0 -0 -4 -0xddc -0x8c5c2ad0 -256 -256 -1 -0 -0 -2 -0x674b -0x410109ed -256 -256 -0 -0 -0 -1 -0x9026 -0xeae4c184 -256 -256 -0 -0 -0 -3 -0x7109 -0xe75bf6c -256 -256 -1 -0 -0 -2 -0xa8c7 -0x934eec67 -256 -256 -0 -0 -0 -3 -0x42e1 -0x7e7be32e -256 -256 -0 -0 -0 -2 -0x5063 -0x2695c7f9 -256 -256 -1 -0 -0 -4 -0x8139 -0x42d9c2a1 -256 -256 -0 -0 -0 -1 -0xfa01 -0x88eb3a66 -256 -256 -0 -0 -0 -1 -0x3051 -0xbeac4f0 -256 -256 -1 -0 -0 -4 -0x3a37 -0xa71847ef -256 -256 -0 -0 -0 -2 -0x35fc -0x8a03ca15 -256 -256 -0 -0 -0 -2 -0x7692 -0x2376e211 -256 -256 -0 -0 -0 -3 -0xc8bf -0x501b72a8 -256 -256 -0 -0 -0 -2 -0xa322 -0xd5739c73 -256 -256 -1 -0 -0 -1 -0x4bb -0x90e80ed -256 -256 -0 -0 -0 -1 -0x4db3 -0xff19b399 -256 -256 -0 -0 -0 -3 -0x98b -0xf8e4da9e -256 -256 -0 -0 -0 -3 -0x3fb7 -0x78132d31 -256 -256 -1 -0 -0 -3 -0x22d5 -0x60c90028 -256 -256 -1 -0 -0 -4 -0xc486 -0x6873f8b7 -256 -256 -0 -0 -0 -1 -0x97d1 -0x92907757 -256 -256 -1 -0 -0 -3 -0x7b02 -0x978576eb -256 -256 -0 -0 -0 -4 -0xd4ab -0xd38a5f7e -256 -256 -0 -0 -0 -2 -0x29f5 -0x328d3e9d -256 -256 -1 -0 -0 -4 -0x178 -0x13ee348c -256 -256 -0 -0 -0 -4 -0x5ea2 -0x7b110802 -256 -256 -0 -0 -0 -4 -0x2e20 -0xbca16d36 -256 -256 -1 -0 -0 -1 -0xb19e -0x4feff29a -256 -256 -0 -0 -0 -1 -0x6811 -0x2241fbda -256 -256 -1 -0 -0 -2 -0xcbb6 -0xeb092cdf -256 -256 -1 -0 -0 -2 -0x29d0 -0x29d77fd2 -256 -256 -0 -0 -0 -2 -0x591f -0x58613f2c -256 -256 -0 -0 -0 -4 -0x58aa -0xa4ab0f38 -256 -256 -1 -0 -0 -4 -0x66dc -0xca66c1b4 -256 -256 -1 -0 -0 -1 -0x45c3 -0x8a37c17c -256 -256 -0 -0 -0 -3 -0x189c -0xe961044c -256 -256 -0 -0 -0 -3 -0x8d48 -0xd0ae593d -256 -256 -0 -0 -0 -3 -0x3124 -0xa1951134 -256 -256 -0 -0 -0 -4 -0xa4ec -0x535fc208 -256 -256 -1 -0 -0 -3 -0xf322 -0x3707cf4f -256 -256 -1 -0 -0 -4 -0x23bd -0xd31620ad -256 -256 -1 -0 -0 -4 -0xbabb -0x8e2dda3a -256 -256 -1 -0 -0 -3 -0x6a2a -0x6944a703 -256 -256 -1 -0 -0 -1 -0x4a80 -0x2161ed56 -256 -256 -1 -0 -0 -2 -0xa6f -0x8337bf71 -256 -256 -1 -0 -0 -4 -0x4a2b -0x70380805 -256 -256 -1 -0 -0 -2 -0x7d4f -0x6e06b767 -256 -256 -0 -0 -0 -4 -0xf144 -0xb31c5f6 -256 -256 -0 -0 -0 -4 -0x436e -0x25301bfd -256 -256 -1 -0 -0 -2 -0xb5e4 -0xe3845f8a -256 -256 -1 -0 -0 -4 -0x8c3d -0x42a2b113 -256 -256 -1 -0 -0 -2 -0x7862 -0xfc22ad34 -256 -256 -0 -0 -0 -3 -0x8ab4 -0x419a8925 -256 -256 -1 -0 -0 -1 -0x597a -0x1f55cb51 -256 -256 -0 -0 -0 -4 -0x860b -0x7aa16c64 -256 -256 -0 -0 -0 -4 -0xbfa4 -0xf0c78b0e -256 -256 -0 -0 -0 -1 -0xcfbe -0x21d7a21f -256 -256 -0 -0 -0 -4 -0xda7c -0xfb85133b -256 -256 -0 -0 -0 -4 -0xce03 -0xd772663f -256 -256 -1 -0 -0 -3 -0xd648 -0xca7a15cc -256 -256 -0 -0 -0 -1 -0x9e60 -0x6e2e26f7 -256 -256 -1 -0 -0 -1 -0xf339 -0x174e1364 -256 -256 -0 -0 -0 -4 -0x96ad -0xab04d92c -256 -256 -1 -0 -0 -3 -0x5c49 -0x8f4b1b64 -256 -256 -1 -0 -0 -1 -0x1ab7 -0xb00c859a -256 -256 -1 -0 -0 -3 -0x882e -0x229f0869 -256 -256 -1 -0 -0 -4 -0xa93e -0x1bbc35a3 -256 -256 -0 -0 -0 -3 -0x1fd -0x6169aedc -256 -256 -0 -0 -0 -2 -0xfa80 -0x3dd023ae -256 -256 -1 -0 -0 -2 -0x8c5d -0xb8e0bf8a -256 -256 -0 -0 -0 -4 -0xe860 -0xd8b1d861 -256 -256 -0 -0 -0 -1 -0xb70b -0xaac652dd -256 -256 -1 -0 -0 -1 -0x812b -0xa404f1b6 -256 -256 -0 -0 -0 -2 -0x4e17 -0x428853e5 -256 -256 -0 -0 -0 -2 -0x73c6 -0xfc7fecc -256 -256 -0 -0 -0 -2 -0xfff1 -0x93e860e -256 -256 -1 -0 -0 -2 -0xba2a -0x5c947ea3 -256 -256 -0 -0 -0 -3 -0xd4c9 -0xa47e9445 -256 -256 -0 -0 -0 -2 -0xbcd2 -0xced3162a -256 -256 -0 -0 -0 -3 -0xa356 -0x93293140 -256 -256 -1 -0 -0 -1 -0xf4fb -0xe7f78feb -256 -256 -0 -0 -0 -4 -0x3f49 -0x2b0d3a8 -256 -256 -0 -0 -0 -2 -0x2774 -0x78c8d0d7 -256 -256 -0 -0 -0 -1 -0x8d4b -0x1d43d6d7 -256 -256 -1 -0 -0 -1 -0x2a72 -0x9ace11c0 -256 -256 -1 -0 -0 -1 -0x8dbe -0xb1e0497b -256 -256 -0 -0 -0 -1 -0xed8f -0xde136729 -256 -256 -1 -0 -0 -4 -0x1e3c -0x17948626 -256 -256 -0 -0 -0 -3 -0x4a21 -0xe91eab0f -256 -256 -1 -0 -0 -1 -0x4636 -0xe1ddb745 -256 -256 -0 -0 -0 -4 -0xa907 -0xc6b324ba -256 -256 -0 -0 -0 -1 -0x9894 -0x740f6715 -256 -256 -1 -0 -0 -3 -0x788e -0x397141de -256 -256 -1 -0 -0 -3 -0x4fc5 -0x5a9ea9a -256 -256 -1 -0 -0 -4 -0x7285 -0xc777d49b -256 -256 -1 -0 -0 -1 -0x88da -0x19df8ab8 -256 -256 -1 -0 -0 -1 -0xf968 -0x49ee076a -256 -256 -1 -0 -0 -3 -0x2058 -0x1bb0c2b7 -256 -256 -0 -0 -0 -4 -0xcfc0 -0x830f5872 -256 -256 -0 -0 -0 -2 -0xc3dd -0xc18dfa30 -256 -256 -1 -0 -0 -4 -0x2539 -0x5e74a662 -256 -256 -0 -0 -0 -2 -0x4c5b -0x31c05fb9 -256 -256 -0 -0 -0 -1 -0x32b1 -0xd000ed58 -256 -256 -1 -0 -0 -3 -0xb821 -0x4e00c52d -256 -256 -1 -0 -0 -4 -0xc548 -0xe6e6e668 -256 -256 -0 -0 -0 -2 -0x7960 -0x76e67b20 -256 -256 -0 -0 -0 -4 -0x9d45 -0x4d25dfd9 -256 -256 -0 -0 -0 -3 -0xbe9d -0xb7d86f41 -256 -256 -1 -0 -0 -4 -0x242d -0x68249d80 -256 -256 -0 -0 -0 -1 -0x596 -0x51907bad -256 -256 -1 -0 -0 -1 -0x221a -0xc1991c87 -256 -256 -0 -0 -0 -2 -0x2efe -0xada1d2ec -256 -256 -1 -0 -0 -1 -0xdff5 -0x1c789931 -256 -256 -1 -0 -0 -1 -0x7f3e -0x162692b6 -256 -256 -1 -0 -0 -3 -0x9a41 -0x91fd0a6 -256 -256 -1 -0 -0 -2 -0x5da4 -0x2c89f463 -256 -256 -0 -0 -0 -1 -0xe24a -0xde0aa684 -256 -256 -0 -0 -0 -3 -0x44b3 -0x72ae4191 -256 -256 -0 -0 -0 -4 -0xb5a0 -0xdc03910f -256 -256 -0 -0 -0 -1 -0xe2a7 -0xe3e97b46 -256 -256 -1 -0 -0 -3 -0x43e0 -0x7bce6ae8 -256 -256 -0 -0 -0 -3 -0x2270 -0x8c34ca64 -256 -256 -1 -0 -0 -3 -0x934b -0x4b199699 -256 -256 -1 -0 -0 -1 -0x2a7 -0x5c8f04c8 -256 -256 -1 -0 -0 -2 -0xb713 -0x21ef896b -256 -256 -0 -0 -0 -3 -0x32e1 -0xff2b4057 -256 -256 -0 -0 -0 -3 -0xf360 -0x319e6bb0 -256 -256 -1 -0 -0 -2 -0x6c41 -0x36aaadf8 -256 -256 -0 -0 -0 -1 -0x19b -0x1b95269e -256 -256 -1 -0 -0 -2 -0xb85c -0xcfeab85c -256 -256 -0 -0 -0 -3 -0xb0de -0x3ff9aedf -256 -256 -0 -0 -0 -1 -0x4492 -0x6b1cb994 -256 -256 -0 -0 -0 -1 -0x4a7f -0x8d5a645b -256 -256 -1 -0 -0 -1 -0x1995 -0xaf334db6 -256 -256 -1 -0 -0 -4 -0x2aae -0xef37111a -256 -256 -1 -0 -0 -3 -0x569a -0xd7ffd0db -256 -256 -0 -0 -0 -2 -0xc8ca -0x1ade9e9b -256 -256 -0 -0 -0 -1 -0x195 -0xe68bda9b -256 -256 -0 -0 -0 -2 -0x77b1 -0x79b3131b -256 -256 -1 -0 -0 -2 -0xbfa0 -0x14c2683a -256 -256 -0 -0 -0 -1 -0x6079 -0xf04c1e63 -256 -256 -0 -0 -0 -2 -0xa80e -0x16daa536 -256 -256 -0 -0 -0 -3 -0xf52 -0x92aead7a -256 -256 -1 -0 -0 -3 -0x67f6 -0x34e5c7dc -256 -256 -1 -0 -0 -4 -0x8d4c -0x935356c2 -256 -256 -1 -0 -0 -4 -0x7a9d -0xffef9cfa -256 -256 -1 -0 -0 -3 -0x2d80 -0xefa822d0 -256 -256 -1 -0 -0 -3 -0xd09a -0x28d324b4 -256 -256 -0 -0 -0 -1 -0x698 -0xaf173e96 -256 -256 -1 -0 -0 -1 -0x3e -0x9201a3 -256 -256 -1 -0 -0 -3 -0x8385 -0xc2b74d2f -256 -256 -0 -0 -0 -1 -0xccc7 -0xad95dd1b -256 -256 -1 -0 -0 -2 -0x4046 -0x5cd81369 -256 -256 -1 -0 -0 -1 -0x888 -0xcc511cbc -256 -256 -0 -0 -0 -2 -0xa8d6 -0x9b048c2f -256 -256 -0 -0 -0 -3 -0xc988 -0x7f29e539 -256 -256 -0 -0 -0 -1 -0x8a32 -0x9242db14 -256 -256 -0 -0 -0 -4 -0x6d4d -0xc1be1e13 -256 -256 -1 -0 -0 -3 -0x5430 -0xa1105908 -256 -256 -1 -0 -0 -1 -0x7640 -0xdeca8dda -256 -256 -0 -0 -0 -3 -0x602a -0x889262e8 -256 -256 -1 -0 -0 -4 -0xcdaf -0xf08908ac -256 -256 -1 -0 -0 -4 -0x4893 -0xbd375a58 -256 -256 -0 -0 -0 -3 -0xaaa2 -0xe8ab88a2 -256 -256 -1 -0 -0 -4 -0xcfdf -0x7a534aca -256 -256 -0 -0 -0 -3 -0x8d6a -0xab6fdbaa -256 -256 -1 -0 -0 -4 -0x819f -0xb0095b9a -256 -256 -0 -0 -0 -3 -0x12ac -0x7a174327 -256 -256 -1 -0 -0 -3 -0xea8a -0x9b493926 -256 -256 -1 -0 -0 -4 -0x2067 -0xd28cb4ae -256 -256 -0 -0 -0 -4 -0xa33f -0x2203ec32 -256 -256 -1 -0 -0 -3 -0x6304 -0x8fd08dbf -256 -256 -0 -0 -0 -3 -0x2c2d -0x7baec30 -256 -256 -0 -0 -0 -4 -0x394c -0x384f1681 -256 -256 -1 -0 -0 -1 -0x65e2 -0x69916b59 -256 -256 -1 -0 -0 -2 -0xf687 -0xe0b6e637 -256 -256 -1 -0 -0 -2 -0x1014 -0x6b1961fe -256 -256 -1 -0 -0 -1 -0x46e -0xf5f738f0 -256 -256 -1 -0 -0 -4 -0x2da2 -0xdacea5f4 -256 -256 -1 -0 -0 -4 -0x17ca -0xd84dc8c7 -256 -256 -0 -0 -0 -3 -0xbd38 -0xb192270c -256 -256 -0 -0 -0 -4 -0x910 -0xa84d99a5 -256 -256 -0 -0 -0 -1 -0xe2b9 -0xa858e42f -256 -256 -0 -0 -0 -2 -0x7115 -0x21294e86 -256 -256 -1 -0 -0 -1 -0x25e7 -0xda81d130 -256 -256 -0 -0 -0 -1 -0x6140 -0x3488e38f -256 -256 -0 -0 -0 -3 -0x325c -0xdf4f4a96 -256 -256 -1 -0 -0 -2 -0x33b0 -0x1c60b801 -256 -256 -0 -0 -0 -2 -0x1a38 -0xf58c89ea -256 -256 -1 -0 -0 -4 -0xc3e8 -0xd47ba6e5 -256 -256 -1 -0 -0 -2 -0x93df -0x44906ffb -256 -256 -1 -0 -0 -4 -0xd4a5 -0xaa655745 -256 -256 -1 -0 -0 -3 -0xc684 -0xd20832de -256 -256 -1 -0 -0 -4 -0x5e84 -0x88ff88f0 -256 -256 -1 -0 -0 -3 -0xe0ff -0x42731a8f -256 -256 -0 -0 -0 -4 -0xe6e9 -0xa9736cfe -256 -256 -1 -0 -0 -1 -0xfbb2 -0xac40a39f -256 -256 -0 -0 -0 -4 -0x36e2 -0x9a9741ec -256 -256 -1 -0 -0 -1 -0x534 -0x3576e4b0 -256 -256 -1 -0 -0 -3 -0xe5f5 -0x5326c2d3 -256 -256 -1 -0 -0 -2 -0x94c5 -0x73f5b8a8 -256 -256 -1 -0 -0 -1 -0xc495 -0xcd754101 -256 -256 -1 -0 -0 -4 -0x8ca4 -0xadb7b218 -256 -256 -1 -0 -0 -1 -0x21b6 -0x40ce53e8 -256 -256 -0 -0 -0 -1 -0x42af -0xf705864c -256 -256 -0 -0 -0 -2 -0x5b7a -0xedac281d -256 -256 -0 -0 -0 -3 -0xf72c -0x11b34203 -256 -256 -1 -0 -0 -1 -0x1563 -0x94e4ac63 -256 -256 -0 -0 -0 -1 -0x90dd -0xe0eea641 -256 -256 -0 -0 -0 -2 -0x8197 -0x432d469c -256 -256 -1 -0 -0 -4 -0x2fc -0x73f44c07 -256 -256 -0 -0 -0 -3 -0xa8b4 -0x3f073d98 -256 -256 -1 -0 -0 -3 -0xc73a -0x4723f5a4 -256 -256 -0 -0 -0 -3 -0x2358 -0xd94658ef -256 -256 -0 -0 -0 -1 -0x3fa4 -0xa23d8146 -256 -256 -0 -0 -0 -1 -0x329e -0xcbbe8107 -256 -256 -0 -0 -0 -3 -0x6f89 -0xe63aad76 -256 -256 -0 -0 -0 -4 -0xaf64 -0x8d954eed -256 -256 -0 -0 -0 -2 -0xb154 -0x7e3b0c73 -256 -256 -1 -0 -0 -4 -0xd996 -0x21ad911d -256 -256 -0 -0 -0 -3 -0x978d -0x5cf4e1d1 -256 -256 -0 -0 -0 -4 -0x2550 -0x79c1424d -256 -256 -0 -0 -0 -1 -0x2b9a -0x63525530 -256 -256 -1 -0 -0 -2 -0x1270 -0x8314a8a6 -256 -256 -1 -0 -0 -4 -0xa651 -0x68b0b474 -256 -256 -0 -0 -0 -4 -0x77a2 -0xb51d7720 -256 -256 -1 -0 -0 -2 -0xa0fb -0xf2ef1b48 -256 -256 -0 -0 -0 -4 -0x4327 -0x9b769f44 -256 -256 -0 -0 -0 -4 -0x522f -0x4a539081 -256 -256 -1 -0 -0 -2 -0xd805 -0xc619995 -256 -256 -1 -0 -0 -1 -0x7447 -0xdfd26dc -256 -256 -0 -0 -0 -1 -0xde68 -0xb72142c8 -256 -256 -1 -0 -0 -2 -0xe4b9 -0xf1181a38 -256 -256 -0 -0 -0 -2 -0x61b -0xe1abced8 -256 -256 -0 -0 -0 -1 -0x856c -0xa2aca37c -256 -256 -1 -0 -0 -1 -0xb8df -0xfde5d1df -256 -256 -0 -0 -0 -1 -0x1a0c -0x6e98530f -256 -256 -1 -0 -0 -4 -0x890 -0x341e22e6 -256 -256 -1 -0 -0 -1 -0xda7a -0x418639ca -256 -256 -1 -0 -0 -3 -0xc7d3 -0x90947094 -256 -256 -0 -0 -0 -1 -0x983 -0xeb1bfc3 -256 -256 -1 -0 -0 -1 -0xb486 -0x586d75d2 -256 -256 -0 -0 -0 -1 -0x1eb0 -0x68f012d0 -256 -256 -1 -0 -0 -3 -0xc4af -0x61c94734 -256 -256 -0 -0 -0 -1 -0x8e0c -0x3e371db0 -256 -256 -1 -0 -0 -1 -0x64d9 -0xbd1dfc3d -256 -256 -0 -0 -0 -1 -0x5749 -0x8f8d9ef3 -256 -256 -0 -0 -0 -3 -0x8bad -0x3d9fcf85 -256 -256 -1 -0 -0 -4 -0xd66d -0xd4406c1 -256 -256 -1 -0 -0 -2 -0xf0 -0x6df63e0d -256 -256 -1 -0 -0 -1 -0x75d3 -0x7a947820 -256 -256 -1 -0 -0 -2 -0xabbc -0x12fd0811 -256 -256 -1 -0 -0 -4 -0x4fac -0x384e0355 -256 -256 -1 -0 -0 -3 -0x18cb -0x72a0c042 -256 -256 -0 -0 -0 -1 -0x9cad -0x62f87da1 -256 -256 -0 -0 -0 -3 -0xe16 -0xf4b3f6c -256 -256 -1 -0 -0 -1 -0x3b03 -0x764d8f61 -256 -256 -0 -0 -0 -2 -0xbba8 -0x70dccab5 -256 -256 -0 -0 -0 -1 -0x7020 -0xc97f0762 -256 -256 -0 -0 -0 -1 -0x9709 -0x83f53a81 -256 -256 -0 -0 -0 -2 -0x1cb -0x74660797 -256 -256 -0 -0 -0 -4 -0x1492 -0xd47ba943 -256 -256 -0 -0 -0 -3 -0x3a40 -0xbcb18f80 -256 -256 -0 -0 -0 -2 -0x4bcd -0x3bd705f9 -256 -256 -0 -0 -0 -1 -0x5381 -0xa5229bc7 -256 -256 -0 -0 -0 -3 -0xbcba -0x9e6bfad5 -256 -256 -1 -0 -0 -4 -0xb803 -0xddf6c46c -256 -256 -0 -0 -0 -1 -0x7590 -0x4c42955f -256 -256 -0 -0 -0 -2 -0x6069 -0x7db87480 -256 -256 -0 -0 -0 -3 -0xe728 -0x6cfd4150 -256 -256 -1 -0 -0 -1 -0x2cae -0x4837ed6b -256 -256 -1 -0 -0 -4 -0xcc14 -0xb08daf74 -256 -256 -1 -0 -0 -2 -0x58d2 -0x56545ee7 -256 -256 -0 -0 -0 -1 -0xc52d -0xd6257d82 -256 -256 -1 -0 -0 -4 -0xf606 -0x4cb11294 -256 -256 -1 -0 -0 -4 -0xb444 -0x11321f44 -256 -256 -0 -0 -0 -2 -0xdf74 -0xd22f1dca -256 -256 -1 -0 -0 -2 -0xc018 -0xf0e7dad5 -256 -256 -1 -0 -0 -4 -0xf65f -0x37581e69 -256 -256 -1 -0 -0 -4 -0x8aa6 -0xbaa43a8e -256 -256 -0 -0 -0 -2 -0x1703 -0x1bd7b35c -256 -256 -0 -0 -0 -4 -0xd513 -0x500cefba -256 -256 -0 -0 -0 -2 -0x42f0 -0x17d812ac -256 -256 -1 -0 -0 -1 -0x94e1 -0xf9fb4d07 -256 -256 -1 -0 -0 -2 -0x7106 -0x6d74ac52 -256 -256 -0 -0 -0 -3 -0x9929 -0xc5bbec80 -256 -256 -1 -0 -0 -2 -0x34d1 -0xb1018ae9 -256 -256 -1 -0 -0 -4 -0x9c9b -0x3115a2e4 -256 -256 -1 -0 -0 -4 -0x3fbb -0x498f00db -256 -256 -0 -0 -0 -4 -0x2805 -0xa3f4027d -256 -256 -1 -0 -0 -1 -0xad1a -0xe1a0d1cb -256 -256 -0 -0 -0 -3 -0xc362 -0x28ec73c -256 -256 -0 -0 -0 -2 -0x93b8 -0xe2a23e28 -256 -256 -1 -0 -0 -1 -0xa485 -0x9f0aae1 -256 -256 -1 -0 -0 -1 -0xca7e -0x45b326fc -256 -256 -0 -0 -0 -4 -0xbb20 -0x2e46fcba -256 -256 -1 -0 -0 -1 -0xad1 -0x1fc2550 -256 -256 -1 -0 -0 -3 -0xb2ef -0xdbecb2f5 -256 -256 -1 -0 -0 -4 -0x8c34 -0x8650c8e3 -256 -256 -1 -0 -0 -2 -0xfac -0x7f22dfbd -256 -256 -0 -0 -0 -2 -0x399c -0x83f7a507 -256 -256 -1 -0 -0 -4 -0x7eca -0xc2063286 -256 -256 -1 -0 -0 -3 -0xa076 -0x7ecbac8c -256 -256 -0 -0 -0 -3 -0xbe5f -0x5c2fb200 -256 -256 -1 -0 -0 -4 -0xd31a -0x22850b76 -256 -256 -0 -0 -0 -4 -0x4606 -0x1fc48cef -256 -256 -0 -0 -0 -2 -0x98b3 -0xb9bcad61 -256 -256 -1 -0 -0 -4 -0xf487 -0x6a5bfcd5 -256 -256 -1 -0 -0 -3 -0xfe4f -0xc7525cdd -256 -256 -1 -0 -0 -4 -0x45ba -0xeb0998c2 -256 -256 -1 -0 -0 -4 -0xa95f -0x9253e58f -256 -256 -0 -0 -0 -2 -0xc91d -0xafda32fb -256 -256 -0 -0 -0 -2 -0x51ca -0xf299637d -256 -256 -0 -0 -0 -4 -0xe443 -0xed2d21c6 -256 -256 -1 -0 -0 -3 -0x56d5 -0x7a7da937 -256 -256 -1 -0 -0 -2 -0x54c2 -0x4e0add11 -256 -256 -1 -0 -0 -1 -0xefb7 -0x72930b65 -256 -256 -0 -0 -0 -2 -0x859d -0x6b631e70 -256 -256 -1 -0 -0 -4 -0xc1f7 -0xf865ec12 -256 -256 -0 -0 -0 -3 -0x3a13 -0x430c6517 -256 -256 -0 -0 -0 -2 -0xe78b -0xc6b75588 -256 -256 -1 -0 -0 -4 -0xb92c -0x9478f871 -256 -256 -1 -0 -0 -4 -0xe46f -0xba9a39af -256 -256 -0 -0 -0 -2 -0x3bba -0xd8809930 -256 -256 -0 -0 -0 -2 -0x67e7 -0xdc7d65fd -256 -256 -1 -0 -0 -4 -0x42ed -0xd773e327 -256 -256 -1 -0 -0 -2 -0x2475 -0xa1ef98e1 -256 -256 -0 -0 -0 -4 -0x507 -0xe1b8215e -256 -256 -0 -0 -0 -4 -0xb236 -0xfce69c8 -256 -256 -0 -0 -0 -3 -0x5e46 -0x60dd5484 -256 -256 -0 -0 -0 -3 -0xa393 -0x86881c56 -256 -256 -1 -0 -0 -3 -0x1695 -0x6d5b6109 -256 -256 -1 -0 -0 -3 -0x6e69 -0x2b6e287b -256 -256 -1 -0 -0 -3 -0x8a9f -0xa947f85d -256 -256 -1 -0 -0 -3 -0xca4d -0xce7de4f6 -256 -256 -0 -0 -0 -2 -0xecaa -0xd6291e0a -256 -256 -0 -0 -0 -3 -0xb822 -0xde5f5439 -256 -256 -0 -0 -0 -4 -0xa215 -0xae66e1d -256 -256 -1 -0 -0 -2 -0x2abd -0xdff10723 -256 -256 -0 -0 -0 -1 -0x38c1 -0x27f3f8ff -256 -256 -0 -0 -0 -4 -0xa669 -0x75652795 -256 -256 -1 -0 -0 -3 -0x39ac -0x5cf1a2b0 -256 -256 -0 -0 -0 -2 -0xd487 -0x51336402 -256 -256 -0 -0 -0 -3 -0x1a89 -0xebcb0d2c -256 -256 -0 -0 -0 -2 -0x1c62 -0x137b9ccc -256 -256 -1 -0 -0 -1 -0x8961 -0x45596c71 -256 -256 -0 -0 -0 -3 -0xf3b3 -0x9c237286 -256 -256 -1 -0 -0 -4 -0xa641 -0x49c0bcff -256 -256 -0 -0 -0 -2 -0x5df0 -0xc96443d6 -256 -256 -1 -0 -0 -2 -0x11dd -0xc52eb26a -256 -256 -1 -0 -0 -2 -0x89ed -0xee84cba3 -256 -256 -0 -0 -0 -3 -0x4094 -0x3ec6cfd0 -256 -256 -1 -0 -0 -3 -0xf67b -0x12dd2ff1 -256 -256 -1 -0 -0 -2 -0xaf85 -0xf631aeef -256 -256 -1 -0 -0 -1 -0x7e8b -0x4e29c410 -256 -256 -1 -0 -0 -2 -0x94d4 -0x99352613 -256 -256 -0 -0 -0 -3 -0x15eb -0xefcb481 -256 -256 -1 -0 -0 -1 -0x9067 -0x224f8665 -256 -256 -1 -0 -0 -3 -0x8cfc -0xdacd8efc -256 -256 -0 -0 -0 -4 -0xabaf -0x183548 -256 -256 -0 -0 -0 -3 -0x7d17 -0x529a95c9 -256 -256 -1 -0 -0 -4 -0x4cae -0xd23b3b6e -256 -256 -0 -0 -0 -2 -0x3bda -0xb6a99ea9 -256 -256 -1 -0 -0 -1 -0x49fe -0xda48cecc -256 -256 -0 -0 -0 -1 -0x3d42 -0x5ae86a3c -256 -256 -1 -0 -0 -3 -0x93ba -0x48f6a07d -256 -256 -1 -0 -0 -1 -0xf862 -0xf3c95b4 -256 -256 -0 -0 -0 -3 -0xa1eb -0xfd7786e6 -256 -256 -1 -0 -0 -3 -0xa114 -0x97a26538 -256 -256 -1 -0 -0 -2 -0x3f4c -0xd40e7b44 -256 -256 -1 -0 -0 -3 -0x492a -0x74b93f7c -256 -256 -1 -0 -0 -4 -0x46f0 -0x8ae468bb -256 -256 -1 -0 -0 -3 -0x41e6 -0x3497fa44 -256 -256 -0 -0 -0 -1 -0xba2e -0xe7922539 -256 -256 -0 -0 -0 -1 -0x8267 -0xcbde55f4 -256 -256 -1 -0 -0 -4 -0x76de -0xf479c2b9 -256 -256 -1 -0 -0 -3 -0xda94 -0x5e48c346 -256 -256 -1 -0 -0 -3 -0x7c68 -0x671bfc97 -256 -256 -1 -0 -0 -1 -0xb433 -0x8108a633 -256 -256 -0 -0 -0 -4 -0x41b6 -0xe12f3e3c -256 -256 -0 -0 -0 -3 -0xf6a1 -0x71ecd5e0 -256 -256 -0 -0 -0 -3 -0x13fe -0x813c264c -256 -256 -1 -0 -0 -4 -0x4546 -0x84f6a5 -256 -256 -1 -0 -0 -1 -0xb3a3 -0x2e46d381 -256 -256 -0 -0 -0 -2 -0xf641 -0xd48c54c0 -256 -256 -1 -0 -0 -3 -0x356c -0x2fe970ef -256 -256 -1 -0 -0 -1 -0x5f29 -0xdcb3c9ca -256 -256 -1 -0 -0 -3 -0x69 -0x9db93bf -256 -256 -0 -0 -0 -4 -0xdf85 -0x8a8f47a7 -256 -256 -1 -0 -0 -2 -0xd2e4 -0x686c82a5 -256 -256 -1 -0 -0 -2 -0x348e -0xaf51c0d3 -256 -256 -1 -0 -0 -4 -0xcb9a -0x5b56317a -256 -256 -0 -0 -0 -2 -0x333d -0xf5ecdb7 -256 -256 -1 -0 -0 -2 -0x421d -0x8cc6cd39 -256 -256 -1 -0 -0 -1 -0x4d07 -0x1cf0e74 -256 -256 -0 -0 -0 -2 -0xaf53 -0xe751a23b -256 -256 -0 -0 -0 -2 -0x4163 -0x27f806a0 -256 -256 -1 -0 -0 -4 -0x2d36 -0xd82c7ea0 -256 -256 -0 -0 -0 -4 -0x9004 -0xef09f993 -256 -256 -0 -0 -0 -2 -0x1b8d -0x812f2501 -256 -256 -0 -0 -0 -4 -0x5e8b -0xd58b6480 -256 -256 -1 -0 -0 -4 -0x185d -0x1fc0653e -256 -256 -1 -0 -0 -2 -0x875c -0x92468fa6 -256 -256 -1 -0 -0 -1 -0x4de6 -0xec4e3189 -256 -256 -1 -0 -0 -1 -0x465e -0x380f6cae -256 -256 -1 -0 -0 -4 -0x19af -0x4fb61966 -256 -256 -0 -0 -0 -2 -0x3a03 -0xef6b1c34 -256 -256 -0 -0 -0 -3 -0x1d39 -0x22531ff5 -256 -256 -0 -0 -0 -2 -0xc46c -0x3e812c96 -256 -256 -1 -0 -0 -3 -0x314c -0x78e1c44f -256 -256 -1 -0 -0 -3 -0x5d92 -0xfd53f19 -256 -256 -0 -0 -0 -3 -0x4b88 -0x4abca5e3 -256 -256 -1 -0 -0 -4 -0xdfe -0x8fdccb9a -256 -256 -1 -0 -0 -1 -0xaa6f -0xcbb83f25 -256 -256 -0 -0 -0 -1 -0xfc30 -0x41e08b00 -256 -256 -0 -0 -0 -1 -0xe40c -0x3681dae -256 -256 -1 -0 -0 -2 -0xacda -0x399d065a -256 -256 -1 -0 -0 -4 -0x599e -0x82826165 -256 -256 -1 -0 -0 -2 -0x4c28 -0xbc3be044 -256 -256 -0 -0 -0 -4 -0xf1e5 -0x9169d20d -256 -256 -1 -0 -0 -2 -0xc6e2 -0x94d32f58 -256 -256 -1 -0 -0 -1 -0x260b -0xe2bbebe8 -256 -256 -1 -0 -0 -4 -0xd231 -0x8f93864c -256 -256 -1 -0 -0 -3 -0xb0a6 -0xe04fa7ce -256 -256 -0 -0 -0 -2 -0x958 -0x29d1c003 -256 -256 -1 -0 -0 -3 -0xcc2f -0xb9262c12 -256 -256 -0 -0 -0 -3 -0xdb94 -0x4054a452 -256 -256 -1 -0 -0 -4 -0x43a3 -0xd37f614b -256 -256 -1 -0 -0 -2 -0x97af -0x1a6fd74d -256 -256 -1 -0 -0 -2 -0x445a -0x955c9bd7 -256 -256 -1 -0 -0 -3 -0xf913 -0x13c4ddb9 -256 -256 -1 -0 -0 -1 -0x21ff -0xd21d43f9 -256 -256 -0 -0 -0 -4 -0x3e99 -0x80d411d3 -256 -256 -1 -0 -0 -3 -0x95de -0x230ddc33 -256 -256 -1 -0 -0 -2 -0xec20 -0x89e23be8 -256 -256 -0 -0 -0 -2 -0xbbe3 -0x91dd480c -256 -256 -1 -0 -0 -1 -0xb24d -0xb86c51b3 -256 -256 -0 -0 -0 -1 -0xeb97 -0x2e82a538 -256 -256 -1 -0 -0 -1 -0xf100 -0x71cd166b -256 -256 -0 -0 -0 -2 -0x72e4 -0xd861972b -256 -256 -0 -0 -0 -2 -0xea2 -0x723cea4f -256 -256 -1 -0 -0 -1 -0x3adc -0xd714b82b -256 -256 -0 -0 -0 -2 -0x2786 -0xb08ddd50 -256 -256 -0 -0 -0 -2 -0x7368 -0x8d61d839 -256 -256 -0 -0 -0 -3 -0xcd10 -0xfbc29e23 -256 -256 -1 -0 -0 -2 -0xab9f -0x340d6754 -256 -256 -0 -0 -0 -4 -0x513f -0x27dc9290 -256 -256 -0 -0 -0 -2 -0x4248 -0x89110f56 -256 -256 -0 -0 -0 -3 -0x85bd -0xb6c841f -256 -256 -1 -0 -0 -2 -0xd628 -0x9c1616dd -256 -256 -1 -0 -0 -4 -0xc7a6 -0x2191595d -256 -256 -1 -0 -0 -3 -0x63bf -0xf8bc1da3 -256 -256 -0 -0 -0 -1 -0x4794 -0xc4891d5 -256 -256 -0 -0 -0 -4 -0x25db -0x914c5c7c -256 -256 -0 -0 -0 -3 -0x4a49 -0x64e2747c -256 -256 -1 -0 -0 -1 -0x323c -0xa0c93628 -256 -256 -1 -0 -0 -2 -0x5833 -0x7d4b2271 -256 -256 -0 -0 -0 -2 -0xf8b6 -0x5484107b -256 -256 -0 -0 -0 -4 -0x3b28 -0x9dd59875 -256 -256 -1 -0 -0 -2 -0x66e4 -0x3f1c7fb6 -256 -256 -0 -0 -0 -2 -0x49a7 -0x2668fc75 -256 -256 -0 -0 -0 -3 -0x5efe -0x945f610 -256 -256 -0 -0 -0 -3 -0xcf4b -0x21125176 -256 -256 -0 -0 -0 -1 -0x7a9c -0xa17b631 -256 -256 -0 -0 -0 -1 -0x1f98 -0x47e844bd -256 -256 -1 -0 -0 -3 -0x2e33 -0x3e81781f -256 -256 -0 -0 -0 -2 -0x367 -0xa168b861 -256 -256 -1 -0 -0 -1 -0x55cc -0xe4947011 -256 -256 -1 -0 -0 -3 -0xf7bf -0xdea5b942 -256 -256 -1 -0 -0 -1 -0xa9cb -0x54e982aa -256 -256 -1 -0 -0 -4 -0x8c3e -0xa92cf450 -256 -256 -1 -0 -0 -4 -0xd57b -0x42cd0b2f -256 -256 -0 -0 -0 -1 -0x5576 -0x211e175b -256 -256 -1 -0 -0 -3 -0xbf5a -0xc37ee78e -256 -256 -0 -0 -0 -2 -0xf48e -0x60c2811d -256 -256 -0 -0 -0 -1 -0x78a1 -0x969cc0aa -256 -256 -0 -0 -0 -1 -0xb5a9 -0xec3ff06b -256 -256 -1 -0 -0 -3 -0x9aa5 -0x60355bef -256 -256 -0 -0 -0 -2 -0xaa40 -0xef9bf00a -256 -256 -1 -0 -0 -1 -0x3f6f -0xced59047 -256 -256 -1 -0 -0 -3 -0x5cc5 -0x9ac8f84f -256 -256 -1 -0 -0 -1 -0x257a -0x4b16d78e -256 -256 -0 -0 -0 -2 -0xd4f1 -0x1c6a8ed9 -256 -256 -1 -0 -0 -1 -0x9531 -0xdec05d82 -256 -256 -0 -0 -0 -4 -0x2c9c -0x75098b49 -256 -256 -0 -0 -0 -1 -0x1b97 -0xaf7d5122 -256 -256 -1 -0 -0 -4 -0xead1 -0x24287081 -256 -256 -1 -0 -0 -3 -0x2b45 -0xdb859872 -256 -256 -0 -0 -0 -2 -0xa660 -0xae222b0 -256 -256 -0 -0 -0 -3 -0xf73f -0x678c49b2 -256 -256 -1 -0 -0 -3 -0xf5a -0xaf37bd8c -256 -256 -0 -0 -0 -3 -0xb61e -0xd2131179 -256 -256 -1 -0 -0 -4 -0x40c7 -0xd0f2dcc8 -256 -256 -1 -0 -0 -3 -0x700b -0xe7087cef -256 -256 -0 -0 -0 -2 -0xf448 -0xb3450a28 -256 -256 -0 -0 -0 -4 -0x5d52 -0x66896741 -256 -256 -1 -0 -0 -1 -0x5ab8 -0xd55bf621 -256 -256 -1 -0 -0 -3 -0x3a1c -0x91de4b77 -256 -256 -1 -0 -0 -3 -0x51c9 -0x41732328 -256 -256 -0 -0 -0 -4 -0x7976 -0x40217165 -256 -256 -0 -0 -0 -2 -0x5d1d -0x8f162355 -256 -256 -0 -0 -0 -4 -0x87a5 -0xeae0ad66 -256 -256 -0 -0 -0 -1 -0x882f -0x157e1203 -256 -256 -1 -0 -0 -2 -0xea08 -0x352babcf -256 -256 -0 -0 -0 -4 -0x78b0 -0x6206191 -256 -256 -0 -0 -0 -2 -0x7107 -0x3c2b40a9 -256 -256 -1 -0 -0 -3 -0xf588 -0x84fb6481 -256 -256 -0 -0 -0 -2 -0xe512 -0x17ab7399 -256 -256 -1 -0 -0 -2 -0x612d -0xc9ca8610 -256 -256 -1 -0 -0 -3 -0x58a8 -0x6c960876 -256 -256 -1 -0 -0 -1 -0x2546 -0x5c4b4592 -256 -256 -1 -0 -0 -4 -0x10b9 -0x7687c0fe -256 -256 -0 -0 -0 -4 -0x6e2f -0x1d90facd -256 -256 -0 -0 -0 -1 -0x4872 -0x1ddab093 -256 -256 -0 -0 -0 -2 -0xa030 -0x365bf84f -256 -256 -0 -0 -0 -1 -0xb1ba -0xe90265ac -256 -256 -0 -0 -0 -1 -0xbea -0x2cd33fa3 -256 -256 -1 -0 -0 -4 -0x2a22 -0x1fe83605 -256 -256 -0 -0 -0 -4 -0xafc -0xa19117d9 -256 -256 -0 -0 -0 -4 -0x4c58 -0x3772d93d -256 -256 -0 -0 -0 -4 -0x18bd -0xe3664746 -256 -256 -0 -0 -0 -2 -0xd678 -0xa654ef80 -256 -256 -0 -0 -0 -4 -0x98ee -0xf86c6d3 -256 -256 -1 -0 -0 -3 -0xa945 -0xea46b7b4 -256 -256 -1 -0 -0 -4 -0x5a95 -0x79521c9a -256 -256 -1 -0 -0 -3 -0x4192 -0xcab53493 -256 -256 -1 -0 -0 -4 -0x3dd1 -0x5c638d4f -256 -256 -0 -0 -0 -3 -0x14d -0x8ba1ea55 -256 -256 -0 -0 -0 -3 -0x758f -0xd78f9a62 -256 -256 -0 -0 -0 -3 -0xd59a -0x128ce55c -256 -256 -1 -0 -0 -1 -0xa45b -0xd637d6fd -256 -256 -0 -0 -0 -2 -0xa08e -0x591c441e -256 -256 -1 -0 -0 -3 -0x7ef0 -0x6a22fa3e -256 -256 -0 -0 -0 -2 -0xb67e -0xec80abd5 -256 -256 -0 -0 -0 -4 -0x302e -0x2dc379bc -256 -256 -0 -0 -0 -4 -0x26fa -0xfc0daa38 -256 -256 -1 -0 -0 -4 -0x8f0f -0xb93a2662 -256 -256 -1 -0 -0 -1 -0xf3e0 -0xcb36e9f2 -256 -256 -1 -0 -0 -3 -0x2fd9 -0x5321b8e0 -256 -256 -0 -0 -0 -2 -0xd0c8 -0x8e46c929 -256 -256 -1 -0 -0 -3 -0x6feb -0x8597b671 -256 -256 -0 -0 -0 -1 -0x9098 -0xe02edbc -256 -256 -0 -0 -0 -1 -0xac31 -0x17cbc23d -256 -256 -1 -0 -0 -1 -0xb009 -0x6b776235 -256 -256 -0 -0 -0 -3 -0xf889 -0x201bc897 -256 -256 -1 -0 -0 -2 -0x7a75 -0xa51d0557 -256 -256 -1 -0 -0 -4 -0x4e1c -0x569cf696 -256 -256 -1 -0 -0 -1 -0xf4f2 -0xaa8c02ed -256 -256 -0 -0 -0 -2 -0x8335 -0xb2be943c -256 -256 -0 -0 -0 -4 -0x580e -0xe8d71e5b -256 -256 -1 -0 -0 -1 -0xdfa2 -0x51e50d9b -256 -256 -0 -0 -0 -4 -0xc4be -0x2afef42e -256 -256 -1 -0 -0 -1 -0x1fa8 -0xa0a71068 -256 -256 -1 -0 -0 -1 -0x40e4 -0x86389f1e -256 -256 -1 -0 -0 -4 -0x7215 -0x9f0d26a1 -256 -256 -1 -0 -0 -1 -0x609b -0xc9d47bdf -256 -256 -0 -0 -0 -2 -0xbd9 -0x6c131e12 -256 -256 -0 -0 -0 -2 -0xd3da -0x2f182991 -256 -256 -0 -0 -0 -4 -0x4cba -0x7725c517 -256 -256 -0 -0 -0 -2 -0x50fb -0xec667af6 -256 -256 -0 -0 -0 -3 -0x2cb9 -0xa05220cd -256 -256 -0 -0 -0 -3 -0x1a39 -0xa21826b1 -256 -256 -1 -0 -0 -4 -0x7ff2 -0xa4871e79 -256 -256 -0 -0 -0 -1 -0xa855 -0x7b6903e0 -256 -256 -0 -0 -0 -3 -0xc33e -0x4c8f312b -256 -256 -0 -0 -0 -3 -0xa31 -0xeb8f3fb -256 -256 -0 -0 -0 -1 -0xdee8 -0x1fd5381 -256 -256 -1 -0 -0 -3 -0x88b2 -0x5d3257bb -256 -256 -0 -0 -0 -2 -0x9a59 -0xf6467e26 -256 -256 -0 -0 -0 -2 -0x7b59 -0x30a27df6 -256 -256 -0 -0 -0 -2 -0x6200 -0x79b5ce4a -256 -256 -0 -0 -0 -3 -0x73c4 -0xb7811d17 -256 -256 -1 -0 -0 -3 -0xca5f -0xfd9a9e32 -256 -256 -0 -0 -0 -4 -0xf750 -0xaf51fcb8 -256 -256 -0 -0 -0 -2 -0x96d2 -0xb01009c6 -256 -256 -0 -0 -0 -3 -0x9ff7 -0x5df12a3e -256 -256 -0 -0 -0 -4 -0xcb1d -0x1ad58c6d -256 -256 -1 -0 -0 -3 -0x7695 -0x5ce646df -256 -256 -1 -0 -0 -1 -0x903b -0xb4b1a5f0 -256 -256 -1 -0 -0 -4 -0x4c2b -0xeb1f3207 -256 -256 -0 -0 -0 -2 -0xd3a4 -0xf1c1eb71 -256 -256 -0 -0 -0 -3 -0x4f8 -0x1459a6ce -256 -256 -1 -0 -0 -1 -0xcd5c -0x8f7f8386 -256 -256 -0 -0 -0 -1 -0xdbc1 -0x78aba98d -256 -256 -1 -0 -0 -3 -0x90aa -0xa10b2c85 -256 -256 -0 -0 -0 -1 -0xc03 -0x2410d0a3 -256 -256 -1 -0 -0 -1 -0x14df -0x3ebb007d -256 -256 -0 -0 -0 -2 -0xa6e2 -0x322857ac -256 -256 -1 -0 -0 -3 -0xabdc -0x1abfdf22 -256 -256 -1 -0 -0 -3 -0xacd -0xf2fde400 -256 -256 -1 -0 -0 -3 -0x6480 -0xa583a585 -256 -256 -1 -0 -0 -4 -0x40f7 -0x47c6c3dd -256 -256 -0 -0 -0 -3 -0xb1d2 -0x4aa9301f -256 -256 -0 -0 -0 -3 -0xe43b -0xc2726ca1 -256 -256 -0 -0 -0 -4 -0x4bc4 -0xaec2b0a8 -256 -256 -0 -0 -0 -2 -0x45a6 -0xdeaf574b -256 -256 -0 -0 -0 -2 -0x6c20 -0x1067f1a7 -256 -256 -1 -0 -0 -1 -0x6614 -0xc0f2a3f9 -256 -256 -0 -0 -0 -2 -0x9155 -0x9f94c348 -256 -256 -0 -0 -0 -1 -0xd342 -0xd3f428b9 -256 -256 -0 -0 -0 -4 -0xd4f5 -0x1a3b300b -256 -256 -0 -0 -0 -3 -0x6e25 -0x7d8483f4 -256 -256 -1 -0 -0 -3 -0xf12b -0x661aa941 -256 -256 -0 -0 -0 -4 -0x7e8c -0xd1b89f75 -256 -256 -0 -0 -0 -1 -0x1350 -0xb529e75a -256 -256 -1 -0 -0 -2 -0x70bf -0x3ef4fbed -256 -256 -0 -0 -0 -2 -0xbd74 -0xfda570d5 -256 -256 -0 -0 -0 -4 -0xa0ce -0xaa76ef06 -256 -256 -1 -0 -0 -1 -0x8045 -0x9bc1ba1f -256 -256 -1 -0 -0 -4 -0x40b4 -0xd8e258ec -256 -256 -0 -0 -0 -2 -0xac7a -0xd655d40d -256 -256 -0 -0 -0 -1 -0xdec0 -0x831f3610 -256 -256 -0 -0 -0 -1 -0x315f -0x6c41317b -256 -256 -1 -0 -0 -4 -0x4a8 -0x61c7ee73 -256 -256 -1 -0 -0 -4 -0x19b -0x1f46d9be -256 -256 -1 -0 -0 -3 -0x24f8 -0x4e70157d -256 -256 -0 -0 -0 -2 -0x8b28 -0xaa87180b -256 -256 -0 -0 -0 -2 -0x90b9 -0x204ef812 -256 -256 -1 -0 -0 -3 -0x717c -0x5b769a2c -256 -256 -0 -0 -0 -2 -0xb280 -0x368c3ace -256 -256 -0 -0 -0 -3 -0x59db -0x3a041644 -256 -256 -1 -0 -0 -1 -0x96ab -0x1d380983 -256 -256 -1 -0 -0 -4 -0x7d95 -0xd09c172 -256 -256 -1 -0 -0 -1 -0x61cd -0xf86415f6 -256 -256 -1 -0 -0 -2 -0x4cd9 -0x9b3c26c -256 -256 -1 -0 -0 -4 -0xd1e8 -0xd240b4cf -256 -256 -0 -0 -0 -4 -0x1aef -0x957fe69a -256 -256 -0 -0 -0 -1 -0x1761 -0x18ae8cd1 -256 -256 -1 -0 -0 -4 -0x90d8 -0xf4bbb942 -256 -256 -0 -0 -0 -4 -0x45a1 -0x2425063d -256 -256 -0 -0 -0 -2 -0x76ad -0x87261bf9 -256 -256 -0 -0 -0 -4 -0xba98 -0xfa90d01e -256 -256 -1 -0 -0 -2 -0x2d2a -0x4a5eddfe -256 -256 -0 -0 -0 -2 -0x5c6e -0x76d1027 -256 -256 -1 -0 -0 -3 -0xc2f0 -0x14327134 -256 -256 -0 -0 -0 -3 -0xfb71 -0xcf14cca7 -256 -256 -0 -0 -0 -3 -0x5be5 -0x9f84bfc2 -256 -256 -0 -0 -0 -4 -0x2175 -0xd5b6687d -256 -256 -1 -0 -0 -3 -0x6f78 -0x82f23cb9 -256 -256 -0 -0 -0 -3 -0xbaa4 -0x951e17b2 -256 -256 -1 -0 -0 -1 -0x6c0e -0x25983f59 -256 -256 -1 -0 -0 -1 -0x60ec -0x69b63076 -256 -256 -1 -0 -0 -2 -0x70ed -0xc817ab59 -256 -256 -1 -0 -0 -4 -0x54e3 -0x8b04b27e -256 -256 -0 -0 -0 -1 -0x17 -0x8228ad67 -256 -256 -1 -0 -0 -4 -0xd6d8 -0x282912aa -256 -256 -0 -0 -0 -2 -0xa976 -0x51412f3c -256 -256 -1 -0 -0 -2 -0x2052 -0x95566381 -256 -256 -0 -0 -0 -4 -0x2964 -0x19af2795 -256 -256 -1 -0 -0 -2 -0xc82c -0xeaa7f6f -256 -256 -1 -0 -0 -2 -0x9c77 -0xdb02e8fe -256 -256 -0 -0 -0 -3 -0xe545 -0x947382b8 -256 -256 -1 -0 -0 -2 -0xf726 -0xc5073fb1 -256 -256 -0 -0 -0 -2 -0x4dd5 -0x64e10020 -256 -256 -0 -0 -0 -2 -0xc76 -0xf39ed7df -256 -256 -0 -0 -0 -4 -0x2c3a -0x6fa7e558 -256 -256 -0 -0 -0 -2 -0x396c -0x4777f163 -256 -256 -1 -0 -0 -1 -0xab9a -0x7870eb3c -256 -256 -0 -0 -0 -2 -0x52a -0xbeaaa715 -256 -256 -0 -0 -0 -3 -0x3c55 -0xa1bec575 -256 -256 -1 -0 -0 -3 -0x1dda -0x919a5414 -256 -256 -0 -0 -0 -4 -0x591e -0xb22c93ea -256 -256 -0 -0 -0 -3 -0xf724 -0xb42c2266 -256 -256 -1 -0 -0 -2 -0x245c -0x9bfdf754 -256 -256 -0 -0 -0 -1 -0x96a0 -0x9d9ca016 -256 -256 -1 -0 -0 -3 -0xc71 -0x40890e38 -256 -256 -0 -0 -0 -1 -0x6fa1 -0xa9157071 -256 -256 -1 -0 -0 -4 -0xc6c7 -0x8bc18dbd -256 -256 -0 -0 -0 -4 -0xc6a -0x2edd0c69 -256 -256 -1 -0 -0 -4 -0x6d11 -0xfee63598 -256 -256 -1 -0 -0 -1 -0x903d -0x62c715c0 -256 -256 -0 -0 -0 -1 -0xc2db -0x915d33a7 -256 -256 -1 -0 -0 -4 -0x7f8f -0x99722cb2 -256 -256 -0 -0 -0 -4 -0xf0c4 -0xc383ee87 -256 -256 -0 -0 -0 -4 -0xad36 -0xa0bc7bd7 -256 -256 -1 -0 -0 -3 -0x9c4b -0x2a460e2b -256 -256 -0 -0 -0 -1 -0x355e -0x859ec65a -256 -256 -0 -0 -0 -4 -0x97e7 -0x5a57347c -256 -256 -0 -0 -0 -3 -0x4b7b -0x16cf9fd4 -256 -256 -1 -0 -0 -3 -0xefde -0x63b710b5 -256 -256 -0 -0 -0 -3 -0xddb -0x39a818d6 -256 -256 -1 -0 -0 -3 -0xe6a8 -0x15a21921 -256 -256 -1 -0 -0 -3 -0xa9ff -0xc1af2157 -256 -256 -0 -0 -0 -2 -0xb908 -0x7fd3e19f -256 -256 -1 -0 -0 -4 -0x90c7 -0xf84e1e98 -256 -256 -1 -0 -0 -4 -0xefe3 -0xb9e56019 -256 -256 -0 -0 -0 -2 -0xed69 -0x9d39a199 -256 -256 -0 -0 -0 -2 -0xaee1 -0x2f8ec5e -256 -256 -1 -0 -0 -3 -0x5116 -0xc74de9c7 -256 -256 -1 -0 -0 -4 -0x4fe8 -0x9472b7ab -256 -256 -0 -0 -0 -2 -0x773a -0x3b3a111 -256 -256 -1 -0 -0 -4 -0x38de -0x99815baa -256 -256 -0 -0 -0 -2 -0x5ba0 -0x75ba865e -256 -256 -0 -0 -0 -3 -0x5d9a -0xb6a2e804 -256 -256 -0 -0 -0 -1 -0x3853 -0x6001e514 -256 -256 -0 -0 -0 -2 -0x8b9f -0x50bafe6c -256 -256 -0 -0 -0 -2 -0x4dca -0x7e5bd5af -256 -256 -1 -0 -0 -1 -0xc6c2 -0x27477b2a -256 -256 -0 -0 -0 -3 -0x7cd4 -0xe9a081c6 -256 -256 -1 -0 -0 -1 -0xa9e7 -0xdc7fbbb7 -256 -256 -1 -0 -0 -4 -0xc2e7 -0x2e666fdf -256 -256 -1 -0 -0 -1 -0x87f8 -0x4db72454 -256 -256 -0 -0 -0 -2 -0xd4a6 -0xfa47dd96 -256 -256 -0 -0 -0 -4 -0x5e7b -0xb8d65f2f -256 -256 -1 -0 -0 -4 -0x7e18 -0x814125f9 -256 -256 -1 -0 -0 -3 -0xb63f -0x65ce2ed6 -256 -256 -0 -0 -0 -1 -0xfaae -0x6a1b6aed -256 -256 -1 -0 -0 -2 -0xc4b0 -0x8d887929 -256 -256 -0 -0 -0 -1 -0x59fe -0xa746c0ce -256 -256 -0 -0 -0 -3 -0x1154 -0x627d6b6 -256 -256 -1 -0 -0 -4 -0xfbcf -0x3d7ae01b -256 -256 -0 -0 -0 -3 -0x467 -0x25f112c0 -256 -256 -0 -0 -0 -1 -0xc9d5 -0xc9685cdc -256 -256 -0 -0 -0 -1 -0x7d53 -0x88c0d9b6 -256 -256 -0 -0 -0 -3 -0xa34e -0xc7cc9d65 -256 -256 -0 -0 -0 -2 -0x8d9a -0xe7189059 -256 -256 -1 -0 -0 -4 -0xc454 -0x68dbc0b1 -256 -256 -0 -0 -0 -4 -0x64f -0xf12f9518 -256 -256 -1 -0 -0 -2 -0x4d46 -0x556b330c -256 -256 -0 -0 -0 -1 -0xef8 -0xcb693bc1 -256 -256 -1 -0 -0 -4 -0xce79 -0x15e36c1a -256 -256 -1 -0 -0 -4 -0x665a -0x9978c679 -256 -256 -0 -0 -0 -4 -0x94d3 -0xb7c91620 -256 -256 -1 -0 -0 -4 -0x4fc6 -0x2cb7a4bb -256 -256 -1 -0 -0 -1 -0xe66a -0x5fe8db6e -256 -256 -1 -0 -0 -2 -0xae6e -0xfc03d1c2 -256 -256 -0 -0 -0 -4 -0x8f55 -0xe19872ac -256 -256 -0 -0 -0 -4 -0x4196 -0xede39ffd -256 -256 -1 -0 -0 -3 -0x9a95 -0xa42e2c8f -256 -256 -1 -0 -0 -4 -0xa87a -0xa001ce7a -256 -256 -1 -0 -0 -3 -0xd36d -0xda4a7328 -256 -256 -0 -0 -0 -4 -0xbf14 -0x5e2d0e39 -256 -256 -0 -0 -0 -2 -0xb647 -0x9e96d5ba -256 -256 -0 -0 -0 -2 -0x3d2a -0x4998103d -256 -256 -1 -0 -0 -2 -0x8da3 -0xdf09078 -256 -256 -1 -0 -0 -3 -0x94a0 -0xa7384ba8 -256 -256 -0 -0 -0 -1 -0x5c5d -0xe897cd39 -256 -256 -1 -0 -0 -1 -0x2e9c -0x7ec73a93 -256 -256 -1 -0 -0 -2 -0xed22 -0x60937bee -256 -256 -0 -0 -0 -4 -0x5287 -0x54a0b66a -256 -256 -1 -0 -0 -4 -0xd6b5 -0xd02998f2 -256 -256 -1 -0 -0 -4 -0x3cbe -0x89e9f285 -256 -256 -1 -0 -0 -4 -0xfc14 -0xf3ace099 -256 -256 -0 -0 -0 -1 -0xf4e3 -0x70b48329 -256 -256 -1 -0 -0 -2 -0x1fc6 -0xc8f197a0 -256 -256 -0 -0 -0 -1 -0xae5 -0x6e841d30 -256 -256 -0 -0 -0 -4 -0x6dcc -0x6e2942d9 -256 -256 -0 -0 -0 -4 -0x7fe -0x2136cd5 -256 -256 -1 -0 -0 -4 -0x9e67 -0xdbdbb7d4 -256 -256 -0 -0 -0 -4 -0x69c4 -0x64a75d46 -256 -256 -0 -0 -0 -4 -0xe5b6 -0x53e60acb -256 -256 -0 -0 -0 -1 -0xe2a3 -0xd5d008ed -256 -256 -0 -0 -0 -4 -0xf713 -0x4f2c25f4 -256 -256 -0 -0 -0 -3 -0x8ea8 -0x240f682 -256 -256 -0 -0 -0 -1 -0x2a9b -0xd32a0d1 -256 -256 -0 -0 -0 -4 -0x5ece -0x9ba988f9 -256 -256 -1 -0 -0 -3 -0xc8fa -0x1001a202 -256 -256 -1 -0 -0 -1 -0x841 -0x9d77fa14 -256 -256 -1 -0 -0 -1 -0xe249 -0xbcb12d9b -256 -256 -1 -0 -0 -3 -0x6db -0x17aa896b -256 -256 -1 -0 -0 -2 -0x8464 -0xc7780931 -256 -256 -1 -0 -0 -3 -0x5c37 -0x88db36b4 -256 -256 -1 -0 -0 -4 -0x5f6e -0xe8e76f5a -256 -256 -0 -0 -0 -3 -0xb7ac -0x4b45582f -256 -256 -1 -0 -0 -2 -0x4a71 -0x76326b90 -256 -256 -0 -0 -0 -3 -0x26e7 -0xa6244f7d -256 -256 -1 -0 -0 -4 -0x5280 -0x9f2c6857 -256 -256 -0 -0 -0 -2 -0xd2f1 -0xa72cb8d3 -256 -256 -1 -0 -0 -4 -0x4f46 -0xafc65166 -256 -256 -1 -0 -0 -4 -0x9efb -0x5ea5e06f -256 -256 -0 -0 -0 -4 -0xc86a -0xa93c0729 -256 -256 -1 -0 -0 -2 -0x493b -0x55e1f325 -256 -256 -0 -0 -0 -1 -0xfbc0 -0xf271def3 -256 -256 -0 -0 -0 -4 -0x17fe -0x274fb63e -256 -256 -0 -0 -0 -1 -0x639 -0x47cc0540 -256 -256 -0 -0 -0 -2 -0x9402 -0xd9ec5836 -256 -256 -1 -0 -0 -3 -0x5fd5 -0x4fd87d31 -256 -256 -1 -0 -0 -2 -0x2830 -0xe13fa276 -256 -256 -1 -0 -0 -4 -0x9272 -0x2d2cf654 -256 -256 -1 -0 -0 -4 -0xcbe6 -0x53d97fd2 -256 -256 -1 -0 -0 -4 -0x67ca -0x47ed274 -256 -256 -0 -0 -0 -2 -0xebc9 -0x25cd7563 -256 -256 -1 -0 -0 -3 -0x9282 -0x7de9d0c5 -256 -256 -1 -0 -0 -3 -0x663 -0x8b79185c -256 -256 -1 -0 -0 -4 -0x65cd -0x5031d15e -256 -256 -1 -0 -0 -3 -0x26c -0x58eb7c8c -256 -256 -0 -0 -0 -4 -0x433a -0x3a364175 -256 -256 -1 -0 -0 -3 -0x9030 -0x75946dd7 -256 -256 -1 -0 -0 -1 -0x3a60 -0x7f604042 -256 -256 -1 -0 -0 -1 -0x5222 -0x93f6560d -256 -256 -1 -0 -0 -2 -0x8667 -0xe1182b0f -256 -256 -1 -0 -0 -4 -0x7478 -0x2a128fe8 -256 -256 -1 -0 -0 -1 -0xa6a5 -0xddbd98c3 -256 -256 -1 -0 -0 -2 -0x747b -0x78e3880a -256 -256 -0 -0 -0 -1 -0x490b -0x7010bd0c -256 -256 -0 -0 -0 -2 -0x580b -0x8028d72d -256 -256 -1 -0 -0 -4 -0x2558 -0x872c04ac -256 -256 -1 -0 -0 -1 -0xbbbe -0x11c50f5a -256 -256 -0 -0 -0 -2 -0x127d -0x725fe04b -256 -256 -0 -0 -0 -2 -0x3d1f -0xa3cc3c74 -256 -256 -0 -0 -0 -2 -0xcffe -0x45f8b9b6 -256 -256 -1 -0 -0 -2 -0x88e4 -0x9e320981 -256 -256 -0 -0 -0 -1 -0x342b -0x3254705a -256 -256 -0 -0 -0 -1 -0xf8a3 -0x75582b1c -256 -256 -1 -0 -0 -1 -0x5bf4 -0xdd29da6 -256 -256 -1 -0 -0 -4 -0xa368 -0xd43d3080 -256 -256 -1 -0 -0 -4 -0xfbf -0x936fcd40 -256 -256 -1 -0 -0 -3 -0x49c1 -0x93283c0b -256 -256 -0 -0 -0 -3 -0xfc02 -0x32418cdd -256 -256 -1 -0 -0 -2 -0x2aa5 -0x27aa3149 -256 -256 -1 -0 -0 -4 -0xc497 -0x54fdf1fe -256 -256 -0 -0 -0 -2 -0xed75 -0x2640a0b2 -256 -256 -0 -0 -0 -4 -0x3b65 -0x33ad809f -256 -256 -1 -0 -0 -1 -0x713c -0x470af28 -256 -256 -1 -0 -0 -4 -0xad00 -0xa13dde75 -256 -256 -0 -0 -0 -3 -0xca09 -0xd419498 -256 -256 -0 -0 -0 -4 -0xb975 -0x4e5c3244 -256 -256 -1 -0 -0 -4 -0x7934 -0x4ad7b81f -256 -256 -1 -0 -0 -1 -0xb55a -0xf5842e2d -256 -256 -0 -0 -0 -1 -0x6da -0xf12262cf -256 -256 -1 -0 -0 -4 -0x46d5 -0xb2666ea6 -256 -256 -1 -0 -0 -4 -0xd0d9 -0xa86c1514 -256 -256 -0 -0 -0 -4 -0xc0a2 -0x64c27f58 -256 -256 -0 -0 -0 -1 -0xfdbb -0xa0ecb43b -256 -256 -1 -0 -0 -4 -0xa24f -0x3dd88808 -256 -256 -0 -0 -0 -4 -0xe593 -0xe769d087 -256 -256 -1 -0 -0 -2 -0xeb95 -0xbc956a87 -256 -256 -1 -0 -0 -4 -0xbcda -0x97f4ef22 -256 -256 -1 -0 -0 -2 -0x97dd -0xbe7a910f -256 -256 -0 -0 -0 -4 -0x4f4c -0xb033379a -256 -256 -0 -0 -0 -4 -0x9ada -0x8be41f4e -256 -256 -1 -0 -0 -3 -0x7a42 -0xccf80e76 -256 -256 -0 -0 -0 -1 -0x406e -0x135fa289 -256 -256 -0 -0 -0 -2 -0x2d1b -0x26df07de -256 -256 -0 -0 -0 -3 -0x2665 -0x63b8bef5 -256 -256 -0 -0 -0 -1 -0xa1f0 -0x965b93cf -256 -256 -0 -0 -0 -1 -0x16fd -0x29ee80dc -256 -256 -0 -0 -0 -4 -0xb65b -0xbe9b2333 -256 -256 -0 -0 -0 -2 -0xcf39 -0x2dbf733a -256 -256 -1 -0 -0 -4 -0x7968 -0x828b2db5 -256 -256 -0 -0 -0 -1 -0xaea2 -0x8fa0e07e -256 -256 -1 -0 -0 -3 -0xc781 -0x81081047 -256 -256 -0 -0 -0 -4 -0x57f8 -0x3ea672ea -256 -256 -1 -0 -0 -4 -0xc900 -0xed64346b -256 -256 -1 -0 -0 -4 -0x5c10 -0xda49b850 -256 -256 -1 -0 -0 -1 -0xf15e -0x42c35359 -256 -256 -0 -0 -0 -4 -0xe19b -0x6810b52f -256 -256 -1 -0 -0 -2 -0x7a78 -0xee581046 -256 -256 -1 -0 -0 -4 -0xcd9 -0x68eb07fc -256 -256 -1 -0 -0 -1 -0x20a1 -0x4b93f190 -256 -256 -0 -0 -0 -3 -0x63a6 -0xa916b6ee -256 -256 -0 -0 -0 -1 -0x5a07 -0x2d9d83ab -256 -256 -0 -0 -0 -4 -0x8213 -0x1c93d17c -256 -256 -0 -0 -0 -3 -0xe3f1 -0xf6646dd2 -256 -256 -0 -0 -0 -3 -0xc530 -0x327be54a -256 -256 -1 -0 -0 -4 -0x9ecc -0x9482f451 -256 -256 -1 -0 -0 -1 -0xaa2c -0xef3eceeb -256 -256 -1 -0 -0 -3 -0x23d -0x2ba524c6 -256 -256 -1 -0 -0 -1 -0xa2da -0xf4e591aa -256 -256 -0 -0 -0 -1 -0xdd3 -0x21b140b4 -256 -256 -0 -0 -0 -3 -0x4cb2 -0xe07ea35e -256 -256 -1 -0 -0 -1 -0xc602 -0xea2bd138 -256 -256 -0 -0 -0 -1 -0x6195 -0xaaf2953a -256 -256 -0 -0 -0 -4 -0x2fc5 -0xfdabd0aa -256 -256 -0 -0 -0 -3 -0x1087 -0xb240d536 -256 -256 -1 -0 -0 -1 -0x18d0 -0x2936a2ac -256 -256 -1 -0 -0 -4 -0x60ca -0xbac5e6ad -256 -256 -0 -0 -0 -4 -0xe178 -0xa6d5bc9e -256 -256 -1 -0 -0 -2 -0xd1ce -0xec643640 -256 -256 -1 -0 -0 -3 -0xe6db -0xab61b8ee -256 -256 -0 -0 -0 -1 -0xba97 -0x9b310 -256 -256 -0 -0 -0 -1 -0xf947 -0x992f9d33 -256 -256 -0 -0 -0 -1 -0x7de9 -0xf999eca0 -256 -256 -0 -0 -0 -2 -0xef55 -0x30d19cb1 -256 -256 -0 -0 -0 -2 -0xaa70 -0xa5926c86 -256 -256 -1 -0 -0 -2 -0x661b -0xf342c749 -256 -256 -1 -0 -0 -1 -0xc357 -0x3056f9cb -256 -256 -0 -0 -0 -2 -0xfdc3 -0x2c531173 -256 -256 -0 -0 -0 -2 -0xf122 -0x1d73db5a -256 -256 -0 -0 -0 -2 -0x115d -0x48c12c95 -256 -256 -1 -0 -0 -4 -0x7eb3 -0xf362e2ef -256 -256 -0 -0 -0 -1 -0xf60 -0x2095272f -256 -256 -0 -0 -0 -3 -0xa717 -0xbc88af1f -256 -256 -1 -0 -0 -1 -0xd6bf -0x4a3e2a10 -256 -256 -1 -0 -0 -2 -0xdeb4 -0xff13d908 -256 -256 -0 -0 -0 -4 -0xcc71 -0xd49f55cd -256 -256 -1 -0 -0 -4 -0x6ba7 -0xb37bed1a -256 -256 -0 -0 -0 -3 -0xb104 -0xb082b5e2 -256 -256 -0 -0 -0 -1 -0x2908 -0x416677ae -256 -256 -0 -0 -0 -3 -0x6480 -0xf00ba87f -256 -256 -1 -0 -0 -1 -0xcdc9 -0x4006008a -256 -256 -0 -0 -0 -2 -0x56dc -0x3c6128a0 -256 -256 -0 -0 -0 -4 -0x475b -0x17e680be -256 -256 -0 -0 -0 -3 -0xbc40 -0x88e698f4 -256 -256 -0 -0 -0 -4 -0x3add -0x9e1b398e -256 -256 -1 -0 -0 -1 -0xf913 -0x3ef0fe37 -256 -256 -0 -0 -0 -4 -0x8bc7 -0x5d0d9edf -256 -256 -0 -0 -0 -3 -0x86a2 -0xea6a403f -256 -256 -1 -0 -0 -3 -0xbb76 -0xbc50bfd3 -256 -256 -1 -0 -0 -2 -0xc578 -0x334c4986 -256 -256 -0 -0 -0 -4 -0xf1f7 -0x983bdd4f -256 -256 -0 -0 -0 -3 -0xafe2 -0xe1b39706 -256 -256 -0 -0 -0 -1 -0x196e -0x1d37b327 -256 -256 -1 -0 -0 -3 -0xce23 -0x4754e663 -256 -256 -1 -0 -0 -3 -0x6ee4 -0xe9b10c44 -256 -256 -1 -0 -0 -1 -0x61f6 -0x704fa75 -256 -256 -0 -0 -0 -3 -0xa015 -0x9fa7fb69 -256 -256 -1 -0 -0 -1 -0x6491 -0x27de6e98 -256 -256 -1 -0 -0 -3 -0xb564 -0xb69b6783 -256 -256 -0 -0 -0 -2 -0xe97e -0x6f119ab5 -256 -256 -0 -0 -0 -4 -0x8fd6 -0x46d6d167 -256 -256 -0 -0 -0 -4 -0xc775 -0x22d6e9c -256 -256 -0 -0 -0 -3 -0xa598 -0xfa2d0222 -256 -256 -1 -0 -0 -1 -0xf13e -0x1e0ac32c -256 -256 -0 -0 -0 -4 -0x560f -0x75ca78a3 -256 -256 -0 -0 -0 -2 -0xf6b4 -0x8836ed52 -256 -256 -0 -0 -0 -1 -0xd068 -0xbdb16176 -256 -256 -1 -0 -0 -4 -0xf4ad -0xd4fa9232 -256 -256 -1 -0 -0 -1 -0x93a7 -0xa9f99fcc -256 -256 -0 -0 -0 -1 -0xf724 -0x8732e491 -256 -256 -1 -0 -0 -1 -0x18f1 -0xc2d1f77b -256 -256 -0 -0 -0 -4 -0x4d6b -0x9eee2130 -256 -256 -1 -0 -0 -2 -0x5b0e -0x6ec26b73 -256 -256 -0 -0 -0 -4 -0x3fdf -0x964daf20 -256 -256 -0 -0 -0 -4 -0x313b -0x5c2ad885 -256 -256 -0 -0 -0 -1 -0x4a9a -0xe8ec61f5 -256 -256 -1 -0 -0 -1 -0x7f1e -0x4f7777d1 -256 -256 -1 -0 -0 -1 -0xe1ed -0x866b59c -256 -256 -0 -0 -0 -1 -0x967e -0xf5cb4843 -256 -256 -1 -0 -0 -4 -0xa47 -0xabaa9307 -256 -256 -1 -0 -0 -3 -0xa04e -0x9e6fd989 -256 -256 -1 -0 -0 -1 -0x3e1d -0x7f294424 -256 -256 -0 -0 -0 -1 -0x1f16 -0xd5648fa6 -256 -256 -1 -0 -0 -4 -0xc182 -0xd9035b43 -256 -256 -0 -0 -0 -4 -0xb9c7 -0xae4ee101 -256 -256 -1 -0 -0 -4 -0x9cdf -0x90fe9c4c -256 -256 -1 -0 -0 -1 -0x6f90 -0xbe551071 -256 -256 -1 -0 -0 -4 -0x7d38 -0xc6445548 -256 -256 -0 -0 -0 -3 -0x351f -0x94dc5b28 -256 -256 -0 -0 -0 -1 -0x4010 -0x2dbef6bf -256 -256 -0 -0 -0 -1 -0x494e -0xf477abf2 -256 -256 -0 -0 -0 -2 -0xad9d -0xf14530d8 -256 -256 -0 -0 -0 -3 -0xec7f -0xcec7572d -256 -256 -1 -0 -0 -1 -0x825c -0x4fa4cdf -256 -256 -1 -0 -0 -1 -0x9abe -0xd040f3e8 -256 -256 -0 -0 -0 -2 -0xc311 -0xb7d94fd8 -256 -256 -1 -0 -0 -3 -0x576c -0x8ed5f4c -256 -256 -1 -0 -0 -2 -0xb4e4 -0x3856f092 -256 -256 -1 -0 -0 -4 -0x80e1 -0x79303c19 -256 -256 -1 -0 -0 -3 -0x8b15 -0xbb37cf70 -256 -256 -0 -0 -0 -3 -0xef90 -0x23f0864f -256 -256 -0 -0 -0 -1 -0x1763 -0x93f19f42 -256 -256 -0 -0 -0 -2 -0xd536 -0xefc18deb -256 -256 -0 -0 -0 -1 -0x78c0 -0x697bcdf6 -256 -256 -1 -0 -0 -1 -0x1e4b -0x62abb51 -256 -256 -1 -0 -0 -2 -0xae65 -0x6549c562 -256 -256 -0 -0 -0 -4 -0x1997 -0xababbe97 -256 -256 -1 -0 -0 -4 -0xdfcb -0xb6ea163d -256 -256 -1 -0 -0 -3 -0x951d -0xe3e08abf -256 -256 -0 -0 -0 -3 -0xbe8e -0xd2ac3099 -256 -256 -1 -0 -0 -2 -0xa26d -0x97709c58 -256 -256 -1 -0 -0 -1 -0xe5bd -0xde5bc10c -256 -256 -1 -0 -0 -3 -0x9f3d -0x8ebf140b -256 -256 -1 -0 -0 -3 -0xd6d1 -0x7ead344b -256 -256 -1 -0 -0 -4 -0x2a -0xb159d84b -256 -256 -1 -0 -0 -3 -0xc9e6 -0x8e95533c -256 -256 -1 -0 -0 -3 -0x46e8 -0x6e8babc5 -256 -256 -0 -0 -0 -4 -0x7680 -0xc9b233e3 -256 -256 -0 -0 -0 -1 -0x5c9 -0x71c48853 -256 -256 -0 -0 -0 -3 -0x312d -0x4560da36 -256 -256 -0 -0 -0 -3 -0x3f07 -0xfcc8fea0 -256 -256 -1 -0 -0 -1 -0xdeb7 -0x7768377 -256 -256 -1 -0 -0 -3 -0x223e -0x5d83db2f -256 -256 -0 -0 -0 -3 -0x312c -0x2d4e9d61 -256 -256 -0 -0 -0 -4 -0xa367 -0x140bf9d -256 -256 -0 -0 -0 -4 -0xc70d -0xde5c14b2 -256 -256 -1 -0 -0 -4 -0x1a61 -0x3596b41b -256 -256 -0 -0 -0 -2 -0x8064 -0xad8796ad -256 -256 -1 -0 -0 -2 -0x1a16 -0x8048cd1e -256 -256 -0 -0 -0 -1 -0x2f41 -0xa4c8bd36 -256 -256 -1 -0 -0 -3 -0x5f47 -0xbe9760cd -256 -256 -0 -0 -0 -4 -0x29be -0x6de33493 -256 -256 -1 -0 -0 -1 -0x2e4d -0x84a7e157 -256 -256 -0 -0 -0 -1 -0x60fa -0xcd5299c5 -256 -256 -0 -0 -0 -2 -0x4677 -0x5c80b2b7 -256 -256 -1 -0 -0 -1 -0x8607 -0x6bbe4e62 -256 -256 -1 -0 -0 -1 -0xc196 -0xf8e25973 -256 -256 -1 -0 -0 -2 -0x7bdc -0xef4951b1 -256 -256 -1 -0 -0 -3 -0xe02b -0x20227742 -256 -256 -0 -0 -0 -2 -0x22dc -0xdb9c3619 -256 -256 -1 -0 -0 -3 -0x3596 -0x526248a2 -256 -256 -1 -0 -0 -2 -0x464e -0x77b7173c -256 -256 -1 -0 -0 -3 -0x30c8 -0x564f181f -256 -256 -1 -0 -0 -3 -0x82e8 -0x7882ba12 -256 -256 -0 -0 -0 -4 -0x5c5d -0x5c9d74e2 -256 -256 -1 -0 -0 -2 -0x616d -0xb59872fc -256 -256 -1 -0 -0 -1 -0x6d2f -0xe2560bf7 -256 -256 -0 -0 -0 -1 -0x1fad -0xed2e1c8b -256 -256 -1 -0 -0 -1 -0x2eb6 -0x35ed853f -256 -256 -1 -0 -0 -1 -0xe6cc -0xed53f96a -256 -256 -0 -0 -0 -1 -0xda1d -0x3bd8e304 -256 -256 -1 -0 -0 -1 -0xd907 -0x201147aa -256 -256 -0 -0 -0 -4 -0x3384 -0xb5ac9d84 -256 -256 -0 -0 -0 -4 -0x4af4 -0x96131143 -256 -256 -1 -0 -0 -1 -0x9182 -0xb02d8f84 -256 -256 -1 -0 -0 -3 -0x443b -0x80ae6adb -256 -256 -1 -0 -0 -2 -0x91b7 -0x4fbaf186 -256 -256 -1 -0 -0 -4 -0x5c67 -0x3212081d -256 -256 -0 -0 -0 -2 -0xb226 -0x15717272 -256 -256 -0 -0 -0 -4 -0x1960 -0xca5c5d34 -256 -256 -0 -0 -0 -1 -0x963f -0x4aacb3ae -256 -256 -0 -0 -0 -3 -0x3563 -0xb5b0df79 -256 -256 -0 -0 -0 -3 -0x8bf -0xbeb8f547 -256 -256 -1 -0 -0 -1 -0x7c52 -0xbe910171 -256 -256 -0 -0 -0 -2 -0xfe79 -0xa03b59c7 -256 -256 -1 -0 -0 -4 -0xab4d -0x58ecd3f5 -256 -256 -1 -0 -0 -1 -0x8e5a -0xfa6d2f43 -256 -256 -1 -0 -0 -4 -0xc733 -0x9c6c0d42 -256 -256 -0 -0 -0 -2 -0xb171 -0xed317faf -256 -256 -1 -0 -0 -4 -0xcf57 -0x181b2625 -256 -256 -1 -0 -0 -4 -0xbd90 -0xc3aa59f9 -256 -256 -1 -0 -0 -1 -0x2fac -0x9c47f180 -256 -256 -1 -0 -0 -4 -0x902c -0x476360c8 -256 -256 -1 -0 -0 -3 -0x28d5 -0x1fcdcd2b -256 -256 -1 -0 -0 -2 -0x1d24 -0x57674a7d -256 -256 -1 -0 -0 -4 -0xd06a -0xd7b4c4de -256 -256 -1 -0 -0 -1 -0xdb4f -0x761be2dc -256 -256 -1 -0 -0 -1 -0x8746 -0x2cd84e74 -256 -256 -0 -0 -0 -3 -0x8882 -0xd5fe0d19 -256 -256 -0 -0 -0 -3 -0xe35e -0x67702da7 -256 -256 -0 -0 -0 -1 -0xac44 -0x115d3e57 -256 -256 -0 -0 -0 -2 -0xf836 -0xf407c00e -256 -256 -1 -0 -0 -4 -0xa813 -0x527f755e -256 -256 -0 -0 -0 -1 -0x3dd4 -0x6336306c -256 -256 -1 -0 -0 -2 -0x4036 -0x8b707308 -256 -256 -1 -0 -0 -3 -0x344b -0x92d30077 -256 -256 -1 -0 -0 -4 -0x4176 -0xd32bf6bd -256 -256 -1 -0 -0 -2 -0xf067 -0x230ca0cb -256 -256 -0 -0 -0 -2 -0x2830 -0x6bc3c796 -256 -256 -0 -0 -0 -4 -0xf80e -0x6867685b -256 -256 -1 -0 -0 -3 -0x432b -0x9006e127 -256 -256 -1 -0 -0 -1 -0x49db -0x70879d57 -256 -256 -1 -0 -0 -3 -0x3324 -0xf2a0f3e7 -256 -256 -0 -0 -0 -2 -0xdf19 -0x40998f9 -256 -256 -1 -0 -0 -4 -0x205f -0x34604424 -256 -256 -1 -0 -0 -3 -0x6110 -0xd3e88218 -256 -256 -1 -0 -0 -1 -0xacc3 -0x3a07a6bb -256 -256 -0 -0 -0 -4 -0x423f -0x4c4c1b4f -256 -256 -1 -0 -0 -2 -0x94df -0xff0246d4 -256 -256 -0 -0 -0 -4 -0x10f4 -0xa64dbca5 -256 -256 -0 -0 -0 -3 -0x7829 -0xc442e57b -256 -256 -1 -0 -0 -1 -0x7b94 -0xb9e38a92 -256 -256 -1 -0 -0 -1 -0x1b1c -0xb45fb6cd -256 -256 -0 -0 -0 -3 -0xff31 -0x1ea3cdd6 -256 -256 -0 -0 -0 -1 -0xa303 -0x4b001b29 -256 -256 -1 -0 -0 -1 -0x75d -0x944e23ca -256 -256 -1 -0 -0 -3 -0x946e -0x94dbd69a -256 -256 -0 -0 -0 -4 -0xd2f5 -0x9f936d81 -256 -256 -1 -0 -0 -3 -0xb38c -0xfe216050 -256 -256 -1 -0 -0 -3 -0xd493 -0xb0b22c69 -256 -256 -1 -0 -0 -4 -0x466f -0xfaf40790 -256 -256 -0 -0 -0 -4 -0xa2a2 -0xda24c5 -256 -256 -0 -0 -0 -1 -0xfa55 -0x3d8eea57 -256 -256 -0 -0 -0 -4 -0xb72c -0x32ab6210 -256 -256 -1 -0 -0 -2 -0xf5c6 -0x346cc408 -256 -256 -1 -0 -0 -3 -0x94f9 -0xff054db1 -256 -256 -1 -0 -0 -4 -0xc2bc -0x26f123fb -256 -256 -1 -0 -0 -3 -0xfa6b -0x72445478 -256 -256 -1 -0 -0 -4 -0xd4f6 -0xde02823a -256 -256 -1 -0 -0 -1 -0xa2c8 -0xa469987d -256 -256 -0 -0 -0 -2 -0xde1e -0x95ee133d -256 -256 -1 -0 -0 -1 -0xa2d2 -0x5bb70f8f -256 -256 -1 -0 -0 -1 -0xa311 -0xed20e787 -256 -256 -1 -0 -0 -3 -0xa253 -0xb0eee1df -256 -256 -1 -0 -0 -2 -0x6cc9 -0xb7fb5609 -256 -256 -0 -0 -0 -1 -0x29a5 -0xbe996c4e -256 -256 -1 -0 -0 -4 -0x7e84 -0xf7cfcbce -256 -256 -1 -0 -0 -2 -0xcd67 -0xf782dac1 -256 -256 -1 -0 -0 -3 -0x35ed -0xae73f318 -256 -256 -0 -0 -0 -4 -0x7b05 -0xd094548d -256 -256 -0 -0 -0 -4 -0xc6b1 -0x2147b916 -256 -256 -1 -0 -0 -2 -0x6e23 -0xecd30b55 -256 -256 -0 -0 -0 -2 -0x3252 -0xa2e38487 -256 -256 -1 -0 -0 -2 -0x866 -0x2aa1ee46 -256 -256 -0 -0 -0 -2 -0xdc0d -0x19967e64 -256 -256 -0 -0 -0 -4 -0x493 -0x7a4193b7 -256 -256 -0 -0 -0 -4 -0x40fd -0x9b6a642a -256 -256 -1 -0 -0 -1 -0x6004 -0x4ba14029 -256 -256 -0 -0 -0 -2 -0x5413 -0x19d63000 -256 -256 -0 -0 -0 -2 -0xc39 -0xa17ee979 -256 -256 -0 -0 -0 -1 -0xa5c0 -0x36625c87 -256 -256 -1 -0 -0 -4 -0x23f5 -0xea1462a3 -256 -256 -0 -0 -0 -1 -0x209d -0xb9a4b364 -256 -256 -0 -0 -0 -4 -0x95d1 -0xbac1b50f -256 -256 -1 -0 -0 -3 -0x5d97 -0x2e3dcfd0 -256 -256 -0 -0 -0 -3 -0xcdc7 -0x8f22db5a -256 -256 -0 -0 -0 -4 -0x55b9 -0xb9e65df2 -256 -256 -0 -0 -0 -1 -0xdadf -0xc5c33a99 -256 -256 -0 -0 -0 -1 -0xd3d6 -0x99113cc3 -256 -256 -0 -0 -0 -3 -0xbcb4 -0x7a60091e -256 -256 -0 -0 -0 -1 -0x5b37 -0x49aeda2d -256 -256 -1 -0 -0 -2 -0x9258 -0x5ec3b487 -256 -256 -1 -0 -0 -1 -0x98b5 -0x6ca4e3c6 -256 -256 -0 -0 -0 -4 -0xa25f -0x43deaefc -256 -256 -0 -0 -0 -3 -0xe6f2 -0x2eb40da9 -256 -256 -1 -0 -0 -1 -0xbd47 -0x44dbaa05 -256 -256 -1 -0 -0 -3 -0x5a9d -0x2ad2057e -256 -256 -1 -0 -0 -3 -0xa726 -0x857ac701 -256 -256 -1 -0 -0 -2 -0x905 -0xd5f9584a -256 -256 -0 -0 -0 -2 -0xeca8 -0x722663e9 -256 -256 -0 -0 -0 -1 -0xbc30 -0xb745d3c0 -256 -256 -1 -0 -0 -2 -0xbd1e -0xd24865ce -256 -256 -0 -0 -0 -2 -0x548a -0x95d60cf0 -256 -256 -1 -0 -0 -4 -0x343c -0x921ad032 -256 -256 -0 -0 -0 -3 -0x3048 -0x7c52b48b -256 -256 -1 -0 -0 -3 -0x6023 -0x79ab4984 -256 -256 -0 -0 -0 -1 -0x8d6d -0x84d4aa5b -256 -256 -0 -0 -0 -1 -0xcf48 -0x7c93156b -256 -256 -1 -0 -0 -3 -0x2657 -0xefe9b258 -256 -256 -0 -0 -0 -3 -0xc66 -0x404a38b8 -256 -256 -1 -0 -0 -4 -0x8772 -0xab6616c2 -256 -256 -0 -0 -0 -2 -0xa2da -0x7530f89a -256 -256 -0 -0 -0 -3 -0xeabf -0x62adb557 -256 -256 -1 -0 -0 -3 -0xaf36 -0x3e34e753 -256 -256 -1 -0 -0 -1 -0x1ad9 -0x153e5277 -256 -256 -0 -0 -0 -1 -0x6a53 -0x3a134477 -256 -256 -1 -0 -0 -2 -0x5986 -0x6d31f5ec -256 -256 -1 -0 -0 -1 -0x98b4 -0xf04e9bcf -256 -256 -1 -0 -0 -2 -0xd195 -0xd7ecdffe -256 -256 -1 -0 -0 -3 -0x718f -0xa5808d6f -256 -256 -0 -0 -0 -3 -0x7fd9 -0xd9fdddfa -256 -256 -1 -0 -0 -4 -0x1021 -0x87593e6d -256 -256 -0 -0 -0 -1 -0x8f7c -0xd5ee04ce -256 -256 -1 -0 -0 -1 -0xaf42 -0x967eace9 -256 -256 -0 -0 -0 -3 -0x75d7 -0xfcfa314b -256 -256 -0 -0 -0 -1 -0xbfe1 -0xfbbd8440 -256 -256 -1 -0 -0 -4 -0x2fb5 -0x8fd670af -256 -256 -0 -0 -0 -4 -0x739f -0x3d9de33 -256 -256 -0 -0 -0 -4 -0x2cf9 -0x8d1b3310 -256 -256 -1 -0 -0 -2 -0x382b -0xc2cbad77 -256 -256 -0 -0 -0 -2 -0x8133 -0x932f09d5 -256 -256 -1 -0 -0 -1 -0x8e1c -0x28a21371 -256 -256 -1 -0 -0 -3 -0x8660 -0xfe6184f0 -256 -256 -0 -0 -0 -2 -0x787b -0x3ecb4368 -256 -256 -1 -0 -0 -3 -0xde23 -0x86bf5faa -256 -256 -1 -0 -0 -1 -0xae0f -0x5abceb4f -256 -256 -1 -0 -0 -4 -0xce5f -0x74e20639 -256 -256 -0 -0 -0 -3 -0x936c -0xa6d07063 -256 -256 -1 -0 -0 -4 -0xc23d -0x27ffce48 -256 -256 -0 -0 -0 -3 -0xf8 -0x87a1918a -256 -256 -1 -0 -0 -2 -0x8885 -0x542c4139 -256 -256 -0 -0 -0 -4 -0x2e30 -0x108afc64 -256 -256 -1 -0 -0 -4 -0x9314 -0x2a183568 -256 -256 -0 -0 -0 -4 -0xbdc -0x9dc976e5 -256 -256 -1 -0 -0 -2 -0x519a -0xf65b7704 -256 -256 -0 -0 -0 -1 -0xd3f6 -0xc4737942 -256 -256 -0 -0 -0 -2 -0x67b1 -0xe7354273 -256 -256 -1 -0 -0 -4 -0x9b67 -0xc4a67c3a -256 -256 -0 -0 -0 -2 -0xb4ee -0x59759027 -256 -256 -0 -0 -0 -2 -0xf7de -0xb03d33d -256 -256 -0 -0 -0 -1 -0x5386 -0x1b8ed2dc -256 -256 -0 -0 -0 -3 -0x5b -0x44c1e4f7 -256 -256 -1 -0 -0 -2 -0xf5b0 -0xd20422e3 -256 -256 -1 -0 -0 -3 -0xba96 -0x236a573c -256 -256 -1 -0 -0 -2 -0x33a8 -0x32250a9 -256 -256 -0 -0 -0 -2 -0xa8a9 -0xe93f8279 -256 -256 -0 -0 -0 -3 -0x38f5 -0x17129100 -256 -256 -0 -0 -0 -3 -0xa4c8 -0x7d7d6167 -256 -256 -1 -0 -0 -2 -0x8f83 -0xfeceed7d -256 -256 -1 -0 -0 -3 -0xb6a7 -0xfddb7c84 -256 -256 -1 -0 -0 -4 -0x3f36 -0x1d32f43a -256 -256 -0 -0 -0 -2 -0x92fe -0x1804ba6f -256 -256 -1 -0 -0 -1 -0x9022 -0x138c03e0 -256 -256 -1 -0 -0 -2 -0xd91a -0x1f6f203e -256 -256 -0 -0 -0 -4 -0x1664 -0x8c837d93 -256 -256 -1 -0 -0 -4 -0x1db0 -0x33d1003c -256 -256 -0 -0 -0 -4 -0xadae -0xd4a7c1c2 -256 -256 -1 -0 -0 -4 -0xf190 -0x46899cc7 -256 -256 -0 -0 -0 -1 -0xd9f6 -0xb90084b1 -256 -256 -1 -0 -0 -1 -0x4aef -0x34678224 -256 -256 -0 -0 -0 -3 -0x9e02 -0x59cc157b -256 -256 -0 -0 -0 -3 -0xa2ba -0x598346a1 -256 -256 -0 -0 -0 -3 -0x8cdb -0xee25d081 -256 -256 -0 -0 -0 -2 -0xdd04 -0xb17b4111 -256 -256 -0 -0 -0 -3 -0x2e96 -0x2b2fba7f -256 -256 -1 -0 -0 -2 -0x1432 -0x482b8f85 -256 -256 -0 -0 -0 -2 -0x95b2 -0xf8fce3c0 -256 -256 -0 -0 -0 -3 -0xedc8 -0xba1359e4 -256 -256 -1 -0 -0 -2 -0xd14e -0xc9456857 -256 -256 -1 -0 -0 -1 -0x53db -0x2e8eafd -256 -256 -1 -0 -0 -3 -0x8b79 -0x18117b59 -256 -256 -0 -0 -0 -1 -0x1ab -0x1ee8a560 -256 -256 -1 -0 -0 -3 -0x5f1d -0xcea041bf -256 -256 -1 -0 -0 -4 -0x8e0c -0xc7ca011f -256 -256 -1 -0 -0 -2 -0x800a -0xd873cdd7 -256 -256 -0 -0 -0 -3 -0xe183 -0x8baacd01 -256 -256 -1 -0 -0 -1 -0x5604 -0x48280e97 -256 -256 -1 -0 -0 -1 -0x539 -0xf8283de1 -256 -256 -0 -0 -0 -3 -0x25d9 -0xc2eb0d76 -256 -256 -0 -0 -0 -1 -0x70e4 -0x5cb4f3b2 -256 -256 -0 -0 -0 -3 -0xc6b8 -0x28b5bfec -256 -256 -1 -0 -0 -3 -0x8f94 -0x737aecd3 -256 -256 -1 -0 -0 -4 -0x7753 -0x35891f21 -256 -256 -1 -0 -0 -3 -0x27e9 -0x93998fbc -256 -256 -1 -0 -0 -1 -0xf8d -0x560d74ef -256 -256 -1 -0 -0 -1 -0x3068 -0x9b8029a3 -256 -256 -0 -0 -0 -4 -0x4a0d -0x271a7bf5 -256 -256 -1 -0 -0 -2 -0xa6a2 -0x8dc6e0dc -256 -256 -0 -0 -0 -2 -0x6ac5 -0xb2671ebd -256 -256 -1 -0 -0 -1 -0xa0f3 -0xf24a3513 -256 -256 -0 -0 -0 -1 -0x8ce -0x3d39a222 -256 -256 -0 -0 -0 -2 -0x7d5 -0xed622de9 -256 -256 -1 -0 -0 -4 -0xb463 -0x3098c07b -256 -256 -1 -0 -0 -3 -0x7e79 -0x50a165d3 -256 -256 -0 -0 -0 -2 -0xd8df -0xed4d44f -256 -256 -1 -0 -0 -3 -0xfe77 -0x692793e7 -256 -256 -0 -0 -0 -2 -0x8dde -0x5ba52d2e -256 -256 -0 -0 -0 -3 -0x7c18 -0xaeeb4dea -256 -256 -1 -0 -0 -1 -0x2a6b -0xa9993311 -256 -256 -1 -0 -0 -4 -0x1e78 -0xc3286fba -256 -256 -1 -0 -0 -4 -0x326d -0xc265ea2f -256 -256 -1 -0 -0 -4 -0xccb -0x629c4642 -256 -256 -0 -0 -0 -3 -0x9ed7 -0xe9c3e1b2 -256 -256 -0 -0 -0 -3 -0x678c -0x2b6e6f97 -256 -256 -1 -0 -0 -4 -0xf77c -0x3b83128b -256 -256 -1 -0 -0 -4 -0xe01 -0x4a9ebef6 -256 -256 -0 -0 -0 -1 -0xd7ed -0xe54fe8b3 -256 -256 -0 -0 -0 -2 -0x3f7f -0xa3a13526 -256 -256 -1 -0 -0 -3 -0xda65 -0x35adf556 -256 -256 -0 -0 -0 -2 -0xc925 -0xde42060c -256 -256 -1 -0 -0 -3 -0x6a3a -0xed5db6db -256 -256 -1 -0 -0 -4 -0x7620 -0xdecfa6b2 -256 -256 -0 -0 -0 -3 -0x7313 -0x258fec7a -256 -256 -1 -0 -0 -3 -0xfcb4 -0x51a86f4f -256 -256 -0 -0 -0 -1 -0x8d1 -0xb60ad336 -256 -256 -0 -0 -0 -4 -0x46a2 -0xac5d8101 -256 -256 -1 -0 -0 -4 -0x1ab4 -0x8db0dba7 -256 -256 -0 -0 -0 -1 -0xccdb -0x7060f0b1 -256 -256 -0 -0 -0 -2 -0x91d8 -0xbe4b6253 -256 -256 -0 -0 -0 -3 -0x78ec -0xe70dfff2 -256 -256 -1 -0 -0 -2 -0x4c6e -0x9777956f -256 -256 -1 -0 -0 -4 -0xfbde -0xb9659e82 -256 -256 -1 -0 -0 -2 -0x7324 -0x6d044315 -256 -256 -1 -0 -0 -4 -0xa5ab -0x34f9118 -256 -256 -0 -0 -0 -1 -0xac83 -0x569dd40 -256 -256 -1 -0 -0 -1 -0x7f91 -0xb5c55188 -256 -256 -1 -0 -0 -1 -0x97f -0xd58e28a2 -256 -256 -1 -0 -0 -1 -0x28d6 -0xa6ed0415 -256 -256 -0 -0 -0 -3 -0x7b6a -0xbcec00c0 -256 -256 -0 -0 -0 -2 -0x7a2c -0x111e4f01 -256 -256 -0 -0 -0 -1 -0xc887 -0xa5ccb096 -256 -256 -0 -0 -0 -3 -0x7929 -0xe0501168 -256 -256 -0 -0 -0 -4 -0xe4aa -0x115d2746 -256 -256 -0 -0 -0 -3 -0x8d60 -0xc344dd4b -256 -256 -1 -0 -0 -2 -0x8d27 -0x16b69aa4 -256 -256 -1 -0 -0 -2 -0xffcb -0x323b9791 -256 -256 -0 -0 -0 -1 -0x89ea -0x4924b3d2 -256 -256 -1 -0 -0 -2 -0x9742 -0x90480137 -256 -256 -0 -0 -0 -2 -0xd48f -0x3bb58108 -256 -256 -1 -0 -0 -4 -0x11a3 -0xcbe01fae -256 -256 -0 -0 -0 -3 -0xa5b3 -0xc7816a00 -256 -256 -0 -0 -0 -2 -0xb2a1 -0xeb3d10ab -256 -256 -0 -0 -0 -1 -0x74f4 -0x13df0b04 -256 -256 -0 -0 -0 -3 -0xef1e -0x1048b5ca -256 -256 -0 -0 -0 -3 -0x4795 -0xbdfa5ede -256 -256 -1 -0 -0 -2 -0x9a38 -0x6da18ddd -256 -256 -0 -0 -0 -2 -0x7a40 -0x63985cf -256 -256 -1 -0 -0 -2 -0x5842 -0xcf5a006 -256 -256 -1 -0 -0 -4 -0xd762 -0xddd29003 -256 -256 -1 -0 -0 -4 -0xc804 -0x485814ed -256 -256 -1 -0 -0 -4 -0x2d99 -0x9bc84fb9 -256 -256 -1 -0 -0 -1 -0x786f -0xdeff2cf5 -256 -256 -1 -0 -0 -2 -0xde95 -0xd3fa25ee -256 -256 -1 -0 -0 -2 -0xd84a -0xf900f0e7 -256 -256 -1 -0 -0 -4 -0x439b -0x370b643 -256 -256 -1 -0 -0 -3 -0x72bb -0xb124651b -256 -256 -0 -0 -0 -3 -0x1307 -0x3fc6a69d -256 -256 -0 -0 -0 -2 -0x5052 -0x8385ef2d -256 -256 -1 -0 -0 -1 -0xfe1d -0xcf1e8224 -256 -256 -1 -0 -0 -3 -0xa0cc -0x568dbfd1 -256 -256 -0 -0 -0 -3 -0xef83 -0xbe75ee04 -256 -256 -1 -0 -0 -1 -0xa090 -0xbe204298 -256 -256 -1 -0 -0 -1 -0x881e -0x21557c99 -256 -256 -0 -0 -0 -3 -0x553d -0xda4ab81a -256 -256 -0 -0 -0 -2 -0x34df -0x41b0723e -256 -256 -1 -0 -0 -3 -0x8469 -0xacdddef3 -256 -256 -1 -0 -0 -2 -0x91d4 -0xeb9656cf -256 -256 -0 -0 -0 -4 -0x110d -0x1e607561 -256 -256 -0 -0 -0 -2 -0x66b3 -0x6cfbc0b6 -256 -256 -1 -0 -0 -4 -0x2482 -0x243ffb4b -256 -256 -1 -0 -0 -1 -0xd239 -0x8239a0ca -256 -256 -0 -0 -0 -1 -0x907f -0x2af70be8 -256 -256 -1 -0 -0 -4 -0x463b -0x7c2e045a -256 -256 -0 -0 -0 -2 -0x2c89 -0x17711561 -256 -256 -0 -0 -0 -3 -0x3c97 -0x5cb5db73 -256 -256 -1 -0 -0 -1 -0xa02e -0xff3ffd97 -256 -256 -0 -0 -0 -2 -0x9b64 -0xcc173c6e -256 -256 -0 -0 -0 -1 -0x1c83 -0xd6bd534f -256 -256 -0 -0 -0 -4 -0x7e9f -0xd225abc5 -256 -256 -1 -0 -0 -3 -0x4b7e -0x27d7afda -256 -256 -0 -0 -0 -1 -0x8639 -0x614a67ee -256 -256 -1 -0 -0 -3 -0x4182 -0xba9a01ac -256 -256 -1 -0 -0 -2 -0xd975 -0xa94c2e1b -256 -256 -0 -0 -0 -4 -0x9fc8 -0xbf7a2412 -256 -256 -1 -0 -0 -1 -0xaf72 -0x8a94f1ab -256 -256 -1 -0 -0 -4 -0x59dd -0x77495726 -256 -256 -0 -0 -0 -4 -0x8a1a -0xad3e4e35 -256 -256 -1 -0 -0 -3 -0x4ac5 -0x5a4c7bf5 -256 -256 -1 -0 -0 -4 -0x873b -0xdf52115e -256 -256 -0 -0 -0 -2 -0xbf38 -0x5da1d61e -256 -256 -0 -0 -0 -2 -0xc76e -0xd3a0b079 -256 -256 -0 -0 -0 -4 -0x1a8a -0x7a206cc9 -256 -256 -1 -0 -0 -3 -0xf052 -0xaa9e23fb -256 -256 -0 -0 -0 -2 -0x50d5 -0x26f3a469 -256 -256 -1 -0 -0 -3 -0x4f98 -0xdc5f549d -256 -256 -0 -0 -0 -2 -0x7e04 -0x7d4aff77 -256 -256 -0 -0 -0 -4 -0x15dd -0x3b784f0d -256 -256 -0 -0 -0 -2 -0x6724 -0xa761311c -256 -256 -0 -0 -0 -2 -0x1554 -0xb9484d6f -256 -256 -0 -0 -0 -1 -0xb730 -0xc52bd411 -256 -256 -0 -0 -0 -2 -0x1048 -0xf1dd86c -256 -256 -0 -0 -0 -3 -0xefab -0xeb4b0445 -256 -256 -1 -0 -0 -2 -0x6b40 -0xa4bae861 -256 -256 -1 -0 -0 -3 -0xa008 -0xa80766f4 -256 -256 -0 -0 -0 -3 -0x274d -0x997d15dd -256 -256 -0 -0 -0 -3 -0xfef3 -0x68931b57 -256 -256 -0 -0 -0 -2 -0xc81e -0xb52efe69 -256 -256 -0 -0 -0 -1 -0x36a0 -0xbfc82632 -256 -256 -1 -0 -0 -2 -0x1f36 -0x1dbc7399 -256 -256 -1 -0 -0 -3 -0x147 -0xb6cedd2b -256 -256 -0 -0 -0 -4 -0xb7ce -0x6475256 -256 -256 -1 -0 -0 -1 -0xa907 -0xf67c2756 -256 -256 -1 -0 -0 -1 -0x126e -0x8af919df -256 -256 -0 -0 -0 -3 -0x342 -0xc77252aa -256 -256 -0 -0 -0 -3 -0x2288 -0xf62d0b99 -256 -256 -1 -0 -0 -4 -0x485c -0x8a556c87 -256 -256 -1 -0 -0 -1 -0xf2c1 -0x57fab0d9 -256 -256 -1 -0 -0 -4 -0xce9d -0x95f962e -256 -256 -0 -0 -0 -4 -0x414f -0x88ce07a6 -256 -256 -0 -0 -0 -2 -0xbff9 -0xd9bd5147 -256 -256 -0 -0 -0 -2 -0x78d3 -0x34a956d6 -256 -256 -1 -0 -0 -4 -0x4d10 -0x3a9384a2 -256 -256 -1 -0 -0 -1 -0x6c46 -0xd5f4e3a4 -256 -256 -1 -0 -0 -1 -0xe4c9 -0xf87ac303 -256 -256 -0 -0 -0 -3 -0xdcd7 -0xc3bf608e -256 -256 -0 -0 -0 -3 -0xd3b9 -0x7540b39a -256 -256 -0 -0 -0 -1 -0x9a01 -0x549099a0 -256 -256 -1 -0 -0 -2 -0xdc36 -0x6526354a -256 -256 -1 -0 -0 -1 -0x77de -0xa49761ee -256 -256 -0 -0 -0 -3 -0x2231 -0x7e879da7 -256 -256 -1 -0 -0 -4 -0x83ff -0x54268b77 -256 -256 -1 -0 -0 -2 -0xaf46 -0xcbd901b6 -256 -256 -0 -0 -0 -4 -0x208b -0x560f3275 -256 -256 -1 -0 -0 -3 -0xb289 -0x91af20ba -256 -256 -0 -0 -0 -1 -0x8092 -0x30b5ec72 -256 -256 -1 -0 -0 -4 -0xfb92 -0xadc5c2d6 -256 -256 -1 -0 -0 -3 -0x9550 -0x76806607 -256 -256 -0 -0 -0 -1 -0xbf32 -0xeba26c42 -256 -256 -0 -0 -0 -2 -0x642d -0x44ee5c64 -256 -256 -1 -0 -0 -3 -0x9691 -0xf518dfa7 -256 -256 -0 -0 -0 -1 -0xe10d -0xf03b438e -256 -256 -0 -0 -0 -2 -0xc4c1 -0x84f6f26c -256 -256 -0 -0 -0 -4 -0x2831 -0xc7c1ad0e -256 -256 -0 -0 -0 -1 -0x75b6 -0x58e6e3ef -256 -256 -0 -0 -0 -2 -0xdc18 -0x29bad9b4 -256 -256 -1 -0 -0 -1 -0x7471 -0x4a26203e -256 -256 -1 -0 -0 -4 -0x255 -0x5210ca2f -256 -256 -0 -0 -0 -3 -0x1557 -0x24145f84 -256 -256 -1 -0 -0 -4 -0xe06c -0x263fbc49 -256 -256 -0 -0 -0 -1 -0xa407 -0x1297dd0e -256 -256 -1 -0 -0 -4 -0xfbd2 -0x31825fc8 -256 -256 -0 -0 -0 -3 -0xf1d6 -0x5d3bea91 -256 -256 -0 -0 -0 -2 -0x4bf3 -0x42ed5dc5 -256 -256 -1 -0 -0 -2 -0xb401 -0x55ba8d1 -256 -256 -0 -0 -0 -4 -0x43e -0x23f7382e -256 -256 -0 -0 -0 -1 -0x4f24 -0x87b35898 -256 -256 -0 -0 -0 -4 -0x7fa5 -0xfcbb278b -256 -256 -1 -0 -0 -4 -0x4717 -0x4662437f -256 -256 -1 -0 -0 -1 -0x8fd8 -0xee1543fe -256 -256 -0 -0 -0 -1 -0x3abc -0xed845335 -256 -256 -1 -0 -0 -2 -0xf47c -0x75250197 -256 -256 -0 -0 -0 -2 -0x599d -0x6f47f97e -256 -256 -0 -0 -0 -4 -0x472e -0x311862ae -256 -256 -0 -0 -0 -3 -0x36d7 -0x4c6146eb -256 -256 -0 -0 -0 -2 -0x7a7f -0x37bc9f03 -256 -256 -1 -0 -0 -4 -0x4e39 -0xbd693198 -256 -256 -0 -0 -0 -2 -0x857e -0x90e938b9 -256 -256 -0 -0 -0 -3 -0x6b9d -0x5dca0978 -256 -256 -1 -0 -0 -2 -0xf7b9 -0x29666ade -256 -256 -1 -0 -0 -3 -0x4e7e -0x67e1b0e0 -256 -256 -0 -0 -0 -4 -0x5961 -0xc5738e86 -256 -256 -0 -0 -0 -3 -0x8e4a -0x8d020152 -256 -256 -0 -0 -0 -2 -0x34 -0x5649349e -256 -256 -0 -0 -0 -3 -0x5e60 -0xb742cd63 -256 -256 -0 -0 -0 -3 -0xc879 -0xcaf062fa -256 -256 -0 -0 -0 -2 -0x7449 -0x3398127b -256 -256 -1 -0 -0 -3 -0x7460 -0x4ebec16f -256 -256 -0 -0 -0 -3 -0x8bc1 -0xe80b3718 -256 -256 -1 -0 -0 -3 -0xe133 -0xba0482c5 -256 -256 -0 -0 -0 -1 -0x4505 -0x758a7829 -256 -256 -1 -0 -0 -4 -0xbe62 -0x7723964d -256 -256 -0 -0 -0 -1 -0x9d92 -0xd47d235b -256 -256 -0 -0 -0 -2 -0x9ccb -0x8d12492b -256 -256 -1 -0 -0 -3 -0x161f -0x47f53f64 -256 -256 -1 -0 -0 -3 -0xc4f4 -0xbf3ed351 -256 -256 -1 -0 -0 -1 -0xaba6 -0x76563b91 -256 -256 -1 -0 -0 -1 -0x99ca -0xe342f573 -256 -256 -1 -0 -0 -2 -0x2310 -0x3aaa2f48 -256 -256 -0 -0 -0 -3 -0x3fbc -0xc2f1825b -256 -256 -0 -0 -0 -2 -0xe134 -0x9b8fa3d1 -256 -256 -0 -0 -0 -4 -0x13ee -0xe3af4398 -256 -256 -1 -0 -0 -2 -0x66d3 -0x543b7959 -256 -256 -1 -0 -0 -2 -0xb45f -0x5e8dbdaf -256 -256 -1 -0 -0 -3 -0x1e18 -0x7490a148 -256 -256 -0 -0 -0 -4 -0xf6a8 -0x44afad9e -256 -256 -1 -0 -0 -2 -0x72b2 -0x8ad5210d -256 -256 -1 -0 -0 -1 -0xa52 -0xa83648c2 -256 -256 -1 -0 -0 -4 -0xb5f4 -0xd1b0deac -256 -256 -1 -0 -0 -1 -0xb368 -0x18fdc2a6 -256 -256 -0 -0 -0 -4 -0x805 -0xe100a4e -256 -256 -0 -0 -0 -2 -0xf8d9 -0x18d0e9eb -256 -256 -0 -0 -0 -4 -0xed27 -0xbe00e591 -256 -256 -0 -0 -0 -1 -0x4cb -0xdc121a5d -256 -256 -1 -0 -0 -1 -0xf17e -0x35737169 -256 -256 -0 -0 -0 -1 -0x3439 -0xd96148e8 -256 -256 -1 -0 -0 -1 -0xdf65 -0x4c84c4c4 -256 -256 -0 -0 -0 -2 -0xcf5c -0xba40955f -256 -256 -1 -0 -0 -3 -0xb6bd -0x47274362 -256 -256 -1 -0 -0 -2 -0xd6f9 -0x175d4fea -256 -256 -1 -0 -0 -1 -0x8e4a -0x7a24fb90 -256 -256 -1 -0 -0 -3 -0x4d -0xb3d05b82 -256 -256 -0 -0 -0 -1 -0xe038 -0x6549baba -256 -256 -0 -0 -0 -2 -0x8a03 -0xb41c944c -256 -256 -0 -0 -0 -2 -0xe394 -0xb700ed52 -256 -256 -1 -0 -0 -4 -0xac3c -0x5a98a2f3 -256 -256 -0 -0 -0 -2 -0x253f -0xa2eb155e -256 -256 -1 -0 -0 -4 -0x163e -0x1d6e62c2 -256 -256 -1 -0 -0 -1 -0x1858 -0x4784ac90 -256 -256 -1 -0 -0 -1 -0x8065 -0xe4af7d8b -256 -256 -0 -0 -0 -3 -0x7dfd -0xb42a2085 -256 -256 -0 -0 -0 -2 -0xa104 -0xacbe7d0d -256 -256 -0 -0 -0 -4 -0xa11a -0x7fd4c079 -256 -256 -1 -0 -0 -3 -0x718d -0x6de6f04f -256 -256 -0 -0 -0 -2 -0x2ee5 -0x9b3dd84c -256 -256 -1 -0 -0 -2 -0x7c7d -0x200720e4 -256 -256 -0 -0 -0 -3 -0xe0dd -0x5c5f1699 -256 -256 -0 -0 -0 -4 -0x54ac -0x214ef40e -256 -256 -1 -0 -0 -4 -0x9fb0 -0x3577b540 -256 -256 -1 -0 -0 -4 -0x248e -0x5c8e6d34 -256 -256 -1 -0 -0 -1 -0x56e2 -0xf747380a -256 -256 -1 -0 -0 -2 -0x757b -0xfd7f087a -256 -256 -1 -0 -0 -1 -0xbb54 -0xed34b0d6 -256 -256 -1 -0 -0 -3 -0xe336 -0x6f0fc678 -256 -256 -1 -0 -0 -4 -0xe1c8 -0xe630c795 -256 -256 -0 -0 -0 -4 -0x110c -0x83bd424b -256 -256 -1 -0 -0 -4 -0x2e8d -0x1876af4 -256 -256 -1 -0 -0 -2 -0xb7f1 -0x4dc34a0 -256 -256 -1 -0 -0 -3 -0x9502 -0xefe2d2d5 -256 -256 -0 -0 -0 -4 -0xb6f9 -0xf199e495 -256 -256 -0 -0 -0 -2 -0x58de -0x711fa062 -256 -256 -1 -0 -0 -2 -0x5166 -0xb0bf0b02 -256 -256 -0 -0 -0 -1 -0xb471 -0xb65e665f -256 -256 -1 -0 -0 -1 -0xcde7 -0xb31b3a2d -256 -256 -0 -0 -0 -4 -0xdbff -0xae8d2176 -256 -256 -1 -0 -0 -2 -0xd92 -0x6d1c95bb -256 -256 -1 -0 -0 -4 -0x976d -0x2ff50d0e -256 -256 -0 -0 -0 -3 -0xe589 -0x51112a25 -256 -256 -0 -0 -0 -4 -0xef5a -0x5dbf09dd -256 -256 -0 -0 -0 -2 -0x8d28 -0x3620866b -256 -256 -0 -0 -0 -2 -0x7638 -0xffd420fa -256 -256 -1 -0 -0 -3 -0xb2da -0x4a23d467 -256 -256 -0 -0 -0 -2 -0xf2b6 -0x6e7a9e17 -256 -256 -1 -0 -0 -2 -0x26ea -0x90150e93 -256 -256 -0 -0 -0 -1 -0xcd16 -0x20f6163d -256 -256 -1 -0 -0 -2 -0x45a8 -0xed8635cf -256 -256 -1 -0 -0 -2 -0x2876 -0xbfea7c66 -256 -256 -0 -0 -0 -3 -0xec03 -0x5bed0367 -256 -256 -1 -0 -0 -1 -0x1b1e -0x456105ea -256 -256 -0 -0 -0 -3 -0xd5a6 -0x8f9eb262 -256 -256 -1 -0 -0 -3 -0x6255 -0x7827cc8c -256 -256 -1 -0 -0 -2 -0x8dd0 -0x99305de7 -256 -256 -1 -0 -0 -1 -0xe211 -0x739ef03c -256 -256 -0 -0 -0 -2 -0xc435 -0x710adcb3 -256 -256 -0 -0 -0 -1 -0x5021 -0x60791e4d -256 -256 -1 -0 -0 -4 -0x64f4 -0x5e1c6315 -256 -256 -1 -0 -0 -4 -0x78d6 -0xb0989a7f -256 -256 -0 -0 -0 -1 -0x2407 -0x74af7f2d -256 -256 -0 -0 -0 -2 -0x7040 -0x3984ccd -256 -256 -1 -0 -0 -2 -0x8447 -0x6e3b8595 -256 -256 -1 -0 -0 -2 -0x8d36 -0x919f7dc4 -256 -256 -1 -0 -0 -3 -0x82c4 -0x16f4acb8 -256 -256 -0 -0 -0 -4 -0x8ecf -0xc173dd8b -256 -256 -0 -0 -0 -1 -0xc69d -0x9e0f1c23 -256 -256 -0 -0 -0 -3 -0xd412 -0xa0ce6a8c -256 -256 -1 -0 -0 -4 -0x207a -0x5fc2d4dd -256 -256 -0 -0 -0 -3 -0x927b -0x71332da9 -256 -256 -1 -0 -0 -4 -0x7e96 -0xbdb19dc8 -256 -256 -1 -0 -0 -2 -0xf7da -0xc5dda28a -256 -256 -0 -0 -0 -1 -0x915a -0x2525347d -256 -256 -0 -0 -0 -3 -0xb3b1 -0x327ac0ad -256 -256 -1 -0 -0 -4 -0x42f2 -0x1f865753 -256 -256 -1 -0 -0 -3 -0x66f5 -0x5c532e12 -256 -256 -0 -0 -0 -1 -0x4b79 -0x2799c2b1 -256 -256 -1 -0 -0 -3 -0xe7cc -0xa2d742e6 -256 -256 -0 -0 -0 -3 -0xad0a -0xd1d4609e -256 -256 -0 -0 -0 -3 -0xc0a1 -0x6d3d04f7 -256 -256 -1 -0 -0 -1 -0x9893 -0xe530c163 -256 -256 -0 -0 -0 -4 -0x85ee -0x850df82b -256 -256 -1 -0 -0 -1 -0xa015 -0xb726d33b -256 -256 -0 -0 -0 -3 -0x9ed4 -0x9bc9cbda -256 -256 -0 -0 -0 -3 -0x38e5 -0x3c3cc934 -256 -256 -1 -0 -0 -1 -0x2ecc -0x4ddaaf1a -256 -256 -0 -0 -0 -1 -0x908b -0xbb5f383 -256 -256 -1 -0 -0 -1 -0xc8a4 -0x884d7a88 -256 -256 -0 -0 -0 -3 -0xc161 -0x5e70cb4c -256 -256 -0 -0 -0 -1 -0x5b4d -0xa808806f -256 -256 -1 -0 -0 -4 -0x1ee2 -0x92e81be -256 -256 -1 -0 -0 -3 -0xc1f5 -0x27000f57 -256 -256 -0 -0 -0 -3 -0x8ec9 -0x661b93f5 -256 -256 -1 -0 -0 -4 -0x4f68 -0x474a61b8 -256 -256 -0 -0 -0 -2 -0x281e -0xf210373d -256 -256 -0 -0 -0 -4 -0x2694 -0x23977c80 -256 -256 -1 -0 -0 -1 -0xae28 -0xf88d45dd -256 -256 -1 -0 -0 -4 -0x7f3a -0x69f2b569 -256 -256 -1 -0 -0 -2 -0xdd88 -0x40e66f81 -256 -256 -0 -0 -0 -2 -0x25cf -0xf61ad5dd -256 -256 -0 -0 -0 -1 -0xf475 -0x47143a5f -256 -256 -0 -0 -0 -3 -0xed18 -0x137d52d1 -256 -256 -0 -0 -0 -1 -0x2b4c -0x9f4ce7e1 -256 -256 -0 -0 -0 -2 -0xb374 -0x427dfd74 -256 -256 -1 -0 -0 -3 -0xae1b -0xeb954b69 -256 -256 -1 -0 -0 -4 -0xd56a -0x7c187adb -256 -256 -1 -0 -0 -3 -0x2c92 -0x7287b044 -256 -256 -1 -0 -0 -2 -0x4b3b -0xee22d9f8 -256 -256 -1 -0 -0 -4 -0x17c3 -0xf3aab519 -256 -256 -0 -0 -0 -3 -0x6cf9 -0xb18988de -256 -256 -1 -0 -0 -4 -0x33cd -0xb1f64e6b -256 -256 -1 -0 -0 -4 -0x7b66 -0x1a6b861f -256 -256 -1 -0 -0 -1 -0x2184 -0x32c57040 -256 -256 -0 -0 -0 -2 -0x3b24 -0x12a70154 -256 -256 -0 -0 -0 -4 -0x40d6 -0xdd72c5c0 -256 -256 -0 -0 -0 -4 -0x5d6c -0xe9d99e7c -256 -256 -0 -0 -0 -2 -0x8c94 -0x52cc2f2b -256 -256 -1 -0 -0 -4 -0x7848 -0xec4a6ee5 -256 -256 -0 -0 -0 -3 -0x6b8e -0x1638be4e -256 -256 -1 -0 -0 -1 -0x70cd -0xecefab48 -256 -256 -1 -0 -0 -4 -0x6a5 -0x50f8fd57 -256 -256 -0 -0 -0 -2 -0x5b2d -0xb2b616e2 -256 -256 -1 -0 -0 -4 -0xd43b -0xa9332d8a -256 -256 -0 -0 -0 -3 -0x1649 -0xb323d4c0 -256 -256 -0 -0 -0 -2 -0x159c -0x1a680b51 -256 -256 -1 -0 -0 -4 -0xef87 -0xbd71e5c7 -256 -256 -0 -0 -0 -2 -0x33f5 -0x9b8c61db -256 -256 -0 -0 -0 -4 -0x6335 -0x527abaf2 -256 -256 -0 -0 -0 -3 -0x1d8b -0xb293269c -256 -256 -1 -0 -0 -3 -0x4cbd -0x64cc6b09 -256 -256 -1 -0 -0 -3 -0x7fa7 -0x24696f6a -256 -256 -0 -0 -0 -3 -0xb1b0 -0x4f475999 -256 -256 -0 -0 -0 -3 -0x766c -0x71096c13 -256 -256 -0 -0 -0 -3 -0xecf2 -0x52663f5d -256 -256 -1 -0 -0 -1 -0x92fc -0x80c6a600 -256 -256 -0 -0 -0 -2 -0x44ab -0xd38f5e70 -256 -256 -1 -0 -0 -4 -0xd33f -0xe2c4d8a9 -256 -256 -0 -0 -0 -2 -0x6234 -0x788723c5 -256 -256 -1 -0 -0 -1 -0x77d1 -0xdb453124 -256 -256 -1 -0 -0 -2 -0xb223 -0x4e16f2eb -256 -256 -1 -0 -0 -2 -0x876e -0x23fdc853 -256 -256 -1 -0 -0 -2 -0x8a48 -0x33c68db2 -256 -256 -1 -0 -0 -3 -0xc4fd -0xd0e2ac26 -256 -256 -1 -0 -0 -3 -0x627b -0x605ab7ad -256 -256 -0 -0 -0 -4 -0xfc07 -0x35d76120 -256 -256 -1 -0 -0 -4 -0xe677 -0x6ca96791 -256 -256 -0 -0 -0 -1 -0x8b64 -0x29d90c96 -256 -256 -0 -0 -0 -1 -0x6b58 -0x21ed7263 -256 -256 -1 -0 -0 -1 -0x14d7 -0xbcd6387d -256 -256 -0 -0 -0 -2 -0xd2b2 -0x909dd078 -256 -256 -1 -0 -0 -1 -0xf4dd -0xdb0c691b -256 -256 -1 -0 -0 -2 -0x3aac -0xde3e11b9 -256 -256 -0 -0 -0 -4 -0xa17 -0x5bd04855 -256 -256 -0 -0 -0 -4 -0xe19d -0xf23ce27a -256 -256 -0 -0 -0 -1 -0xa0dd -0x165436ff -256 -256 -1 -0 -0 -2 -0x2fd9 -0x16b9ba26 -256 -256 -1 -0 -0 -1 -0x9812 -0xe198a3bf -256 -256 -0 -0 -0 -1 -0xd6b9 -0xae28e50f -256 -256 -1 -0 -0 -1 -0x19c4 -0x21a018a7 -256 -256 -0 -0 -0 -1 -0xc991 -0xcfa51509 -256 -256 -0 -0 -0 -4 -0xb604 -0xf5baf35f -256 -256 -1 -0 -0 -2 -0x2683 -0x14291d4f -256 -256 -1 -0 -0 -3 -0x3c0a -0x9ba4658c -256 -256 -0 -0 -0 -3 -0x2260 -0xc9336366 -256 -256 -0 -0 -0 -3 -0xb87e -0xae884713 -256 -256 -1 -0 -0 -3 -0x93fa -0xc28d0a7f -256 -256 -0 -0 -0 -4 -0xd641 -0x4c503a73 -256 -256 -0 -0 -0 -4 -0xe6f -0xab731a48 -256 -256 -1 -0 -0 -4 -0x3e95 -0x92d6c7d4 -256 -256 -0 -0 -0 -1 -0xfe24 -0xdde0faec -256 -256 -1 -0 -0 -2 -0x65ef -0x6149d6f7 -256 -256 -1 -0 -0 -3 -0x435f -0x9819da3b -256 -256 -0 -0 -0 -3 -0x999b -0xbb3d5dd3 -256 -256 -1 -0 -0 -2 -0xac76 -0xbade9844 -256 -256 -1 -0 -0 -4 -0x8719 -0x24cd5f2a -256 -256 -1 -0 -0 -4 -0x8719 -0xbff490e7 -256 -256 -0 -0 -0 -2 -0x831 -0xf56061c2 -256 -256 -1 -0 -0 -3 -0x6ba6 -0x78873e74 -256 -256 -1 -0 -0 -1 -0x5d58 -0x6cd78841 -256 -256 -0 -0 -0 -3 -0x3f51 -0x5f560fb8 -256 -256 -0 -0 -0 -3 -0x8942 -0xcad1f0e -256 -256 -1 -0 -0 -4 -0xc974 -0x78fa30bf -256 -256 -0 -0 -0 -4 -0x8a82 -0x7308ec0e -256 -256 -0 -0 -0 -4 -0xf373 -0xb3412022 -256 -256 -0 -0 -0 -3 -0xe45d -0xf2d3894 -256 -256 -0 -0 -0 -1 -0x9b36 -0x6f7d44f6 -256 -256 -0 -0 -0 -2 -0xa208 -0x5cc3a77a -256 -256 -1 -0 -0 -2 -0xfc40 -0x1fe51a68 -256 -256 -0 -0 -0 -3 -0xcb8 -0x72b35565 -256 -256 -0 -0 -0 -2 -0x116d -0x18940c7e -256 -256 -1 -0 -0 -3 -0x37a4 -0xcac5089e -256 -256 -1 -0 -0 -3 -0x7bdd -0xd37a29e8 -256 -256 -1 -0 -0 -3 -0x687e -0x2ccbd626 -256 -256 -0 -0 -0 -1 -0x60c -0xb03f4fe1 -256 -256 -1 -0 -0 -4 -0xdcbc -0x4992e8d5 -256 -256 -1 -0 -0 -2 -0xd0ec -0x12248c8b -256 -256 -1 -0 -0 -2 -0x7841 -0xe85acae7 -256 -256 -1 -0 -0 -2 -0xc9b8 -0xea6e4e70 -256 -256 -1 -0 -0 -4 -0xcf46 -0xe18f2be -256 -256 -1 -0 -0 -2 -0xd227 -0x5ab3b737 -256 -256 -0 -0 -0 -4 -0x2d -0x1b57594b -256 -256 -0 -0 -0 -4 -0xe008 -0xa96edcaa -256 -256 -1 -0 -0 -1 -0xcbd1 -0x77319555 -256 -256 -0 -0 -0 -1 -0xa166 -0x86da75f -256 -256 -0 -0 -0 -3 -0xbcf -0x15596ef5 -256 -256 -1 -0 -0 -4 -0xdfcb -0x7c29e79 -256 -256 -1 -0 -0 -4 -0x1cde -0x12bcc87 -256 -256 -0 -0 -0 -2 -0x2552 -0x3d60f697 -256 -256 -0 -0 -0 -4 -0xe7a1 -0xbb88b1c -256 -256 -0 -0 -0 -4 -0xdc4d -0x82ce19d1 -256 -256 -1 -0 -0 -1 -0x6731 -0xd44b29fc -256 -256 -0 -0 -0 -3 -0x2c65 -0x574581e2 -256 -256 -1 -0 -0 -2 -0xffab -0xf08ac0e4 -256 -256 -1 -0 -0 -3 -0xf347 -0xe342dbd9 -256 -256 -0 -0 -0 -3 -0x647f -0xc247fbc2 -256 -256 -1 -0 -0 -1 -0xfb23 -0x64fc8ed8 -256 -256 -0 -0 -0 -4 -0xb6a4 -0x15965e70 -256 -256 -0 -0 -0 -2 -0x6e37 -0x896e581 -256 -256 -0 -0 -0 -4 -0x903f -0xde460889 -256 -256 -0 -0 -0 -4 -0xc429 -0xce9a25 -256 -256 -0 -0 -0 -4 -0x618 -0x34955ab4 -256 -256 -1 -0 -0 -2 -0x22e0 -0x8c4f46e0 -256 -256 -1 -0 -0 -2 -0xde5e -0x345358d2 -256 -256 -1 -0 -0 -2 -0xc64e -0x62ffea9a -256 -256 -0 -0 -0 -1 -0xb16e -0x79a64904 -256 -256 -1 -0 -0 -3 -0xb8 -0x3921b674 -256 -256 -1 -0 -0 -4 -0xc13c -0x4c94a20e -256 -256 -1 -0 -0 -3 -0x14f4 -0x98a0fbe4 -256 -256 -0 -0 -0 -4 -0xbd58 -0x51f9497c -256 -256 -0 -0 -0 -1 -0xe55d -0x56581787 -256 -256 -1 -0 -0 -4 -0x9d8 -0x4314db9a -256 -256 -1 -0 -0 -4 -0xa371 -0x5323ea73 -256 -256 -0 -0 -0 -3 -0x6f29 -0xb6c2445b -256 -256 -1 -0 -0 -4 -0x919c -0x9cfdb1a1 -256 -256 -0 -0 -0 -3 -0x7963 -0x29351084 -256 -256 -1 -0 -0 -1 -0xf9d1 -0x7e74c6da -256 -256 -0 -0 -0 -4 -0xcde8 -0x16b0e1e4 -256 -256 -1 -0 -0 -2 -0x33d2 -0x770e7cbc -256 -256 -0 -0 -0 -2 -0xab79 -0xe2b02bd8 -256 -256 -0 -0 -0 -4 -0xeb1c -0x487e4500 -256 -256 -1 -0 -0 -3 -0xf9c8 -0xb080d91a -256 -256 -1 -0 -0 -1 -0x997c -0x2ed4de79 -256 -256 -0 -0 -0 -1 -0x13f6 -0x26c24149 -256 -256 -0 -0 -0 -2 -0x673b -0x739bc0de -256 -256 -0 -0 -0 -4 -0xa1ff -0xc20f4c38 -256 -256 -1 -0 -0 -3 -0x88c9 -0xa9d85bbb -256 -256 -1 -0 -0 -2 -0x4f1d -0x7df07ce8 -256 -256 -1 -0 -0 -1 -0x7b2a -0xb171e6a8 -256 -256 -1 -0 -0 -1 -0xc2c1 -0xe284b016 -256 -256 -0 -0 -0 -3 -0xbe92 -0x98906feb -256 -256 -0 -0 -0 -1 -0x97b4 -0xbf4980e0 -256 -256 -1 -0 -0 -2 -0x21d8 -0x9c809c27 -256 -256 -1 -0 -0 -1 -0xdde5 -0xe97152ae -256 -256 -0 -0 -0 -2 -0xcb12 -0x3da29332 -256 -256 -0 -0 -0 -4 -0x22ed -0xe985c2c6 -256 -256 -0 -0 -0 -2 -0x92b4 -0x6dff7f4 -256 -256 -0 -0 -0 -2 -0xc6c3 -0xa8d037f6 -256 -256 -0 -0 -0 -1 -0x33e4 -0x3f69d157 -256 -256 -1 -0 -0 -1 -0x4fcd -0xe2d09c55 -256 -256 -0 -0 -0 -4 -0xb0c3 -0x3d3a90f1 -256 -256 -1 -0 -0 -3 -0x44ae -0xc7d53c4b -256 -256 -0 -0 -0 -4 -0xa523 -0x123f7cdf -256 -256 -1 -0 -0 -4 -0x5a05 -0x802172fd -256 -256 -0 -0 -0 -1 -0x93ba -0x2414a401 -256 -256 -0 -0 -0 -1 -0x91 -0xd6431004 -256 -256 -1 -0 -0 -4 -0x15e7 -0xcc651e89 -256 -256 -0 -0 -0 -1 -0xd37b -0x87f07d5d -256 -256 -0 -0 -0 -4 -0xc26 -0xc4bfbfc1 -256 -256 -0 -0 -0 -1 -0xd25d -0x6ed3d93a -256 -256 -1 -0 -0 -4 -0x702f -0x9487852f -256 -256 -1 -0 -0 -3 -0xef8a -0xa6efc8ec -256 -256 -1 -0 -0 -4 -0xf90c -0xf993152a -256 -256 -1 -0 -0 -4 -0xe841 -0xe9cf052f -256 -256 -0 -0 -0 -2 -0x2186 -0xdd2a7c52 -256 -256 -0 -0 -0 -1 -0x4159 -0x660a9712 -256 -256 -1 -0 -0 -2 -0x7bfe -0x172602cd -256 -256 -1 -0 -0 -2 -0x26f0 -0xe719ead2 -256 -256 -1 -0 -0 -3 -0x93fa -0x1d78fb18 -256 -256 -0 -0 -0 -1 -0x59cb -0xf0c46059 -256 -256 -1 -0 -0 -1 -0x54f -0xd58e231 -256 -256 -1 -0 -0 -4 -0x9330 -0x6bc7f953 -256 -256 -1 -0 -0 -4 -0xe23 -0x96a77cd -256 -256 -0 -0 -0 -3 -0x8012 -0x347a1adf -256 -256 -1 -0 -0 -2 -0xd69f -0x458c7784 -256 -256 -1 -0 -0 -4 -0xd7e3 -0x746a1545 -256 -256 -1 -0 -0 -1 -0xd148 -0x3213cd4f -256 -256 -1 -0 -0 -2 -0x7910 -0x161ac398 -256 -256 -1 -0 -0 -4 -0x8c75 -0x59aa311d -256 -256 -1 -0 -0 -1 -0xef70 -0xcfc0d02c -256 -256 -0 -0 -0 -2 -0x7baa -0xd10da93a -256 -256 -1 -0 -0 -3 -0xfb14 -0xcbad2581 -256 -256 -1 -0 -0 -1 -0xf34b -0xa75ac220 -256 -256 -0 -0 -0 -4 -0x18da -0xb7105e52 -256 -256 -0 -0 -0 -4 -0x554b -0x7ed4808e -256 -256 -0 -0 -0 -3 -0x847a -0xfc9e599d -256 -256 -1 -0 -0 -3 -0xba20 -0xd38a3112 -256 -256 -0 -0 -0 -4 -0xdf3f -0xa46c1bb4 -256 -256 -1 -0 -0 -2 -0x5558 -0xa42ef602 -256 -256 -0 -0 -0 -3 -0xe11e -0x62edd2ec -256 -256 -1 -0 -0 -2 -0x8c29 -0x3f21f13a -256 -256 -1 -0 -0 -2 -0x10c5 -0xa4008d0d -256 -256 -0 -0 -0 -1 -0xdd13 -0x4a323f91 -256 -256 -1 -0 -0 -4 -0xea56 -0xcc3a1fb0 -256 -256 -1 -0 -0 -4 -0xc32a -0x79552ac3 -256 -256 -1 -0 -0 -1 -0xb533 -0x2df27dd0 -256 -256 -0 -0 -0 -3 -0xbd9 -0x4f8487 -256 -256 -1 -0 -0 -3 -0x927b -0xce2f2de8 -256 -256 -1 -0 -0 -1 -0x7999 -0x351b2157 -256 -256 -0 -0 -0 -4 -0x3c40 -0xd94dd94f -256 -256 -1 -0 -0 -4 -0x7242 -0x2750934e -256 -256 -1 -0 -0 -4 -0x43af -0xbdf7de87 -256 -256 -1 -0 -0 -4 -0x66ae -0xe302d25a -256 -256 -1 -0 -0 -4 -0x1ce8 -0x85b77677 -256 -256 -1 -0 -0 -3 -0xe1a1 -0x3f38b72f -256 -256 -0 -0 -0 -3 -0xe3c6 -0xbbed5ebc -256 -256 -0 -0 -0 -4 -0x5ae0 -0x78179359 -256 -256 -0 -0 -0 -1 -0x2da7 -0x2928094 -256 -256 -1 -0 -0 -1 -0x72b8 -0x68515a81 -256 -256 -0 -0 -0 -1 -0x33ad -0x888c2d72 -256 -256 -0 -0 -0 -4 -0xcae -0xc34aa1c7 -256 -256 -0 -0 -0 -4 -0x1880 -0x75a72e57 -256 -256 -1 -0 -0 -2 -0x63d7 -0xe9a1bb2e -256 -256 -0 -0 -0 -1 -0xd3a4 -0xb5e44bb3 -256 -256 -1 -0 -0 -1 -0x2863 -0xbd7e9d15 -256 -256 -1 -0 -0 -2 -0xc539 -0xf8fd381 -256 -256 -0 -0 -0 -3 -0x7ce -0xc0b2da52 -256 -256 -1 -0 -0 -3 -0x7f0d -0x6f6087f0 -256 -256 -1 -0 -0 -2 -0x5273 -0x70e69dbb -256 -256 -1 -0 -0 -3 -0x98f2 -0x435af807 -256 -256 -1 -0 -0 -1 -0xf678 -0x2d125d22 -256 -256 -1 -0 -0 -3 -0xb6c -0xd7d1278c -256 -256 -1 -0 -0 -4 -0x1f33 -0xf4847915 -256 -256 -0 -0 -0 -2 -0x3188 -0x20b9b355 -256 -256 -0 -0 -0 -2 -0x720b -0xb6d5557f -256 -256 -1 -0 -0 -3 -0x2b7 -0xb2127084 -256 -256 -1 -0 -0 -1 -0x48b8 -0x8c72661c -256 -256 -0 -0 -0 -3 -0xcc04 -0x24250f62 -256 -256 -1 -0 -0 -3 -0x2b53 -0x31b863e3 -256 -256 -0 -0 -0 -4 -0xfa73 -0xf5c938d1 -256 -256 -0 -0 -0 -3 -0x87e2 -0x19d4af26 -256 -256 -0 -0 -0 -1 -0x6daa -0xa85842da -256 -256 -1 -0 -0 -2 -0xc0a -0xd5b3f0b1 -256 -256 -0 -0 -0 -4 -0x8d73 -0x3f6f958d -256 -256 -0 -0 -0 -1 -0xa90d -0x15bee28a -256 -256 -0 -0 -0 -2 -0xd002 -0x366eaaa6 -256 -256 -1 -0 -0 -4 -0xd797 -0xea22ab89 -256 -256 -1 -0 -0 -4 -0x6147 -0xf4150072 -256 -256 -0 -0 -0 -3 -0x2f6a -0x5e071e48 -256 -256 -1 -0 -0 -1 -0x85ef -0xd06ed411 -256 -256 -1 -0 -0 -4 -0x8f1f -0x30ba08ad -256 -256 -0 -0 -0 -1 -0xe38a -0xe20e9b25 -256 -256 -0 -0 -0 -4 -0x75e4 -0x43388b6b -256 -256 -0 -0 -0 -3 -0x8b33 -0xce6c1e64 -256 -256 -1 -0 -0 -4 -0x731f -0xef78425f -256 -256 -0 -0 -0 -4 -0x939b -0x55b4ef73 -256 -256 -0 -0 -0 -1 -0x8044 -0xb27f7480 -256 -256 -0 -0 -0 -1 -0xa84a -0x8721fccf -256 -256 -0 -0 -0 -1 -0xcf09 -0x31ed0c6 -256 -256 -1 -0 -0 -1 -0xa9a6 -0xb38af26d -256 -256 -1 -0 -0 -2 -0x846 -0x195de13e -256 -256 -0 -0 -0 -4 -0x620c -0x3da3ea91 -256 -256 -0 -0 -0 -4 -0x24c5 -0x5432c77f -256 -256 -0 -0 -0 -1 -0xe26 -0xddababf2 -256 -256 -1 -0 -0 -3 -0x7557 -0x536a76cc -256 -256 -1 -0 -0 -3 -0xcec1 -0xf46a69c5 -256 -256 -1 -0 -0 -2 -0xad67 -0x49547bf -256 -256 -0 -0 -0 -1 -0xee91 -0xe9f0a6b5 -256 -256 -0 -0 -0 -2 -0x97b5 -0x5005f7ac -256 -256 -1 -0 -0 -2 -0x2dcc -0xa3a9025f -256 -256 -1 -0 -0 -2 -0x2f93 -0xcdc11f79 -256 -256 -1 -0 -0 -4 -0x1bb7 -0x1b629b21 -256 -256 -0 -0 -0 -4 -0x6486 -0x33c03bcd -256 -256 -0 -0 -0 -3 -0x4e72 -0xe95485f0 -256 -256 -0 -0 -0 -1 -0xdca3 -0x79d610d8 -256 -256 -0 -0 -0 -1 -0xe76b -0xbeb88959 -256 -256 -0 -0 -0 -1 -0xe38 -0x2000a750 -256 -256 -1 -0 -0 -3 -0x3d03 -0x3d22498e -256 -256 -0 -0 -0 -4 -0x5535 -0x5fb1b9b3 -256 -256 -0 -0 -0 -3 -0x3971 -0xcacba7c1 -256 -256 -0 -0 -0 -3 -0xd82b -0x85e71907 -256 -256 -1 -0 -0 -2 -0x9813 -0x7ae178b1 -256 -256 -1 -0 -0 -4 -0x9b5f -0x6147a777 -256 -256 -1 -0 -0 -4 -0x9fab -0x208b13f9 -256 -256 -0 -0 -0 -2 -0x3160 -0x521d3778 -256 -256 -1 -0 -0 -4 -0xd7a7 -0x59690df0 -256 -256 -0 -0 -0 -2 -0xa1ef -0xb0532c5e -256 -256 -0 -0 -0 -4 -0xd57e -0x4a0ef1ab -256 -256 -1 -0 -0 -3 -0x32e2 -0x5cd14bdd -256 -256 -1 -0 -0 -4 -0x2c84 -0x5ae63356 -256 -256 -0 -0 -0 -1 -0x33f9 -0x9ed92157 -256 -256 -1 -0 -0 -3 -0x5c5 -0x84d2f60 -256 -256 -0 -0 -0 -2 -0x7315 -0xe1f50719 -256 -256 -1 -0 -0 -1 -0x7ae4 -0x58932b4 -256 -256 -1 -0 -0 -4 -0xbb04 -0x80bf59c3 -256 -256 -0 -0 -0 -2 -0x19f -0xac47d83 -256 -256 -1 -0 -0 -3 -0xf6d6 -0x47e8cc27 -256 -256 -1 -0 -0 -3 -0x7929 -0x1277d449 -256 -256 -1 -0 -0 -1 -0xab4c -0x64b2982c -256 -256 -0 -0 -0 -2 -0xa7db -0x7635398e -256 -256 -0 -0 -0 -2 -0x456 -0xb762a576 -256 -256 -1 -0 -0 -2 -0xd272 -0x1d6f1343 -256 -256 -0 -0 -0 -4 -0x6f80 -0xf0f7ae6d -256 -256 -1 -0 -0 -4 -0x7e78 -0x7c455735 -256 -256 -1 -0 -0 -3 -0xaa49 -0x36c1dafa -256 -256 -0 -0 -0 -4 -0xc0e0 -0xf1244228 -256 -256 -1 -0 -0 -4 -0x4f10 -0xc669c990 -256 -256 -1 -0 -0 -4 -0x7c90 -0xc4420063 -256 -256 -0 -0 -0 -2 -0x3c02 -0x4b17f7e2 -256 -256 -0 -0 -0 -4 -0x4324 -0x6a4f228 -256 -256 -0 -0 -0 -1 -0x91be -0x6c7af453 -256 -256 -1 -0 -0 -2 -0x5d39 -0xe024d4cf -256 -256 -0 -0 -0 -1 -0x745d -0x14b8f60b -256 -256 -1 -0 -0 -4 -0x75d0 -0x2cca959d -256 -256 -0 -0 -0 -2 -0xec85 -0xf4687f02 -256 -256 -0 -0 -0 -3 -0xb7d6 -0x5fec8703 -256 -256 -1 -0 -0 -2 -0x8219 -0xa7d20b29 -256 -256 -1 -0 -0 -1 -0x74c5 -0x580a1327 -256 -256 -0 -0 -0 -1 -0xf57d -0xa3a05258 -256 -256 -1 -0 -0 -3 -0x9324 -0xb13e3063 -256 -256 -1 -0 -0 -2 -0x266b -0xb90de58e -256 -256 -1 -0 -0 -1 -0x19cc -0x2c9d9e81 -256 -256 -1 -0 -0 -3 -0xfa6b -0x8c46801e -256 -256 -1 -0 -0 -4 -0xc478 -0x60f91b71 -256 -256 -1 -0 -0 -3 -0x8e -0x4f24b107 -256 -256 -1 -0 -0 -1 -0xee0e -0x40714742 -256 -256 -0 -0 -0 -2 -0x16cd -0x83bf3094 -256 -256 -1 -0 -0 -3 -0x75a4 -0x52155d61 -256 -256 -0 -0 -0 -1 -0xe52c -0xf4dd097e -256 -256 -1 -0 -0 -1 -0x491d -0xce351048 -256 -256 -0 -0 -0 -4 -0x46 -0x69dbc452 -256 -256 -0 -0 -0 -3 -0x71c3 -0x3f5a30c1 -256 -256 -0 -0 -0 -4 -0x7c73 -0xa2ddc93b -256 -256 -1 -0 -0 -3 -0x9ecd -0x55b93fcb -256 -256 -0 -0 -0 -3 -0xac35 -0xd1cb2584 -256 -256 -0 -0 -0 -4 -0xbef5 -0xebac1a7f -256 -256 -1 -0 -0 -4 -0x4568 -0x4709df0f -256 -256 -0 -0 -0 -2 -0x1396 -0xdb28b024 -256 -256 -0 -0 -0 -1 -0x6151 -0x50115902 -256 -256 -0 -0 -0 -4 -0xe89c -0xaf1c03b5 -256 -256 -0 -0 -0 -1 -0x4707 -0x51b97abb -256 -256 -0 -0 -0 -3 -0x6766 -0xa22c356b -256 -256 -0 -0 -0 -2 -0xbc57 -0x85047114 -256 -256 -1 -0 -0 -3 -0x3265 -0x3379a508 -256 -256 -0 -0 -0 -4 -0xd4b6 -0xdd0d2207 -256 -256 -0 -0 -0 -1 -0x7e07 -0x998a07a9 -256 -256 -0 -0 -0 -3 -0xfdf2 -0xd7535924 -256 -256 -0 -0 -0 -4 -0xbd7c -0xd87e3971 -256 -256 -0 -0 -0 -1 -0xa150 -0xd4e2f49c -256 -256 -1 -0 -0 -4 -0xdf64 -0xac9d2a -256 -256 -0 -0 -0 -1 -0x39c3 -0xb45f7f9c -256 -256 -1 -0 -0 -3 -0x36fc -0xc1f0477c -256 -256 -0 -0 -0 -4 -0xa87e -0x28002d0c -256 -256 -0 -0 -0 -2 -0x55d7 -0xce99627b -256 -256 -1 -0 -0 -3 -0x3596 -0xf4710172 -256 -256 -1 -0 -0 -3 -0x31de -0x618df810 -256 -256 -0 -0 -0 -3 -0x1cb3 -0xab981b21 -256 -256 -0 -0 -0 -2 -0xfbb7 -0xf027e8e5 -256 -256 -1 -0 -0 -2 -0xb3ad -0x6bad88b8 -256 -256 -0 -0 -0 -2 -0x9aa5 -0xc8f43e8c -256 -256 -0 -0 -0 -2 -0x8720 -0xe2051bb -256 -256 -0 -0 -0 -4 -0xa266 -0xdf5deca0 -256 -256 -1 -0 -0 -4 -0xe103 -0x700d9aa -256 -256 -1 -0 -0 -3 -0xfe42 -0x61b2395 -256 -256 -0 -0 -0 -1 -0x2931 -0xa13ac0a2 -256 -256 -1 -0 -0 -1 -0xd797 -0x9dedb05d -256 -256 -1 -0 -0 -3 -0x3b -0xec12a83e -256 -256 -1 -0 -0 -2 -0x8085 -0xf2ee3c44 -256 -256 -0 -0 -0 -2 -0xb007 -0xdbc5b8a3 -256 -256 -1 -0 -0 -4 -0xc494 -0x6122adaa -256 -256 -1 -0 -0 -3 -0x7759 -0xf77685d -256 -256 -0 -0 -0 -3 -0xb591 -0xa2a7cc68 -256 -256 -0 -0 -0 -4 -0xd687 -0x141dc264 -256 -256 -1 -0 -0 -4 -0x4299 -0x6c985a48 -256 -256 -0 -0 -0 -1 -0x7ae1 -0xc5af428e -256 -256 -1 -0 -0 -4 -0xb0dd -0xe4827d7f -256 -256 -1 -0 -0 -1 -0x1661 -0x333590f7 -256 -256 -1 -0 -0 -2 -0xc879 -0x89d5f7a -256 -256 -0 -0 -0 -4 -0x6b4a -0xb00a7042 -256 -256 -1 -0 -0 -3 -0x665b -0xe117e9a9 -256 -256 -0 -0 -0 -1 -0x46b5 -0x445fc5f1 -256 -256 -1 -0 -0 -1 -0x649e -0x55246fe5 -256 -256 -0 -0 -0 -4 -0x9eb7 -0x81b90bc3 -256 -256 -0 -0 -0 -2 -0x9bc6 -0x5bc3fd96 -256 -256 -1 -0 -0 -3 -0x6b44 -0x39ee2076 -256 -256 -0 -0 -0 -3 -0x910 -0x108646a6 -256 -256 -1 -0 -0 -1 -0x8258 -0x553fb4fc -256 -256 -0 -0 -0 -1 -0x4b5d -0xb4fe2b72 -256 -256 -0 -0 -0 -3 -0x1ea8 -0x65232744 -256 -256 -1 -0 -0 -3 -0x2d48 -0x2f23b1b4 -256 -256 -1 -0 -0 -4 -0x9547 -0xd12516ec -256 -256 -1 -0 -0 -2 -0x12d5 -0xa5473e00 -256 -256 -0 -0 -0 -3 -0xb8a9 -0x4c6a208c -256 -256 -0 -0 -0 -2 -0xdaf3 -0x66694a5e -256 -256 -1 -0 -0 -1 -0x9008 -0x32516a7 -256 -256 -0 -0 -0 -4 -0x9afd -0x9c088f6a -256 -256 -0 -0 -0 -1 -0xfca3 -0x7f3e6f51 -256 -256 -0 -0 -0 -3 -0x381d -0xf1c527c7 -256 -256 -1 -0 -0 -4 -0x8d84 -0x47a8711c -256 -256 -0 -0 -0 -1 -0x36ca -0x7f52562f -256 -256 -0 -0 -0 -4 -0x4f71 -0x42c6ea19 -256 -256 -1 -0 -0 -1 -0x8090 -0x1fdabb67 -256 -256 -1 -0 -0 -4 -0x5546 -0x22d17776 -256 -256 -1 -0 -0 -4 -0xa806 -0x7bee6f2c -256 -256 -1 -0 -0 -4 -0xf1fe -0x521090e1 -256 -256 -1 -0 -0 -2 -0xe60e -0xbe1474e6 -256 -256 -1 -0 -0 -2 -0x5c0c -0xfae997c -256 -256 -0 -0 -0 -3 -0xb3de -0x1d68dc9e -256 -256 -1 -0 -0 -2 -0xc5db -0x55d2350c -256 -256 -0 -0 -0 -1 -0xf3de -0xa0f2d943 -256 -256 -0 -0 -0 -4 -0x9998 -0x4bd901db -256 -256 -0 -0 -0 -2 -0x57c7 -0xd2277810 -256 -256 -1 -0 -0 -3 -0x6d59 -0xf787c1a5 -256 -256 -1 -0 -0 -1 -0xe73b -0xee716466 -256 -256 -0 -0 -0 -3 -0x10e5 -0x6fa845cd -256 -256 -0 -0 -0 -3 -0x9ef2 -0x68210d2 -256 -256 -0 -0 -0 -4 -0x7977 -0x362e4e6e -256 -256 -1 -0 -0 -2 -0x9f9d -0xfbed7601 -256 -256 -0 -0 -0 -1 -0x536d -0xe99172f7 -256 -256 -0 -0 -0 -3 -0x2eab -0xb51c9a11 -256 -256 -0 -0 -0 -4 -0xc9e2 -0x2de54e1b -256 -256 -0 -0 -0 -2 -0xcbc -0x8a717722 -256 -256 -0 -0 -0 -2 -0xb4cb -0x3f1338de -256 -256 -0 -0 -0 -3 -0xb590 -0xb52f26ed -256 -256 -0 -0 -0 -4 -0x79c7 -0x7adade03 -256 -256 -0 -0 -0 -2 -0x40b9 -0x90428528 -256 -256 -0 -0 -0 -3 -0x5c63 -0xe0e50eff -256 -256 -0 -0 -0 -3 -0xbd4c -0xbca59e37 -256 -256 -1 -0 -0 -2 -0x48fb -0xd1de11f1 -256 -256 -0 -0 -0 -1 -0x7f62 -0xffed5bb9 -256 -256 -1 -0 -0 -4 -0x15dd -0x18d84616 -256 -256 -0 -0 -0 -3 -0x2124 -0x6701a751 -256 -256 -1 -0 -0 -3 -0x5604 -0x30873ecf -256 -256 -1 -0 -0 -3 -0x39dd -0x79260d89 -256 -256 -1 -0 -0 -4 -0x776e -0x94857328 -256 -256 -0 -0 -0 -3 -0xb86f -0x790d024b -256 -256 -0 -0 -0 -2 -0xd39 -0x2aba0016 -256 -256 -1 -0 -0 -4 -0xfd5f -0xe82225f1 -256 -256 -0 -0 -0 -4 -0x1a40 -0x674d69eb -256 -256 -1 -0 -0 -1 -0x8fad -0x682fc7b0 -256 -256 -0 -0 -0 -4 -0x589a -0x2c06cabb -256 -256 -0 -0 -0 -1 -0x5274 -0xaedb6647 -256 -256 -0 -0 -0 -3 -0x9be8 -0x873f1b0a -256 -256 -0 -0 -0 -4 -0xa571 -0xa556898d -256 -256 -0 -0 -0 -1 -0xff5a -0xd40f3c8f -256 -256 -1 -0 -0 -1 -0xe582 -0x2a53eab7 -256 -256 -0 -0 -0 -1 -0xad50 -0x2c85c51b -256 -256 -0 -0 -0 -2 -0x201a -0x87eb158e -256 -256 -1 -0 -0 -1 -0x76b8 -0xd6b19e3b -256 -256 -0 -0 -0 -3 -0xad46 -0x4303ac82 -256 -256 -1 -0 -0 -4 -0xb65d -0x690808de -256 -256 -0 -0 -0 -1 -0x4eae -0xb69122f5 -256 -256 -1 -0 -0 -4 -0x31bf -0x9ac20adb -256 -256 -1 -0 -0 -4 -0x648b -0x802c0ccc -256 -256 -0 -0 -0 -2 -0x7b34 -0x683bbd85 -256 -256 -1 -0 -0 -1 -0xb145 -0xeee9bf23 -256 -256 -0 -0 -0 -2 -0xf06f -0x545ce6b5 -256 -256 -0 -0 -0 -2 -0xbc32 -0x3917c84f -256 -256 -1 -0 -0 -1 -0x60fe -0x48d459dd -256 -256 -1 -0 -0 -4 -0xe125 -0xf9cff187 -256 -256 -0 -0 -0 -3 -0x6960 -0x623de0ac -256 -256 -0 -0 -0 -2 -0x539c -0x9a194285 -256 -256 -0 -0 -0 -1 -0x98b1 -0xaf212770 -256 -256 -1 -0 -0 -4 -0x46cd -0x4fae0d8f -256 -256 -1 -0 -0 -4 -0x77e4 -0x86ec3df4 -256 -256 -1 -0 -0 -4 -0x7ef2 -0xd7763951 -256 -256 -0 -0 -0 -2 -0x8a14 -0x42e2fca8 -256 -256 -0 -0 -0 -1 -0x10d9 -0x910f0e17 -256 -256 -1 -0 -0 -4 -0xd711 -0x5d18a5a9 -256 -256 -0 -0 -0 -3 -0x317b -0xd1365a2 -256 -256 -0 -0 -0 -1 -0x6ddd -0xd4dbd513 -256 -256 -0 -0 -0 -1 -0x9560 -0xfdb2981c -256 -256 -0 -0 -0 -1 -0x38a0 -0xf9d3cede -256 -256 -1 -0 -0 -2 -0xda96 -0x8d6e6a5a -256 -256 -0 -0 -0 -1 -0x8996 -0x7907530a -256 -256 -1 -0 -0 -1 -0xb1c7 -0x5f5a32b2 -256 -256 -0 -0 -0 -4 -0x8b22 -0x5ecd6298 -256 -256 -1 -0 -0 -3 -0xea18 -0x3a3d89da -256 -256 -1 -0 -0 -3 -0xf747 -0x5249b196 -256 -256 -1 -0 -0 -2 -0x63c2 -0xf17be289 -256 -256 -1 -0 -0 -3 -0x1a4d -0x8dacb2da -256 -256 -1 -0 -0 -1 -0x8b7b -0x86fea850 -256 -256 -0 -0 -0 -4 -0xa1d4 -0x14e79bbb -256 -256 -0 -0 -0 -2 -0x977d -0xdaef88ff -256 -256 -0 -0 -0 -2 -0x4e1a -0x35601ca2 -256 -256 -1 -0 -0 -3 -0x86f5 -0xf6279dce -256 -256 -1 -0 -0 -1 -0xe4be -0xb1fe14ee -256 -256 -0 -0 -0 -1 -0xeaf4 -0xe7a75412 -256 -256 -1 -0 -0 -4 -0xcada -0x10a6ef5 -256 -256 -1 -0 -0 -2 -0xa3f3 -0xb25e5519 -256 -256 -1 -0 -0 -4 -0x3fbd -0xde9379da -256 -256 -0 -0 -0 -4 -0xa145 -0x4a6e434a -256 -256 -0 -0 -0 -1 -0xdc68 -0xca024a1b -256 -256 -0 -0 -0 -4 -0x611c -0xe096a07e -256 -256 -0 -0 -0 -4 -0x1859 -0xb3831eb1 -256 -256 -0 -0 -0 -4 -0x5753 -0x7ac6102c -256 -256 -0 -0 -0 -2 -0x7c6 -0x6bea3c3 -256 -256 -0 -0 -0 -4 -0x2219 -0xbc4efd4a -256 -256 -1 -0 -0 -4 -0x5f4f -0xe0006d99 -256 -256 -0 -0 -0 -4 -0xc99 -0x43686c72 -256 -256 -0 -0 -0 -3 -0xf84e -0x25513fd0 -256 -256 -1 -0 -0 -2 -0xe723 -0x6b4c8f6a -256 -256 -0 -0 -0 -2 -0x6a6a -0x1dd28900 -256 -256 -0 -0 -0 -1 -0xeea3 -0xc4ef3924 -256 -256 -0 -0 -0 -1 -0x1138 -0x5cd311c8 -256 -256 -0 -0 -0 -1 -0xfa6d -0x86ec6209 -256 -256 -0 -0 -0 -2 -0x8187 -0x570dbed2 -256 -256 -0 -0 -0 -1 -0x8a93 -0x21c0ce39 -256 -256 -0 -0 -0 -2 -0xa7c9 -0xbb8f6d92 -256 -256 -1 -0 -0 -2 -0x16c8 -0xdbd1b4a9 -256 -256 -1 -0 -0 -4 -0x2844 -0xe6034238 -256 -256 -0 -0 -0 -1 -0x3750 -0x593b8edf -256 -256 -0 -0 -0 -4 -0x3b12 -0x6272cdcd -256 -256 -0 -0 -0 -2 -0x5b50 -0xa3cfd720 -256 -256 -0 -0 -0 -1 -0x3c8a -0x3048d30d -256 -256 -0 -0 -0 -1 -0xa8cb -0x16ac6982 -256 -256 -0 -0 -0 -1 -0x9737 -0x735a0a1e -256 -256 -0 -0 -0 -2 -0xf7c5 -0x34544c31 -256 -256 -1 -0 -0 -2 -0x7a58 -0x73faa266 -256 -256 -1 -0 -0 -1 -0x5642 -0x410808a2 -256 -256 -1 -0 -0 -1 -0xe00c -0x4d853f74 -256 -256 -1 -0 -0 -3 -0x5cb5 -0xcb43db20 -256 -256 -0 -0 -0 -3 -0xe70a -0x58c72991 -256 -256 -1 -0 -0 -2 -0x320b -0x1c608d4b -256 -256 -1 -0 -0 -3 -0x5a2f -0xf88e0110 -256 -256 -1 -0 -0 -3 -0x5d61 -0xf2dab11b -256 -256 -1 -0 -0 -1 -0xc203 -0xa28fef06 -256 -256 -1 -0 -0 -3 -0xcbe1 -0x552d88 -256 -256 -0 -0 -0 -3 -0xaf65 -0xe2edf39a -256 -256 -1 -0 -0 -1 -0xcbfc -0x9b6957f5 -256 -256 -1 -0 -0 -1 -0xa0c5 -0xdafb51be -256 -256 -1 -0 -0 -1 -0xd2c -0xdd1abd4f -256 -256 -0 -0 -0 -2 -0xbd8b -0x3a6fddce -256 -256 -0 -0 -0 -2 -0x11ff -0x84ed2460 -256 -256 -0 -0 -0 -3 -0xb063 -0x1874c7e5 -256 -256 -1 -0 -0 -1 -0xffb8 -0x631af330 -256 -256 -1 -0 -0 -4 -0x4837 -0xc7f2ce72 -256 -256 -0 -0 -0 -2 -0xc6f0 -0x8ae66790 -256 -256 -1 -0 -0 -3 -0x91e3 -0x11ca02f9 -256 -256 -0 -0 -0 -1 -0x856c -0x8dde4321 -256 -256 -0 -0 -0 -1 -0x16e7 -0xea2bb264 -256 -256 -1 -0 -0 -2 -0x826c -0x30131c2a -256 -256 -1 -0 -0 -4 -0xe3fa -0x9d66a2d0 -256 -256 -1 -0 -0 -3 -0x808c -0x629dc15 -256 -256 -1 -0 -0 -3 -0xa069 -0xec3a80f1 -256 -256 -1 -0 -0 -3 -0xd499 -0x8b7b3fee -256 -256 -1 -0 -0 -2 -0x30ae -0x5aa750d8 -256 -256 -0 -0 -0 -1 -0xd7d6 -0x9a5df313 -256 -256 -1 -0 -0 -1 -0xe54a -0x7c269351 -256 -256 -0 -0 -0 -4 -0x197 -0x9edbca74 -256 -256 -0 -0 -0 -2 -0xd8ce -0xdf40434a -256 -256 -1 -0 -0 -4 -0x13b6 -0x84f59f82 -256 -256 -0 -0 -0 -4 -0xea5c -0x8420d466 -256 -256 -0 -0 -0 -2 -0xd1ff -0x2ad4c77c -256 -256 -1 -0 -0 -4 -0xf642 -0x6270c903 -256 -256 -0 -0 -0 -1 -0xb08b -0x8ed0f3cd -256 -256 -1 -0 -0 -3 -0x8fda -0xff1434f9 -256 -256 -0 -0 -0 -1 -0x16cf -0x2c9beb88 -256 -256 -0 -0 -0 -2 -0x9bae -0x25f3137b -256 -256 -0 -0 -0 -1 -0xc1c4 -0xc9fd6865 -256 -256 -0 -0 -0 -1 -0x53b6 -0x466d6341 -256 -256 -1 -0 -0 -1 -0x79af -0x3e58a739 -256 -256 -0 -0 -0 -3 -0x9ad -0x4d5abecf -256 -256 -0 -0 -0 -4 -0x5362 -0xb4ed0e67 -256 -256 -1 -0 -0 -4 -0xc0eb -0x7b346f55 -256 -256 -0 -0 -0 -1 -0x5f7b -0x2bd28cbd -256 -256 -0 -0 -0 -4 -0xf83a -0x4de4afd -256 -256 -0 -0 -0 -1 -0x703d -0xa2b35675 -256 -256 -0 -0 -0 -2 -0xde75 -0x9caf6a6b -256 -256 -0 -0 -0 -1 -0x755b -0x1f831ae2 -256 -256 -1 -0 -0 -1 -0x44fc -0xecda2aff -256 -256 -1 -0 -0 -3 -0x7785 -0xdae2d4b5 -256 -256 -0 -0 -0 -3 -0xcd7f -0xf96661b2 -256 -256 -1 -0 -0 -3 -0xcbd0 -0x8e26572a -256 -256 -0 -0 -0 -2 -0x2080 -0x8da8418e -256 -256 -1 -0 -0 -3 -0x1a6c -0xc15444a6 -256 -256 -1 -0 -0 -1 -0x3d36 -0xd1c0b33e -256 -256 -1 -0 -0 -3 -0x7105 -0xd882444c -256 -256 -1 -0 -0 -4 -0x4735 -0x4ec8fe20 -256 -256 -1 -0 -0 -1 -0x6400 -0xf125381 -256 -256 -0 -0 -0 -1 -0x9771 -0x9cd9594a -256 -256 -0 -0 -0 -4 -0xce30 -0xd4c36f74 -256 -256 -1 -0 -0 -3 -0x3163 -0x6e4ff841 -256 -256 -1 -0 -0 -1 -0xa66d -0xee787dd0 -256 -256 -1 -0 -0 -4 -0xc3fa -0xb0dbc4d6 -256 -256 -0 -0 -0 -1 -0xa7f0 -0x1696551 -256 -256 -1 -0 -0 -2 -0x50d9 -0xc23dd524 -256 -256 -0 -0 -0 -2 -0xae6d -0x936c7e2 -256 -256 -1 -0 -0 -3 -0xfef2 -0x8a6128c9 -256 -256 -1 -0 -0 -3 -0x1591 -0x69614659 -256 -256 -0 -0 -0 -2 -0xe73b -0x7615673a -256 -256 -0 -0 -0 -4 -0x5d10 -0x863b9f76 -256 -256 -0 -0 -0 -4 -0xc0f -0xbffbc589 -256 -256 -1 -0 -0 -2 -0xeb -0xb28ef7d8 -256 -256 -0 -0 -0 -4 -0x6a68 -0x280e480a -256 -256 -1 -0 -0 -1 -0x2b28 -0x3671571c -256 -256 -1 -0 -0 -3 -0x7853 -0x78051bc5 -256 -256 -1 -0 -0 -2 -0x9fb3 -0xd4832b23 -256 -256 -0 -0 -0 -4 -0x5ea4 -0x6171a4d4 -256 -256 -1 -0 -0 -4 -0x756b -0xaef59885 -256 -256 -1 -0 -0 -2 -0x98df -0x9ee4c86f -256 -256 -1 -0 -0 -1 -0x7e57 -0x6fa1a949 -256 -256 -0 -0 -0 -4 -0xfcab -0x81eaf2f3 -256 -256 -1 -0 -0 -1 -0x4bee -0x3803e56a -256 -256 -1 -0 -0 -4 -0x6cf8 -0xb9719fc2 -256 -256 -1 -0 -0 -2 -0x8637 -0x4e8c019f -256 -256 -0 -0 -0 -4 -0x2bf1 -0xfa93a8a0 -256 -256 -0 -0 -0 -4 -0xbedf -0x35a0a951 -256 -256 -0 -0 -0 -2 -0xfe1 -0x6deb1e9 -256 -256 -1 -0 -0 -3 -0xdca9 -0xff8bc9c7 -256 -256 -0 -0 -0 -4 -0x81ee -0x3e7b056f -256 -256 -1 -0 -0 -2 -0xd578 -0x4d998203 -256 -256 -0 -0 -0 -1 -0x75ec -0xcb2b30b9 -256 -256 -0 -0 -0 -1 -0x4e3c -0x61af9cc5 -256 -256 -1 -0 -0 -2 -0x6db0 -0x959b7348 -256 -256 -1 -0 -0 -3 -0xcc72 -0x53c37f58 -256 -256 -0 -0 -0 -4 -0x83b0 -0xe2a33696 -256 -256 -0 -0 -0 -1 -0x1fa6 -0x83b25b50 -256 -256 -1 -0 -0 -4 -0x40e1 -0x9c1df6c4 -256 -256 -1 -0 -0 -1 -0x7c4d -0x3cf85466 -256 -256 -1 -0 -0 -2 -0xe3d9 -0xe570cf4f -256 -256 -1 -0 -0 -3 -0xed24 -0xb080fe4c -256 -256 -0 -0 -0 -4 -0x47b -0x6f57d233 -256 -256 -0 -0 -0 -1 -0xe405 -0xe66e284a -256 -256 -1 -0 -0 -1 -0xd252 -0x3e115791 -256 -256 -1 -0 -0 -1 -0xb35a -0x6ebeb9c9 -256 -256 -0 -0 -0 -4 -0xb094 -0x29b5a4fb -256 -256 -0 -0 -0 -1 -0x89ed -0xf75ddcdb -256 -256 -0 -0 -0 -1 -0x7620 -0xfdeb5826 -256 -256 -1 -0 -0 -1 -0xb981 -0x9d860551 -256 -256 -0 -0 -0 -4 -0x1697 -0xe77aa204 -256 -256 -0 -0 -0 -3 -0xbf34 -0x36bc6b33 -256 -256 -0 -0 -0 -3 -0xd3d7 -0x4db95304 -256 -256 -0 -0 -0 -2 -0x1867 -0xc9e6fc8d -256 -256 -1 -0 -0 -1 -0xf58d -0x33851ac9 -256 -256 -1 -0 -0 -1 -0x958a -0x9df8bdcd -256 -256 -1 -0 -0 -4 -0xd0b1 -0xb1a26241 -256 -256 -1 -0 -0 -2 -0xf4a0 -0xf11e9aea -256 -256 -1 -0 -0 -1 -0x26fe -0xb3f5f03b -256 -256 -0 -0 -0 -2 -0x9e90 -0xdb7a24c1 -256 -256 -0 -0 -0 -2 -0x1005 -0xaf756569 -256 -256 -1 -0 -0 -3 -0x1710 -0x71e6bdcc -256 -256 -0 -0 -0 -4 -0xae1d -0x1c5407c0 -256 -256 -0 -0 -0 -2 -0xda6b -0x87d483ae -256 -256 -0 -0 -0 -4 -0x6930 -0x7655d176 -256 -256 -1 -0 -0 -2 -0xd0bc -0x1621d247 -256 -256 -0 -0 -0 -4 -0xbb65 -0x4f1a2699 -256 -256 -1 -0 -0 -4 -0x871b -0x72bd231c -256 -256 -1 -0 -0 -1 -0xf5c1 -0x935d7f17 -256 -256 -1 -0 -0 -2 -0x5090 -0x9618ca69 -256 -256 -1 -0 -0 -4 -0x4240 -0xfdbf28df -256 -256 -1 -0 -0 -3 -0x9661 -0x51c06c6b -256 -256 -0 -0 -0 -2 -0xd70e -0x8076fd5c -256 -256 -0 -0 -0 -1 -0x6e1a -0x40190665 -256 -256 -1 -0 -0 -3 -0x9d95 -0xf6f46371 -256 -256 -1 -0 -0 -4 -0x1aed -0x51af9fb6 -256 -256 -1 -0 -0 -3 -0x6b03 -0x114260c9 -256 -256 -1 -0 -0 -4 -0x90fd -0xd6cc5539 -256 -256 -0 -0 -0 -3 -0xf6aa -0xcfd564f5 -256 -256 -0 -0 -0 -2 -0xa117 -0xfe4e7e38 -256 -256 -1 -0 -0 -4 -0x76d -0xc349616f -256 -256 -0 -0 -0 -1 -0x83a3 -0x7c5f11b8 -256 -256 -0 -0 -0 -1 -0x2981 -0x71e8609a -256 -256 -1 -0 -0 -4 -0x77aa -0x1cdd156b -256 -256 -1 -0 -0 -3 -0xd24d -0x8a5fdc0 -256 -256 -0 -0 -0 -2 -0x125f -0x7d9ee0b8 -256 -256 -0 -0 -0 -1 -0x189c -0x9984d28b -256 -256 -1 -0 -0 -4 -0xa95d -0x6dfe1812 -256 -256 -0 -0 -0 -2 -0x7205 -0xca34d018 -256 -256 -1 -0 -0 -3 -0x56c2 -0xa3183729 -256 -256 -0 -0 -0 -2 -0x6c92 -0x66f536ae -256 -256 -0 -0 -0 -1 -0x3362 -0xc1605bce -256 -256 -1 -0 -0 -1 -0xfeb1 -0xf8408f3d -256 -256 -0 -0 -0 -4 -0x6a73 -0xadf65c4a -256 -256 -1 -0 -0 -1 -0x7dfc -0xb4bbadda -256 -256 -1 -0 -0 -4 -0xe1d -0xb58c650d -256 -256 -1 -0 -0 -1 -0xd5eb -0x17a09563 -256 -256 -0 -0 -0 -1 -0x73f -0x3afeaaff -256 -256 -0 -0 -0 -3 -0x2d0d -0xc86ef70d -256 -256 -0 -0 -0 -2 -0x6e54 -0x1e55ba27 -256 -256 -0 -0 -0 -2 -0xc33e -0xffbed17c -256 -256 -1 -0 -0 -4 -0x36e4 -0xe03f0222 -256 -256 -1 -0 -0 -4 -0x61d7 -0xa2b64a29 -256 -256 -1 -0 -0 -1 -0xe061 -0x7eaae320 -256 -256 -0 -0 -0 -1 -0xedda -0x512719c7 -256 -256 -0 -0 -0 -1 -0x6e2 -0xfec80807 -256 -256 -1 -0 -0 -1 -0xa890 -0x445ca734 -256 -256 -1 -0 -0 -4 -0x9960 -0x4cd10759 -256 -256 -1 -0 -0 -4 -0xe402 -0xda48ea9c -256 -256 -0 -0 -0 -2 -0xd43 -0x2450bcf5 -256 -256 -0 -0 -0 -3 -0x9fa3 -0xbc74968c -256 -256 -1 -0 -0 -2 -0xab14 -0xa32f9ed3 -256 -256 -0 -0 -0 -4 -0xee3f -0x26043a9d -256 -256 -1 -0 -0 -2 -0x850b -0x39c21438 -256 -256 -0 -0 -0 -1 -0x1e2b -0x12441d85 -256 -256 -0 -0 -0 -4 -0x9621 -0x44581eab -256 -256 -0 -0 -0 -1 -0xc313 -0xd4682a7f -256 -256 -1 -0 -0 -3 -0x50c8 -0x568f5169 -256 -256 -1 -0 -0 -3 -0xc0e3 -0x77fd5c2e -256 -256 -1 -0 -0 -1 -0xb17e -0x42255d4d -256 -256 -1 -0 -0 -4 -0xc13c -0x6e22627f -256 -256 -0 -0 -0 -2 -0xb444 -0x2682a285 -256 -256 -1 -0 -0 -1 -0xd80b -0x7e09158a -256 -256 -1 -0 -0 -1 -0x7c7f -0x6e753dab -256 -256 -0 -0 -0 -3 -0xdd86 -0x629a15a0 -256 -256 -1 -0 -0 -4 -0xed5a -0x66d09aef -256 -256 -0 -0 -0 -2 -0x6cd3 -0xca5fc7d2 -256 -256 -1 -0 -0 -2 -0x6395 -0x2ad0ff8f -256 -256 -0 -0 -0 -3 -0x95ed -0xb1e15532 -256 -256 -0 -0 -0 -3 -0x4976 -0xef01a3a7 -256 -256 -1 -0 -0 -4 -0x4e9b -0xfbd8a721 -256 -256 -0 -0 -0 -2 -0x6714 -0x4b3e62f -256 -256 -0 -0 -0 -1 -0x655a -0xcc4b3293 -256 -256 -0 -0 -0 -3 -0x255f -0xa1bd3257 -256 -256 -0 -0 -0 -4 -0x2e27 -0x92e403b6 -256 -256 -0 -0 -0 -2 -0x934a -0xe3e6a03d -256 -256 -0 -0 -0 -2 -0xbd3a -0xd4f11501 -256 -256 -1 -0 -0 -3 -0x4ad -0x100b302b -256 -256 -1 -0 -0 -4 -0x415c -0xa7690195 -256 -256 -0 -0 -0 -1 -0xdb4 -0x3b4351c4 -256 -256 -1 -0 -0 -1 -0xb850 -0x3c09b47f -256 -256 -0 -0 -0 -1 -0xabf4 -0x6a72155e -256 -256 -1 -0 -0 -4 -0xb9f6 -0x7fa1e8c1 -256 -256 -0 -0 -0 -2 -0xb09a -0x7009de76 -256 -256 -0 -0 -0 -4 -0x3b83 -0x4140d25e -256 -256 -0 -0 -0 -2 -0x6178 -0x5e683f3c -256 -256 -1 -0 -0 -3 -0x4566 -0x9d31db1 -256 -256 -0 -0 -0 -4 -0x4bf -0xb62447d9 -256 -256 -0 -0 -0 -1 -0xe3a9 -0xa7c7c6c9 -256 -256 -1 -0 -0 -4 -0x516f -0x8031dff0 -256 -256 -0 -0 -0 -3 -0xe8fd -0xc4e8a15d -256 -256 -1 -0 -0 -3 -0x183e -0xd26ecafb -256 -256 -0 -0 -0 -1 -0x8f8c -0x4f7bfb28 -256 -256 -0 -0 -0 -1 -0x441e -0x2a9aef05 -256 -256 -0 -0 -0 -1 -0xa4ed -0xea6adffa -256 -256 -1 -0 -0 -1 -0x360a -0x3dd72e63 -256 -256 -0 -0 -0 -3 -0xc6e -0x46f08147 -256 -256 -1 -0 -0 -2 -0xd1e7 -0xdc67c673 -256 -256 -1 -0 -0 -1 -0x9e26 -0xa4f9b9ee -256 -256 -0 -0 -0 -2 -0x516b -0xeaaea287 -256 -256 -1 -0 -0 -4 -0x9bd5 -0x9197072d -256 -256 -0 -0 -0 -3 -0x9eae -0xdedbb882 -256 -256 -0 -0 -0 -4 -0xd92f -0xaf9d226c -256 -256 -1 -0 -0 -3 -0xa5b5 -0x2827f700 -256 -256 -1 -0 -0 -1 -0x99e9 -0x96a575c3 -256 -256 -0 -0 -0 -4 -0xc537 -0x1085588f -256 -256 -1 -0 -0 -4 -0x887a -0x5962f08d -256 -256 -1 -0 -0 -4 -0x9fec -0x7f3ad91e -256 -256 -0 -0 -0 -1 -0xc7a0 -0xdba9c2ab -256 -256 -1 -0 -0 -4 -0x1263 -0xe452aa47 -256 -256 -1 -0 -0 -3 -0xb032 -0x882edada -256 -256 -0 -0 -0 -3 -0x8cd3 -0x6ec3d80e -256 -256 -1 -0 -0 -1 -0x7a01 -0x1232d983 -256 -256 -1 -0 -0 -3 -0xa1c5 -0x9d3da32d -256 -256 -1 -0 -0 -3 -0xa26e -0xf6780b34 -256 -256 -0 -0 -0 -3 -0xab57 -0xb4561b18 -256 -256 -0 -0 -0 -1 -0x58a0 -0xc8b04f54 -256 -256 -0 -0 -0 -4 -0x3d95 -0x857573e3 -256 -256 -1 -0 -0 -1 -0x5f69 -0x62db06b2 -256 -256 -0 -0 -0 -4 -0x4740 -0x1ac358ff -256 -256 -0 -0 -0 -2 -0xca32 -0xc93c4eae -256 -256 -1 -0 -0 -4 -0xa4c9 -0x821048b8 -256 -256 -0 -0 -0 -2 -0xb9d3 -0xbe5386c4 -256 -256 -0 -0 -0 -2 -0x183b -0x162fed12 -256 -256 -0 -0 -0 -4 -0x22a6 -0xb1d32ad6 -256 -256 -0 -0 -0 -1 -0x74e9 -0x7997329f -256 -256 -0 -0 -0 -3 -0xbe20 -0xee34675c -256 -256 -1 -0 -0 -2 -0x5c9f -0xaa82088d -256 -256 -0 -0 -0 -4 -0x453 -0xf7ae6ee6 -256 -256 -0 -0 -0 -2 -0xa61a -0x3842696a -256 -256 -1 -0 -0 -3 -0x67a7 -0x83a90a78 -256 -256 -0 -0 -0 -1 -0x60a5 -0xa5a92606 -256 -256 -1 -0 -0 -4 -0x55b3 -0xfd9ecaae -256 -256 -0 -0 -0 -3 -0x7828 -0x9d6b310 -256 -256 -0 -0 -0 -3 -0x292a -0x62bcb500 -256 -256 -1 -0 -0 -2 -0x5917 -0xe5c3eff0 -256 -256 -0 -0 -0 -3 -0xf1f6 -0xe0fd4b38 -256 -256 -1 -0 -0 -3 -0xbda1 -0xf4451a3e -256 -256 -1 -0 -0 -3 -0x517f -0x9a030edc -256 -256 -0 -0 -0 -1 -0xebdc -0x59161b0a -256 -256 -0 -0 -0 -1 -0x9fdd -0xf77ac31b -256 -256 -0 -0 -0 -2 -0xfea4 -0x3abe22de -256 -256 -1 -0 -0 -3 -0xea5e -0x4d2e8a23 -256 -256 -0 -0 -0 -4 -0x97bd -0x32a6a668 -256 -256 -1 -0 -0 -4 -0xa1c9 -0xcf5a2a27 -256 -256 -1 -0 -0 -4 -0x7bdb -0x1b8bf77 -256 -256 -0 -0 -0 -3 -0x9c4e -0x8afc27ad -256 -256 -1 -0 -0 -3 -0xf04c -0x45ead6ab -256 -256 -1 -0 -0 -4 -0xbed3 -0xdae563b7 -256 -256 -1 -0 -0 -1 -0x8e1b -0x3f634e5c -256 -256 -1 -0 -0 -3 -0xfe39 -0x39a442d9 -256 -256 -0 -0 -0 -2 -0xab96 -0x6870403c -256 -256 -0 -0 -0 -4 -0x4fab -0x9ee31add -256 -256 -0 -0 -0 -2 -0x1663 -0x46ec5eed -256 -256 -1 -0 -0 -3 -0xb28c -0x5cecf0f5 -256 -256 -1 -0 -0 -1 -0xeb46 -0xf0b20dec -256 -256 -0 -0 -0 -1 -0xee9b -0xf751e18d -256 -256 -0 -0 -0 -3 -0x9cfa -0x839b8513 -256 -256 -0 -0 -0 -1 -0x7727 -0x8d7cf4a0 -256 -256 -0 -0 -0 -4 -0x96fe -0xb3b5525b -256 -256 -1 -0 -0 -1 -0x44bd -0xb6b753cf -256 -256 -1 -0 -0 -2 -0x2936 -0xdb76f790 -256 -256 -1 -0 -0 -4 -0x8588 -0x66ab7987 -256 -256 -0 -0 -0 -4 -0x63e8 -0x423378f3 -256 -256 -0 -0 -0 -2 -0xcca2 -0xcb2b344e -256 -256 -1 -0 -0 -3 -0x6517 -0x8b1e7b8a -256 -256 -1 -0 -0 -2 -0x1f78 -0x1a9bb81b -256 -256 -1 -0 -0 -1 -0xc6e1 -0xe34cbf23 -256 -256 -1 -0 -0 -1 -0x38b3 -0xafb61334 -256 -256 -0 -0 -0 -2 -0x62a5 -0x7996350e -256 -256 -0 -0 -0 -4 -0x3f84 -0x5149e06f -256 -256 -0 -0 -0 -1 -0xaa83 -0x423e9385 -256 -256 -0 -0 -0 -1 -0xace1 -0x3677b1 -256 -256 -1 -0 -0 -1 -0xa495 -0xa6d41e06 -256 -256 -1 -0 -0 -2 -0xeb8f -0x5397519d -256 -256 -1 -0 -0 -2 -0x5c5f -0x575f9b02 -256 -256 -1 -0 -0 -1 -0x422 -0x4421d85c -256 -256 -0 -0 -0 -1 -0x16f5 -0x5afe8b90 -256 -256 -1 -0 -0 -4 -0x9f54 -0x4bc97e9d -256 -256 -1 -0 -0 -3 -0xe18a -0x6213bef4 -256 -256 -0 -0 -0 -2 -0x3f2f -0xcb9b8af0 -256 -256 -0 -0 -0 -2 -0xe12c -0xca99836a -256 -256 -1 -0 -0 -3 -0x82e2 -0xb28ce255 -256 -256 -0 -0 -0 -2 -0xabc0 -0x5b5faa3c -256 -256 -1 -0 -0 -1 -0x5b8f -0x1a228ea2 -256 -256 -0 -0 -0 -1 -0x80a0 -0xf7dc7964 -256 -256 -0 -0 -0 -4 -0x6e49 -0x7e4bd37a -256 -256 -0 -0 -0 -2 -0x50d7 -0x2f6e1d37 -256 -256 -1 -0 -0 -1 -0x7d12 -0xb1445739 -256 -256 -1 -0 -0 -4 -0x9d2b -0x73d7617c -256 -256 -0 -0 -0 -3 -0xff26 -0x6fd5caec -256 -256 -0 -0 -0 -1 -0x42f8 -0xe9a8fcda -256 -256 -1 -0 -0 -4 -0x3a70 -0xbd278d3c -256 -256 -0 -0 -0 -1 -0x5d2e -0x54eb6a4e -256 -256 -1 -0 -0 -2 -0x4a43 -0xccf38df3 -256 -256 -1 -0 -0 -1 -0x887f -0xca6dcbac -256 -256 -1 -0 -0 -1 -0x4125 -0x31c8bba6 -256 -256 -1 -0 -0 -4 -0x3932 -0xbdcf8883 -256 -256 -0 -0 -0 -4 -0x9c9e -0x2907575f -256 -256 -1 -0 -0 -4 -0x29bb -0x5b994e9d -256 -256 -1 -0 -0 -2 -0x1f3a -0x5a941d91 -256 -256 -0 -0 -0 -4 -0x22a9 -0x188ca173 -256 -256 -0 -0 -0 -4 -0x3148 -0x7b5c35e4 -256 -256 -1 -0 -0 -1 -0xe5e7 -0x48838541 -256 -256 -0 -0 -0 -4 -0x7dfc -0x1c9dd922 -256 -256 -1 -0 -0 -4 -0x2acb -0xfdf5d8d3 -256 -256 -1 -0 -0 -1 -0x31b -0xccb8c9f1 -256 -256 -0 -0 -0 -2 -0xdb59 -0x6cc8c98e -256 -256 -1 -0 -0 -4 -0xdadd -0xc11bfb2e -256 -256 -1 -0 -0 -3 -0x6138 -0x4433932b -256 -256 -0 -0 -0 -2 -0x4a6a -0x7af02808 -256 -256 -0 -0 -0 -2 -0xdc12 -0x71649753 -256 -256 -1 -0 -0 -3 -0xf355 -0x2a2b683a -256 -256 -0 -0 -0 -4 -0xe5a0 -0xbab4f480 -256 -256 -0 -0 -0 -4 -0xcd61 -0x85299724 -256 -256 -1 -0 -0 -3 -0xa79c -0x1d38e4a1 -256 -256 -0 -0 -0 -1 -0xf34e -0xcd8b0dde -256 -256 -1 -0 -0 -3 -0x9b2 -0xbeb7e77b -256 -256 -1 -0 -0 -1 -0xc209 -0xcbefd34a -256 -256 -0 -0 -0 -3 -0xa3eb -0x7b958d0f -256 -256 -1 -0 -0 -3 -0xca88 -0x1d16c5d -256 -256 -0 -0 -0 -4 -0x4779 -0x888c37b6 -256 -256 -0 -0 -0 -4 -0xadfc -0xe649020e -256 -256 -0 -0 -0 -1 -0x69c9 -0x93b5281f -256 -256 -1 -0 -0 -4 -0x410e -0x20a4695b -256 -256 -0 -0 -0 -4 -0xeb84 -0xff6a3620 -256 -256 -0 -0 -0 -3 -0xe5ce -0x2aad4ad1 -256 -256 -0 -0 -0 -4 -0x5e32 -0xfcd1e12d -256 -256 -1 -0 -0 -1 -0xc8cb -0xfefb6871 -256 -256 -1 -0 -0 -3 -0xe1ad -0xeb0df05e -256 -256 -0 -0 -0 -1 -0xeb66 -0xff3f32c -256 -256 -0 -0 -0 -1 -0x3e9b -0xec04784b -256 -256 -0 -0 -0 -4 -0xe97d -0xa957febb -256 -256 -1 -0 -0 -2 -0x82f -0x62efd1 -256 -256 -1 -0 -0 -3 -0x2fec -0x54cdcc90 -256 -256 -0 -0 -0 -1 -0x1f2e -0x9fed8bf6 -256 -256 -0 -0 -0 -4 -0x9c03 -0xe9fee84e -256 -256 -1 -0 -0 -3 -0x3921 -0x6022d7b -256 -256 -1 -0 -0 -1 -0xff90 -0xc61c0646 -256 -256 -1 -0 -0 -2 -0x16c2 -0xe7ab8e49 -256 -256 -1 -0 -0 -4 -0x1210 -0x439ffaff -256 -256 -0 -0 -0 -1 -0x3e70 -0xdfa31a76 -256 -256 -1 -0 -0 -4 -0xdb19 -0xbaa88a20 -256 -256 -1 -0 -0 -2 -0x6d9a -0xb4d608e8 -256 -256 -1 -0 -0 -1 -0xa27f -0xe51360ce -256 -256 -0 -0 -0 -3 -0xfa7b -0x9306378c -256 -256 -0 -0 -0 -2 -0x26ab -0xafcd14bf -256 -256 -1 -0 -0 -2 -0x3121 -0x5cc01713 -256 -256 -0 -0 -0 -2 -0x1175 -0xa8ea93c5 -256 -256 -0 -0 -0 -3 -0xf571 -0xdd34b57d -256 -256 -1 -0 -0 -2 -0x7d79 -0x81146343 -256 -256 -1 -0 -0 -4 -0xc3f6 -0xc7009615 -256 -256 -0 -0 -0 -4 -0xc154 -0x680116be -256 -256 -1 -0 -0 -3 -0xb69c -0xf6792bc8 -256 -256 -1 -0 -0 -1 -0x324 -0xcabeceda -256 -256 -0 -0 -0 -3 -0xf19c -0x1ffe9d06 -256 -256 -0 -0 -0 -3 -0x55ff -0x71fff42d -256 -256 -0 -0 -0 -2 -0x3c23 -0x80a7f0f2 -256 -256 -0 -0 -0 -4 -0x50ee -0x212a6116 -256 -256 -1 -0 -0 -2 -0x1723 -0x35f5b2ec -256 -256 -1 -0 -0 -3 -0x211 -0x938a3dca -256 -256 -1 -0 -0 -2 -0x9391 -0x5b0a64bd -256 -256 -0 -0 -0 -1 -0xbed9 -0xae53fb5f -256 -256 -1 -0 -0 -3 -0x7c48 -0xb816a916 -256 -256 -0 -0 -0 -1 -0xce50 -0xe144fc4a -256 -256 -1 -0 -0 -2 -0xda3b -0xce66f8a1 -256 -256 -0 -0 -0 -1 -0x2297 -0x4c8d2550 -256 -256 -0 -0 -0 -1 -0xf0b2 -0xaf5bf210 -256 -256 -1 -0 -0 -1 -0xf419 -0x54779e3d -256 -256 -1 -0 -0 -2 -0x238c -0xe962c1e7 -256 -256 -0 -0 -0 -2 -0xcfa1 -0xe88dd999 -256 -256 -1 -0 -0 -2 -0x7d35 -0x47720bb1 -256 -256 -1 -0 -0 -3 -0x5db4 -0xfcb595f4 -256 -256 -1 -0 -0 -2 -0xd3d6 -0x29adb02c -256 -256 -1 -0 -0 -4 -0xcc1c -0xa110383f -256 -256 -1 -0 -0 -3 -0xb1af -0x3b35d4ff -256 -256 -0 -0 -0 -3 -0x98a6 -0xc7d52cfa -256 -256 -0 -0 -0 -3 -0xc6b0 -0x46cd8c15 -256 -256 -0 -0 -0 -1 -0x31c5 -0x256bbc71 -256 -256 -0 -0 -0 -3 -0xc51b -0x1bdb1c21 -256 -256 -1 -0 -0 -4 -0x2345 -0xe1a9b7e4 -256 -256 -0 -0 -0 -2 -0xe121 -0x687e91b0 -256 -256 -0 -0 -0 -3 -0x16a2 -0x466be605 -256 -256 -0 -0 -0 -1 -0xa0ef -0x2d26ec8c -256 -256 -1 -0 -0 -4 -0xfbf3 -0x47b5cf6c -256 -256 -0 -0 -0 -4 -0x431 -0xa9f50763 -256 -256 -0 -0 -0 -1 -0x25c8 -0x784b70eb -256 -256 -1 -0 -0 -1 -0x5070 -0xc839cfe8 -256 -256 -1 -0 -0 -2 -0xe3cf -0x8e8cc9c9 -256 -256 -1 -0 -0 -3 -0xfdb1 -0xe1bac53c -256 -256 -1 -0 -0 -2 -0xb68f -0x4d49abef -256 -256 -1 -0 -0 -4 -0x94f9 -0xfa61ee03 -256 -256 -0 -0 -0 -4 -0xfad6 -0x31691303 -256 -256 -0 -0 -0 -2 -0x7ccf -0x4e392ac8 -256 -256 -1 -0 -0 -2 -0x409b -0xb95c2690 -256 -256 -0 -0 -0 -2 -0xb851 -0xdb71a4f0 -256 -256 -1 -0 -0 -2 -0x9b8 -0x5c832170 -256 -256 -0 -0 -0 -3 -0xf67e -0x6125f9ab -256 -256 -1 -0 -0 -3 -0x2a56 -0xda291812 -256 -256 -0 -0 -0 -3 -0x68fa -0x9152c9b5 -256 -256 -0 -0 -0 -4 -0x8967 -0xd6e588fc -256 -256 -0 -0 -0 -3 -0xb29b -0x2e246118 -256 -256 -1 -0 -0 -2 -0x3b44 -0x3766511a -256 -256 -1 -0 -0 -3 -0x422e -0x9e6c06f3 -256 -256 -0 -0 -0 -3 -0x6d0e -0x82e08db7 -256 -256 -1 -0 -0 -2 -0x1ce9 -0x9e2ecaa7 -256 -256 -1 -0 -0 -1 -0x71a -0xffc2e067 -256 -256 -1 -0 -0 -2 -0xe99 -0xfe6d4823 -256 -256 -0 -0 -0 -3 -0x8693 -0x4af790fb -256 -256 -0 -0 -0 -2 -0xe7ea -0xc0a4d5d9 -256 -256 -0 -0 -0 -4 -0x41cb -0xebf1fb9 -256 -256 -1 -0 -0 -4 -0x110b -0x5c00c38 -256 -256 -1 -0 -0 -3 -0x279a -0x542c254f -256 -256 -1 -0 -0 -4 -0xec1e -0x8ada98fd -256 -256 -1 -0 -0 -3 -0x1b7c -0xd6c2e4d3 -256 -256 -0 -0 -0 -3 -0x5a20 -0x6fd182f -256 -256 -1 -0 -0 -2 -0x7c8f -0xfe770cb8 -256 -256 -0 -0 -0 -3 -0xbbec -0x2bfd0793 -256 -256 -1 -0 -0 -2 -0x9013 -0x23cca550 -256 -256 -0 -0 -0 -4 -0xe9c1 -0xfd443bfd -256 -256 -1 -0 -0 -4 -0x8157 -0xb726a36f -256 -256 -1 -0 -0 -4 -0xd301 -0x3612302a -256 -256 -1 -0 -0 -4 -0xcc71 -0x51e59b98 -256 -256 -1 -0 -0 -2 -0x38de -0x4d159ea0 -256 -256 -0 -0 -0 -1 -0xb2bc -0xa6432cbe -256 -256 -0 -0 -0 -3 -0x169f -0xca73f01 -256 -256 -1 -0 -0 -2 -0x45bd -0xa1779ceb -256 -256 -0 -0 -0 -1 -0xc958 -0xc334230c -256 -256 -0 -0 -0 -4 -0xc275 -0x7bdf0f8d -256 -256 -1 -0 -0 -1 -0xfbda -0x867ea868 -256 -256 -0 -0 -0 -3 -0x64c1 -0x2f8dbc81 -256 -256 -0 -0 -0 -4 -0x67fd -0x4362659b -256 -256 -0 -0 -0 -2 -0x6b84 -0x3bd7d33f -256 -256 -1 -0 -0 -2 -0xdb9a -0x3d48d967 -256 -256 -0 -0 -0 -1 -0x6dea -0x44d07a13 -256 -256 -0 -0 -0 -3 -0xf683 -0x96a6129e -256 -256 -1 -0 -0 -2 -0x1798 -0xdafa5ea1 -256 -256 -1 -0 -0 -2 -0xaa36 -0x91a73494 -256 -256 -0 -0 -0 -1 -0xe5f7 -0xe35bb5aa -256 -256 -0 -0 -0 -2 -0xdf0e -0xe57639f9 -256 -256 -1 -0 -0 -2 -0x4902 -0xb641cd1f -256 -256 -0 -0 -0 -1 -0xa7bf -0xd4b6b79c -256 -256 -1 -0 -0 -1 -0xaf86 -0x29446a11 -256 -256 -0 -0 -0 -2 -0x91c7 -0x3f920750 -256 -256 -1 -0 -0 -4 -0x4b -0xafa9615a -256 -256 -0 -0 -0 -4 -0x5e2 -0x4ad0b195 -256 -256 -0 -0 -0 -2 -0x81a3 -0x2cdc4339 -256 -256 -1 -0 -0 -3 -0xbc4e -0xbea0de3a -256 -256 -1 -0 -0 -3 -0x41b8 -0xc7b999b8 -256 -256 -0 -0 -0 -4 -0x7860 -0xf07d9d44 -256 -256 -0 -0 -0 -1 -0xd821 -0x549caf99 -256 -256 -1 -0 -0 -1 -0xccb9 -0xa625322f -256 -256 -0 -0 -0 -3 -0x8af2 -0x368e716c -256 -256 -0 -0 -0 -4 -0xbf50 -0x2c14e0c -256 -256 -0 -0 -0 -3 -0x503e -0x12ec008e -256 -256 -0 -0 -0 -1 -0x8954 -0x93d34e55 -256 -256 -1 -0 -0 -3 -0x3c6b -0xfb8ecd5a -256 -256 -1 -0 -0 -2 -0xaf0 -0xbcf66ed -256 -256 -0 -0 -0 -3 -0xa7a3 -0xe1919da4 -256 -256 -1 -0 -0 -4 -0xc77 -0x2df8fe8d -256 -256 -0 -0 -0 -4 -0x8929 -0xbbeeb5a1 -256 -256 -0 -0 -0 -1 -0x7608 -0x51975c0f -256 -256 -1 -0 -0 -1 -0xee6e -0xdab54b23 -256 -256 -1 -0 -0 -2 -0x460d -0x5bf7875a -256 -256 -1 -0 -0 -3 -0xe096 -0x882d6a15 -256 -256 -0 -0 -0 -2 -0x2875 -0xae2a178 -256 -256 -0 -0 -0 -3 -0xc0b6 -0xb31d8181 -256 -256 -1 -0 -0 -3 -0xf158 -0x7bae6dd5 -256 -256 -1 -0 -0 -4 -0x94aa -0x517e9ff9 -256 -256 -0 -0 -0 -2 -0x2abd -0x8b090b61 -256 -256 -0 -0 -0 -3 -0xcf0a -0xe4de63d5 -256 -256 -1 -0 -0 -1 -0xe900 -0x842e2900 -256 -256 -0 -0 -0 -2 -0x93f8 -0x8d7c49bb -256 -256 -0 -0 -0 -2 -0xa33a -0x3b050202 -256 -256 -1 -0 -0 -3 -0x904f -0x7985a6a6 -256 -256 -0 -0 -0 -4 -0x9831 -0xaced5b55 -256 -256 -1 -0 -0 -3 -0x67e1 -0x809d3677 -256 -256 -1 -0 -0 -1 -0x4a2e -0x4cd1d9c9 -256 -256 -1 -0 -0 -2 -0x8b7b -0x407159c4 -256 -256 -1 -0 -0 -1 -0x3aa0 -0x535f2f94 -256 -256 -0 -0 -0 -4 -0xe724 -0xccaa197b -256 -256 -0 -0 -0 -4 -0x321 -0xc600c5b1 -256 -256 -1 -0 -0 -2 -0xc9e5 -0x33d8ebd9 -256 -256 -1 -0 -0 -4 -0x58a8 -0x1860b1bd -256 -256 -0 -0 -0 -2 -0xca1c -0x64bd6c52 -256 -256 -1 -0 -0 -2 -0x329b -0x94967544 -256 -256 -1 -0 -0 -1 -0x7eef -0x3557cbcf -256 -256 -1 -0 -0 -2 -0x7475 -0x6b768ce9 -256 -256 -0 -0 -0 -3 -0x9256 -0x22c020e9 -256 -256 -0 -0 -0 -4 -0xc7f1 -0x3e462dd0 -256 -256 -0 -0 -0 -1 -0x40c0 -0x30bdf8ef -256 -256 -0 -0 -0 -4 -0x9256 -0x7f489c5f -256 -256 -1 -0 -0 -4 -0xb4cc -0x8e18103d -256 -256 -0 -0 -0 -2 -0x638b -0xa4bdf391 -256 -256 -0 -0 -0 -2 -0xcdd2 -0x6f1e4551 -256 -256 -1 -0 -0 -3 -0x13a9 -0x584cc12e -256 -256 -1 -0 -0 -4 -0x6516 -0xf30a5f7f -256 -256 -0 -0 -0 -3 -0xff90 -0x9c7ceeb1 -256 -256 -0 -0 -0 -4 -0x7649 -0x45808b31 -256 -256 -1 -0 -0 -3 -0xa934 -0xbcff5548 -256 -256 -0 -0 -0 -3 -0xb43a -0x788a0df8 -256 -256 -1 -0 -0 -3 -0x8f2 -0x320e4ff9 -256 -256 -0 -0 -0 -1 -0x36b0 -0x5a62f2a6 -256 -256 -0 -0 -0 -1 -0x7a46 -0x3328d98f -256 -256 -0 -0 -0 -3 -0x9d0c -0xdc0f7ec6 -256 -256 -0 -0 -0 -4 -0x64bc -0xe451d798 -256 -256 -1 -0 -0 -1 -0x722d -0x4b761334 -256 -256 -1 -0 -0 -3 -0xc3b4 -0xb64a68cb -256 -256 -0 -0 -0 -2 -0x9efe -0xf3a5a5eb -256 -256 -0 -0 -0 -4 -0xd238 -0x972fb1b1 -256 -256 -1 -0 -0 -4 -0x4185 -0x5d7155e -256 -256 -0 -0 -0 -1 -0x2d2 -0x9a513c9a -256 -256 -1 -0 -0 -2 -0xb4d6 -0xd3e3321d -256 -256 -1 -0 -0 -3 -0x5b1b -0x23a11336 -256 -256 -0 -0 -0 -3 -0x8576 -0xc247ffb0 -256 -256 -1 -0 -0 -3 -0x7f4c -0xf971897a -256 -256 -1 -0 -0 -2 -0xd71f -0xd8e30888 -256 -256 -0 -0 -0 -2 -0xab76 -0xae34fbc2 -256 -256 -0 -0 -0 -1 -0xfdd6 -0xb29d81fd -256 -256 -0 -0 -0 -3 -0x264d -0xd9190cda -256 -256 -0 -0 -0 -1 -0x4677 -0x1503f384 -256 -256 -0 -0 -0 -3 -0x6968 -0x8b947953 -256 -256 -0 -0 -0 -1 -0x41c9 -0x8be18f67 -256 -256 -1 -0 -0 -1 -0x885c -0x17d7a81b -256 -256 -0 -0 -0 -2 -0xf6e -0xa9c3046c -256 -256 -0 -0 -0 -1 -0x801a -0x4dd4e275 -256 -256 -0 -0 -0 -4 -0xb1c5 -0x542cc18c -256 -256 -0 -0 -0 -1 -0xdd9f -0x410281c1 -256 -256 -0 -0 -0 -3 -0xbd0f -0xaef9f573 -256 -256 -1 -0 -0 -1 -0x419c -0xc01d2d -256 -256 -0 -0 -0 -1 -0x6c52 -0x34be8c08 -256 -256 -1 -0 -0 -2 -0x5cca -0xdaef843c -256 -256 -1 -0 -0 -2 -0xf609 -0x12713d7c -256 -256 -0 -0 -0 -2 -0xc9cf -0x858cc3d9 -256 -256 -0 -0 -0 -1 -0xb420 -0xf6923c1 -256 -256 -0 -0 -0 -1 -0x22c9 -0x336294e6 -256 -256 -0 -0 -0 -3 -0x8381 -0xc6193a77 -256 -256 -0 -0 -0 -4 -0x3b38 -0xf1c9e110 -256 -256 -1 -0 -0 -4 -0x4d42 -0x13ac02fa -256 -256 -0 -0 -0 -1 -0xa6ea -0x9e6d3643 -256 -256 -0 -0 -0 -1 -0x41c5 -0xe0ebad3e -256 -256 -1 -0 -0 -4 -0x746a -0x5c8d980b -256 -256 -1 -0 -0 -2 -0x1622 -0xb92060d5 -256 -256 -1 -0 -0 -2 -0xdf02 -0xbb235a85 -256 -256 -0 -0 -0 -1 -0x922d -0x1549ed21 -256 -256 -1 -0 -0 -2 -0x584 -0xa063d62d -256 -256 -1 -0 -0 -3 -0x2b79 -0x395eac83 -256 -256 -1 -0 -0 -1 -0x41cf -0xdc2f673d -256 -256 -0 -0 -0 -4 -0xde0b -0x7f46db28 -256 -256 -0 -0 -0 -1 -0x78eb -0xb9dcfb27 -256 -256 -0 -0 -0 -2 -0xff94 -0xa7da53a2 -256 -256 -0 -0 -0 -3 -0x53bf -0x8a4fac23 -256 -256 -1 -0 -0 -3 -0xf9e3 -0xad9e097b -256 -256 -1 -0 -0 -4 -0xbd85 -0x227dfbe9 -256 -256 -1 -0 -0 -2 -0x666c -0xf40f592f -256 -256 -0 -0 -0 -1 -0x35b -0x10f563aa -256 -256 -1 -0 -0 -2 -0x7eb6 -0x88de9357 -256 -256 -1 -0 -0 -2 -0xd53e -0xf9e0d862 -256 -256 -1 -0 -0 -1 -0x621e -0xfa8bffbd -256 -256 -0 -0 -0 -4 -0xf861 -0x8d231f4f -256 -256 -1 -0 -0 -2 -0x3cc0 -0x2a3f2cd7 -256 -256 -1 -0 -0 -1 -0xeec1 -0x7fde7915 -256 -256 -1 -0 -0 -1 -0x7241 -0xd9aa5b1d -256 -256 -0 -0 -0 -3 -0x7ff8 -0xe6996c3a -256 -256 -1 -0 -0 -3 -0x87ca -0x8201444c -256 -256 -0 -0 -0 -1 -0x8523 -0xf5b2daf7 -256 -256 -1 -0 -0 -4 -0x420a -0x295dcede -256 -256 -1 -0 -0 -2 -0xf4dc -0x980422eb -256 -256 -0 -0 -0 -4 -0x5611 -0x190f5861 -256 -256 -1 -0 -0 -4 -0xd6ae -0x8b53806f -256 -256 -1 -0 -0 -4 -0x9973 -0x64011cd1 -256 -256 -1 -0 -0 -2 -0x9cd1 -0x103f8af -256 -256 -1 -0 -0 -4 -0x1335 -0xede8c7dc -256 -256 -0 -0 -0 -4 -0xfc56 -0x4bb45620 -256 -256 -0 -0 -0 -1 -0xae0 -0xfe4dcc2f -256 -256 -1 -0 -0 -2 -0x3baa -0x5bfaa0b5 -256 -256 -1 -0 -0 -2 -0xee77 -0x92f1e3b9 -256 -256 -1 -0 -0 -2 -0xa134 -0x99ef446a -256 -256 -1 -0 -0 -1 -0xf97d -0x48db6084 -256 -256 -1 -0 -0 -2 -0xbd21 -0x52418d14 -256 -256 -1 -0 -0 -2 -0xc936 -0x7c69b9a5 -256 -256 -1 -0 -0 -3 -0xb607 -0xbc727b41 -256 -256 -1 -0 -0 -1 -0x6812 -0x8bc0cfce -256 -256 -0 -0 -0 -3 -0xfd08 -0xcb6a5934 -256 -256 -0 -0 -0 -2 -0x697 -0xdc0fd895 -256 -256 -1 -0 -0 -2 -0xf86d -0xcc571ab6 -256 -256 -1 -0 -0 -4 -0x756c -0xb84d8c04 -256 -256 -0 -0 -0 -3 -0x153c -0xe7bafc03 -256 -256 -1 -0 -0 -4 -0x2815 -0xaac4ae6 -256 -256 -0 -0 -0 -4 -0xbab5 -0x4bf8ac7d -256 -256 -0 -0 -0 -2 -0x6d93 -0x9f902f5b -256 -256 -0 -0 -0 -1 -0x713e -0x1ce15df1 -256 -256 -1 -0 -0 -3 -0x1c00 -0xb44d622c -256 -256 -0 -0 -0 -1 -0xa395 -0xee983621 -256 -256 -1 -0 -0 -3 -0xd9c5 -0xd9dfceb2 -256 -256 -0 -0 -0 -2 -0x870c -0xdac7255f -256 -256 -1 -0 -0 -1 -0xabff -0xd4434861 -256 -256 -1 -0 -0 -4 -0x95a0 -0x241873fa -256 -256 -0 -0 -0 -4 -0x3ce9 -0x1d71cb54 -256 -256 -0 -0 -0 -3 -0x2319 -0x3487ee92 -256 -256 -0 -0 -0 -4 -0xc2be -0xc41b9804 -256 -256 -0 -0 -0 -4 -0x84aa -0x94789f50 -256 -256 -0 -0 -0 -3 -0x5301 -0xe2fc1a46 -256 -256 -0 -0 -0 -2 -0xf2eb -0xae912a6a -256 -256 -1 -0 -0 -2 -0x3600 -0xc9a8b3d9 -256 -256 -0 -0 -0 -4 -0x5c36 -0x1010f49e -256 -256 -0 -0 -0 -3 -0xce89 -0xfb0e9f7a -256 -256 -1 -0 -0 -4 -0xb6ac -0x3390ef22 -256 -256 -0 -0 -0 -4 -0xe06b -0x338ed7f9 -256 -256 -1 -0 -0 -3 -0x9755 -0x214ee799 -256 -256 -0 -0 -0 -3 -0x5ee -0xc62bb7c4 -256 -256 -0 -0 -0 -3 -0xe4f -0x2d3d7d83 -256 -256 -0 -0 -0 -3 -0x5149 -0xb88db1df -256 -256 -1 -0 -0 -2 -0x117b -0xe939a461 -256 -256 -1 -0 -0 -1 -0x8efc -0x722a3eb2 -256 -256 -0 -0 -0 -1 -0x5483 -0x5c5ba857 -256 -256 -0 -0 -0 -4 -0x7e61 -0x24636485 -256 -256 -1 -0 -0 -3 -0xb0c7 -0xd2158490 -256 -256 -0 -0 -0 -1 -0x6f69 -0x84ec0d0 -256 -256 -0 -0 -0 -4 -0x6b72 -0xf821063a -256 -256 -1 -0 -0 -1 -0x86a0 -0x2d53e8e6 -256 -256 -1 -0 -0 -1 -0xf8c0 -0xfe8f666 -256 -256 -0 -0 -0 -4 -0x48a4 -0x96a8b324 -256 -256 -1 -0 -0 -4 -0x1bc9 -0x9633c2cf -256 -256 -1 -0 -0 -4 -0xc0c6 -0x53b5cae4 -256 -256 -0 -0 -0 -2 -0xfcd2 -0x2e099fcc -256 -256 -0 -0 -0 -4 -0x81b2 -0x3f1bc185 -256 -256 -0 -0 -0 -1 -0xd841 -0xb86ec2a6 -256 -256 -0 -0 -0 -4 -0xc23a -0xcb40ba59 -256 -256 -1 -0 -0 -1 -0xbaab -0xb0485d86 -256 -256 -0 -0 -0 -1 -0x8a6d -0x48dce7a1 -256 -256 -0 -0 -0 -1 -0x96e9 -0x880a09e4 -256 -256 -1 -0 -0 -3 -0x8098 -0x95ab14f3 -256 -256 -0 -0 -0 -2 -0x1d7a -0x572092f5 -256 -256 -0 -0 -0 -4 -0xda6f -0xab21678f -256 -256 -0 -0 -0 -4 -0x442 -0xdcb8c010 -256 -256 -0 -0 -0 -3 -0x4a2e -0x24269b66 -256 -256 -0 -0 -0 -4 -0x39a1 -0x93fa8989 -256 -256 -1 -0 -0 -2 -0x1051 -0x549dbd3e -256 -256 -0 -0 -0 -4 -0xd6c6 -0x8050192a -256 -256 -1 -0 -0 -2 -0xc174 -0xee78698c -256 -256 -0 -0 -0 -1 -0x6d32 -0xfcb18ee5 -256 -256 -0 -0 -0 -4 -0x4156 -0x61fb2799 -256 -256 -0 -0 -0 -4 -0x2271 -0x89296 -256 -256 -0 -0 -0 -1 -0xd48d -0x6dce46a8 -256 -256 -0 -0 -0 -3 -0x48d7 -0x9e4f2e48 -256 -256 -1 -0 -0 -3 -0xc302 -0x7eaa39c1 -256 -256 -0 -0 -0 -2 -0xf067 -0x6fe372b6 -256 -256 -1 -0 -0 -2 -0xa1a1 -0x4449d1fc -256 -256 -0 -0 -0 -3 -0x6855 -0x321c0531 -256 -256 -1 -0 -0 -2 -0xcc31 -0xb85b9a00 -256 -256 -1 -0 -0 -1 -0x21be -0x963ebfc8 -256 -256 -1 -0 -0 -2 -0x5c12 -0xe8214b3e -256 -256 -1 -0 -0 -2 -0x696 -0xc065b06e -256 -256 -0 -0 -0 -3 -0x726f -0xee6965cb -256 -256 -0 -0 -0 -4 -0x944a -0x86ee1736 -256 -256 -0 -0 -0 -3 -0x317e -0xbe38b17f -256 -256 -1 -0 -0 -2 -0xf171 -0x5b00f0f7 -256 -256 -0 -0 -0 -4 -0xb0e6 -0x5fee9c87 -256 -256 -0 -0 -0 -4 -0xcc97 -0xbb05198d -256 -256 -1 -0 -0 -4 -0xd2e4 -0x2e8ba32d -256 -256 -1 -0 -0 -2 -0x825b -0x9c97259b -256 -256 -1 -0 -0 -2 -0x4961 -0x3f510829 -256 -256 -0 -0 -0 -2 -0x7d9d -0x72fec2e9 -256 -256 -1 -0 -0 -2 -0x9c7c -0x6efde965 -256 -256 -0 -0 -0 -3 -0xca61 -0x2d381a7b -256 -256 -0 -0 -0 -2 -0x353f -0xfce1cdec -256 -256 -0 -0 -0 -2 -0xd49c -0x8051afd8 -256 -256 -0 -0 -0 -4 -0x5d62 -0x3e233fbf -256 -256 -1 -0 -0 -1 -0xf620 -0xbaeb1b83 -256 -256 -0 -0 -0 -2 -0x58a4 -0x7d074900 -256 -256 -1 -0 -0 -1 -0x30df -0xe37050d3 -256 -256 -0 -0 -0 -3 -0x54c8 -0x5b214087 -256 -256 -0 -0 -0 -2 -0xd6c8 -0x57e74f1b -256 -256 -1 -0 -0 -2 -0x1ee1 -0xab0b37af -256 -256 -0 -0 -0 -3 -0xaa -0x6b28dac5 -256 -256 -1 -0 -0 -2 -0xbf77 -0x461b92dd -256 -256 -0 -0 -0 -4 -0x1d32 -0xef4d0707 -256 -256 -1 -0 -0 -1 -0x589f -0xeeb9f436 -256 -256 -1 -0 -0 -4 -0xbeb8 -0x9b18cf64 -256 -256 -1 -0 -0 -1 -0x63c2 -0xffe664d -256 -256 -1 -0 -0 -2 -0x9b0e -0xa54d3b35 -256 -256 -1 -0 -0 -1 -0xc162 -0xb0be65f7 -256 -256 -1 -0 -0 -4 -0x4a82 -0xc9a6cc34 -256 -256 -0 -0 -0 -3 -0xaac5 -0x76c1b7a0 -256 -256 -0 -0 -0 -2 -0x1e01 -0xe4ca3cf2 -256 -256 -0 -0 -0 -3 -0x2b81 -0xae663330 -256 -256 -0 -0 -0 -3 -0xfe23 -0x643c52e9 -256 -256 -1 -0 -0 -1 -0x1904 -0x4cbfefde -256 -256 -1 -0 -0 -2 -0xfb85 -0x619cab64 -256 -256 -1 -0 -0 -4 -0x85b5 -0xe865980c -256 -256 -0 -0 -0 -2 -0xaa8 -0xf8cbb00e -256 -256 -1 -0 -0 -4 -0x2798 -0xeda81e4b -256 -256 -1 -0 -0 -1 -0x85f8 -0x26b97711 -256 -256 -0 -0 -0 -4 -0xf4b4 -0x297ca6e9 -256 -256 -1 -0 -0 -2 -0x1e84 -0xf513bc26 -256 -256 -0 -0 -0 -3 -0x36dc -0xb8a68219 -256 -256 -1 -0 -0 -1 -0x506e -0x322b9323 -256 -256 -1 -0 -0 -1 -0xf5a5 -0x3eb7c10d -256 -256 -1 -0 -0 -2 -0x7816 -0x7de928eb -256 -256 -0 -0 -0 -2 -0xb2fe -0xeb778cb4 -256 -256 -0 -0 -0 -1 -0x57c5 -0x7ce4dd8 -256 -256 -0 -0 -0 -2 -0xad5 -0xff0ad20e -256 -256 -1 -0 -0 -2 -0x4623 -0x827a8c57 -256 -256 -1 -0 -0 -3 -0x6086 -0xfc5c23ea -256 -256 -0 -0 -0 -3 -0x687c -0x3cbf1ca -256 -256 -1 -0 -0 -4 -0x1ae6 -0x815891a6 -256 -256 -0 -0 -0 -1 -0x488 -0xc24d8295 -256 -256 -0 -0 -0 -2 -0xfe29 -0x7bf50638 -256 -256 -0 -0 -0 -4 -0xda9d -0x9f471d3c -256 -256 -1 -0 -0 -3 -0x586e -0x58a5417e -256 -256 -0 -0 -0 -1 -0x9856 -0xb86e893b -256 -256 -0 -0 -0 -2 -0x3221 -0x3ac2bb84 -256 -256 -1 -0 -0 -2 -0xca92 -0x8624e5cc -256 -256 -0 -0 -0 -1 -0x6616 -0x1d9bbdf4 -256 -256 -1 -0 -0 -2 -0xc4cc -0x88cfeb6 -256 -256 -0 -0 -0 -3 -0xcfd1 -0x8951ef1e -256 -256 -1 -0 -0 -3 -0xbf93 -0x17a03532 -256 -256 -0 -0 -0 -3 -0xff79 -0x916cae2 -256 -256 -0 -0 -0 -1 -0xbec3 -0x51b0bfa1 -256 -256 -1 -0 -0 -1 -0x6eec -0x91c41612 -256 -256 -1 -0 -0 -4 -0x4a5c -0x87566d7c -256 -256 -0 -0 -0 -1 -0x96b1 -0x55a8965a -256 -256 -1 -0 -0 -1 -0x7cec -0x9354ed14 -256 -256 -0 -0 -0 -2 -0x9cbb -0x3f1d5e9f -256 -256 -0 -0 -0 -3 -0xb796 -0xd9be97da -256 -256 -0 -0 -0 -3 -0xf6d6 -0x823b5de1 -256 -256 -0 -0 -0 -2 -0x2957 -0x3020d6a -256 -256 -0 -0 -0 -3 -0x29ea -0x6a097920 -256 -256 -0 -0 -0 -3 -0x2acc -0x59a5ef01 -256 -256 -0 -0 -0 -2 -0xebaa -0xb014ea18 -256 -256 -0 -0 -0 -3 -0x6f59 -0x31e578a1 -256 -256 -1 -0 -0 -3 -0xffc3 -0x1966fae3 -256 -256 -1 -0 -0 -2 -0xfa64 -0xd95bef61 -256 -256 -1 -0 -0 -2 -0xe2f6 -0x6c6fd806 -256 -256 -1 -0 -0 -1 -0xda07 -0x349be1a8 -256 -256 -0 -0 -0 -3 -0x7917 -0xe2dc261f -256 -256 -1 -0 -0 -4 -0x2c5d -0xca0eaa95 -256 -256 -0 -0 -0 -1 -0xd768 -0x1f60f1f2 -256 -256 -1 -0 -0 -2 -0x3613 -0xf57eb297 -256 -256 -0 -0 -0 -3 -0xa720 -0x1f91b4f4 -256 -256 -0 -0 -0 -4 -0x1e3d -0xddc170d3 -256 -256 -0 -0 -0 -2 -0xc365 -0x637b6784 -256 -256 -1 -0 -0 -1 -0x648d -0x3764df8b -256 -256 -0 -0 -0 -1 -0xc8e4 -0x3f650d84 -256 -256 -1 -0 -0 -3 -0x983 -0x7744caf4 -256 -256 -1 -0 -0 -4 -0x9224 -0x31757d1a -256 -256 -1 -0 -0 -4 -0xcd28 -0x13ed3037 -256 -256 -1 -0 -0 -4 -0xd5d7 -0x89dd7c3a -256 -256 -0 -0 -0 -4 -0x69a2 -0xbde11136 -256 -256 -1 -0 -0 -1 -0x384a -0x6aa900cd -256 -256 -1 -0 -0 -4 -0x7203 -0xa8bf8a -256 -256 -0 -0 -0 -3 -0xa9b8 -0x6720eb4f -256 -256 -0 -0 -0 -2 -0xcf51 -0x1aa99f00 -256 -256 -1 -0 -0 -2 -0xe02 -0x267bcdec -256 -256 -1 -0 -0 -2 -0x3b60 -0xa2e2bc3d -256 -256 -0 -0 -0 -4 -0x7610 -0x6bf20656 -256 -256 -1 -0 -0 -3 -0xaa50 -0xa52d1cbc -256 -256 -0 -0 -0 -1 -0x3847 -0x34b2c3d3 -256 -256 -1 -0 -0 -4 -0x36f9 -0x5b3e10a4 -256 -256 -1 -0 -0 -1 -0xbe75 -0x4221e757 -256 -256 -1 -0 -0 -2 -0xf704 -0x810a4788 -256 -256 -1 -0 -0 -4 -0xd82e -0xd3e923fd -256 -256 -0 -0 -0 -4 -0x8b8b -0xcf85563 -256 -256 -1 -0 -0 -4 -0x7d1b -0x4cd7053d -256 -256 -0 -0 -0 -3 -0xee70 -0xb9d966aa -256 -256 -0 -0 -0 -1 -0x74d2 -0xb5dc0b64 -256 -256 -1 -0 -0 -3 -0x6e1 -0x760656f3 -256 -256 -0 -0 -0 -1 -0xf316 -0x9d2aac2e -256 -256 -0 -0 -0 -1 -0x7c7b -0x333abdf6 -256 -256 -0 -0 -0 -2 -0xfa74 -0xf072cdfc -256 -256 -0 -0 -0 -3 -0x8d3d -0x8a81bc52 -256 -256 -1 -0 -0 -1 -0xef0e -0xd2e4ad57 -256 -256 -1 -0 -0 -4 -0x52 -0x77b98cc1 -256 -256 -0 -0 -0 -3 -0x7bec -0xb5446ff4 -256 -256 -1 -0 -0 -2 -0x29f8 -0x574ad5c6 -256 -256 -0 -0 -0 -1 -0xdeca -0x166fdbb9 -256 -256 -1 -0 -0 -2 -0x4 -0x9ac8444e -256 -256 -0 -0 -0 -2 -0x26ec -0xc12d4571 -256 -256 -0 -0 -0 -2 -0xbfa3 -0x36932d4f -256 -256 -0 -0 -0 -2 -0xef3a -0x8b8e3e9f -256 -256 -0 -0 -0 -2 -0x6291 -0x12669735 -256 -256 -1 -0 -0 -1 -0xf256 -0x45b29de9 -256 -256 -0 -0 -0 -2 -0xc722 -0x24257336 -256 -256 -1 -0 -0 -3 -0xd99c -0xead72e57 -256 -256 -0 -0 -0 -4 -0xef3a -0x2a5a562a -256 -256 -0 -0 -0 -2 -0x4e2b -0x63daacb7 -256 -256 -0 -0 -0 -1 -0xbea8 -0xedbce47b -256 -256 -0 -0 -0 -3 -0x41f0 -0x3afe5101 -256 -256 -1 -0 -0 -4 -0xe775 -0xb7ee21c6 -256 -256 -0 -0 -0 -4 -0x9f65 -0xb22da413 -256 -256 -1 -0 -0 -4 -0x31f0 -0x9d969760 -256 -256 -1 -0 -0 -3 -0x3882 -0x7160ca21 -256 -256 -0 -0 -0 -4 -0xec14 -0xd793254f -256 -256 -1 -0 -0 -1 -0xdba -0x26401d78 -256 -256 -1 -0 -0 -4 -0x4d7c -0x667d45f5 -256 -256 -1 -0 -0 -2 -0xe385 -0x6df409c2 -256 -256 -0 -0 -0 -1 -0xd025 -0x9b680bfc -256 -256 -1 -0 -0 -1 -0x416c -0xbb8163b9 -256 -256 -1 -0 -0 -1 -0x3c89 -0x6d77d6ca -256 -256 -1 -0 -0 -3 -0x9794 -0xe62b5790 -256 -256 -0 -0 -0 -2 -0x3437 -0xf5367c7a -256 -256 -0 -0 -0 -1 -0xbf06 -0xf4fa80ac -256 -256 -1 -0 -0 -3 -0xd3eb -0xb238a261 -256 -256 -1 -0 -0 -1 -0x9b21 -0x439c6ed -256 -256 -1 -0 -0 -4 -0x410e -0x307b7710 -256 -256 -1 -0 -0 -4 -0x434e -0x23c86d0f -256 -256 -0 -0 -0 -3 -0xe430 -0xebe1e869 -256 -256 -1 -0 -0 -3 -0xeec9 -0x45d888d5 -256 -256 -1 -0 -0 -4 -0xbe7 -0xa4fa5a25 -256 -256 -0 -0 -0 -3 -0x4cc4 -0x7eba6a62 -256 -256 -0 -0 -0 -4 -0x4e5b -0xa2b5d642 -256 -256 -1 -0 -0 -4 -0x270f -0x828cca08 -256 -256 -1 -0 -0 -3 -0xbaf6 -0xa83b620d -256 -256 -1 -0 -0 -3 -0x5548 -0x8d38f8a -256 -256 -1 -0 -0 -4 -0xa468 -0x4ff5b16f -256 -256 -1 -0 -0 -1 -0x9837 -0x94d59275 -256 -256 -1 -0 -0 -3 -0x4c40 -0xe41f6a07 -256 -256 -0 -0 -0 -3 -0x8365 -0xbb84f1e4 -256 -256 -0 -0 -0 -3 -0x2c8c -0xbfebd4e1 -256 -256 -0 -0 -0 -2 -0x849f -0x72b8ce5c -256 -256 -1 -0 -0 -2 -0xfbe9 -0x4cb6f345 -256 -256 -0 -0 -0 -4 -0x87a4 -0x673372d -256 -256 -0 -0 -0 -1 -0x87a9 -0x717f1d0f -256 -256 -1 -0 -0 -2 -0x788a -0xceaa3333 -256 -256 -0 -0 -0 -2 -0x436e -0xa1a283df -256 -256 -1 -0 -0 -1 -0x24ea -0x9a5b37c8 -256 -256 -0 -0 -0 -4 -0x19d5 -0xff3f60be -256 -256 -1 -0 -0 -3 -0x7cbd -0x8f68d0ba -256 -256 -0 -0 -0 -2 -0xcf94 -0xb4d40e5 -256 -256 -0 -0 -0 -4 -0x7338 -0x83fa001c -256 -256 -0 -0 -0 -2 -0x132b -0x2c47ac19 -256 -256 -0 -0 -0 -2 -0xf160 -0x518a3c9 -256 -256 -0 -0 -0 -2 -0x6de1 -0x93b42a2b -256 -256 -0 -0 -0 -2 -0x6f42 -0x1fe7ac94 -256 -256 -0 -0 -0 -4 -0x7ed1 -0x919c522f -256 -256 -1 -0 -0 -1 -0xc3e -0x9c75077e -256 -256 -0 -0 -0 -3 -0x8802 -0xc25887be -256 -256 -0 -0 -0 -2 -0x29ee -0x2fe8e14a -256 -256 -1 -0 -0 -3 -0x8987 -0x6a48f39d -256 -256 -1 -0 -0 -4 -0x4d36 -0x9ce667ab -256 -256 -0 -0 -0 -2 -0xb32a -0xa4243410 -256 -256 -1 -0 -0 -1 -0xfd7c -0xa7c431d4 -256 -256 -0 -0 -0 -1 -0xf4d4 -0xd2e5bdba -256 -256 -1 -0 -0 -2 -0xdcc7 -0x5c9400e3 -256 -256 -1 -0 -0 -1 -0x6e08 -0xb7f35ec0 -256 -256 -0 -0 -0 -3 -0xd2a7 -0x958a40bb -256 -256 -1 -0 -0 -1 -0xf287 -0xb700820 -256 -256 -0 -0 -0 -3 -0xa697 -0x4cdca2c7 -256 -256 -1 -0 -0 -2 -0x4123 -0x3e09e91f -256 -256 -1 -0 -0 -3 -0xec0b -0x4a8f7fe8 -256 -256 -0 -0 -0 -4 -0x6e03 -0xc36704c -256 -256 -1 -0 -0 -2 -0xc046 -0xc380e633 -256 -256 -1 -0 -0 -2 -0x3aad -0xf44f4e02 -256 -256 -0 -0 -0 -1 -0x8a5 -0xd46c840c -256 -256 -0 -0 -0 -2 -0xdd4e -0x26965461 -256 -256 -0 -0 -0 -1 -0x6c09 -0xec2e0244 -256 -256 -1 -0 -0 -4 -0x39a1 -0xf8a831c7 -256 -256 -1 -0 -0 -1 -0x5cce -0xdd64a8fc -256 -256 -0 -0 -0 -4 -0xdf26 -0xce238ed1 -256 -256 -0 -0 -0 -4 -0x3c02 -0x7b952c92 -256 -256 -1 -0 -0 -1 -0x214d -0xde231107 -256 -256 -0 -0 -0 -4 -0xc819 -0x6befab36 -256 -256 -0 -0 -0 -1 -0x5bf1 -0x9c19107 -256 -256 -1 -0 -0 -2 -0x4ad2 -0x894b69bc -256 -256 -1 -0 -0 -2 -0x7737 -0xa1f53b1e -256 -256 -0 -0 -0 -1 -0x865f -0xa54db86d -256 -256 -1 -0 -0 -2 -0x5c30 -0xc1e7973d -256 -256 -0 -0 -0 -3 -0x1f37 -0xed3ea004 -256 -256 -1 -0 -0 -1 -0x423f -0x454f6478 -256 -256 -1 -0 -0 -4 -0x8df2 -0x72e14ad1 -256 -256 -1 -0 -0 -4 -0x8a6e -0xd26786f1 -256 -256 -0 -0 -0 -2 -0x2102 -0x43eccdf0 -256 -256 -0 -0 -0 -1 -0x97c3 -0x28b521fb -256 -256 -0 -0 -0 -1 -0x6154 -0xab7c1da1 -256 -256 -1 -0 -0 -1 -0xfa5f -0xd5f3cfef -256 -256 -0 -0 -0 -1 -0x8ece -0x18fca4ce -256 -256 -0 -0 -0 -3 -0x6076 -0xbcabeb45 -256 -256 -1 -0 -0 -3 -0x67bb -0xe22472f6 -256 -256 -0 -0 -0 -2 -0x7c87 -0xc24dd06b -256 -256 -1 -0 -0 -1 -0x7bf1 -0xc3f4b1fe -256 -256 -0 -0 -0 -1 -0xa508 -0xcad4197a -256 -256 -0 -0 -0 -1 -0x4293 -0xd1b69926 -256 -256 -0 -0 -0 -4 -0xace0 -0x87c685c7 -256 -256 -1 -0 -0 -1 -0xe7a6 -0x39c7cdb -256 -256 -1 -0 -0 -4 -0xccd5 -0xd3d1a506 -256 -256 -1 -0 -0 -3 -0x2255 -0xef7f25ee -256 -256 -0 -0 -0 -1 -0x4b00 -0xfb74b70a -256 -256 -1 -0 -0 -2 -0xa31a -0xa2c47af2 -256 -256 -1 -0 -0 -1 -0x6a3f -0xc53f802b -256 -256 -0 -0 -0 -2 -0x31b5 -0x6b8395d0 -256 -256 -1 -0 -0 -1 -0x8bf3 -0xb45c6525 -256 -256 -1 -0 -0 -4 -0xc05b -0x3f744ab6 -256 -256 -1 -0 -0 -4 -0xa256 -0x5b468db1 -256 -256 -0 -0 -0 -3 -0x8a6 -0xd2fb4b63 -256 -256 -0 -0 -0 -1 -0x492f -0xcfe2bb0e -256 -256 -1 -0 -0 -2 -0x376 -0x749ae25e -256 -256 -0 -0 -0 -1 -0x9adb -0x1e5d9155 -256 -256 -0 -0 -0 -2 -0xa871 -0x8614b323 -256 -256 -0 -0 -0 -3 -0x2ec -0x4e4e57df -256 -256 -0 -0 -0 -1 -0x9a88 -0xb6a9849f -256 -256 -0 -0 -0 -4 -0x510d -0x61ed02f2 -256 -256 -0 -0 -0 -3 -0xd500 -0x8cc80dd6 -256 -256 -1 -0 -0 -3 -0x29de -0x86ef9217 -256 -256 -1 -0 -0 -4 -0xe65b -0x93a416d6 -256 -256 -0 -0 -0 -3 -0xbbd3 -0x5ee78545 -256 -256 -1 -0 -0 -1 -0xe331 -0x1607d23b -256 -256 -0 -0 -0 -1 -0x4ffb -0xe667d477 -256 -256 -1 -0 -0 -2 -0x911c -0x1915be6c -256 -256 -0 -0 -0 -2 -0xd3d7 -0xd7c6c3d5 -256 -256 -1 -0 -0 -1 -0x52e8 -0x478da60a -256 -256 -0 -0 -0 -3 -0xfe21 -0xfbc6f812 -256 -256 -0 -0 -0 -2 -0x8b1b -0x9d2bfd1d -256 -256 -0 -0 -0 -4 -0xf103 -0x8c2068a4 -256 -256 -1 -0 -0 -2 -0x18ae -0xee720973 -256 -256 -1 -0 -0 -1 -0x7638 -0x340c6774 -256 -256 -1 -0 -0 -2 -0x4712 -0x4ccb44ab -256 -256 -0 -0 -0 -1 -0x7461 -0x63607976 -256 -256 -0 -0 -0 -4 -0xaf46 -0xb8541c54 -256 -256 -0 -0 -0 -3 -0xc594 -0xa4557fba -256 -256 -0 -0 -0 -2 -0xf022 -0x1c3d168a -256 -256 -0 -0 -0 -2 -0xdafd -0x314d8bea -256 -256 -0 -0 -0 -1 -0x17a7 -0x6e0110c -256 -256 -0 -0 -0 -3 -0x417c -0xed9b33a9 -256 -256 -1 -0 -0 -4 -0x3ac2 -0xef8a73d1 -256 -256 -1 -0 -0 -1 -0xbf81 -0x6caf3f38 -256 -256 -1 -0 -0 -2 -0x7a5a -0xb4a434e9 -256 -256 -1 -0 -0 -3 -0xc694 -0x8964fff8 -256 -256 -1 -0 -0 -3 -0xc7c1 -0x70b931ef -256 -256 -1 -0 -0 -1 -0x73f4 -0x64e2463b -256 -256 -1 -0 -0 -2 -0x3788 -0xe28f8e6 -256 -256 -0 -0 -0 -4 -0x14c9 -0x64d98bd3 -256 -256 -1 -0 -0 -4 -0xfab7 -0x12b9eaa5 -256 -256 -0 -0 -0 -4 -0x2190 -0x98c83722 -256 -256 -1 -0 -0 -3 -0xb9ad -0xa163264a -256 -256 -1 -0 -0 -2 -0x86b4 -0x11f7e2ef -256 -256 -1 -0 -0 -4 -0x3cd2 -0xfad2781d -256 -256 -1 -0 -0 -4 -0xdcb7 -0x4b295630 -256 -256 -1 -0 -0 -1 -0x564c -0x7aa3ad6d -256 -256 -0 -0 -0 -4 -0x765a -0x6f80aa4e -256 -256 -0 -0 -0 -4 -0xcea7 -0xdaec8d2e -256 -256 -0 -0 -0 -2 -0x26bf -0x2f07c202 -256 -256 -0 -0 -0 -4 -0x6a -0xe458a69 -256 -256 -1 -0 -0 -2 -0xd101 -0xbd4afcdb -256 -256 -0 -0 -0 -1 -0xbed6 -0xd91e5d8 -256 -256 -1 -0 -0 -1 -0xbb31 -0xf3d00c68 -256 -256 -0 -0 -0 -1 -0x2d65 -0x57061068 -256 -256 -1 -0 -0 -4 -0x2fbc -0x84d91721 -256 -256 -0 -0 -0 -2 -0x51a3 -0x80f278d6 -256 -256 -1 -0 -0 -2 -0xf821 -0x351a0f43 -256 -256 -0 -0 -0 -2 -0x7aa -0xa1ada46a -256 -256 -1 -0 -0 -3 -0xa52d -0x4dfac356 -256 -256 -0 -0 -0 -3 -0x136d -0xd927c236 -256 -256 -0 -0 -0 -1 -0x9a6c -0x1dd11dbe -256 -256 -1 -0 -0 -1 -0x2b28 -0xa76a619f -256 -256 -0 -0 -0 -3 -0x75ea -0x4236ae6 -256 -256 -1 -0 -0 -1 -0x5c3a -0x6a1d72b3 -256 -256 -1 -0 -0 -3 -0x21b8 -0x4973e92c -256 -256 -0 -0 -0 -2 -0xeaa -0x45d1553a -256 -256 -1 -0 -0 -4 -0x2c1d -0x97caf761 -256 -256 -0 -0 -0 -4 -0x8d68 -0xabce5948 -256 -256 -1 -0 -0 -1 -0x2186 -0x6e046174 -256 -256 -0 -0 -0 -3 -0x5398 -0x8ea4104 -256 -256 -1 -0 -0 -4 -0xfda3 -0x120edb77 -256 -256 -1 -0 -0 -4 -0x4fac -0x621d2606 -256 -256 -0 -0 -0 -1 -0xa4e9 -0xdba61bbb -256 -256 -1 -0 -0 -1 -0x2f56 -0x28b14581 -256 -256 -0 -0 -0 -4 -0xb4ec -0xaa6d194c -256 -256 -1 -0 -0 -4 -0x42da -0xc893f07b -256 -256 -1 -0 -0 -3 -0x3180 -0xbfa013a6 -256 -256 -1 -0 -0 -1 -0xad9 -0x2ede6a0b -256 -256 -0 -0 -0 -3 -0x1bed -0x9dc6ca3d -256 -256 -1 -0 -0 -1 -0x8d03 -0xd39c72c1 -256 -256 -0 -0 -0 -1 -0xa027 -0x54b95334 -256 -256 -1 -0 -0 -4 -0xbe6c -0x33e1a974 -256 -256 -1 -0 -0 -3 -0x905b -0x8b64e16a -256 -256 -0 -0 -0 -4 -0x5da2 -0x751c95e1 -256 -256 -0 -0 -0 -1 -0xa4c5 -0xf0bfc20e -256 -256 -0 -0 -0 -3 -0xa9a1 -0xb12dd4e0 -256 -256 -0 -0 -0 -1 -0x35f0 -0x33e488f3 -256 -256 -1 -0 -0 -2 -0xf002 -0x336b296a -256 -256 -0 -0 -0 -1 -0x3b01 -0x5a58fbf6 -256 -256 -1 -0 -0 -3 -0x1c7 -0x3a5930cf -256 -256 -1 -0 -0 -1 -0xcf93 -0xfcbe8f9 -256 -256 -0 -0 -0 -1 -0x493 -0x9d1db43f -256 -256 -0 -0 -0 -3 -0xad49 -0xc4e6bcae -256 -256 -1 -0 -0 -4 -0x6c62 -0x7832f7e3 -256 -256 -0 -0 -0 -1 -0xe776 -0x1f34f55d -256 -256 -0 -0 -0 -3 -0xedbf -0x991120a8 -256 -256 -0 -0 -0 -1 -0x69d7 -0x8af1fde4 -256 -256 -0 -0 -0 -1 -0x70bf -0x3cab63a0 -256 -256 -1 -0 -0 -3 -0xd0fb -0x1c060e29 -256 -256 -0 -0 -0 -4 -0x51c2 -0xd2f3131d -256 -256 -0 -0 -0 -1 -0xd237 -0xa500fbb2 -256 -256 -0 -0 -0 -4 -0x99ce -0x8221847e -256 -256 -1 -0 -0 -4 -0xa4fe -0x8d8fbf1 -256 -256 -1 -0 -0 -3 -0xeb69 -0xf3973ae -256 -256 -0 -0 -0 -2 -0x7271 -0xcb3bdbc -256 -256 -1 -0 -0 -2 -0x12b7 -0xe5880eb1 -256 -256 -1 -0 -0 -2 -0x866d -0xe58d73cc -256 -256 -0 -0 -0 -4 -0x7e3b -0x6b32c3a -256 -256 -0 -0 -0 -1 -0x4f31 -0x51266416 -256 -256 -0 -0 -0 -1 -0x6307 -0x5cf28f60 -256 -256 -1 -0 -0 -1 -0x52b9 -0xa2587a98 -256 -256 -1 -0 -0 -1 -0xacec -0xff50a825 -256 -256 -0 -0 -0 -1 -0xad7e -0xdd9a918f -256 -256 -0 -0 -0 -3 -0x57a9 -0x9db649ce -256 -256 -0 -0 -0 -3 -0x58a2 -0x9fff2b8e -256 -256 -0 -0 -0 -4 -0xee37 -0x481d7338 -256 -256 -0 -0 -0 -3 -0xc25a -0x1e32c4a9 -256 -256 -1 -0 -0 -1 -0xd980 -0x8c30a6d1 -256 -256 -1 -0 -0 -3 -0x603f -0x99d045aa -256 -256 -0 -0 -0 -2 -0x8e63 -0x75f6d98d -256 -256 -0 -0 -0 -4 -0x88a -0x4de1e650 -256 -256 -0 -0 -0 -2 -0x10ca -0x19759aa8 -256 -256 -0 -0 -0 -2 -0x603f -0x74b66dfc -256 -256 -0 -0 -0 -2 -0xa5a5 -0xda179ddc -256 -256 -0 -0 -0 -1 -0xf3d1 -0x88a0f924 -256 -256 -0 -0 -0 -4 -0x76e -0xa2621792 -256 -256 -1 -0 -0 -2 -0x26eb -0xb9b8f2e1 -256 -256 -0 -0 -0 -3 -0xbf5d -0xa8176f23 -256 -256 -1 -0 -0 -1 -0x577f -0x9fd7b90e -256 -256 -0 -0 -0 -4 -0x29f2 -0x54ad0e1f -256 -256 -1 -0 -0 -1 -0xfa33 -0x47b7d81c -256 -256 -1 -0 -0 -1 -0xda04 -0xb244b672 -256 -256 -1 -0 -0 -4 -0xee40 -0xfd2003bd -256 -256 -1 -0 -0 -4 -0x990d -0x87679dbf -256 -256 -1 -0 -0 -4 -0x5c77 -0x80fa0f03 -256 -256 -1 -0 -0 -2 -0x3672 -0xe5835ac3 -256 -256 -1 -0 -0 -2 -0x3caa -0xb8f2d5a8 -256 -256 -1 -0 -0 -1 -0x50e8 -0xda76af74 -256 -256 -0 -0 -0 -3 -0x38de -0xbb3a88af -256 -256 -0 -0 -0 -1 -0x7297 -0x763dbce3 -256 -256 -1 -0 -0 -4 -0x2496 -0x914832e9 -256 -256 -1 -0 -0 -1 -0x40fd -0x3392163 -256 -256 -1 -0 -0 -3 -0x3075 -0xc912788e -256 -256 -0 -0 -0 -2 -0xe9f4 -0x18ad2305 -256 -256 -0 -0 -0 -2 -0x11dc -0xa44ee16a -256 -256 -0 -0 -0 -2 -0x989b -0x3187d17a -256 -256 -1 -0 -0 -4 -0xd280 -0x66472939 -256 -256 -1 -0 -0 -2 -0x32f5 -0x7656c8e8 -256 -256 -0 -0 -0 -4 -0xebf0 -0x99cb009f -256 -256 -0 -0 -0 -3 -0x66b5 -0xb8165efc -256 -256 -0 -0 -0 -2 -0xf360 -0xab559b56 -256 -256 -0 -0 -0 -3 -0x479b -0x45213124 -256 -256 -0 -0 -0 -2 -0x45b4 -0x19c50eac -256 -256 -0 -0 -0 -1 -0x90cd -0x47ea2c18 -256 -256 -0 -0 -0 -3 -0xe11e -0xcab07709 -256 -256 -1 -0 -0 -1 -0xabc0 -0xdf8d4cd9 -256 -256 -1 -0 -0 -2 -0x7ad5 -0x8bded8d8 -256 -256 -1 -0 -0 -4 -0x2271 -0x930665ac -256 -256 -0 -0 -0 -3 -0xb5dc -0xcbe95e2d -256 -256 -1 -0 -0 -1 -0x1694 -0xb689865 -256 -256 -1 -0 -0 -3 -0x1739 -0x36ffac76 -256 -256 -1 -0 -0 -4 -0xf4a3 -0xc48f2d54 -256 -256 -0 -0 -0 -1 -0x63e0 -0x9cf95114 -256 -256 -0 -0 -0 -1 -0xe537 -0x9cb04b28 -256 -256 -0 -0 -0 -4 -0x97c8 -0x89a3adf7 -256 -256 -1 -0 -0 -4 -0xc10f -0xf4e5f90 -256 -256 -1 -0 -0 -2 -0x3496 -0xf658a399 -256 -256 -0 -0 -0 -2 -0xab4d -0x69d1fc82 -256 -256 -0 -0 -0 -4 -0x3606 -0xf8087bd4 -256 -256 -0 -0 -0 -2 -0x8d4d -0x88e25b5 -256 -256 -0 -0 -0 -1 -0x2805 -0xe64d9764 -256 -256 -0 -0 -0 -3 -0xe9cb -0xf9b25839 -256 -256 -0 -0 -0 -3 -0x2a91 -0xc14b75a5 -256 -256 -0 -0 -0 -4 -0xcb09 -0x8f0afbcd -256 -256 -1 -0 -0 -1 -0x87fa -0x6e4bc407 -256 -256 -0 -0 -0 -2 -0xc39d -0x5210a7cd -256 -256 -0 -0 -0 -3 -0x5ba9 -0xe54613bb -256 -256 -0 -0 -0 -4 -0xd53c -0x152c4c59 -256 -256 -1 -0 -0 -1 -0x7e8c -0xd7539ea -256 -256 -1 -0 -0 -2 -0xb4db -0xbc28de09 -256 -256 -1 -0 -0 -4 -0xc25b -0xef4d9355 -256 -256 -0 -0 -0 -3 -0x4831 -0xdc497248 -256 -256 -0 -0 -0 -2 -0x1e70 -0xa05cf0bd -256 -256 -1 -0 -0 -1 -0x6e3e -0xf0d6d401 -256 -256 -0 -0 -0 -2 -0x90d7 -0xec0a94cf -256 -256 -1 -0 -0 -1 -0xc38a -0x3effa8cf -256 -256 -1 -0 -0 -2 -0xe593 -0x353e8d75 -256 -256 -0 -0 -0 -3 -0xf09c -0x5aac8d82 -256 -256 -0 -0 -0 -2 -0xcae0 -0x39f2c63f -256 -256 -1 -0 -0 -1 -0xd36a -0x78bae51e -256 -256 -1 -0 -0 -3 -0xb712 -0x5de49862 -256 -256 -0 -0 -0 -1 -0xb168 -0x6afda226 -256 -256 -0 -0 -0 -2 -0x8314 -0x8e0d9adf -256 -256 -0 -0 -0 -4 -0xb2ed -0xae3ba055 -256 -256 -0 -0 -0 -3 -0x488 -0xe6aa0c33 -256 -256 -0 -0 -0 -3 -0xf2f4 -0x3792d288 -256 -256 -1 -0 -0 -1 -0x46e -0x352d84dc -256 -256 -1 -0 -0 -2 -0xc212 -0x9f261845 -256 -256 -0 -0 -0 -1 -0xabd4 -0xa8f1b479 -256 -256 -1 -0 -0 -2 -0xaed9 -0x4690fc2 -256 -256 -0 -0 -0 -1 -0x4c6e -0x5112d975 -256 -256 -1 -0 -0 -1 -0x6ab9 -0xd189b434 -256 -256 -0 -0 -0 -1 -0x9caf -0x26f223ba -256 -256 -0 -0 -0 -3 -0x4110 -0xcad5fa5 -256 -256 -0 -0 -0 -1 -0xc9a -0xa34eac4c -256 -256 -0 -0 -0 -3 -0x8efc -0xd47cb6de -256 -256 -0 -0 -0 -3 -0x3dd4 -0xb6feada3 -256 -256 -0 -0 -0 -1 -0x86e -0xebd54355 -256 -256 -0 -0 -0 -2 -0xcbe4 -0x91229174 -256 -256 -1 -0 -0 -3 -0x93a0 -0xd64cadab -256 -256 -1 -0 -0 -1 -0xf0ff -0xd1c865f8 -256 -256 -1 -0 -0 -2 -0xb81b -0xdf8a97b4 -256 -256 -0 -0 -0 -1 -0xa424 -0x359857e0 -256 -256 -0 -0 -0 -2 -0x1a76 -0xb42a79b7 -256 -256 -1 -0 -0 -2 -0xd38f -0x1a54f3b3 -256 -256 -0 -0 -0 -2 -0xb4d -0xb892e25a -256 -256 -1 -0 -0 -1 -0x1fb9 -0x8ecb552 -256 -256 -0 -0 -0 -2 -0xb82b -0xdbc6fdd3 -256 -256 -1 -0 -0 -4 -0xe993 -0xc00dd628 -256 -256 -1 -0 -0 -1 -0x3dfc -0xbe2decfb -256 -256 -0 -0 -0 -1 -0x1f61 -0x2d29b0a5 -256 -256 -0 -0 -0 -1 -0x412d -0x301396be -256 -256 -0 -0 -0 -4 -0x9555 -0x4b303142 -256 -256 -0 -0 -0 -3 -0xab4c -0x1bdf4bf6 -256 -256 -1 -0 -0 -2 -0x9e14 -0x91d04bc1 -256 -256 -0 -0 -0 -3 -0xd541 -0x549e46ae -256 -256 -0 -0 -0 -2 -0x766a -0x1871b5d2 -256 -256 -1 -0 -0 -3 -0xb64a -0x5d08475b -256 -256 -0 -0 -0 -3 -0x9aeb -0xfab80984 -256 -256 -1 -0 -0 -1 -0x137e -0xf3f8cd48 -256 -256 -1 -0 -0 -3 -0x5ec9 -0x69435cbe -256 -256 -1 -0 -0 -2 -0x77be -0xf501bbff -256 -256 -0 -0 -0 -4 -0xb8b5 -0xfb6dd615 -256 -256 -0 -0 -0 -2 -0x35b6 -0xd73719e8 -256 -256 -0 -0 -0 -1 -0xef49 -0x864e9ded -256 -256 -1 -0 -0 -1 -0xd564 -0xad1e79dd -256 -256 -1 -0 -0 -1 -0x5a2e -0xa16c23ee -256 -256 -1 -0 -0 -1 -0xcecf -0x8b9aadc6 -256 -256 -0 -0 -0 -2 -0x35ab -0xcb0c7b18 -256 -256 -0 -0 -0 -3 -0x5d08 -0x2d4f0360 -256 -256 -1 -0 -0 -4 -0xe02a -0xd7ebd86c -256 -256 -0 -0 -0 -4 -0xa1bc -0xbc30853e -256 -256 -1 -0 -0 -1 -0xa1f8 -0x93d87a9 -256 -256 -1 -0 -0 -2 -0x164a -0x4bbed9a -256 -256 -0 -0 -0 -3 -0x4cdd -0x53eec8a3 -256 -256 -0 -0 -0 -4 -0xdd19 -0x7802908 -256 -256 -1 -0 -0 -3 -0x3b5b -0xbf86a669 -256 -256 -0 -0 -0 -4 -0x2976 -0x41228fe -256 -256 -1 -0 -0 -2 -0x3523 -0xd2e6674e -256 -256 -0 -0 -0 -3 -0x3ceb -0xac9a6b26 -256 -256 -0 -0 -0 -3 -0x3442 -0xcc2056cc -256 -256 -1 -0 -0 -2 -0xf3ab -0xcb9a67ce -256 -256 -0 -0 -0 -2 -0xddca -0xce19c110 -256 -256 -1 -0 -0 -3 -0x8ca4 -0xb2323575 -256 -256 -0 -0 -0 -2 -0x5600 -0xa65e92f5 -256 -256 -1 -0 -0 -2 -0xfd81 -0x6e3d3a9f -256 -256 -0 -0 -0 -1 -0x1fea -0xf8b15c3c -256 -256 -1 -0 -0 -1 -0x620b -0x6b9cc0c6 -256 -256 -1 -0 -0 -3 -0xd9b7 -0xfd16ba04 -256 -256 -1 -0 -0 -2 -0x6a7e -0x94160807 -256 -256 -1 -0 -0 -3 -0xd8ee -0x70804ad5 -256 -256 -0 -0 -0 -4 -0xe58 -0x76b6c076 -256 -256 -1 -0 -0 -4 -0x9a12 -0xe7609ca -256 -256 -1 -0 -0 -4 -0xbce5 -0x274479e -256 -256 -0 -0 -0 -1 -0xce5f -0xe3970854 -256 -256 -1 -0 -0 -1 -0xae7e -0x6b77e50d -256 -256 -0 -0 -0 -1 -0x2164 -0xd6f5ae15 -256 -256 -0 -0 -0 -4 -0x52dc -0x2860de11 -256 -256 -1 -0 -0 -2 -0x27b4 -0x82f7de4c -256 -256 -1 -0 -0 -3 -0xc19e -0x7606f0c3 -256 -256 -0 -0 -0 -3 -0xfb60 -0xdcc289e1 -256 -256 -0 -0 -0 -1 -0x99b6 -0xaac0036d -256 -256 -1 -0 -0 -1 -0x6f2 -0x67a3ac44 -256 -256 -1 -0 -0 -4 -0x1cde -0xf7f4da8b -256 -256 -1 -0 -0 -4 -0x1f5f -0x53313ddd -256 -256 -1 -0 -0 -4 -0x1869 -0x70db2bd8 -256 -256 -0 -0 -0 -1 -0xa36d -0xb09d0a90 -256 -256 -0 -0 -0 -1 -0x54ec -0x201578f0 -256 -256 -1 -0 -0 -1 -0x41c8 -0xb56b1572 -256 -256 -0 -0 -0 -4 -0x458c -0xd6f5aceb -256 -256 -0 -0 -0 -4 -0x606f -0x58d2752e -256 -256 -1 -0 -0 -3 -0x2da0 -0xbf59ea38 -256 -256 -0 -0 -0 -2 -0xc3d2 -0xe91cbc9a -256 -256 -0 -0 -0 -2 -0x463d -0x81a6bfa -256 -256 -0 -0 -0 -1 -0x71a1 -0x75e69406 -256 -256 -1 -0 -0 -4 -0xf5de -0xd1fd53e1 -256 -256 -1 -0 -0 -3 -0x72cd -0xa6f16480 -256 -256 -0 -0 -0 -3 -0xc84b -0x9f7da09b -256 -256 -0 -0 -0 -2 -0x600 -0xa1e07627 -256 -256 -0 -0 -0 -2 -0xd9d0 -0x5d299d88 -256 -256 -0 -0 -0 -2 -0x2ed9 -0xabe7097c -256 -256 -1 -0 -0 -1 -0xf31 -0x7bb8ece7 -256 -256 -0 -0 -0 -1 -0xf208 -0xf8db81fc -256 -256 -0 -0 -0 -2 -0x26c4 -0x440469e7 -256 -256 -1 -0 -0 -3 -0x490c -0xa07437c7 -256 -256 -0 -0 -0 -2 -0x49ae -0x3bb225a4 -256 -256 -0 -0 -0 -2 -0xcd7c -0xc276fb50 -256 -256 -1 -0 -0 -3 -0x9d32 -0x44b99863 -256 -256 -0 -0 -0 -3 -0x9cb6 -0x7af29732 -256 -256 -1 -0 -0 -4 -0x55eb -0x42d8be24 -256 -256 -0 -0 -0 -3 -0x62d6 -0xc75a669d -256 -256 -1 -0 -0 -3 -0xf2b6 -0x26b27366 -256 -256 -0 -0 -0 -4 -0xbde8 -0x161cd73f -256 -256 -0 -0 -0 -2 -0x96f3 -0xb781dcfe -256 -256 -0 -0 -0 -3 -0x17d7 -0x6f32e922 -256 -256 -0 -0 -0 -2 -0x974e -0xb491a60f -256 -256 -0 -0 -0 -1 -0x17ed -0x33aeea21 -256 -256 -0 -0 -0 -3 -0x7093 -0x88786a6d -256 -256 -0 -0 -0 -1 -0x56de -0x561f50c -256 -256 -1 -0 -0 -3 -0x8b0f -0x3824387a -256 -256 -1 -0 -0 -3 -0x925 -0x5826b4d6 -256 -256 -1 -0 -0 -2 -0xd25c -0xa17df6b6 -256 -256 -1 -0 -0 -1 -0x4e5a -0x890ecfe1 -256 -256 -1 -0 -0 -4 -0x5f8 -0x2505a42 -256 -256 -1 -0 -0 -2 -0x74b3 -0xaa7090b8 -256 -256 -0 -0 -0 -2 -0x7cef -0xfd909d59 -256 -256 -1 -0 -0 -1 -0x7f9d -0x9b612eee -256 -256 -0 -0 -0 -4 -0x2db6 -0xb5f3c992 -256 -256 -1 -0 -0 -2 -0x209f -0x4d4f9eb1 -256 -256 -1 -0 -0 -1 -0xfcfa -0x680550fa -256 -256 -0 -0 -0 -1 -0x5806 -0xa7c91aa -256 -256 -0 -0 -0 -2 -0xca11 -0x8792f149 -256 -256 -1 -0 -0 -2 -0xd90d -0x2d2dafff -256 -256 -0 -0 -0 -4 -0x7723 -0x624cb0ef -256 -256 -1 -0 -0 -1 -0xff0f -0x98888544 -256 -256 -0 -0 -0 -1 -0xfb3f -0x7a450825 -256 -256 -0 -0 -0 -3 -0x5b23 -0x79903e59 -256 -256 -1 -0 -0 -2 -0x114e -0x8d922768 -256 -256 -0 -0 -0 -3 -0xa4e6 -0x3f4b3929 -256 -256 -0 -0 -0 -2 -0xc6b8 -0x7a2274e8 -256 -256 -0 -0 -0 -1 -0xbf64 -0x2a866c2 -256 -256 -0 -0 -0 -4 -0xe334 -0x21fb1e61 -256 -256 -0 -0 -0 -4 -0x8fd0 -0x948eb135 -256 -256 -0 -0 -0 -1 -0x315a -0xfcf8062a -256 -256 -1 -0 -0 -4 -0x14ca -0xec49a9c3 -256 -256 -1 -0 -0 -2 -0xfc8c -0x4590a9c3 -256 -256 -1 -0 -0 -3 -0x4696 -0xdada0dc2 -256 -256 -0 -0 -0 -4 -0x12e1 -0x58d9d43c -256 -256 -1 -0 -0 -3 -0xdae1 -0xf1ccd820 -256 -256 -0 -0 -0 -4 -0xbefd -0xd799214 -256 -256 -1 -0 -0 -2 -0xee2a -0xddca7484 -256 -256 -1 -0 -0 -4 -0x7e4c -0xd3bc0422 -256 -256 -0 -0 -0 -1 -0xb18d -0x11c9ae60 -256 -256 -1 -0 -0 -2 -0x36dc -0xa40e845d -256 -256 -0 -0 -0 -2 -0x555 -0x45f7f677 -256 -256 -0 -0 -0 -1 -0xf8a0 -0xff0c475b -256 -256 -1 -0 -0 -4 -0x5b06 -0x4657e15c -256 -256 -1 -0 -0 -1 -0x7e1b -0x7cce8a4c -256 -256 -0 -0 -0 -1 -0x13ef -0x558ca231 -256 -256 -1 -0 -0 -3 -0x7176 -0x1a37f53 -256 -256 -0 -0 -0 -2 -0x71b3 -0xa7f7fc5d -256 -256 -0 -0 -0 -4 -0x7f9d -0xac80d180 -256 -256 -1 -0 -0 -4 -0xfa6c -0x95a512d2 -256 -256 -1 -0 -0 -3 -0xd258 -0x7f2af551 -256 -256 -1 -0 -0 -4 -0x69a -0x88e876b7 -256 -256 -0 -0 -0 -4 -0x317a -0xbdf31d8b -256 -256 -1 -0 -0 -2 -0x62b5 -0x5cabd9eb -256 -256 -0 -0 -0 -4 -0x97dd -0xcec8b706 -256 -256 -1 -0 -0 -3 -0xa752 -0x8a7470bb -256 -256 -0 -0 -0 -2 -0xfc1e -0xbc962811 -256 -256 -1 -0 -0 -2 -0x81b3 -0xa9bc0aa8 -256 -256 -1 -0 -0 -3 -0x35c7 -0xa300aecf -256 -256 -0 -0 -0 -4 -0x30d8 -0x575397c8 -256 -256 -0 -0 -0 -4 -0x6856 -0x93538c85 -256 -256 -0 -0 -0 -4 -0xd8a6 -0xfa38f9c3 -256 -256 -0 -0 -0 -1 -0x16a7 -0xf855deca -256 -256 -1 -0 -0 -4 -0x3181 -0xddf29cef -256 -256 -1 -0 -0 -1 -0x3a5c -0x30f52ff8 -256 -256 -1 -0 -0 -4 -0x9ae8 -0xee3db5f -256 -256 -0 -0 -0 -2 -0x73bd -0xd2ce1b5f -256 -256 -0 -0 -0 -4 -0xa590 -0x5c7d3f56 -256 -256 -1 -0 -0 -3 -0x3a65 -0x78631a6d -256 -256 -0 -0 -0 -1 -0x787a -0x265532f4 -256 -256 -0 -0 -0 -3 -0xe720 -0xa1034581 -256 -256 -1 -0 -0 -1 -0x7929 -0x8a7b4b7e -256 -256 -0 -0 -0 -4 -0xfbda -0xf2674e64 -256 -256 -1 -0 -0 -2 -0x6383 -0xf25efeec -256 -256 -0 -0 -0 -4 -0xd817 -0xe8476a7 -256 -256 -1 -0 -0 -3 -0xd273 -0x53cb2243 -256 -256 -1 -0 -0 -2 -0xb530 -0x2d3506da -256 -256 -1 -0 -0 -4 -0x44cb -0x385cc415 -256 -256 -1 -0 -0 -1 -0x11db -0xd879528c -256 -256 -1 -0 -0 -2 -0x47d3 -0x67386b52 -256 -256 -0 -0 -0 -1 -0xa031 -0x93b46837 -256 -256 -1 -0 -0 -3 -0x896a -0x3c0aa79d -256 -256 -0 -0 -0 -1 -0xbd28 -0x344e5f72 -256 -256 -1 -0 -0 -1 -0x89c1 -0x26ed22b3 -256 -256 -0 -0 -0 -4 -0xdd3e -0xaf9c20d0 -256 -256 -0 -0 -0 -4 -0xc0e9 -0x59bba254 -256 -256 -1 -0 -0 -3 -0x5cad -0x886deb3b -256 -256 -0 -0 -0 -2 -0x723c -0x49e975d1 -256 -256 -0 -0 -0 -2 -0xd5d -0x71c57b33 -256 -256 -0 -0 -0 -4 -0xeafb -0x2aa8d9d8 -256 -256 -0 -0 -0 -3 -0x3b80 -0xc9f9e607 -256 -256 -0 -0 -0 -3 -0x56c -0x42e6131e -256 -256 -0 -0 -0 -2 -0x403a -0x9c6ed37a -256 -256 -0 -0 -0 -1 -0xca12 -0xebc82e9c -256 -256 -1 -0 -0 -4 -0x2e4e -0x2e6600f6 -256 -256 -0 -0 -0 -2 -0xb2f0 -0x444fd4cd -256 -256 -0 -0 -0 -1 -0x405c -0x69eb1056 -256 -256 -1 -0 -0 -2 -0x2bbf -0xa98ffc34 -256 -256 -1 -0 -0 -2 -0x17cf -0x1e8dbf71 -256 -256 -1 -0 -0 -2 -0x6697 -0xe9b7419b -256 -256 -0 -0 -0 -2 -0xb532 -0x15781cbb -256 -256 -0 -0 -0 -3 -0x5de3 -0xf744b277 -256 -256 -1 -0 -0 -3 -0xb911 -0xf2fe9547 -256 -256 -0 -0 -0 -1 -0x405d -0x9265b2cf -256 -256 -0 -0 -0 -1 -0x5952 -0x6e625039 -256 -256 -0 -0 -0 -3 -0x1433 -0xb1772ecc -256 -256 -1 -0 -0 -2 -0xe71a -0x1f4a52d9 -256 -256 -0 -0 -0 -2 -0x76e9 -0xdcd54595 -256 -256 -1 -0 -0 -2 -0x4f26 -0xf8523df7 -256 -256 -1 -0 -0 -4 -0xcd70 -0x82835303 -256 -256 -0 -0 -0 -4 -0x2c0b -0xcd21aa20 -256 -256 -0 -0 -0 -1 -0xcfd1 -0x6aec818a -256 -256 -1 -0 -0 -3 -0xc648 -0x12e58b53 -256 -256 -0 -0 -0 -1 -0x9c27 -0x2496e041 -256 -256 -1 -0 -0 -3 -0x6626 -0xb75f1fab -256 -256 -1 -0 -0 -1 -0x23f2 -0x24de8600 -256 -256 -0 -0 -0 -1 -0xf7f8 -0x1fb747e5 -256 -256 -0 -0 -0 -3 -0xb27f -0xb5462798 -256 -256 -0 -0 -0 -1 -0x9927 -0x86a562d7 -256 -256 -0 -0 -0 -4 -0x1d24 -0xe4c8910 -256 -256 -1 -0 -0 -2 -0xa139 -0xa78ccac6 -256 -256 -0 -0 -0 -2 -0xfac8 -0xe71252e7 -256 -256 -1 -0 -0 -3 -0xb799 -0x26a43931 -256 -256 -1 -0 -0 -1 -0xc8f6 -0x86bd6ee1 -256 -256 -0 -0 -0 -4 -0xa282 -0xe1e48336 -256 -256 -0 -0 -0 -2 -0x3ffb -0x9c0fa783 -256 -256 -1 -0 -0 -2 -0xe33a -0xe54baff7 -256 -256 -1 -0 -0 -3 -0x7652 -0xdbc06ad8 -256 -256 -0 -0 -0 -4 -0xf4ee -0x8b030928 -256 -256 -1 -0 -0 -3 -0x16d5 -0x9dc88d52 -256 -256 -1 -0 -0 -4 -0x3b2b -0xcbc31311 -256 -256 -0 -0 -0 -1 -0x200f -0xb2493f8b -256 -256 -1 -0 -0 -2 -0x4119 -0x991a3712 -256 -256 -1 -0 -0 -2 -0xc64f -0xc6593e5b -256 -256 -1 -0 -0 -3 -0x858a -0xb83ca6ad -256 -256 -0 -0 -0 -4 -0x6b3e -0x129c6387 -256 -256 -0 -0 -0 -2 -0xe4 -0x28dca155 -256 -256 -1 -0 -0 -3 -0x31c1 -0x5be0a639 -256 -256 -1 -0 -0 -1 -0x3518 -0xf2edb32d -256 -256 -0 -0 -0 -1 -0x860 -0xc1caa48b -256 -256 -0 -0 -0 -1 -0x7960 -0x45864fd4 -256 -256 -0 -0 -0 -4 -0x1a21 -0xc5be38f4 -256 -256 -1 -0 -0 -3 -0xd7e7 -0x94646daf -256 -256 -0 -0 -0 -2 -0xacb3 -0x596a8ba9 -256 -256 -0 -0 -0 -4 -0xf30e -0xba1e707a -256 -256 -0 -0 -0 -1 -0xab9c -0xb01f37e5 -256 -256 -1 -0 -0 -1 -0x8086 -0xed50ae3e -256 -256 -0 -0 -0 -2 -0x4f59 -0x9e395774 -256 -256 -0 -0 -0 -4 -0xc6fb -0x4c476c10 -256 -256 -0 -0 -0 -2 -0x782c -0x7553edbf -256 -256 -1 -0 -0 -1 -0x6438 -0x93980562 -256 -256 -1 -0 -0 -4 -0x2faa -0x3a5c378f -256 -256 -0 -0 -0 -4 -0x440b -0x9b5c8f14 -256 -256 -0 -0 -0 -3 -0x733 -0x27431973 -256 -256 -0 -0 -0 -2 -0xc1ed -0xe119888d -256 -256 -0 -0 -0 -4 -0x1ec8 -0x317bef79 -256 -256 -1 -0 -0 -2 -0xa841 -0xdfcd2347 -256 -256 -0 -0 -0 -2 -0xe850 -0x9692c214 -256 -256 -0 -0 -0 -2 -0xbc5d -0xd8b83508 -256 -256 -1 -0 -0 -1 -0x497c -0x411a0744 -256 -256 -1 -0 -0 -1 -0x9434 -0x84f6a1c4 -256 -256 -0 -0 -0 -3 -0x2996 -0x4dfc369e -256 -256 -0 -0 -0 -4 -0xfa3b -0x93a60035 -256 -256 -1 -0 -0 -1 -0xbaf0 -0x216d3685 -256 -256 -1 -0 -0 -2 -0x45ef -0xae682d48 -256 -256 -1 -0 -0 -2 -0xa451 -0x2c50920c -256 -256 -0 -0 -0 -1 -0x3cbb -0xc104747b -256 -256 -0 -0 -0 -4 -0x6b5e -0xd45321fd -256 -256 -0 -0 -0 -2 -0x64d7 -0x5f119304 -256 -256 -1 -0 -0 -1 -0x107b -0x9828c323 -256 -256 -1 -0 -0 -2 -0xd403 -0x337b1f20 -256 -256 -1 -0 -0 -3 -0x1aa8 -0xb6b0f0c0 -256 -256 -1 -0 -0 -3 -0x8c98 -0xd693579c -256 -256 -0 -0 -0 -2 -0xe3e0 -0x5ab60ec0 -256 -256 -0 -0 -0 -1 -0xd48c -0xfccc847b -256 -256 -0 -0 -0 -1 -0x57b5 -0x662d2758 -256 -256 -1 -0 -0 -4 -0xfd0 -0xfa05348a -256 -256 -1 -0 -0 -2 -0xcf22 -0xf92a33c0 -256 -256 -0 -0 -0 -2 -0xaecb -0xed02a1fd -256 -256 -0 -0 -0 -4 -0xb48e -0x1132ffc9 -256 -256 -1 -0 -0 -2 -0x5625 -0xec30c138 -256 -256 -0 -0 -0 -4 -0x1cf8 -0x666b8332 -256 -256 -0 -0 -0 -2 -0x3202 -0x83b6dfac -256 -256 -1 -0 -0 -2 -0x77cc -0xd80f0876 -256 -256 -0 -0 -0 -1 -0x6d96 -0x900be65e -256 -256 -1 -0 -0 -1 -0x39f3 -0xc9d23a51 -256 -256 -0 -0 -0 -4 -0xc9e5 -0x6583ccdf -256 -256 -0 -0 -0 -4 -0xf324 -0x6e73632e -256 -256 -1 -0 -0 -3 -0xcd14 -0xb8b3532c -256 -256 -1 -0 -0 -2 -0xc15f -0xcac7dc2b -256 -256 -0 -0 -0 -4 -0x6801 -0xcd4004e7 -256 -256 -1 -0 -0 -2 -0xe864 -0x2f9969d2 -256 -256 -1 -0 -0 -2 -0x5bbc -0x3f6af380 -256 -256 -0 -0 -0 -2 -0x7c8e -0xbd7dbba9 -256 -256 -0 -0 -0 -4 -0x77f5 -0xced47f45 -256 -256 -1 -0 -0 -2 -0xcc44 -0x53d16ec9 -256 -256 -0 -0 -0 -1 -0x66db -0xedb016ae -256 -256 -0 -0 -0 -2 -0xf3ef -0x9106e82e -256 -256 -0 -0 -0 -1 -0x7bb -0x85300a03 -256 -256 -1 -0 -0 -4 -0x1ac0 -0xae64526f -256 -256 -0 -0 -0 -4 -0xb65e -0x2c1da2ef -256 -256 -0 -0 -0 -1 -0x53c9 -0xf72d60c2 -256 -256 -0 -0 -0 -4 -0x6a79 -0x6378f22b -256 -256 -1 -0 -0 -2 -0xa6c4 -0xe784ec3f -256 -256 -1 -0 -0 -4 -0x1d7 -0x286dbdda -256 -256 -1 -0 -0 -2 -0x2fb4 -0xdfd310c8 -256 -256 -0 -0 -0 -2 -0x1b0 -0xe06f5963 -256 -256 -0 -0 -0 -2 -0x3b51 -0xbf0be1a5 -256 -256 -1 -0 -0 -3 -0x7910 -0x4c81d405 -256 -256 -1 -0 -0 -4 -0x2d7d -0x4bf226eb -256 -256 -1 -0 -0 -3 -0x7498 -0x3671e08c -256 -256 -1 -0 -0 -4 -0x9b3b -0x921f32fd -256 -256 -0 -0 -0 -3 -0xc1b8 -0xbc9e5f97 -256 -256 -0 -0 -0 -3 -0x1877 -0x9d45f112 -256 -256 -0 -0 -0 -1 -0x7cbd -0xd2a2ce3b -256 -256 -0 -0 -0 -1 -0x719d -0x230b58df -256 -256 -1 -0 -0 -4 -0x2359 -0xfbd35ec9 -256 -256 -0 -0 -0 -1 -0xe0b8 -0xfe076cc6 -256 -256 -0 -0 -0 -4 -0x552c -0xaade1b5e -256 -256 -0 -0 -0 -3 -0x7d99 -0xb76c7969 -256 -256 -1 -0 -0 -1 -0x2da4 -0xcb68cb7e -256 -256 -1 -0 -0 -2 -0xd56b -0xd765b57a -256 -256 -0 -0 -0 -1 -0xe1fd -0x3e93c1a2 -256 -256 -1 -0 -0 -4 -0x996f -0x2799ae8e -256 -256 -0 -0 -0 -2 -0xea5b -0x62144ec0 -256 -256 -1 -0 -0 -2 -0x8d1 -0x8e3b48e6 -256 -256 -0 -0 -0 -4 -0x2428 -0xd56a7034 -256 -256 -1 -0 -0 -2 -0x47e6 -0xa66d3446 -256 -256 -1 -0 -0 -2 -0xd95f -0xeafb3c9a -256 -256 -0 -0 -0 -3 -0x9689 -0x182f0c34 -256 -256 -0 -0 -0 -2 -0x2346 -0xb601deb3 -256 -256 -0 -0 -0 -4 -0xf5f2 -0x3623b8d9 -256 -256 -1 -0 -0 -4 -0xd79c -0x4c1d32e2 -256 -256 -1 -0 -0 -3 -0x34ec -0xf9268414 -256 -256 -1 -0 -0 -1 -0x8e50 -0x9d05de1a -256 -256 -0 -0 -0 -4 -0x1695 -0x8b58df86 -256 -256 -1 -0 -0 -3 -0xc2e -0xa89ad945 -256 -256 -1 -0 -0 -2 -0xc5b6 -0x46e590e4 -256 -256 -1 -0 -0 -2 -0xe3bd -0xc812d63c -256 -256 -0 -0 -0 -3 -0x9539 -0x8ccde7f2 -256 -256 -1 -0 -0 -2 -0xe7fa -0xbadfad6a -256 -256 -1 -0 -0 -2 -0xa807 -0x53be6793 -256 -256 -1 -0 -0 -3 -0xba31 -0x308f3458 -256 -256 -1 -0 -0 -1 -0x5dd9 -0xecd4d120 -256 -256 -0 -0 -0 -1 -0x1494 -0xc59b4fc8 -256 -256 -1 -0 -0 -3 -0x1b8 -0xa044781c -256 -256 -0 -0 -0 -2 -0xb5fa -0x26a21f77 -256 -256 -0 -0 -0 -2 -0xf762 -0x35353faf -256 -256 -1 -0 -0 -3 -0xe2b9 -0x3da8f9fb -256 -256 -1 -0 -0 -3 -0xf5ed -0xcd6d6f84 -256 -256 -1 -0 -0 -3 -0xe13a -0x63aa6004 -256 -256 -0 -0 -0 -1 -0xe6b8 -0xe9bc821d -256 -256 -0 -0 -0 -1 -0xe01d -0x487915da -256 -256 -1 -0 -0 -4 -0x8d5 -0xada9b8f -256 -256 -0 -0 -0 -2 -0x5642 -0x6fa95bc0 -256 -256 -1 -0 -0 -1 -0xff52 -0xf549c3a -256 -256 -0 -0 -0 -1 -0x74b4 -0x3e72c774 -256 -256 -1 -0 -0 -2 -0x7d7b -0x452facd2 -256 -256 -1 -0 -0 -1 -0x90ad -0x6fbab42a -256 -256 -1 -0 -0 -3 -0x8158 -0xa40474e4 -256 -256 -1 -0 -0 -2 -0xaf15 -0x994294a6 -256 -256 -0 -0 -0 -4 -0x7dde -0x9733029d -256 -256 -0 -0 -0 -2 -0xbc51 -0x518ee354 -256 -256 -0 -0 -0 -4 -0xd50b -0x8a6c42fd -256 -256 -0 -0 -0 -2 -0xc3a8 -0x9206c9c1 -256 -256 -1 -0 -0 -1 -0xced0 -0xf8c1e641 -256 -256 -1 -0 -0 -4 -0x21e8 -0xcbb5c75d -256 -256 -1 -0 -0 -4 -0xda95 -0x363af5e7 -256 -256 -0 -0 -0 -2 -0xf197 -0xfc52c594 -256 -256 -0 -0 -0 -4 -0x73ae -0x51f2ddba -256 -256 -1 -0 -0 -3 -0xd7cb -0xa8de77c3 -256 -256 -1 -0 -0 -3 -0xf9d5 -0xb74f7295 -256 -256 -1 -0 -0 -4 -0x365 -0x4912f7a -256 -256 -0 -0 -0 -3 -0x89b6 -0x3bf337bb -256 -256 -1 -0 -0 -3 -0x44e0 -0x8b0db086 -256 -256 -1 -0 -0 -4 -0x95be -0xf99f8211 -256 -256 -0 -0 -0 -4 -0x9107 -0xd4a12106 -256 -256 -0 -0 -0 -3 -0x7d35 -0xd83021a5 -256 -256 -0 -0 -0 -2 -0xf8d8 -0x9d24f46a -256 -256 -0 -0 -0 -2 -0xd39a -0x57e2cc47 -256 -256 -0 -0 -0 -2 -0xf2f5 -0xc0dfb75b -256 -256 -0 -0 -0 -2 -0x7f79 -0x235449b9 -256 -256 -0 -0 -0 -1 -0x5bb3 -0x1abee12a -256 -256 -0 -0 -0 -3 -0x88d4 -0x2ee11722 -256 -256 -0 -0 -0 -4 -0xa010 -0x449366e6 -256 -256 -0 -0 -0 -3 -0x3787 -0xd24698e5 -256 -256 -0 -0 -0 -1 -0x752f -0x99c1b0c4 -256 -256 -1 -0 -0 -3 -0x886d -0x813c2368 -256 -256 -0 -0 -0 -1 -0xe114 -0x96fb6075 -256 -256 -1 -0 -0 -2 -0x1f89 -0x51e8004e -256 -256 -0 -0 -0 -3 -0xb196 -0xafa3c3db -256 -256 -1 -0 -0 -2 -0xeb26 -0xbe5467b3 -256 -256 -1 -0 -0 -1 -0x7f49 -0x7b5fac0b -256 -256 -1 -0 -0 -4 -0x2f27 -0xd3f65086 -256 -256 -0 -0 -0 -4 -0x5455 -0x9b598770 -256 -256 -1 -0 -0 -3 -0xd973 -0x587ec6a8 -256 -256 -0 -0 -0 -3 -0xf3a0 -0x1ead9bc -256 -256 -1 -0 -0 -4 -0x9432 -0xa012b8ae -256 -256 -0 -0 -0 -3 -0x9489 -0xcb5ae267 -256 -256 -1 -0 -0 -4 -0xd32c -0xe40e4a2c -256 -256 -0 -0 -0 -3 -0xd75c -0x19248c0a -256 -256 -1 -0 -0 -2 -0x65c6 -0x84dcd409 -256 -256 -1 -0 -0 -4 -0xfdaa -0x9c30b466 -256 -256 -0 -0 -0 -3 -0xbede -0xdc0ed3db -256 -256 -0 -0 -0 -3 -0x2217 -0x8a83585b -256 -256 -1 -0 -0 -3 -0xd474 -0xac493336 -256 -256 -0 -0 -0 -3 -0x6e02 -0x6aa5e776 -256 -256 -1 -0 -0 -4 -0xfb9c -0x84e90df6 -256 -256 -0 -0 -0 -4 -0xdae7 -0xdb614702 -256 -256 -0 -0 -0 -1 -0x8fb0 -0x5868b998 -256 -256 -0 -0 -0 -2 -0x2a81 -0xd08226fa -256 -256 -0 -0 -0 -3 -0xc25a -0xe606be9b -256 -256 -0 -0 -0 -1 -0xc612 -0xc85b808d -256 -256 -0 -0 -0 -2 -0xfd34 -0x4628c320 -256 -256 -0 -0 -0 -2 -0xa12c -0xd113e7e6 -256 -256 -1 -0 -0 -4 -0x431 -0x64ba4678 -256 -256 -0 -0 -0 -1 -0xc3d4 -0x8bfd1b1b -256 -256 -0 -0 -0 -3 -0x419a -0xa53ad222 -256 -256 -1 -0 -0 -2 -0x630f -0xb7e8fe36 -256 -256 -0 -0 -0 -1 -0xbc29 -0xdae0713 -256 -256 -0 -0 -0 -1 -0x42e2 -0xb0006d10 -256 -256 -0 -0 -0 -2 -0xc851 -0x5e151be8 -256 -256 -1 -0 -0 -3 -0xb9a2 -0x190eaeef -256 -256 -1 -0 -0 -1 -0x2e5d -0x16429b4c -256 -256 -1 -0 -0 -1 -0xa69e -0x8a0df18f -256 -256 -1 -0 -0 -3 -0xd415 -0x35e2e819 -256 -256 -0 -0 -0 -2 -0xa04e -0x3b1256d7 -256 -256 -1 -0 -0 -4 -0x2f23 -0xebe8e40e -256 -256 -0 -0 -0 -4 -0x9ffc -0xb81f4891 -256 -256 -1 -0 -0 -1 -0x7934 -0x67a8d2ba -256 -256 -1 -0 -0 -3 -0x15f0 -0x70555806 -256 -256 -0 -0 -0 -1 -0x51bf -0x3c3c158f -256 -256 -1 -0 -0 -1 -0x1d09 -0xf65a069 -256 -256 -1 -0 -0 -1 -0xd7b0 -0x7c705c58 -256 -256 -1 -0 -0 -1 -0x17e2 -0xdc69dacf -256 -256 -0 -0 -0 -3 -0x3300 -0x820c8749 -256 -256 -0 -0 -0 -1 -0x3520 -0x7f3ebd8a -256 -256 -0 -0 -0 -1 -0x96ab -0x2c6de68 -256 -256 -1 -0 -0 -4 -0x35b9 -0xceb89bfc -256 -256 -0 -0 -0 -3 -0x503 -0xd919b349 -256 -256 -1 -0 -0 -2 -0x9893 -0x904dd85c -256 -256 -0 -0 -0 -3 -0x3625 -0x8ef87750 -256 -256 -0 -0 -0 -4 -0x491d -0x39dc92ee -256 -256 -1 -0 -0 -2 -0x64f7 -0x1958d0fe -256 -256 -1 -0 -0 -4 -0x1cd8 -0x9ede8f88 -256 -256 -0 -0 -0 -1 -0x34f2 -0xdb28ce56 -256 -256 -0 -0 -0 -2 -0x5aed -0x59c4d51e -256 -256 -0 -0 -0 -3 -0x45a3 -0xbf63c253 -256 -256 -0 -0 -0 -3 -0x8170 -0x3dc5f557 -256 -256 -0 -0 -0 -4 -0xa98e -0xc16c94f9 -256 -256 -0 -0 -0 -4 -0x7812 -0x9fc9cd53 -256 -256 -0 -0 -0 -3 -0xf20c -0x56964e20 -256 -256 -1 -0 -0 -4 -0x8ba5 -0x7f3f2d07 -256 -256 -0 -0 -0 -1 -0xe8a7 -0xee0658ab -256 -256 -0 -0 -0 -1 -0x4e54 -0x64578c29 -256 -256 -1 -0 -0 -4 -0x89d3 -0x7191e736 -256 -256 -0 -0 -0 -2 -0x310a -0xb1ab0bfb -256 -256 -0 -0 -0 -2 -0xfb7e -0xbf012bd2 -256 -256 -1 -0 -0 -1 -0xc071 -0x7d8d3e09 -256 -256 -0 -0 -0 -1 -0xafbe -0xf621a132 -256 -256 -0 -0 -0 -3 -0x609c -0x7013a4bf -256 -256 -0 -0 -0 -2 -0x630a -0x3a67605d -256 -256 -0 -0 -0 -4 -0x1e11 -0x81de1edc -256 -256 -0 -0 -0 -4 -0xdfef -0x2d97242d -256 -256 -0 -0 -0 -3 -0x5561 -0xf0ecab62 -256 -256 -1 -0 -0 -2 -0x2d02 -0x59a0d7d2 -256 -256 -1 -0 -0 -3 -0xe41 -0xc1b09c8b -256 -256 -0 -0 -0 -3 -0x57c4 -0x1368167d -256 -256 -1 -0 -0 -4 -0x8179 -0x73cc32a2 -256 -256 -0 -0 -0 -1 -0xb6bf -0x947a48a0 -256 -256 -0 -0 -0 -1 -0x2523 -0xcced88b9 -256 -256 -1 -0 -0 -3 -0xd018 -0xdf242e69 -256 -256 -0 -0 -0 -1 -0xa483 -0x534e6a4f -256 -256 -0 -0 -0 -2 -0x6855 -0x4df2e5e1 -256 -256 -1 -0 -0 -1 -0xed2d -0xa2f2044a -256 -256 -0 -0 -0 -3 -0x3910 -0x72928b24 -256 -256 -0 -0 -0 -1 -0x64f0 -0x900ed04b -256 -256 -0 -0 -0 -3 -0x9ef5 -0x125c2b19 -256 -256 -0 -0 -0 -2 -0x74a7 -0xb0bd74cb -256 -256 -0 -0 -0 -1 -0xcf8f -0x4c8a189a -256 -256 -0 -0 -0 -4 -0x8813 -0xb58c891a -256 -256 -0 -0 -0 -4 -0x46ec -0x6cefe840 -256 -256 -1 -0 -0 -1 -0xc838 -0xa0d3f684 -256 -256 -1 -0 -0 -1 -0xc9b4 -0x860c7303 -256 -256 -0 -0 -0 -4 -0x35d4 -0x330c84f8 -256 -256 -1 -0 -0 -2 -0x4045 -0x91b96ae6 -256 -256 -0 -0 -0 -3 -0x169b -0x73fcc001 -256 -256 -1 -0 -0 -1 -0x1723 -0xaa686a51 -256 -256 -1 -0 -0 -2 -0x9b72 -0x2185bb5c -256 -256 -0 -0 -0 -2 -0xb44f -0xc2efd321 -256 -256 -0 -0 -0 -2 -0x2e23 -0xaaec3b6a -256 -256 -1 -0 -0 -4 -0xe9c5 -0x4498cf58 -256 -256 -1 -0 -0 -1 -0xad54 -0x70bf1b60 -256 -256 -0 -0 -0 -3 -0xeb8e -0x83f3c6c5 -256 -256 -0 -0 -0 -2 -0x6aa2 -0x77684b41 -256 -256 -1 -0 -0 -3 -0xfc13 -0xe5dce370 -256 -256 -0 -0 -0 -1 -0xb160 -0x941919b4 -256 -256 -0 -0 -0 -4 -0xcffc -0xcff27c00 -256 -256 -0 -0 -0 -4 -0x43b1 -0x109bd182 -256 -256 -1 -0 -0 -1 -0xdde2 -0xd81a0ea -256 -256 -1 -0 -0 -3 -0xafd4 -0x926a34c1 -256 -256 -0 -0 -0 -2 -0xe9a9 -0xabd48fc3 -256 -256 -1 -0 -0 -1 -0x935f -0x4904ce9f -256 -256 -0 -0 -0 -1 -0x21d2 -0x5045b922 -256 -256 -0 -0 -0 -3 -0x3a6c -0xd3eb5c35 -256 -256 -0 -0 -0 -4 -0x7289 -0x9e38f238 -256 -256 -0 -0 -0 -2 -0xc8d4 -0x4bdbf04c -256 -256 -0 -0 -0 -2 -0x14b4 -0xfcfbc8f2 -256 -256 -0 -0 -0 -4 -0x34d4 -0xc988a602 -256 -256 -0 -0 -0 -4 -0xddb -0x10409a79 -256 -256 -1 -0 -0 -1 -0xb69 -0x9f23065a -256 -256 -0 -0 -0 -1 -0xd2b1 -0xe5b6600 -256 -256 -0 -0 -0 -2 -0xa298 -0xa67a97b3 -256 -256 -0 -0 -0 -4 -0x8923 -0x5d25e7c2 -256 -256 -1 -0 -0 -3 -0xbb3c -0x42d49807 -256 -256 -1 -0 -0 -4 -0x5d15 -0xfcc8ccf0 -256 -256 -0 -0 -0 -3 -0x2edf -0x55baaf22 -256 -256 -1 -0 -0 -4 -0xd136 -0xa1f3fe79 -256 -256 -1 -0 -0 -1 -0xdd71 -0x27da9647 -256 -256 -1 -0 -0 -3 -0x22e2 -0x2e80f52b -256 -256 -1 -0 -0 -4 -0x94b4 -0xfbadb4f6 -256 -256 -0 -0 -0 -3 -0x6e4d -0xe653f725 -256 -256 -1 -0 -0 -1 -0x1d -0x29512224 -256 -256 -1 -0 -0 -4 -0x15b7 -0x48c2f7bd -256 -256 -1 -0 -0 -2 -0x2546 -0x5cfb0370 -256 -256 -1 -0 -0 -1 -0x2a01 -0xdf2f8496 -256 -256 -0 -0 -0 -2 -0xfd37 -0x8606e0c2 -256 -256 -0 -0 -0 -3 -0x44b6 -0xb22c4bec -256 -256 -0 -0 -0 -2 -0x70d4 -0x5a157af -256 -256 -0 -0 -0 -3 -0xbb2d -0x22d55c8b -256 -256 -0 -0 -0 -1 -0xa763 -0x3e63f1b9 -256 -256 -1 -0 -0 -2 -0xe780 -0x1f1f008e -256 -256 -0 -0 -0 -4 -0xbb -0xc9f03ddd -256 -256 -1 -0 -0 -1 -0xce65 -0xee6f7f2d -256 -256 -1 -0 -0 -2 -0xc6e8 -0x22f05c6c -256 -256 -1 -0 -0 -1 -0xcc71 -0x8717b024 -256 -256 -0 -0 -0 -3 -0x5690 -0x2f776d30 -256 -256 -1 -0 -0 -4 -0xfeb1 -0x1a9e1f98 -256 -256 -0 -0 -0 -2 -0x2276 -0xb1bdae -256 -256 -0 -0 -0 -2 -0x18d1 -0x1d5cfeda -256 -256 -0 -0 -0 -4 -0x9459 -0x81af95fc -256 -256 -0 -0 -0 -2 -0x8f2e -0x85e4bde5 -256 -256 -1 -0 -0 -1 -0x3836 -0x37a9da49 -256 -256 -0 -0 -0 -1 -0xd7d2 -0xde132f34 -256 -256 -1 -0 -0 -4 -0x4e79 -0xd61a7824 -256 -256 -0 -0 -0 -3 -0xb02f -0x24735810 -256 -256 -1 -0 -0 -3 -0xffa8 -0xf2dc9cdb -256 -256 -1 -0 -0 -4 -0x77b0 -0x7babc848 -256 -256 -0 -0 -0 -4 -0x8c0f -0xb2cc030b -256 -256 -1 -0 -0 -3 -0xfd5d -0x7780deef -256 -256 -1 -0 -0 -1 -0x3983 -0xd6122c61 -256 -256 -0 -0 -0 -2 -0x784d -0xdb5a422 -256 -256 -0 -0 -0 -4 -0x3678 -0x49e53787 -256 -256 -1 -0 -0 -3 -0xd8f5 -0x6cab98ab -256 -256 -0 -0 -0 -3 -0x4005 -0x3805b721 -256 -256 -1 -0 -0 -2 -0x9744 -0xef48daab -256 -256 -1 -0 -0 -3 -0x4862 -0x5c13360b -256 -256 -0 -0 -0 -4 -0x8c32 -0x8722d5d8 -256 -256 -0 -0 -0 -2 -0x8b4d -0xf7afeeee -256 -256 -1 -0 -0 -2 -0x37b2 -0xed0e846d -256 -256 -1 -0 -0 -3 -0xeb3d -0x9e995bd7 -256 -256 -0 -0 -0 -4 -0xbd0d -0x1c61d90a -256 -256 -1 -0 -0 -3 -0xe9ae -0xa6e249d5 -256 -256 -0 -0 -0 -4 -0x7826 -0xdcd8709f -256 -256 -1 -0 -0 -2 -0xa3b7 -0xfd3409a4 -256 -256 -0 -0 -0 -1 -0x5a0d -0x7561d54 -256 -256 -1 -0 -0 -4 -0xe4d8 -0x52be7dd -256 -256 -0 -0 -0 -3 -0xd294 -0xbc7109e5 -256 -256 -1 -0 -0 -1 -0x49a8 -0xa0c2c5ce -256 -256 -0 -0 -0 -2 -0xa34a -0x2b105601 -256 -256 -1 -0 -0 -2 -0xfcee -0x76b7d7bd -256 -256 -0 -0 -0 -3 -0x30e4 -0x9e12f7eb -256 -256 -0 -0 -0 -2 -0x9a50 -0xd8d91e82 -256 -256 -1 -0 -0 -2 -0x44d4 -0x7ca0bf66 -256 -256 -1 -0 -0 -3 -0xf35e -0xb2b1ad6b -256 -256 -1 -0 -0 -4 -0xfd1c -0x784da7e3 -256 -256 -1 -0 -0 -3 -0xca42 -0xf4ba54e6 -256 -256 -0 -0 -0 -1 -0xc8ea -0xd5020b86 -256 -256 -0 -0 -0 -1 -0x6558 -0xc72e800a -256 -256 -0 -0 -0 -4 -0x2fe9 -0xca7f8f8e -256 -256 -0 -0 -0 -3 -0xb4cc -0xc0f4aeac -256 -256 -0 -0 -0 -1 -0xcff5 -0xa22fe90f -256 -256 -0 -0 -0 -4 -0x67b -0x9d4fa47d -256 -256 -0 -0 -0 -3 -0x3b12 -0x25cbb23a -256 -256 -1 -0 -0 -1 -0x3d9a -0x6857cdda -256 -256 -0 -0 -0 -3 -0x92d1 -0xe6ad48ac -256 -256 -1 -0 -0 -4 -0x980c -0xa195d8a6 -256 -256 -0 -0 -0 -2 -0xe84d -0x5a73bf04 -256 -256 -1 -0 -0 -3 -0xa03c -0x39ed25f2 -256 -256 -0 -0 -0 -4 -0xf2a3 -0x28b73cb6 -256 -256 -1 -0 -0 -2 -0x878c -0xc8bbf80d -256 -256 -1 -0 -0 -4 -0x46f2 -0xbd449c04 -256 -256 -1 -0 -0 -1 -0xf96 -0x5715069d -256 -256 -1 -0 -0 -4 -0xd85e -0x1986ebbe -256 -256 -1 -0 -0 -2 -0x29e3 -0xb3b7a8f6 -256 -256 -1 -0 -0 -3 -0x37b5 -0x296d8008 -256 -256 -0 -0 -0 -2 -0xf370 -0xa32100a6 -256 -256 -1 -0 -0 -2 -0xd294 -0x50f0ff0e -256 -256 -1 -0 -0 -1 -0xfcbb -0xa276873a -256 -256 -1 -0 -0 -4 -0xfdc9 -0x221b880f -256 -256 -1 -0 -0 -3 -0x933c -0xbff08116 -256 -256 -1 -0 -0 -4 -0xeb81 -0xe6c6aade -256 -256 -1 -0 -0 -3 -0x450c -0xdadc5941 -256 -256 -0 -0 -0 -2 -0x4787 -0x52da276b -256 -256 -1 -0 -0 -1 -0x57b -0x74cdfdd3 -256 -256 -1 -0 -0 -4 -0xba25 -0x810c4013 -256 -256 -0 -0 -0 -2 -0x2c2e -0x78d4c1fe -256 -256 -0 -0 -0 -3 -0x6ac8 -0x83b7cc4b -256 -256 -1 -0 -0 -2 -0x16cc -0x4ea7b0d1 -256 -256 -0 -0 -0 -2 -0xce44 -0x7cf17523 -256 -256 -0 -0 -0 -3 -0xf21a -0xbb88088b -256 -256 -0 -0 -0 -2 -0xf866 -0xb1a57485 -256 -256 -0 -0 -0 -2 -0x6f58 -0xdd46a786 -256 -256 -0 -0 -0 -1 -0xed9a -0x8f353db -256 -256 -1 -0 -0 -2 -0x6da6 -0xd8035304 -256 -256 -1 -0 -0 -2 -0x614c -0xcad26ea9 -256 -256 -0 -0 -0 -1 -0xcb16 -0xf1fc4790 -256 -256 -1 -0 -0 -4 -0x2eca -0x15892643 -256 -256 -1 -0 -0 -3 -0x3175 -0x82a8de9b -256 -256 -1 -0 -0 -1 -0xe7e3 -0x4b67340f -256 -256 -1 -0 -0 -2 -0x4e3c -0xcc52249e -256 -256 -1 -0 -0 -3 -0x725a -0xdafedfc6 -256 -256 -0 -0 -0 -4 -0x56a2 -0xe6e9bf1c -256 -256 -0 -0 -0 -3 -0x12f8 -0xff7e07cf -256 -256 -1 -0 -0 -4 -0xc87a -0x22b6a405 -256 -256 -1 -0 -0 -1 -0xb46e -0xfaa5e88c -256 -256 -0 -0 -0 -2 -0xafe4 -0x7f6b38d3 -256 -256 -0 -0 -0 -4 -0xb974 -0x7b569477 -256 -256 -1 -0 -0 -3 -0x8aa9 -0x3f508ab3 -256 -256 -0 -0 -0 -4 -0x82f8 -0xc0a71b8 -256 -256 -1 -0 -0 -2 -0x8b72 -0x924098d5 -256 -256 -0 -0 -0 -1 -0xef87 -0xbbe81507 -256 -256 -1 -0 -0 -3 -0x9168 -0x30ec7026 -256 -256 -1 -0 -0 -1 -0x4616 -0x1db77866 -256 -256 -0 -0 -0 -1 -0x2f54 -0x75b6460a -256 -256 -1 -0 -0 -2 -0x1e03 -0x4e2621b3 -256 -256 -0 -0 -0 -2 -0xaa64 -0x1ec296b5 -256 -256 -1 -0 -0 -4 -0xf2a -0x7237fbe -256 -256 -0 -0 -0 -4 -0x2bdb -0x6351035a -256 -256 -0 -0 -0 -4 -0xfe57 -0x6d41e812 -256 -256 -0 -0 -0 -3 -0x9c32 -0xb827ddcd -256 -256 -1 -0 -0 -3 -0x9fc9 -0x8b7c0947 -256 -256 -0 -0 -0 -2 -0xa97b -0x29343285 -256 -256 -0 -0 -0 -3 -0xb2e8 -0xee8fdf7c -256 -256 -1 -0 -0 -2 -0x322b -0x792607da -256 -256 -1 -0 -0 -3 -0xab86 -0xd600611e -256 -256 -0 -0 -0 -1 -0x67a6 -0xaaae898d -256 -256 -1 -0 -0 -1 -0xa5ff -0xf1052036 -256 -256 -1 -0 -0 -3 -0x34bb -0x94ce3592 -256 -256 -1 -0 -0 -4 -0x13e5 -0x81bfff2d -256 -256 -0 -0 -0 -2 -0xc66b -0xb658ad78 -256 -256 -1 -0 -0 -4 -0x2656 -0x99e55b17 -256 -256 -1 -0 -0 -4 -0xeb20 -0xf6766fe3 -256 -256 -1 -0 -0 -4 -0xc61e -0xb9b4e10c -256 -256 -1 -0 -0 -3 -0x5447 -0x16f75c5a -256 -256 -0 -0 -0 -2 -0x6bcc -0x6a1967e2 -256 -256 -1 -0 -0 -1 -0xa727 -0x8c4fc08c -256 -256 -0 -0 -0 -4 -0xfc24 -0xd123b50f -256 -256 -1 -0 -0 -1 -0x99d5 -0x5faf03fd -256 -256 -1 -0 -0 -1 -0xe074 -0x5bf2da72 -256 -256 -1 -0 -0 -3 -0x8150 -0xbe332971 -256 -256 -1 -0 -0 -1 -0x206b -0x72d33874 -256 -256 -0 -0 -0 -2 -0xb78b -0x5a9e13b4 -256 -256 -1 -0 -0 -1 -0xb0a3 -0xf2ac64b7 -256 -256 -0 -0 -0 -1 -0xa00a -0x25530b86 -256 -256 -1 -0 -0 -1 -0xae28 -0x856be179 -256 -256 -0 -0 -0 -3 -0x1280 -0xb2cd7f9 -256 -256 -0 -0 -0 -3 -0x7689 -0x635343cf -256 -256 -0 -0 -0 -4 -0x92df -0x4a46824f -256 -256 -1 -0 -0 -3 -0x6e2d -0x3de91cdd -256 -256 -0 -0 -0 -3 -0xa16f -0xd1864d74 -256 -256 -0 -0 -0 -1 -0xd031 -0xd6f214c8 -256 -256 -1 -0 -0 -2 -0x3b76 -0xc52098f5 -256 -256 -0 -0 -0 -2 -0x31f9 -0xd2fe8141 -256 -256 -0 -0 -0 -4 -0xd1b -0x4a585232 -256 -256 -0 -0 -0 -4 -0xbaeb -0xeda35012 -256 -256 -0 -0 -0 -2 -0x939e -0x5ad0e2ff -256 -256 -1 -0 -0 -2 -0x5c52 -0x51e1a1fa -256 -256 -1 -0 -0 -3 -0xffbd -0xc6e71e40 -256 -256 -1 -0 -0 -3 -0xef58 -0x5a51d644 -256 -256 -0 -0 -0 -1 -0xd76c -0x9b5f77b7 -256 -256 -1 -0 -0 -2 -0x9032 -0x32fa5a02 -256 -256 -0 -0 -0 -2 -0xfc4e -0x637fba5 -256 -256 -0 -0 -0 -4 -0x7156 -0x1f7a5d4f -256 -256 -0 -0 -0 -1 -0x6a8e -0x994be672 -256 -256 -0 -0 -0 -2 -0x4000 -0x5d992268 -256 -256 -0 -0 -0 -3 -0xebbb -0x6460dd32 -256 -256 -1 -0 -0 -1 -0x991f -0xe2f847c5 -256 -256 -1 -0 -0 -1 -0xcdb9 -0xd79417e -256 -256 -1 -0 -0 -1 -0x1156 -0x15a07a15 -256 -256 -1 -0 -0 -1 -0x3375 -0xaf5882f1 -256 -256 -0 -0 -0 -1 -0x71e0 -0x7d9a6ec5 -256 -256 -1 -0 -0 -2 -0x18f -0x8eea5af2 -256 -256 -1 -0 -0 -1 -0xdae8 -0x557e65cf -256 -256 -0 -0 -0 -1 -0xef95 -0x44b5580b -256 -256 -1 -0 -0 -2 -0x21b1 -0xad39a98c -256 -256 -0 -0 -0 -1 -0xd519 -0x4ad48e15 -256 -256 -0 -0 -0 -1 -0xf1db -0x13c54f37 -256 -256 -1 -0 -0 -3 -0x6375 -0xc5c55540 -256 -256 -1 -0 -0 -4 -0xbea5 -0xd042df5b -256 -256 -1 -0 -0 -4 -0x2870 -0xf952f23f -256 -256 -0 -0 -0 -3 -0x8e0f -0x462caa21 -256 -256 -0 -0 -0 -3 -0x58cc -0x548774d2 -256 -256 -0 -0 -0 -1 -0xd48e -0x6f936823 -256 -256 -0 -0 -0 -3 -0x7088 -0xf2d04372 -256 -256 -0 -0 -0 -3 -0x56e1 -0xffdf1aac -256 -256 -0 -0 -0 -2 -0x7978 -0x7845479e -256 -256 -0 -0 -0 -1 -0x90ca -0x4c3c4b45 -256 -256 -0 -0 -0 -3 -0xe8d8 -0xd95586c6 -256 -256 -1 -0 -0 -3 -0x6717 -0x5e275f2c -256 -256 -1 -0 -0 -4 -0xbdb2 -0x5cb15bb3 -256 -256 -1 -0 -0 -3 -0xfa22 -0x1a6c87d -256 -256 -0 -0 -0 -4 -0xcb0f -0x2f45ab34 -256 -256 -0 -0 -0 -2 -0x89e5 -0x395ffafd -256 -256 -0 -0 -0 -1 -0xb9f0 -0x3bbdab8e -256 -256 -0 -0 -0 -2 -0x6535 -0xa6d96266 -256 -256 -1 -0 -0 -1 -0x23c1 -0x6c54e056 -256 -256 -1 -0 -0 -2 -0xae62 -0xa1fecd3f -256 -256 -1 -0 -0 -2 -0xef95 -0xe21592ee -256 -256 -0 -0 -0 -1 -0x1729 -0xd73ddbc -256 -256 -0 -0 -0 -2 -0x5e2a -0x550fe5e1 -256 -256 -0 -0 -0 -4 -0x4622 -0xdc777c7b -256 -256 -0 -0 -0 -1 -0x7a3e -0x29d97d4c -256 -256 -0 -0 -0 -1 -0xf522 -0xbe3851a4 -256 -256 -1 -0 -0 -4 -0xb885 -0xd8a2ed49 -256 -256 -0 -0 -0 -2 -0x6995 -0xcdd0010f -256 -256 -1 -0 -0 -2 -0x4b56 -0x7d479fda -256 -256 -1 -0 -0 -4 -0x5c3b -0x125ec63a -256 -256 -1 -0 -0 -3 -0x623a -0x4600dc9b -256 -256 -0 -0 -0 -4 -0xbc70 -0x801190d8 -256 -256 -1 -0 -0 -3 -0x6ce9 -0x69fe62ab -256 -256 -0 -0 -0 -2 -0x4f67 -0x2b637cf4 -256 -256 -0 -0 -0 -4 -0xdafb -0x82aea59f -256 -256 -0 -0 -0 -3 -0xfa97 -0x265e8210 -256 -256 -1 -0 -0 -4 -0x1598 -0x73af888f -256 -256 -0 -0 -0 -3 -0xe386 -0xc0a5d9f6 -256 -256 -1 -0 -0 -2 -0xcf80 -0x95168f2 -256 -256 -0 -0 -0 -4 -0x49d2 -0x7fb7ba42 -256 -256 -0 -0 -0 -3 -0xf0e -0x15157a28 -256 -256 -1 -0 -0 -4 -0x3a05 -0xa32c2695 -256 -256 -1 -0 -0 -2 -0xcbdc -0x3eae0568 -256 -256 -0 -0 -0 -2 -0x3cff -0x8b171fac -256 -256 -1 -0 -0 -2 -0xefe8 -0x911a9e42 -256 -256 -0 -0 -0 -1 -0xe62f -0x46382e17 -256 -256 -1 -0 -0 -1 -0x5263 -0xc5ce51a3 -256 -256 -1 -0 -0 -2 -0xbbe5 -0x35a845fa -256 -256 -1 -0 -0 -1 -0xe53b -0x4f24437 -256 -256 -0 -0 -0 -4 -0x59f6 -0x2ca903f0 -256 -256 -1 -0 -0 -4 -0x7219 -0x7e12095a -256 -256 -1 -0 -0 -4 -0x3d74 -0x9ed7cefc -256 -256 -1 -0 -0 -2 -0x94f7 -0x483274a7 -256 -256 -1 -0 -0 -4 -0x612e -0x33fa4e92 -256 -256 -1 -0 -0 -4 -0x306c -0x53848a9a -256 -256 -1 -0 -0 -2 -0xd3b3 -0x446a10dc -256 -256 -1 -0 -0 -4 -0x2b6 -0x68fcd336 -256 -256 -1 -0 -0 -2 -0xe2e5 -0xa2de556f -256 -256 -1 -0 -0 -2 -0x9cf -0x32af1c94 -256 -256 -0 -0 -0 -3 -0x529e -0xf84a5e3c -256 -256 -0 -0 -0 -2 -0x185b -0x7a00814 -256 -256 -0 -0 -0 -4 -0xf097 -0x251ddcbb -256 -256 -0 -0 -0 -4 -0xe797 -0xcc297a8b -256 -256 -0 -0 -0 -1 -0x900a -0x8b57cf1a -256 -256 -1 -0 -0 -4 -0x122a -0xf70dc0d2 -256 -256 -1 -0 -0 -1 -0x281c -0x3c3c4742 -256 -256 -0 -0 -0 -1 -0x2a27 -0xb07f2453 -256 -256 -0 -0 -0 -4 -0x1bbf -0x93ebf6d9 -256 -256 -0 -0 -0 -3 -0xff2b -0xb7f525d5 -256 -256 -1 -0 -0 -2 -0x9448 -0x8cd92be -256 -256 -0 -0 -0 -2 -0x7750 -0x287fd599 -256 -256 -0 -0 -0 -1 -0xb32b -0x59b9fc72 -256 -256 -0 -0 -0 -1 -0xe43a -0xd6c68eca -256 -256 -1 -0 -0 -4 -0xae1e -0x36822864 -256 -256 -1 -0 -0 -4 -0x64c -0x284a11d0 -256 -256 -0 -0 -0 -4 -0x7e73 -0xec84604 -256 -256 -1 -0 -0 -1 -0x337a -0xa6913b2c -256 -256 -1 -0 -0 -3 -0x7416 -0x743f1028 -256 -256 -1 -0 -0 -2 -0xf989 -0x9f351327 -256 -256 -1 -0 -0 -4 -0x752 -0x8a333387 -256 -256 -0 -0 -0 -2 -0x3b1 -0x7f2b0081 -256 -256 -0 -0 -0 -4 -0xca0d -0xb1446b2a -256 -256 -1 -0 -0 -4 -0x5750 -0x4b12549b -256 -256 -1 -0 -0 -4 -0xe571 -0x1dedf3f -256 -256 -1 -0 -0 -1 -0xf18d -0x76a1e540 -256 -256 -1 -0 -0 -3 -0xbff8 -0x22ef395d -256 -256 -1 -0 -0 -4 -0xdf36 -0x95876a2a -256 -256 -0 -0 -0 -4 -0x4ce8 -0x9f706c97 -256 -256 -1 -0 -0 -4 -0x13a8 -0x4cc8b184 -256 -256 -1 -0 -0 -2 -0xe8a -0x202bb67 -256 -256 -0 -0 -0 -3 -0x20a9 -0xb0e400dd -256 -256 -0 -0 -0 -2 -0x1b40 -0xc3c7f5ca -256 -256 -0 -0 -0 -1 -0xfa18 -0x9da1d16f -256 -256 -0 -0 -0 -1 -0xdc1f -0xdf6c4a86 -256 -256 -1 -0 -0 -1 -0x7b26 -0x84152e99 -256 -256 -1 -0 -0 -2 -0x2a18 -0x34a40b6c -256 -256 -0 -0 -0 -1 -0xd641 -0x182a90c4 -256 -256 -0 -0 -0 -3 -0x32b4 -0x69c2a099 -256 -256 -0 -0 -0 -1 -0x2264 -0xfcf82f31 -256 -256 -1 -0 -0 -3 -0x9176 -0xcff70e60 -256 -256 -0 -0 -0 -1 -0xac6a -0x9fc80036 -256 -256 -0 -0 -0 -3 -0x1bee -0x41f770fa -256 -256 -0 -0 -0 -1 -0x689 -0xb6e662e -256 -256 -1 -0 -0 -4 -0xaaa7 -0x21b7e424 -256 -256 -1 -0 -0 -3 -0x8d2f -0x2388b59f -256 -256 -0 -0 -0 -3 -0x22d4 -0x30dc0aa9 -256 -256 -1 -0 -0 -2 -0x175 -0xb336eff7 -256 -256 -0 -0 -0 -3 -0xb307 -0x28d8e2a9 -256 -256 -0 -0 -0 -2 -0x77dd -0x5c0a137a -256 -256 -1 -0 -0 -1 -0x7054 -0x9f4aea0d -256 -256 -1 -0 -0 -2 -0x5cf2 -0xae879e0e -256 -256 -1 -0 -0 -4 -0x3c84 -0x1b542054 -256 -256 -0 -0 -0 -2 -0xdec5 -0x540b7f60 -256 -256 -0 -0 -0 -3 -0xd89d -0x92d1e479 -256 -256 -1 -0 -0 -4 -0x34d6 -0x99dd5e49 -256 -256 -0 -0 -0 -4 -0x60 -0x88fee1d1 -256 -256 -1 -0 -0 -1 -0xfab0 -0xb9cfaf0e -256 -256 -1 -0 -0 -2 -0x3311 -0xe52a69af -256 -256 -0 -0 -0 -2 -0xe37c -0x73fa7be4 -256 -256 -1 -0 -0 -4 -0xd297 -0x9fde03a -256 -256 -0 -0 -0 -3 -0x6ae3 -0x2f53d41a -256 -256 -0 -0 -0 -3 -0x7d28 -0x5b254b32 -256 -256 -0 -0 -0 -2 -0x7a7a -0x79407fb1 -256 -256 -0 -0 -0 -1 -0x696e -0x6bfee2d4 -256 -256 -0 -0 -0 -1 -0x3641 -0x66c08d80 -256 -256 -1 -0 -0 -3 -0x3f6 -0xc063f78f -256 -256 -1 -0 -0 -1 -0x6a97 -0x5447fae -256 -256 -0 -0 -0 -4 -0xedfa -0xeb9a67e1 -256 -256 -0 -0 -0 -3 -0x68a2 -0x32a3a6b3 -256 -256 -1 -0 -0 -4 -0x2716 -0x2d579727 -256 -256 -1 -0 -0 -4 -0x6612 -0x5e9eb367 -256 -256 -0 -0 -0 -1 -0x4078 -0x5b8f2ad1 -256 -256 -0 -0 -0 -4 -0xb728 -0x6433a687 -256 -256 -0 -0 -0 -2 -0xab26 -0xe451f818 -256 -256 -1 -0 -0 -1 -0x4ee1 -0x925f3878 -256 -256 -0 -0 -0 -2 -0xc57a -0xb4a55d08 -256 -256 -0 -0 -0 -2 -0x5680 -0xae007be -256 -256 -1 -0 -0 -3 -0xfc0c -0xb7860158 -256 -256 -1 -0 -0 -3 -0xe991 -0x99467c04 -256 -256 -0 -0 -0 -4 -0x2256 -0x34eb2469 -256 -256 -0 -0 -0 -1 -0xf739 -0xc673831b -256 -256 -1 -0 -0 -1 -0x77a0 -0x9714bc7d -256 -256 -1 -0 -0 -1 -0x16da -0x30f04621 -256 -256 -0 -0 -0 -1 -0xe019 -0x116c197d -256 -256 -1 -0 -0 -4 -0x9cdd -0x237f4afa -256 -256 -0 -0 -0 -3 -0x29dc -0x3b48890f -256 -256 -0 -0 -0 -1 -0x7958 -0x39b2ae1d -256 -256 -0 -0 -0 -2 -0xa044 -0x9d2938b7 -256 -256 -0 -0 -0 -4 -0x8130 -0xcf762e52 -256 -256 -0 -0 -0 -2 -0x14b1 -0x4d01c454 -256 -256 -1 -0 -0 -1 -0x5b25 -0x882ce785 -256 -256 -0 -0 -0 -1 -0xa6d8 -0x51436246 -256 -256 -1 -0 -0 -1 -0xdbc -0xba236a8e -256 -256 -1 -0 -0 -3 -0xb83f -0xe2855068 -256 -256 -1 -0 -0 -4 -0xd6ba -0x7f1f3dd9 -256 -256 -0 -0 -0 -4 -0xd80e -0xd841ffa7 -256 -256 -0 -0 -0 -2 -0xefc7 -0x5913a5b0 -256 -256 -0 -0 -0 -2 -0xbe93 -0x2f224cf9 -256 -256 -1 -0 -0 -1 -0x2c30 -0xb3a95e1d -256 -256 -0 -0 -0 -2 -0xee86 -0x78b852bd -256 -256 -1 -0 -0 -2 -0x8e0a -0x63ac0e32 -256 -256 -1 -0 -0 -1 -0x3709 -0x74f6021d -256 -256 -0 -0 -0 -1 -0x60e0 -0x57b759a2 -256 -256 -0 -0 -0 -1 -0x76d1 -0x306ac136 -256 -256 -0 -0 -0 -3 -0x844e -0x38742cd8 -256 -256 -0 -0 -0 -3 -0x82c0 -0x1fb172c8 -256 -256 -0 -0 -0 -2 -0x14d0 -0x90c984cd -256 -256 -1 -0 -0 -4 -0x21dd -0xa88259c0 -256 -256 -0 -0 -0 -4 -0x198d -0x162dcdac -256 -256 -1 -0 -0 -4 -0xb137 -0x2ea304de -256 -256 -0 -0 -0 -3 -0xa87b -0xcd625c6d -256 -256 -0 -0 -0 -2 -0xefc2 -0x14bb4fb3 -256 -256 -0 -0 -0 -2 -0x7b98 -0x1225a1d3 -256 -256 -0 -0 -0 -4 -0xe9e0 -0xafa2a7b5 -256 -256 -0 -0 -0 -4 -0xaaea -0x18bf880 -256 -256 -0 -0 -0 -4 -0xce7b -0xb9383806 -256 -256 -1 -0 -0 -1 -0xc58a -0xf54cfcfa -256 -256 -1 -0 -0 -4 -0x736d -0x628891eb -256 -256 -0 -0 -0 -2 -0xa342 -0xda5ab775 -256 -256 -1 -0 -0 -4 -0xac95 -0xa5833130 -256 -256 -0 -0 -0 -3 -0x29fb -0xdf50b0b3 -256 -256 -0 -0 -0 -3 -0x90fb -0xc0803b6b -256 -256 -0 -0 -0 -3 -0xdc50 -0x4d0dc471 -256 -256 -0 -0 -0 -4 -0xa488 -0x740fadf3 -256 -256 -0 -0 -0 -3 -0x7a56 -0x989948c8 -256 -256 -1 -0 -0 -1 -0x314f -0x80434907 -256 -256 -0 -0 -0 -1 -0xd7c6 -0x47ae33af -256 -256 -0 -0 -0 -4 -0xdf39 -0x8bd0831b -256 -256 -0 -0 -0 -2 -0x7233 -0x9d7e2a29 -256 -256 -0 -0 -0 -1 -0x7970 -0x5865f4a -256 -256 -0 -0 -0 -4 -0x883b -0x22fb084c -256 -256 -1 -0 -0 -3 -0x37c7 -0x89ff1db1 -256 -256 -0 -0 -0 -2 -0x4c8e -0xcc9086c9 -256 -256 -0 -0 -0 -4 -0x41e2 -0xe13fb35b -256 -256 -1 -0 -0 -2 -0x7850 -0x39aef870 -256 -256 -1 -0 -0 -4 -0x425f -0x18d34e48 -256 -256 -0 -0 -0 -3 -0x11e2 -0xf7c5acc6 -256 -256 -1 -0 -0 -2 -0xc2cb -0xa3692b6a -256 -256 -0 -0 -0 -4 -0x8fed -0x88536c39 -256 -256 -1 -0 -0 -4 -0x2387 -0xd9d59bb3 -256 -256 -0 -0 -0 -2 -0xd7c8 -0x2258f616 -256 -256 -0 -0 -0 -2 -0xa0d -0x1c6dbb26 -256 -256 -1 -0 -0 -3 -0x10b1 -0xf90d4827 -256 -256 -1 -0 -0 -1 -0x1424 -0x9aa07f1d -256 -256 -1 -0 -0 -2 -0x7e90 -0xd332fb6f -256 -256 -0 -0 -0 -4 -0x5a1d -0x5f56cd3 -256 -256 -0 -0 -0 -4 -0xcd9d -0x51bb5185 -256 -256 -0 -0 -0 -4 -0xaa13 -0xf00e2cbb -256 -256 -0 -0 -0 -3 -0xaafd -0x2f7f7162 -256 -256 -1 -0 -0 -2 -0xeacd -0xb3a2fe9f -256 -256 -0 -0 -0 -3 -0x35d6 -0xaccf64a8 -256 -256 -1 -0 -0 -3 -0x79df -0x3d59c2f4 -256 -256 -1 -0 -0 -2 -0x896 -0x897c4055 -256 -256 -0 -0 -0 -3 -0x3e24 -0x21f3d2c9 -256 -256 -0 -0 -0 -2 -0xbfa1 -0x52bcaa29 -256 -256 -0 -0 -0 -3 -0x5b29 -0x73857c9a -256 -256 -0 -0 -0 -2 -0x2abb -0x97b9b572 -256 -256 -1 -0 -0 -3 -0xd78c -0x6703edbc -256 -256 -0 -0 -0 -3 -0x7967 -0xcb28dd72 -256 -256 -0 -0 -0 -4 -0xec14 -0x73979702 -256 -256 -0 -0 -0 -4 -0x4413 -0xd7f09774 -256 -256 -0 -0 -0 -2 -0xc57 -0xaa965187 -256 -256 -0 -0 -0 -1 -0xe784 -0x1a5ee3be -256 -256 -0 -0 -0 -4 -0x95eb -0x8f5d616a -256 -256 -0 -0 -0 -2 -0x77ca -0x4e5a0b17 -256 -256 -1 -0 -0 -3 -0xf195 -0x4539f2ef -256 -256 -0 -0 -0 -4 -0x6aa6 -0x61688dfb -256 -256 -1 -0 -0 -4 -0x9bfe -0x64c4671e -256 -256 -0 -0 -0 -3 -0xdc68 -0x61a407f7 -256 -256 -0 -0 -0 -3 -0x8e89 -0x3e8a53e -256 -256 -0 -0 -0 -1 -0x3bd5 -0xfa8374be -256 -256 -1 -0 -0 -4 -0x6fca -0xbc0f9d09 -256 -256 -0 -0 -0 -3 -0xad93 -0x91cb20a -256 -256 -1 -0 -0 -2 -0x6010 -0xa775feef -256 -256 -1 -0 -0 -4 -0xb45d -0x12a94a73 -256 -256 -1 -0 -0 -4 -0xc020 -0x8d279032 -256 -256 -1 -0 -0 -1 -0xc1c6 -0x5f30d61d -256 -256 -0 -0 -0 -2 -0x5f4f -0xd2d13bc5 -256 -256 -0 -0 -0 -2 -0x9ef9 -0x61fd62c4 -256 -256 -0 -0 -0 -2 -0xe822 -0xcce8d84a -256 -256 -0 -0 -0 -1 -0x8fd6 -0xd87e0626 -256 -256 -1 -0 -0 -1 -0x6af1 -0x870d2d20 -256 -256 -0 -0 -0 -1 -0x5a -0xec5938e8 -256 -256 -0 -0 -0 -3 -0x593d -0x2c0a8dee -256 -256 -0 -0 -0 -3 -0xcdc -0x17f83649 -256 -256 -1 -0 -0 -4 -0x3cc3 -0xc4fdd6a3 -256 -256 -0 -0 -0 -4 -0x656e -0xefb17e55 -256 -256 -1 -0 -0 -1 -0xbfe8 -0x462290b4 -256 -256 -0 -0 -0 -1 -0x5b0d -0x36a574c9 -256 -256 -0 -0 -0 -1 -0x8669 -0xcd11b452 -256 -256 -1 -0 -0 -2 -0x56bb -0x90f4e42b -256 -256 -0 -0 -0 -3 -0x42f0 -0x2b44f824 -256 -256 -0 -0 -0 -3 -0xffa2 -0xf3309e7f -256 -256 -1 -0 -0 -2 -0xc6f5 -0x7340f551 -256 -256 -1 -0 -0 -1 -0xaef6 -0xd29888bf -256 -256 -1 -0 -0 -3 -0x618c -0x1ea7c55a -256 -256 -1 -0 -0 -3 -0x840d -0x91b2906 -256 -256 -0 -0 -0 -2 -0x74d2 -0xb234fde5 -256 -256 -0 -0 -0 -2 -0xce44 -0x94b8c4e0 -256 -256 -0 -0 -0 -1 -0x1df -0x96b4d688 -256 -256 -0 -0 -0 -2 -0xa9d8 -0xc401db24 -256 -256 -0 -0 -0 -1 -0x1562 -0x1c72efc1 -256 -256 -0 -0 -0 -3 -0xb04 -0x4be7104f -256 -256 -1 -0 -0 -3 -0xf85 -0xb5dda0a2 -256 -256 -1 -0 -0 -4 -0x885d -0xaaac4fec -256 -256 -0 -0 -0 -2 -0xcc6 -0x14d3f739 -256 -256 -1 -0 -0 -3 -0xc1e1 -0x4fa4e9e -256 -256 -1 -0 -0 -2 -0x6283 -0x8d66d399 -256 -256 -0 -0 -0 -1 -0xb738 -0x876af018 -256 -256 -1 -0 -0 -4 -0x9400 -0x2f946704 -256 -256 -0 -0 -0 -4 -0xe2ec -0xddb2f72a -256 -256 -1 -0 -0 -2 -0xfa55 -0xefd93234 -256 -256 -0 -0 -0 -2 -0xa2b6 -0x7f08cab0 -256 -256 -1 -0 -0 -4 -0x46f7 -0x9e01ae7c -256 -256 -1 -0 -0 -3 -0xf10b -0xf4bccf07 -256 -256 -1 -0 -0 -3 -0x206 -0x39a70cec -256 -256 -0 -0 -0 -2 -0x5a4b -0x6ec1c375 -256 -256 -1 -0 -0 -2 -0x7387 -0x4d1f5f5b -256 -256 -0 -0 -0 -4 -0xd398 -0x1cc40112 -256 -256 -0 -0 -0 -2 -0x3a64 -0xf95e744 -256 -256 -1 -0 -0 -2 -0x3c30 -0x717f1f22 -256 -256 -1 -0 -0 -2 -0xa46c -0x5647a596 -256 -256 -1 -0 -0 -1 -0x9cf7 -0x495b1f8e -256 -256 -0 -0 -0 -3 -0x4572 -0x28fb4644 -256 -256 -0 -0 -0 -3 -0x564a -0x282312b9 -256 -256 -1 -0 -0 -2 -0xe5f4 -0x40e12b30 -256 -256 -1 -0 -0 -4 -0x5067 -0xa56470b0 -256 -256 -1 -0 -0 -2 -0x3e15 -0x1fc69e41 -256 -256 -0 -0 -0 -1 -0xf0f2 -0x56dedf39 -256 -256 -0 -0 -0 -1 -0x7f4c -0x955fc079 -256 -256 -1 -0 -0 -4 -0x7613 -0xca885139 -256 -256 -1 -0 -0 -1 -0x5f8c -0x491d891b -256 -256 -1 -0 -0 -1 -0xeddd -0x8146c64c -256 -256 -1 -0 -0 -4 -0xd5d5 -0xa135803a -256 -256 -0 -0 -0 -3 -0x26bb -0x5c0aae62 -256 -256 -1 -0 -0 -1 -0x3ae1 -0x5bdcfe73 -256 -256 -1 -0 -0 -2 -0x7193 -0xf8c0702c -256 -256 -1 -0 -0 -4 -0x9c4d -0x6e32dfcc -256 -256 -0 -0 -0 -2 -0xba1f -0x5461bfc8 -256 -256 -1 -0 -0 -3 -0x8153 -0xaf9663ca -256 -256 -0 -0 -0 -2 -0xcb17 -0xc62ad1da -256 -256 -1 -0 -0 -4 -0x168d -0x92e9d3e4 -256 -256 -0 -0 -0 -2 -0xc6d -0xca615d32 -256 -256 -0 -0 -0 -2 -0xa73b -0x2c889e10 -256 -256 -1 -0 -0 -4 -0x242d -0x694cc37b -256 -256 -1 -0 -0 -3 -0x1f2d -0xa5afbab2 -256 -256 -1 -0 -0 -4 -0xc5cb -0xf9352025 -256 -256 -0 -0 -0 -2 -0x58ca -0x8ae32e88 -256 -256 -1 -0 -0 -3 -0x13d9 -0x816d18f0 -256 -256 -1 -0 -0 -4 -0x389 -0xe94bf37d -256 -256 -1 -0 -0 -2 -0x41b0 -0xb55c2e11 -256 -256 -1 -0 -0 -3 -0xc8af -0x6b85eb52 -256 -256 -0 -0 -0 -3 -0x2f11 -0xa851f190 -256 -256 -1 -0 -0 -2 -0xf2d4 -0xedf8a807 -256 -256 -1 -0 -0 -4 -0xc462 -0x5a3f4667 -256 -256 -0 -0 -0 -4 -0x479f -0x9a964c69 -256 -256 -0 -0 -0 -2 -0xd9e9 -0x3a3162a8 -256 -256 -0 -0 -0 -2 -0x471a -0xb65455ae -256 -256 -1 -0 -0 -4 -0x17b1 -0x4937b78c -256 -256 -1 -0 -0 -1 -0xcab7 -0xb66ce365 -256 -256 -0 -0 -0 -1 -0xfacd -0xe65209fc -256 -256 -1 -0 -0 -3 -0x9d35 -0x6a9c8f53 -256 -256 -1 -0 -0 -3 -0x702a -0x8873aea6 -256 -256 -1 -0 -0 -3 -0x406d -0xcb90de88 -256 -256 -0 -0 -0 -2 -0xa0d6 -0xf660f779 -256 -256 -0 -0 -0 -3 -0x4cd -0xfe5e898f -256 -256 -1 -0 -0 -1 -0xfdb2 -0xbaec5ef4 -256 -256 -1 -0 -0 -1 -0x991a -0x87b23601 -256 -256 -0 -0 -0 -2 -0x36f3 -0x361d994f -256 -256 -0 -0 -0 -4 -0x5a76 -0x154b2e5e -256 -256 -0 -0 -0 -4 -0x439e -0xef013f04 -256 -256 -1 -0 -0 -1 -0x8bf2 -0x87789203 -256 -256 -0 -0 -0 -2 -0xe3d4 -0x25ae52b -256 -256 -1 -0 -0 -4 -0xac9e -0x5998d454 -256 -256 -1 -0 -0 -2 -0xbb8 -0xfccd71d8 -256 -256 -0 -0 -0 -2 -0xa66 -0xb5db49e0 -256 -256 -1 -0 -0 -1 -0x14a1 -0x44aebc8f -256 -256 -0 -0 -0 -1 -0x559d -0x2cd1ac9 -256 -256 -0 -0 -0 -2 -0xcba4 -0x61b36ef1 -256 -256 -0 -0 -0 -4 -0x9f78 -0x98923fca -256 -256 -0 -0 -0 -2 -0xb6c1 -0xf39ef3f3 -256 -256 -1 -0 -0 -1 -0x571 -0x858bd138 -256 -256 -0 -0 -0 -4 -0x2973 -0xab5bc0ca -256 -256 -0 -0 -0 -1 -0xa90 -0xb420de33 -256 -256 -1 -0 -0 -3 -0x3fda -0x9ab04e0 -256 -256 -0 -0 -0 -1 -0xe8a3 -0x66c1059e -256 -256 -0 -0 -0 -1 -0x29dd -0x8bbc1b74 -256 -256 -1 -0 -0 -3 -0x42ae -0xad21cd8 -256 -256 -1 -0 -0 -1 -0x8d1d -0x3d488d60 -256 -256 -0 -0 -0 -4 -0x71bd -0x9de297f3 -256 -256 -1 -0 -0 -2 -0x24de -0xed278604 -256 -256 -1 -0 -0 -3 -0xe7c -0xe6091e74 -256 -256 -0 -0 -0 -2 -0x1b51 -0x6d1c7a0b -256 -256 -0 -0 -0 -1 -0x3150 -0xc3f87c22 -256 -256 -1 -0 -0 -1 -0xebdd -0x4345e77a -256 -256 -1 -0 -0 -3 -0xd2a3 -0x27446b16 -256 -256 -0 -0 -0 -1 -0xe3a9 -0x81086858 -256 -256 -1 -0 -0 -4 -0xe444 -0x389ae36e -256 -256 -1 -0 -0 -3 -0x63f8 -0x6683bfdd -256 -256 -0 -0 -0 -2 -0x6a0a -0x2723467e -256 -256 -1 -0 -0 -3 -0x4cb6 -0xace71f77 -256 -256 -0 -0 -0 -3 -0x81c0 -0x1e9c8b54 -256 -256 -0 -0 -0 -2 -0x78a4 -0xb3fa52a1 -256 -256 -0 -0 -0 -1 -0xab99 -0xc81625ca -256 -256 -0 -0 -0 -2 -0x474a -0x861ec05d -256 -256 -1 -0 -0 -1 -0xa093 -0x27b4d105 -256 -256 -1 -0 -0 -3 -0x89e3 -0x9b446457 -256 -256 -0 -0 -0 -4 -0x423f -0x41b5588 -256 -256 -0 -0 -0 -4 -0xd275 -0x13b19632 -256 -256 -0 -0 -0 -3 -0xb4d1 -0x17a20a71 -256 -256 -1 -0 -0 -2 -0x753c -0xaecb9130 -256 -256 -0 -0 -0 -4 -0x5fa8 -0x7fef7631 -256 -256 -1 -0 -0 -2 -0x3ca2 -0xcd837964 -256 -256 -0 -0 -0 -2 -0x1ef8 -0xad66e0ef -256 -256 -1 -0 -0 -2 -0x58f1 -0xd58130c3 -256 -256 -1 -0 -0 -1 -0x9661 -0xedf445f0 -256 -256 -0 -0 -0 -2 -0x6019 -0xf516900b -256 -256 -0 -0 -0 -2 -0xb5ea -0x2500ad4b -256 -256 -0 -0 -0 -4 -0xafe -0x3d5afffc -256 -256 -0 -0 -0 -1 -0x65f3 -0x6e4bf558 -256 -256 -0 -0 -0 -1 -0xb1a9 -0xc8f0c75d -256 -256 -0 -0 -0 -4 -0x3b47 -0xc67005c9 -256 -256 -1 -0 -0 -4 -0x5e45 -0x1f2ded6f -256 -256 -1 -0 -0 -4 -0xf8b7 -0x301258c1 -256 -256 -1 -0 -0 -2 -0x2ba9 -0x3e95be8b -256 -256 -1 -0 -0 -1 -0xec87 -0x5ea09a43 -256 -256 -1 -0 -0 -1 -0xf74 -0x9de2a971 -256 -256 -0 -0 -0 -2 -0x2fb7 -0x160e295c -256 -256 -1 -0 -0 -1 -0xcf7b -0x3c4ecd85 -256 -256 -0 -0 -0 -2 -0xd7e3 -0xcfff4df6 -256 -256 -0 -0 -0 -1 -0x4778 -0x7d84cd90 -256 -256 -1 -0 -0 -2 -0x8427 -0xebd8bba3 -256 -256 -1 -0 -0 -4 -0xf2a0 -0xca004213 -256 -256 -1 -0 -0 -3 -0xc991 -0xb20a7246 -256 -256 -0 -0 -0 -1 -0x58ab -0x86668402 -256 -256 -0 -0 -0 -3 -0x8500 -0x73e03dc -256 -256 -0 -0 -0 -4 -0x440c -0xd0916005 -256 -256 -0 -0 -0 -2 -0xb8e3 -0x4aaa7c2f -256 -256 -0 -0 -0 -4 -0x4466 -0x2c55f730 -256 -256 -0 -0 -0 -4 -0xfa00 -0x35f98489 -256 -256 -0 -0 -0 -3 -0x4299 -0x5743071f -256 -256 -0 -0 -0 -2 -0x316 -0x5e6f5de -256 -256 -0 -0 -0 -2 -0x3958 -0x2e23d4fc -256 -256 -1 -0 -0 -3 -0x24eb -0x10b6802b -256 -256 -0 -0 -0 -1 -0x2b8e -0x684afbb7 -256 -256 -1 -0 -0 -1 -0xea01 -0xd4e81ad4 -256 -256 -1 -0 -0 -2 -0xa0ea -0x27899364 -256 -256 -1 -0 -0 -4 -0x466f -0x78ffdcc8 -256 -256 -0 -0 -0 -3 -0xd26f -0x7b856fd1 -256 -256 -0 -0 -0 -4 -0x86d3 -0xda9801e7 -256 -256 -1 -0 -0 -2 -0x4cbe -0xd6f98cc7 -256 -256 -0 -0 -0 -3 -0x4a6b -0x1fe62d97 -256 -256 -0 -0 -0 -1 -0xacd8 -0xcb39b61a -256 -256 -0 -0 -0 -3 -0xc6f1 -0x482f6f8e -256 -256 -1 -0 -0 -2 -0x9599 -0x81f4f5ea -256 -256 -0 -0 -0 -4 -0xba53 -0x3cb6d2e3 -256 -256 -1 -0 -0 -3 -0xe89 -0xf30a32f7 -256 -256 -0 -0 -0 -3 -0xe5d1 -0x6ce3fd69 -256 -256 -0 -0 -0 -1 -0x9c15 -0x18f55a3f -256 -256 -1 -0 -0 -4 -0x9189 -0x4257c26e -256 -256 -1 -0 -0 -3 -0x9356 -0x3cfd90fc -256 -256 -1 -0 -0 -4 -0xc9ca -0xc80a1a75 -256 -256 -0 -0 -0 -2 -0x1fcd -0xb1f1edfc -256 -256 -1 -0 -0 -3 -0xd21 -0xf088fca6 -256 -256 -1 -0 -0 -3 -0xfd97 -0x85aae879 -256 -256 -1 -0 -0 -4 -0xaf75 -0xf22a1aa -256 -256 -0 -0 -0 -4 -0x912b -0x77b1c4ef -256 -256 -1 -0 -0 -3 -0xd837 -0x4cedc68e -256 -256 -0 -0 -0 -3 -0x600c -0x721f069b -256 -256 -1 -0 -0 -3 -0x37aa -0xd3c929a2 -256 -256 -0 -0 -0 -4 -0x8964 -0xa56d4c51 -256 -256 -0 -0 -0 -4 -0xeb44 -0x4062f1f9 -256 -256 -0 -0 -0 -4 -0x80ec -0x9d343b18 -256 -256 -1 -0 -0 -3 -0xc3d0 -0xffbff7d4 -256 -256 -0 -0 -0 -3 -0xeecd -0x4d998b38 -256 -256 -1 -0 -0 -4 -0xc51a -0x3202ab51 -256 -256 -1 -0 -0 -1 -0x5d93 -0xfec60e3d -256 -256 -1 -0 -0 -4 -0x8964 -0x3ad33018 -256 -256 -0 -0 -0 -3 -0x17aa -0x15a72c4d -256 -256 -0 -0 -0 -2 -0xe7db -0xaf4d76cf -256 -256 -1 -0 -0 -2 -0x41ac -0x17666744 -256 -256 -1 -0 -0 -3 -0xa97c -0x10e539da -256 -256 -0 -0 -0 -1 -0x2431 -0x62914065 -256 -256 -0 -0 -0 -4 -0x280c -0x91277d24 -256 -256 -0 -0 -0 -4 -0xf00 -0xccdbaac3 -256 -256 -1 -0 -0 -1 -0x78d0 -0x63ba9e40 -256 -256 -1 -0 -0 -3 -0xaabd -0x1319e123 -256 -256 -1 -0 -0 -2 -0xad0d -0x7c696fdf -256 -256 -0 -0 -0 -4 -0x78c1 -0x42799ac3 -256 -256 -0 -0 -0 -3 -0x908d -0xb10a228c -256 -256 -0 -0 -0 -2 -0x5123 -0x997373bd -256 -256 -0 -0 -0 -2 -0xde5f -0x6eb8ca30 -256 -256 -0 -0 -0 -4 -0xb5e -0x8cb35911 -256 -256 -1 -0 -0 -2 -0xe929 -0xc0207b37 -256 -256 -1 -0 -0 -3 -0xb4cc -0x450c90cf -256 -256 -1 -0 -0 -2 -0x6ea2 -0xdc8ed6b7 -256 -256 -0 -0 -0 -3 -0xabd4 -0xd6a64d98 -256 -256 -1 -0 -0 -2 -0x5311 -0x5e0dc301 -256 -256 -1 -0 -0 -4 -0xd9d3 -0xcdd84720 -256 -256 -1 -0 -0 -4 -0x193c -0x83002493 -256 -256 -1 -0 -0 -2 -0x9aee -0xedc293df -256 -256 -0 -0 -0 -3 -0xc696 -0xda4cfcbc -256 -256 -1 -0 -0 -4 -0xd944 -0x1014305e -256 -256 -1 -0 -0 -4 -0xeb63 -0x7b53ba32 -256 -256 -1 -0 -0 -1 -0x228d -0xe1c7f318 -256 -256 -1 -0 -0 -1 -0xe89c -0xc0c8f705 -256 -256 -1 -0 -0 -1 -0xdb4e -0x71e7355c -256 -256 -0 -0 -0 -2 -0xe254 -0xb6dc22be -256 -256 -0 -0 -0 -1 -0x3030 -0xa0ca5caf -256 -256 -1 -0 -0 -4 -0x6c02 -0x44726203 -256 -256 -0 -0 -0 -4 -0x8d9f -0x7603799c -256 -256 -0 -0 -0 -3 -0x9982 -0x4acc404 -256 -256 -1 -0 -0 -2 -0x4d42 -0x759ea5bb -256 -256 -0 -0 -0 -4 -0x1d1a -0xa66c8889 -256 -256 -0 -0 -0 -3 -0xff75 -0x16d84813 -256 -256 -0 -0 -0 -4 -0xec6e -0xa0786110 -256 -256 -1 -0 -0 -3 -0x7ad3 -0xd6c9b3cb -256 -256 -0 -0 -0 -1 -0xb5cf -0x9968b3c9 -256 -256 -0 -0 -0 -2 -0xd56c -0x4cb59c12 -256 -256 -1 -0 -0 -2 -0xbb79 -0x1952d90f -256 -256 -1 -0 -0 -3 -0x4e4d -0xf73fdd2a -256 -256 -1 -0 -0 -1 -0x651c -0x48a93e2f -256 -256 -1 -0 -0 -4 -0x3ed7 -0xa89ed67c -256 -256 -0 -0 -0 -4 -0xcd2c -0x60ae4705 -256 -256 -0 -0 -0 -2 -0x8ae8 -0xc819be69 -256 -256 -1 -0 -0 -1 -0x9198 -0xe77a1f79 -256 -256 -0 -0 -0 -4 -0xc2e6 -0x4dd47444 -256 -256 -1 -0 -0 -1 -0xf5d0 -0xd809a532 -256 -256 -0 -0 -0 -4 -0x2123 -0x9b07359f -256 -256 -1 -0 -0 -2 -0xa2b1 -0x1204a7cf -256 -256 -1 -0 -0 -4 -0x983c -0x31bf4cd4 -256 -256 -1 -0 -0 -2 -0x79a8 -0x14fd5283 -256 -256 -0 -0 -0 -4 -0x60de -0x4daec9d9 -256 -256 -1 -0 -0 -2 -0x63c0 -0xb00903b6 -256 -256 -1 -0 -0 -3 -0x4a65 -0xc051dcbc -256 -256 -0 -0 -0 -2 -0x72a7 -0x15a415a3 -256 -256 -1 -0 -0 -4 -0xd67f -0x960af95 -256 -256 -0 -0 -0 -3 -0x1949 -0x14d54768 -256 -256 -0 -0 -0 -4 -0x40b8 -0x1b743a28 -256 -256 -1 -0 -0 -2 -0xe729 -0xcbdedf65 -256 -256 -1 -0 -0 -2 -0x2c0c -0x8ca359f7 -256 -256 -0 -0 -0 -2 -0x9377 -0xb148c3a7 -256 -256 -1 -0 -0 -4 -0x571f -0xa8824f6b -256 -256 -0 -0 -0 -3 -0xac9e -0xf8f1d85e -256 -256 -0 -0 -0 -3 -0xaa62 -0xf8cbb5a3 -256 -256 -0 -0 -0 -4 -0x5777 -0x3e638d65 -256 -256 -0 -0 -0 -2 -0xc9ed -0x3259c1c -256 -256 -1 -0 -0 -2 -0x4816 -0x83309dc0 -256 -256 -0 -0 -0 -2 -0xe869 -0x8c91719b -256 -256 -0 -0 -0 -3 -0xa121 -0x9b6cdb59 -256 -256 -0 -0 -0 -4 -0x69a3 -0x5bd8fe97 -256 -256 -0 -0 -0 -3 -0x6412 -0xa2e6175d -256 -256 -0 -0 -0 -3 -0xc401 -0x6af488c2 -256 -256 -1 -0 -0 -4 -0xc7b8 -0xc6c5389f -256 -256 -0 -0 -0 -3 -0x88 -0xf991c412 -256 -256 -0 -0 -0 -3 -0xacb7 -0xdea17999 -256 -256 -0 -0 -0 -2 -0xeff4 -0x22c2e775 -256 -256 -0 -0 -0 -4 -0xa771 -0xcaa40857 -256 -256 -1 -0 -0 -3 -0x7988 -0x36c9140b -256 -256 -1 -0 -0 -1 -0xb987 -0xa22f3bcf -256 -256 -1 -0 -0 -2 -0x6998 -0xcb78743d -256 -256 -0 -0 -0 -3 -0x2f65 -0x35a66b6b -256 -256 -1 -0 -0 -4 -0x3ab5 -0x7bbfe7c9 -256 -256 -1 -0 -0 -1 -0x599 -0x27d9d5b -256 -256 -1 -0 -0 -3 -0xfded -0x90c3ce5e -256 -256 -1 -0 -0 -2 -0x79e -0xd12bda1 -256 -256 -1 -0 -0 -2 -0xc8ad -0xffe5ae6c -256 -256 -0 -0 -0 -2 -0xcf7f -0x3c38bc34 -256 -256 -1 -0 -0 -2 -0x14d4 -0x7f458382 -256 -256 -0 -0 -0 -1 -0x7541 -0x7f2db2bd -256 -256 -0 -0 -0 -2 -0xe166 -0x50b85e18 -256 -256 -0 -0 -0 -1 -0x30a5 -0x485781cd -256 -256 -0 -0 -0 -2 -0x1fd0 -0x64446b53 -256 -256 -0 -0 -0 -3 -0x3c83 -0xeaccd4f9 -256 -256 -0 -0 -0 -2 -0xdc55 -0xe03c5736 -256 -256 -0 -0 -0 -4 -0x96a2 -0x8219502f -256 -256 -1 -0 -0 -3 -0x1d5e -0x2606e455 -256 -256 -1 -0 -0 -1 -0xc3f2 -0x73175286 -256 -256 -0 -0 -0 -2 -0x12d6 -0xc4dc60ac -256 -256 -1 -0 -0 -2 -0x81a9 -0x84de6761 -256 -256 -0 -0 -0 -1 -0x93e5 -0x7df3fa0b -256 -256 -1 -0 -0 -3 -0xc0a -0x43f5d885 -256 -256 -0 -0 -0 -1 -0xdc7b -0x13f7a0cb -256 -256 -1 -0 -0 -3 -0x4379 -0x7d496897 -256 -256 -0 -0 -0 -2 -0xee96 -0x69e7e151 -256 -256 -0 -0 -0 -1 -0xc5c7 -0x4f889a11 -256 -256 -0 -0 -0 -1 -0xe43b -0x6961f25a -256 -256 -1 -0 -0 -2 -0x1c3f -0x36d09ab9 -256 -256 -0 -0 -0 -4 -0xeead -0xabf71a1b -256 -256 -1 -0 -0 -4 -0xa7e9 -0x174f9709 -256 -256 -1 -0 -0 -3 -0x5813 -0xd6c87a5f -256 -256 -1 -0 -0 -4 -0xe9a3 -0xd5c76240 -256 -256 -0 -0 -0 -2 -0x9c1f -0xc407b074 -256 -256 -1 -0 -0 -4 -0x34ef -0x88a1e149 -256 -256 -0 -0 -0 -1 -0x745b -0x160c6663 -256 -256 -0 -0 -0 -4 -0xb3 -0x7934bd0e -256 -256 -0 -0 -0 -1 -0x7772 -0x5f99a51f -256 -256 -0 -0 -0 -4 -0xda42 -0xd2278def -256 -256 -0 -0 -0 -4 -0xa5c9 -0xbac917dc -256 -256 -1 -0 -0 -2 -0xf6ed -0xc7643532 -256 -256 -0 -0 -0 -2 -0x5dea -0x54b7bab9 -256 -256 -0 -0 -0 -1 -0x5b09 -0x8ceeea05 -256 -256 -0 -0 -0 -3 -0xee2a -0xfe53630a -256 -256 -0 -0 -0 -1 -0xe708 -0xc2171b1e -256 -256 -1 -0 -0 -3 -0x2679 -0x9c96470f -256 -256 -1 -0 -0 -4 -0xafa8 -0x3bc601c1 -256 -256 -0 -0 -0 -4 -0x6055 -0xc0120aed -256 -256 -0 -0 -0 -4 -0xe7b4 -0xafbea73 -256 -256 -0 -0 -0 -1 -0x9b27 -0x700efa08 -256 -256 -1 -0 -0 -4 -0xb1bb -0xfe911457 -256 -256 -1 -0 -0 -2 -0x479b -0x219ebb20 -256 -256 -1 -0 -0 -1 -0xd33c -0x8c953ea5 -256 -256 -0 -0 -0 -2 -0x1f94 -0xdef1fac5 -256 -256 -1 -0 -0 -3 -0x15e2 -0x2ee2a61a -256 -256 -1 -0 -0 -1 -0x5268 -0xa20dba14 -256 -256 -1 -0 -0 -2 -0xb6b6 -0xc6c96937 -256 -256 -1 -0 -0 -1 -0x4fa0 -0x4fd45e59 -256 -256 -0 -0 -0 -3 -0xdd12 -0x12db5c8f -256 -256 -1 -0 -0 -1 -0x5374 -0xcf78d1c3 -256 -256 -0 -0 -0 -2 -0xd94c -0x9adc7b94 -256 -256 -0 -0 -0 -2 -0xc066 -0xef2cc9d3 -256 -256 -0 -0 -0 -3 -0x7b8d -0xcb14f181 -256 -256 -1 -0 -0 -1 -0x1a52 -0x8527b516 -256 -256 -1 -0 -0 -3 -0xb005 -0xafe7035d -256 -256 -1 -0 -0 -4 -0xf326 -0xb2cf80bc -256 -256 -0 -0 -0 -3 -0x8bda -0x5e3f2c51 -256 -256 -0 -0 -0 -2 -0x7157 -0xcfdba3eb -256 -256 -0 -0 -0 -2 -0x2016 -0x2c60dc32 -256 -256 -0 -0 -0 -4 -0xeeb2 -0x8a9dbd10 -256 -256 -1 -0 -0 -3 -0xdc8b -0x16e6f5fd -256 -256 -1 -0 -0 -2 -0x8887 -0x2f105507 -256 -256 -1 -0 -0 -4 -0x96e0 -0xd38fe58a -256 -256 -0 -0 -0 -2 -0x1058 -0xcb54a650 -256 -256 -0 -0 -0 -3 -0xe91b -0x635de166 -256 -256 -0 -0 -0 -3 -0x5f8a -0x90f0d699 -256 -256 -0 -0 -0 -3 -0x5dc8 -0x71f8efdc -256 -256 -0 -0 -0 -2 -0x7d1b -0xbe5dee76 -256 -256 -0 -0 -0 -1 -0xc95b -0xa254105b -256 -256 -1 -0 -0 -2 -0xb9b3 -0xee3de9c7 -256 -256 -1 -0 -0 -3 -0x6d8d -0xb89532e6 -256 -256 -1 -0 -0 -4 -0x14de -0x3f9710d9 -256 -256 -0 -0 -0 -1 -0xccee -0x46d0b9ed -256 -256 -1 -0 -0 -1 -0x2022 -0x58e7f010 -256 -256 -0 -0 -0 -2 -0xe338 -0xb2232627 -256 -256 -0 -0 -0 -2 -0x4a35 -0x89a3f822 -256 -256 -1 -0 -0 -1 -0x2c1f -0xf51ea78c -256 -256 -0 -0 -0 -2 -0x804c -0xe866ff52 -256 -256 -0 -0 -0 -2 -0xb610 -0x9a832bf8 -256 -256 -0 -0 -0 -4 -0x77c1 -0x752ef618 -256 -256 -0 -0 -0 -1 -0xac9d -0x257858dc -256 -256 -1 -0 -0 -4 -0xe055 -0x1af3c4d0 -256 -256 -1 -0 -0 -3 -0x3d87 -0x1d6820a0 -256 -256 -1 -0 -0 -4 -0xbbda -0xc7b42553 -256 -256 -1 -0 -0 -1 -0x9eb8 -0xda5f8a91 -256 -256 -1 -0 -0 -2 -0x8deb -0xd2c4fc07 -256 -256 -1 -0 -0 -2 -0x5795 -0xca855f23 -256 -256 -1 -0 -0 -3 -0x47c5 -0x9bc6c8aa -256 -256 -0 -0 -0 -3 -0x96b0 -0xf72323b5 -256 -256 -1 -0 -0 -2 -0x43ec -0xb20a89ac -256 -256 -1 -0 -0 -1 -0x7cb7 -0x628cc8ab -256 -256 -1 -0 -0 -1 -0x26d0 -0x834edd -256 -256 -0 -0 -0 -4 -0x23f0 -0xe57d2b0e -256 -256 -1 -0 -0 -2 -0x169f -0xf8104c1f -256 -256 -0 -0 -0 -1 -0x84d8 -0xd8104f3 -256 -256 -1 -0 -0 -4 -0x62bc -0x1c05f0fb -256 -256 -1 -0 -0 -1 -0xbbef -0x6f6c7c4a -256 -256 -1 -0 -0 -2 -0x3130 -0x3c46d29d -256 -256 -1 -0 -0 -4 -0x2334 -0x2b16ee19 -256 -256 -1 -0 -0 -1 -0x4ac9 -0x31e9f4aa -256 -256 -1 -0 -0 -3 -0x7b9e -0x6d735d7a -256 -256 -0 -0 -0 -4 -0xa915 -0x2e4e46ce -256 -256 -1 -0 -0 -4 -0x3abb -0xe2cdcb77 -256 -256 -1 -0 -0 -3 -0x912c -0xdcb0f405 -256 -256 -0 -0 -0 -4 -0x9bea -0x7368ea39 -256 -256 -0 -0 -0 -2 -0xf950 -0xc85c8e3 -256 -256 -1 -0 -0 -1 -0x8617 -0x67425a59 -256 -256 -0 -0 -0 -3 -0xc919 -0xe42e72af -256 -256 -1 -0 -0 -4 -0x1266 -0xa3bdf886 -256 -256 -1 -0 -0 -3 -0x1c97 -0x61c1c725 -256 -256 -0 -0 -0 -4 -0x990f -0xbb27ff6f -256 -256 -1 -0 -0 -1 -0xc61 -0x7af8eee1 -256 -256 -0 -0 -0 -3 -0x6ea0 -0xbb168f95 -256 -256 -1 -0 -0 -3 -0x8691 -0x880d75b6 -256 -256 -1 -0 -0 -4 -0xb47a -0xa763cf01 -256 -256 -0 -0 -0 -1 -0xe89a -0x6256a247 -256 -256 -1 -0 -0 -1 -0xce34 -0xa56ffc56 -256 -256 -1 -0 -0 -1 -0x3b6d -0xc7ee4aa7 -256 -256 -0 -0 -0 -1 -0xb71c -0xbb93cddc -256 -256 -1 -0 -0 -3 -0x2950 -0x74351a8c -256 -256 -0 -0 -0 -3 -0x2e40 -0x52a1ad2f -256 -256 -1 -0 -0 -2 -0x1214 -0x7ade5489 -256 -256 -0 -0 -0 -3 -0xa9a7 -0x52c3f15b -256 -256 -0 -0 -0 -3 -0x5af2 -0x9c19a4a -256 -256 -1 -0 -0 -1 -0x82dd -0x725104e0 -256 -256 -0 -0 -0 -2 -0x2738 -0xe2885a74 -256 -256 -1 -0 -0 -1 -0x622e -0x238e1020 -256 -256 -1 -0 -0 -3 -0xb99a -0xdfb1b13f -256 -256 -0 -0 -0 -3 -0x5d6c -0x71735cb6 -256 -256 -1 -0 -0 -2 -0x5bd4 -0xb11437e -256 -256 -1 -0 -0 -4 -0x45f9 -0xdd44ab9e -256 -256 -0 -0 -0 -2 -0xf368 -0xf2e69527 -256 -256 -1 -0 -0 -2 -0x3713 -0x8e27cc65 -256 -256 -1 -0 -0 -1 -0x3591 -0x4ed22287 -256 -256 -1 -0 -0 -3 -0x680f -0x3ff0945e -256 -256 -1 -0 -0 -3 -0x7cfe -0x6bda43f8 -256 -256 -0 -0 -0 -3 -0xf1ef -0x1a6992d2 -256 -256 -1 -0 -0 -1 -0xf13d -0x65b1337d -256 -256 -0 -0 -0 -4 -0xc34a -0xe0f3638c -256 -256 -0 -0 -0 -2 -0x158b -0xa7c13b29 -256 -256 -1 -0 -0 -1 -0x39a1 -0xc9508b2a -256 -256 -0 -0 -0 -2 -0x25d7 -0x3ea4b124 -256 -256 -1 -0 -0 -3 -0x181d -0xb0473cf7 -256 -256 -1 -0 -0 -3 -0x7947 -0x49e98312 -256 -256 -1 -0 -0 -4 -0x7e0d -0xd0316508 -256 -256 -1 -0 -0 -3 -0x23d8 -0x1116f3c2 -256 -256 -1 -0 -0 -4 -0xa6f0 -0xcd694811 -256 -256 -1 -0 -0 -2 -0x10bc -0xd3934ba1 -256 -256 -1 -0 -0 -1 -0x15fa -0x59f1025d -256 -256 -1 -0 -0 -3 -0x6da1 -0x421e5de7 -256 -256 -1 -0 -0 -2 -0xa87e -0x5a087aff -256 -256 -1 -0 -0 -2 -0xa617 -0x73c1f38 -256 -256 -0 -0 -0 -3 -0xc34 -0xed349929 -256 -256 -1 -0 -0 -2 -0xf3c7 -0xc7fa2fc -256 -256 -0 -0 -0 -2 -0x886a -0x1301d8fe -256 -256 -1 -0 -0 -1 -0xddc4 -0x4decaf31 -256 -256 -1 -0 -0 -1 -0x2847 -0xda19e285 -256 -256 -1 -0 -0 -4 -0x4356 -0x43f44027 -256 -256 -1 -0 -0 -2 -0x8554 -0x9b3ede4 -256 -256 -1 -0 -0 -4 -0x58ca -0xf6775f97 -256 -256 -1 -0 -0 -1 -0x1cc4 -0x50b9c571 -256 -256 -0 -0 -0 -4 -0x2be0 -0x8235d9a4 -256 -256 -1 -0 -0 -2 -0x8345 -0xa38ef95d -256 -256 -0 -0 -0 -3 -0x86fd -0xce5afe6c -256 -256 -0 -0 -0 -2 -0x7879 -0xa0260f6f -256 -256 -0 -0 -0 -1 -0x99ba -0x297f2ee9 -256 -256 -0 -0 -0 -1 -0xa20e -0x559d25fa -256 -256 -0 -0 -0 -3 -0x1f65 -0x5b00777f -256 -256 -1 -0 -0 -3 -0x385f -0x2dd3e2cd -256 -256 -0 -0 -0 -1 -0xfe6 -0xd4317fef -256 -256 -1 -0 -0 -3 -0xae91 -0xefef8d44 -256 -256 -0 -0 -0 -3 -0x3efe -0xc385f436 -256 -256 -1 -0 -0 -1 -0xb789 -0xe0d60744 -256 -256 -0 -0 -0 -1 -0xd41 -0x52e24545 -256 -256 -1 -0 -0 -2 -0x69e3 -0x19912fdb -256 -256 -0 -0 -0 -1 -0xa2fb -0xb8996b2b -256 -256 -0 -0 -0 -4 -0x3f1a -0x97676096 -256 -256 -0 -0 -0 -3 -0x5903 -0x94b16240 -256 -256 -0 -0 -0 -3 -0x8de2 -0x576f7b24 -256 -256 -0 -0 -0 -3 -0x76aa -0x71bbc289 -256 -256 -1 -0 -0 -2 -0x291 -0xd34a9f86 -256 -256 -1 -0 -0 -1 -0x1356 -0x34acd93f -256 -256 -0 -0 -0 -4 -0x9f3e -0x3d73849b -256 -256 -1 -0 -0 -3 -0x2374 -0xd9ac77b5 -256 -256 -1 -0 -0 -3 -0xbd76 -0x5092bc2 -256 -256 -1 -0 -0 -1 -0x385a -0x6ebf79d0 -256 -256 -1 -0 -0 -3 -0x7c3f -0x83c76445 -256 -256 -0 -0 -0 -4 -0x3822 -0xeb507ab5 -256 -256 -1 -0 -0 -1 -0x1f25 -0x18cb5899 -256 -256 -1 -0 -0 -4 -0x2c1e -0x414d9cb6 -256 -256 -0 -0 -0 -2 -0x1054 -0x4fe48035 -256 -256 -1 -0 -0 -4 -0x959e -0xc92fb6ad -256 -256 -0 -0 -0 -2 -0x1717 -0x65b994b1 -256 -256 -1 -0 -0 -2 -0x4684 -0x7402bbac -256 -256 -1 -0 -0 -4 -0xde6f -0x3d821293 -256 -256 -1 -0 -0 -2 -0x434c -0x93b9168e -256 -256 -0 -0 -0 -4 -0xfd73 -0x3cb1c4be -256 -256 -1 -0 -0 -1 -0x1c43 -0xe70510be -256 -256 -1 -0 -0 -3 -0x48b0 -0x9f117a9e -256 -256 -1 -0 -0 -1 -0xc253 -0x528585e2 -256 -256 -0 -0 -0 -2 -0xb10f -0x9261028a -256 -256 -1 -0 -0 -4 -0xeec5 -0x7a2e1cf7 -256 -256 -0 -0 -0 -1 -0x3a40 -0x2d3f44a4 -256 -256 -0 -0 -0 -2 -0xa48a -0x221ef1c7 -256 -256 -0 -0 -0 -1 -0x1cb6 -0x1f1f6a6c -256 -256 -0 -0 -0 -1 -0x7576 -0x70247a0f -256 -256 -1 -0 -0 -2 -0xdb9e -0xd5df86a9 -256 -256 -1 -0 -0 -2 -0xa210 -0x997bb823 -256 -256 -0 -0 -0 -2 -0xf954 -0xb1baa6b5 -256 -256 -1 -0 -0 -3 -0x5e76 -0xb892bd7c -256 -256 -1 -0 -0 -1 -0x410a -0x1c6f5175 -256 -256 -0 -0 -0 -2 -0xb447 -0x5304d90d -256 -256 -0 -0 -0 -4 -0xd586 -0x5b7f8448 -256 -256 -1 -0 -0 -4 -0x7589 -0xba96a9de -256 -256 -1 -0 -0 -4 -0xe9b7 -0x2a9a4e7a -256 -256 -0 -0 -0 -2 -0x9a84 -0xf08b1b3 -256 -256 -0 -0 -0 -4 -0x5cda -0x9d649cbd -256 -256 -0 -0 -0 -3 -0x6c45 -0x38551bdf -256 -256 -0 -0 -0 -3 -0x80b1 -0x94675cc2 -256 -256 -0 -0 -0 -3 -0x7bda -0xb643c274 -256 -256 -0 -0 -0 -4 -0xf2d -0xe29b3ffe -256 -256 -0 -0 -0 -4 -0xe1e2 -0x534b5d9d -256 -256 -0 -0 -0 -4 -0xf80c -0xb8ff7000 -256 -256 -0 -0 -0 -4 -0x26c4 -0xc1229848 -256 -256 -0 -0 -0 -4 -0x692c -0xb0910ea0 -256 -256 -1 -0 -0 -2 -0x9c95 -0x331e6818 -256 -256 -0 -0 -0 -3 -0xbd4d -0x331b3763 -256 -256 -0 -0 -0 -1 -0x960d -0xfda554c8 -256 -256 -1 -0 -0 -1 -0x2de1 -0x3d08539f -256 -256 -0 -0 -0 -3 -0xf5df -0x61bf12e0 -256 -256 -1 -0 -0 -4 -0x999e -0xa70da166 -256 -256 -1 -0 -0 -1 -0x60b1 -0x7af76990 -256 -256 -0 -0 -0 -2 -0xc5f0 -0x151fc05c -256 -256 -0 -0 -0 -3 -0x1952 -0xd6dd1e52 -256 -256 -1 -0 -0 -2 -0x7fee -0xa6333dc8 -256 -256 -0 -0 -0 -4 -0x2f69 -0x151bf305 -256 -256 -1 -0 -0 -3 -0x2194 -0xf91d0172 -256 -256 -1 -0 -0 -2 -0x5469 -0x6ea1ba9f -256 -256 -1 -0 -0 -2 -0xbe56 -0x399fb370 -256 -256 -1 -0 -0 -4 -0xbfd3 -0xb4ed78f2 -256 -256 -1 -0 -0 -2 -0x6696 -0xb61e4ba4 -256 -256 -1 -0 -0 -3 -0x5954 -0xfd05930b -256 -256 -1 -0 -0 -4 -0xb6bc -0xcf53dfa0 -256 -256 -0 -0 -0 -4 -0x350a -0xa97e9d9 -256 -256 -1 -0 -0 -3 -0xe916 -0xf8501801 -256 -256 -1 -0 -0 -3 -0x599 -0xca05da70 -256 -256 -1 -0 -0 -3 -0xf0cf -0xc36820f5 -256 -256 -0 -0 -0 -4 -0x235f -0xde3fd088 -256 -256 -0 -0 -0 -3 -0x9a5 -0xb95aa66e -256 -256 -1 -0 -0 -1 -0xb8f1 -0xba619344 -256 -256 -1 -0 -0 -4 -0xdea5 -0x4c1d8f33 -256 -256 -0 -0 -0 -2 -0xc66c -0x4f57ead1 -256 -256 -1 -0 -0 -4 -0xe23f -0xf4abf3eb -256 -256 -0 -0 -0 -3 -0x6ace -0xbc6f2933 -256 -256 -1 -0 -0 -3 -0xc0de -0xc3b00663 -256 -256 -1 -0 -0 -3 -0xeb7a -0x5ef98e26 -256 -256 -0 -0 -0 -1 -0xb0d0 -0x83b16b8 -256 -256 -1 -0 -0 -3 -0x2bbd -0x70cd27e4 -256 -256 -0 -0 -0 -4 -0x56a -0xb5f6519b -256 -256 -1 -0 -0 -2 -0xda3b -0xea4d3369 -256 -256 -1 -0 -0 -1 -0xbfd9 -0x25cda365 -256 -256 -0 -0 -0 -1 -0xd481 -0x2c1f0af4 -256 -256 -0 -0 -0 -3 -0xc98 -0x9b66c5e9 -256 -256 -0 -0 -0 -3 -0x809f -0xe2d417ee -256 -256 -0 -0 -0 -3 -0x2fb7 -0xe1373d8d -256 -256 -0 -0 -0 -4 -0xd8a6 -0x5d8905d7 -256 -256 -0 -0 -0 -4 -0xc6cb -0x113c2a20 -256 -256 -0 -0 -0 -4 -0x33c -0xb2053966 -256 -256 -1 -0 -0 -2 -0x718f -0x1ce46657 -256 -256 -1 -0 -0 -2 -0xcb86 -0x1af1c06d -256 -256 -0 -0 -0 -1 -0x5829 -0xe6b5da06 -256 -256 -0 -0 -0 -3 -0x5a66 -0xc7fff3de -256 -256 -0 -0 -0 -4 -0xc2e6 -0x32d451e5 -256 -256 -1 -0 -0 -1 -0x81ee -0x4a802e92 -256 -256 -1 -0 -0 -1 -0x9674 -0x8427376 -256 -256 -0 -0 -0 -2 -0x2b79 -0x9b6b8a66 -256 -256 -1 -0 -0 -2 -0x69d6 -0x5a9ef5bd -256 -256 -1 -0 -0 -1 -0x75f -0xa60a119e -256 -256 -1 -0 -0 -2 -0xb77e -0x1e6a551b -256 -256 -1 -0 -0 -1 -0x2c04 -0xc4bfb7d4 -256 -256 -0 -0 -0 -3 -0x35fb -0xfac70fd9 -256 -256 -0 -0 -0 -4 -0xfd59 -0xc51c4cbc -256 -256 -1 -0 -0 -3 -0x4217 -0x6058ec0d -256 -256 -1 -0 -0 -1 -0x7cd7 -0x3525cbbc -256 -256 -0 -0 -0 -3 -0x5857 -0x20509bb0 -256 -256 -1 -0 -0 -1 -0x2acf -0xf03a87b1 -256 -256 -0 -0 -0 -1 -0x6147 -0x9c83f02f -256 -256 -1 -0 -0 -3 -0x234c -0xe8ebc4f9 -256 -256 -0 -0 -0 -4 -0xcfca -0xa7e78624 -256 -256 -1 -0 -0 -4 -0x1198 -0xe76b03fa -256 -256 -1 -0 -0 -3 -0x78a4 -0xbbb36a5b -256 -256 -1 -0 -0 -1 -0x4a4a -0xefbf8a6 -256 -256 -1 -0 -0 -2 -0x321 -0x16ae3883 -256 -256 -1 -0 -0 -2 -0x9d4e -0x9d2ce0c0 -256 -256 -1 -0 -0 -1 -0x878b -0x7f7f22d5 -256 -256 -0 -0 -0 -1 -0xca78 -0x521ebd3f -256 -256 -1 -0 -0 -2 -0x7dcd -0xb55289fb -256 -256 -1 -0 -0 -4 -0x3c87 -0x21ca1b55 -256 -256 -0 -0 -0 -3 -0xecfc -0x92316d60 -256 -256 -0 -0 -0 -4 -0x33b6 -0xbb75172d -256 -256 -0 -0 -0 -1 -0xb575 -0xb2dda91c -256 -256 -1 -0 -0 -4 -0xeb8e -0x9b7bcf94 -256 -256 -1 -0 -0 -4 -0x7809 -0x78ddd320 -256 -256 -0 -0 -0 -4 -0x5027 -0x585dc9f0 -256 -256 -1 -0 -0 -1 -0x25c9 -0xb18a4e4f -256 -256 -1 -0 -0 -4 -0x160f -0x736f2411 -256 -256 -0 -0 -0 -3 -0xf11e -0xeb30ec4d -256 -256 -1 -0 -0 -2 -0xcecf -0xfb17abba -256 -256 -1 -0 -0 -1 -0xd5cb -0x2cd072cd -256 -256 -0 -0 -0 -3 -0x24d5 -0xe18d6e9e -256 -256 -0 -0 -0 -3 -0xb74 -0xac5a4968 -256 -256 -0 -0 -0 -2 -0x2a85 -0x739d2765 -256 -256 -1 -0 -0 -3 -0x56c4 -0x6f6a28b1 -256 -256 -0 -0 -0 -3 -0x53b2 -0x4056128d -256 -256 -1 -0 -0 -1 -0xd00b -0x6cebeb10 -256 -256 -0 -0 -0 -1 -0x2674 -0xe846eb62 -256 -256 -1 -0 -0 -2 -0x259a -0xccbbfc7a -256 -256 -0 -0 -0 -3 -0x94ce -0x6235e8c9 -256 -256 -0 -0 -0 -4 -0x9ded -0x1ba7e66a -256 -256 -1 -0 -0 -3 -0xc1a0 -0x469a7d0e -256 -256 -0 -0 -0 -3 -0x78e6 -0xc673200a -256 -256 -0 -0 -0 -3 -0xb247 -0xc0bdb3cc -256 -256 -1 -0 -0 -2 -0x4654 -0x4b1d96f0 -256 -256 -0 -0 -0 -4 -0xc9bc -0x297a4eb6 -256 -256 -0 -0 -0 -4 -0xb0ff -0x9382ff40 -256 -256 -1 -0 -0 -1 -0x3aef -0x6cee0d73 -256 -256 -0 -0 -0 -3 -0x7702 -0x7e5e4465 -256 -256 -0 -0 -0 -3 -0x349d -0x9a247188 -256 -256 -0 -0 -0 -2 -0x36b5 -0xf2c39fda -256 -256 -0 -0 -0 -2 -0xbf04 -0x24442fb7 -256 -256 -0 -0 -0 -2 -0x4acf -0xaadd578d -256 -256 -1 -0 -0 -2 -0xe52d -0xd581e5ca -256 -256 -1 -0 -0 -3 -0xf443 -0xa8d93a0e -256 -256 -0 -0 -0 -4 -0x7ceb -0x1d4b92f3 -256 -256 -0 -0 -0 -4 -0x6030 -0x7774dccf -256 -256 -1 -0 -0 -4 -0x4668 -0xc0014268 -256 -256 -0 -0 -0 -4 -0x1de1 -0xf78c1783 -256 -256 -0 -0 -0 -3 -0x18c5 -0x36b4b105 -256 -256 -1 -0 -0 -4 -0x9390 -0xc18d02a8 -256 -256 -0 -0 -0 -1 -0x5825 -0xcf97330f -256 -256 -1 -0 -0 -2 -0x5010 -0x78c1e07d -256 -256 -1 -0 -0 -1 -0xe6f8 -0xe17a4276 -256 -256 -0 -0 -0 -1 -0xb86d -0x21c21d88 -256 -256 -1 -0 -0 -4 -0x7f8a -0xdda6047f -256 -256 -0 -0 -0 -2 -0xf108 -0x90d29df2 -256 -256 -1 -0 -0 -2 -0x7b3c -0xed6ce01b -256 -256 -1 -0 -0 -3 -0xd05 -0xc82ebe4b -256 -256 -1 -0 -0 -2 -0x2bee -0x68aa1714 -256 -256 -1 -0 -0 -1 -0x8171 -0xc4f26b49 -256 -256 -0 -0 -0 -4 -0x28a8 -0xefe67f3 -256 -256 -0 -0 -0 -1 -0xe2be -0x85f128e3 -256 -256 -1 -0 -0 -2 -0x9311 -0x9212f22b -256 -256 -1 -0 -0 -3 -0x5aa5 -0x1035f30c -256 -256 -0 -0 -0 -2 -0xf1bc -0x262b19fb -256 -256 -1 -0 -0 -3 -0xa526 -0xf9aa58fd -256 -256 -0 -0 -0 -2 -0x8f7a -0xf2c2bde4 -256 -256 -1 -0 -0 -2 -0xa4 -0xe7ee4f49 -256 -256 -1 -0 -0 -3 -0x7c48 -0xc5bd0cf8 -256 -256 -1 -0 -0 -2 -0x491d -0x3cdf7227 -256 -256 -1 -0 -0 -3 -0xc66d -0x85705c2d -256 -256 -1 -0 -0 -2 -0xf650 -0x3e9e2258 -256 -256 -1 -0 -0 -2 -0x9b32 -0x4f676062 -256 -256 -1 -0 -0 -3 -0xdc9f -0xa4819fcf -256 -256 -1 -0 -0 -2 -0x4666 -0xaa1bd5fb -256 -256 -1 -0 -0 -1 -0x2dd -0x6e502360 -256 -256 -0 -0 -0 -3 -0x8de -0xb5834745 -256 -256 -1 -0 -0 -1 -0x4433 -0x9441680c -256 -256 -1 -0 -0 -1 -0xa4a3 -0xadd9c51e -256 -256 -0 -0 -0 -2 -0xb72a -0x2ab14488 -256 -256 -1 -0 -0 -3 -0x9532 -0x4a14cfb4 -256 -256 -1 -0 -0 -1 -0xb0e8 -0x387447f3 -256 -256 -1 -0 -0 -3 -0x975d -0x5ef86eea -256 -256 -0 -0 -0 -4 -0xb174 -0x439554ee -256 -256 -0 -0 -0 -2 -0xa269 -0x8d7fbf42 -256 -256 -0 -0 -0 -1 -0x70d8 -0xa72e2947 -256 -256 -0 -0 -0 -1 -0x1b57 -0x7de0d4c3 -256 -256 -1 -0 -0 -3 -0x90aa -0xbf2310d6 -256 -256 -0 -0 -0 -1 -0x15c3 -0x8d0f3b91 -256 -256 -1 -0 -0 -4 -0x1fa7 -0x45d00407 -256 -256 -0 -0 -0 -1 -0x6e14 -0x85e773e9 -256 -256 -1 -0 -0 -2 -0x39d7 -0x25972bd8 -256 -256 -0 -0 -0 -1 -0x9fc8 -0xeec28414 -256 -256 -1 -0 -0 -1 -0xae52 -0x997f684b -256 -256 -1 -0 -0 -1 -0x4f4b -0x6bd77c4c -256 -256 -0 -0 -0 -4 -0x7f3a -0x97c4083 -256 -256 -0 -0 -0 -3 -0xe7af -0x50f1b4bb -256 -256 -0 -0 -0 -1 -0xe3f0 -0x2190b2df -256 -256 -1 -0 -0 -3 -0xa283 -0x8cb04319 -256 -256 -0 -0 -0 -1 -0x6ca6 -0xb26ff7d9 -256 -256 -1 -0 -0 -3 -0x72fb -0x1bf644d6 -256 -256 -0 -0 -0 -4 -0x91b2 -0x142b4d5e -256 -256 -1 -0 -0 -4 -0x5409 -0x1e078a2a -256 -256 -0 -0 -0 -1 -0xbb95 -0x7b49a797 -256 -256 -1 -0 -0 -3 -0x5f5b -0x70d86b0 -256 -256 -0 -0 -0 -2 -0x63b0 -0x2f36f3d0 -256 -256 -1 -0 -0 -1 -0x3f06 -0x385ca42 -256 -256 -0 -0 -0 -3 -0xb4f4 -0x8d4fd5c5 -256 -256 -0 -0 -0 -4 -0x30aa -0xfdfd1bcc -256 -256 -1 -0 -0 -3 -0x970 -0x2a07549d -256 -256 -1 -0 -0 -4 -0x1c64 -0x6d7cea65 -256 -256 -0 -0 -0 -1 -0xb9cd -0xd597691f -256 -256 -1 -0 -0 -1 -0x6582 -0xd7e032f5 -256 -256 -0 -0 -0 -2 -0x4b9f -0xbdf149cf -256 -256 -1 -0 -0 -1 -0xc6c6 -0x761ac79f -256 -256 -0 -0 -0 -4 -0xd926 -0xbfa93bb3 -256 -256 -0 -0 -0 -4 -0x40c0 -0xd0a30c1f -256 -256 -0 -0 -0 -3 -0xa107 -0x4699d515 -256 -256 -0 -0 -0 -1 -0x534 -0x1c372476 -256 -256 -1 -0 -0 -4 -0xf711 -0xe30d4004 -256 -256 -1 -0 -0 -2 -0x13a5 -0xf9244b8c -256 -256 -0 -0 -0 -1 -0x34a4 -0x612d137a -256 -256 -1 -0 -0 -3 -0x979e -0x4791af75 -256 -256 -1 -0 -0 -2 -0xc3d7 -0x851c5cee -256 -256 -1 -0 -0 -3 -0x4117 -0x13563d37 -256 -256 -0 -0 -0 -4 -0xc15b -0x6a2821dd -256 -256 -1 -0 -0 -1 -0xff0a -0xff73d254 -256 -256 -1 -0 -0 -1 -0x1157 -0x9f27b8c2 -256 -256 -0 -0 -0 -4 -0xc2b5 -0x42126ac4 -256 -256 -1 -0 -0 -2 -0x9fd5 -0x2c9f27a4 -256 -256 -0 -0 -0 -4 -0x7c69 -0xf41309f2 -256 -256 -0 -0 -0 -2 -0x495f -0x543decd3 -256 -256 -0 -0 -0 -2 -0x9695 -0x291a31ae -256 -256 -1 -0 -0 -4 -0x2a97 -0x22ad5882 -256 -256 -0 -0 -0 -3 -0x4278 -0x40810d62 -256 -256 -1 -0 -0 -2 -0xe0cf -0x28110091 -256 -256 -0 -0 -0 -4 -0x6022 -0x95878fa2 -256 -256 -0 -0 -0 -1 -0x61ee -0xb01ce3db -256 -256 -0 -0 -0 -3 -0xfd2b -0xe3f4d1a7 -256 -256 -1 -0 -0 -1 -0xca17 -0x2e68b8a3 -256 -256 -1 -0 -0 -2 -0x4b1a -0x2c6789da -256 -256 -0 -0 -0 -3 -0xf632 -0x36ca269b -256 -256 -0 -0 -0 -1 -0xfeb9 -0x92df5474 -256 -256 -0 -0 -0 -3 -0xebb9 -0x968afd05 -256 -256 -1 -0 -0 -4 -0xc5a2 -0x5e890db8 -256 -256 -0 -0 -0 -2 -0xab65 -0x22cbe64c -256 -256 -0 -0 -0 -1 -0xbf -0x7aded520 -256 -256 -1 -0 -0 -2 -0xd397 -0x9be78d05 -256 -256 -0 -0 -0 -3 -0x8cc7 -0xb689169 -256 -256 -1 -0 -0 -1 -0xb3ff -0xd56c34a2 -256 -256 -1 -0 -0 -2 -0x237c -0x17df8ad1 -256 -256 -1 -0 -0 -2 -0x2d97 -0xfe5aad21 -256 -256 -0 -0 -0 -3 -0xffed -0x3c368e20 -256 -256 -0 -0 -0 -3 -0xe084 -0x9e8c2ca1 -256 -256 -0 -0 -0 -2 -0x3bd9 -0x9a8c2a54 -256 -256 -1 -0 -0 -3 -0xaaa3 -0xbc7e2e05 -256 -256 -1 -0 -0 -1 -0x4393 -0xc3a1ac77 -256 -256 -0 -0 -0 -1 -0x8a61 -0x52aec826 -256 -256 -1 -0 -0 -4 -0x876d -0x952271f9 -256 -256 -0 -0 -0 -1 -0x7ee5 -0x4906f8d8 -256 -256 -1 -0 -0 -2 -0x1938 -0xc033f77f -256 -256 -1 -0 -0 -1 -0xe01a -0x8b6d4b95 -256 -256 -1 -0 -0 -4 -0xa625 -0x28f00b0b -256 -256 -1 -0 -0 -4 -0x40da -0x50bb99b0 -256 -256 -0 -0 -0 -3 -0x4660 -0xf8feebe -256 -256 -1 -0 -0 -3 -0x7351 -0x929c884d -256 -256 -1 -0 -0 -2 -0x2989 -0x66c7b46e -256 -256 -1 -0 -0 -2 -0xa697 -0x2ced538d -256 -256 -1 -0 -0 -1 -0xbc1f -0x8cf58cca -256 -256 -1 -0 -0 -4 -0xc874 -0x65d29f2 -256 -256 -1 -0 -0 -4 -0x82a7 -0x1be14d50 -256 -256 -1 -0 -0 -2 -0x7e1a -0xc56d12ea -256 -256 -0 -0 -0 -2 -0x9e60 -0x2f1e03e4 -256 -256 -1 -0 -0 -2 -0x65dd -0xf44f485 -256 -256 -1 -0 -0 -3 -0xf178 -0xefc1f162 -256 -256 -0 -0 -0 -4 -0x316f -0xe516dac3 -256 -256 -0 -0 -0 -2 -0x9b32 -0x67958507 -256 -256 -0 -0 -0 -4 -0xecac -0xcbbfe3e8 -256 -256 -0 -0 -0 -3 -0x9dd0 -0x8db9d5eb -256 -256 -0 -0 -0 -1 -0xd2d3 -0xbd3a7700 -256 -256 -1 -0 -0 -2 -0x6431 -0x52acb006 -256 -256 -1 -0 -0 -2 -0x7bf5 -0xe6fe1d9a -256 -256 -0 -0 -0 -4 -0x7e3b -0x170a4ac6 -256 -256 -0 -0 -0 -2 -0x162a -0x802422a4 -256 -256 -0 -0 -0 -4 -0x47f5 -0x85970844 -256 -256 -1 -0 -0 -2 -0x22cc -0x6b9db7a5 -256 -256 -1 -0 -0 -4 -0xff97 -0x2277b8a1 -256 -256 -0 -0 -0 -2 -0x623a -0x5b60ee2a -256 -256 -1 -0 -0 -4 -0x35e5 -0x28afdb5b -256 -256 -1 -0 -0 -3 -0xf3bb -0x5212c132 -256 -256 -0 -0 -0 -1 -0x2462 -0x1569d2c -256 -256 -0 -0 -0 -2 -0x2a9a -0xfa0bdb44 -256 -256 -0 -0 -0 -2 -0x1475 -0x5eedd70 -256 -256 -1 -0 -0 -2 -0x3107 -0x69f4276d -256 -256 -1 -0 -0 -1 -0xb42 -0x1f4e19fb -256 -256 -0 -0 -0 -2 -0x2d9b -0x408757d9 -256 -256 -1 -0 -0 -3 -0x5456 -0x4bd8af38 -256 -256 -1 -0 -0 -4 -0x4580 -0x981fa4d9 -256 -256 -1 -0 -0 -2 -0x4e2e -0xedc7c1ea -256 -256 -1 -0 -0 -4 -0xcb18 -0xb2b041b1 -256 -256 -0 -0 -0 -4 -0xa1fe -0x37579155 -256 -256 -1 -0 -0 -2 -0xf87 -0x66f97215 -256 -256 -0 -0 -0 -1 -0xee4f -0x7a4457b6 -256 -256 -1 -0 -0 -3 -0x312b -0xd43b7ef -256 -256 -1 -0 -0 -3 -0xac8d -0x3bf00472 -256 -256 -1 -0 -0 -1 -0x5831 -0x58dfb50e -256 -256 -1 -0 -0 -3 -0x67f5 -0x15d045ff -256 -256 -0 -0 -0 -3 -0xcb7 -0xf5688d39 -256 -256 -0 -0 -0 -2 -0xb3eb -0x9a7ccb76 -256 -256 -1 -0 -0 -1 -0xdbf1 -0x15e67dd6 -256 -256 -0 -0 -0 -3 -0xb501 -0xd1dc2a7 -256 -256 -1 -0 -0 -2 -0xb5c2 -0x5bb45618 -256 -256 -0 -0 -0 -3 -0x17ad -0xf816a72 -256 -256 -1 -0 -0 -1 -0xae97 -0x71297df9 -256 -256 -0 -0 -0 -4 -0xab90 -0x45d8f986 -256 -256 -1 -0 -0 -4 -0xb01f -0x6307dbc4 -256 -256 -0 -0 -0 -4 -0xce0b -0xbcab9272 -256 -256 -1 -0 -0 -4 -0xba1f -0xf92b427f -256 -256 -1 -0 -0 -3 -0x81ad -0x7708f9c3 -256 -256 -0 -0 -0 -4 -0x3a42 -0x10dd3a4b -256 -256 -0 -0 -0 -1 -0xe447 -0x2a952ddb -256 -256 -1 -0 -0 -3 -0x3398 -0x78c2fef1 -256 -256 -0 -0 -0 -1 -0x57f5 -0x1c00111b -256 -256 -1 -0 -0 -4 -0x3744 -0xbf03ce82 -256 -256 -0 -0 -0 -3 -0x1094 -0x6d71a9e2 -256 -256 -1 -0 -0 -1 -0xcc6 -0x345c693d -256 -256 -1 -0 -0 -1 -0x3f16 -0xf836dab1 -256 -256 -1 -0 -0 -1 -0xd250 -0x34030d81 -256 -256 -1 -0 -0 -2 -0x341b -0xa4be46bd -256 -256 -1 -0 -0 -4 -0xab5 -0xf644c64 -256 -256 -1 -0 -0 -2 -0xb5e3 -0x38cd1d76 -256 -256 -0 -0 -0 -1 -0x625d -0xcd6b1e2b -256 -256 -0 -0 -0 -3 -0x36a7 -0xb0919816 -256 -256 -1 -0 -0 -2 -0x52da -0xcba7c522 -256 -256 -1 -0 -0 -2 -0xd273 -0xb8b914d1 -256 -256 -1 -0 -0 -4 -0xf69d -0xa8af4176 -256 -256 -1 -0 -0 -2 -0xb107 -0xdf6d1ea3 -256 -256 -1 -0 -0 -2 -0xdd0e -0x870f1327 -256 -256 -0 -0 -0 -1 -0xcba6 -0xed948c4e -256 -256 -1 -0 -0 -3 -0x1f53 -0xc6da844e -256 -256 -0 -0 -0 -1 -0xda52 -0xcf2486c2 -256 -256 -1 -0 -0 -3 -0xbdd4 -0x600f729 -256 -256 -1 -0 -0 -3 -0x7249 -0xf3587a14 -256 -256 -1 -0 -0 -3 -0x888d -0x195b8429 -256 -256 -1 -0 -0 -2 -0xc985 -0xfb719233 -256 -256 -1 -0 -0 -2 -0x48b3 -0x6dcaceec -256 -256 -0 -0 -0 -2 -0x817d -0xe2fdd1ab -256 -256 -1 -0 -0 -4 -0x658b -0x5481fe5e -256 -256 -1 -0 -0 -2 -0x6182 -0x1d38c219 -256 -256 -0 -0 -0 -4 -0x6a0f -0x404b687c -256 -256 -1 -0 -0 -2 -0xfd4 -0x7a14304 -256 -256 -1 -0 -0 -4 -0xab5f -0x5d68ca6 -256 -256 -0 -0 -0 -4 -0xa46 -0x3003d804 -256 -256 -0 -0 -0 -3 -0x3875 -0x36bc1a91 -256 -256 -1 -0 -0 -4 -0xfb0b -0xca59fd51 -256 -256 -1 -0 -0 -1 -0xc85e -0x20e127b -256 -256 -1 -0 -0 -1 -0xd99a -0x82b55524 -256 -256 -0 -0 -0 -3 -0x976c -0x5b8cd9b6 -256 -256 -1 -0 -0 -2 -0x1314 -0x2f6cbc89 -256 -256 -0 -0 -0 -2 -0xc73f -0x854541b9 -256 -256 -1 -0 -0 -4 -0xe566 -0x9b8994fe -256 -256 -0 -0 -0 -1 -0x336a -0x688fe851 -256 -256 -1 -0 -0 -2 -0x491b -0xaba08d7c -256 -256 -1 -0 -0 -3 -0x36f1 -0xca325e62 -256 -256 -0 -0 -0 -3 -0x8cd9 -0x524a6855 -256 -256 -0 -0 -0 -3 -0xe6a9 -0x8a3d2959 -256 -256 -0 -0 -0 -3 -0x955d -0x37aee51c -256 -256 -0 -0 -0 -4 -0x9186 -0x8a16fcad -256 -256 -1 -0 -0 -1 -0x71dc -0x126ae7e3 -256 -256 -1 -0 -0 -3 -0xdece -0x4289093e -256 -256 -1 -0 -0 -1 -0x5f4d -0x80ff1f63 -256 -256 -1 -0 -0 -2 -0x341d -0xb853247f -256 -256 -0 -0 -0 -4 -0x6f9a -0x61683e8b -256 -256 -0 -0 -0 -1 -0xa0df -0x824abd0c -256 -256 -1 -0 -0 -4 -0x5bb6 -0xfb24f420 -256 -256 -0 -0 -0 -3 -0x196d -0x295b45a8 -256 -256 -1 -0 -0 -3 -0xa6ce -0xc5397d62 -256 -256 -1 -0 -0 -1 -0xc442 -0x83b83245 -256 -256 -0 -0 -0 -4 -0x5f80 -0xf2be99 -256 -256 -0 -0 -0 -3 -0x9c5 -0x80590a98 -256 -256 -1 -0 -0 -1 -0xeafe -0x852a8f20 -256 -256 -1 -0 -0 -2 -0xfe77 -0xaa9af134 -256 -256 -0 -0 -0 -3 -0xc61e -0x2d358860 -256 -256 -0 -0 -0 -4 -0x7991 -0xde0859ba -256 -256 -0 -0 -0 -3 -0xb102 -0xe2a6e02d -256 -256 -0 -0 -0 -3 -0x3840 -0x543a9e23 -256 -256 -0 -0 -0 -3 -0xdf4e -0xffeee78b -256 -256 -0 -0 -0 -2 -0x5df6 -0x24ed6815 -256 -256 -1 -0 -0 -4 -0x8344 -0xc1a3d8c5 -256 -256 -1 -0 -0 -3 -0x781 -0xe6129aac -256 -256 -0 -0 -0 -4 -0x746a -0xed755f2f -256 -256 -0 -0 -0 -1 -0x8c8e -0x87bded26 -256 -256 -1 -0 -0 -3 -0xdbc1 -0xf0efe988 -256 -256 -1 -0 -0 -1 -0x7b94 -0x15dc881f -256 -256 -0 -0 -0 -2 -0xcc5f -0x14e573 -256 -256 -0 -0 -0 -1 -0x84e4 -0xedd3f5bd -256 -256 -1 -0 -0 -2 -0x7da7 -0x703a3fbc -256 -256 -1 -0 -0 -4 -0x624b -0xcb1fc22c -256 -256 -1 -0 -0 -2 -0xb474 -0x1265a2f1 -256 -256 -0 -0 -0 -4 -0x616d -0xf822f9a3 -256 -256 -1 -0 -0 -2 -0x13d4 -0x57ff3c7a -256 -256 -0 -0 -0 -4 -0xc2fd -0x28e782ba -256 -256 -1 -0 -0 -4 -0x4c8c -0xeaff49d8 -256 -256 -0 -0 -0 -2 -0x1ae2 -0x71fd27a3 -256 -256 -0 -0 -0 -1 -0xd656 -0xd4b69bf7 -256 -256 -1 -0 -0 -1 -0xd945 -0x4fd162ab -256 -256 -1 -0 -0 -3 -0x1567 -0x323c21b1 -256 -256 -0 -0 -0 -1 -0xe208 -0xffd4da23 -256 -256 -1 -0 -0 -3 -0xe596 -0xc4dd1488 -256 -256 -1 -0 -0 -3 -0x2fc8 -0x243c2ff4 -256 -256 -1 -0 -0 -1 -0x3e66 -0x52a1790e -256 -256 -1 -0 -0 -2 -0x6ce4 -0xb8339983 -256 -256 -0 -0 -0 -1 -0x391 -0xeb5eb92e -256 -256 -0 -0 -0 -4 -0x762a -0x18033d89 -256 -256 -1 -0 -0 -4 -0xcdf4 -0x2c3f1394 -256 -256 -0 -0 -0 -3 -0xe2b6 -0x3a8a82d2 -256 -256 -1 -0 -0 -4 -0xd661 -0x6c45965d -256 -256 -0 -0 -0 -3 -0xd2ea -0x93d98f4f -256 -256 -1 -0 -0 -1 -0x89e4 -0x37ca90e5 -256 -256 -0 -0 -0 -4 -0xdde3 -0x2f3244d2 -256 -256 -1 -0 -0 -1 -0xdec5 -0x9e980b8c -256 -256 -1 -0 -0 -1 -0x320c -0x9ec89197 -256 -256 -0 -0 -0 -1 -0x4bce -0x93c80414 -256 -256 -1 -0 -0 -1 -0x4d15 -0xd7da8143 -256 -256 -0 -0 -0 -1 -0x2963 -0xb3937ced -256 -256 -0 -0 -0 -3 -0xf73 -0x5f55819 -256 -256 -1 -0 -0 -1 -0xff3a -0xb88ed791 -256 -256 -1 -0 -0 -2 -0x5232 -0xd5039b05 -256 -256 -0 -0 -0 -4 -0x8936 -0x2ed20726 -256 -256 -0 -0 -0 -4 -0x4488 -0x2a0cd16d -256 -256 -0 -0 -0 -4 -0xc856 -0xf4c72560 -256 -256 -0 -0 -0 -3 -0x213b -0x84ec9da3 -256 -256 -0 -0 -0 -4 -0xcd4a -0x5379243c -256 -256 -0 -0 -0 -3 -0xfc86 -0xd27c226b -256 -256 -1 -0 -0 -3 -0xe358 -0xae94d6e0 -256 -256 -1 -0 -0 -4 -0x4868 -0xf80b3827 -256 -256 -0 -0 -0 -3 -0x26ce -0xc0a9dfb3 -256 -256 -1 -0 -0 -2 -0x19b8 -0xf27d42ba -256 -256 -1 -0 -0 -3 -0xb284 -0x1b03996c -256 -256 -1 -0 -0 -3 -0xef75 -0x7319bf16 -256 -256 -1 -0 -0 -2 -0x5d2d -0xe82300d4 -256 -256 -0 -0 -0 -4 -0xf11e -0x27dd6e48 -256 -256 -1 -0 -0 -3 -0x1b41 -0xec648400 -256 -256 -0 -0 -0 -2 -0xff67 -0x82f74e1a -256 -256 -1 -0 -0 -3 -0x2e13 -0x41d1d064 -256 -256 -0 -0 -0 -2 -0x6956 -0xf19ebdd -256 -256 -1 -0 -0 -1 -0xf759 -0x5858449 -256 -256 -1 -0 -0 -2 -0x53b3 -0x7e6c32f9 -256 -256 -0 -0 -0 -3 -0x4744 -0x75dede23 -256 -256 -1 -0 -0 -4 -0xd9e0 -0xedd6e349 -256 -256 -1 -0 -0 -2 -0x1359 -0x438bdba8 -256 -256 -1 -0 -0 -4 -0x9745 -0xa29dfffd -256 -256 -1 -0 -0 -3 -0x3909 -0x79db4d0a -256 -256 -1 -0 -0 -4 -0xa952 -0x8a4c0642 -256 -256 -0 -0 -0 -1 -0xba93 -0xe6bcf2b8 -256 -256 -0 -0 -0 -4 -0xe634 -0x7306754c -256 -256 -1 -0 -0 -3 -0x7f2 -0xd8bb3f80 -256 -256 -1 -0 -0 -2 -0xd76c -0xc331cfc9 -256 -256 -0 -0 -0 -2 -0xa22a -0xf6a791d4 -256 -256 -1 -0 -0 -3 -0xeb2 -0x11db44f7 -256 -256 -0 -0 -0 -3 -0x1389 -0x8869460c -256 -256 -0 -0 -0 -2 -0x4efb -0xe6f1adb4 -256 -256 -0 -0 -0 -4 -0xe884 -0xa3f53852 -256 -256 -1 -0 -0 -3 -0x49a3 -0x17a451d3 -256 -256 -1 -0 -0 -3 -0x5a35 -0xcbc792b2 -256 -256 -1 -0 -0 -3 -0x8857 -0xf2f2d7ca -256 -256 -1 -0 -0 -3 -0x1601 -0x7ea00250 -256 -256 -0 -0 -0 -1 -0x7269 -0xdd03f951 -256 -256 -1 -0 -0 -3 -0x823f -0x5b25d96d -256 -256 -1 -0 -0 -1 -0x8de4 -0x6d490337 -256 -256 -1 -0 -0 -3 -0x5ed0 -0x9b3e7ddc -256 -256 -1 -0 -0 -1 -0xfd4d -0x8f5f307f -256 -256 -1 -0 -0 -2 -0xb9ef -0x8e55b5e0 -256 -256 -1 -0 -0 -4 -0x878f -0xc19c6cd8 -256 -256 -1 -0 -0 -3 -0x145d -0x8ffc8ce5 -256 -256 -0 -0 -0 -4 -0x5061 -0xa424435e -256 -256 -1 -0 -0 -3 -0xf0ae -0x750c5a93 -256 -256 -0 -0 -0 -4 -0x9989 -0x7cea125d -256 -256 -0 -0 -0 -4 -0x4075 -0xfa43a99d -256 -256 -1 -0 -0 -4 -0x32c -0xacb55219 -256 -256 -1 -0 -0 -1 -0x6d15 -0x806792ea -256 -256 -1 -0 -0 -2 -0x5b19 -0xbc7cf0b -256 -256 -0 -0 -0 -2 -0x1138 -0x6089f8e1 -256 -256 -0 -0 -0 -4 -0x7ddc -0xf78f41f2 -256 -256 -0 -0 -0 -1 -0x40ca -0x9dcebd7e -256 -256 -1 -0 -0 -2 -0xb750 -0xc1298cbb -256 -256 -1 -0 -0 -2 -0x178c -0xdf43a910 -256 -256 -1 -0 -0 -3 -0x23f6 -0xafae59e1 -256 -256 -0 -0 -0 -4 -0xaf58 -0xd6efa7b -256 -256 -1 -0 -0 -2 -0xf1e0 -0x4183964 -256 -256 -0 -0 -0 -1 -0x7538 -0x88f9930 -256 -256 -0 -0 -0 -3 -0x906f -0x74cf3080 -256 -256 -1 -0 -0 -1 -0x5e55 -0xd914e5cc -256 -256 -0 -0 -0 -4 -0x705b -0xba7b3075 -256 -256 -0 -0 -0 -4 -0x8938 -0x48b85173 -256 -256 -0 -0 -0 -2 -0x2e7 -0xe6823b34 -256 -256 -0 -0 -0 -4 -0x2312 -0xf077534 -256 -256 -0 -0 -0 -3 -0xcb22 -0x1872c9c1 -256 -256 -1 -0 -0 -4 -0x3331 -0xde7bd6d4 -256 -256 -0 -0 -0 -4 -0x3862 -0x1df171e4 -256 -256 -1 -0 -0 -2 -0x6df2 -0x4f8656c -256 -256 -0 -0 -0 -2 -0xddfe -0xf874a266 -256 -256 -0 -0 -0 -1 -0xfe5c -0x6507c894 -256 -256 -1 -0 -0 -2 -0x739f -0x5a036e17 -256 -256 -1 -0 -0 -2 -0xce4a -0x594e94fc -256 -256 -1 -0 -0 -2 -0xaf0 -0x6ef84d94 -256 -256 -0 -0 -0 -1 -0x5c64 -0x8fde1a53 -256 -256 -1 -0 -0 -4 -0xbf10 -0x6cde9ede -256 -256 -1 -0 -0 -4 -0x4489 -0x3fbc49b9 -256 -256 -1 -0 -0 -2 -0x2a47 -0xf27cbbea -256 -256 -0 -0 -0 -4 -0xb858 -0x167cddc6 -256 -256 -1 -0 -0 -4 -0x2b3d -0x9bd49fd7 -256 -256 -0 -0 -0 -2 -0x305 -0x29f872d3 -256 -256 -1 -0 -0 -3 -0x12d9 -0xcdd96bf3 -256 -256 -1 -0 -0 -4 -0x5428 -0x45d853c7 -256 -256 -1 -0 -0 -4 -0x8c27 -0x397dae6b -256 -256 -1 -0 -0 -4 -0xf5ed -0xc406bab5 -256 -256 -0 -0 -0 -3 -0x41c -0xe13d0a75 -256 -256 -1 -0 -0 -1 -0xbe8b -0x613841fd -256 -256 -1 -0 -0 -1 -0xd566 -0xe1dbb82c -256 -256 -1 -0 -0 -3 -0xc7c2 -0x9d4a2c5c -256 -256 -0 -0 -0 -3 -0x49e3 -0x88ee590e -256 -256 -1 -0 -0 -2 -0xcd4d -0x6e8cde0e -256 -256 -0 -0 -0 -4 -0x1a24 -0x34da5456 -256 -256 -1 -0 -0 -4 -0xd13b -0xc52954d5 -256 -256 -0 -0 -0 -4 -0xffac -0x70677c23 -256 -256 -0 -0 -0 -3 -0xb8fe -0xd5f584a2 -256 -256 -1 -0 -0 -4 -0x3f54 -0x59a37f32 -256 -256 -1 -0 -0 -2 -0x8787 -0xc0543fd1 -256 -256 -0 -0 -0 -4 -0x992c -0xf1bd5804 -256 -256 -0 -0 -0 -3 -0xb15 -0xb36e9187 -256 -256 -0 -0 -0 -2 -0x6b2a -0xfffb3301 -256 -256 -0 -0 -0 -3 -0x8439 -0x61236745 -256 -256 -1 -0 -0 -2 -0xf744 -0x1d0f1049 -256 -256 -0 -0 -0 -3 -0x9ff5 -0xd424c217 -256 -256 -0 -0 -0 -1 -0x7246 -0x328f2226 -256 -256 -1 -0 -0 -4 -0x657b -0xaccbbf8c -256 -256 -0 -0 -0 -2 -0x6cf2 -0xec753cc -256 -256 -1 -0 -0 -4 -0xaa93 -0x88e42c55 -256 -256 -1 -0 -0 -4 -0xab9b -0x878d0de2 -256 -256 -0 -0 -0 -4 -0x8f5c -0xc28988e1 -256 -256 -0 -0 -0 -1 -0xf6a7 -0x3eae2941 -256 -256 -0 -0 -0 -4 -0x547 -0xaed7339f -256 -256 -1 -0 -0 -4 -0xf247 -0xd7ec89b0 -256 -256 -0 -0 -0 -1 -0xf257 -0xb887c04c -256 -256 -1 -0 -0 -4 -0x424 -0x851138d6 -256 -256 -0 -0 -0 -1 -0x8688 -0xad60ee90 -256 -256 -1 -0 -0 -4 -0x4f0b -0x3ab64554 -256 -256 -1 -0 -0 -2 -0x6286 -0x63a72f68 -256 -256 -1 -0 -0 -4 -0xd0e4 -0x538bab54 -256 -256 -1 -0 -0 -1 -0xaceb -0xf34d8a07 -256 -256 -0 -0 -0 -2 -0x679 -0xcc58ad28 -256 -256 -0 -0 -0 -4 -0x7a84 -0x24c13cbb -256 -256 -1 -0 -0 -3 -0xcb7 -0x606af6cd -256 -256 -0 -0 -0 -4 -0x1800 -0x6a3a0be0 -256 -256 -1 -0 -0 -1 -0x4dec -0xb5d95c64 -256 -256 -1 -0 -0 -3 -0x5ccb -0xab99fa59 -256 -256 -1 -0 -0 -4 -0xd9e3 -0x88d0010 -256 -256 -0 -0 -0 -4 -0xe7c0 -0x6f30981f -256 -256 -0 -0 -0 -1 -0x3e20 -0x85c4139f -256 -256 -1 -0 -0 -1 -0xcad8 -0x6730e45a -256 -256 -0 -0 -0 -4 -0x97ce -0xf5eff29 -256 -256 -0 -0 -0 -1 -0x303a -0x1cdecc58 -256 -256 -0 -0 -0 -2 -0xc99c -0x1d844aba -256 -256 -1 -0 -0 -3 -0xee29 -0xbe037896 -256 -256 -0 -0 -0 -3 -0xd405 -0x19412a24 -256 -256 -1 -0 -0 -3 -0x9d8e -0xe5ee7d38 -256 -256 -1 -0 -0 -2 -0xaff6 -0xecc4b750 -256 -256 -0 -0 -0 -2 -0x84d5 -0x5d553b -256 -256 -0 -0 -0 -4 -0x9c2d -0x8160692a -256 -256 -1 -0 -0 -1 -0xcf6f -0x95668b29 -256 -256 -1 -0 -0 -1 -0x18e4 -0x59496db7 -256 -256 -0 -0 -0 -3 -0x434c -0x1c4d31b9 -256 -256 -0 -0 -0 -4 -0xd435 -0xb1ca7252 -256 -256 -0 -0 -0 -4 -0x9f89 -0xee2305b1 -256 -256 -1 -0 -0 -4 -0xd007 -0xa81565d -256 -256 -1 -0 -0 -1 -0x993b -0xbf94ac54 -256 -256 -1 -0 -0 -1 -0xfd11 -0x61946d9 -256 -256 -1 -0 -0 -3 -0x5c88 -0x37bce1c1 -256 -256 -0 -0 -0 -4 -0x8302 -0x5d5dc93c -256 -256 -0 -0 -0 -1 -0xbf2b -0x3edcc2a5 -256 -256 -1 -0 -0 -4 -0x82f3 -0x95fca455 -256 -256 -1 -0 -0 -2 -0xae6f -0x654cfa77 -256 -256 -1 -0 -0 -4 -0x4812 -0x6cdd08ed -256 -256 -0 -0 -0 -4 -0x3d66 -0x1261ff84 -256 -256 -1 -0 -0 -4 -0x7c49 -0x4da9ae6 -256 -256 -0 -0 -0 -1 -0xf524 -0x1079448a -256 -256 -0 -0 -0 -2 -0xf51f -0x2019c861 -256 -256 -0 -0 -0 -3 -0xa669 -0x678e8842 -256 -256 -0 -0 -0 -3 -0x37cd -0xbae6df4b -256 -256 -0 -0 -0 -4 -0xef61 -0xacb32fe -256 -256 -1 -0 -0 -4 -0xfe01 -0x61673f2 -256 -256 -1 -0 -0 -2 -0xeb61 -0xe6563b96 -256 -256 -1 -0 -0 -4 -0xdf18 -0xa087340 -256 -256 -1 -0 -0 -3 -0xbd72 -0x93a1dedb -256 -256 -0 -0 -0 -1 -0xcd65 -0xaa6d5fe2 -256 -256 -1 -0 -0 -4 -0xb7af -0x2347b8dc -256 -256 -0 -0 -0 -2 -0xe234 -0xcda1cb49 -256 -256 -1 -0 -0 -2 -0xe5a8 -0x6bf4de8f -256 -256 -1 -0 -0 -4 -0xc075 -0xf93544cc -256 -256 -0 -0 -0 -2 -0x8dc0 -0x80599d8 -256 -256 -1 -0 -0 -4 -0x709e -0x8962077d -256 -256 -1 -0 -0 -4 -0xa162 -0x77e29ea -256 -256 -0 -0 -0 -1 -0x34b4 -0x44d66ee8 -256 -256 -1 -0 -0 -3 -0xc2db -0x7ee6889d -256 -256 -0 -0 -0 -4 -0x95a3 -0x8306f60d -256 -256 -0 -0 -0 -2 -0x7857 -0xb52b8248 -256 -256 -1 -0 -0 -2 -0xd965 -0x3a1e5b4c -256 -256 -0 -0 -0 -3 -0x47f6 -0xaa7c0ea7 -256 -256 -0 -0 -0 -1 -0xe7d5 -0xe8cc2924 -256 -256 -0 -0 -0 -3 -0x18c9 -0x91d39edc -256 -256 -1 -0 -0 -3 -0xb9d7 -0xc2b098e1 -256 -256 -0 -0 -0 -1 -0xf48d -0x68247295 -256 -256 -1 -0 -0 -2 -0xd3c0 -0x885c8bec -256 -256 -0 -0 -0 -1 -0xb1dd -0x834b267e -256 -256 -1 -0 -0 -3 -0x2853 -0xe69e3137 -256 -256 -0 -0 -0 -1 -0xb016 -0x599405f0 -256 -256 -0 -0 -0 -3 -0xf82c -0xdfb8d9b9 -256 -256 -0 -0 -0 -4 -0x5df0 -0x86d2a5fc -256 -256 -1 -0 -0 -4 -0x722d -0xb3d16f4b -256 -256 -1 -0 -0 -1 -0xd1c7 -0x562a686 -256 -256 -0 -0 -0 -1 -0xb27e -0xa0add8c6 -256 -256 -1 -0 -0 -4 -0x67da -0xdcb42a3 -256 -256 -1 -0 -0 -4 -0x4d57 -0x1236ef86 -256 -256 -1 -0 -0 -3 -0x784d -0x57392f78 -256 -256 -0 -0 -0 -4 -0x4440 -0xe0baa2ee -256 -256 -0 -0 -0 -2 -0xa61d -0xbc5d4054 -256 -256 -0 -0 -0 -2 -0x6458 -0xf06d63cf -256 -256 -0 -0 -0 -4 -0xd771 -0x8bfecbed -256 -256 -1 -0 -0 -4 -0x2cb -0x3631cbbb -256 -256 -0 -0 -0 -4 -0xa400 -0xb4fbca34 -256 -256 -1 -0 -0 -4 -0xfedd -0xa2b0c5d9 -256 -256 -0 -0 -0 -2 -0x616b -0x558f4021 -256 -256 -1 -0 -0 -2 -0x3203 -0x9eb8d5c5 -256 -256 -0 -0 -0 -4 -0x2757 -0xdd6ab4cf -256 -256 -0 -0 -0 -2 -0xba46 -0xe80c0b1e -256 -256 -0 -0 -0 -4 -0xb6d3 -0x48206339 -256 -256 -0 -0 -0 -2 -0x6fa9 -0xf314f6eb -256 -256 -0 -0 -0 -2 -0xee66 -0xb86c08b9 -256 -256 -1 -0 -0 -3 -0x7aaa -0x48a3a4bb -256 -256 -0 -0 -0 -1 -0x3e6b -0xe5b2173f -256 -256 -0 -0 -0 -1 -0xc044 -0x4483a75d -256 -256 -1 -0 -0 -2 -0xd7d3 -0x433d59d1 -256 -256 -1 -0 -0 -1 -0x9277 -0x83c295fb -256 -256 -1 -0 -0 -3 -0x6003 -0x96c7f27c -256 -256 -0 -0 -0 -1 -0xfe13 -0x8603932a -256 -256 -0 -0 -0 -2 -0xc805 -0xe4c70d9a -256 -256 -1 -0 -0 -2 -0x98ae -0xaadd2 -256 -256 -1 -0 -0 -3 -0x6bcf -0x5fac8db8 -256 -256 -0 -0 -0 -2 -0x393c -0x847185e0 -256 -256 -0 -0 -0 -4 -0x93e -0xf65cfe44 -256 -256 -0 -0 -0 -1 -0x7aaf -0xf13b6957 -256 -256 -1 -0 -0 -4 -0x65a0 -0x22b4b0c -256 -256 -0 -0 -0 -2 -0xdce -0xc11185b8 -256 -256 -0 -0 -0 -1 -0x5468 -0xcc94a1e8 -256 -256 -0 -0 -0 -2 -0x51ab -0xe702af6b -256 -256 -1 -0 -0 -3 -0x2ca3 -0xe25854fa -256 -256 -0 -0 -0 -4 -0x52b2 -0xf7f1e4d7 -256 -256 -1 -0 -0 -1 -0x2396 -0x7eb7ca3 -256 -256 -0 -0 -0 -2 -0x934b -0x5019380 -256 -256 -0 -0 -0 -4 -0x407c -0x6c5dcb04 -256 -256 -1 -0 -0 -4 -0xa097 -0x46a4e49b -256 -256 -1 -0 -0 -4 -0xf2d5 -0xed371e5a -256 -256 -0 -0 -0 -2 -0xb2d4 -0x5ce7344a -256 -256 -1 -0 -0 -4 -0x5ca9 -0xb3f1e474 -256 -256 -1 -0 -0 -3 -0x7c12 -0xeb8afabe -256 -256 -0 -0 -0 -2 -0x355d -0xe71007ff -256 -256 -0 -0 -0 -2 -0x3db0 -0x6fa4d0de -256 -256 -0 -0 -0 -1 -0x81e8 -0xbbec14bd -256 -256 -0 -0 -0 -1 -0x349d -0xff8792c2 -256 -256 -1 -0 -0 -3 -0x56b2 -0xdab5ee14 -256 -256 -0 -0 -0 -2 -0x591f -0xc2810d10 -256 -256 -0 -0 -0 -4 -0x99f9 -0x403b9638 -256 -256 -0 -0 -0 -4 -0x3528 -0xb57c739a -256 -256 -1 -0 -0 -3 -0x30b8 -0x6ba16dbf -256 -256 -0 -0 -0 -1 -0x7924 -0xd2ef2611 -256 -256 -0 -0 -0 -4 -0x37d1 -0xed9e841a -256 -256 -0 -0 -0 -3 -0x878c -0x26bf006f -256 -256 -0 -0 -0 -3 -0x7469 -0x925ea5ed -256 -256 -0 -0 -0 -3 -0xf410 -0x9f563e02 -256 -256 -1 -0 -0 -1 -0x3f60 -0xaf3d6719 -256 -256 -0 -0 -0 -2 -0xec5c -0xe2185dac -256 -256 -0 -0 -0 -4 -0xd4fb -0xcde98ea4 -256 -256 -1 -0 -0 -2 -0x6af6 -0xbfaa4ca7 -256 -256 -0 -0 -0 -3 -0x8cfe -0x61950c4 -256 -256 -0 -0 -0 -4 -0xd167 -0x624bdd5d -256 -256 -1 -0 -0 -2 -0x51ee -0xd619238c -256 -256 -1 -0 -0 -4 -0x9b16 -0xdd7df2eb -256 -256 -0 -0 -0 -4 -0xea52 -0x4409ae57 -256 -256 -0 -0 -0 -2 -0xddee -0x62a6b9ef -256 -256 -0 -0 -0 -2 -0x99ef -0x5b2f9624 -256 -256 -0 -0 -0 -1 -0x55f8 -0x1c3781f6 -256 -256 -0 -0 -0 -1 -0xedeb -0x9820e371 -256 -256 -0 -0 -0 -2 -0x873 -0xd0cce1fa -256 -256 -1 -0 -0 -4 -0xfae1 -0x93c6207c -256 -256 -1 -0 -0 -2 -0x80f0 -0x6c2ccb23 -256 -256 -1 -0 -0 -4 -0x66b8 -0x407851c2 -256 -256 -0 -0 -0 -2 -0xad00 -0x9492e98b -256 -256 -1 -0 -0 -3 -0x87e7 -0x44183369 -256 -256 -0 -0 -0 -3 -0xeaac -0xb4ab85f7 -256 -256 -0 -0 -0 -2 -0x8683 -0xb325d457 -256 -256 -1 -0 -0 -1 -0x1fc1 -0xc2330d6b -256 -256 -0 -0 -0 -2 -0x2e09 -0x29bb65db -256 -256 -1 -0 -0 -1 -0x9894 -0x2d548c39 -256 -256 -1 -0 -0 -1 -0x3292 -0xf6923c25 -256 -256 -1 -0 -0 -4 -0x2508 -0x51126e4 -256 -256 -1 -0 -0 -2 -0xffb -0x8f516a73 -256 -256 -0 -0 -0 -4 -0x78b0 -0xc4ee2389 -256 -256 -0 -0 -0 -2 -0x118d -0x40c77f41 -256 -256 -0 -0 -0 -3 -0x432f -0x6b0b0b73 -256 -256 -1 -0 -0 -4 -0xd0eb -0x5d6f5355 -256 -256 -1 -0 -0 -1 -0x92e4 -0x1c85d792 -256 -256 -0 -0 -0 -1 -0x402b -0x9fceb7cc -256 -256 -0 -0 -0 -3 -0x41ca -0x51da38e1 -256 -256 -1 -0 -0 -4 -0x55f -0xb75393be -256 -256 -1 -0 -0 -3 -0xc884 -0x72c96a71 -256 -256 -1 -0 -0 -4 -0xb6c6 -0x87eb0367 -256 -256 -1 -0 -0 -3 -0x238e -0x4c4e0fc0 -256 -256 -1 -0 -0 -3 -0x4f00 -0x5bc4f56a -256 -256 -1 -0 -0 -3 -0xfba3 -0xbb96a7a1 -256 -256 -1 -0 -0 -2 -0x8300 -0x7f33f2ba -256 -256 -1 -0 -0 -2 -0x37d8 -0x8ebf9be2 -256 -256 -0 -0 -0 -3 -0x57ab -0x7eb36770 -256 -256 -1 -0 -0 -3 -0xbd6e -0x4c3f49c7 -256 -256 -0 -0 -0 -1 -0x856e -0x6ed4a4d1 -256 -256 -0 -0 -0 -2 -0x2a61 -0xdea056a3 -256 -256 -0 -0 -0 -4 -0x3ad2 -0x5f5045ca -256 -256 -1 -0 -0 -3 -0xcea6 -0x72bb367d -256 -256 -0 -0 -0 -3 -0x537d -0x7322d774 -256 -256 -1 -0 -0 -1 -0x15d4 -0x10f8d1ed -256 -256 -0 -0 -0 -2 -0xac72 -0x3145ac36 -256 -256 -1 -0 -0 -3 -0x593a -0xe2a0cf81 -256 -256 -1 -0 -0 -2 -0xab1d -0xa8b5206e -256 -256 -1 -0 -0 -1 -0xb7f6 -0x4ed8acb -256 -256 -0 -0 -0 -4 -0x5056 -0xfa757ab4 -256 -256 -1 -0 -0 -3 -0xcd94 -0x6be75402 -256 -256 -0 -0 -0 -4 -0x137e -0x864c0fa9 -256 -256 -1 -0 -0 -3 -0xee47 -0x532465b2 -256 -256 -1 -0 -0 -3 -0xb3a9 -0xdce6a7db -256 -256 -1 -0 -0 -1 -0x94e7 -0xbdbefa2a -256 -256 -1 -0 -0 -4 -0x1660 -0xfe1e3018 -256 -256 -0 -0 -0 -2 -0x6363 -0xdcf47107 -256 -256 -1 -0 -0 -2 -0xc58d -0xd0f66ecd -256 -256 -1 -0 -0 -4 -0x93c2 -0xfdf3de29 -256 -256 -0 -0 -0 -3 -0x857a -0x8103043a -256 -256 -1 -0 -0 -4 -0x392 -0x822039c5 -256 -256 -1 -0 -0 -1 -0x3db4 -0x33664911 -256 -256 -0 -0 -0 -3 -0xb585 -0xb62d53da -256 -256 -0 -0 -0 -3 -0x3c42 -0xfd8c403a -256 -256 -0 -0 -0 -3 -0x7f2b -0x7480363b -256 -256 -1 -0 -0 -2 -0x13df -0x2485ac77 -256 -256 -0 -0 -0 -4 -0xcfe4 -0xef925135 -256 -256 -0 -0 -0 -2 -0x70c -0x4d8ca2c6 -256 -256 -0 -0 -0 -4 -0x6bd1 -0x3cf7d263 -256 -256 -1 -0 -0 -2 -0x1250 -0x2b2513b6 -256 -256 -1 -0 -0 -3 -0xea03 -0xddf7a73b -256 -256 -1 -0 -0 -4 -0x6489 -0x3150b3ae -256 -256 -0 -0 -0 -2 -0x4770 -0x77e21196 -256 -256 -0 -0 -0 -3 -0xae90 -0xbb37b822 -256 -256 -1 -0 -0 -1 -0x2d53 -0x11cc9dfa -256 -256 -0 -0 -0 -3 -0xe703 -0x55f14ffd -256 -256 -0 -0 -0 -1 -0xb72b -0x11ddaf6e -256 -256 -1 -0 -0 -2 -0x3dee -0x140b7f8f -256 -256 -1 -0 -0 -4 -0x61d1 -0x53226de5 -256 -256 -0 -0 -0 -3 -0x36af -0x1021b61a -256 -256 -1 -0 -0 -2 -0x6046 -0x2fc0ffe2 -256 -256 -0 -0 -0 -3 -0x3cb5 -0xfe626dc -256 -256 -1 -0 -0 -2 -0x8f2f -0x54f09486 -256 -256 -0 -0 -0 -1 -0xfe09 -0xae00f2f3 -256 -256 -1 -0 -0 -4 -0x99ce -0x2ad52ec3 -256 -256 -1 -0 -0 -4 -0xc3c1 -0xef8297c5 -256 -256 -0 -0 -0 -2 -0x52fd -0x89328efb -256 -256 -0 -0 -0 -3 -0xce54 -0xb48c6cbb -256 -256 -0 -0 -0 -3 -0xd57c -0x849f67a8 -256 -256 -0 -0 -0 -1 -0xf43c -0xdcb8bfd2 -256 -256 -1 -0 -0 -3 -0x1376 -0xa856f18c -256 -256 -1 -0 -0 -2 -0xc40b -0xb409fd73 -256 -256 -0 -0 -0 -1 -0xffd7 -0x74129475 -256 -256 -1 -0 -0 -4 -0x8649 -0xbd429cc6 -256 -256 -1 -0 -0 -3 -0x8b6d -0x79474fc9 -256 -256 -1 -0 -0 -2 -0x74d3 -0xe4cd1a9f -256 -256 -0 -0 -0 -3 -0xe256 -0x8593fde3 -256 -256 -1 -0 -0 -2 -0x4cbf -0x6acf0917 -256 -256 -1 -0 -0 -1 -0x794e -0x23e1a785 -256 -256 -1 -0 -0 -2 -0x247b -0x931cf9ca -256 -256 -1 -0 -0 -3 -0x2c90 -0x88136d13 -256 -256 -1 -0 -0 -2 -0xcb7d -0xb4fda1de -256 -256 -1 -0 -0 -3 -0xf63c -0x1aae956e -256 -256 -1 -0 -0 -1 -0x5d04 -0x59e0948b -256 -256 -1 -0 -0 -1 -0x3341 -0x4d921632 -256 -256 -1 -0 -0 -4 -0xd6c8 -0xdcbbd0d7 -256 -256 -1 -0 -0 -4 -0x41d6 -0x75085488 -256 -256 -0 -0 -0 -1 -0x4e69 -0x1f7572fe -256 -256 -1 -0 -0 -4 -0xecec -0x2d0189b -256 -256 -1 -0 -0 -3 -0xf838 -0xfa99e9ba -256 -256 -0 -0 -0 -4 -0x63d9 -0x6f842891 -256 -256 -1 -0 -0 -1 -0x8eb -0xe5cb17af -256 -256 -0 -0 -0 -1 -0xad11 -0xe1e767ee -256 -256 -1 -0 -0 -2 -0x8cfe -0x8bb77961 -256 -256 -0 -0 -0 -3 -0x1773 -0xe907aae2 -256 -256 -0 -0 -0 -4 -0xab9a -0xc5b500a9 -256 -256 -0 -0 -0 -4 -0x4314 -0xbc43c443 -256 -256 -1 -0 -0 -4 -0xf706 -0x473b4c87 -256 -256 -0 -0 -0 -2 -0x8a3c -0x76956523 -256 -256 -1 -0 -0 -1 -0xc2d3 -0xc700e3b4 -256 -256 -0 -0 -0 -2 -0xc04a -0x51ac86c2 -256 -256 -1 -0 -0 -3 -0xab3 -0x9596f8b5 -256 -256 -0 -0 -0 -3 -0x3401 -0xafc893fe -256 -256 -1 -0 -0 -3 -0x74d6 -0x99284eb -256 -256 -1 -0 -0 -2 -0xc60d -0xa48eb4a6 -256 -256 -0 -0 -0 -3 -0xb5c8 -0x290bc048 -256 -256 -1 -0 -0 -4 -0xf630 -0xd31563c8 -256 -256 -1 -0 -0 -2 -0xe189 -0xe396f16b -256 -256 -0 -0 -0 -1 -0x5070 -0x953ac070 -256 -256 -0 -0 -0 -3 -0xbd01 -0xc9fff212 -256 -256 -1 -0 -0 -4 -0xbdd0 -0x155a6de0 -256 -256 -0 -0 -0 -3 -0x67a3 -0xc979d6f9 -256 -256 -1 -0 -0 -4 -0x9f8f -0xc5996579 -256 -256 -0 -0 -0 -2 -0x1b1b -0xdc589d90 -256 -256 -0 -0 -0 -2 -0xec3e -0x313959a0 -256 -256 -0 -0 -0 -1 -0xe360 -0xa3a7ad9c -256 -256 -1 -0 -0 -1 -0x95b5 -0xe3a48217 -256 -256 -0 -0 -0 -4 -0xc9d9 -0x75f20a64 -256 -256 -1 -0 -0 -2 -0xb13d -0x11129825 -256 -256 -1 -0 -0 -1 -0x80f4 -0x7a05a33d -256 -256 -0 -0 -0 -2 -0x8bcb -0x83c78bb0 -256 -256 -1 -0 -0 -3 -0x642c -0xad1620cb -256 -256 -0 -0 -0 -2 -0x730 -0x209fe075 -256 -256 -0 -0 -0 -1 -0x2e15 -0x52db6a13 -256 -256 -1 -0 -0 -1 -0x5333 -0xbe86a07a -256 -256 -0 -0 -0 -3 -0xeca8 -0xac2e5df2 -256 -256 -1 -0 -0 -2 -0x4516 -0x4343e98f -256 -256 -1 -0 -0 -3 -0x1e21 -0xb1acea03 -256 -256 -0 -0 -0 -4 -0xc75f -0x1d3f538e -256 -256 -1 -0 -0 -4 -0x6d6d -0xbc8c30c1 -256 -256 -0 -0 -0 -1 -0xda15 -0xb1201dae -256 -256 -0 -0 -0 -1 -0xec72 -0xb6225dbd -256 -256 -1 -0 -0 -2 -0x1fa0 -0x6cef42dd -256 -256 -1 -0 -0 -2 -0x142d -0x719923a5 -256 -256 -0 -0 -0 -3 -0x3f87 -0x301f4279 -256 -256 -1 -0 -0 -2 -0xdc29 -0xc2febf1d -256 -256 -1 -0 -0 -1 -0xe923 -0x8dd20f13 -256 -256 -0 -0 -0 -2 -0x75a0 -0xaef0d12d -256 -256 -1 -0 -0 -3 -0x8e33 -0x1b687a13 -256 -256 -0 -0 -0 -3 -0xd917 -0x7c65048d -256 -256 -1 -0 -0 -3 -0x8423 -0x4cf1ee64 -256 -256 -1 -0 -0 -2 -0x9168 -0xcddd4a7b -256 -256 -0 -0 -0 -1 -0xf098 -0xc6de9768 -256 -256 -0 -0 -0 -3 -0x24a -0x8e6a4a14 -256 -256 -1 -0 -0 -2 -0xf31c -0xa6223deb -256 -256 -0 -0 -0 -1 -0x8a7c -0x4276d8d2 -256 -256 -1 -0 -0 -4 -0xf20 -0x58f0934f -256 -256 -1 -0 -0 -2 -0xb56b -0xcdac7207 -256 -256 -0 -0 -0 -2 -0xe906 -0xe0c76bfb -256 -256 -1 -0 -0 -2 -0xd731 -0x772ad0f -256 -256 -1 -0 -0 -2 -0x2c50 -0x79cc6742 -256 -256 -1 -0 -0 -1 -0xcb61 -0x7be571bd -256 -256 -1 -0 -0 -3 -0x44c9 -0xbd1b355e -256 -256 -0 -0 -0 -2 -0x198f -0x8ab13394 -256 -256 -1 -0 -0 -4 -0x2561 -0xd7fccd42 -256 -256 -1 -0 -0 -4 -0xefad -0x3f31de2 -256 -256 -1 -0 -0 -1 -0x9c16 -0x3f4e9eca -256 -256 -1 -0 -0 -4 -0x5faf -0xf96d18ff -256 -256 -0 -0 -0 -3 -0x6fab -0x1d9d06d3 -256 -256 -0 -0 -0 -3 -0xf249 -0xbb4f62b7 -256 -256 -0 -0 -0 -2 -0x7d4a -0x65a09e5d -256 -256 -1 -0 -0 -4 -0x16e -0xda6b13b5 -256 -256 -1 -0 -0 -1 -0x5fc4 -0x5b01da92 -256 -256 -1 -0 -0 -4 -0xd6dc -0x26db8f8f -256 -256 -1 -0 -0 -3 -0x375d -0x9aa672de -256 -256 -1 -0 -0 -4 -0x7386 -0xa117075f -256 -256 -0 -0 -0 -4 -0x5d1d -0x22a2acdc -256 -256 -1 -0 -0 -3 -0x41ae -0x98f32f3f -256 -256 -0 -0 -0 -2 -0x2dcc -0x8568bc6d -256 -256 -0 -0 -0 -4 -0x5402 -0xb9af77ee -256 -256 -0 -0 -0 -1 -0xeca2 -0xaa939001 -256 -256 -0 -0 -0 -1 -0x996a -0x4ff70ebd -256 -256 -0 -0 -0 -3 -0x4118 -0x2e526556 -256 -256 -1 -0 -0 -3 -0x68c7 -0xa799bfcb -256 -256 -0 -0 -0 -4 -0x7a34 -0x2a96badb -256 -256 -1 -0 -0 -3 -0x1861 -0xd1fb4a8e -256 -256 -0 -0 -0 -4 -0xf0a5 -0x4e1977bd -256 -256 -1 -0 -0 -4 -0xa06 -0x95bbca74 -256 -256 -1 -0 -0 -2 -0x6ff5 -0x14a26391 -256 -256 -0 -0 -0 -1 -0x148d -0xaf403a94 -256 -256 -1 -0 -0 -1 -0x8d94 -0xee4c7f92 -256 -256 -1 -0 -0 -1 -0xb09b -0x7063061a -256 -256 -1 -0 -0 -1 -0xd36e -0x4d06b95e -256 -256 -0 -0 -0 -2 -0x2014 -0xc2e40b73 -256 -256 -1 -0 -0 -4 -0x1f21 -0x36864518 -256 -256 -1 -0 -0 -3 -0xc3d4 -0xfbbf28b3 -256 -256 -1 -0 -0 -3 -0x52e0 -0x1ac483ea -256 -256 -0 -0 -0 -4 -0xc4a0 -0x29fcddd5 -256 -256 -1 -0 -0 -2 -0x5bfa -0xd478051 -256 -256 -0 -0 -0 -1 -0xf66d -0x4975824e -256 -256 -1 -0 -0 -2 -0xb688 -0x2fc3f3da -256 -256 -0 -0 -0 -2 -0xc6f6 -0x48a09191 -256 -256 -1 -0 -0 -2 -0xfdc2 -0xb258b839 -256 -256 -1 -0 -0 -4 -0x9ba -0x26058cdf -256 -256 -0 -0 -0 -1 -0xd596 -0x7882753e -256 -256 -1 -0 -0 -1 -0xa728 -0x647b5802 -256 -256 -0 -0 -0 -2 -0xabb1 -0x9f59378b -256 -256 -1 -0 -0 -4 -0x92cc -0xeec3a001 -256 -256 -0 -0 -0 -1 -0xe427 -0xf9e9d6bd -256 -256 -0 -0 -0 -1 -0xa6c6 -0x2ba4516e -256 -256 -0 -0 -0 -2 -0xef9c -0x46fc762c -256 -256 -0 -0 -0 -3 -0x90d6 -0x444d7af9 -256 -256 -1 -0 -0 -4 -0xfa6e -0xc38a3af4 -256 -256 -0 -0 -0 -4 -0xc9bc -0xa77ba6e7 -256 -256 -0 -0 -0 -1 -0x6c22 -0x8909844b -256 -256 -1 -0 -0 -3 -0x3ef9 -0x7039e5b1 -256 -256 -0 -0 -0 -3 -0xadc0 -0x3fb0524f -256 -256 -0 -0 -0 -1 -0xe2f5 -0x67b47d1a -256 -256 -0 -0 -0 -2 -0x258b -0x206327b5 -256 -256 -1 -0 -0 -2 -0x88cc -0x22024e72 -256 -256 -1 -0 -0 -3 -0x7003 -0xbb2b45a4 -256 -256 -0 -0 -0 -1 -0x2d2b -0xa14c6a25 -256 -256 -0 -0 -0 -4 -0x4198 -0xfb57f38d -256 -256 -0 -0 -0 -1 -0x46d5 -0x1c0660ca -256 -256 -1 -0 -0 -3 -0x552c -0xf7dd63e1 -256 -256 -1 -0 -0 -3 -0x61f0 -0xc7451ec3 -256 -256 -1 -0 -0 -1 -0x5106 -0x6150e9ae -256 -256 -1 -0 -0 -2 -0xa27f -0x5fe2959a -256 -256 -0 -0 -0 -1 -0x74a -0x18daa208 -256 -256 -1 -0 -0 -4 -0x7b15 -0x910e88cd -256 -256 -0 -0 -0 -2 -0xc636 -0xd441c89e -256 -256 -1 -0 -0 -4 -0xc69b -0x98a7c29b -256 -256 -1 -0 -0 -2 -0x404b -0x8def88c9 -256 -256 -0 -0 -0 -2 -0x3669 -0x629d8594 -256 -256 -1 -0 -0 -2 -0xc607 -0xd1521622 -256 -256 -0 -0 -0 -2 -0x484 -0xd3f1fbc0 -256 -256 -1 -0 -0 -2 -0x470a -0x35526990 -256 -256 -0 -0 -0 -3 -0x5aad -0xbfa977da -256 -256 -0 -0 -0 -3 -0x4785 -0xa281bcb0 -256 -256 -1 -0 -0 -2 -0xf9f2 -0x3ad3a118 -256 -256 -0 -0 -0 -2 -0x5f8f -0x3571dee8 -256 -256 -0 -0 -0 -4 -0xdbf1 -0x7e554d28 -256 -256 -0 -0 -0 -4 -0xeddc -0xe452ea60 -256 -256 -0 -0 -0 -1 -0xf2b4 -0x71a12df1 -256 -256 -1 -0 -0 -2 -0x4c88 -0x67fbb6d6 -256 -256 -0 -0 -0 -2 -0x3fd4 -0xfd5e5ee -256 -256 -1 -0 -0 -2 -0x4528 -0xd5dccd95 -256 -256 -1 -0 -0 -4 -0xdd0f -0xdb2dd6f2 -256 -256 -1 -0 -0 -4 -0xd964 -0xd019eb44 -256 -256 -1 -0 -0 -3 -0x4353 -0xf597f51e -256 -256 -0 -0 -0 -1 -0x954d -0xae91cfcc -256 -256 -0 -0 -0 -3 -0x4db -0x814f1d54 -256 -256 -1 -0 -0 -3 -0x685f -0x3fc38c2f -256 -256 -0 -0 -0 -3 -0x9c6a -0x402d67bd -256 -256 -1 -0 -0 -4 -0x881e -0xc23a1575 -256 -256 -1 -0 -0 -3 -0xed90 -0x5f56dac8 -256 -256 -0 -0 -0 -1 -0x422e -0x91447310 -256 -256 -0 -0 -0 -2 -0xae74 -0xc5517b7a -256 -256 -1 -0 -0 -3 -0x1c0c -0xba20a837 -256 -256 -0 -0 -0 -2 -0xa0ec -0xac2d2919 -256 -256 -1 -0 -0 -3 -0x4133 -0x7fae6ca9 -256 -256 -1 -0 -0 -4 -0xfd19 -0x6b453f18 -256 -256 -0 -0 -0 -4 -0x2b47 -0x2df3160b -256 -256 -0 -0 -0 -2 -0xc2d6 -0x83e35855 -256 -256 -1 -0 -0 -2 -0x8eb7 -0x8258a422 -256 -256 -0 -0 -0 -3 -0x892d -0xe7cd561b -256 -256 -1 -0 -0 -4 -0xe465 -0x1b87e7f5 -256 -256 -1 -0 -0 -1 -0xddf4 -0xa999a746 -256 -256 -0 -0 -0 -2 -0x7078 -0xb72a3ffd -256 -256 -0 -0 -0 -1 -0x7a32 -0x13245bd2 -256 -256 -1 -0 -0 -4 -0xc9d7 -0x9d5f2794 -256 -256 -0 -0 -0 -3 -0xefaf -0xe43f1ed9 -256 -256 -0 -0 -0 -4 -0xd1dd -0xf14e2cfb -256 -256 -1 -0 -0 -4 -0x103f -0xc69ea0be -256 -256 -0 -0 -0 -3 -0xee62 -0xa9aacf50 -256 -256 -0 -0 -0 -3 -0x1cde -0x49e7cdcb -256 -256 -0 -0 -0 -4 -0xa377 -0x346d4094 -256 -256 -1 -0 -0 -4 -0xe28d -0xfae1e1a -256 -256 -1 -0 -0 -3 -0x5cfc -0x705ff8bb -256 -256 -0 -0 -0 -3 -0xa153 -0x6d095a68 -256 -256 -0 -0 -0 -2 -0xb164 -0x3099d88e -256 -256 -0 -0 -0 -4 -0x3663 -0x454fb5b5 -256 -256 -1 -0 -0 -3 -0x6f81 -0x253f2fa2 -256 -256 -1 -0 -0 -2 -0x2f22 -0xcb546853 -256 -256 -1 -0 -0 -3 -0xe31f -0x76b3301f -256 -256 -1 -0 -0 -3 -0x167 -0x7e8debe3 -256 -256 -0 -0 -0 -2 -0x9d0d -0xd15d2e4f -256 -256 -1 -0 -0 -3 -0x5d31 -0x92e592dc -256 -256 -1 -0 -0 -2 -0x1c77 -0x9f2ec43c -256 -256 -1 -0 -0 -2 -0x160d -0xe9ad1e5b -256 -256 -1 -0 -0 -4 -0x2340 -0xea61ee55 -256 -256 -1 -0 -0 -2 -0xc07b -0xedbecac8 -256 -256 -0 -0 -0 -1 -0xb32c -0xd3994d7d -256 -256 -1 -0 -0 -3 -0xc340 -0x56509415 -256 -256 -1 -0 -0 -1 -0xa852 -0x15c17d8a -256 -256 -1 -0 -0 -4 -0xa3a6 -0x4e090df6 -256 -256 -0 -0 -0 -1 -0x311 -0x7271e800 -256 -256 -0 -0 -0 -2 -0x1bf7 -0x67dcc765 -256 -256 -0 -0 -0 -3 -0x2f45 -0xaaa2ffd9 -256 -256 -1 -0 -0 -1 -0xd6ca -0x8ee04328 -256 -256 -1 -0 -0 -2 -0x527b -0x870d2f1f -256 -256 -0 -0 -0 -1 -0xdea -0xfd198ca5 -256 -256 -1 -0 -0 -4 -0xd466 -0xaa1cb64a -256 -256 -0 -0 -0 -4 -0x45cf -0x93c0456c -256 -256 -1 -0 -0 -4 -0x374d -0x979e2f73 -256 -256 -0 -0 -0 -4 -0xa6b5 -0xca9037cd -256 -256 -1 -0 -0 -3 -0x6916 -0x5072dc4 -256 -256 -0 -0 -0 -2 -0xd487 -0xff8e47a9 -256 -256 -0 -0 -0 -4 -0xec31 -0xca335515 -256 -256 -0 -0 -0 -2 -0xb06e -0x50a5ccfb -256 -256 -1 -0 -0 -1 -0xade7 -0x2e254d05 -256 -256 -1 -0 -0 -3 -0x4d31 -0xd06486a3 -256 -256 -1 -0 -0 -4 -0xffa6 -0x335c0331 -256 -256 -1 -0 -0 -3 -0x66cb -0x1dae2845 -256 -256 -0 -0 -0 -3 -0x9f37 -0x2fbd3fa6 -256 -256 -0 -0 -0 -3 -0xc9be -0xc4893f44 -256 -256 -0 -0 -0 -2 -0x61d -0x87a26f5a -256 -256 -0 -0 -0 -4 -0xd1c1 -0xc477d120 -256 -256 -1 -0 -0 -2 -0xc29b -0x7e0af486 -256 -256 -0 -0 -0 -4 -0x9454 -0x9c0ca203 -256 -256 -1 -0 -0 -2 -0xd40 -0x225ea579 -256 -256 -1 -0 -0 -1 -0x58c0 -0x6709a19a -256 -256 -0 -0 -0 -1 -0x53f4 -0x2318d279 -256 -256 -1 -0 -0 -1 -0xff42 -0x688a8844 -256 -256 -1 -0 -0 -4 -0xe208 -0xc9781abe -256 -256 -1 -0 -0 -1 -0x71ae -0x5bba2459 -256 -256 -1 -0 -0 -1 -0xf0af -0x34fde561 -256 -256 -0 -0 -0 -1 -0x28ae -0xe7c5d022 -256 -256 -1 -0 -0 -1 -0xad6f -0x5c8fd40c -256 -256 -0 -0 -0 -4 -0x51d9 -0x4984a098 -256 -256 -0 -0 -0 -3 -0xc954 -0x1b85490c -256 -256 -1 -0 -0 -1 -0x933b -0x5d5bb1bb -256 -256 -1 -0 -0 -4 -0xb73c -0x285e1d18 -256 -256 -0 -0 -0 -3 -0xf91a -0x172ec08d -256 -256 -1 -0 -0 -3 -0x9c65 -0x9b2389c8 -256 -256 -1 -0 -0 -3 -0x6581 -0xfcb8dad0 -256 -256 -1 -0 -0 -2 -0x42c1 -0x95dce9b9 -256 -256 -0 -0 -0 -3 -0x27f2 -0xb29a54fc -256 -256 -1 -0 -0 -3 -0x264a -0xe67c06d0 -256 -256 -1 -0 -0 -3 -0xf757 -0x8b7dd656 -256 -256 -0 -0 -0 -2 -0x53b4 -0x9dafd9df -256 -256 -0 -0 -0 -1 -0xb274 -0x58269f0 -256 -256 -0 -0 -0 -3 -0xd85c -0x16a32cc0 -256 -256 -0 -0 -0 -3 -0xa7ea -0xaf9653fa -256 -256 -0 -0 -0 -2 -0x7970 -0x5d16caf3 -256 -256 -1 -0 -0 -3 -0xfd5f -0xb30d8f00 -256 -256 -1 -0 -0 -3 -0xb966 -0xeac2d245 -256 -256 -1 -0 -0 -1 -0xd7d2 -0xf9209819 -256 -256 -1 -0 -0 -2 -0x13a0 -0x86b1b938 -256 -256 -1 -0 -0 -4 -0x275c -0x8353b75 -256 -256 -1 -0 -0 -2 -0x52cf -0x284d3a5b -256 -256 -0 -0 -0 -1 -0x830f -0x16437f90 -256 -256 -1 -0 -0 -4 -0x41d9 -0xe49310d0 -256 -256 -0 -0 -0 -3 -0x5872 -0xc4b3b5ab -256 -256 -0 -0 -0 -1 -0x42d0 -0xea8c13bd -256 -256 -1 -0 -0 -4 -0x86d -0x214007f3 -256 -256 -1 -0 -0 -2 -0x2ee2 -0x49352f69 -256 -256 -1 -0 -0 -4 -0x81c7 -0xd1d969cc -256 -256 -1 -0 -0 -1 -0x7662 -0xd5d59c8a -256 -256 -1 -0 -0 -4 -0x7939 -0x7d326c70 -256 -256 -1 -0 -0 -4 -0xf3c8 -0xb3a13b2a -256 -256 -0 -0 -0 -2 -0xdfac -0x12d6e6af -256 -256 -0 -0 -0 -1 -0x5e2c -0x9eb04789 -256 -256 -0 -0 -0 -2 -0x9986 -0x52d2ec6c -256 -256 -1 -0 -0 -1 -0xd4b2 -0x8b64a7f0 -256 -256 -0 -0 -0 -4 -0xf33 -0xa2553b7f -256 -256 -1 -0 -0 -2 -0x9382 -0xd2b2d210 -256 -256 -0 -0 -0 -4 -0xd10d -0x9b6105df -256 -256 -0 -0 -0 -4 -0x1a66 -0x376388d6 -256 -256 -1 -0 -0 -4 -0x4089 -0x4e009c28 -256 -256 -0 -0 -0 -3 -0xbd6b -0x3770e897 -256 -256 -1 -0 -0 -3 -0xdce6 -0x56519c37 -256 -256 -0 -0 -0 -4 -0xb55d -0x3346931c -256 -256 -0 -0 -0 -3 -0xfc0a -0x6c1e77e8 -256 -256 -0 -0 -0 -1 -0x73f3 -0x6e60961d -256 -256 -0 -0 -0 -4 -0x20ee -0x190e74a3 -256 -256 -0 -0 -0 -2 -0xa415 -0xcc70d308 -256 -256 -1 -0 -0 -4 -0xd00a -0xd9094f2d -256 -256 -0 -0 -0 -3 -0xcfe9 -0xbb808227 -256 -256 -0 -0 -0 -2 -0x62a6 -0x3d82a70d -256 -256 -1 -0 -0 -4 -0x5241 -0xb2e182dc -256 -256 -0 -0 -0 -2 -0xbdfc -0x51f989fc -256 -256 -0 -0 -0 -4 -0x5ada -0xf79d84ef -256 -256 -0 -0 -0 -1 -0x1f7a -0x24c8ae2b -256 -256 -0 -0 -0 -2 -0x9aa3 -0xd94ecf07 -256 -256 -1 -0 -0 -4 -0x4340 -0xc8f89310 -256 -256 -0 -0 -0 -2 -0xee05 -0x90da5e38 -256 -256 -0 -0 -0 -3 -0x6763 -0x23674af6 -256 -256 -1 -0 -0 -1 -0xdc4d -0xb915b6df -256 -256 -0 -0 -0 -2 -0xfe5f -0x32f3dc02 -256 -256 -1 -0 -0 -3 -0x6a0f -0xa8ea26b8 -256 -256 -0 -0 -0 -1 -0xbd53 -0x9b3b12a2 -256 -256 -0 -0 -0 -4 -0x8eb2 -0x57a43b8b -256 -256 -0 -0 -0 -2 -0xc7dc -0xbc60e6e -256 -256 -0 -0 -0 -1 -0xffdc -0xa57dbcdf -256 -256 -0 -0 -0 -4 -0xf765 -0x73292082 -256 -256 -1 -0 -0 -1 -0xcdeb -0xe3bf40c9 -256 -256 -1 -0 -0 -3 -0x6190 -0x529778d3 -256 -256 -0 -0 -0 -4 -0xaed0 -0x40e8a1f4 -256 -256 -1 -0 -0 -3 -0xf030 -0x60b82da3 -256 -256 -1 -0 -0 -3 -0x1359 -0x1ab2f6bd -256 -256 -1 -0 -0 -4 -0x753a -0x4af4966c -256 -256 -0 -0 -0 -2 -0xe113 -0xfc0fdf3b -256 -256 -1 -0 -0 -4 -0xa1ae -0x49dd9452 -256 -256 -0 -0 -0 -1 -0xd28a -0x3953c0fb -256 -256 -1 -0 -0 -2 -0x93a5 -0xfb51e7f -256 -256 -1 -0 -0 -4 -0x2143 -0x2dc0013b -256 -256 -1 -0 -0 -2 -0xcd72 -0x1fd68c1f -256 -256 -0 -0 -0 -4 -0x8866 -0x5bbc14a4 -256 -256 -0 -0 -0 -3 -0x3e6e -0xff2a8016 -256 -256 -0 -0 -0 -3 -0x2dc6 -0x3cf99047 -256 -256 -1 -0 -0 -1 -0x7adb -0x6859019b -256 -256 -0 -0 -0 -1 -0xcdac -0x819d50e8 -256 -256 -1 -0 -0 -1 -0x8c92 -0x19ac00a2 -256 -256 -1 -0 -0 -4 -0x6db -0x9c647fc4 -256 -256 -1 -0 -0 -3 -0x262 -0x4d9d7b57 -256 -256 -1 -0 -0 -1 -0x205f -0x853a3d2a -256 -256 -1 -0 -0 -3 -0xf103 -0xa6fb8011 -256 -256 -0 -0 -0 -1 -0x7916 -0xc9766be3 -256 -256 -0 -0 -0 -2 -0xe411 -0x6cd8d018 -256 -256 -0 -0 -0 -4 -0x6b63 -0x456de27d -256 -256 -1 -0 -0 -1 -0x8086 -0xcdd0c7f6 -256 -256 -0 -0 -0 -2 -0xd00b -0x21f6c4d8 -256 -256 -1 -0 -0 -1 -0xb91 -0x2a87492e -256 -256 -1 -0 -0 -3 -0xd36c -0x344df1d1 -256 -256 -1 -0 -0 -2 -0x843e -0x642f6f3d -256 -256 -1 -0 -0 -1 -0xb20 -0x93aeeb9c -256 -256 -1 -0 -0 -2 -0x5aa6 -0xdbb2f206 -256 -256 -1 -0 -0 -4 -0x44c4 -0xf8e0cc19 -256 -256 -0 -0 -0 -2 -0x97fe -0x3a412d1b -256 -256 -0 -0 -0 -2 -0xe8f8 -0x922f7e39 -256 -256 -1 -0 -0 -4 -0xed87 -0x668b57a -256 -256 -0 -0 -0 -4 -0x965f -0xf49c06b2 -256 -256 -1 -0 -0 -3 -0xe432 -0xd659b1b7 -256 -256 -1 -0 -0 -2 -0x7fc0 -0xc5bc764e -256 -256 -0 -0 -0 -1 -0xefbb -0xe074c10 -256 -256 -1 -0 -0 -2 -0x897e -0x6a954d60 -256 -256 -0 -0 -0 -2 -0x603e -0x93f192a3 -256 -256 -0 -0 -0 -4 -0x822e -0x14860ea5 -256 -256 -1 -0 -0 -3 -0x2f07 -0x847c9b8 -256 -256 -1 -0 -0 -2 -0xfcb4 -0xa82e40a4 -256 -256 -1 -0 -0 -4 -0xa776 -0x220d1b2a -256 -256 -0 -0 -0 -2 -0x5e83 -0x9961e01b -256 -256 -0 -0 -0 -1 -0xc4a5 -0x405cce32 -256 -256 -0 -0 -0 -3 -0x706f -0x21c354a0 -256 -256 -0 -0 -0 -3 -0xa057 -0x921b2304 -256 -256 -0 -0 -0 -1 -0xe81d -0x4f5ae3b7 -256 -256 -1 -0 -0 -4 -0x54ef -0x450839a5 -256 -256 -1 -0 -0 -3 -0x786 -0xf7538bc9 -256 -256 -0 -0 -0 -1 -0xb8e2 -0x14faf66b -256 -256 -1 -0 -0 -2 -0x4638 -0xa3c2fe0f -256 -256 -0 -0 -0 -3 -0x8427 -0x962f83ea -256 -256 -1 -0 -0 -2 -0x7a36 -0xd100b7e6 -256 -256 -1 -0 -0 -2 -0x9241 -0xbb2e121a -256 -256 -0 -0 -0 -4 -0x5fc5 -0xa34f6768 -256 -256 -0 -0 -0 -2 -0xd4ed -0x66bc6de6 -256 -256 -1 -0 -0 -1 -0xef41 -0xb20de5a8 -256 -256 -1 -0 -0 -3 -0x429c -0xa9a452ad -256 -256 -0 -0 -0 -1 -0x7292 -0x80ecad91 -256 -256 -1 -0 -0 -1 -0x887a -0x8e577c1 -256 -256 -1 -0 -0 -4 -0xf106 -0x6d91acdd -256 -256 -1 -0 -0 -2 -0xd094 -0x58e1d466 -256 -256 -0 -0 -0 -1 -0x95 -0x9ae1ce15 -256 -256 -0 -0 -0 -1 -0x28f5 -0x80f2c22c -256 -256 -1 -0 -0 -4 -0xfefd -0xb793ccc8 -256 -256 -1 -0 -0 -1 -0x4caf -0xc8b766cc -256 -256 -1 -0 -0 -4 -0xe80b -0xf6de9108 -256 -256 -0 -0 -0 -2 -0x1bf0 -0x99346863 -256 -256 -0 -0 -0 -1 -0x6bed -0x5c52d756 -256 -256 -0 -0 -0 -1 -0x7d15 -0xa1579327 -256 -256 -1 -0 -0 -4 -0xb12 -0x5f4b5903 -256 -256 -1 -0 -0 -4 -0x2dc3 -0xd58d45b6 -256 -256 -0 -0 -0 -3 -0x95e5 -0x4a8a11bf -256 -256 -1 -0 -0 -4 -0x3220 -0x8a2f579f -256 -256 -0 -0 -0 -3 -0x8132 -0x764fd492 -256 -256 -1 -0 -0 -1 -0xa7df -0x8cbc6603 -256 -256 -0 -0 -0 -4 -0x7158 -0xb84b1ebc -256 -256 -1 -0 -0 -2 -0x4f73 -0x6fe010e0 -256 -256 -1 -0 -0 -1 -0x3a3d -0x65d50e0 -256 -256 -0 -0 -0 -2 -0x4ae6 -0x95713163 -256 -256 -0 -0 -0 -4 -0x7d5f -0x1c32f7c -256 -256 -1 -0 -0 -3 -0xd6c1 -0x10864592 -256 -256 -1 -0 -0 -1 -0xd1b9 -0x3edf6592 -256 -256 -0 -0 -0 -4 -0xb78b -0xa052f8c0 -256 -256 -1 -0 -0 -1 -0x107a -0xb70a67e9 -256 -256 -1 -0 -0 -4 -0x2625 -0xc714b49e -256 -256 -0 -0 -0 -2 -0xa37a -0x9458f1bc -256 -256 -0 -0 -0 -2 -0x8aeb -0x3d3a2cc -256 -256 -0 -0 -0 -2 -0x4d8b -0x9814c593 -256 -256 -0 -0 -0 -4 -0xab4 -0x118ba351 -256 -256 -0 -0 -0 -1 -0xbf53 -0x2f7e4c8e -256 -256 -0 -0 -0 -4 -0xb7f6 -0x1e057c6b -256 -256 -1 -0 -0 -2 -0xfac8 -0x8481415f -256 -256 -0 -0 -0 -2 -0x419 -0x1da18a20 -256 -256 -0 -0 -0 -4 -0xbfd6 -0x67cf9979 -256 -256 -1 -0 -0 -3 -0x3aff -0x10beee31 -256 -256 -0 -0 -0 -4 -0xa354 -0x3b2a4a1 -256 -256 -1 -0 -0 -1 -0xbab0 -0x4f19e99b -256 -256 -0 -0 -0 -2 -0xf68e -0xb6d157a9 -256 -256 -1 -0 -0 -3 -0x7b2 -0xa268b8a1 -256 -256 -0 -0 -0 -4 -0x6dc9 -0x64a54e8b -256 -256 -1 -0 -0 -2 -0x3722 -0x8a2298a7 -256 -256 -1 -0 -0 -1 -0x9db3 -0x1d845f83 -256 -256 -0 -0 -0 -2 -0x65a6 -0x94d4cd52 -256 -256 -1 -0 -0 -4 -0x4036 -0xd267028e -256 -256 -0 -0 -0 -2 -0xa33f -0x40456c6d -256 -256 -0 -0 -0 -3 -0x5647 -0x7de9180a -256 -256 -0 -0 -0 -4 -0x1fe -0xb77797f7 -256 -256 -1 -0 -0 -2 -0xde4d -0x38df1ba9 -256 -256 -1 -0 -0 -2 -0xe170 -0x4348839e -256 -256 -1 -0 -0 -3 -0xe635 -0x350c58f5 -256 -256 -0 -0 -0 -1 -0xed75 -0xe5931fb9 -256 -256 -1 -0 -0 -3 -0xda51 -0xfc45455 -256 -256 -1 -0 -0 -3 -0x687d -0xd645ded8 -256 -256 -0 -0 -0 -2 -0xe206 -0x4a3b921f -256 -256 -0 -0 -0 -2 -0xfa09 -0x9ce59a71 -256 -256 -0 -0 -0 -3 -0xef27 -0x4fe42bff -256 -256 -0 -0 -0 -4 -0x1e84 -0x8ace07aa -256 -256 -0 -0 -0 -3 -0xec3f -0x3b443421 -256 -256 -1 -0 -0 -2 -0x6af8 -0x59bed72f -256 -256 -1 -0 -0 -2 -0xaab5 -0x74a1465a -256 -256 -1 -0 -0 -3 -0x4e06 -0x4690ca16 -256 -256 -1 -0 -0 -1 -0xf858 -0xc1df6f6f -256 -256 -1 -0 -0 -1 -0x424d -0x7cc8b80c -256 -256 -0 -0 -0 -4 -0xb25e -0xd3206cbf -256 -256 -1 -0 -0 -4 -0xaefe -0xac59aadc -256 -256 -1 -0 -0 -2 -0x12da -0xf2962200 -256 -256 -1 -0 -0 -4 -0x8566 -0x312b03d5 -256 -256 -0 -0 -0 -2 -0xaa27 -0xd2a775ea -256 -256 -0 -0 -0 -4 -0x48d4 -0x160ff5a3 -256 -256 -0 -0 -0 -4 -0x22c9 -0xc82de68 -256 -256 -1 -0 -0 -3 -0xfed1 -0x9da113b7 -256 -256 -1 -0 -0 -2 -0x64c5 -0x715b296d -256 -256 -1 -0 -0 -2 -0x29af -0xe8afb5c6 -256 -256 -0 -0 -0 -3 -0x3526 -0xd3d89d7c -256 -256 -1 -0 -0 -2 -0x860b -0xc8707d10 -256 -256 -1 -0 -0 -3 -0x3f52 -0x815e6b57 -256 -256 -1 -0 -0 -1 -0x37e8 -0x5db94c39 -256 -256 -0 -0 -0 -1 -0x30cd -0xa3c69bae -256 -256 -0 -0 -0 -1 -0x37f1 -0x63885ecd -256 -256 -0 -0 -0 -4 -0x7ae8 -0x243ff61f -256 -256 -0 -0 -0 -4 -0x7cdf -0xe605b0dd -256 -256 -1 -0 -0 -1 -0xd234 -0x8bf2fd1a -256 -256 -0 -0 -0 -2 -0xcc25 -0xa4a64c8b -256 -256 -0 -0 -0 -4 -0x52ef -0x9c9e7ec4 -256 -256 -0 -0 -0 -1 -0x7bea -0x1bfb4ede -256 -256 -0 -0 -0 -2 -0x62bc -0xe0a11e6a -256 -256 -1 -0 -0 -4 -0x4f28 -0x9f262f46 -256 -256 -1 -0 -0 -1 -0x7feb -0xe51718a4 -256 -256 -1 -0 -0 -4 -0xff94 -0x513e081c -256 -256 -0 -0 -0 -3 -0x80f9 -0xc653387f -256 -256 -0 -0 -0 -4 -0x34c2 -0x33df4e31 -256 -256 -0 -0 -0 -4 -0x5fb3 -0xa9c07f93 -256 -256 -1 -0 -0 -2 -0x5d4c -0xfc50d94e -256 -256 -1 -0 -0 -3 -0xf0b1 -0x2b8acae2 -256 -256 -0 -0 -0 -2 -0xaff5 -0xd6d9e828 -256 -256 -1 -0 -0 -2 -0xb98c -0x3c43a850 -256 -256 -0 -0 -0 -1 -0x4573 -0x8415ac52 -256 -256 -0 -0 -0 -1 -0x353b -0x1ab4bdb8 -256 -256 -1 -0 -0 -3 -0x7c8f -0x4b7e3382 -256 -256 -0 -0 -0 -2 -0x5e68 -0x53a96a35 -256 -256 -0 -0 -0 -2 -0x2825 -0xb05e7376 -256 -256 -0 -0 -0 -4 -0xd97 -0xb7fe919b -256 -256 -1 -0 -0 -3 -0x5dd6 -0x9d415e08 -256 -256 -1 -0 -0 -2 -0x4be9 -0xd62107be -256 -256 -0 -0 -0 -2 -0x83dc -0x5d75014c -256 -256 -1 -0 -0 -2 -0x1c3d -0xe87fdffa -256 -256 -0 -0 -0 -3 -0x831a -0x2d3e7c22 -256 -256 -0 -0 -0 -1 -0xf59d -0xaaf538f1 -256 -256 -0 -0 -0 -4 -0xe39b -0x5e397b40 -256 -256 -1 -0 -0 -4 -0x5817 -0x105a49a2 -256 -256 -1 -0 -0 -4 -0x960a -0xf5f83a7b -256 -256 -0 -0 -0 -3 -0x3eb7 -0xf1be8b96 -256 -256 -1 -0 -0 -1 -0xe924 -0xaaa3044e -256 -256 -0 -0 -0 -3 -0x5915 -0x2c40f32e -256 -256 -0 -0 -0 -1 -0xce17 -0xbdda3de4 -256 -256 -1 -0 -0 -4 -0x5bec -0x926620e3 -256 -256 -1 -0 -0 -4 -0xa70a -0xb669782f -256 -256 -0 -0 -0 -3 -0x2e60 -0x528a418b -256 -256 -0 -0 -0 -3 -0x8e3d -0xf7f8409 -256 -256 -1 -0 -0 -2 -0x2b74 -0xac4b65e -256 -256 -0 -0 -0 -1 -0x9077 -0x4decddca -256 -256 -1 -0 -0 -2 -0x6949 -0x45135cf7 -256 -256 -0 -0 -0 -4 -0x8448 -0x7f9f3510 -256 -256 -1 -0 -0 -2 -0x5da7 -0xfb0fff7a -256 -256 -1 -0 -0 -2 -0xa73b -0x7c2f642e -256 -256 -1 -0 -0 -1 -0x5ae3 -0xe75e9019 -256 -256 -1 -0 -0 -3 -0xbf36 -0x1c9079c3 -256 -256 -0 -0 -0 -2 -0x68aa -0x5ba93818 -256 -256 -1 -0 -0 -2 -0x68cc -0x1a921069 -256 -256 -1 -0 -0 -4 -0xd6ce -0x1122deae -256 -256 -1 -0 -0 -4 -0x5c3e -0xb7a67911 -256 -256 -0 -0 -0 -2 -0xa0c5 -0x415faf3c -256 -256 -0 -0 -0 -1 -0xda52 -0x3d180c98 -256 -256 -0 -0 -0 -4 -0xcdd6 -0x65fee7ab -256 -256 -1 -0 -0 -4 -0x541d -0x6e609aef -256 -256 -0 -0 -0 -2 -0x2f88 -0x408b6a62 -256 -256 -1 -0 -0 -3 -0xb7bf -0xa2d15abc -256 -256 -1 -0 -0 -2 -0x94b -0xe915a413 -256 -256 -1 -0 -0 -4 -0x8a1 -0x93add3f7 -256 -256 -0 -0 -0 -4 -0x3304 -0xe3d1ae68 -256 -256 -0 -0 -0 -2 -0xbae -0x7331b945 -256 -256 -1 -0 -0 -3 -0x9f71 -0x3c747b9c -256 -256 -1 -0 -0 -1 -0x7cc8 -0x239d2e71 -256 -256 -1 -0 -0 -2 -0x8163 -0x39418a39 -256 -256 -0 -0 -0 -3 -0xad9d -0xc33ca6e5 -256 -256 -0 -0 -0 -1 -0xdfdd -0xf6f7b7a3 -256 -256 -0 -0 -0 -1 -0xb1b5 -0xb6e8d36f -256 -256 -1 -0 -0 -4 -0x778a -0x138d67ed -256 -256 -0 -0 -0 -2 -0x936b -0x78299d95 -256 -256 -0 -0 -0 -1 -0xd98c -0x9a8937 -256 -256 -1 -0 -0 -2 -0x2529 -0xdb02dbe -256 -256 -0 -0 -0 -1 -0x761 -0x3173a0a3 -256 -256 -0 -0 -0 -4 -0x8e28 -0x908fc71c -256 -256 -0 -0 -0 -1 -0x9e4b -0xdef8f237 -256 -256 -1 -0 -0 -2 -0x81e8 -0x5b6e0a2b -256 -256 -0 -0 -0 -3 -0xe2b3 -0xf44e676c -256 -256 -1 -0 -0 -2 -0x812 -0x5e92454b -256 -256 -1 -0 -0 -3 -0x7f82 -0xe64dec51 -256 -256 -0 -0 -0 -4 -0x5838 -0x7a74ba51 -256 -256 -1 -0 -0 -1 -0x22e6 -0x35ee63f6 -256 -256 -1 -0 -0 -1 -0x83df -0x6f68069c -256 -256 -0 -0 -0 -3 -0x2533 -0x2a16e943 -256 -256 -1 -0 -0 -4 -0x5ee0 -0xeea68974 -256 -256 -1 -0 -0 -3 -0x6a8e -0xb723cca6 -256 -256 -1 -0 -0 -2 -0xdce9 -0xd820a98e -256 -256 -0 -0 -0 -3 -0x91fd -0xaa047a86 -256 -256 -0 -0 -0 -4 -0x4113 -0x75fb54ca -256 -256 -0 -0 -0 -2 -0xe009 -0x35664b98 -256 -256 -1 -0 -0 -2 -0x8773 -0xca2dd754 -256 -256 -1 -0 -0 -4 -0x9e99 -0x4498f80d -256 -256 -1 -0 -0 -2 -0x3854 -0x4e02a3b8 -256 -256 -0 -0 -0 -1 -0x7f6b -0xb4e55ac7 -256 -256 -1 -0 -0 -4 -0x1c98 -0xb0a99126 -256 -256 -0 -0 -0 -1 -0x5616 -0xaccbd238 -256 -256 -0 -0 -0 -2 -0x51f8 -0xe5a04cb6 -256 -256 -1 -0 -0 -1 -0x9222 -0x95623b94 -256 -256 -0 -0 -0 -3 -0x7f47 -0x31570ac4 -256 -256 -0 -0 -0 -2 -0xac0f -0xa9b8e727 -256 -256 -0 -0 -0 -1 -0x8b9e -0x4326fd3f -256 -256 -0 -0 -0 -4 -0xcafc -0x572334d8 -256 -256 -0 -0 -0 -3 -0x53cd -0x7442c23d -256 -256 -1 -0 -0 -1 -0x4d98 -0xd63a17a6 -256 -256 -1 -0 -0 -3 -0x75c -0xe68be7cd -256 -256 -1 -0 -0 -3 -0x6d99 -0x3ad5544c -256 -256 -0 -0 -0 -1 -0x80af -0x7751f7aa -256 -256 -1 -0 -0 -3 -0xd57f -0x6cb942ce -256 -256 -1 -0 -0 -3 -0x8578 -0x4f21f66c -256 -256 -0 -0 -0 -2 -0xd3d0 -0xe94dfd16 -256 -256 -1 -0 -0 -3 -0xc4a7 -0x5554b03f -256 -256 -1 -0 -0 -2 -0xdb52 -0x8c8c9390 -256 -256 -1 -0 -0 -2 -0x15ca -0x2d796506 -256 -256 -0 -0 -0 -2 -0xcda0 -0x9764514f -256 -256 -1 -0 -0 -2 -0x7e46 -0x9107b4c9 -256 -256 -0 -0 -0 -3 -0x848b -0x8c316e11 -256 -256 -1 -0 -0 -2 -0x9748 -0x87e3b7a9 -256 -256 -1 -0 -0 -3 -0x8d4d -0xe418b865 -256 -256 -1 -0 -0 -3 -0x3d4c -0xe0191302 -256 -256 -0 -0 -0 -2 -0xdecf -0xbfb5b4c2 -256 -256 -1 -0 -0 -2 -0x7d9d -0xe8e8b99a -256 -256 -0 -0 -0 -3 -0x4a1d -0x103d4477 -256 -256 -1 -0 -0 -3 -0xdfa0 -0x5bf25bdc -256 -256 -1 -0 -0 -4 -0xb532 -0x5b2d16f7 -256 -256 -1 -0 -0 -2 -0x1e0 -0x9ee6403f -256 -256 -1 -0 -0 -4 -0xc72b -0xe7defdfd -256 -256 -0 -0 -0 -3 -0x6aca -0x26264ba5 -256 -256 -1 -0 -0 -1 -0xf788 -0x5db43f69 -256 -256 -1 -0 -0 -4 -0x8199 -0x1187ea19 -256 -256 -1 -0 -0 -4 -0xb300 -0xd7cbcb89 -256 -256 -1 -0 -0 -4 -0xbef9 -0x40713fbb -256 -256 -0 -0 -0 -4 -0xae80 -0x8a3fba3f -256 -256 -0 -0 -0 -3 -0x70c9 -0x9107994c -256 -256 -0 -0 -0 -2 -0xa0e0 -0x5b582841 -256 -256 -1 -0 -0 -4 -0xcedf -0x93e3a13e -256 -256 -1 -0 -0 -4 -0x434f -0xab8aada2 -256 -256 -1 -0 -0 -2 -0x68a8 -0xcb5dab0 -256 -256 -1 -0 -0 -4 -0xabd3 -0x2ecd390d -256 -256 -1 -0 -0 -3 -0x868d -0xc4e959df -256 -256 -1 -0 -0 -1 -0x598b -0xe4e7b3b3 -256 -256 -0 -0 -0 -2 -0x2567 -0xa7f7167e -256 -256 -0 -0 -0 -4 -0x464 -0x70419e25 -256 -256 -1 -0 -0 -2 -0xd1aa -0x3ea6f3f5 -256 -256 -1 -0 -0 -1 -0x596 -0x5e650ee6 -256 -256 -0 -0 -0 -4 -0xc1a0 -0x48f8f13e -256 -256 -1 -0 -0 -1 -0x92d6 -0xa2528077 -256 -256 -0 -0 -0 -4 -0xae1b -0x318f2b6c -256 -256 -0 -0 -0 -2 -0xf286 -0xdf1e0d4e -256 -256 -0 -0 -0 -2 -0x6804 -0x9dfd2fbc -256 -256 -0 -0 -0 -3 -0xa91e -0x1c982b77 -256 -256 -0 -0 -0 -4 -0x13f4 -0xcd340056 -256 -256 -0 -0 -0 -1 -0xf5d4 -0x5d4a304b -256 -256 -1 -0 -0 -4 -0x866a -0xe25fa343 -256 -256 -1 -0 -0 -1 -0xd182 -0xc761934 -256 -256 -1 -0 -0 -2 -0x88e9 -0x9c61ac0f -256 -256 -1 -0 -0 -3 -0x84b5 -0x1958498a -256 -256 -0 -0 -0 -3 -0xc87c -0xac1b9678 -256 -256 -0 -0 -0 -2 -0x1a8d -0x9b2c4410 -256 -256 -0 -0 -0 -1 -0xd239 -0x30ce92f -256 -256 -1 -0 -0 -3 -0xe255 -0xfcb3fba8 -256 -256 -0 -0 -0 -1 -0x854d -0x3fcf4b69 -256 -256 -0 -0 -0 -1 -0x4a3e -0x713bd126 -256 -256 -0 -0 -0 -1 -0x3889 -0x9b7dd675 -256 -256 -0 -0 -0 -3 -0x2b3e -0x3c8e1ffe -256 -256 -1 -0 -0 -4 -0x90e3 -0x2a494400 -256 -256 -1 -0 -0 -3 -0xbc3e -0x748e3290 -256 -256 -1 -0 -0 -3 -0x3f66 -0xbaa4689 -256 -256 -0 -0 -0 -3 -0x35d9 -0xdf34dc99 -256 -256 -0 -0 -0 -2 -0x4884 -0x544c10c6 -256 -256 -0 -0 -0 -2 -0x4d25 -0xc7f69b05 -256 -256 -1 -0 -0 -3 -0xa9c -0x3a9ad6e1 -256 -256 -1 -0 -0 -2 -0x1df3 -0xcca183b1 -256 -256 -0 -0 -0 -4 -0xdef2 -0x4aaf392d -256 -256 -1 -0 -0 -4 -0xcad9 -0x7450a175 -256 -256 -1 -0 -0 -2 -0x47c6 -0x7c5b523d -256 -256 -0 -0 -0 -3 -0x83c7 -0xe272f58e -256 -256 -0 -0 -0 -2 -0x16bc -0xb33f33b0 -256 -256 -0 -0 -0 -4 -0xf667 -0x510c60f1 -256 -256 -1 -0 -0 -1 -0x577a -0x358e0cb7 -256 -256 -1 -0 -0 -2 -0x61aa -0x1a50f626 -256 -256 -1 -0 -0 -4 -0xc6dc -0x104206e9 -256 -256 -1 -0 -0 -4 -0x6068 -0xaec65912 -256 -256 -1 -0 -0 -1 -0xcacc -0x1aa5df50 -256 -256 -1 -0 -0 -3 -0xe9ad -0x9b6899f0 -256 -256 -0 -0 -0 -1 -0xfbdd -0xee40db05 -256 -256 -1 -0 -0 -1 -0x7455 -0xb16879f4 -256 -256 -1 -0 -0 -4 -0xf097 -0xd3f4a020 -256 -256 -1 -0 -0 -3 -0xd749 -0x85a48dfe -256 -256 -1 -0 -0 -2 -0x6424 -0xafeb111d -256 -256 -1 -0 -0 -4 -0x198c -0xc4719de -256 -256 -1 -0 -0 -2 -0x33f1 -0x9d2173f7 -256 -256 -0 -0 -0 -2 -0x9d4d -0xa4205a90 -256 -256 -1 -0 -0 -4 -0x7c98 -0xf2706931 -256 -256 -0 -0 -0 -1 -0x1d8 -0x9461a242 -256 -256 -1 -0 -0 -1 -0x3c45 -0x8635e45b -256 -256 -0 -0 -0 -2 -0x61fa -0x7d654b32 -256 -256 -0 -0 -0 -1 -0x50f6 -0xfa97c0d2 -256 -256 -1 -0 -0 -1 -0xc138 -0x35d061a3 -256 -256 -0 -0 -0 -4 -0x4a79 -0xb0c158e2 -256 -256 -0 -0 -0 -1 -0x60b6 -0x4a3fa573 -256 -256 -1 -0 -0 -4 -0x454e -0x5fe8b7ec -256 -256 -1 -0 -0 -2 -0x5bde -0xa6e6d3af -256 -256 -1 -0 -0 -1 -0x69f5 -0x38672e05 -256 -256 -0 -0 -0 -3 -0x7c4b -0xf6fb9118 -256 -256 -1 -0 -0 -4 -0x9151 -0x18dd4a5a -256 -256 -1 -0 -0 -3 -0x2057 -0xd7ac2288 -256 -256 -1 -0 -0 -1 -0x6645 -0x4dfce457 -256 -256 -0 -0 -0 -3 -0x38f5 -0xff8b7f00 -256 -256 -0 -0 -0 -1 -0x1a75 -0x497086b9 -256 -256 -1 -0 -0 -1 -0xf0 -0xe7581c40 -256 -256 -0 -0 -0 -1 -0x8618 -0xd41bed94 -256 -256 -1 -0 -0 -2 -0x641b -0x9f51b09 -256 -256 -1 -0 -0 -1 -0xb112 -0xbc4cde35 -256 -256 -0 -0 -0 -2 -0xbd36 -0x1dac23ac -256 -256 -1 -0 -0 -3 -0xb5fb -0xd12d53b4 -256 -256 -1 -0 -0 -4 -0x41b1 -0x1b79b44 -256 -256 -0 -0 -0 -4 -0xa30c -0x5a54c4e6 -256 -256 -1 -0 -0 -4 -0x7c10 -0x810d4d7 -256 -256 -1 -0 -0 -3 -0xb60a -0xd5fb4452 -256 -256 -0 -0 -0 -4 -0x1049 -0x61e91bc1 -256 -256 -1 -0 -0 -1 -0x6833 -0xbb37460 -256 -256 -1 -0 -0 -4 -0xa99b -0x34648e8d -256 -256 -1 -0 -0 -4 -0x8a93 -0x19ba2f74 -256 -256 -0 -0 -0 -3 -0xd330 -0x78bf715c -256 -256 -0 -0 -0 -2 -0xc3be -0xf5cb8c3f -256 -256 -0 -0 -0 -3 -0xfbf4 -0x598f8324 -256 -256 -0 -0 -0 -1 -0xd4b3 -0xab599dcb -256 -256 -1 -0 -0 -3 -0xbbfb -0x33cc18fa -256 -256 -1 -0 -0 -2 -0xf70a -0xbadb8224 -256 -256 -1 -0 -0 -2 -0xf85f -0xc87f31e7 -256 -256 -0 -0 -0 -4 -0x3f16 -0xbda0feb8 -256 -256 -1 -0 -0 -3 -0xec2d -0x4f658d12 -256 -256 -0 -0 -0 -2 -0x7e05 -0xb325d26a -256 -256 -0 -0 -0 -1 -0xd199 -0xbe8c9ce8 -256 -256 -1 -0 -0 -1 -0x8065 -0x79578dc -256 -256 -1 -0 -0 -1 -0x961f -0xd6626d9a -256 -256 -1 -0 -0 -1 -0x2357 -0x563e7435 -256 -256 -0 -0 -0 -1 -0xe55f -0x9c47076e -256 -256 -1 -0 -0 -2 -0x2dcd -0xc707a821 -256 -256 -1 -0 -0 -2 -0xbdc1 -0x6220587d -256 -256 -0 -0 -0 -3 -0x9e1d -0x3541ccbb -256 -256 -0 -0 -0 -3 -0x2f -0xc0abf61 -256 -256 -0 -0 -0 -4 -0x2a97 -0x61998990 -256 -256 -0 -0 -0 -4 -0x6a01 -0xfb0a41de -256 -256 -0 -0 -0 -1 -0x614b -0x9c262f7f -256 -256 -1 -0 -0 -2 -0x94f0 -0x914edce0 -256 -256 -1 -0 -0 -4 -0xe20d -0x5be812f5 -256 -256 -0 -0 -0 -1 -0xcb00 -0x5938f81c -256 -256 -1 -0 -0 -1 -0xd05b -0x22bd4eec -256 -256 -1 -0 -0 -4 -0xb65e -0x99378614 -256 -256 -1 -0 -0 -4 -0x1c07 -0x27ce4b40 -256 -256 -1 -0 -0 -1 -0xc7a9 -0xf9ee5e97 -256 -256 -0 -0 -0 -1 -0x4917 -0x2ef42ad8 -256 -256 -1 -0 -0 -4 -0xc309 -0xd6cc22dd -256 -256 -1 -0 -0 -2 -0x34c0 -0xfe282cbd -256 -256 -1 -0 -0 -4 -0xc391 -0x66a38442 -256 -256 -1 -0 -0 -3 -0xd020 -0x143658ba -256 -256 -0 -0 -0 -2 -0xeddf -0x37167562 -256 -256 -1 -0 -0 -3 -0x25d0 -0x18aba4e -256 -256 -1 -0 -0 -3 -0xe56f -0x8d950dc6 -256 -256 -1 -0 -0 -2 -0xf472 -0xe00fc139 -256 -256 -0 -0 -0 -3 -0x5988 -0xb1d4131a -256 -256 -0 -0 -0 -2 -0x6312 -0x41d3e411 -256 -256 -1 -0 -0 -3 -0x73b -0x45e38d60 -256 -256 -0 -0 -0 -2 -0xc368 -0xba38beb9 -256 -256 -1 -0 -0 -4 -0x6612 -0xc9188a62 -256 -256 -1 -0 -0 -2 -0x3a00 -0xf33c7d04 -256 -256 -0 -0 -0 -2 -0x4d93 -0xe0b0ac24 -256 -256 -0 -0 -0 -4 -0xf800 -0x2d79745e -256 -256 -0 -0 -0 -4 -0x1b30 -0xe5d3e3a6 -256 -256 -0 -0 -0 -1 -0x3f56 -0xfd9f4aed -256 -256 -0 -0 -0 -1 -0x2bae -0x7e29a689 -256 -256 -1 -0 -0 -1 -0x5021 -0x263c91a1 -256 -256 -0 -0 -0 -2 -0xf3c0 -0xc210ae02 -256 -256 -0 -0 -0 -4 -0xdcfc -0xcf8420d1 -256 -256 -0 -0 -0 -1 -0xb4a6 -0x9b633cf6 -256 -256 -0 -0 -0 -3 -0xe247 -0x2e4fe60 -256 -256 -1 -0 -0 -1 -0xf916 -0x8fd96053 -256 -256 -1 -0 -0 -1 -0xe75d -0xebd48c0b -256 -256 -1 -0 -0 -4 -0xc635 -0x3ac922e0 -256 -256 -1 -0 -0 -4 -0xaea0 -0xc6eaaaf2 -256 -256 -0 -0 -0 -1 -0x70c5 -0x3e442b79 -256 -256 -0 -0 -0 -1 -0xe5 -0x64823b54 -256 -256 -0 -0 -0 -1 -0xecd7 -0x6ebca23c -256 -256 -1 -0 -0 -1 -0xa1d -0x820d2725 -256 -256 -1 -0 -0 -1 -0x8a79 -0x7d0d340e -256 -256 -0 -0 -0 -3 -0xf7d8 -0x62efc9d3 -256 -256 -0 -0 -0 -1 -0x5427 -0x102782c3 -256 -256 -1 -0 -0 -3 -0x2700 -0xe068b4a3 -256 -256 -0 -0 -0 -2 -0x9c80 -0xb9b701da -256 -256 -0 -0 -0 -1 -0x154b -0xbe732517 -256 -256 -1 -0 -0 -1 -0xc8f0 -0x572f0a3d -256 -256 -0 -0 -0 -4 -0xf872 -0xa51d24b1 -256 -256 -0 -0 -0 -4 -0x870 -0x6d05b636 -256 -256 -0 -0 -0 -2 -0x2796 -0xcd38ef19 -256 -256 -0 -0 -0 -2 -0xca97 -0x389c62b9 -256 -256 -0 -0 -0 -3 -0xe78d -0x13ed46cc -256 -256 -0 -0 -0 -3 -0x461e -0xc0d101e -256 -256 -0 -0 -0 -3 -0x6b0d -0x69cdca29 -256 -256 -1 -0 -0 -3 -0x7f9c -0x92c095dd -256 -256 -1 -0 -0 -4 -0x17c6 -0x28e94cec -256 -256 -1 -0 -0 -2 -0xa890 -0x24773428 -256 -256 -0 -0 -0 -2 -0xb846 -0xd329ee3b -256 -256 -0 -0 -0 -3 -0xf515 -0x2962d5ce -256 -256 -0 -0 -0 -1 -0x64e3 -0xba4d7b0a -256 -256 -0 -0 -0 -1 -0x13ae -0x7e4c76bc -256 -256 -0 -0 -0 -4 -0xfe28 -0xe7168ac6 -256 -256 -0 -0 -0 -4 -0x8f2b -0xeaa58974 -256 -256 -0 -0 -0 -1 -0x8c9d -0xc7acbec4 -256 -256 -1 -0 -0 -1 -0x151d -0x70bc7518 -256 -256 -1 -0 -0 -1 -0x52e2 -0x76678b44 -256 -256 -1 -0 -0 -4 -0x8a77 -0xfd8644b2 -256 -256 -0 -0 -0 -3 -0xb2f -0xea327909 -256 -256 -0 -0 -0 -3 -0x13f3 -0x1471f0ce -256 -256 -0 -0 -0 -1 -0xf297 -0xa76be40c -256 -256 -1 -0 -0 -4 -0xda9d -0x89e472d8 -256 -256 -1 -0 -0 -3 -0xeb2a -0x93b46203 -256 -256 -1 -0 -0 -3 -0xe00f -0xce487e8c -256 -256 -1 -0 -0 -4 -0x1a9 -0x929cd7c2 -256 -256 -1 -0 -0 -1 -0xfef3 -0xce9ccaa1 -256 -256 -0 -0 -0 -2 -0x1774 -0x17d24749 -256 -256 -1 -0 -0 -2 -0xbf6a -0xeef59764 -256 -256 -1 -0 -0 -4 -0xc1ea -0x69815103 -256 -256 -0 -0 -0 -3 -0x6fcd -0x1fa9f1e2 -256 -256 -1 -0 -0 -3 -0x1aa2 -0x81eefee8 -256 -256 -1 -0 -0 -4 -0x1d3c -0xce145c80 -256 -256 -1 -0 -0 -3 -0xe71f -0x9286d686 -256 -256 -1 -0 -0 -2 -0xf34d -0xc735d8b3 -256 -256 -0 -0 -0 -1 -0x42b9 -0xa0e4f9bc -256 -256 -0 -0 -0 -4 -0xa754 -0xecb2e85 -256 -256 -0 -0 -0 -4 -0x1edc -0xcf635e8a -256 -256 -1 -0 -0 -4 -0x68d2 -0xadbc50f6 -256 -256 -0 -0 -0 -2 -0x3be7 -0x7e00666 -256 -256 -1 -0 -0 -1 -0x9708 -0x32760555 -256 -256 -0 -0 -0 -3 -0x70f0 -0xf287034a -256 -256 -0 -0 -0 -1 -0xe20c -0x9bc429b -256 -256 -1 -0 -0 -1 -0x9d86 -0xba64bbef -256 -256 -0 -0 -0 -1 -0xb1b -0x6712635e -256 -256 -1 -0 -0 -1 -0x5115 -0xd4c99ce6 -256 -256 -1 -0 -0 -2 -0xbd19 -0x9ba41a2b -256 -256 -1 -0 -0 -1 -0x9c6c -0x7592c4c1 -256 -256 -0 -0 -0 -2 -0x4e55 -0x2196da2b -256 -256 -1 -0 -0 -4 -0x2f94 -0xd1a72e1d -256 -256 -1 -0 -0 -2 -0x1c76 -0x444e6678 -256 -256 -0 -0 -0 -2 -0x9560 -0xd5bfd459 -256 -256 -0 -0 -0 -3 -0x3990 -0x1b9bd19c -256 -256 -0 -0 -0 -4 -0x3485 -0xa332a910 -256 -256 -0 -0 -0 -4 -0xc6f0 -0xe95fba24 -256 -256 -1 -0 -0 -2 -0x4233 -0x19e3b252 -256 -256 -0 -0 -0 -1 -0x46ea -0xe3b841ba -256 -256 -0 -0 -0 -2 -0x7560 -0x9adc6e62 -256 -256 -0 -0 -0 -4 -0x3ffb -0x83970809 -256 -256 -0 -0 -0 -3 -0x76f9 -0xb320e0da -256 -256 -0 -0 -0 -2 -0xa092 -0x5024178e -256 -256 -1 -0 -0 -1 -0x848 -0x7c5e5e1a -256 -256 -0 -0 -0 -3 -0x6014 -0xe1e81391 -256 -256 -0 -0 -0 -2 -0x834d -0xbd281b9c -256 -256 -0 -0 -0 -3 -0xb0a2 -0xb85c8817 -256 -256 -0 -0 -0 -3 -0x4c70 -0x1118db46 -256 -256 -0 -0 -0 -2 -0x8e2a -0x58558f11 -256 -256 -0 -0 -0 -1 -0x7616 -0x9bc6775f -256 -256 -0 -0 -0 -2 -0x266f -0x9807f67f -256 -256 -0 -0 -0 -3 -0xfb8b -0x5fb88abf -256 -256 -1 -0 -0 -4 -0xcdb3 -0x5b7d11e9 -256 -256 -1 -0 -0 -1 -0xa50b -0xb31254b5 -256 -256 -1 -0 -0 -3 -0x26d9 -0x24026ad0 -256 -256 -1 -0 -0 -1 -0x79d8 -0xc7c60023 -256 -256 -1 -0 -0 -2 -0xf90d -0xc31ee686 -256 -256 -1 -0 -0 -4 -0xf3c9 -0x44f5e2fa -256 -256 -1 -0 -0 -2 -0x9811 -0x3fe6b408 -256 -256 -1 -0 -0 -2 -0x1a18 -0x6c1c9c4a -256 -256 -1 -0 -0 -1 -0x7cef -0x272d1a09 -256 -256 -0 -0 -0 -3 -0xa726 -0xd1b42767 -256 -256 -1 -0 -0 -2 -0x4084 -0xa7afcdf3 -256 -256 -1 -0 -0 -4 -0x1d74 -0xc6b98352 -256 -256 -0 -0 -0 -3 -0x1746 -0x34a3ce81 -256 -256 -1 -0 -0 -4 -0x9a6d -0x1c6806f5 -256 -256 -1 -0 -0 -2 -0x360f -0xbb21b390 -256 -256 -1 -0 -0 -3 -0x2bf9 -0xe6cabd4a -256 -256 -1 -0 -0 -4 -0xd5a2 -0xe3442b3f -256 -256 -1 -0 -0 -2 -0xa422 -0x4ec5d568 -256 -256 -1 -0 -0 -4 -0x3196 -0xccb82bac -256 -256 -0 -0 -0 -1 -0x613b -0x48db552c -256 -256 -1 -0 -0 -4 -0x4f08 -0x6d50e25b -256 -256 -1 -0 -0 -1 -0xbbb5 -0x832a4c53 -256 -256 -1 -0 -0 -2 -0xf601 -0xf5733fbe -256 -256 -0 -0 -0 -2 -0xc741 -0x18a6f92 -256 -256 -0 -0 -0 -4 -0x7f0b -0x1577b4e0 -256 -256 -0 -0 -0 -4 -0x7b68 -0xdcfde839 -256 -256 -0 -0 -0 -3 -0xd208 -0xaca88f81 -256 -256 -0 -0 -0 -1 -0x177e -0x1ee9fd7a -256 -256 -1 -0 -0 -2 -0x44e0 -0x13cb7299 -256 -256 -1 -0 -0 -4 -0x656b -0x54e95817 -256 -256 -1 -0 -0 -3 -0xccf5 -0xf8b805eb -256 -256 -0 -0 -0 -2 -0x1d23 -0x68af0231 -256 -256 -1 -0 -0 -3 -0x8c71 -0x8c3e5ec0 -256 -256 -0 -0 -0 -2 -0x60b0 -0x22871f31 -256 -256 -0 -0 -0 -4 -0x3c -0x2cf3acfc -256 -256 -1 -0 -0 -4 -0x1509 -0x432e3eaf -256 -256 -0 -0 -0 -2 -0x8478 -0x97ae8d8d -256 -256 -1 -0 -0 -3 -0xe04b -0xd1cdbbd4 -256 -256 -1 -0 -0 -4 -0x1148 -0x2cb7b0b3 -256 -256 -1 -0 -0 -2 -0x366f -0xa02f39b9 -256 -256 -0 -0 -0 -1 -0x3a25 -0x41e110c3 -256 -256 -1 -0 -0 -2 -0x5b77 -0xff3e0ed5 -256 -256 -1 -0 -0 -3 -0xb92b -0x823fa447 -256 -256 -0 -0 -0 -1 -0xaae1 -0x9fee52db -256 -256 -1 -0 -0 -1 -0x778a -0x37892dde -256 -256 -1 -0 -0 -2 -0x167 -0xc0999c6 -256 -256 -0 -0 -0 -1 -0x1dc0 -0xc7165246 -256 -256 -1 -0 -0 -3 -0x2479 -0x3a98e45 -256 -256 -0 -0 -0 -1 -0x5dc3 -0xa8cca150 -256 -256 -1 -0 -0 -4 -0x3af2 -0x6c520deb -256 -256 -0 -0 -0 -2 -0x4652 -0x31d2627f -256 -256 -1 -0 -0 -1 -0x9725 -0xe2cfd4c -256 -256 -0 -0 -0 -2 -0x9f55 -0xbdf0c0aa -256 -256 -0 -0 -0 -1 -0x88e3 -0xe02f6053 -256 -256 -1 -0 -0 -3 -0x6426 -0xab5f579b -256 -256 -1 -0 -0 -1 -0x833e -0x7322c6ef -256 -256 -0 -0 -0 -1 -0xf6e6 -0xca53a103 -256 -256 -1 -0 -0 -3 -0xaf48 -0x53210209 -256 -256 -1 -0 -0 -4 -0xb0e6 -0x324ba318 -256 -256 -1 -0 -0 -4 -0x8299 -0xcd5f28bd -256 -256 -0 -0 -0 -3 -0x6ec7 -0x70b0c67 -256 -256 -1 -0 -0 -4 -0xf861 -0x5a2b08b2 -256 -256 -0 -0 -0 -1 -0x28f0 -0x54c3eb42 -256 -256 -1 -0 -0 -1 -0x5b28 -0x4ffc779d -256 -256 -1 -0 -0 -1 -0xbee -0xfb5d82f5 -256 -256 -1 -0 -0 -3 -0xc224 -0x6d780bfc -256 -256 -0 -0 -0 -1 -0x39d9 -0xf42aac0e -256 -256 -1 -0 -0 -3 -0xe116 -0x3c41a1a0 -256 -256 -0 -0 -0 -1 -0x97da -0x2df7dffe -256 -256 -0 -0 -0 -2 -0x86bb -0x7203405f -256 -256 -0 -0 -0 -2 -0xe06d -0xcfaae512 -256 -256 -0 -0 -0 -4 -0x5305 -0x2d1a913a -256 -256 -0 -0 -0 -4 -0xa353 -0x71943397 -256 -256 -0 -0 -0 -1 -0xd062 -0x967fc542 -256 -256 -0 -0 -0 -1 -0x7e8a -0x3935c7a4 -256 -256 -0 -0 -0 -4 -0x376f -0xa43b8b04 -256 -256 -1 -0 -0 -4 -0xf89 -0x3daa8dc2 -256 -256 -1 -0 -0 -3 -0x922f -0x6b256a8a -256 -256 -0 -0 -0 -2 -0xe572 -0x5f7d5008 -256 -256 -0 -0 -0 -3 -0xcfc9 -0x68d00b9e -256 -256 -1 -0 -0 -3 -0x20d8 -0xefa06485 -256 -256 -1 -0 -0 -4 -0x5221 -0xfd829619 -256 -256 -0 -0 -0 -1 -0x4b6a -0x8002bac8 -256 -256 -1 -0 -0 -3 -0x3ed -0xe151d96 -256 -256 -0 -0 -0 -4 -0xf170 -0x76925610 -256 -256 -1 -0 -0 -4 -0x2f83 -0xe3efe1fe -256 -256 -1 -0 -0 -1 -0xfc72 -0x7248a5d -256 -256 -1 -0 -0 -1 -0x30b4 -0xfa3784c3 -256 -256 -0 -0 -0 -1 -0xdf38 -0x4db711ef -256 -256 -0 -0 -0 -2 -0xdbbf -0xb08357e0 -256 -256 -1 -0 -0 -4 -0x2f31 -0x8c186fba -256 -256 -0 -0 -0 -3 -0x63c2 -0xca9363cf -256 -256 -0 -0 -0 -3 -0x8abf -0xd1be24ce -256 -256 -0 -0 -0 -3 -0x8841 -0x92918837 -256 -256 -0 -0 -0 -2 -0x3d71 -0xd809da86 -256 -256 -0 -0 -0 -4 -0x3de2 -0x2f043688 -256 -256 -1 -0 -0 -1 -0x75bc -0xe392b7fe -256 -256 -0 -0 -0 -1 -0xe832 -0xa5d51a75 -256 -256 -1 -0 -0 -2 -0xbdbd -0xe912cdcb -256 -256 -0 -0 -0 -3 -0xe8d8 -0x3c5c6a86 -256 -256 -1 -0 -0 -2 -0x9165 -0x9c5590c5 -256 -256 -1 -0 -0 -4 -0x7650 -0x33a43e02 -256 -256 -0 -0 -0 -2 -0xfbbe -0x13b4c634 -256 -256 -1 -0 -0 -1 -0xd41 -0x74dd790 -256 -256 -0 -0 -0 -4 -0xb1a1 -0xe0c93b6 -256 -256 -1 -0 -0 -2 -0x9a0 -0xefe3dd5a -256 -256 -0 -0 -0 -4 -0xf559 -0xadd79517 -256 -256 -1 -0 -0 -2 -0x8442 -0x301bbb9a -256 -256 -1 -0 -0 -1 -0xa98 -0x598532ba -256 -256 -1 -0 -0 -3 -0xe55b -0x39d4acfe -256 -256 -1 -0 -0 -1 -0xf094 -0x15783fcd -256 -256 -0 -0 -0 -4 -0x8795 -0xed2d2481 -256 -256 -1 -0 -0 -1 -0x524b -0x62fa99af -256 -256 -1 -0 -0 -3 -0x9135 -0x8fb8a453 -256 -256 -1 -0 -0 -3 -0x5aab -0xa558b8e7 -256 -256 -1 -0 -0 -3 -0x60ca -0xb18e17cc -256 -256 -1 -0 -0 -4 -0xf15e -0xddd4fe7e -256 -256 -1 -0 -0 -2 -0x83ef -0x8090907 -256 -256 -1 -0 -0 -3 -0x4842 -0xf5b889a -256 -256 -0 -0 -0 -2 -0x96fb -0x73c7e36b -256 -256 -1 -0 -0 -3 -0xfd88 -0xb1f6cf0e -256 -256 -1 -0 -0 -2 -0xeecc -0x179d8076 -256 -256 -0 -0 -0 -1 -0xb435 -0xac92f3a1 -256 -256 -1 -0 -0 -2 -0xfbea -0x2fd8b3af -256 -256 -1 -0 -0 -4 -0x535a -0x5371e1d5 -256 -256 -0 -0 -0 -2 -0x5e83 -0x13a0c342 -256 -256 -0 -0 -0 -1 -0x7ca6 -0x1fa5792f -256 -256 -1 -0 -0 -4 -0x3ded -0x78ff2558 -256 -256 -1 -0 -0 -4 -0x314 -0x93800d16 -256 -256 -1 -0 -0 -4 -0x517b -0x820042e -256 -256 -1 -0 -0 -1 -0x2859 -0x6e74f8bb -256 -256 -1 -0 -0 -3 -0x6275 -0x50e85f78 -256 -256 -1 -0 -0 -1 -0x8d3 -0xfee64f85 -256 -256 -0 -0 -0 -2 -0x8158 -0xdfde937a -256 -256 -1 -0 -0 -3 -0x9002 -0x514e1704 -256 -256 -0 -0 -0 -4 -0xe478 -0xf241215b -256 -256 -1 -0 -0 -4 -0x5363 -0x586fcbb6 -256 -256 -1 -0 -0 -1 -0x288d -0x24591efd -256 -256 -0 -0 -0 -1 -0xb2c3 -0x77164bd2 -256 -256 -0 -0 -0 -1 -0x4e8 -0x4da5ccae -256 -256 -1 -0 -0 -2 -0xee0e -0xad3cf706 -256 -256 -1 -0 -0 -4 -0x5b11 -0xdc1f4166 -256 -256 -0 -0 -0 -2 -0xd4f5 -0xc88e32f2 -256 -256 -0 -0 -0 -3 -0xfcf3 -0x48b0dcfc -256 -256 -1 -0 -0 -3 -0xb4ef -0xeb08b3a2 -256 -256 -1 -0 -0 -2 -0xb9c3 -0x72a56ef7 -256 -256 -0 -0 -0 -1 -0xb089 -0xe75f599c -256 -256 -0 -0 -0 -2 -0xe8a3 -0x6b2c28f7 -256 -256 -1 -0 -0 -2 -0x9f09 -0x39488b5a -256 -256 -0 -0 -0 -3 -0xd724 -0x1a9dfa20 -256 -256 -0 -0 -0 -2 -0x6c2a -0xfe11dc27 -256 -256 -1 -0 -0 -3 -0xe832 -0x68f6fa6b -256 -256 -0 -0 -0 -4 -0x5ddd -0xfb080699 -256 -256 -1 -0 -0 -3 -0xed1b -0xb4d76235 -256 -256 -0 -0 -0 -2 -0x9b6 -0x305c9a54 -256 -256 -0 -0 -0 -2 -0x69c2 -0x21db7778 -256 -256 -0 -0 -0 -4 -0xe7dd -0x9e1e372c -256 -256 -0 -0 -0 -4 -0x652e -0x44079fcf -256 -256 -1 -0 -0 -4 -0x48c9 -0x2074a352 -256 -256 -1 -0 -0 -4 -0x9cc9 -0x81cf6806 -256 -256 -0 -0 -0 -3 -0xed05 -0x9c3a72fd -256 -256 -0 -0 -0 -2 -0x5ba1 -0xa6ac35e7 -256 -256 -0 -0 -0 -4 -0x62dc -0x3bd00323 -256 -256 -0 -0 -0 -2 -0x2206 -0x321183b9 -256 -256 -1 -0 -0 -3 -0xbf5 -0x342ff5bc -256 -256 -0 -0 -0 -4 -0x7f93 -0x88186a5f -256 -256 -1 -0 -0 -1 -0x2075 -0xcddee311 -256 -256 -1 -0 -0 -4 -0x86a6 -0x13b4b8dc -256 -256 -0 -0 -0 -2 -0xc5cc -0xa7d61686 -256 -256 -1 -0 -0 -3 -0x3e6d -0xe8a4c990 -256 -256 -0 -0 -0 -1 -0xfe34 -0xe7bb17d2 -256 -256 -1 -0 -0 -3 -0xf522 -0x864ec30e -256 -256 -0 -0 -0 -2 -0xe6a0 -0xa1a01b2a -256 -256 -1 -0 -0 -3 -0x1ce3 -0x7c07c2ed -256 -256 -0 -0 -0 -1 -0xe92 -0x7438746d -256 -256 -1 -0 -0 -2 -0x23f8 -0xf3749c2d -256 -256 -0 -0 -0 -2 -0xddc8 -0x3109092e -256 -256 -1 -0 -0 -2 -0xfe30 -0x1f8453e0 -256 -256 -1 -0 -0 -3 -0x3537 -0x86b4f425 -256 -256 -1 -0 -0 -4 -0x3358 -0xe851208c -256 -256 -0 -0 -0 -4 -0x701 -0x4bf35977 -256 -256 -1 -0 -0 -1 -0x155a -0x2b366805 -256 -256 -1 -0 -0 -4 -0xf669 -0x1ddf1d8e -256 -256 -1 -0 -0 -1 -0x409e -0xe721abf1 -256 -256 -1 -0 -0 -4 -0x786b -0x5a0c796f -256 -256 -0 -0 -0 -2 -0x9c6c -0xcac60ade -256 -256 -1 -0 -0 -3 -0xa4bd -0x110e73a5 -256 -256 -0 -0 -0 -2 -0xbd41 -0x5f4387d2 -256 -256 -1 -0 -0 -2 -0xe0ad -0x1e5bf438 -256 -256 -0 -0 -0 -4 -0xb017 -0x68da57eb -256 -256 -1 -0 -0 -2 -0x1b70 -0xc0639d -256 -256 -1 -0 -0 -3 -0xb47c -0x46231acd -256 -256 -1 -0 -0 -1 -0xe9fe -0xed438406 -256 -256 -0 -0 -0 -3 -0x848 -0x169a24f5 -256 -256 -1 -0 -0 -2 -0xfecd -0x2fe9db78 -256 -256 -1 -0 -0 -3 -0xe4f6 -0xf8b26db3 -256 -256 -1 -0 -0 -2 -0x7f01 -0x32db6412 -256 -256 -0 -0 -0 -2 -0x55cd -0xfd063641 -256 -256 -1 -0 -0 -1 -0xe590 -0xcbf8c6b0 -256 -256 -0 -0 -0 -4 -0x5ec7 -0x31b54afe -256 -256 -0 -0 -0 -2 -0x3ecc -0xa2c8143f -256 -256 -0 -0 -0 -1 -0x7a10 -0xa6ead952 -256 -256 -0 -0 -0 -3 -0x9bc -0x16ce2d79 -256 -256 -0 -0 -0 -4 -0x588e -0x65565b01 -256 -256 -1 -0 -0 -3 -0x1761 -0x44a1c74f -256 -256 -0 -0 -0 -3 -0xa6e3 -0x176b74f -256 -256 -1 -0 -0 -3 -0x2689 -0x4556231 -256 -256 -0 -0 -0 -4 -0xbceb -0xee21cb18 -256 -256 -1 -0 -0 -3 -0x125d -0xfc1a6d0f -256 -256 -1 -0 -0 -4 -0x41f1 -0x6b75bc07 -256 -256 -1 -0 -0 -1 -0xed99 -0xb8c9b9a9 -256 -256 -0 -0 -0 -4 -0xcdde -0x5d5fc5f2 -256 -256 -0 -0 -0 -2 -0x583c -0x10bcf701 -256 -256 -0 -0 -0 -1 -0xd513 -0x845b4caa -256 -256 -0 -0 -0 -4 -0xe8f2 -0x363d3690 -256 -256 -0 -0 -0 -2 -0x64a4 -0xc4677dfd -256 -256 -0 -0 -0 -2 -0xa5b3 -0xa113330d -256 -256 -0 -0 -0 -1 -0xd831 -0xfff47cf9 -256 -256 -0 -0 -0 -2 -0x202 -0x3fc7cd25 -256 -256 -1 -0 -0 -4 -0x32f -0x38b6c8f -256 -256 -1 -0 -0 -2 -0x101c -0x2d13d9fb -256 -256 -0 -0 -0 -3 -0x5db3 -0x67fb63fc -256 -256 -1 -0 -0 -2 -0x97c4 -0xef7a5f16 -256 -256 -1 -0 -0 -3 -0x68cb -0xf20807a3 -256 -256 -1 -0 -0 -4 -0x771f -0xa06045b8 -256 -256 -1 -0 -0 -1 -0xae60 -0xbdc4b7d5 -256 -256 -1 -0 -0 -3 -0x3ac4 -0x23b58ef7 -256 -256 -1 -0 -0 -4 -0xd3f6 -0xf0913b94 -256 -256 -1 -0 -0 -1 -0xa461 -0x9fb2bf62 -256 -256 -0 -0 -0 -1 -0x3bd9 -0x162d6dc1 -256 -256 -1 -0 -0 -3 -0x211b -0x93589d03 -256 -256 -0 -0 -0 -3 -0x2bea -0xae1bab1d -256 -256 -1 -0 -0 -1 -0xa123 -0x346524a0 -256 -256 -1 -0 -0 -4 -0x2cd5 -0xda61d164 -256 -256 -1 -0 -0 -4 -0x3571 -0xb0a92ab7 -256 -256 -1 -0 -0 -1 -0x453a -0x227e1f28 -256 -256 -1 -0 -0 -3 -0x46cd -0x311fd865 -256 -256 -0 -0 -0 -1 -0xde2c -0x49fcfa56 -256 -256 -0 -0 -0 -4 -0x1ea1 -0x812adede -256 -256 -0 -0 -0 -3 -0x929a -0x6fb7f153 -256 -256 -0 -0 -0 -2 -0x3a07 -0x526ed6bc -256 -256 -1 -0 -0 -2 -0x1b09 -0xba47cf09 -256 -256 -1 -0 -0 -2 -0xfd95 -0xb33bbe9c -256 -256 -1 -0 -0 -3 -0x3960 -0x3b7d8739 -256 -256 -1 -0 -0 -3 -0x16c7 -0x11dcc37a -256 -256 -1 -0 -0 -4 -0x2198 -0x894c77e -256 -256 -0 -0 -0 -2 -0x3589 -0x1fcbbe3f -256 -256 -1 -0 -0 -2 -0x1e37 -0x7e30d00a -256 -256 -0 -0 -0 -1 -0x71f2 -0xa28be314 -256 -256 -0 -0 -0 -1 -0x4a22 -0x688f53d2 -256 -256 -0 -0 -0 -2 -0x6b43 -0xbf092039 -256 -256 -0 -0 -0 -1 -0x76c5 -0x6ba20710 -256 -256 -1 -0 -0 -4 -0x1364 -0x1ab9688a -256 -256 -0 -0 -0 -4 -0xedcc -0xc777d81c -256 -256 -0 -0 -0 -4 -0xa197 -0x97c6b5 -256 -256 -1 -0 -0 -1 -0xdcd -0x4b800758 -256 -256 -0 -0 -0 -3 -0xf8a0 -0x8c3d48ec -256 -256 -0 -0 -0 -4 -0x7a0e -0x4a10334f -256 -256 -0 -0 -0 -2 -0xefe2 -0xe2c77d70 -256 -256 -1 -0 -0 -3 -0x7be5 -0x2b1688b3 -256 -256 -0 -0 -0 -4 -0xd558 -0x201d28c7 -256 -256 -1 -0 -0 -1 -0xddd5 -0x311f476b -256 -256 -1 -0 -0 -2 -0xed7a -0xe3318441 -256 -256 -0 -0 -0 -2 -0x6ddf -0x6790077b -256 -256 -0 -0 -0 -3 -0x96f1 -0x274b0d47 -256 -256 -0 -0 -0 -2 -0x5ccd -0x9910089c -256 -256 -1 -0 -0 -3 -0xd445 -0x4410709f -256 -256 -0 -0 -0 -1 -0x32d3 -0x57439ce8 -256 -256 -0 -0 -0 -3 -0xc4ae -0x947f8141 -256 -256 -0 -0 -0 -4 -0xb062 -0x9dc19d99 -256 -256 -0 -0 -0 -2 -0xaa0f -0x40417a93 -256 -256 -1 -0 -0 -4 -0x11a3 -0x1381fc16 -256 -256 -0 -0 -0 -2 -0x66b2 -0x10d994cf -256 -256 -1 -0 -0 -2 -0xac40 -0x1f02f4bd -256 -256 -0 -0 -0 -2 -0xf905 -0x4a76cc -256 -256 -1 -0 -0 -1 -0x3c96 -0xc3091851 -256 -256 -0 -0 -0 -1 -0x4c2a -0x388bf5f4 -256 -256 -0 -0 -0 -3 -0x427c -0x90ea820b -256 -256 -0 -0 -0 -2 -0x9879 -0xf6144d88 -256 -256 -0 -0 -0 -4 -0x1ad6 -0x8658afb5 -256 -256 -1 -0 -0 -1 -0x2f60 -0xc3970334 -256 -256 -0 -0 -0 -3 -0x8e0f -0x2b3cd782 -256 -256 -1 -0 -0 -2 -0x7f74 -0xf7f02c1a -256 -256 -0 -0 -0 -1 -0x272a -0x78b9d659 -256 -256 -1 -0 -0 -1 -0x85d5 -0x34a9b18b -256 -256 -1 -0 -0 -2 -0xbff7 -0xc9009798 -256 -256 -1 -0 -0 -3 -0x74e -0xca8a235d -256 -256 -0 -0 -0 -4 -0x991c -0xdf34d507 -256 -256 -0 -0 -0 -2 -0x2d4c -0x2cc63525 -256 -256 -0 -0 -0 -3 -0xe824 -0x7c5c8b4d -256 -256 -0 -0 -0 -2 -0x8cbf -0xa6826b70 -256 -256 -1 -0 -0 -2 -0xed9a -0x75d3ff8e -256 -256 -1 -0 -0 -2 -0xdf22 -0x5e077b0c -256 -256 -1 -0 -0 -3 -0x432c -0xaeae72e4 -256 -256 -1 -0 -0 -3 -0x3c05 -0xa07b2353 -256 -256 -1 -0 -0 -3 -0xd4e5 -0x57490d3c -256 -256 -0 -0 -0 -2 -0xf143 -0x9976b583 -256 -256 -1 -0 -0 -3 -0xedce -0x120034fc -256 -256 -1 -0 -0 -1 -0x4399 -0x2a2a60dc -256 -256 -1 -0 -0 -3 -0xf06e -0xc2287005 -256 -256 -1 -0 -0 -1 -0x891c -0x251e8331 -256 -256 -0 -0 -0 -4 -0x38c8 -0x5da864d4 -256 -256 -1 -0 -0 -2 -0xf628 -0x587fb0bd -256 -256 -1 -0 -0 -2 -0x1143 -0x5750f3ba -256 -256 -1 -0 -0 -3 -0x67dc -0xce5d0e -256 -256 -1 -0 -0 -4 -0xcba1 -0xe0288b91 -256 -256 -1 -0 -0 -1 -0x253f -0x1f69672e -256 -256 -1 -0 -0 -3 -0x2e7b -0xbc734220 -256 -256 -0 -0 -0 -1 -0x8f65 -0xade5e4bc -256 -256 -1 -0 -0 -3 -0xcd60 -0x5fcf2404 -256 -256 -0 -0 -0 -1 -0x7c50 -0xa6d6081a -256 -256 -0 -0 -0 -2 -0x5f98 -0xed6512b7 -256 -256 -0 -0 -0 -4 -0x4ed0 -0x2162474b -256 -256 -0 -0 -0 -2 -0x2fd1 -0x5ad00a61 -256 -256 -0 -0 -0 -2 -0x498f -0xd074d449 -256 -256 -1 -0 -0 -1 -0xdbc8 -0x2b046ee3 -256 -256 -0 -0 -0 -2 -0x96ea -0x3b087604 -256 -256 -1 -0 -0 -4 -0x3152 -0x425a7f94 -256 -256 -1 -0 -0 -1 -0xd896 -0x4c01310c -256 -256 -0 -0 -0 -4 -0x17f2 -0x1b38c7d1 -256 -256 -1 -0 -0 -2 -0xe92a -0x71c0d6df -256 -256 -1 -0 -0 -1 -0x2509 -0x78f678ee -256 -256 -0 -0 -0 -4 -0xf0e9 -0xe322b703 -256 -256 -0 -0 -0 -2 -0x155a -0xabb81f2c -256 -256 -1 -0 -0 -4 -0x7bc6 -0x744ac0b3 -256 -256 -1 -0 -0 -4 -0xf8ae -0xe10fe959 -256 -256 -0 -0 -0 -1 -0x9cdc -0xa4491b67 -256 -256 -1 -0 -0 -1 -0x17 -0xb644658b -256 -256 -1 -0 -0 -2 -0xf589 -0x81932d00 -256 -256 -0 -0 -0 -2 -0x3555 -0xc2a2648e -256 -256 -1 -0 -0 -2 -0x61ba -0x36ee5687 -256 -256 -1 -0 -0 -3 -0x1924 -0xe2cfd697 -256 -256 -1 -0 -0 -4 -0x2e0b -0xb280e1ad -256 -256 -0 -0 -0 -2 -0xf1d -0xcd05c581 -256 -256 -0 -0 -0 -2 -0xac93 -0xdc763dca -256 -256 -0 -0 -0 -3 -0x50d -0xeb1a2715 -256 -256 -0 -0 -0 -2 -0x4881 -0x98ab0af7 -256 -256 -0 -0 -0 -4 -0x3aa5 -0xf5ca4849 -256 -256 -0 -0 -0 -3 -0xd83a -0x21e71c19 -256 -256 -0 -0 -0 -3 -0xd6a5 -0x5a82daa8 -256 -256 -1 -0 -0 -4 -0xe848 -0x2e50c0d9 -256 -256 -1 -0 -0 -1 -0x147a -0xdce890c6 -256 -256 -1 -0 -0 -2 -0x378d -0x18903c4 -256 -256 -0 -0 -0 -3 -0x29f6 -0xddbb569a -256 -256 -1 -0 -0 -2 -0x165b -0xaf6d1d4a -256 -256 -0 -0 -0 -4 -0xdaf2 -0x9494ad6 -256 -256 -0 -0 -0 -3 -0x7a66 -0xca8b1437 -256 -256 -0 -0 -0 diff --git a/jobs/backend/man_error_mixed.txt b/jobs/backend/man_error_mixed.txt index 3c93dd2d..3c344eec 100644 --- a/jobs/backend/man_error_mixed.txt +++ b/jobs/backend/man_error_mixed.txt @@ -1,3 +1,5 @@ +0 +1 3434 0x4d0f2168 0xc1b8301e @@ -7,6 +9,8 @@ 0 1 wc0xc1b83357 +2 +0 14565 0x8a588f7 0x81440be9 @@ -16,6 +20,8 @@ wc0xc1b83357 0 1 wc0x814444b6 +2 +1 8996 0x3daf4923 0xcb135ed2 @@ -25,6 +31,8 @@ wc0x814444b6 0 1 rc0x3daf55f4 +2 +1 15161 0x31b24861 0x981e72e1 @@ -34,6 +42,8 @@ rc0x3daf55f4 0 1 ra0x31b24cbe +1 +1 798 0x31be3884 0xb5a88200 @@ -43,6 +53,8 @@ ra0x31b24cbe 0 1 ra0x31be393a +2 +2 9449 0x3855bde 0xac3f6e8e @@ -52,6 +64,8 @@ ra0x31be393a 0 1 ra0x385696f +1 +2 15475 0x162fac7c 0xb1f604a6 @@ -61,6 +75,8 @@ ra0x385696f 0 1 rc0x162fc351 +0 +0 11599 0x371c8eaa 0xa52f20ae @@ -70,6 +86,8 @@ rc0x162fc351 0 1 wc0xa52f47ef +0 +1 11818 0x68c96912 0xd59a946f @@ -79,6 +97,8 @@ wc0xa52f47ef 0 1 ra0x68c97539 +2 +0 427 0x5332270 0xb336ce34 @@ -88,6 +108,8 @@ ra0x68c97539 0 1 rc0x5332368 +1 +1 9797 0x6849c7a9 0xd311a892 @@ -97,6 +119,8 @@ rc0x5332368 0 1 rc0x6849cc34 +0 +2 7930 0x1407f9d5 0x8ccdc002 @@ -106,6 +130,8 @@ rc0x6849cc34 0 1 wa0x8ccdd443 +0 +1 11773 0x67c8908d 0x80bb0ce7 @@ -115,6 +141,8 @@ wa0x8ccdd443 0 1 wa0x80bb11d0 +2 +1 8237 0x6efee2af 0x9981870e @@ -124,6 +152,8 @@ wa0x80bb11d0 0 1 rc0x6efeffa0 +1 +2 7071 0x3650fa1c 0xe47035fe @@ -133,6 +163,8 @@ rc0x6efeffa0 0 1 ra0x3650fefe +0 +0 6322 0x6828e48b 0xa80a3e19 @@ -142,6 +174,8 @@ ra0x3650fefe 0 1 wc0xa80a40f4 +1 +2 5277 0xb24ee63 0xda39491d @@ -151,6 +185,8 @@ wc0xa80a40f4 0 1 ra0xb24fb6b +1 +1 13424 0x267679ea 0xd962d262 @@ -160,6 +196,8 @@ ra0xb24fb6b 0 1 wc0xd962da4f +0 +1 16123 0x4d34f44 0x90696f0e @@ -169,6 +207,8 @@ wc0xd962da4f 0 1 ra0x4d37408 +2 +2 15613 0x41b5dade 0xdebff0d5 @@ -178,6 +218,8 @@ ra0x4d37408 0 1 wc0xdec022c0 +0 +0 13584 0x457f868c 0xdb9fad01 @@ -187,6 +229,8 @@ wc0xdec022c0 0 1 ra0x457f96c6 +1 +1 7351 0xc5e380b 0xbc099fe0 @@ -196,6 +240,8 @@ ra0x457f96c6 0 1 rc0xc5e54b9 +2 +1 1220 0xc8e2c2a 0xb1bf5b61 @@ -205,6 +251,8 @@ rc0xc5e54b9 0 1 ra0xc8e2c80 +0 +1 6034 0x49f71ad6 0xa0cee2a1 @@ -214,6 +262,8 @@ ra0xc8e2c80 0 1 rc0x49f7230c +0 +0 10812 0x5b65462b 0x99767b75 @@ -223,6 +273,8 @@ rc0x49f7230c 0 1 ra0x5b655c91 +0 +0 15739 0x2a2151e1 0xcc479bc1 @@ -232,6 +284,8 @@ ra0x5b655c91 0 1 wa0xcc47a498 +0 +2 9791 0xeb7f97d 0xd6fa11f6 @@ -241,6 +295,8 @@ wa0xcc47a498 0 1 ra0xeb81bea +1 +2 12714 0x1365f33f 0xd51d293c @@ -250,6 +306,8 @@ ra0xeb81bea 0 1 ra0x1365fede +0 +1 11971 0x2f0f15d7 0xcb817306 @@ -259,6 +317,8 @@ ra0x1365fede 0 1 wc0xcb817bcc +2 +1 7243 0x1bd3f097 0x96698c6f @@ -268,6 +328,8 @@ wc0xcb817bcc 0 1 rc0x1bd3fa66 +1 +2 11849 0x650a604d 0xb7705057 @@ -277,6 +339,8 @@ rc0x1bd3fa66 0 1 ra0x650a7362 +2 +1 9482 0x67909cdd 0x83523ec6 @@ -286,6 +350,8 @@ ra0x650a7362 0 1 wa0x835249f0 +2 +2 11949 0x278922f2 0x97d35550 @@ -295,6 +361,8 @@ wa0x835249f0 0 1 rc0x278940fa +0 +1 2408 0x57b58dd6 0xed5c3d27 @@ -304,6 +372,8 @@ rc0x278940fa 0 1 wc0xed5c3eb6 +2 +2 2103 0x5e3e3e38 0xb2f4e420 @@ -313,6 +383,8 @@ wc0xed5c3eb6 0 1 wc0xb2f4e9f8 +1 +2 5592 0x59b6c43a 0xc0cbf8a1 @@ -322,6 +394,8 @@ wc0xb2f4e9f8 0 1 wa0xc0cbffb8 +0 +1 2434 0x39156ec4 0xe9e43ed1 @@ -331,6 +405,8 @@ wa0xc0cbffb8 0 1 wc0xe9e440b4 +2 +0 13558 0x1c62bdae 0xdc578a3a @@ -340,6 +416,8 @@ wc0xe9e440b4 0 1 wc0xdc57a0e7 +1 +0 14137 0x2f12093d 0x8d6f215e @@ -349,6 +427,8 @@ wc0xdc57a0e7 0 1 rc0x2f12188b +2 +1 6745 0x110a150a 0xe63a6ecb @@ -358,6 +438,8 @@ rc0x2f12188b 0 1 ra0x110a2c9b +1 +1 11404 0x35659934 0xbe731974 @@ -367,6 +449,8 @@ ra0x110a2c9b 0 1 wc0xbe732f9d +2 +0 14742 0x469f7f15 0xde9dceb7 @@ -376,6 +460,8 @@ wc0xbe732f9d 0 1 ra0x469f9cd0 +1 +0 7089 0x5c7c51df 0xa676094c @@ -385,6 +471,8 @@ ra0x469f9cd0 0 1 wa0xa67611c1 +1 +1 15531 0x67e79527 0xd33d6f7f @@ -394,6 +482,8 @@ wa0xa67611c1 0 1 ra0x67e7b6c7 +2 +0 5583 0x1e0194ef 0xef67a329 @@ -403,6 +493,8 @@ ra0x67e7b6c7 0 1 wa0xef67b84a +2 +1 8990 0x2bea9d4f 0xbf179435 @@ -412,6 +504,8 @@ wa0xef67b84a 0 1 ra0x2beab816 +1 +1 6283 0x32ce187c 0xeb9d0e8f @@ -421,6 +515,8 @@ ra0x2beab816 0 1 ra0x32ce1b6c +2 +1 4919 0x1cf59c8c 0xdc2f48c2 @@ -430,6 +526,8 @@ ra0x32ce1b6c 0 1 ra0x1cf5a932 +2 +1 7549 0x62ff2b2c 0x9626e947 @@ -439,6 +537,8 @@ ra0x1cf5a932 0 1 rc0x62ff3779 +0 +0 6731 0x58a9f84a 0xe5ee7b6c @@ -448,6 +548,8 @@ rc0x62ff3779 0 1 ra0x58aa0f8b +1 +1 16322 0x2828e8df 0xda6af429 @@ -457,6 +559,8 @@ ra0x58aa0f8b 0 1 rc0x2828e910 +0 +2 9825 0x3101416c 0xe8499f54 @@ -466,6 +570,8 @@ rc0x2828e910 0 1 wc0xe849b9eb +0 +2 12152 0x23c255d5 0xd057e212 @@ -475,6 +581,8 @@ wc0xe849b9eb 0 1 wa0xd05804b2 +1 +0 6491 0x692f66e0 0xac1e874f @@ -484,6 +592,8 @@ wa0xd05804b2 0 1 rc0x692f7cf0 +0 +1 7079 0x4d7d562d 0xa8d69227 @@ -493,6 +603,8 @@ rc0x692f7cf0 0 1 wa0xa8d6a956 +1 +1 4201 0x6a3e85e7 0x838dc315 @@ -502,6 +614,8 @@ wa0xa8d6a956 0 1 ra0x6a3e8889 +2 +0 10239 0x1a2425e9 0xacfd3a12 @@ -511,6 +625,8 @@ ra0x6a3e8889 0 1 rc0x1a244a21 +0 +2 2624 0x25b87bea 0xe78212bd @@ -520,6 +636,8 @@ rc0x1a244a21 0 1 wc0xe7821a31 +2 +2 11846 0x6bef85d9 0x971a4f61 @@ -529,6 +647,8 @@ wc0xe7821a31 0 1 wa0x971a744b +0 +1 2774 0x1775596c 0xe66a69e7 @@ -538,6 +658,8 @@ wa0x971a744b 0 1 ra0x17756241 +2 +2 8654 0x43100abc 0xef370ab7 @@ -547,6 +669,8 @@ ra0x17756241 0 1 rc0x431010b8 +2 +1 5018 0x10d44ed5 0xb1b65ca6 @@ -556,6 +680,8 @@ rc0x431010b8 0 1 ra0x10d45db7 +1 +0 1530 0x5122668a 0xcabd4840 @@ -565,6 +691,8 @@ ra0x10d45db7 0 1 wc0xcabd4889 +2 +2 13853 0x2564eaec 0x93aa7a88 @@ -574,6 +702,8 @@ wc0xcabd4889 0 1 wc0x93aa9f18 +0 +2 9023 0x18b79aea 0x9e883d00 @@ -583,6 +713,8 @@ wc0x93aa9f18 0 1 wa0x9e8851c8 +0 +0 10893 0x68058edb 0xbbdd543a @@ -592,6 +724,8 @@ wa0x9e8851c8 0 1 wa0xbbdd554c +0 +1 7933 0x67974993 0xa10f6c6a @@ -601,6 +735,8 @@ wa0xbbdd554c 0 1 wc0xa10f7e77 +0 +0 5055 0x3cf9e8b0 0xd53169d0 @@ -610,6 +746,8 @@ wc0xa10f7e77 0 1 wa0xd5317372 +2 +2 4767 0x69df2655 0xaf93db57 @@ -619,6 +757,8 @@ wa0xd5317372 0 1 wc0xaf93e7dc +2 +2 3645 0x49d5a98 0xd6de91fc @@ -628,6 +768,8 @@ wc0xaf93e7dc 0 1 ra0x49d5ca1 +1 +2 1213 0x561af64c 0xce269532 @@ -637,6 +779,8 @@ ra0x49d5ca1 0 1 wc0xce269694 +1 +2 1470 0x5c69a56 0xc492e6c0 @@ -646,6 +790,8 @@ wc0xce269694 0 1 wc0xc492e7d4 +1 +1 7244 0x2891a00a 0xd366824d @@ -655,6 +801,8 @@ wc0xc492e7d4 0 1 wa0xd3669537 +1 +1 1773 0x62bcf81d 0x801cefff @@ -664,6 +812,8 @@ wa0xd3669537 0 1 ra0x62bcfcaa +1 +1 164 0xcff90b2 0xcf5fdb96 @@ -673,6 +823,8 @@ ra0x62bcfcaa 0 1 wc0xcf5fdbdb +2 +2 5191 0x4d018acc 0x8c4858e3 @@ -682,6 +834,8 @@ wc0xcf5fdbdb 0 1 ra0x4d019292 +2 +2 15022 0x812b3ef 0xdb348152 @@ -691,6 +845,8 @@ ra0x4d019292 0 1 rc0x812ebc5 +2 +0 8575 0x6af7f4be 0xc7cef882 @@ -700,6 +856,8 @@ rc0x812ebc5 0 1 wa0xc7cf1979 +0 +0 5150 0x69116823 0xb962a127 @@ -709,6 +867,8 @@ wa0xc7cf1979 0 1 wc0xb962a138 +2 +1 10946 0x5824660b 0x851db4c4 @@ -718,6 +878,8 @@ wc0xb962a138 0 1 wa0x851db79b +2 +0 4645 0x10af96ff 0xca41fa47 @@ -727,6 +889,8 @@ wa0x851db79b 0 1 ra0x10af9f31 +2 +0 9990 0x21a6db0d 0xacdddd29 @@ -736,6 +900,8 @@ ra0x10af9f31 0 1 rc0x21a6f3fe +0 +0 9336 0x28b94a6c 0xd5ef072d @@ -745,6 +911,8 @@ rc0x21a6f3fe 0 1 rc0x28b9624f +1 +1 13771 0x6d9577c0 0xaa452dfc @@ -754,6 +922,8 @@ rc0x28b9624f 0 1 wa0xaa456012 +2 +0 1751 0x5ce830a7 0xec727178 @@ -763,6 +933,8 @@ wa0xaa456012 0 1 rc0x5ce83299 +0 +1 5749 0x4cf3f44d 0x86b283da @@ -772,6 +944,8 @@ rc0x5ce83299 0 1 wa0x86b297e6 +0 +0 4976 0x47fe4ae4 0xcab3ee14 @@ -781,6 +955,8 @@ wa0x86b297e6 0 1 wa0xcab3f880 +0 +0 4725 0x682d40c9 0x91251b40 @@ -790,6 +966,8 @@ wa0xcab3f880 0 1 ra0x682d4123 +2 +0 9898 0x5ff724d9 0x81fdb228 @@ -799,6 +977,8 @@ ra0x682d4123 0 1 wa0x81fdc8af +2 +0 3276 0x10599592 0xc47c4a2d @@ -808,6 +988,8 @@ wa0x81fdc8af 0 1 ra0x10599755 +1 +1 8269 0x43c7f484 0xa83fd4a3 @@ -817,6 +999,8 @@ ra0x10599755 0 1 ra0x43c7f4fe +2 +0 10871 0x3ba1f58b 0xaffb01be @@ -826,6 +1010,8 @@ ra0x43c7f4fe 0 1 wa0xaffb24ab +0 +1 5988 0xbe1d0b2 0xe24cf7ce @@ -835,6 +1021,8 @@ wa0xaffb24ab 0 1 wa0xe24cfd37 +2 +1 1622 0x337311bc 0x8de14446 @@ -844,6 +1032,8 @@ wa0xe24cfd37 0 1 wa0x8de14a79 +0 +1 3941 0x66dfec1e 0xdb990dcc @@ -853,6 +1043,8 @@ wa0x8de14a79 0 1 ra0x66dff7c2 +2 +1 4803 0xa88d588 0x8a8a8487 @@ -862,6 +1054,8 @@ ra0x66dff7c2 0 1 ra0xa88dc94 +1 +0 963 0x4c7a78b9 0xc8bc6223 @@ -871,6 +1065,8 @@ ra0xa88dc94 0 1 wc0xc8bc6244 +2 +0 135 0x4c793a3a 0x99ec603a @@ -880,6 +1076,8 @@ wc0xc8bc6244 0 1 wc0x99ec60b5 +2 +0 2501 0x3098b8f5 0x8abb7110 @@ -889,6 +1087,8 @@ wc0x99ec60b5 0 1 ra0x3098b914 +2 +0 6522 0x2bbaa1bf 0xc4645582 @@ -898,6 +1098,8 @@ ra0x3098b914 0 1 wc0xc4646b9e +2 +0 193 0x6891c876 0x9f775633 @@ -907,6 +1109,8 @@ wc0xc4646b9e 0 1 rc0x6891c8e6 +2 +2 4964 0x45953339 0xe3bb82e5 @@ -916,6 +1120,8 @@ rc0x6891c8e6 0 1 rc0x459543e7 +0 +1 4321 0x689c5393 0x84e9d211 @@ -925,6 +1131,8 @@ rc0x459543e7 0 1 ra0x689c6417 +2 +2 2336 0x510d5d23 0x8acb85f4 @@ -934,6 +1142,8 @@ ra0x689c6417 0 1 rc0x510d638b +0 +0 5892 0x50bb6a05 0x958010ad @@ -943,6 +1153,8 @@ rc0x510d638b 0 1 ra0x50bb73cc +1 +1 14365 0x20aa48a8 0xdc6021fc @@ -952,6 +1164,8 @@ ra0x50bb73cc 0 1 wc0xdc604c09 +0 +0 6715 0xb2252ff 0xd231702d @@ -961,6 +1175,8 @@ wc0xdc604c09 0 1 rc0xb225798 +1 +2 14717 0x5b0e9853 0x907b8e52 @@ -970,6 +1186,8 @@ rc0xb225798 0 1 rc0x5b0ebf43 +2 +1 8075 0x5cd7aba5 0x9bcc41e7 @@ -979,6 +1197,8 @@ rc0x5b0ebf43 0 1 wc0x9bcc5c1c +1 +0 9661 0x896569e 0xe664cf3d @@ -988,6 +1208,8 @@ wc0x9bcc5c1c 0 1 ra0x896637c +1 +2 5055 0x4c4a1881 0xbbc64c10 @@ -997,6 +1219,8 @@ ra0x896637c 0 1 wc0xbbc64ed3 +0 +2 14121 0x3edc5a5 0xc67559db @@ -1006,6 +1230,8 @@ wc0xbbc64ed3 0 1 wc0xc6758947 +0 +2 15238 0x5d89dc3 0xdcef229f @@ -1015,6 +1241,8 @@ wc0xc6758947 0 1 ra0x5d89eed +0 +1 5359 0x27ab74cf 0xd3af68bb @@ -1024,6 +1252,8 @@ ra0x5d89eed 0 1 rc0x27ab8473 +1 +0 6470 0x3e53febd 0xe8fc96f9 @@ -1033,6 +1263,8 @@ rc0x27ab8473 0 1 rc0x3e5401bb +1 +0 25 0x5eb50a27 0xe9c9020f @@ -1042,6 +1274,8 @@ rc0x3e5401bb 0 1 rc0x5eb50a35 +1 +2 8327 0x51333e33 0x8be147ab @@ -1051,6 +1285,8 @@ rc0x5eb50a35 0 1 wc0x8be15245 +2 +1 1795 0x36c407d3 0xc417fa64 @@ -1060,6 +1296,8 @@ wc0x8be15245 0 1 rc0x36c409b7 +0 +2 13442 0x34538c9d 0xeab04bc0 @@ -1069,6 +1307,8 @@ rc0x36c409b7 0 1 wc0xeab06aef +1 +2 8721 0x1157f880 0xb5277fb0 @@ -1078,6 +1318,8 @@ wc0xeab06aef 0 1 wa0xb52799ea +2 +0 6103 0x24e14f90 0x8b72fdbd @@ -1087,6 +1329,8 @@ wa0xb52799ea 0 1 rc0x24e1651c +0 +0 15368 0x3483baeb 0xc95e1d8a @@ -1096,6 +1340,8 @@ rc0x24e1651c 0 1 rc0x3483d1c4 +0 +1 15578 0x8a1138b 0x838731df @@ -1105,6 +1351,8 @@ rc0x3483d1c4 0 1 ra0x8a1308e +1 +2 683 0x6eae044b 0xd5fa14dc @@ -1114,6 +1362,8 @@ ra0x8a1308e 0 1 rc0x6eae04b1 +1 +1 8992 0x15868476 0xdcdf932d @@ -1123,6 +1373,8 @@ rc0x6eae04b1 0 1 rc0x1586a151 +0 +0 14472 0x4deb51f9 0x8e24e5fe @@ -1132,6 +1384,8 @@ rc0x1586a151 0 1 wc0x8e251df7 +1 +0 3171 0x72abae4 0xb50db08e @@ -1141,6 +1395,8 @@ wc0x8e251df7 0 1 wc0xb50db4ce +0 +0 2238 0x589fc97d 0xe35c9c36 @@ -1150,6 +1406,8 @@ wc0xb50db4ce 0 1 wc0xe35ca24e +1 +0 9967 0x3d371bd 0x84707609 @@ -1159,6 +1417,8 @@ wc0xe35ca24e 0 1 wa0x84709466 +2 +2 12316 0x5e8aac1e 0xd8f92950 @@ -1168,6 +1428,8 @@ wa0x84709466 0 1 rc0x5e8ad7df +0 +1 15766 0x1de19838 0xe7f3c2a5 @@ -1177,6 +1439,8 @@ rc0x5e8ad7df 0 1 wa0xe7f3e7db +0 +1 1231 0x2074689a 0x8e7641f2 @@ -1186,6 +1450,8 @@ wa0xe7f3e7db 0 1 ra0x20746b5d +0 +0 8604 0x279ecfe7 0xd4221299 @@ -1195,6 +1461,8 @@ ra0x20746b5d 0 1 wc0xd4222d37 +1 +1 5325 0x3a898fad 0xc5a122c1 @@ -1204,6 +1472,8 @@ wc0xd4222d37 0 1 rc0x3a899a97 +1 +2 14515 0xc07c4f6 0xbe6f7110 @@ -1213,6 +1483,8 @@ rc0x3a899a97 0 1 wc0xbe6f9e9e +1 +0 15016 0x564639 0xd76a1a37 @@ -1222,6 +1494,8 @@ wc0xbe6f9e9e 0 1 rc0x5668ac +2 +1 12183 0x62d30dd5 0xd0c9f9e9 @@ -1231,6 +1505,8 @@ rc0x5668ac 0 1 wc0xd0ca161a +2 +1 14350 0x2e13cfd9 0xeab43384 @@ -1240,6 +1516,8 @@ wc0xd0ca161a 0 1 ra0x2e13f113 +0 +0 15498 0x7f14bf4 0xb1b4b543 @@ -1249,6 +1527,8 @@ ra0x2e13f113 0 1 wc0xb1b4c942 +0 +0 14544 0x725f923 0xa980b589 @@ -1258,6 +1538,8 @@ wc0xb1b4c942 0 1 rc0x7262e5d +0 +0 11139 0x49cfe88e 0xd47c4d77 @@ -1267,6 +1549,8 @@ rc0x7262e5d 0 1 rc0x49cff755 +2 +2 6610 0x4fdba605 0xec5dcff0 @@ -1276,6 +1560,8 @@ rc0x49cff755 0 1 wc0xec5de67b +0 +2 9153 0x6011779e 0x933ed0c2 @@ -1285,6 +1571,8 @@ wc0xec5de67b 0 1 wa0x933eeb15 +2 +2 6269 0x62300c 0xb6057cbf @@ -1294,6 +1582,8 @@ wa0x933eeb15 0 1 wc0xb60583ef +1 +1 15780 0x207fbfd8 0xd2986c8c @@ -1303,6 +1593,8 @@ wc0xb60583ef 0 1 ra0x207fe727 +1 +2 14884 0x3403319d 0xb6fe4b61 @@ -1312,6 +1604,8 @@ ra0x207fe727 0 1 rc0x34034ea1 +2 +1 6305 0x6287aac1 0xec28ca3e @@ -1321,6 +1615,8 @@ rc0x34034ea1 0 1 ra0x6287b279 +0 +1 3683 0xf16ca8 0x8c6a46c9 @@ -1330,6 +1626,8 @@ ra0x6287b279 0 1 wa0x8c6a51bf +0 +0 15390 0x28668a27 0xef1eb376 @@ -1339,6 +1637,8 @@ wa0x8c6a51bf 0 1 rc0x28669cf9 +1 +2 8270 0x2a8617f 0xbdcb4be1 @@ -1348,6 +1648,8 @@ rc0x28669cf9 0 1 ra0x2a86865 +0 +0 11197 0xa2f1e15 0x8d558441 @@ -1357,6 +1659,8 @@ ra0x2a86865 0 1 wc0x8d55aa60 +2 +1 11967 0xc198952 0xeb2daaa2 @@ -1366,6 +1670,8 @@ wc0x8d55aa60 0 1 wa0xeb2dd863 +1 +2 15743 0x4b99d542 0xe7b3feb5 @@ -1375,6 +1681,8 @@ wa0xeb2dd863 0 1 wa0xe7b42fef +1 +0 10939 0x2641a0fb 0xaa91f9a6 @@ -1384,6 +1692,8 @@ wa0xe7b42fef 0 1 rc0x2641c111 +2 +2 12688 0x5f3d970d 0xa100e781 @@ -1393,6 +1703,8 @@ rc0x2641c111 0 1 wa0xa1010993 +0 +0 11261 0x1791bcc8 0xe61f2c4f @@ -1402,6 +1714,8 @@ wa0xa1010993 0 1 rc0x1791e271 +2 +0 9381 0x5deb7cdc 0x9cc4f528 @@ -1411,6 +1725,8 @@ rc0x1791e271 0 1 wc0x9cc512cf +1 +0 2244 0x2290cd1a 0xbdb16301 @@ -1420,6 +1736,8 @@ wc0x9cc512cf 0 1 ra0x2290d426 +0 +1 390 0x11e4fc1a 0xcbf67a1e @@ -1429,6 +1747,8 @@ ra0x2290d426 0 1 rc0x11e4fd91 +2 +0 14395 0x501cf9f0 0x9a0ed4b5 @@ -1438,6 +1758,8 @@ rc0x11e4fd91 0 1 rc0x501d1f3d +2 +2 14198 0x58fd44df 0xb0cd3222 @@ -1447,6 +1769,8 @@ rc0x501d1f3d 0 1 wc0xb0cd3e99 +1 +0 6006 0x4d7e958a 0x896252e4 @@ -1456,6 +1780,8 @@ wc0xb0cd3e99 0 1 wa0x89626733 +1 +1 14862 0xb09651e 0xa733d219 @@ -1465,6 +1791,8 @@ wa0x89626733 0 1 rc0xb0965ef +0 +0 2035 0x504d3ac4 0xeea53151 @@ -1474,6 +1802,8 @@ rc0xb0965ef 0 1 wc0xeea533aa +1 +2 8031 0x392f6a46 0xc2f3c9fb @@ -1483,6 +1813,8 @@ wc0xeea533aa 0 1 rc0x392f8382 +1 +2 7444 0x6a6921e6 0x8fd2cf15 @@ -1492,6 +1824,8 @@ rc0x392f8382 0 1 rc0x6a692caf +0 +2 3173 0x26e1ae81 0xad008d74 @@ -1501,6 +1835,8 @@ rc0x6a692caf 0 1 rc0x26e1b411 +1 +1 5481 0x1e70fa45 0xba6744d0 @@ -1510,6 +1846,8 @@ rc0x26e1b411 0 1 rc0x1e7102db +0 +0 7831 0x422725a0 0xd4c6d8cf @@ -1519,6 +1857,8 @@ rc0x1e7102db 0 1 rc0x4227346d +1 +1 3635 0x2ec35a2 0xb5ee8916 @@ -1528,6 +1868,8 @@ rc0x4227346d 0 1 ra0x2ec3710 +0 +2 7530 0x7100574 0x99777a32 @@ -1537,6 +1879,8 @@ ra0x2ec3710 0 1 rc0x71020ed +1 +2 1671 0x3b1112de 0xb6493596 @@ -1546,6 +1890,8 @@ rc0x71020ed 0 1 rc0x3b1114b1 +1 +1 3040 0x5edf6b5 0xace24221 @@ -1555,6 +1901,8 @@ rc0x3b1114b1 0 1 rc0x5edf9b3 +0 +1 4978 0x33975a90 0xd99f3270 @@ -1564,6 +1912,8 @@ rc0x5edf9b3 0 1 ra0x33976353 +1 +2 8710 0x44b872ca 0xc1ac9e5d @@ -1573,6 +1923,8 @@ ra0x33976353 0 1 rc0x44b89480 +1 +1 9533 0x4d4e3c91 0x9b8eba92 @@ -1582,6 +1934,8 @@ rc0x44b89480 0 1 wa0x9b8ecd5f +0 +0 14474 0x39d88d25 0xc7e89d5c @@ -1591,6 +1945,8 @@ wa0x9b8ecd5f 0 1 wc0xc7e8d26c +2 +2 14983 0x79b15c7 0xd6c021e3 @@ -1600,6 +1956,8 @@ wc0xc7e8d26c 0 1 rc0x79b2d18 +0 +1 14254 0x343fb3f4 0x949241e7 @@ -1609,6 +1967,8 @@ rc0x79b2d18 0 1 rc0x343fdac0 +1 +0 7371 0x2f7be62e 0xebfe5362 @@ -1618,6 +1978,8 @@ rc0x343fdac0 0 1 ra0x2f7be9ef +2 +2 13664 0x5f0c3304 0xa92d52e2 @@ -1627,6 +1989,8 @@ ra0x2f7be9ef 0 1 wc0xa92d67c4 +0 +0 13460 0x995424 0xb8d7c93f @@ -1636,6 +2000,8 @@ wc0xa92d67c4 0 1 ra0x997689 +0 +1 15253 0x1bd860da 0xddb81e1a @@ -1645,6 +2011,8 @@ ra0x997689 0 1 wa0xddb8246a +1 +0 5385 0x5abe992d 0xbafa7ec6 @@ -1654,6 +2022,8 @@ wa0xddb8246a 0 1 wa0xbafa90fa +2 +2 11919 0x38f0834c 0xebab1d4f @@ -1663,6 +2033,8 @@ wa0xbafa90fa 0 1 rc0x38f09d14 +1 +2 10075 0x139ed73b 0xd45bfa48 @@ -1672,6 +2044,8 @@ rc0x38f09d14 0 1 wc0xd45c0fce +0 +0 2355 0x644d14c0 0x9af22a80 @@ -1681,6 +2055,8 @@ wc0xd45c0fce 0 1 rc0x644d1aca +0 +2 8301 0x53ab8dbc 0x8ce538c7 @@ -1690,6 +2066,8 @@ rc0x644d1aca 0 1 wc0x8ce546d2 +1 +0 14919 0x38f62533 0xb0678870 @@ -1699,6 +2077,8 @@ wc0x8ce546d2 0 1 wa0xb067bcef +0 +1 7446 0x34ecfdfa 0xe4bd4b7c @@ -1708,6 +2088,8 @@ wa0xb067bcef 0 1 rc0x34ed1845 +0 +2 4410 0xd8b2555 0x916f8fd5 @@ -1717,6 +2099,8 @@ rc0x34ed1845 0 1 wa0x916f9768 +1 +1 5312 0x5c41eb44 0x9d91adef @@ -1726,6 +2110,8 @@ wa0x916f9768 0 1 wa0x9d91af97 +1 +2 7646 0x5c81a38 0x99b724bb @@ -1735,6 +2121,8 @@ wa0x9d91af97 0 1 wc0x99b73848 +1 +0 7670 0x42ae50a 0x825eb140 @@ -1744,6 +2132,8 @@ wc0x99b73848 0 1 wc0x825ecb76 +2 +2 7113 0x309f9d06 0xaaf961a6 @@ -1753,6 +2143,8 @@ wc0x825ecb76 0 1 wc0xaaf97744 +1 +2 8995 0x66eb51c4 0xad2a750e @@ -1762,6 +2154,8 @@ wc0xaaf97744 0 1 wc0xad2a8682 +0 +1 4920 0x14e30a96 0x971032e6 @@ -1771,6 +2165,8 @@ wc0xad2a8682 0 1 wc0x97103e03 +0 +1 10742 0x2368336 0xe1e63236 @@ -1780,6 +2176,8 @@ wc0x97103e03 0 1 wc0xe1e63e99 +2 +0 14196 0x233098b0 0xa929e51a @@ -1789,6 +2187,8 @@ wc0xe1e63e99 0 1 wc0xa92a076b +1 +1 8009 0x10fbb099 0xc7a47123 @@ -1798,6 +2198,8 @@ wc0xa92a076b 0 1 rc0x10fbc3de +1 +1 1172 0x493700e8 0xe3f24814 @@ -1807,6 +2209,8 @@ rc0x10fbc3de 0 1 rc0x493703ed +2 +0 4963 0x5b775e1a 0xba56c8b6 @@ -1816,6 +2220,8 @@ rc0x493703ed 0 1 wc0xba56db73 +2 +0 16059 0x365b27e5 0x94d84fb6 @@ -1825,6 +2231,8 @@ wc0xba56db73 0 1 wc0x94d88044 +1 +1 6695 0x33301235 0x9e675f88 @@ -1834,6 +2242,8 @@ wc0x94d88044 0 1 rc0x33302149 +0 +1 3582 0x25a20994 0xa9c3f7fd @@ -1843,6 +2253,8 @@ rc0x33302149 0 1 wa0xa9c3f9c6 +0 +0 5319 0x6bf05945 0xe37fe532 @@ -1852,6 +2264,8 @@ wa0xa9c3f9c6 0 1 ra0x6bf06377 +1 +2 2114 0x5497b819 0xa26384bb @@ -1861,6 +2275,8 @@ ra0x6bf06377 0 1 ra0x5497bb78 +0 +1 10900 0x49cc3e18 0xc86e5c88 @@ -1870,6 +2286,8 @@ ra0x5497bb78 0 1 wa0xc86e6d5d +2 +2 16288 0x588f378 0xdcd517a6 @@ -1879,6 +2297,8 @@ wa0xc86e6d5d 0 1 wc0xdcd53414 +0 +1 4059 0x1421ba84 0x81ea7185 @@ -1888,6 +2308,8 @@ wc0xdcd53414 0 1 wc0x81ea7f9e +1 +0 15307 0x37406462 0xa66ed8c7 @@ -1897,6 +2319,8 @@ wc0x81ea7f9e 0 1 rc0x37407936 +0 +0 13200 0x586e8dc3 0x878e69f0 @@ -1906,6 +2330,8 @@ rc0x37407936 0 1 rc0x586eafe3 +0 +0 12424 0x46e74885 0x97bc0d56 @@ -1915,6 +2341,8 @@ rc0x586eafe3 0 1 ra0x46e74d66 +1 +2 6042 0xc4f1070 0xedf19c66 @@ -1924,6 +2352,8 @@ ra0x46e74d66 0 1 wc0xedf1a9ab +2 +0 9308 0x3d15fc28 0xac95c6e6 @@ -1933,6 +2363,8 @@ wc0xedf1a9ab 0 1 rc0x3d160623 +0 +2 11073 0x65b94ac6 0xafd58bf4 @@ -1942,6 +2374,8 @@ rc0x3d160623 0 1 wc0xafd5a99f +2 +1 8528 0x49518950 0xbb8ba557 @@ -1951,6 +2385,8 @@ wc0xafd5a99f 0 1 wa0xbb8ba6f6 +1 +2 13003 0x133d3b60 0xbaba98cd @@ -1960,6 +2396,8 @@ wa0xbb8ba6f6 0 1 wa0xbababe90 +0 +1 381 0x3b89c9ff 0x97cdfed6 @@ -1969,6 +2407,8 @@ wa0xbababe90 0 1 rc0x3b89cb1f +1 +0 12046 0x2df9a3fc 0xb475f4ea @@ -1978,6 +2418,8 @@ rc0x3b89cb1f 0 1 wc0xb47607fb +2 +2 4143 0x18246692 0xc14a1041 @@ -1987,6 +2429,8 @@ wc0xb47607fb 0 1 ra0x18246918 +0 +0 3026 0x314c3782 0xb2ee018b @@ -1996,6 +2440,8 @@ ra0x18246918 0 1 rc0x314c4021 +1 +2 4596 0x3c9e862a 0xbf910f70 @@ -2005,6 +2451,8 @@ rc0x314c4021 0 1 rc0x3c9e8c4b +0 +1 6052 0x407fe9c5 0xd186840b @@ -2014,6 +2462,8 @@ rc0x3c9e8c4b 0 1 rc0x407fef25 +0 +2 832 0x50c98acc 0xcb50acd0 @@ -2023,6 +2473,8 @@ rc0x407fef25 0 1 wa0xcb50acd0 +1 +1 8545 0x57541d1f 0xc2e9b5d0 @@ -2032,6 +2484,8 @@ wa0xcb50acd0 0 1 wc0xc2e9be49 +0 +1 945 0x3dbc0065 0xaf192cad @@ -2041,6 +2495,8 @@ wc0xc2e9be49 0 1 ra0x3dbc016e +2 +0 6280 0x37b0bd92 0x9e10bfad @@ -2050,6 +2506,8 @@ ra0x3dbc016e 0 1 rc0x37b0c22e +2 +2 5306 0x4f06933d 0xd220dbf1 @@ -2059,6 +2517,8 @@ rc0x37b0c22e 0 1 ra0x4f069a76 +0 +0 7984 0x3cbc9e28 0xe075f191 @@ -2068,6 +2528,8 @@ ra0x4f069a76 0 1 wa0xe075f980 +0 +0 7778 0x5cc01990 0xe0c1bf16 @@ -2077,6 +2539,8 @@ wa0xe075f980 0 1 ra0x5cc034b9 +0 +0 15474 0x1dc0e7c8 0xd23dcd05 @@ -2086,6 +2550,8 @@ ra0x5cc034b9 0 1 ra0x1dc0ea3e +0 +0 4255 0x56f14fbb 0xd05097ad @@ -2095,6 +2561,8 @@ ra0x1dc0ea3e 0 1 wc0xd050a756 +0 +2 10574 0x21ccc5aa 0xc82395d5 @@ -2104,6 +2572,8 @@ wc0xd050a756 0 1 ra0x21ccda53 +2 +1 510 0x5b735d01 0x84fde371 @@ -2113,6 +2583,8 @@ ra0x21ccda53 0 1 rc0x5b735d48 +0 +0 15111 0x2caceb05 0xbe6b3b8e @@ -2122,6 +2594,8 @@ rc0x5b735d48 0 1 rc0x2cad02ec +1 +2 7566 0x12d09ef4 0xaa50c9e2 @@ -2131,6 +2605,8 @@ rc0x2cad02ec 0 1 ra0x12d0a025 +1 +0 11380 0x3b410616 0x804c345f @@ -2140,6 +2616,8 @@ ra0x12d0a025 0 1 ra0x3b41104a +0 +1 5587 0x607139f4 0xaf058377 @@ -2149,6 +2627,8 @@ ra0x3b41104a 0 1 wa0xaf058a51 +0 +2 12008 0x9685af0 0x961720ef @@ -2158,6 +2638,8 @@ wa0xaf058a51 0 1 wa0x9617413f +1 +2 11116 0x22fb4cd4 0x8c661901 @@ -2167,6 +2649,8 @@ wa0x9617413f 0 1 wa0x8c662be6 +1 +2 11626 0x675692ac 0xe3f3dc2f @@ -2176,6 +2660,8 @@ wa0x8c662be6 0 1 rc0x6756a371 +1 +1 15226 0x6f857864 0x960ea689 @@ -2185,6 +2671,8 @@ rc0x6756a371 0 1 ra0x6f8597b7 +0 +1 9575 0x4446609c 0xe722151f @@ -2194,6 +2682,8 @@ ra0x6f8597b7 0 1 ra0x4446760c +1 +2 11184 0x2cd041b9 0xccba24ad @@ -2203,6 +2693,8 @@ ra0x4446760c 0 1 ra0x2cd067d2 +2 +0 10523 0x558f770c 0xa3cb8ae1 @@ -2212,6 +2704,8 @@ ra0x2cd067d2 0 1 rc0x558f8c37 +2 +1 4442 0x2aa5f06e 0xb93921d3 @@ -2221,6 +2715,8 @@ rc0x558f8c37 0 1 wa0xb9392799 +2 +0 14374 0x1f82ba1 0xce45bb48 @@ -2230,6 +2726,8 @@ wa0xb9392799 0 1 wc0xce45efd8 +1 +0 1994 0x6c9f4293 0xe4a5341e @@ -2239,6 +2737,8 @@ wc0xce45efd8 0 1 wc0xe4a535d7 +0 +2 3141 0x5ec636f0 0x9f89e9e1 diff --git a/jobs/backend/man_error_simple.txt b/jobs/backend/man_error_simple.txt index 7ff75432..702f4784 100644 --- a/jobs/backend/man_error_simple.txt +++ b/jobs/backend/man_error_simple.txt @@ -1,3 +1,5 @@ +2 +0 32 0x0 0x10000 @@ -9,6 +11,8 @@ rc0x4 rc0x8 rc0xc +1 +1 32 0x1000 0x11000 @@ -18,6 +22,8 @@ rc0xc 0 1 rc0x1004 +0 +0 32 0x2000 0x12000 @@ -27,6 +33,8 @@ rc0x1004 0 1 rc0x2004 +0 +1 32 0x3000 0x13000 @@ -36,6 +44,8 @@ rc0x2004 0 1 rc0x3004 +1 +0 32 0x4000 0x14000 @@ -45,6 +55,8 @@ rc0x3004 0 1 rc0x4004 +1 +0 32 0x5000 0x15000 @@ -54,6 +66,8 @@ rc0x4004 0 1 rc0x5004 +2 +2 32 0x6000 0x16000 @@ -64,6 +78,8 @@ rc0x5004 1 rc0x601c +0 +2 4 0x0 0x10000 @@ -74,6 +90,8 @@ rc0x601c 1 wa0x10000 +0 +1 32 0x1000 0x11000 @@ -83,6 +101,8 @@ wa0x10000 0 0 +2 +0 8092 0x5000 0x15000 @@ -93,6 +113,8 @@ wa0x10000 1 wa0x15000 +1 +2 32 0x0 0x10000 @@ -104,6 +126,8 @@ wa0x15000 rc0x4 rc0x8 rc0xc +1 +0 32 0x1000 0x11000 @@ -113,6 +137,8 @@ rc0xc 0 1 rc0x1004 +0 +0 32 0x2000 0x12000 @@ -122,6 +148,8 @@ rc0x1004 0 1 rc0x2004 +2 +1 32 0x3000 0x13000 @@ -131,6 +159,8 @@ rc0x2004 0 1 rc0x3004 +0 +1 32 0x4000 0x14000 @@ -140,6 +170,8 @@ rc0x3004 0 1 rc0x4004 +1 +1 32 0x5000 0x15000 @@ -149,6 +181,8 @@ rc0x4004 0 1 rc0x5004 +1 +2 32 0x6000 0x16000 @@ -159,6 +193,8 @@ rc0x5004 1 rc0x601c +2 +0 32 0x0 0x10000 @@ -168,6 +204,8 @@ rc0x601c 0 1 wc0x10000 +0 +1 32 0x1000 0x11000 diff --git a/jobs/backend/man_huge.txt b/jobs/backend/man_huge.txt index 25511df7..6791e861 100644 --- a/jobs/backend/man_huge.txt +++ b/jobs/backend/man_huge.txt @@ -1,3 +1,5 @@ +0 +0 26234 0xb9a9 0x7837dabf @@ -6,6 +8,8 @@ 0 0 0 +2 +2 20036 0x3909 0xe3158bd3 @@ -14,6 +18,8 @@ 1 1 0 +0 +2 17643 0xcd21 0x44a52117 @@ -22,6 +28,8 @@ 0 0 0 +0 +3 25317 0xba0b 0x90389e5a @@ -30,6 +38,8 @@ 1 1 0 +4 +3 21985 0x2f0c 0xb258388d @@ -38,6 +48,8 @@ 1 0 0 +0 +3 28436 0x5ce7 0x721d16f9 @@ -46,6 +58,8 @@ 1 0 0 +0 +5 27197 0x49c1 0x59b36266 @@ -54,6 +68,8 @@ 1 0 0 +1 +3 14442 0x2ec2 0x98972ec3 @@ -62,6 +78,8 @@ 0 0 0 +2 +1 11673 0x6387 0xeb6ad21 @@ -70,6 +88,8 @@ 1 0 0 +1 +5 14184 0x6db2 0xfd33d8e1 @@ -78,6 +98,8 @@ 1 0 0 +3 +1 24176 0x7aba 0xcaf7db32 @@ -86,6 +108,8 @@ 0 0 0 +3 +5 23038 0xada1 0x801cf714 @@ -94,6 +118,8 @@ 0 0 0 +4 +2 21004 0xfd2 0x17ea7b83 @@ -102,6 +128,8 @@ 0 0 0 +3 +1 11580 0xf221 0x2a585e5c @@ -110,6 +138,8 @@ 0 0 0 +2 +2 29058 0x1fac 0x742d6990 @@ -118,6 +148,8 @@ 0 0 0 +5 +1 16475 0xd5cf 0x45114d24 @@ -126,6 +158,8 @@ 1 1 0 +4 +3 20531 0x37b6 0xfea89c42 @@ -134,6 +168,8 @@ 0 0 0 +4 +1 19245 0x8ed4 0xd38da3a6 @@ -142,6 +178,8 @@ 0 0 0 +1 +1 17234 0xf00 0xdfae5ff8 @@ -150,6 +188,8 @@ 0 0 0 +0 +3 10840 0xf67c 0xa5e8e040 @@ -158,6 +198,8 @@ 1 1 0 +1 +4 17531 0xec99 0x45187749 @@ -166,6 +208,8 @@ 1 1 0 +4 +0 12031 0xc03d 0x120a85c4 @@ -174,6 +218,8 @@ 0 0 0 +4 +1 18656 0x6c1b 0xff258601 @@ -182,6 +228,8 @@ 1 1 0 +1 +5 27259 0x391a 0x9d53d01b @@ -190,6 +238,8 @@ 1 1 0 +1 +5 19035 0x375f 0x4539c0c6 @@ -198,6 +248,8 @@ 0 0 0 +1 +4 25365 0x36e 0x3cfec0f1 @@ -206,6 +258,8 @@ 1 0 0 +2 +2 15246 0x592b 0x18615574 @@ -214,6 +268,8 @@ 1 1 0 +5 +4 16160 0x8777 0x23222bed @@ -222,6 +278,8 @@ 1 1 0 +0 +5 16685 0x5a61 0x4c17f17b @@ -230,6 +288,8 @@ 0 0 0 +2 +0 23241 0x718b 0x8ac7ba6a @@ -238,6 +298,8 @@ 1 0 0 +3 +2 22597 0x6726 0xc3491437 @@ -246,6 +308,8 @@ 1 0 0 +1 +3 23651 0x4219 0x4fa323ee @@ -254,6 +318,8 @@ 1 0 0 +4 +3 26575 0xb565 0x5d2b5efa @@ -262,6 +328,8 @@ 1 1 0 +2 +2 25364 0xf248 0x5683094d @@ -270,6 +338,8 @@ 1 0 0 +4 +3 17246 0xf50c 0xcabd733e @@ -278,6 +348,8 @@ 0 0 0 +2 +5 23138 0x8dfd 0x296bc165 @@ -286,6 +358,8 @@ 0 0 0 +3 +4 12671 0xdcdc 0x55228421 @@ -294,6 +368,8 @@ 0 0 0 +2 +3 20052 0xfb0a 0x5ce8369 @@ -302,6 +378,8 @@ 0 0 0 +3 +2 10623 0x3486 0x16a4b2ea @@ -310,6 +388,8 @@ 0 0 0 +0 +4 28281 0xd16e 0xb4883077 @@ -318,6 +398,8 @@ 0 0 0 +3 +4 10794 0xd4ef 0x5206c006 @@ -326,6 +408,8 @@ 0 0 0 +0 +3 13006 0xaeac 0x3ec60d40 @@ -334,6 +418,8 @@ 1 1 0 +4 +2 23139 0x8194 0xb8ed8254 @@ -342,6 +428,8 @@ 0 0 0 +1 +0 11223 0xcc60 0xe078867a @@ -350,6 +438,8 @@ 1 0 0 +0 +4 12005 0x7bc5 0xd6304316 @@ -358,6 +448,8 @@ 1 0 0 +1 +2 13067 0x45ce 0x51c1af4c @@ -366,6 +458,8 @@ 0 0 0 +3 +4 16489 0x6a74 0x52e591d0 @@ -374,6 +468,8 @@ 0 0 0 +1 +2 29950 0x6bc6 0x63c2ec0f @@ -382,6 +478,8 @@ 1 0 0 +5 +4 25693 0x2c31 0x905624bc @@ -390,6 +488,8 @@ 0 0 0 +1 +5 24684 0xe8a8 0x3765d94 diff --git a/jobs/backend/man_large.txt b/jobs/backend/man_large.txt index 3ba1c6d7..64bec69a 100644 --- a/jobs/backend/man_large.txt +++ b/jobs/backend/man_large.txt @@ -1,3 +1,5 @@ +5 +0 9380 0x75ae 0xc3512bd6 @@ -6,6 +8,8 @@ 1 1 0 +0 +4 3544 0x7ba 0x6e42a7de @@ -14,6 +18,8 @@ 0 0 0 +2 +1 7100 0x42f7 0xd4499f8e @@ -22,6 +28,8 @@ 1 1 0 +0 +2 3655 0xa155 0x58aca0b2 @@ -30,6 +38,8 @@ 0 0 0 +4 +5 1493 0x3c1b 0x571c601a @@ -38,6 +48,8 @@ 1 1 0 +2 +0 8839 0xd8e9 0x4f6764ab @@ -46,6 +58,8 @@ 1 0 0 +4 +2 6756 0x5457 0xcc03eff6 @@ -54,6 +68,8 @@ 1 0 0 +4 +4 7023 0x7c8b 0x7a9b6699 @@ -62,6 +78,8 @@ 1 0 0 +0 +3 3932 0x9240 0x9afd7158 @@ -70,6 +88,8 @@ 0 0 0 +4 +4 6355 0xb449 0x8648adce @@ -78,6 +98,8 @@ 1 0 0 +4 +0 5458 0x6a4a 0xad719624 @@ -86,6 +108,8 @@ 0 0 0 +2 +5 1645 0x7c64 0x97fa3760 @@ -94,6 +118,8 @@ 1 1 0 +2 +5 8382 0x533d 0xa9599e68 @@ -102,6 +128,8 @@ 0 0 0 +2 +3 5837 0xdb68 0xefa28bdc @@ -110,6 +138,8 @@ 1 1 0 +0 +2 3817 0x40e5 0xb816b83e @@ -118,6 +148,8 @@ 0 0 0 +4 +3 5340 0xa7d3 0xd04d80a6 @@ -126,6 +158,8 @@ 1 0 0 +2 +5 8434 0xfcd2 0xd438cfae @@ -134,6 +168,8 @@ 0 0 0 +5 +5 4841 0xde77 0xcb0b9154 @@ -142,6 +178,8 @@ 0 0 0 +4 +5 9810 0x4b69 0x58750b93 @@ -150,6 +188,8 @@ 1 1 0 +1 +1 2845 0x9e06 0x78223b5e @@ -158,6 +198,8 @@ 0 0 0 +5 +3 3199 0x634d 0x89f6da26 @@ -166,6 +208,8 @@ 1 0 0 +1 +5 6007 0xa357 0xcff4596e @@ -174,6 +218,8 @@ 1 0 0 +2 +5 5480 0x8b3 0x62d24d18 @@ -182,6 +228,8 @@ 1 1 0 +1 +0 1284 0xa89d 0x23b2d521 @@ -190,6 +238,8 @@ 0 0 0 +2 +4 9957 0x457a 0xba2700db @@ -198,6 +248,8 @@ 0 0 0 +4 +1 3858 0xf6b9 0x41021884 @@ -206,6 +258,8 @@ 1 0 0 +4 +3 1570 0xc8a6 0x117fc321 @@ -214,6 +268,8 @@ 0 0 0 +5 +4 1959 0x5b0b 0x9868b118 @@ -222,6 +278,8 @@ 0 0 0 +2 +0 6899 0xeb9b 0x2e075535 @@ -230,6 +288,8 @@ 1 0 0 +4 +5 9138 0xa428 0x14e8ff18 @@ -238,6 +298,8 @@ 0 0 0 +1 +3 8227 0x50bb 0x1c8e4fd @@ -246,6 +308,8 @@ 1 1 0 +5 +2 7804 0x61f7 0x89baa920 @@ -254,6 +318,8 @@ 1 1 0 +2 +3 6781 0x4b2d 0x8de30550 @@ -262,6 +328,8 @@ 1 1 0 +2 +2 5697 0x252a 0xd4810374 @@ -270,6 +338,8 @@ 0 0 0 +3 +5 5083 0xccb1 0x1f039548 @@ -278,6 +348,8 @@ 0 0 0 +0 +3 4949 0x7896 0x47202fc @@ -286,6 +358,8 @@ 1 0 0 +5 +1 1573 0x549b 0x4c2b5a29 @@ -294,6 +368,8 @@ 0 0 0 +2 +2 6256 0x1b69 0xa7cd43c5 @@ -302,6 +378,8 @@ 0 0 0 +1 +4 1166 0x14bc 0x7e06ef33 @@ -310,6 +388,8 @@ 0 0 0 +1 +4 2407 0xb815 0x2b93aa72 @@ -318,6 +398,8 @@ 0 0 0 +1 +4 3364 0xf9ce 0xd7e4f18b @@ -326,6 +408,8 @@ 0 0 0 +2 +4 6588 0xf8d9 0x5374e113 @@ -334,6 +418,8 @@ 0 0 0 +4 +2 8674 0x78ca 0x91385e15 @@ -342,6 +428,8 @@ 1 1 0 +4 +0 7946 0xd996 0x1bf59825 @@ -350,6 +438,8 @@ 0 0 0 +5 +0 1906 0x73e6 0xbbec984e @@ -358,6 +448,8 @@ 0 0 0 +5 +4 6490 0x7466 0xc5f15192 @@ -366,6 +458,8 @@ 0 0 0 +5 +4 3176 0x6b30 0xe349a0b @@ -374,6 +468,8 @@ 1 0 0 +5 +5 3735 0x47ff 0xcb73dad9 @@ -382,6 +478,8 @@ 0 0 0 +1 +5 3640 0x35e6 0x7067f3b2 @@ -390,6 +488,8 @@ 1 0 0 +4 +4 9292 0xfbd6 0x8e743a37 @@ -398,6 +498,8 @@ 0 0 0 +3 +1 3638 0x5612 0x33d3504b @@ -406,6 +508,8 @@ 0 0 0 +3 +5 2046 0x4a71 0xbe982faf @@ -414,6 +518,8 @@ 0 0 0 +0 +2 5594 0xcaf9 0xb3f81fc8 @@ -422,6 +528,8 @@ 1 1 0 +1 +0 9355 0x44cd 0x820dad6c @@ -430,6 +538,8 @@ 0 0 0 +4 +2 1185 0xfbc8 0x39343984 @@ -438,6 +548,8 @@ 0 0 0 +4 +1 2417 0x9b06 0x7c2a1260 @@ -446,6 +558,8 @@ 1 0 0 +2 +5 9476 0x5430 0xa927ae39 @@ -454,6 +568,8 @@ 1 1 0 +3 +2 2646 0xce80 0x1026abd1 @@ -462,6 +578,8 @@ 0 0 0 +0 +4 3722 0xb15 0xffd84145 @@ -470,6 +588,8 @@ 0 0 0 +0 +5 8803 0xbad7 0x96864ef2 @@ -478,6 +598,8 @@ 1 0 0 +3 +0 1623 0x2954 0xdaf7f799 @@ -486,6 +608,8 @@ 0 0 0 +3 +5 3320 0x9fc9 0xac60b737 @@ -494,6 +618,8 @@ 1 1 0 +0 +0 5107 0xedba 0x7a556cf4 @@ -502,6 +628,8 @@ 1 0 0 +0 +3 9684 0xbc64 0x38d3c976 @@ -510,6 +638,8 @@ 0 0 0 +5 +5 5720 0x7df7 0x7c71b24d @@ -518,6 +648,8 @@ 1 1 0 +3 +3 3295 0xdf64 0xf917a649 @@ -526,6 +658,8 @@ 0 0 0 +0 +5 8849 0xec3e 0x7bf85f03 @@ -534,6 +668,8 @@ 0 0 0 +5 +2 8491 0xfb0f 0xcc381424 @@ -542,6 +678,8 @@ 1 0 0 +4 +4 1653 0x6b05 0x4de01389 @@ -550,6 +688,8 @@ 0 0 0 +0 +5 3361 0x843a 0xfd303d9a @@ -558,6 +698,8 @@ 1 1 0 +5 +3 9907 0xfc14 0x2a180eae @@ -566,6 +708,8 @@ 1 0 0 +0 +0 7236 0xe842 0x1dc810f7 @@ -574,6 +718,8 @@ 1 0 0 +2 +4 9975 0x5f7e 0xb42e9e63 @@ -582,6 +728,8 @@ 1 1 0 +3 +5 4571 0xebfa 0x85e369cb @@ -590,6 +738,8 @@ 0 0 0 +0 +4 3075 0x58f2 0xc059cde5 @@ -598,6 +748,8 @@ 0 0 0 +1 +4 8540 0xef6d 0x206e1f9 @@ -606,6 +758,8 @@ 1 0 0 +5 +1 4537 0x85a2 0x174a1a17 @@ -614,6 +768,8 @@ 0 0 0 +4 +3 3591 0xa327 0xb5be885c @@ -622,6 +778,8 @@ 0 0 0 +0 +2 7085 0xe170 0xff68f1fb @@ -630,6 +788,8 @@ 0 0 0 +2 +2 2215 0xc666 0x30ba9357 @@ -638,6 +798,8 @@ 1 1 0 +1 +4 7090 0x221c 0x67102cc0 @@ -646,6 +808,8 @@ 0 0 0 +0 +3 1225 0x93c5 0x25495097 @@ -654,6 +818,8 @@ 0 0 0 +3 +1 9492 0x6de4 0xb6c6b604 @@ -662,6 +828,8 @@ 0 0 0 +4 +0 8839 0x41fc 0x2345e71b @@ -670,6 +838,8 @@ 1 0 0 +5 +2 4644 0x225b 0xe25f55b8 @@ -678,6 +848,8 @@ 1 1 0 +2 +1 8199 0x7b1a 0x847b7068 @@ -686,6 +858,8 @@ 0 0 0 +1 +4 5761 0x1c 0x17043241 @@ -694,6 +868,8 @@ 1 1 0 +0 +4 7431 0xd0ee 0xf492044a @@ -702,6 +878,8 @@ 1 1 0 +5 +4 6123 0xb778 0xa26ea456 @@ -710,6 +888,8 @@ 0 0 0 +0 +4 1268 0x3c7f 0x350b7476 @@ -718,6 +898,8 @@ 0 0 0 +3 +2 5346 0x3106 0x5cc17026 @@ -726,6 +908,8 @@ 0 0 0 +1 +0 7290 0x7a10 0x4a17184f @@ -734,6 +918,8 @@ 0 0 0 +1 +5 9503 0xf258 0x853cb94d @@ -742,6 +928,8 @@ 0 0 0 +1 +5 9634 0x5eee 0xbf346da1 @@ -750,6 +938,8 @@ 1 1 0 +3 +3 9905 0x88fc 0x4cb8e18 @@ -758,6 +948,8 @@ 0 0 0 +5 +1 8772 0x2f72 0x47c41417 @@ -766,6 +958,8 @@ 1 1 0 +0 +3 5332 0x5788 0x89ff70fd @@ -774,6 +968,8 @@ 0 0 0 +5 +2 2366 0x12f1 0x8748699e @@ -782,6 +978,8 @@ 1 1 0 +5 +0 5223 0x5238 0xb31da4b9 @@ -790,6 +988,8 @@ 0 0 0 +5 +2 3907 0x7032 0xc6b9a639 @@ -798,6 +998,8 @@ 0 0 0 +5 +1 7257 0x77cc 0xc8ef0ec8 @@ -806,6 +1008,8 @@ 0 0 0 +5 +3 3276 0x1849 0x85ad174c @@ -814,6 +1018,8 @@ 0 0 0 +4 +4 8312 0x4462 0x276a0a4f @@ -822,6 +1028,8 @@ 0 0 0 +0 +0 4872 0x97ef 0x618474f6 @@ -830,6 +1038,8 @@ 0 0 0 +1 +2 2172 0x3ece 0xe79482f2 @@ -838,6 +1048,8 @@ 0 0 0 +5 +3 3926 0xd881 0x4ca543cd @@ -846,6 +1058,8 @@ 1 1 0 +4 +5 1361 0x8e97 0xe23d50a2 @@ -854,6 +1068,8 @@ 0 0 0 +5 +3 7618 0xae71 0x9fdc0655 @@ -862,6 +1078,8 @@ 0 0 0 +4 +5 2818 0xbdb7 0x54f403a0 @@ -870,6 +1088,8 @@ 1 0 0 +3 +5 2911 0xb13c 0xc1c91c7f @@ -878,6 +1098,8 @@ 1 1 0 +1 +0 7066 0x105c 0x65a57280 @@ -886,6 +1108,8 @@ 0 0 0 +5 +3 5767 0xe159 0xd84d6e84 @@ -894,6 +1118,8 @@ 0 0 0 +5 +1 7038 0x3d52 0x7491db5c @@ -902,6 +1128,8 @@ 0 0 0 +2 +1 3388 0x216b 0xd8d76af2 @@ -910,6 +1138,8 @@ 0 0 0 +3 +2 9114 0xd67f 0x5c26b373 @@ -918,6 +1148,8 @@ 0 0 0 +4 +4 3918 0x150d 0xe584cb71 @@ -926,6 +1158,8 @@ 1 1 0 +4 +2 6222 0x165f 0xc88cfacf @@ -934,6 +1168,8 @@ 1 1 0 +5 +3 8957 0xe771 0x37524185 @@ -942,6 +1178,8 @@ 1 1 0 +5 +0 5470 0xad07 0x62fe378 @@ -950,6 +1188,8 @@ 0 0 0 +2 +3 3828 0xc4e0 0xef640c85 @@ -958,6 +1198,8 @@ 0 0 0 +2 +1 6417 0xf5c7 0x1d068bba @@ -966,6 +1208,8 @@ 1 1 0 +4 +5 4373 0x507f 0x49bb01a6 @@ -974,6 +1218,8 @@ 0 0 0 +0 +3 4090 0xdfc5 0x71abdc2d @@ -982,6 +1228,8 @@ 1 1 0 +0 +1 3746 0x3b9 0xdacf3789 @@ -990,6 +1238,8 @@ 0 0 0 +0 +5 3200 0xb475 0xf6a4a291 @@ -998,6 +1248,8 @@ 1 1 0 +4 +5 8060 0xaf70 0x6b0ef60a @@ -1006,6 +1258,8 @@ 0 0 0 +5 +3 7977 0x87de 0x510f47e5 @@ -1014,6 +1268,8 @@ 0 0 0 +3 +1 7342 0x9262 0x4258afea @@ -1022,6 +1278,8 @@ 1 1 0 +0 +0 4780 0x3366 0x8a2847fb @@ -1030,6 +1288,8 @@ 1 0 0 +4 +5 1764 0x2693 0x24b4ee52 @@ -1038,6 +1298,8 @@ 1 1 0 +2 +5 8291 0xb504 0x95ffb430 @@ -1046,6 +1308,8 @@ 0 0 0 +1 +1 9335 0x3ff7 0x6a9c70b4 @@ -1054,6 +1318,8 @@ 0 0 0 +4 +2 1394 0xfca7 0x46cb13c6 @@ -1062,6 +1328,8 @@ 0 0 0 +3 +0 1477 0x8bd7 0x8070969b @@ -1070,6 +1338,8 @@ 0 0 0 +1 +0 8558 0x5491 0x1b2fb4dc @@ -1078,6 +1348,8 @@ 1 0 0 +0 +5 5027 0xb5cd 0xceacf6a4 @@ -1086,6 +1358,8 @@ 0 0 0 +0 +2 9970 0x9090 0x6a596b56 @@ -1094,6 +1368,8 @@ 1 0 0 +0 +3 8381 0x617b 0x7c78a0c1 @@ -1102,6 +1378,8 @@ 1 1 0 +5 +5 1903 0x5cdd 0xf30ff608 @@ -1110,6 +1388,8 @@ 0 0 0 +0 +0 3756 0x24f1 0x402a9668 @@ -1118,6 +1398,8 @@ 0 0 0 +3 +4 1844 0xa071 0x9b5e8809 @@ -1126,6 +1408,8 @@ 0 0 0 +5 +3 7936 0x5606 0x667ad0b5 @@ -1134,6 +1418,8 @@ 0 0 0 +5 +2 2456 0x587b 0x7362511b @@ -1142,6 +1428,8 @@ 1 0 0 +0 +2 5459 0x6176 0xaa9953f6 @@ -1150,6 +1438,8 @@ 0 0 0 +3 +0 8514 0xe2b 0x5b23ffe7 @@ -1158,6 +1448,8 @@ 1 1 0 +3 +4 3275 0x5084 0x83b0905b @@ -1166,6 +1458,8 @@ 1 0 0 +5 +2 4461 0xaa7f 0x335175d3 @@ -1174,6 +1468,8 @@ 0 0 0 +2 +2 7727 0x6e7 0xd5db1c3c @@ -1182,6 +1478,8 @@ 1 0 0 +0 +2 5677 0x3e2f 0x88a76071 @@ -1190,6 +1488,8 @@ 1 0 0 +0 +5 8499 0x43fd 0x9c2637a @@ -1198,6 +1498,8 @@ 0 0 0 +2 +5 3558 0xd7b2 0xcc6c3a63 @@ -1206,6 +1508,8 @@ 1 1 0 +3 +1 9519 0xc2ac 0xa9ea66f6 @@ -1214,6 +1518,8 @@ 0 0 0 +0 +1 5941 0x7c4d 0x5957f362 @@ -1222,6 +1528,8 @@ 0 0 0 +4 +3 4747 0x4301 0x8247cffc @@ -1230,6 +1538,8 @@ 0 0 0 +5 +1 2931 0x15f4 0x87ee8925 @@ -1238,6 +1548,8 @@ 1 0 0 +5 +3 2671 0x25b1 0x74c89c1c @@ -1246,6 +1558,8 @@ 1 0 0 +3 +3 9380 0xa550 0x1707388f @@ -1254,6 +1568,8 @@ 0 0 0 +3 +3 2637 0x62f2 0x4008a36a @@ -1262,6 +1578,8 @@ 0 0 0 +2 +1 2092 0x9805 0x365a2755 @@ -1270,6 +1588,8 @@ 1 0 0 +4 +5 8283 0xd105 0x3411be67 @@ -1278,6 +1598,8 @@ 0 0 0 +0 +0 6491 0xd907 0x7163118a @@ -1286,6 +1608,8 @@ 0 0 0 +2 +1 9862 0x670b 0x593730 @@ -1294,6 +1618,8 @@ 1 1 0 +4 +0 1239 0x4edf 0x1c46e5b1 @@ -1302,6 +1628,8 @@ 0 0 0 +1 +5 7305 0x60bd 0x8b6b55a9 @@ -1310,6 +1638,8 @@ 0 0 0 +4 +0 2920 0x6701 0xdd0cd70f @@ -1318,6 +1648,8 @@ 0 0 0 +3 +2 6557 0xb543 0x98ab1c22 @@ -1326,6 +1658,8 @@ 1 1 0 +3 +3 3520 0x91d9 0x148fdb4e @@ -1334,6 +1668,8 @@ 0 0 0 +5 +1 2768 0xed33 0x5f4d9d63 @@ -1342,6 +1678,8 @@ 0 0 0 +4 +3 8282 0x6e81 0xa1c7e21a @@ -1350,6 +1688,8 @@ 1 1 0 +1 +0 6799 0xf4c 0x40b0ef57 @@ -1358,6 +1698,8 @@ 0 0 0 +5 +2 6774 0x363d 0x44a16440 @@ -1366,6 +1708,8 @@ 1 1 0 +3 +3 9166 0x858c 0x1ab22503 @@ -1374,6 +1718,8 @@ 1 0 0 +3 +2 7894 0x3233 0xa1a33977 @@ -1382,6 +1728,8 @@ 0 0 0 +3 +2 6221 0x33b9 0x2846615f @@ -1390,6 +1738,8 @@ 0 0 0 +0 +0 9458 0x51ee 0x5efc9395 @@ -1398,6 +1748,8 @@ 0 0 0 +1 +3 2790 0xf9e5 0xfe079aa0 @@ -1406,6 +1758,8 @@ 0 0 0 +1 +4 2593 0xe4f2 0x26fbe38c @@ -1414,6 +1768,8 @@ 1 0 0 +2 +5 9557 0x7b57 0x17ff3cf4 @@ -1422,6 +1778,8 @@ 0 0 0 +3 +4 2075 0x3138 0xf4124ebf @@ -1430,6 +1788,8 @@ 0 0 0 +2 +1 6743 0xb0ed 0x98dd81fc @@ -1438,6 +1798,8 @@ 1 0 0 +5 +0 1114 0xb516 0x54019c3d @@ -1446,6 +1808,8 @@ 1 1 0 +1 +5 3111 0xf9a2 0x4d643d7a @@ -1454,6 +1818,8 @@ 0 0 0 +0 +1 6700 0x301f 0xa9af72c9 @@ -1462,6 +1828,8 @@ 0 0 0 +5 +3 9901 0xdd42 0x1d5b95fd @@ -1470,6 +1838,8 @@ 0 0 0 +1 +0 1516 0x6ef9 0x91011713 @@ -1478,6 +1848,8 @@ 0 0 0 +5 +5 7142 0x7dfd 0x4efe0aa1 @@ -1486,6 +1858,8 @@ 0 0 0 +3 +5 8920 0x12bc 0xdbd68e4e @@ -1494,6 +1868,8 @@ 0 0 0 +5 +0 3333 0xa3c0 0x5f90c113 @@ -1502,6 +1878,8 @@ 0 0 0 +0 +3 4814 0x9564 0x8e952786 @@ -1510,6 +1888,8 @@ 1 1 0 +4 +5 1996 0x2dae 0x543d8fc8 @@ -1518,6 +1898,8 @@ 0 0 0 +1 +2 3352 0xcb34 0x75d3a213 @@ -1526,6 +1908,8 @@ 1 0 0 +4 +0 2114 0x69a7 0x230fc6f7 @@ -1534,6 +1918,8 @@ 1 0 0 +0 +3 4401 0x8762 0xd11cd874 @@ -1542,6 +1928,8 @@ 0 0 0 +5 +5 1623 0x1fab 0xbd909c8f @@ -1550,6 +1938,8 @@ 0 0 0 +3 +0 7979 0xd7cb 0x12c57a9f @@ -1558,6 +1948,8 @@ 1 0 0 +2 +3 2149 0xbd90 0xd0c35160 @@ -1566,6 +1958,8 @@ 1 0 0 +4 +1 6389 0xf131 0x3102394d @@ -1574,6 +1968,8 @@ 1 0 0 +4 +1 5471 0x8985 0xb1cce65f @@ -1582,6 +1978,8 @@ 0 0 0 +0 +4 2473 0x988e 0xd16fb55 @@ -1590,6 +1988,8 @@ 1 0 0 +1 +4 2606 0xa214 0xe359f662 diff --git a/jobs/backend/man_linear_large.txt b/jobs/backend/man_linear_large.txt index c6ae0036..009fa284 100644 --- a/jobs/backend/man_linear_large.txt +++ b/jobs/backend/man_linear_large.txt @@ -1,3 +1,5 @@ +2 +1 16384 0x0 0x10000000 diff --git a/jobs/backend/man_medium.txt b/jobs/backend/man_medium.txt index bfc083bc..91e07578 100644 --- a/jobs/backend/man_medium.txt +++ b/jobs/backend/man_medium.txt @@ -1,3 +1,5 @@ +2 +5 65 0x2f12 0x9876aece @@ -6,6 +8,8 @@ 1 0 0 +0 +4 19 0x9c95 0x84b2ee43 @@ -14,6 +18,8 @@ 1 1 0 +1 +5 69 0xff5b 0xd1170db5 @@ -22,6 +28,8 @@ 0 0 0 +2 +3 23 0x1fb5 0x2effcb92 @@ -30,6 +38,8 @@ 1 0 0 +5 +5 75 0x50d 0xa839e941 @@ -38,6 +48,8 @@ 0 0 0 +0 +3 24 0xffa5 0x869afa33 @@ -46,6 +58,8 @@ 1 1 0 +1 +4 62 0x7eec 0x76f430bc @@ -54,6 +68,8 @@ 0 0 0 +1 +4 67 0x62b2 0xaaee4907 @@ -62,6 +78,8 @@ 0 0 0 +1 +4 83 0x116f 0x86bc6507 @@ -70,6 +88,8 @@ 0 0 0 +3 +0 15 0xbab1 0xb34b75bc @@ -78,6 +98,8 @@ 0 0 0 +2 +4 35 0x92ca 0xd8b5ce21 @@ -86,6 +108,8 @@ 0 0 0 +1 +1 88 0xa20c 0x190563d1 @@ -94,6 +118,8 @@ 1 0 0 +4 +4 77 0x7dd4 0x5e33aa76 @@ -102,6 +128,8 @@ 1 0 0 +3 +1 31 0x29b8 0x178e6969 @@ -110,6 +138,8 @@ 1 1 0 +5 +3 26 0x8d2b 0xf65527ad @@ -118,6 +148,8 @@ 1 0 0 +4 +4 40 0x6bcc 0x14f1f404 @@ -126,6 +158,8 @@ 0 0 0 +0 +4 59 0x4abc 0x4fd90146 @@ -134,6 +168,8 @@ 1 1 0 +1 +2 71 0x254c 0x661720ce @@ -142,6 +178,8 @@ 0 0 0 +4 +2 98 0x26ad 0xdbf04735 @@ -150,6 +188,8 @@ 1 0 0 +4 +3 44 0x48cc 0xe83e2399 @@ -158,6 +198,8 @@ 0 0 0 +4 +4 98 0xf82b 0x11a3c557 @@ -166,6 +208,8 @@ 0 0 0 +3 +5 69 0x29a 0xd4ad675b @@ -174,6 +218,8 @@ 0 0 0 +0 +0 87 0xac1d 0xeb2f122 @@ -182,6 +228,8 @@ 1 0 0 +2 +1 17 0xf974 0xa706c0bd @@ -190,6 +238,8 @@ 1 0 0 +5 +3 33 0x575c 0x31d9230f @@ -198,6 +248,8 @@ 0 0 0 +0 +0 33 0xb04a 0xd6600c45 @@ -206,6 +258,8 @@ 0 0 0 +2 +3 12 0xe019 0xb7dd1acb @@ -214,6 +268,8 @@ 1 0 0 +1 +4 17 0x87ca 0xe334cf42 @@ -222,6 +278,8 @@ 0 0 0 +4 +2 78 0x574e 0xbef4f3d5 @@ -230,6 +288,8 @@ 0 0 0 +3 +5 29 0x94a0 0x9be9b298 @@ -238,6 +298,8 @@ 0 0 0 +3 +3 77 0xc51e 0x40fbbc17 @@ -246,6 +308,8 @@ 0 0 0 +2 +4 20 0xf1f6 0x62f687d9 @@ -254,6 +318,8 @@ 0 0 0 +5 +1 30 0x342a 0x1485266e @@ -262,6 +328,8 @@ 1 1 0 +5 +2 11 0x803d 0x16413a2d @@ -270,6 +338,8 @@ 1 1 0 +3 +1 58 0x4908 0xaa2a2d93 @@ -278,6 +348,8 @@ 1 0 0 +5 +1 44 0xb4ce 0x19fc16b0 @@ -286,6 +358,8 @@ 0 0 0 +1 +4 20 0xa668 0x29dc64e1 @@ -294,6 +368,8 @@ 0 0 0 +0 +5 52 0xda3 0x5a1fb804 @@ -302,6 +378,8 @@ 1 1 0 +3 +1 13 0xe837 0x37f342bb @@ -310,6 +388,8 @@ 0 0 0 +1 +0 98 0x425c 0xdc373e8c @@ -318,6 +398,8 @@ 0 0 0 +5 +4 82 0x9c9f 0x6a3f6c69 @@ -326,6 +408,8 @@ 0 0 0 +2 +4 69 0xb46 0x66fe84a3 @@ -334,6 +418,8 @@ 1 0 0 +1 +3 62 0x3ef4 0x5d3139cc @@ -342,6 +428,8 @@ 1 0 0 +3 +5 36 0xa6bb 0xe8b5e6f3 @@ -350,6 +438,8 @@ 1 0 0 +0 +0 48 0xce9d 0x91823cec @@ -358,6 +448,8 @@ 1 0 0 +1 +4 18 0xde6b 0x48bea9e5 @@ -366,6 +458,8 @@ 0 0 0 +1 +4 45 0x7ad3 0x26c30677 @@ -374,6 +468,8 @@ 0 0 0 +1 +5 64 0x4b89 0x4a015230 @@ -382,6 +478,8 @@ 1 0 0 +1 +1 37 0x4037 0x4887de4d @@ -390,6 +488,8 @@ 1 1 0 +5 +5 32 0x8d0b 0x9f67aa27 @@ -398,6 +498,8 @@ 0 0 0 +1 +5 36 0x6ec6 0x77594f20 @@ -406,6 +508,8 @@ 0 0 0 +1 +5 72 0x8729 0x7c8924fd @@ -414,6 +518,8 @@ 0 0 0 +2 +3 17 0x518c 0x480a3be6 @@ -422,6 +528,8 @@ 0 0 0 +4 +5 26 0x4920 0x4b8b0708 @@ -430,6 +538,8 @@ 1 1 0 +3 +0 44 0xc824 0x88d2d8aa @@ -438,6 +548,8 @@ 1 0 0 +3 +3 100 0xd0e5 0x93365419 @@ -446,6 +558,8 @@ 1 1 0 +2 +0 25 0xc43a 0x8527e02d @@ -454,6 +568,8 @@ 1 1 0 +0 +3 70 0x9748 0x2f014713 @@ -462,6 +578,8 @@ 0 0 0 +5 +0 87 0x86d5 0xd6700105 @@ -470,6 +588,8 @@ 1 0 0 +1 +0 15 0x3ecc 0x3ca4bcc0 @@ -478,6 +598,8 @@ 1 0 0 +2 +5 74 0xe730 0xa91a3a5b @@ -486,6 +608,8 @@ 0 0 0 +4 +1 22 0x7bc2 0x1c09a4a1 @@ -494,6 +618,8 @@ 0 0 0 +1 +1 47 0x597d 0xd6da39b1 @@ -502,6 +628,8 @@ 1 0 0 +2 +1 65 0x9e1c 0xe06ec43a @@ -510,6 +638,8 @@ 1 1 0 +1 +3 58 0x25d1 0xf48bc11d @@ -518,6 +648,8 @@ 0 0 0 +0 +4 75 0x95ab 0x7a866f6c @@ -526,6 +658,8 @@ 1 1 0 +5 +2 75 0x2bb1 0xaeb9f69c @@ -534,6 +668,8 @@ 0 0 0 +4 +2 73 0x4859 0x2d523c67 @@ -542,6 +678,8 @@ 0 0 0 +5 +0 17 0x6d42 0xb7f1c526 @@ -550,6 +688,8 @@ 0 0 0 +0 +0 25 0x135f 0xb39101b1 @@ -558,6 +698,8 @@ 0 0 0 +4 +1 30 0x45b 0xf590cce6 @@ -566,6 +708,8 @@ 1 0 0 +2 +0 99 0x3eb8 0x922d5a74 @@ -574,6 +718,8 @@ 0 0 0 +2 +0 98 0x7d7d 0x580121ff @@ -582,6 +728,8 @@ 0 0 0 +4 +0 89 0x14b3 0x4f775dd1 @@ -590,6 +738,8 @@ 0 0 0 +3 +3 55 0x7850 0x8d982ad @@ -598,6 +748,8 @@ 0 0 0 +3 +4 48 0x11f6 0x3b765e2 @@ -606,6 +758,8 @@ 1 0 0 +1 +4 79 0x99c4 0x17f5a024 @@ -614,6 +768,8 @@ 0 0 0 +4 +1 20 0xdedd 0xac7473bb @@ -622,6 +778,8 @@ 1 1 0 +4 +3 36 0x23ed 0xaae3540a @@ -630,6 +788,8 @@ 0 0 0 +3 +3 19 0x6994 0x9cb7498d @@ -638,6 +798,8 @@ 0 0 0 +3 +1 17 0xc09 0x4bd99955 @@ -646,6 +808,8 @@ 0 0 0 +0 +3 59 0xcf40 0xc2ecf2d4 @@ -654,6 +818,8 @@ 1 1 0 +0 +2 72 0xff43 0x3f886af0 @@ -662,6 +828,8 @@ 0 0 0 +5 +0 31 0xf03d 0xdcad4961 @@ -670,6 +838,8 @@ 0 0 0 +5 +5 97 0x2ea2 0x6edb70c1 @@ -678,6 +848,8 @@ 0 0 0 +4 +4 92 0xb207 0xad05f245 @@ -686,6 +858,8 @@ 0 0 0 +2 +1 27 0x6d1d 0xb46fd585 @@ -694,6 +868,8 @@ 1 1 0 +0 +2 31 0x739b 0xd26e0fcf @@ -702,6 +878,8 @@ 1 0 0 +4 +2 55 0xea77 0xa271025d @@ -710,6 +888,8 @@ 1 0 0 +1 +0 60 0xfa83 0x3428e003 @@ -718,6 +898,8 @@ 0 0 0 +4 +0 42 0x8813 0xffcce206 @@ -726,6 +908,8 @@ 0 0 0 +1 +5 28 0x83b 0x8c4fa571 @@ -734,6 +918,8 @@ 1 1 0 +3 +5 96 0x576f 0x60fe28 @@ -742,6 +928,8 @@ 0 0 0 +1 +2 98 0xd9ad 0x3590e54 @@ -750,6 +938,8 @@ 1 0 0 +0 +4 66 0x51d2 0x37134546 @@ -758,6 +948,8 @@ 1 1 0 +3 +5 55 0x8832 0xb7c4937f @@ -766,6 +958,8 @@ 1 0 0 +5 +2 53 0xb142 0x230775ee @@ -774,6 +968,8 @@ 0 0 0 +4 +2 95 0x27c2 0x2e805be @@ -782,6 +978,8 @@ 0 0 0 +5 +0 58 0xaa19 0xbdd89c11 @@ -790,6 +988,8 @@ 1 0 0 +2 +0 75 0x76fe 0x854944c3 @@ -798,6 +998,8 @@ 0 0 0 +3 +3 66 0xf6c7 0xd695acd8 @@ -806,6 +1008,8 @@ 0 0 0 +2 +3 93 0xb3ca 0x8bbaa5e6 @@ -814,6 +1018,8 @@ 1 0 0 +0 +0 54 0xbd8a 0xf84637b5 @@ -822,6 +1028,8 @@ 0 0 0 +2 +1 40 0x65d3 0xbe5a9946 @@ -830,6 +1038,8 @@ 0 0 0 +0 +5 63 0xae75 0x60b502a7 @@ -838,6 +1048,8 @@ 0 0 0 +0 +0 84 0x3e87 0xe6b0ee1b @@ -846,6 +1058,8 @@ 1 1 0 +4 +3 85 0xeb80 0xcc8a15e8 @@ -854,6 +1068,8 @@ 1 1 0 +3 +2 73 0x892c 0x2fec416a @@ -862,6 +1078,8 @@ 1 1 0 +1 +0 46 0x284e 0x2efe4b8a @@ -870,6 +1088,8 @@ 0 0 0 +5 +5 64 0x5e56 0xd1453f7b @@ -878,6 +1098,8 @@ 1 0 0 +2 +1 20 0x9268 0xc8bd4289 @@ -886,6 +1108,8 @@ 1 1 0 +3 +3 11 0xbc50 0xe73975a @@ -894,6 +1118,8 @@ 1 0 0 +5 +2 29 0xfa8 0x600cce51 @@ -902,6 +1128,8 @@ 1 0 0 +5 +1 59 0xe829 0xe3af478d @@ -910,6 +1138,8 @@ 1 0 0 +2 +1 70 0x39d6 0x5d38642c @@ -918,6 +1148,8 @@ 0 0 0 +3 +1 70 0x9f0f 0x4e488107 @@ -926,6 +1158,8 @@ 0 0 0 +3 +3 42 0x9b4d 0x697f5b65 @@ -934,6 +1168,8 @@ 1 0 0 +5 +5 25 0x832c 0xcb53433b @@ -942,6 +1178,8 @@ 0 0 0 +1 +3 90 0xa48f 0x5cf7299 @@ -950,6 +1188,8 @@ 0 0 0 +5 +0 60 0xe254 0x21ed66cb @@ -958,6 +1198,8 @@ 1 0 0 +1 +1 76 0x2420 0xd07e3a80 @@ -966,6 +1208,8 @@ 0 0 0 +0 +4 41 0xcc0e 0x2ba37fa8 @@ -974,6 +1218,8 @@ 1 0 0 +2 +1 32 0x955e 0xef081d6f @@ -982,6 +1228,8 @@ 0 0 0 +2 +4 77 0x1e50 0x48243119 @@ -990,6 +1238,8 @@ 0 0 0 +5 +3 79 0x7eda 0x7c66e587 @@ -998,6 +1248,8 @@ 0 0 0 +0 +0 81 0x85ac 0xdfa2dfb6 @@ -1006,6 +1258,8 @@ 0 0 0 +5 +2 34 0xcd12 0x629660cb @@ -1014,6 +1268,8 @@ 0 0 0 +0 +1 92 0x3165 0x6b4b1ff3 @@ -1022,6 +1278,8 @@ 0 0 0 +4 +3 25 0x9595 0x9d309b4d @@ -1030,6 +1288,8 @@ 0 0 0 +5 +3 55 0xfa39 0xda8e693c @@ -1038,6 +1298,8 @@ 0 0 0 +0 +1 76 0x7daf 0x324bcfe7 @@ -1046,6 +1308,8 @@ 0 0 0 +3 +2 78 0xe2a7 0xd5a4cca0 @@ -1054,6 +1318,8 @@ 0 0 0 +5 +1 86 0xe480 0xbe84453e @@ -1062,6 +1328,8 @@ 1 0 0 +0 +4 98 0xf2fa 0x44c75478 @@ -1070,6 +1338,8 @@ 1 0 0 +3 +3 71 0xa86d 0x662c9ca4 @@ -1078,6 +1348,8 @@ 1 0 0 +1 +0 35 0x135e 0x3f09c5f6 @@ -1086,6 +1358,8 @@ 0 0 0 +2 +1 88 0x2ecf 0xff7d94dc @@ -1094,6 +1368,8 @@ 1 1 0 +1 +5 54 0xfb2e 0xa0f0b8d8 @@ -1102,6 +1378,8 @@ 0 0 0 +0 +0 38 0xc6a5 0xe5de2c0 @@ -1110,6 +1388,8 @@ 1 1 0 +0 +1 48 0xc6e 0x1dec35c1 @@ -1118,6 +1398,8 @@ 1 1 0 +2 +0 97 0xf8d 0x12297cc6 @@ -1126,6 +1408,8 @@ 1 1 0 +0 +1 89 0x28d 0x462c158b @@ -1134,6 +1418,8 @@ 1 0 0 +5 +5 42 0x22a0 0xcb8ee345 @@ -1142,6 +1428,8 @@ 0 0 0 +2 +0 82 0x7919 0x55982ee6 @@ -1150,6 +1438,8 @@ 0 0 0 +1 +2 80 0x76ce 0x8a95ea5f @@ -1158,6 +1448,8 @@ 0 0 0 +2 +2 94 0x9aaf 0xe337b0ae @@ -1166,6 +1458,8 @@ 0 0 0 +1 +0 56 0xda26 0x62c0e01 @@ -1174,6 +1468,8 @@ 0 0 0 +3 +4 65 0xcd14 0x3c880ff0 @@ -1182,6 +1478,8 @@ 1 1 0 +0 +3 95 0x1202 0xeaf581f3 @@ -1190,6 +1488,8 @@ 0 0 0 +0 +0 54 0xd2d9 0x875b09f5 @@ -1198,6 +1498,8 @@ 0 0 0 +3 +1 28 0x6e40 0x2c35ab15 @@ -1206,6 +1508,8 @@ 0 0 0 +5 +0 54 0x3c64 0x4656e8b5 @@ -1214,6 +1518,8 @@ 1 1 0 +4 +3 49 0xa0a4 0x6d094557 @@ -1222,6 +1528,8 @@ 0 0 0 +4 +3 32 0x668 0x4cdac4af @@ -1230,6 +1538,8 @@ 0 0 0 +0 +4 36 0x87e1 0xa5c9f792 @@ -1238,6 +1548,8 @@ 1 1 0 +0 +5 89 0x7991 0x9419b675 @@ -1246,6 +1558,8 @@ 0 0 0 +0 +1 60 0x38f4 0xcffd323b @@ -1254,6 +1568,8 @@ 1 1 0 +2 +0 92 0xe10b 0xfca796ec @@ -1262,6 +1578,8 @@ 0 0 0 +0 +0 55 0xee22 0xe4dbd635 @@ -1270,6 +1588,8 @@ 0 0 0 +4 +0 69 0xcc6a 0x9f9486de @@ -1278,6 +1598,8 @@ 1 1 0 +3 +5 79 0x6763 0x461d36f4 @@ -1286,6 +1608,8 @@ 0 0 0 +1 +5 81 0xb1fc 0xa1bcf3f1 @@ -1294,6 +1618,8 @@ 1 0 0 +5 +2 96 0xd50b 0x69207f0 @@ -1302,6 +1628,8 @@ 1 1 0 +5 +3 55 0xfeba 0x40c60f0 @@ -1310,6 +1638,8 @@ 0 0 0 +3 +2 92 0x8719 0x809b3743 @@ -1318,6 +1648,8 @@ 0 0 0 +0 +4 50 0x664e 0xbeeed194 @@ -1326,6 +1658,8 @@ 1 1 0 +1 +1 18 0x2628 0xe3b3275f @@ -1334,6 +1668,8 @@ 1 1 0 +4 +1 52 0x377c 0xe4519f34 @@ -1342,6 +1678,8 @@ 0 0 0 +2 +0 90 0x47d0 0x6c655469 @@ -1350,6 +1688,8 @@ 1 1 0 +1 +4 87 0xc738 0xc8193c2f @@ -1358,6 +1698,8 @@ 0 0 0 +3 +3 61 0xe1cd 0xa85f52f0 @@ -1366,6 +1708,8 @@ 1 1 0 +5 +5 53 0xa519 0x9815db4e @@ -1374,6 +1718,8 @@ 0 0 0 +0 +1 49 0xd0db 0xc6cbc565 @@ -1382,6 +1728,8 @@ 1 1 0 +0 +2 39 0x1b39 0x6885c11e @@ -1390,6 +1738,8 @@ 0 0 0 +4 +4 66 0x9cf7 0xb177d28e @@ -1398,6 +1748,8 @@ 0 0 0 +4 +0 99 0xf930 0x4470068c @@ -1406,6 +1758,8 @@ 0 0 0 +3 +2 83 0x5833 0x1ce2cf71 @@ -1414,6 +1768,8 @@ 1 0 0 +4 +3 65 0x9313 0x81625747 @@ -1422,6 +1778,8 @@ 1 1 0 +4 +1 82 0xfe9c 0xc114f37d @@ -1430,6 +1788,8 @@ 0 0 0 +1 +1 13 0xecbf 0xc4340aa0 @@ -1438,6 +1798,8 @@ 1 1 0 +0 +0 50 0xe969 0xb2e632fc @@ -1446,6 +1808,8 @@ 1 0 0 +4 +3 22 0xb6ee 0x296b91d1 @@ -1454,6 +1818,8 @@ 0 0 0 +1 +3 80 0x657a 0x1a26f546 @@ -1462,6 +1828,8 @@ 1 1 0 +0 +3 78 0xa7a1 0xe15728d0 @@ -1470,6 +1838,8 @@ 0 0 0 +3 +0 43 0xd3b0 0xbe0f9bb3 @@ -1478,6 +1848,8 @@ 0 0 0 +5 +3 56 0xcc9c 0x5e36c281 @@ -1486,6 +1858,8 @@ 1 1 0 +4 +3 100 0x7507 0x11f044e @@ -1494,6 +1868,8 @@ 0 0 0 +1 +1 77 0x7c8c 0x8c8d61b9 @@ -1502,6 +1878,8 @@ 0 0 0 +5 +2 99 0xb2ff 0x89bf1560 @@ -1510,6 +1888,8 @@ 1 0 0 +5 +1 43 0x3ec2 0x6a22b652 @@ -1518,6 +1898,8 @@ 0 0 0 +1 +2 55 0x4329 0x92cd2df9 @@ -1526,6 +1908,8 @@ 1 1 0 +4 +3 89 0xef63 0xc7f05d94 @@ -1534,6 +1918,8 @@ 0 0 0 +0 +5 34 0x5356 0xd86faacd @@ -1542,6 +1928,8 @@ 1 0 0 +3 +4 40 0xaf7b 0x656f551a @@ -1550,6 +1938,8 @@ 1 1 0 +0 +3 73 0x7da 0x7a2850a @@ -1558,6 +1948,8 @@ 0 0 0 +2 +0 76 0xfeba 0x5ee171b7 @@ -1566,6 +1958,8 @@ 0 0 0 +5 +4 58 0x61e3 0x10b66311 @@ -1574,6 +1968,8 @@ 1 0 0 +3 +5 72 0xc0d0 0xbe17a52 @@ -1582,6 +1978,8 @@ 0 0 0 +3 +4 31 0x1522 0x95dcbd8 @@ -1590,6 +1988,8 @@ 0 0 0 +3 +5 55 0x4557 0xaa528e3a @@ -1598,6 +1998,8 @@ 0 0 0 +2 +4 94 0x9a21 0x200019a6 @@ -1606,6 +2008,8 @@ 0 0 0 +1 +3 76 0xb120 0x298ee264 @@ -1614,6 +2018,8 @@ 1 1 0 +0 +4 33 0xf875 0x5a939a5c @@ -1622,6 +2028,8 @@ 1 0 0 +4 +0 11 0xf556 0x4857ee32 @@ -1630,6 +2038,8 @@ 1 0 0 +3 +4 26 0x2ef1 0x2c972b6 @@ -1638,6 +2048,8 @@ 0 0 0 +4 +4 51 0xe339 0x3ff63e47 @@ -1646,6 +2058,8 @@ 1 1 0 +0 +0 56 0xbad7 0xce28671a @@ -1654,6 +2068,8 @@ 1 1 0 +4 +0 43 0x2b5a 0xb4948758 @@ -1662,6 +2078,8 @@ 1 0 0 +2 +5 68 0x899d 0x49c38480 @@ -1670,6 +2088,8 @@ 1 0 0 +3 +2 79 0x525 0x13f7e3d4 @@ -1678,6 +2098,8 @@ 0 0 0 +1 +4 53 0x4b7d 0x33dd4bee @@ -1686,6 +2108,8 @@ 1 0 0 +0 +1 24 0x8601 0x3610b5ae @@ -1694,6 +2118,8 @@ 0 0 0 +2 +0 85 0xb568 0xf1623e7f @@ -1702,6 +2128,8 @@ 0 0 0 +1 +1 67 0x847a 0xd79a8bd6 @@ -1710,6 +2138,8 @@ 1 0 0 +4 +1 20 0x139c 0x494a9e2e @@ -1718,6 +2148,8 @@ 0 0 0 +3 +3 61 0x2b4b 0x669d520f @@ -1726,6 +2158,8 @@ 1 1 0 +0 +0 44 0x4333 0x75af5443 @@ -1734,6 +2168,8 @@ 0 0 0 +1 +4 45 0x71a5 0x86633c6a @@ -1742,6 +2178,8 @@ 0 0 0 +1 +2 40 0x354e 0xd15f37c9 @@ -1750,6 +2188,8 @@ 1 1 0 +5 +2 79 0x7a60 0x94b1092e @@ -1758,6 +2198,8 @@ 0 0 0 +1 +2 59 0x34ee 0x94c20f9b @@ -1766,6 +2208,8 @@ 0 0 0 +3 +3 44 0x1e52 0xc47bdcf4 @@ -1774,6 +2218,8 @@ 1 0 0 +0 +1 28 0x58f9 0xbe6cdabd @@ -1782,6 +2228,8 @@ 1 0 0 +4 +0 71 0xd26a 0x36e22932 @@ -1790,6 +2238,8 @@ 0 0 0 +5 +4 27 0xffc0 0xe52253f5 @@ -1798,6 +2248,8 @@ 1 1 0 +1 +3 13 0xfc2d 0xb01a2e9b @@ -1806,6 +2258,8 @@ 1 1 0 +3 +5 37 0x70f3 0x2a65c1ba @@ -1814,6 +2268,8 @@ 0 0 0 +4 +2 62 0x8eff 0xc348cd54 @@ -1822,6 +2278,8 @@ 0 0 0 +3 +3 13 0x9171 0x5b950aff @@ -1830,6 +2288,8 @@ 0 0 0 +3 +4 46 0x6174 0xa53d7d0f @@ -1838,6 +2298,8 @@ 0 0 0 +1 +3 91 0xc28d 0xaa074320 @@ -1846,6 +2308,8 @@ 0 0 0 +2 +4 77 0xf789 0x2ccbf69e @@ -1854,6 +2318,8 @@ 1 1 0 +4 +4 41 0x4a46 0x799e35f5 @@ -1862,6 +2328,8 @@ 0 0 0 +4 +4 35 0x356c 0xad76fffd @@ -1870,6 +2338,8 @@ 0 0 0 +0 +2 99 0xc432 0x9bde912 @@ -1878,6 +2348,8 @@ 1 1 0 +5 +3 100 0x38d6 0x88f61689 @@ -1886,6 +2358,8 @@ 0 0 0 +4 +4 38 0xfc9f 0xac91a28e @@ -1894,6 +2368,8 @@ 1 1 0 +2 +4 38 0x75a3 0xb6815104 @@ -1902,6 +2378,8 @@ 0 0 0 +0 +0 73 0x939 0x56347668 @@ -1910,6 +2388,8 @@ 1 1 0 +1 +5 46 0x5bd7 0xb4d72e24 @@ -1918,6 +2398,8 @@ 1 1 0 +2 +1 63 0x301c 0xf443d73f @@ -1926,6 +2408,8 @@ 0 0 0 +1 +2 65 0x8e1f 0xeb1340dd @@ -1934,6 +2418,8 @@ 1 1 0 +4 +3 42 0xcf17 0x1d53d9c6 @@ -1942,6 +2428,8 @@ 1 0 0 +1 +0 11 0xd38e 0xca255e7f @@ -1950,6 +2438,8 @@ 0 0 0 +2 +0 62 0xe507 0x8fe9d78e @@ -1958,6 +2448,8 @@ 1 1 0 +1 +1 51 0xb117 0x33835fc2 @@ -1966,6 +2458,8 @@ 1 0 0 +0 +2 55 0xc2b5 0xe4f3e0b2 @@ -1974,6 +2468,8 @@ 0 0 0 +2 +5 63 0xca8f 0x3109cf17 @@ -1982,6 +2478,8 @@ 1 0 0 +2 +0 31 0x9d9 0x3f13b388 @@ -1990,6 +2488,8 @@ 1 0 0 +5 +5 58 0x17c0 0xaf0e0be @@ -1998,6 +2498,8 @@ 0 0 0 +1 +4 62 0x165f 0xa838df5e @@ -2006,6 +2508,8 @@ 0 0 0 +2 +3 50 0x93a7 0x5cccf3a9 @@ -2014,6 +2518,8 @@ 0 0 0 +5 +1 20 0xec92 0xe966ba1 @@ -2022,6 +2528,8 @@ 0 0 0 +4 +4 48 0xa729 0xdbd2cbd0 @@ -2030,6 +2538,8 @@ 0 0 0 +3 +3 83 0x5b52 0xee6d9f28 @@ -2038,6 +2548,8 @@ 0 0 0 +3 +2 35 0x57bb 0xbb05ea4e @@ -2046,6 +2558,8 @@ 0 0 0 +2 +2 40 0x2d30 0x9a102b13 @@ -2054,6 +2568,8 @@ 0 0 0 +3 +2 93 0x59c5 0xe49fbec6 @@ -2062,6 +2578,8 @@ 1 1 0 +5 +4 77 0x61eb 0x8803557e @@ -2070,6 +2588,8 @@ 1 0 0 +3 +5 39 0x58f5 0x5ba97e32 @@ -2078,6 +2598,8 @@ 0 0 0 +1 +5 14 0x5e18 0x66d4262c @@ -2086,6 +2608,8 @@ 1 0 0 +4 +0 94 0x7d4a 0x8fc8522c @@ -2094,6 +2618,8 @@ 0 0 0 +3 +1 77 0xa6e8 0xea7cef26 @@ -2102,6 +2628,8 @@ 1 0 0 +5 +4 83 0x97a1 0x9137cfae @@ -2110,6 +2638,8 @@ 0 0 0 +5 +0 46 0xf19b 0xe3aa78a7 @@ -2118,6 +2648,8 @@ 1 1 0 +4 +5 77 0xe881 0x58802842 @@ -2126,6 +2658,8 @@ 1 0 0 +3 +0 80 0xc56f 0xf8d51559 @@ -2134,6 +2668,8 @@ 0 0 0 +3 +3 50 0xd4be 0x756b1bdb @@ -2142,6 +2678,8 @@ 0 0 0 +5 +1 43 0x607c 0xcbbb538d @@ -2150,6 +2688,8 @@ 0 0 0 +0 +3 24 0x41be 0x227aa4a6 @@ -2158,6 +2698,8 @@ 1 1 0 +2 +0 49 0xdef5 0x726dace0 @@ -2166,6 +2708,8 @@ 0 0 0 +2 +4 81 0x8bc8 0x15ac4d27 @@ -2174,6 +2718,8 @@ 0 0 0 +2 +0 94 0xad54 0xffc73c7f @@ -2182,6 +2728,8 @@ 1 1 0 +2 +4 30 0xf111 0x33eba2a8 @@ -2190,6 +2738,8 @@ 1 1 0 +4 +4 93 0x6cc 0x89eafa47 @@ -2198,6 +2748,8 @@ 0 0 0 +5 +1 45 0xc1cc 0x21a0bab0 @@ -2206,6 +2758,8 @@ 1 1 0 +4 +2 74 0xd683 0xbb7b872d @@ -2214,6 +2768,8 @@ 1 0 0 +0 +1 19 0x71dc 0x38e4e3c6 @@ -2222,6 +2778,8 @@ 0 0 0 +1 +3 92 0x651c 0xa2b7d2d9 @@ -2230,6 +2788,8 @@ 0 0 0 +1 +1 74 0x892b 0xf5706118 @@ -2238,6 +2798,8 @@ 1 1 0 +0 +4 72 0x2893 0xee9152a6 @@ -2246,6 +2808,8 @@ 1 1 0 +1 +5 14 0x9197 0xae32e8c8 @@ -2254,6 +2818,8 @@ 0 0 0 +4 +3 50 0xda0e 0x40478557 @@ -2262,6 +2828,8 @@ 1 1 0 +2 +0 93 0xe92b 0x14eda87b @@ -2270,6 +2838,8 @@ 0 0 0 +5 +1 41 0x4b58 0xa4b100af @@ -2278,6 +2848,8 @@ 0 0 0 +3 +2 91 0xa293 0x1a220d05 @@ -2286,6 +2858,8 @@ 0 0 0 +2 +3 91 0xcfce 0xc76d9d1f @@ -2294,6 +2868,8 @@ 1 0 0 +0 +0 100 0x3416 0x9a6dfc42 @@ -2302,6 +2878,8 @@ 1 0 0 +1 +0 75 0x4e3f 0x40053ac @@ -2310,6 +2888,8 @@ 1 1 0 +3 +3 27 0xa81b 0x55aac2b @@ -2318,6 +2898,8 @@ 0 0 0 +2 +4 19 0x96c 0x79c11891 @@ -2326,6 +2908,8 @@ 0 0 0 +5 +5 96 0xe2db 0x8a9d9ee0 @@ -2334,6 +2918,8 @@ 1 1 0 +3 +1 15 0x3715 0x422c9c5f @@ -2342,6 +2928,8 @@ 0 0 0 +5 +0 31 0x4fda 0x2d97f0f3 @@ -2350,6 +2938,8 @@ 1 1 0 +4 +3 99 0x1571 0x2004eb45 @@ -2358,6 +2948,8 @@ 1 1 0 +3 +4 15 0x1570 0x8950b124 @@ -2366,6 +2958,8 @@ 1 1 0 +3 +5 49 0xea9d 0xc07c29d0 @@ -2374,6 +2968,8 @@ 0 0 0 +2 +0 54 0xadb7 0x9a470044 @@ -2382,6 +2978,8 @@ 0 0 0 +0 +0 68 0xe6ad 0x899e0fd0 @@ -2390,6 +2988,8 @@ 0 0 0 +5 +3 98 0x71d8 0xb704eb93 @@ -2398,6 +2998,8 @@ 0 0 0 +1 +3 58 0xa9d1 0x3413e8f6 @@ -2406,6 +3008,8 @@ 0 0 0 +1 +3 40 0x1479 0x4ced8bc7 @@ -2414,6 +3018,8 @@ 0 0 0 +0 +4 13 0xd9bf 0x6d57a286 @@ -2422,6 +3028,8 @@ 0 0 0 +1 +5 69 0x26b8 0x18613e55 @@ -2430,6 +3038,8 @@ 0 0 0 +1 +0 62 0x62d1 0x193d5af0 @@ -2438,6 +3048,8 @@ 1 0 0 +0 +4 34 0x5fb4 0x7e6474d5 @@ -2446,6 +3058,8 @@ 1 1 0 +4 +2 15 0x18e7 0x47fe46c7 @@ -2454,6 +3068,8 @@ 1 0 0 +1 +5 93 0x3cee 0x1d545107 @@ -2462,6 +3078,8 @@ 1 0 0 +1 +0 46 0xb9e9 0x74e58c8f @@ -2470,6 +3088,8 @@ 1 0 0 +5 +4 85 0xe33f 0x8dd79b52 @@ -2478,6 +3098,8 @@ 1 0 0 +4 +3 80 0xed0e 0xc89bd3f7 @@ -2486,6 +3108,8 @@ 1 0 0 +3 +5 14 0xd5ba 0x2f9723ee @@ -2494,6 +3118,8 @@ 1 0 0 +3 +5 67 0x574f 0x27e89f7c @@ -2502,6 +3128,8 @@ 1 0 0 +0 +3 79 0x942a 0x97c21722 @@ -2510,6 +3138,8 @@ 0 0 0 +3 +1 33 0xd848 0xca1958ab @@ -2518,6 +3148,8 @@ 0 0 0 +5 +5 60 0x6d79 0x35f267a7 @@ -2526,6 +3158,8 @@ 1 1 0 +4 +4 72 0x5bd7 0xa38768f5 @@ -2534,6 +3168,8 @@ 1 1 0 +3 +2 65 0xf6d1 0x8398c4b @@ -2542,6 +3178,8 @@ 1 1 0 +2 +4 16 0x4310 0xa729cebd @@ -2550,6 +3188,8 @@ 0 0 0 +4 +2 16 0xc06e 0x1a326db0 @@ -2558,6 +3198,8 @@ 0 0 0 +4 +2 69 0xcb15 0xd747b8e6 @@ -2566,6 +3208,8 @@ 0 0 0 +3 +1 30 0x7355 0x7a558150 @@ -2574,6 +3218,8 @@ 1 0 0 +2 +4 47 0x338 0x8b37de6d @@ -2582,6 +3228,8 @@ 0 0 0 +5 +0 45 0xe5f6 0x93df8e66 @@ -2590,6 +3238,8 @@ 0 0 0 +5 +5 31 0x379 0x510697f0 @@ -2598,6 +3248,8 @@ 0 0 0 +5 +1 17 0x87e8 0xe3278e3c @@ -2606,6 +3258,8 @@ 1 0 0 +1 +5 18 0xe205 0x4725487a @@ -2614,6 +3268,8 @@ 0 0 0 +2 +2 69 0xc180 0xcae91d4c @@ -2622,6 +3278,8 @@ 0 0 0 +2 +5 14 0xdff7 0x9525e255 @@ -2630,6 +3288,8 @@ 0 0 0 +5 +1 25 0x68ca 0xa8dff51a @@ -2638,6 +3298,8 @@ 0 0 0 +3 +3 36 0xe5c4 0x27bd4a97 @@ -2646,6 +3308,8 @@ 0 0 0 +3 +0 14 0xb6a3 0xb0665213 @@ -2654,6 +3318,8 @@ 0 0 0 +0 +5 62 0xaed 0x9cd83f16 @@ -2662,6 +3328,8 @@ 0 0 0 +0 +4 70 0xf766 0x8dc35847 @@ -2670,6 +3338,8 @@ 1 0 0 +2 +0 24 0x3d99 0x3c40279b @@ -2678,6 +3348,8 @@ 0 0 0 +5 +5 92 0x2228 0x8a57276f @@ -2686,6 +3358,8 @@ 1 1 0 +5 +1 27 0x5c54 0x282d9381 @@ -2694,6 +3368,8 @@ 1 0 0 +5 +3 24 0xf78e 0x9fa70342 @@ -2702,6 +3378,8 @@ 1 0 0 +4 +4 100 0xfeee 0xe07d155b @@ -2710,6 +3388,8 @@ 0 0 0 +5 +0 63 0x5b25 0x6d1c6812 @@ -2718,6 +3398,8 @@ 1 1 0 +1 +3 77 0x5d90 0xd798e6ca @@ -2726,6 +3408,8 @@ 0 0 0 +4 +4 14 0x4d91 0xae592fc1 @@ -2734,6 +3418,8 @@ 0 0 0 +2 +5 99 0x3d73 0xb62deec0 @@ -2742,6 +3428,8 @@ 0 0 0 +0 +3 41 0x1f62 0x6acdda7c @@ -2750,6 +3438,8 @@ 1 0 0 +4 +2 28 0xcc95 0xbb203c02 @@ -2758,6 +3448,8 @@ 0 0 0 +4 +3 64 0xd62b 0xa3829c0d @@ -2766,6 +3458,8 @@ 0 0 0 +3 +0 52 0x69b6 0x36de3057 @@ -2774,6 +3468,8 @@ 1 1 0 +0 +1 26 0xf904 0x47adee3f @@ -2782,6 +3478,8 @@ 1 1 0 +3 +5 15 0x5586 0xedf64f37 @@ -2790,6 +3488,8 @@ 1 0 0 +2 +1 17 0x5c93 0xfc3119af @@ -2798,6 +3498,8 @@ 1 0 0 +2 +5 79 0x3410 0x464d578c @@ -2806,6 +3508,8 @@ 1 0 0 +3 +0 47 0xdba4 0x969eac4e @@ -2814,6 +3518,8 @@ 0 0 0 +5 +4 63 0xa757 0x148781f0 @@ -2822,6 +3528,8 @@ 1 1 0 +4 +1 34 0x5b10 0x8c0d384a @@ -2830,6 +3538,8 @@ 0 0 0 +4 +3 76 0xb786 0x508a36a6 @@ -2838,6 +3548,8 @@ 1 0 0 +2 +3 44 0x1caa 0xc218652f @@ -2846,6 +3558,8 @@ 1 0 0 +1 +3 75 0x22da 0x3df2f204 @@ -2854,6 +3568,8 @@ 0 0 0 +5 +2 43 0xbf19 0x6ca8acf2 @@ -2862,6 +3578,8 @@ 1 1 0 +3 +1 12 0x2d6d 0x82aeb834 @@ -2870,6 +3588,8 @@ 0 0 0 +3 +4 67 0x1599 0x1ec3a074 @@ -2878,6 +3598,8 @@ 0 0 0 +2 +5 96 0x1591 0xbc5e4f26 @@ -2886,6 +3608,8 @@ 0 0 0 +0 +1 91 0x70f6 0xdff18267 @@ -2894,6 +3618,8 @@ 1 1 0 +1 +2 51 0xd097 0xc0c2bf59 @@ -2902,6 +3628,8 @@ 1 0 0 +5 +3 94 0xac 0x651190fa @@ -2910,6 +3638,8 @@ 0 0 0 +4 +2 57 0x6cfa 0xbbfb5192 @@ -2918,6 +3648,8 @@ 0 0 0 +5 +2 72 0x1904 0x7fdf2601 @@ -2926,6 +3658,8 @@ 1 0 0 +4 +1 46 0x6d05 0xd4501955 @@ -2934,6 +3668,8 @@ 1 0 0 +3 +5 99 0xbb07 0xd863ad8a @@ -2942,6 +3678,8 @@ 0 0 0 +4 +5 76 0xf350 0xa35538a6 @@ -2950,6 +3688,8 @@ 0 0 0 +4 +4 70 0xc527 0x4e3e989e @@ -2958,6 +3698,8 @@ 1 1 0 +4 +5 51 0x8caa 0xe75dad6c @@ -2966,6 +3708,8 @@ 1 0 0 +3 +2 12 0xc13c 0x27d8b283 @@ -2974,6 +3718,8 @@ 0 0 0 +2 +4 22 0xd120 0xc7088d6a @@ -2982,6 +3728,8 @@ 1 1 0 +4 +0 21 0x2c59 0xbb0845af @@ -2990,6 +3738,8 @@ 1 0 0 +5 +4 28 0x464c 0xcf793ce1 @@ -2998,6 +3748,8 @@ 1 0 0 +1 +4 22 0xbb96 0xd34ab91a @@ -3006,6 +3758,8 @@ 1 1 0 +0 +5 85 0x52f5 0x29c8d73a @@ -3014,6 +3768,8 @@ 1 1 0 +0 +1 19 0xdbc3 0x69a5a2f4 @@ -3022,6 +3778,8 @@ 0 0 0 +1 +5 32 0x39b 0x15c97d9 @@ -3030,6 +3788,8 @@ 0 0 0 +1 +5 65 0x5331 0xc946dbc7 @@ -3038,6 +3798,8 @@ 1 1 0 +5 +3 83 0x6383 0x78a08788 @@ -3046,6 +3808,8 @@ 1 0 0 +4 +4 92 0xa003 0x46bd3f88 @@ -3054,6 +3818,8 @@ 1 0 0 +1 +3 84 0x65de 0xeb0a9769 @@ -3062,6 +3828,8 @@ 0 0 0 +3 +5 37 0x840d 0x2ad00554 @@ -3070,6 +3838,8 @@ 1 0 0 +0 +4 28 0x26a5 0x1d84613e @@ -3078,6 +3848,8 @@ 0 0 0 +1 +1 45 0x7043 0x6e18cfa6 @@ -3086,6 +3858,8 @@ 1 1 0 +3 +2 100 0x2a89 0x87a6b5b3 @@ -3094,6 +3868,8 @@ 1 1 0 +3 +2 83 0xcdcc 0x71c4f904 @@ -3102,6 +3878,8 @@ 1 1 0 +5 +3 21 0x6dc4 0xdb053107 @@ -3110,6 +3888,8 @@ 1 0 0 +2 +3 35 0x6196 0x4914d7f7 @@ -3118,6 +3898,8 @@ 0 0 0 +2 +5 70 0xbe9b 0x148fcf1f @@ -3126,6 +3908,8 @@ 0 0 0 +1 +3 53 0xc3c0 0xfe39cea1 @@ -3134,6 +3918,8 @@ 1 1 0 +2 +0 63 0x6b02 0x7552b783 @@ -3142,6 +3928,8 @@ 0 0 0 +3 +5 42 0x31df 0xb87e068e @@ -3150,6 +3938,8 @@ 1 1 0 +3 +5 69 0xb8b0 0xbf3a42b @@ -3158,6 +3948,8 @@ 0 0 0 +5 +1 10 0x76b5 0x8bcee877 @@ -3166,6 +3958,8 @@ 1 0 0 +1 +4 94 0x96d1 0xb2ed732f @@ -3174,6 +3968,8 @@ 1 1 0 +1 +0 29 0xbcf3 0x3f2d8b2c @@ -3182,6 +3978,8 @@ 0 0 0 +3 +4 40 0xd607 0xde224f9a @@ -3190,6 +3988,8 @@ 1 0 0 +3 +4 91 0xbbd6 0x1845c44e @@ -3198,6 +3998,8 @@ 0 0 0 +1 +4 24 0x5949 0xc462b243 @@ -3206,6 +4008,8 @@ 0 0 0 +1 +1 74 0x9adc 0x50a7d9e2 @@ -3214,6 +4018,8 @@ 0 0 0 +1 +4 38 0x3f37 0xecc33d41 @@ -3222,6 +4028,8 @@ 0 0 0 +0 +0 90 0x523 0x6be9e27d @@ -3230,6 +4038,8 @@ 1 1 0 +2 +1 77 0x37ce 0xe36e68fd @@ -3238,6 +4048,8 @@ 0 0 0 +2 +4 89 0x3e73 0xcec0559d @@ -3246,6 +4058,8 @@ 0 0 0 +5 +3 15 0xc00 0xe761c296 @@ -3254,6 +4068,8 @@ 1 0 0 +5 +0 77 0xbd8 0xf8efe0e8 @@ -3262,6 +4078,8 @@ 0 0 0 +5 +5 35 0xf7bb 0x6ec27f31 @@ -3270,6 +4088,8 @@ 0 0 0 +2 +4 77 0xe1a 0xf7f652d8 @@ -3278,6 +4098,8 @@ 1 0 0 +0 +4 87 0xd581 0xb7f0614a @@ -3286,6 +4108,8 @@ 1 0 0 +5 +2 74 0xcb07 0x3fbd30b1 @@ -3294,6 +4118,8 @@ 0 0 0 +3 +1 52 0x7551 0xa54fb623 @@ -3302,6 +4128,8 @@ 0 0 0 +1 +5 96 0x6ff5 0xea49e6f8 @@ -3310,6 +4138,8 @@ 0 0 0 +4 +5 92 0x54c3 0x3121f8dd @@ -3318,6 +4148,8 @@ 1 1 0 +5 +5 99 0xc55e 0x858a1828 @@ -3326,6 +4158,8 @@ 0 0 0 +4 +1 17 0x612a 0x50a5c07c @@ -3334,6 +4168,8 @@ 0 0 0 +5 +5 24 0xe19c 0x9e9c9356 @@ -3342,6 +4178,8 @@ 0 0 0 +5 +0 30 0x5017 0x4f06e56d @@ -3350,6 +4188,8 @@ 0 0 0 +0 +3 14 0xd1b9 0x6018ff10 @@ -3358,6 +4198,8 @@ 0 0 0 +4 +0 48 0x9029 0x52258472 @@ -3366,6 +4208,8 @@ 0 0 0 +4 +0 91 0x3617 0xb75998cb @@ -3374,6 +4218,8 @@ 0 0 0 +1 +3 33 0xfeea 0x19679544 @@ -3382,6 +4228,8 @@ 0 0 0 +1 +4 52 0x50a8 0x3f9fe3fd @@ -3390,6 +4238,8 @@ 0 0 0 +1 +4 43 0xcfdb 0x26457932 @@ -3398,6 +4248,8 @@ 0 0 0 +2 +3 72 0x71f6 0x512fe4bb @@ -3406,6 +4258,8 @@ 0 0 0 +0 +5 62 0x18f 0x584d5935 @@ -3414,6 +4268,8 @@ 1 0 0 +3 +0 40 0x6d0d 0x269f8b37 @@ -3422,6 +4278,8 @@ 0 0 0 +2 +0 66 0xe32b 0x3fe4c29c @@ -3430,6 +4288,8 @@ 0 0 0 +2 +2 71 0xc337 0xadb2eb5a @@ -3438,6 +4298,8 @@ 0 0 0 +5 +5 24 0x507f 0xadd0540e @@ -3446,6 +4308,8 @@ 1 1 0 +1 +4 71 0x186b 0xe024b52c @@ -3454,6 +4318,8 @@ 1 0 0 +0 +1 23 0x7a12 0x4b5f5133 @@ -3462,6 +4328,8 @@ 0 0 0 +0 +0 55 0x7a44 0xf042a326 @@ -3470,6 +4338,8 @@ 0 0 0 +4 +5 79 0x4ce9 0x71d14fc4 @@ -3478,6 +4348,8 @@ 1 0 0 +5 +2 70 0xfaa0 0xb0b06e19 @@ -3486,6 +4358,8 @@ 1 0 0 +3 +0 36 0xd8c4 0xfae8ce51 @@ -3494,6 +4368,8 @@ 0 0 0 +4 +1 25 0x9b9f 0xfdcef333 @@ -3502,6 +4378,8 @@ 0 0 0 +2 +0 75 0x97f9 0xbc9a6c76 @@ -3510,6 +4388,8 @@ 1 0 0 +4 +3 94 0x47a5 0xd19d4ef2 @@ -3518,6 +4398,8 @@ 0 0 0 +0 +0 36 0x7532 0xbe74d2b8 @@ -3526,6 +4408,8 @@ 0 0 0 +5 +5 27 0x205d 0x1a25d68f @@ -3534,6 +4418,8 @@ 0 0 0 +5 +4 73 0x27de 0xa501c7c2 @@ -3542,6 +4428,8 @@ 1 0 0 +3 +5 24 0x8f60 0x12713e34 @@ -3550,6 +4438,8 @@ 0 0 0 +2 +5 36 0x53b9 0xf77d43e1 @@ -3558,6 +4448,8 @@ 0 0 0 +0 +2 66 0x2ae0 0x8d1d987c @@ -3566,6 +4458,8 @@ 1 1 0 +4 +0 91 0x4add 0xbebbb269 @@ -3574,6 +4468,8 @@ 0 0 0 +0 +2 93 0xa338 0x811b9099 @@ -3582,6 +4478,8 @@ 1 0 0 +0 +0 97 0x976f 0xca0821d2 @@ -3590,6 +4488,8 @@ 1 1 0 +0 +1 80 0xd63 0x5f447ad3 @@ -3598,6 +4498,8 @@ 0 0 0 +3 +1 96 0xc163 0x84035263 @@ -3606,6 +4508,8 @@ 1 1 0 +1 +1 14 0x55d1 0xd2af722c @@ -3614,6 +4518,8 @@ 1 0 0 +4 +1 11 0xfb81 0xaf2698ea @@ -3622,6 +4528,8 @@ 0 0 0 +2 +4 58 0xc04e 0xc8210856 @@ -3630,6 +4538,8 @@ 1 0 0 +1 +3 98 0xef88 0xe48abfc8 @@ -3638,6 +4548,8 @@ 1 0 0 +1 +0 70 0xd94d 0xf30fdd43 @@ -3646,6 +4558,8 @@ 1 1 0 +3 +2 51 0xf7be 0xb602b27f @@ -3654,6 +4568,8 @@ 1 1 0 +2 +1 15 0xfbdf 0xe901c2d5 @@ -3662,6 +4578,8 @@ 0 0 0 +5 +1 36 0x5a85 0xeba49e8 @@ -3670,6 +4588,8 @@ 1 0 0 +1 +4 40 0x19a2 0x50e464c5 @@ -3678,6 +4598,8 @@ 0 0 0 +0 +1 75 0x6223 0xf6fe1f6b @@ -3686,6 +4608,8 @@ 1 1 0 +3 +0 14 0x1b94 0xc7975c3c @@ -3694,6 +4618,8 @@ 0 0 0 +4 +3 12 0xca6 0x89e48ad9 @@ -3702,6 +4628,8 @@ 0 0 0 +3 +2 46 0x7f38 0x100aded6 @@ -3710,6 +4638,8 @@ 1 1 0 +5 +3 55 0x6f43 0xd839037e @@ -3718,6 +4648,8 @@ 0 0 0 +5 +0 86 0x715a 0x985364d1 @@ -3726,6 +4658,8 @@ 0 0 0 +4 +0 80 0x8b87 0x2369a642 @@ -3734,6 +4668,8 @@ 1 0 0 +2 +1 92 0xa10f 0x6ec723b5 @@ -3742,6 +4678,8 @@ 0 0 0 +1 +1 78 0x663e 0x4883fd98 @@ -3750,6 +4688,8 @@ 0 0 0 +3 +4 39 0x7390 0xa6627882 @@ -3758,6 +4698,8 @@ 1 0 0 +0 +2 91 0x210f 0xd1d113a4 @@ -3766,6 +4708,8 @@ 1 0 0 +5 +3 84 0x1039 0x1ca8793c @@ -3774,6 +4718,8 @@ 0 0 0 +0 +2 70 0x1263 0x27399b20 @@ -3782,6 +4728,8 @@ 1 1 0 +1 +3 70 0x31d5 0xa2dcfd91 @@ -3790,6 +4738,8 @@ 1 1 0 +2 +2 92 0x3e60 0x386985c1 @@ -3798,6 +4748,8 @@ 0 0 0 +5 +4 67 0xe71c 0x47f68782 @@ -3806,6 +4758,8 @@ 1 1 0 +2 +5 62 0xdfe6 0xdd497c7 @@ -3814,6 +4768,8 @@ 0 0 0 +0 +5 78 0x6607 0x32f7572e @@ -3822,6 +4778,8 @@ 1 0 0 +0 +5 58 0x7db 0x4e1eaf41 @@ -3830,6 +4788,8 @@ 1 1 0 +2 +5 41 0xc537 0x84c74bb3 @@ -3838,6 +4798,8 @@ 1 0 0 +3 +1 80 0x3a00 0xae78e90c @@ -3846,6 +4808,8 @@ 1 0 0 +5 +4 58 0x904b 0xbced297b @@ -3854,6 +4818,8 @@ 0 0 0 +3 +1 45 0xaebd 0xb68ea23d @@ -3862,6 +4828,8 @@ 1 0 0 +2 +0 36 0xca40 0xc6a0a93b @@ -3870,6 +4838,8 @@ 0 0 0 +5 +1 46 0x2d8b 0x386aca67 @@ -3878,6 +4848,8 @@ 1 0 0 +3 +1 14 0x8664 0xa9ba4e9b @@ -3886,6 +4858,8 @@ 1 0 0 +0 +3 85 0x5d7e 0xe6a1a555 @@ -3894,6 +4868,8 @@ 0 0 0 +0 +4 48 0xb272 0xd147b04c @@ -3902,6 +4878,8 @@ 1 0 0 +1 +1 52 0x805c 0x412c2939 @@ -3910,6 +4888,8 @@ 1 1 0 +4 +3 88 0x5f9a 0xea93d1e @@ -3918,6 +4898,8 @@ 0 0 0 +3 +3 76 0x6db1 0xb56f0ecc @@ -3926,6 +4908,8 @@ 0 0 0 +2 +4 100 0x4a40 0xa626cc7c @@ -3934,6 +4918,8 @@ 1 0 0 +0 +4 29 0x21b7 0xea2f1b70 @@ -3942,6 +4928,8 @@ 1 1 0 +5 +5 21 0xfec1 0x6edc9ffe @@ -3950,6 +4938,8 @@ 1 1 0 +0 +5 47 0xec20 0xf2f4641f @@ -3958,6 +4948,8 @@ 0 0 0 +3 +4 33 0x6e71 0x61875c7e @@ -3966,6 +4958,8 @@ 1 0 0 +1 +5 27 0x3829 0xf7d4b784 @@ -3974,6 +4968,8 @@ 0 0 0 +0 +5 92 0x961b 0xafda1b66 @@ -3982,6 +4978,8 @@ 1 1 0 +3 +0 92 0x8965 0xf9c8cfee @@ -3990,6 +4988,8 @@ 0 0 0 +4 +1 42 0xdbaf 0xaffff276 @@ -3998,6 +4998,8 @@ 1 1 0 +5 +0 92 0x378e 0x8369924e @@ -4006,6 +5008,8 @@ 0 0 0 +3 +5 11 0x6894 0x4eefbaf @@ -4014,6 +5018,8 @@ 1 1 0 +5 +5 81 0x17e6 0x9a9aba0f @@ -4022,6 +5028,8 @@ 1 0 0 +5 +0 59 0xee3e 0x8306fac8 @@ -4030,6 +5038,8 @@ 0 0 0 +3 +5 65 0xc16c 0x549af866 @@ -4038,6 +5048,8 @@ 0 0 0 +2 +5 77 0x60cc 0xa32b09e6 @@ -4046,6 +5058,8 @@ 0 0 0 +2 +1 74 0x7ea9 0x37e726c0 @@ -4054,6 +5068,8 @@ 0 0 0 +2 +1 43 0x2167 0x1824d06c @@ -4062,6 +5078,8 @@ 0 0 0 +4 +2 63 0x970e 0xce764ef4 @@ -4070,6 +5088,8 @@ 1 1 0 +2 +0 73 0xb368 0x4046cc38 @@ -4078,6 +5098,8 @@ 1 1 0 +4 +4 77 0xa0bb 0xd272d992 @@ -4086,6 +5108,8 @@ 0 0 0 +3 +0 21 0x1578 0x217dcb36 @@ -4094,6 +5118,8 @@ 0 0 0 +4 +1 51 0x7cdf 0x38dfeb62 @@ -4102,6 +5128,8 @@ 1 0 0 +4 +2 34 0xa41e 0xacf06bad @@ -4110,6 +5138,8 @@ 1 0 0 +5 +3 58 0x3a7a 0x4ba5faf @@ -4118,6 +5148,8 @@ 1 1 0 +2 +4 46 0x56ef 0x284179 @@ -4126,6 +5158,8 @@ 1 1 0 +4 +2 49 0x6dbf 0xebb9aef5 @@ -4134,6 +5168,8 @@ 0 0 0 +2 +0 62 0xa566 0x3ea9e24e @@ -4142,6 +5178,8 @@ 0 0 0 +3 +1 49 0x7b63 0xebc884cc @@ -4150,6 +5188,8 @@ 0 0 0 +5 +2 92 0x167d 0xb06a12ff @@ -4158,6 +5198,8 @@ 0 0 0 +4 +3 79 0x696c 0x2ccebc79 @@ -4166,6 +5208,8 @@ 0 0 0 +1 +3 21 0x73aa 0x9e8b0f81 @@ -4174,6 +5218,8 @@ 1 1 0 +4 +1 25 0x5942 0x221fa667 @@ -4182,6 +5228,8 @@ 1 0 0 +2 +0 31 0x267e 0x32526417 @@ -4190,6 +5238,8 @@ 0 0 0 +5 +5 40 0xbfc5 0xf9eca588 @@ -4198,6 +5248,8 @@ 1 0 0 +0 +2 55 0x8ece 0x15c2ec63 @@ -4206,6 +5258,8 @@ 1 0 0 +0 +1 100 0xe47 0x5a04ded4 @@ -4214,6 +5268,8 @@ 0 0 0 +1 +1 42 0x447a 0x549f6a25 @@ -4222,6 +5278,8 @@ 1 1 0 +0 +1 86 0xf190 0x9d58cb2d @@ -4230,6 +5288,8 @@ 1 1 0 +0 +3 65 0x8b06 0x54b46dfb @@ -4238,6 +5298,8 @@ 1 1 0 +3 +0 82 0x3792 0xbbdee41b @@ -4246,6 +5308,8 @@ 0 0 0 +2 +3 29 0x1396 0x3eb8caa1 @@ -4254,6 +5318,8 @@ 1 1 0 +2 +1 90 0x15da 0x33705ee1 @@ -4262,6 +5328,8 @@ 0 0 0 +5 +4 45 0x9be9 0x4ec251a3 @@ -4270,6 +5338,8 @@ 0 0 0 +5 +1 37 0x3662 0x242321bc @@ -4278,6 +5348,8 @@ 0 0 0 +0 +0 59 0xfc4d 0xf5075783 @@ -4286,6 +5358,8 @@ 1 1 0 +1 +4 50 0x4c6 0xebafda5 @@ -4294,6 +5368,8 @@ 1 1 0 +4 +1 55 0x8df9 0x6f3d4933 @@ -4302,6 +5378,8 @@ 0 0 0 +1 +1 54 0x78cf 0xada0a0ca @@ -4310,6 +5388,8 @@ 1 0 0 +3 +1 33 0xcfdc 0x89f544e6 @@ -4318,6 +5398,8 @@ 0 0 0 +5 +2 27 0xdcf8 0x149af93e @@ -4326,6 +5408,8 @@ 1 1 0 +0 +5 50 0x3562 0x99dd386 @@ -4334,6 +5418,8 @@ 0 0 0 +2 +0 47 0x5e1b 0xb38ee611 @@ -4342,6 +5428,8 @@ 1 1 0 +5 +3 86 0x8bee 0x357e9942 @@ -4350,6 +5438,8 @@ 0 0 0 +0 +0 43 0x4149 0x5682059c @@ -4358,6 +5448,8 @@ 1 1 0 +5 +4 70 0x6033 0x9c9836 @@ -4366,6 +5458,8 @@ 0 0 0 +1 +4 55 0x6da7 0xa944757 @@ -4374,6 +5468,8 @@ 1 1 0 +4 +2 76 0x98a0 0x71a1de0e @@ -4382,6 +5478,8 @@ 1 0 0 +2 +5 41 0xd916 0xeb1a14c5 @@ -4390,6 +5488,8 @@ 0 0 0 +3 +4 45 0xa4cb 0xa56436eb @@ -4398,6 +5498,8 @@ 1 1 0 +1 +3 71 0x8de5 0x4c8fb4a6 @@ -4406,6 +5508,8 @@ 0 0 0 +4 +4 58 0x3460 0xac7e1941 @@ -4414,6 +5518,8 @@ 0 0 0 +5 +4 91 0x5cd8 0xce942bf9 @@ -4422,6 +5528,8 @@ 0 0 0 +2 +3 42 0xe0f9 0x7aeba1d0 @@ -4430,6 +5538,8 @@ 0 0 0 +2 +4 72 0xc225 0xef11f23c @@ -4438,6 +5548,8 @@ 0 0 0 +5 +3 11 0x849b 0x90735f24 @@ -4446,6 +5558,8 @@ 1 1 0 +1 +4 72 0x60dc 0x5e5bcf34 @@ -4454,6 +5568,8 @@ 0 0 0 +2 +2 94 0x36e6 0xc6880651 @@ -4462,6 +5578,8 @@ 0 0 0 +0 +5 26 0x3a13 0xf06970a0 @@ -4470,6 +5588,8 @@ 1 0 0 +1 +1 38 0x3dfa 0x2c8fadf5 @@ -4478,6 +5598,8 @@ 0 0 0 +0 +4 34 0x44a9 0xc4844cf9 @@ -4486,6 +5608,8 @@ 1 1 0 +3 +1 10 0x543b 0xf0b8ce34 @@ -4494,6 +5618,8 @@ 0 0 0 +5 +0 44 0x8c8c 0xb9a500b0 @@ -4502,6 +5628,8 @@ 0 0 0 +2 +4 56 0xd10b 0x51c6c966 @@ -4510,6 +5638,8 @@ 0 0 0 +5 +4 82 0x812 0xa061d07a @@ -4518,6 +5648,8 @@ 1 1 0 +5 +1 66 0x49cb 0xf5d9d35a @@ -4526,6 +5658,8 @@ 1 1 0 +2 +5 18 0x4380 0xb752132c @@ -4534,6 +5668,8 @@ 0 0 0 +1 +2 36 0x5b90 0xec250b08 @@ -4542,6 +5678,8 @@ 0 0 0 +2 +5 55 0xc6df 0x6e1ff61b @@ -4550,6 +5688,8 @@ 0 0 0 +0 +4 76 0x9593 0x2d832d90 @@ -4558,6 +5698,8 @@ 0 0 0 +0 +5 11 0xb57c 0xab5b8fce @@ -4566,6 +5708,8 @@ 0 0 0 +1 +2 62 0xd92d 0xe75c96dd @@ -4574,6 +5718,8 @@ 1 0 0 +2 +3 96 0x2f78 0xf198a98c @@ -4582,6 +5728,8 @@ 0 0 0 +5 +2 53 0xf724 0x15b7e08c @@ -4590,6 +5738,8 @@ 0 0 0 +4 +2 91 0xa34b 0x1e0d172c @@ -4598,6 +5748,8 @@ 1 0 0 +3 +2 41 0xb21 0x9eec1dc5 @@ -4606,6 +5758,8 @@ 1 1 0 +1 +4 34 0x4c9f 0x2e6790a @@ -4614,6 +5768,8 @@ 1 0 0 +0 +0 89 0x6052 0x5c2f7d95 @@ -4622,6 +5778,8 @@ 0 0 0 +3 +1 73 0x5178 0x1a7fa900 @@ -4630,6 +5788,8 @@ 1 0 0 +5 +3 65 0x97ae 0x893168fe @@ -4638,6 +5798,8 @@ 1 0 0 +2 +5 87 0xfec5 0x973a264a @@ -4646,6 +5808,8 @@ 1 1 0 +4 +0 91 0x18f0 0x16c030f5 @@ -4654,6 +5818,8 @@ 0 0 0 +2 +0 13 0xb204 0x90701e6e @@ -4662,6 +5828,8 @@ 1 0 0 +1 +1 36 0xf07f 0x8e050994 @@ -4670,6 +5838,8 @@ 0 0 0 +0 +5 71 0x48b3 0xad3970d0 @@ -4678,6 +5848,8 @@ 1 1 0 +5 +4 52 0xd265 0xbac57bc9 @@ -4686,6 +5858,8 @@ 1 0 0 +4 +3 46 0x4481 0x521a4d1a @@ -4694,6 +5868,8 @@ 1 1 0 +0 +1 68 0xec2e 0x46107da5 @@ -4702,6 +5878,8 @@ 1 1 0 +4 +3 11 0x1949 0xa7212a97 @@ -4710,6 +5888,8 @@ 0 0 0 +5 +4 28 0x3830 0x3c72f413 @@ -4718,6 +5898,8 @@ 1 0 0 +3 +3 42 0x5ac5 0x550b71d9 @@ -4726,6 +5908,8 @@ 0 0 0 +3 +5 63 0xfec 0x403977da @@ -4734,6 +5918,8 @@ 0 0 0 +4 +4 98 0x28b2 0xa416ca12 @@ -4742,6 +5928,8 @@ 1 0 0 +4 +3 68 0xaa98 0xeed13e97 @@ -4750,6 +5938,8 @@ 1 0 0 +5 +4 62 0x3f79 0x6de230e5 @@ -4758,6 +5948,8 @@ 1 0 0 +1 +4 50 0x5856 0x3f40c9cc @@ -4766,6 +5958,8 @@ 1 1 0 +2 +4 83 0x30ec 0xdaa9dc72 @@ -4774,6 +5968,8 @@ 0 0 0 +5 +2 53 0x2766 0x6a4c0cfc @@ -4782,6 +5978,8 @@ 1 0 0 +5 +4 47 0x4bf5 0x6b009e85 @@ -4790,6 +5988,8 @@ 1 0 0 +3 +2 84 0xcd5e 0x8f548f3f @@ -4798,6 +5998,8 @@ 0 0 0 +4 +3 58 0xe656 0xfb3aff59 @@ -4806,6 +6008,8 @@ 0 0 0 +5 +3 75 0x9035 0xec920bf6 @@ -4814,6 +6018,8 @@ 1 0 0 +4 +1 34 0xfdff 0xdda4bf08 @@ -4822,6 +6028,8 @@ 0 0 0 +3 +3 21 0xa2d3 0x3fad27ac @@ -4830,6 +6038,8 @@ 0 0 0 +2 +2 48 0x770f 0xc9d030f0 @@ -4838,6 +6048,8 @@ 1 0 0 +2 +5 71 0x2777 0x4c642c11 @@ -4846,6 +6058,8 @@ 0 0 0 +4 +3 59 0xd60c 0x6cb09c9e @@ -4854,6 +6068,8 @@ 0 0 0 +1 +4 32 0x24c 0x9b50c83d @@ -4862,6 +6078,8 @@ 1 1 0 +0 +4 46 0xc67b 0x6ab89934 @@ -4870,6 +6088,8 @@ 1 0 0 +1 +1 43 0x2aa 0x6a330bd1 @@ -4878,6 +6098,8 @@ 1 0 0 +1 +2 87 0x9125 0xf3e53f1e @@ -4886,6 +6108,8 @@ 0 0 0 +4 +3 17 0x1d62 0xd24c3433 @@ -4894,6 +6118,8 @@ 0 0 0 +0 +1 88 0xfca6 0xbab2d106 @@ -4902,6 +6128,8 @@ 1 0 0 +4 +3 43 0xa13e 0x51056c72 @@ -4910,6 +6138,8 @@ 0 0 0 +5 +3 34 0xe8cc 0xbc6a59a5 @@ -4918,6 +6148,8 @@ 0 0 0 +5 +4 46 0x14d1 0x54cefd3b @@ -4926,6 +6158,8 @@ 0 0 0 +3 +3 12 0xbbd3 0x4952e6c8 @@ -4934,6 +6168,8 @@ 0 0 0 +1 +4 67 0x6df1 0xd8d12f78 @@ -4942,6 +6178,8 @@ 0 0 0 +0 +3 24 0xefa3 0x87245812 @@ -4950,6 +6188,8 @@ 1 0 0 +5 +1 28 0xd0ec 0xf8d5a387 @@ -4958,6 +6198,8 @@ 1 1 0 +4 +5 43 0xaec2 0xa9d021c2 @@ -4966,6 +6208,8 @@ 0 0 0 +2 +4 49 0x2111 0x1c7fe05d @@ -4974,6 +6218,8 @@ 0 0 0 +5 +0 86 0xa136 0xc30639c8 @@ -4982,6 +6228,8 @@ 0 0 0 +5 +2 46 0xa7c7 0x52a2fd90 @@ -4990,6 +6238,8 @@ 0 0 0 +3 +2 42 0xa207 0xc03fc971 @@ -4998,6 +6248,8 @@ 1 0 0 +5 +2 55 0x7e09 0x1310625c @@ -5006,6 +6258,8 @@ 0 0 0 +4 +3 33 0x519e 0x7429c0d9 @@ -5014,6 +6268,8 @@ 0 0 0 +5 +2 89 0x9a88 0xd628f005 @@ -5022,6 +6278,8 @@ 0 0 0 +0 +3 57 0xb2b5 0xe0ef7e7f @@ -5030,6 +6288,8 @@ 0 0 0 +3 +5 80 0xafce 0xafcce41a @@ -5038,6 +6298,8 @@ 0 0 0 +4 +2 58 0xd6e9 0x5b2ff26f @@ -5046,6 +6308,8 @@ 0 0 0 +4 +1 57 0xf600 0x279b8088 @@ -5054,6 +6318,8 @@ 1 0 0 +5 +4 81 0xae5d 0x1c149ffe @@ -5062,6 +6328,8 @@ 0 0 0 +0 +4 76 0x5dc3 0x45898569 @@ -5070,6 +6338,8 @@ 1 0 0 +3 +4 16 0xa69f 0xc1f8fc3f @@ -5078,6 +6348,8 @@ 0 0 0 +1 +4 99 0x226e 0xe10edf8e @@ -5086,6 +6358,8 @@ 1 0 0 +5 +2 46 0xf5f3 0xf01764a3 @@ -5094,6 +6368,8 @@ 0 0 0 +0 +5 63 0x19f1 0xc5b49a13 @@ -5102,6 +6378,8 @@ 1 0 0 +4 +0 81 0xb6d1 0x6d06cbc5 @@ -5110,6 +6388,8 @@ 1 0 0 +3 +2 14 0xd729 0xcf3d1bf5 @@ -5118,6 +6398,8 @@ 0 0 0 +4 +4 90 0x6680 0x37f9c8b7 @@ -5126,6 +6408,8 @@ 1 0 0 +5 +0 61 0xc95 0xb43f584 @@ -5134,6 +6418,8 @@ 0 0 0 +5 +0 89 0x1ddc 0xca3f4451 @@ -5142,6 +6428,8 @@ 0 0 0 +2 +5 67 0x6464 0x5b94e350 @@ -5150,6 +6438,8 @@ 0 0 0 +5 +2 30 0xfa7 0xca5b76fd @@ -5158,6 +6448,8 @@ 0 0 0 +5 +2 86 0x14a9 0x70941a6 @@ -5166,6 +6458,8 @@ 0 0 0 +5 +4 96 0x4b16 0x3f055262 @@ -5174,6 +6468,8 @@ 1 0 0 +2 +0 80 0xb42 0x38c98a84 @@ -5182,6 +6478,8 @@ 1 1 0 +2 +2 23 0x64c0 0x29e763a3 @@ -5190,6 +6488,8 @@ 1 0 0 +4 +2 19 0xf782 0x24cb973d @@ -5198,6 +6498,8 @@ 0 0 0 +1 +0 60 0x47f7 0x3d1eef4e @@ -5206,6 +6508,8 @@ 0 0 0 +5 +4 33 0x71e0 0x48501b2d @@ -5214,6 +6518,8 @@ 0 0 0 +1 +4 20 0x7c1f 0x518b7c07 @@ -5222,6 +6528,8 @@ 1 1 0 +3 +1 28 0xe03d 0x6d565ca1 @@ -5230,6 +6538,8 @@ 0 0 0 +4 +0 22 0x8c4e 0x460509ec @@ -5238,6 +6548,8 @@ 1 1 0 +0 +2 23 0xd095 0x50442b63 @@ -5246,6 +6558,8 @@ 1 0 0 +0 +5 54 0x2218 0x126dcd5b @@ -5254,6 +6568,8 @@ 0 0 0 +2 +5 67 0x89a6 0x2c024e53 @@ -5262,6 +6578,8 @@ 1 0 0 +2 +1 65 0x781e 0x9b17efc4 @@ -5270,6 +6588,8 @@ 1 1 0 +2 +1 72 0xec17 0x894eeeb8 @@ -5278,6 +6598,8 @@ 1 1 0 +2 +1 24 0xd863 0xa6466c45 @@ -5286,6 +6608,8 @@ 0 0 0 +5 +5 71 0xda8c 0xa83b9a09 @@ -5294,6 +6618,8 @@ 1 0 0 +5 +1 96 0xa670 0x92c8b094 @@ -5302,6 +6628,8 @@ 1 0 0 +3 +2 78 0x2c29 0x4657654e @@ -5310,6 +6638,8 @@ 0 0 0 +1 +0 37 0x1933 0xb2fc9406 @@ -5318,6 +6648,8 @@ 0 0 0 +1 +2 74 0x11eb 0xd77e443d @@ -5326,6 +6658,8 @@ 0 0 0 +0 +3 95 0xb8d3 0xca9ec94d @@ -5334,6 +6668,8 @@ 1 1 0 +1 +4 50 0x4007 0x37590e2c @@ -5342,6 +6678,8 @@ 1 0 0 +1 +1 41 0x41e2 0x9474236d @@ -5350,6 +6688,8 @@ 1 0 0 +0 +1 60 0xbaf9 0xe031d9f8 @@ -5358,6 +6698,8 @@ 0 0 0 +5 +4 61 0xa297 0x4db07732 @@ -5366,6 +6708,8 @@ 1 0 0 +0 +0 43 0x614c 0xad1f877d @@ -5374,6 +6718,8 @@ 0 0 0 +3 +1 41 0xd873 0x4b293d01 @@ -5382,6 +6728,8 @@ 0 0 0 +0 +3 66 0xda72 0x7b78fcbe @@ -5390,6 +6738,8 @@ 1 0 0 +5 +1 94 0xa6fe 0x9e1e0ac @@ -5398,6 +6748,8 @@ 1 1 0 +2 +1 51 0x52d2 0xe12179b8 @@ -5406,6 +6758,8 @@ 1 1 0 +2 +4 94 0x9ba5 0x37ce0c82 @@ -5414,6 +6768,8 @@ 0 0 0 +4 +3 96 0x8484 0x9994ea86 @@ -5422,6 +6778,8 @@ 0 0 0 +1 +4 19 0x3dda 0x1eafc8bc @@ -5430,6 +6788,8 @@ 0 0 0 +4 +2 56 0xfd27 0xa4811168 @@ -5438,6 +6798,8 @@ 1 1 0 +2 +5 94 0x6fc2 0x42b3ed2c @@ -5446,6 +6808,8 @@ 1 0 0 +1 +1 58 0x362d 0x2d9d05f4 @@ -5454,6 +6818,8 @@ 0 0 0 +0 +2 12 0x7773 0x5ffbd6f3 @@ -5462,6 +6828,8 @@ 1 1 0 +1 +3 25 0x1be6 0x63d2a4db @@ -5470,6 +6838,8 @@ 1 1 0 +1 +5 56 0xe1c5 0x9d152c50 @@ -5478,6 +6848,8 @@ 0 0 0 +0 +4 20 0x3edf 0xd2c76c21 @@ -5486,6 +6858,8 @@ 1 1 0 +4 +0 27 0x31d7 0x5b4e6561 @@ -5494,6 +6868,8 @@ 1 0 0 +2 +1 33 0xf018 0x6c14a598 @@ -5502,6 +6878,8 @@ 0 0 0 +4 +1 74 0xb134 0x4a746fc @@ -5510,6 +6888,8 @@ 1 1 0 +4 +3 43 0x5b54 0xaef16d01 @@ -5518,6 +6898,8 @@ 0 0 0 +3 +5 25 0x633b 0x7b169ab0 @@ -5526,6 +6908,8 @@ 1 1 0 +4 +2 11 0x4932 0x76a18a3a @@ -5534,6 +6918,8 @@ 0 0 0 +5 +3 51 0x7cac 0xae2a2227 @@ -5542,6 +6928,8 @@ 0 0 0 +2 +4 85 0x165c 0x6a5c8187 @@ -5550,6 +6938,8 @@ 1 1 0 +2 +3 97 0xdc80 0xb77a340a @@ -5558,6 +6948,8 @@ 1 1 0 +1 +1 81 0x9817 0xbb710c2a @@ -5566,6 +6958,8 @@ 0 0 0 +1 +2 53 0x4356 0x7942ffc9 @@ -5574,6 +6968,8 @@ 0 0 0 +4 +2 56 0x9a44 0x38c0588 @@ -5582,6 +6978,8 @@ 0 0 0 +4 +5 25 0xa5db 0xc3c952d7 @@ -5590,6 +6988,8 @@ 1 0 0 +5 +0 95 0x4eb6 0xb36de679 @@ -5598,6 +6998,8 @@ 0 0 0 +4 +2 25 0x6ed1 0xee0c89e2 @@ -5606,6 +7008,8 @@ 1 1 0 +0 +5 44 0x1daa 0x992ec240 @@ -5614,6 +7018,8 @@ 1 1 0 +1 +4 26 0x5b4f 0x11b59603 @@ -5622,6 +7028,8 @@ 0 0 0 +4 +3 97 0xd5bc 0xb516969d @@ -5630,6 +7038,8 @@ 0 0 0 +4 +2 88 0x4458 0x3251b826 @@ -5638,6 +7048,8 @@ 1 0 0 +5 +2 58 0x9756 0xe95c2f2c @@ -5646,6 +7058,8 @@ 1 0 0 +4 +5 77 0xe9a5 0xde79ed9c @@ -5654,6 +7068,8 @@ 0 0 0 +4 +0 40 0x83cd 0x5315dfd6 @@ -5662,6 +7078,8 @@ 0 0 0 +3 +2 96 0x7f77 0xebaaaf01 @@ -5670,6 +7088,8 @@ 1 1 0 +1 +3 99 0xc82b 0xa203d4bb @@ -5678,6 +7098,8 @@ 1 1 0 +5 +2 34 0x4d34 0xb1fafb38 @@ -5686,6 +7108,8 @@ 0 0 0 +4 +5 92 0x5d65 0xd121811a @@ -5694,6 +7118,8 @@ 0 0 0 +2 +2 10 0x96d1 0x889bcd30 @@ -5702,6 +7128,8 @@ 0 0 0 +4 +1 82 0x90c5 0xa8079f11 @@ -5710,6 +7138,8 @@ 0 0 0 +1 +3 30 0xef4a 0x78c64d4b @@ -5718,6 +7148,8 @@ 1 1 0 +2 +5 60 0x91d5 0x157f587f @@ -5726,6 +7158,8 @@ 1 1 0 +2 +3 86 0x2256 0x56209c12 @@ -5734,6 +7168,8 @@ 1 0 0 +0 +4 59 0x6ecd 0xb0391f00 @@ -5742,6 +7178,8 @@ 0 0 0 +0 +3 97 0xee8a 0xebf87984 @@ -5750,6 +7188,8 @@ 0 0 0 +0 +4 69 0xe0e2 0x6939528c @@ -5758,6 +7198,8 @@ 0 0 0 +3 +0 15 0x333e 0x2c173862 @@ -5766,6 +7208,8 @@ 1 0 0 +4 +4 79 0x54e5 0xe423d398 @@ -5774,6 +7218,8 @@ 0 0 0 +3 +2 38 0x5d14 0x47c61ceb @@ -5782,6 +7228,8 @@ 0 0 0 +3 +0 75 0x8714 0xf42834ed @@ -5790,6 +7238,8 @@ 1 1 0 +4 +4 49 0x3d42 0x71638d86 @@ -5798,6 +7248,8 @@ 1 1 0 +1 +3 38 0x4bfd 0xfb7ed7b2 @@ -5806,6 +7258,8 @@ 0 0 0 +4 +2 34 0x64ad 0x6a1f9b47 @@ -5814,6 +7268,8 @@ 1 1 0 +4 +4 53 0xde96 0xe032b3de @@ -5822,6 +7278,8 @@ 0 0 0 +4 +0 29 0x70a9 0xa48c096e @@ -5830,6 +7288,8 @@ 1 0 0 +1 +5 53 0x37c8 0xf2ad4f68 @@ -5838,6 +7298,8 @@ 0 0 0 +0 +1 21 0xbaf3 0x506f5b5 @@ -5846,6 +7308,8 @@ 1 0 0 +4 +5 28 0x8643 0xdbe3611e @@ -5854,6 +7318,8 @@ 1 0 0 +5 +4 58 0x5261 0x444a97e2 @@ -5862,6 +7328,8 @@ 1 0 0 +1 +3 74 0x646f 0x1d0658aa @@ -5870,6 +7338,8 @@ 0 0 0 +2 +2 20 0xe8cd 0x80d7a1e3 @@ -5878,6 +7348,8 @@ 0 0 0 +4 +3 52 0xc398 0x886a0ebf @@ -5886,6 +7358,8 @@ 0 0 0 +3 +4 28 0xf840 0xacfd9b6d @@ -5894,6 +7368,8 @@ 1 0 0 +3 +1 47 0xfc44 0xb5c5ed74 @@ -5902,6 +7378,8 @@ 1 0 0 +0 +4 46 0x6552 0xef0b6c6 @@ -5910,6 +7388,8 @@ 0 0 0 +1 +3 90 0xf661 0x6649d03c @@ -5918,6 +7398,8 @@ 0 0 0 +2 +0 96 0xf6ed 0xfec94c31 @@ -5926,6 +7408,8 @@ 0 0 0 +5 +2 70 0x30d9 0xd124169f @@ -5934,6 +7418,8 @@ 0 0 0 +4 +5 28 0xc251 0x937b939f @@ -5942,6 +7428,8 @@ 0 0 0 +5 +3 98 0xcec3 0x9a1538e0 @@ -5950,6 +7438,8 @@ 1 0 0 +2 +4 28 0x1651 0xee89058c @@ -5958,6 +7448,8 @@ 0 0 0 +3 +5 39 0x1dd8 0xae32a7c8 @@ -5966,6 +7458,8 @@ 1 1 0 +3 +1 34 0x5dff 0xf97853b4 @@ -5974,6 +7468,8 @@ 0 0 0 +2 +1 78 0x2735 0xc2e6cbfc @@ -5982,6 +7478,8 @@ 1 0 0 +2 +1 10 0xa 0x2c0b967c @@ -5990,6 +7488,8 @@ 0 0 0 +1 +4 46 0x6cd5 0xf79889e4 @@ -5998,6 +7498,8 @@ 1 1 0 +5 +1 89 0x3ec 0x5516b606 @@ -6006,6 +7508,8 @@ 0 0 0 +1 +5 33 0x2efd 0x92e42acb @@ -6014,6 +7518,8 @@ 0 0 0 +1 +3 47 0xeb2b 0x24bbe47b @@ -6022,6 +7528,8 @@ 1 0 0 +0 +0 25 0x464 0x5d40227b @@ -6030,6 +7538,8 @@ 1 0 0 +3 +5 10 0xf506 0xbfca0cd9 @@ -6038,6 +7548,8 @@ 0 0 0 +5 +4 96 0x2ca6 0x5c0d9025 @@ -6046,6 +7558,8 @@ 1 0 0 +1 +5 93 0x7ce0 0x54f71af0 @@ -6054,6 +7568,8 @@ 1 0 0 +3 +0 56 0x6c05 0x82979df6 @@ -6062,6 +7578,8 @@ 1 1 0 +3 +4 94 0x8423 0x9f89f6b9 @@ -6070,6 +7588,8 @@ 0 0 0 +4 +0 94 0x82f7 0xe8f35a57 @@ -6078,6 +7598,8 @@ 1 0 0 +2 +5 24 0x1bda 0x1e725ea8 @@ -6086,6 +7608,8 @@ 0 0 0 +5 +4 97 0x839a 0xe9927b02 @@ -6094,6 +7618,8 @@ 0 0 0 +5 +0 92 0x124 0x95f632ef @@ -6102,6 +7628,8 @@ 0 0 0 +1 +5 40 0x9960 0xbc78705 @@ -6110,6 +7638,8 @@ 0 0 0 +0 +3 87 0xd9f4 0xa94e4f26 @@ -6118,6 +7648,8 @@ 0 0 0 +2 +2 56 0xb08a 0x71a745e2 @@ -6126,6 +7658,8 @@ 0 0 0 +3 +0 38 0xda77 0xcde54880 @@ -6134,6 +7668,8 @@ 1 1 0 +2 +2 78 0x180e 0x498966a3 @@ -6142,6 +7678,8 @@ 1 0 0 +1 +1 12 0x6ecb 0x8ac262fe @@ -6150,6 +7688,8 @@ 0 0 0 +1 +4 46 0xe501 0x88150015 @@ -6158,6 +7698,8 @@ 0 0 0 +3 +0 46 0xe830 0xddba4972 @@ -6166,6 +7708,8 @@ 1 0 0 +3 +4 88 0x4ebf 0x3df1cde7 @@ -6174,6 +7718,8 @@ 0 0 0 +4 +5 85 0x6b24 0xb4ad3b68 @@ -6182,6 +7728,8 @@ 0 0 0 +5 +1 76 0xeb3a 0x711dc6f7 @@ -6190,6 +7738,8 @@ 1 1 0 +0 +5 25 0xfb4a 0xbf766bff @@ -6198,6 +7748,8 @@ 0 0 0 +1 +3 33 0xbfa2 0x60d331cc @@ -6206,6 +7758,8 @@ 0 0 0 +2 +4 45 0x8e16 0xf848c9a6 @@ -6214,6 +7768,8 @@ 0 0 0 +3 +0 91 0x77da 0x18f8e537 @@ -6222,6 +7778,8 @@ 1 0 0 +0 +4 89 0x9c78 0xe1b2440f @@ -6230,6 +7788,8 @@ 1 1 0 +0 +2 52 0x74e4 0xd2bd9188 @@ -6238,6 +7798,8 @@ 1 0 0 +5 +0 48 0xdad8 0x5f1b3012 @@ -6246,6 +7808,8 @@ 0 0 0 +3 +2 79 0x92f0 0x6c68bce1 @@ -6254,6 +7818,8 @@ 0 0 0 +1 +5 29 0xd7f9 0xb2ad4b6b @@ -6262,6 +7828,8 @@ 1 1 0 +1 +2 81 0xa961 0xf451a368 @@ -6270,6 +7838,8 @@ 1 1 0 +5 +4 95 0x8987 0x73fb1083 @@ -6278,6 +7848,8 @@ 1 0 0 +2 +4 87 0xa01e 0xe8f6ef @@ -6286,6 +7858,8 @@ 1 1 0 +4 +5 90 0x6132 0x6b4fdde0 @@ -6294,6 +7868,8 @@ 0 0 0 +5 +0 83 0x7685 0x8c80bf7e @@ -6302,6 +7878,8 @@ 0 0 0 +4 +3 94 0x848a 0xa42db1ae @@ -6310,6 +7888,8 @@ 0 0 0 +0 +4 12 0x6164 0x926b031d @@ -6318,6 +7898,8 @@ 0 0 0 +5 +0 16 0xafb7 0x5093099e @@ -6326,6 +7908,8 @@ 0 0 0 +1 +0 64 0x1915 0x3943ef4 @@ -6334,6 +7918,8 @@ 0 0 0 +0 +3 32 0x6013 0x6c6c5372 @@ -6342,6 +7928,8 @@ 1 1 0 +1 +2 56 0xc497 0x8e773671 @@ -6350,6 +7938,8 @@ 0 0 0 +5 +0 23 0x2fa3 0xf4e638f3 @@ -6358,6 +7948,8 @@ 1 0 0 +2 +3 95 0xce44 0x555dd54f @@ -6366,6 +7958,8 @@ 0 0 0 +3 +1 92 0x4fc0 0x22e64a9 @@ -6374,6 +7968,8 @@ 1 1 0 +0 +5 75 0x5311 0x528ea663 @@ -6382,6 +7978,8 @@ 0 0 0 +2 +4 96 0x7fca 0x103915f5 @@ -6390,6 +7988,8 @@ 1 1 0 +4 +5 92 0x7c07 0x3c198866 @@ -6398,6 +7998,8 @@ 0 0 0 +4 +3 50 0x3f86 0x5601b6c6 @@ -6406,6 +8008,8 @@ 1 1 0 +3 +0 32 0x4f38 0x1aadd00a @@ -6414,6 +8018,8 @@ 0 0 0 +3 +4 100 0x24ce 0x44452c40 @@ -6422,6 +8028,8 @@ 1 0 0 +1 +3 41 0xf204 0x1851ac90 @@ -6430,6 +8038,8 @@ 0 0 0 +2 +3 40 0xb8df 0x44a317b @@ -6438,6 +8048,8 @@ 1 1 0 +4 +3 40 0xa874 0xb89d040e @@ -6446,6 +8058,8 @@ 0 0 0 +5 +0 31 0xb835 0x8c415405 @@ -6454,6 +8068,8 @@ 1 1 0 +2 +5 38 0xfd7 0x2e37049f @@ -6462,6 +8078,8 @@ 0 0 0 +4 +5 72 0x5ed1 0x5b62ece1 @@ -6470,6 +8088,8 @@ 0 0 0 +4 +4 42 0x5703 0x29cc87cd @@ -6478,6 +8098,8 @@ 0 0 0 +5 +2 23 0x796a 0x4f1b8140 @@ -6486,6 +8108,8 @@ 0 0 0 +4 +4 86 0x5622 0xd99ad28f @@ -6494,6 +8118,8 @@ 0 0 0 +5 +4 98 0x4a2 0x1013b1ae @@ -6502,6 +8128,8 @@ 0 0 0 +5 +2 37 0xf00c 0xb181200f @@ -6510,6 +8138,8 @@ 1 1 0 +5 +5 100 0xd8e2 0xd58303b3 @@ -6518,6 +8148,8 @@ 0 0 0 +0 +0 34 0x1597 0x9ebbf327 @@ -6526,6 +8158,8 @@ 1 0 0 +4 +1 11 0x60d 0xf6672532 @@ -6534,6 +8168,8 @@ 0 0 0 +5 +5 43 0xfaee 0x2b036917 @@ -6542,6 +8178,8 @@ 0 0 0 +2 +1 49 0x3c28 0x3942dffa @@ -6550,6 +8188,8 @@ 0 0 0 +5 +0 46 0x4447 0x65a61fe7 @@ -6558,6 +8198,8 @@ 1 0 0 +4 +1 59 0x8b94 0xe34ce5bd @@ -6566,6 +8208,8 @@ 0 0 0 +4 +2 31 0xa33a 0x22477dc8 @@ -6574,6 +8218,8 @@ 1 1 0 +0 +3 25 0x8385 0xf8e00390 @@ -6582,6 +8228,8 @@ 1 0 0 +3 +4 36 0xf61c 0x39f83256 @@ -6590,6 +8238,8 @@ 1 0 0 +2 +2 22 0xba59 0x6bd6dc6b @@ -6598,6 +8248,8 @@ 1 1 0 +2 +0 26 0xf6c7 0x6a9d8946 @@ -6606,6 +8258,8 @@ 1 0 0 +5 +5 73 0x5141 0xc967bccf @@ -6614,6 +8268,8 @@ 1 0 0 +5 +5 18 0x5bb4 0x64009e87 @@ -6622,6 +8278,8 @@ 0 0 0 +4 +5 11 0x1510 0xfc706249 @@ -6630,6 +8288,8 @@ 1 0 0 +2 +2 80 0x9ff1 0xaf008681 @@ -6638,6 +8298,8 @@ 0 0 0 +5 +1 14 0x7449 0xf06857a5 @@ -6646,6 +8308,8 @@ 0 0 0 +0 +5 56 0x1da5 0x117ac777 @@ -6654,6 +8318,8 @@ 1 1 0 +5 +1 28 0xfa47 0xed6e1ea6 @@ -6662,6 +8328,8 @@ 0 0 0 +3 +5 61 0x5173 0x3fde739f @@ -6670,6 +8338,8 @@ 1 0 0 +4 +0 75 0x7a6f 0x5ac4b227 @@ -6678,6 +8348,8 @@ 1 0 0 +0 +1 99 0x6beb 0xa0f90fe9 @@ -6686,6 +8358,8 @@ 1 0 0 +3 +4 30 0xe170 0x812a2c80 @@ -6694,6 +8368,8 @@ 1 1 0 +5 +3 50 0xd1ca 0x6dfc1771 @@ -6702,6 +8378,8 @@ 0 0 0 +2 +0 72 0x93d0 0xb28bbc65 @@ -6710,6 +8388,8 @@ 0 0 0 +5 +4 80 0x9d55 0xf78b75e0 @@ -6718,6 +8398,8 @@ 0 0 0 +3 +5 79 0x27f8 0x37a7b63c @@ -6726,6 +8408,8 @@ 0 0 0 +3 +3 71 0xa524 0xeac2d7a8 @@ -6734,6 +8418,8 @@ 1 1 0 +1 +2 57 0xe4d7 0x7af85dc7 @@ -6742,6 +8428,8 @@ 1 1 0 +5 +5 63 0x17d9 0x72dd8cd6 @@ -6750,6 +8438,8 @@ 0 0 0 +3 +4 97 0xa4a7 0x2abfcec @@ -6758,6 +8448,8 @@ 0 0 0 +1 +1 49 0xd89c 0x77f82b02 @@ -6766,6 +8458,8 @@ 1 1 0 +2 +5 97 0x78ea 0x15b359a8 @@ -6774,6 +8468,8 @@ 1 1 0 +2 +3 19 0x39d7 0xa02c4744 @@ -6782,6 +8478,8 @@ 0 0 0 +5 +1 74 0x77bc 0x27251067 @@ -6790,6 +8488,8 @@ 1 1 0 +0 +2 40 0x2c4 0x4297fe98 @@ -6798,6 +8498,8 @@ 1 1 0 +1 +1 24 0x7009 0x6cb715e1 @@ -6806,6 +8508,8 @@ 1 0 0 +5 +2 87 0x2170 0x5a6081b4 @@ -6814,6 +8518,8 @@ 1 0 0 +1 +5 97 0xa25c 0xdf08ec09 @@ -6822,6 +8528,8 @@ 1 0 0 +0 +5 42 0xe52 0xd0eadff3 @@ -6830,6 +8538,8 @@ 1 1 0 +4 +2 37 0xd497 0x4b2e56a9 @@ -6838,6 +8548,8 @@ 0 0 0 +2 +0 47 0x483c 0xf8d1b134 @@ -6846,6 +8558,8 @@ 0 0 0 +1 +1 75 0x98a4 0x6271d67e @@ -6854,6 +8568,8 @@ 1 1 0 +2 +1 60 0xefc2 0x30972200 @@ -6862,6 +8578,8 @@ 0 0 0 +4 +3 57 0x665f 0xb6952a50 @@ -6870,6 +8588,8 @@ 0 0 0 +3 +1 18 0xe2c5 0x6394f6c7 @@ -6878,6 +8598,8 @@ 0 0 0 +2 +0 15 0x5576 0xd79ff068 @@ -6886,6 +8608,8 @@ 0 0 0 +5 +4 80 0xc0af 0xb09d1c8d @@ -6894,6 +8618,8 @@ 1 1 0 +5 +0 46 0x7ba8 0xfa046854 @@ -6902,6 +8628,8 @@ 0 0 0 +0 +5 50 0x8ff8 0xb740199d @@ -6910,6 +8638,8 @@ 1 1 0 +4 +3 100 0x5389 0xf10946f7 @@ -6918,6 +8648,8 @@ 0 0 0 +1 +2 61 0x33e9 0xe3291704 @@ -6926,6 +8658,8 @@ 1 0 0 +1 +1 32 0xbed 0xad6814af @@ -6934,6 +8668,8 @@ 0 0 0 +5 +4 87 0xb82b 0x5a84be54 @@ -6942,6 +8678,8 @@ 0 0 0 +1 +4 79 0x9c70 0xcde92691 @@ -6950,6 +8688,8 @@ 1 0 0 +0 +1 29 0x2fd7 0x6cf5e541 @@ -6958,6 +8698,8 @@ 0 0 0 +4 +0 39 0xa4e2 0x74bf37de @@ -6966,6 +8708,8 @@ 0 0 0 +2 +0 58 0x7fc3 0xd544e67c @@ -6974,6 +8718,8 @@ 1 1 0 +0 +4 13 0xea91 0xbcb81fca @@ -6982,6 +8728,8 @@ 0 0 0 +0 +3 41 0x9d87 0x480eae13 @@ -6990,6 +8738,8 @@ 1 1 0 +2 +1 36 0x9c5a 0x75f5855b @@ -6998,6 +8748,8 @@ 1 1 0 +4 +3 98 0xaede 0x3473f660 @@ -7006,6 +8758,8 @@ 1 0 0 +3 +4 94 0x4072 0xda149a67 @@ -7014,6 +8768,8 @@ 1 0 0 +5 +1 34 0x6ada 0x6c7f9f0c @@ -7022,6 +8778,8 @@ 1 1 0 +1 +4 97 0xf4aa 0xbda1e5e6 @@ -7030,6 +8788,8 @@ 0 0 0 +1 +5 52 0x14c8 0xd0fbd3e7 @@ -7038,6 +8798,8 @@ 0 0 0 +0 +5 35 0xe588 0xee1bbdd3 @@ -7046,6 +8808,8 @@ 1 0 0 +0 +2 100 0xb16e 0x9630d346 @@ -7054,6 +8818,8 @@ 0 0 0 +3 +5 71 0x8496 0xdcf64dba @@ -7062,6 +8828,8 @@ 1 1 0 +4 +5 79 0xe995 0x55c6cb7c @@ -7070,6 +8838,8 @@ 1 0 0 +2 +1 74 0x74c1 0x457665b3 @@ -7078,6 +8848,8 @@ 0 0 0 +2 +0 98 0x9709 0x60064478 @@ -7086,6 +8858,8 @@ 1 1 0 +4 +2 95 0x810a 0x45e440c7 @@ -7094,6 +8868,8 @@ 0 0 0 +0 +5 41 0x5403 0x9e4aeac3 @@ -7102,6 +8878,8 @@ 0 0 0 +1 +3 18 0x70cf 0xf806173e @@ -7110,6 +8888,8 @@ 1 0 0 +2 +0 82 0x3da3 0xa69d2ca7 @@ -7118,6 +8898,8 @@ 0 0 0 +4 +0 54 0xbbcd 0xa0a887a4 @@ -7126,6 +8908,8 @@ 0 0 0 +4 +0 48 0xa5b9 0xf88dedac @@ -7134,6 +8918,8 @@ 1 1 0 +2 +1 50 0xa869 0x975a681c @@ -7142,6 +8928,8 @@ 0 0 0 +0 +3 78 0x89c2 0x1971f0c0 @@ -7150,6 +8938,8 @@ 1 1 0 +3 +3 44 0x8021 0xa2a87735 @@ -7158,6 +8948,8 @@ 1 0 0 +2 +1 64 0x6b09 0xb413027 @@ -7166,6 +8958,8 @@ 1 1 0 +2 +2 18 0xfc84 0x65f26d2c @@ -7174,6 +8968,8 @@ 0 0 0 +2 +4 87 0x6cc4 0xe190707f @@ -7182,6 +8978,8 @@ 1 0 0 +1 +2 18 0x15de 0x42bd417b @@ -7190,6 +8988,8 @@ 1 1 0 +0 +5 83 0x81ac 0x7d4e3516 @@ -7198,6 +8998,8 @@ 1 1 0 +3 +1 81 0x30b1 0xc56d60fb @@ -7206,6 +9008,8 @@ 1 1 0 +5 +3 87 0xf917 0xb23072d5 @@ -7214,6 +9018,8 @@ 1 0 0 +0 +2 16 0xfdd8 0x49b33bca @@ -7222,6 +9028,8 @@ 1 1 0 +2 +5 96 0xa738 0x7d06dabb @@ -7230,6 +9038,8 @@ 0 0 0 +1 +1 97 0xefe1 0x2ddcc265 @@ -7238,6 +9048,8 @@ 1 0 0 +0 +0 89 0xaf0d 0xca4bde99 @@ -7246,6 +9058,8 @@ 0 0 0 +3 +4 88 0x32c9 0x492fe59a @@ -7254,6 +9068,8 @@ 1 0 0 +4 +3 38 0x1bf0 0x197858a1 @@ -7262,6 +9078,8 @@ 1 0 0 +2 +5 95 0xfd8e 0x54d5d1b3 @@ -7270,6 +9088,8 @@ 1 0 0 +5 +1 85 0x2b99 0xebec9bb7 @@ -7278,6 +9098,8 @@ 1 0 0 +5 +1 48 0x5eeb 0xaaf82773 @@ -7286,6 +9108,8 @@ 0 0 0 +4 +4 41 0xa5c2 0x1fd31b77 @@ -7294,6 +9118,8 @@ 1 1 0 +4 +4 65 0x4310 0x9c4ddbcb @@ -7302,6 +9128,8 @@ 1 1 0 +2 +2 40 0x876a 0x7e42be6 @@ -7310,6 +9138,8 @@ 1 1 0 +5 +0 65 0xe3da 0x2dde4ef6 @@ -7318,6 +9148,8 @@ 1 0 0 +2 +4 32 0xb998 0x8e056412 @@ -7326,6 +9158,8 @@ 0 0 0 +3 +2 40 0x7e6e 0xdb82e888 @@ -7334,6 +9168,8 @@ 0 0 0 +5 +5 98 0xaa54 0x31856a99 @@ -7342,6 +9178,8 @@ 1 0 0 +0 +1 29 0xda6c 0x380dd72a @@ -7350,6 +9188,8 @@ 0 0 0 +3 +5 20 0x8543 0x7b70c13c @@ -7358,6 +9198,8 @@ 1 1 0 +3 +1 34 0x90c3 0x7686d880 @@ -7366,6 +9208,8 @@ 0 0 0 +5 +5 52 0x4b17 0x4499d79a @@ -7374,6 +9218,8 @@ 1 0 0 +0 +1 85 0xa255 0xedb04319 @@ -7382,6 +9228,8 @@ 1 0 0 +4 +4 93 0x2c29 0xc6f18089 @@ -7390,6 +9238,8 @@ 1 1 0 +4 +1 61 0xe139 0x3fedc444 @@ -7398,6 +9248,8 @@ 0 0 0 +2 +2 95 0x7986 0x7dca294f @@ -7406,6 +9258,8 @@ 1 1 0 +3 +2 43 0x27fc 0xe35736c @@ -7414,6 +9268,8 @@ 1 0 0 +3 +0 49 0xc0cf 0xb027883b @@ -7422,6 +9278,8 @@ 0 0 0 +3 +1 34 0xda9 0x6f357034 @@ -7430,6 +9288,8 @@ 0 0 0 +0 +4 55 0x603e 0xf0a1a113 @@ -7438,6 +9298,8 @@ 1 1 0 +0 +4 76 0xe9e7 0x3a6cdc21 @@ -7446,6 +9308,8 @@ 0 0 0 +2 +2 76 0xcaa7 0x363cee43 @@ -7454,6 +9318,8 @@ 0 0 0 +5 +1 10 0x6504 0xe7affc7d @@ -7462,6 +9328,8 @@ 0 0 0 +5 +5 97 0xcf78 0x6996c1e9 @@ -7470,6 +9338,8 @@ 1 1 0 +4 +5 98 0xee05 0x568dc082 @@ -7478,6 +9348,8 @@ 0 0 0 +0 +2 96 0x762b 0x6c83791a @@ -7486,6 +9358,8 @@ 0 0 0 +1 +5 48 0x1dbb 0x867413d9 @@ -7494,6 +9368,8 @@ 0 0 0 +5 +1 65 0x169f 0xd7f6aa85 @@ -7502,6 +9378,8 @@ 0 0 0 +1 +2 78 0xc78d 0x4bd692fd @@ -7510,6 +9388,8 @@ 1 0 0 +4 +0 79 0x2272 0xc74dd224 @@ -7518,6 +9398,8 @@ 1 1 0 +0 +5 18 0xdf48 0x4f0c8ee0 @@ -7526,6 +9408,8 @@ 0 0 0 +0 +1 80 0xd400 0x6b36b944 @@ -7534,6 +9418,8 @@ 0 0 0 +5 +2 82 0xb63 0x5794100d @@ -7542,6 +9428,8 @@ 1 1 0 +1 +0 99 0x8670 0xee5cfd8b @@ -7550,6 +9438,8 @@ 0 0 0 +4 +3 89 0x7e93 0x97da0d1d @@ -7558,6 +9448,8 @@ 1 0 0 +0 +0 47 0x9c9f 0x79e1cbf8 @@ -7566,6 +9458,8 @@ 1 0 0 +0 +2 21 0xe748 0xcac3dd2f @@ -7574,6 +9468,8 @@ 1 1 0 +4 +2 37 0x4dd3 0xd943bd86 @@ -7582,6 +9478,8 @@ 0 0 0 +5 +0 77 0x4784 0xe872f687 @@ -7590,6 +9488,8 @@ 1 1 0 +3 +4 55 0xdb7 0x868071e5 @@ -7598,6 +9498,8 @@ 1 0 0 +2 +3 100 0xdced 0xbc530559 @@ -7606,6 +9508,8 @@ 1 0 0 +1 +0 89 0x7bd1 0x9380f52b @@ -7614,6 +9518,8 @@ 0 0 0 +4 +4 26 0xa9cc 0xeeeca9dd @@ -7622,6 +9528,8 @@ 0 0 0 +5 +1 81 0xa385 0xd6f813d0 @@ -7630,6 +9538,8 @@ 0 0 0 +2 +4 58 0x40e6 0xf1ff92a0 @@ -7638,6 +9548,8 @@ 0 0 0 +2 +2 45 0x7649 0xa611abb6 @@ -7646,6 +9558,8 @@ 1 1 0 +3 +3 87 0x5cc5 0x88512714 @@ -7654,6 +9568,8 @@ 1 1 0 +4 +0 35 0x7c15 0xb0768ad1 @@ -7662,6 +9578,8 @@ 1 1 0 +5 +5 36 0x133a 0xa4ee5104 @@ -7670,6 +9588,8 @@ 0 0 0 +4 +0 84 0x155a 0xdc1dbce5 @@ -7678,6 +9598,8 @@ 1 1 0 +2 +2 74 0x7eae 0x17cafa9 @@ -7686,6 +9608,8 @@ 1 0 0 +1 +3 52 0x629c 0x2d6ea827 @@ -7694,6 +9618,8 @@ 1 0 0 +3 +0 40 0xe27c 0x2cec0e47 @@ -7702,6 +9628,8 @@ 0 0 0 +0 +3 53 0xd994 0xe3c901c7 @@ -7710,6 +9638,8 @@ 0 0 0 +5 +2 72 0x5da7 0x49fe31fa @@ -7718,6 +9648,8 @@ 1 0 0 +4 +4 81 0xed00 0xb8db47bf @@ -7726,6 +9658,8 @@ 0 0 0 +0 +2 70 0x7a03 0xbd259563 @@ -7734,6 +9668,8 @@ 0 0 0 +1 +0 16 0xf3c1 0x65240de2 @@ -7742,6 +9678,8 @@ 0 0 0 +4 +4 21 0xa5a3 0x2450dedc @@ -7750,6 +9688,8 @@ 1 0 0 +5 +1 92 0xbe7a 0xcd4c3391 @@ -7758,6 +9698,8 @@ 0 0 0 +5 +5 54 0x2c95 0x8bf43ef7 @@ -7766,6 +9708,8 @@ 0 0 0 +2 +2 44 0xe5cb 0xd8caa197 @@ -7774,6 +9718,8 @@ 0 0 0 +2 +3 42 0xd6db 0xb43d9a33 @@ -7782,6 +9728,8 @@ 1 0 0 +5 +4 72 0x786d 0x1f42da9 @@ -7790,6 +9738,8 @@ 1 0 0 +3 +2 57 0xc91f 0x62152530 @@ -7798,6 +9748,8 @@ 0 0 0 +2 +4 43 0x50ef 0x25f46440 @@ -7806,6 +9758,8 @@ 0 0 0 +3 +2 21 0x8976 0xba82729d @@ -7814,6 +9768,8 @@ 0 0 0 +4 +2 37 0x89a7 0x58cfb70c @@ -7822,6 +9778,8 @@ 1 1 0 +2 +0 77 0x3835 0xddf73995 @@ -7830,6 +9788,8 @@ 0 0 0 +2 +0 63 0x3fef 0xd5f0c6c2 @@ -7838,6 +9798,8 @@ 0 0 0 +5 +4 78 0xba5c 0xb61b788b @@ -7846,6 +9808,8 @@ 1 0 0 +5 +0 55 0xafd2 0xbec5cdb1 @@ -7854,6 +9818,8 @@ 1 0 0 +3 +5 60 0x2706 0x996ad6bf @@ -7862,6 +9828,8 @@ 0 0 0 +2 +4 14 0x3411 0xad92c1ea @@ -7870,6 +9838,8 @@ 1 1 0 +2 +3 28 0x630 0x97f41c22 @@ -7878,6 +9848,8 @@ 1 1 0 +3 +4 26 0xefec 0xbd350f5b @@ -7886,6 +9858,8 @@ 0 0 0 +3 +3 40 0x2073 0xae699b12 @@ -7894,6 +9868,8 @@ 1 0 0 +0 +3 46 0x7f2b 0xbee0d022 @@ -7902,6 +9878,8 @@ 1 1 0 +1 +1 30 0x1a4 0xbdd6ae86 @@ -7910,6 +9888,8 @@ 0 0 0 +1 +4 49 0x5a17 0xa4e0f640 @@ -7918,6 +9898,8 @@ 1 0 0 +2 +5 14 0xc6a0 0x15f71d5 @@ -7926,6 +9908,8 @@ 0 0 0 +4 +3 20 0xb0db 0xbad37625 @@ -7934,6 +9918,8 @@ 0 0 0 +1 +3 68 0xbd91 0xc9325c39 @@ -7942,6 +9928,8 @@ 0 0 0 +5 +2 91 0x610e 0x4a1d4960 @@ -7950,6 +9938,8 @@ 0 0 0 +3 +3 39 0x7d6c 0x6f32fd05 @@ -7958,6 +9948,8 @@ 0 0 0 +2 +3 53 0x2f2d 0x507d93a5 @@ -7966,6 +9958,8 @@ 1 1 0 +2 +3 65 0x567c 0x3bd4ab6c @@ -7974,6 +9968,8 @@ 0 0 0 +1 +1 44 0xe03b 0xb4ac38ec @@ -7982,6 +9978,8 @@ 1 1 0 +3 +2 48 0xfb8a 0x1ba65e02 @@ -7990,6 +9988,8 @@ 0 0 0 +5 +0 90 0xa4b2 0x6bf96bb8 @@ -7998,6 +9998,8 @@ 1 0 0 +3 +4 79 0x9689 0x411de014 @@ -8006,6 +10008,8 @@ 0 0 0 +5 +0 47 0x98d8 0xc04ec3e6 @@ -8014,6 +10018,8 @@ 0 0 0 +2 +1 51 0xb5ed 0xaf62c460 @@ -8022,6 +10028,8 @@ 0 0 0 +5 +4 16 0xa0aa 0x8f4285b7 @@ -8030,6 +10038,8 @@ 0 0 0 +5 +2 15 0x371f 0x79a3a3ec @@ -8038,6 +10048,8 @@ 1 1 0 +3 +2 76 0xeb14 0x5548c422 @@ -8046,6 +10058,8 @@ 1 0 0 +5 +2 65 0x62e9 0x5291996d @@ -8054,6 +10068,8 @@ 0 0 0 +2 +4 79 0xcdcc 0x4dd07b5d @@ -8062,6 +10078,8 @@ 0 0 0 +0 +5 20 0x3f46 0x87503f42 @@ -8070,6 +10088,8 @@ 1 0 0 +2 +4 12 0x9b05 0x77195326 @@ -8078,6 +10098,8 @@ 1 1 0 +0 +5 19 0xb72a 0xcaebe7d2 @@ -8086,6 +10108,8 @@ 1 1 0 +4 +1 66 0xebf9 0x5f82f3c5 @@ -8094,6 +10118,8 @@ 1 1 0 +4 +2 34 0xf0a7 0x4a2105ce @@ -8102,6 +10128,8 @@ 1 1 0 +5 +2 87 0x5f9f 0xc3494c18 @@ -8110,6 +10138,8 @@ 1 0 0 +4 +0 94 0xbced 0x78a0e36e @@ -8118,6 +10148,8 @@ 1 1 0 +3 +2 73 0xd6a7 0x5a5d580f @@ -8126,6 +10158,8 @@ 1 0 0 +4 +4 86 0xa88b 0x6bd2284b @@ -8134,6 +10168,8 @@ 1 1 0 +5 +1 14 0xf9cb 0x9f53d40a @@ -8142,6 +10178,8 @@ 0 0 0 +2 +2 96 0xfab5 0xcb1ac020 @@ -8150,6 +10188,8 @@ 0 0 0 +1 +3 44 0x8197 0xf19a69f @@ -8158,6 +10198,8 @@ 1 1 0 +5 +3 71 0x7560 0x9c523b75 @@ -8166,6 +10208,8 @@ 0 0 0 +3 +3 11 0x5006 0x1d3ce0af @@ -8174,6 +10218,8 @@ 1 0 0 +0 +0 10 0x7b57 0xc08093df @@ -8182,6 +10228,8 @@ 0 0 0 +4 +4 27 0x9fd7 0xf2dfb882 @@ -8190,6 +10238,8 @@ 0 0 0 +1 +0 95 0x6d25 0xf4da2289 @@ -8198,6 +10248,8 @@ 0 0 0 +0 +2 35 0xc948 0x4eb2e2ec @@ -8206,6 +10258,8 @@ 0 0 0 +0 +4 88 0x4db1 0x4aad5123 @@ -8214,6 +10268,8 @@ 0 0 0 +2 +2 84 0x80c9 0x8233e528 @@ -8222,6 +10278,8 @@ 1 0 0 +2 +4 72 0x515a 0xf352ca7 @@ -8230,6 +10288,8 @@ 0 0 0 +5 +2 100 0xef92 0x2292615d @@ -8238,6 +10298,8 @@ 1 0 0 +5 +4 76 0x3f90 0xd1492e7d @@ -8246,6 +10308,8 @@ 0 0 0 +1 +1 70 0x459e 0x33a7b43b @@ -8254,6 +10318,8 @@ 1 1 0 +5 +0 17 0x8dbe 0xca0c5f47 @@ -8262,6 +10328,8 @@ 0 0 0 +3 +1 71 0x8674 0xc8ab2776 @@ -8270,6 +10338,8 @@ 1 0 0 +4 +3 92 0xdadc 0x29f3bd92 @@ -8278,6 +10348,8 @@ 1 0 0 +1 +0 92 0x9285 0x8872653f @@ -8286,6 +10358,8 @@ 0 0 0 +1 +0 83 0xd1e6 0x1c6f6c11 @@ -8294,6 +10368,8 @@ 1 0 0 +4 +1 29 0x1981 0xbd2991da @@ -8302,6 +10378,8 @@ 0 0 0 +0 +2 17 0x89cf 0x6f0ee05d @@ -8310,6 +10388,8 @@ 0 0 0 +5 +2 41 0x4f73 0x7af672e6 @@ -8318,6 +10398,8 @@ 1 0 0 +5 +2 63 0xc792 0x26dc279e @@ -8326,6 +10408,8 @@ 0 0 0 +5 +4 80 0xb57 0xf727748e @@ -8334,6 +10418,8 @@ 1 0 0 +2 +5 77 0xc63c 0xc2b738cf @@ -8342,6 +10428,8 @@ 1 1 0 +4 +2 60 0x1fa8 0x2f65772d @@ -8350,6 +10438,8 @@ 0 0 0 +1 +0 99 0x6e8d 0x309f3846 @@ -8358,6 +10448,8 @@ 0 0 0 +3 +5 19 0x1c19 0x4481adbb @@ -8366,6 +10458,8 @@ 0 0 0 +0 +1 48 0xabae 0x40ece227 @@ -8374,6 +10468,8 @@ 1 1 0 +5 +3 58 0xa29f 0x86bb5a15 @@ -8382,6 +10478,8 @@ 1 0 0 +0 +4 37 0x43cb 0x6f348354 @@ -8390,6 +10488,8 @@ 0 0 0 +5 +2 46 0x450e 0x4629bc9f @@ -8398,6 +10498,8 @@ 1 1 0 +0 +5 24 0xbcbd 0xf544e83b @@ -8406,6 +10508,8 @@ 1 0 0 +4 +4 41 0x84f0 0x332fccbd @@ -8414,6 +10518,8 @@ 0 0 0 +2 +5 94 0xb2eb 0x4c353580 @@ -8422,6 +10528,8 @@ 0 0 0 +0 +5 24 0xab2c 0x96c999aa @@ -8430,6 +10538,8 @@ 1 0 0 +1 +1 37 0x102c 0x1cb85f4 @@ -8438,6 +10548,8 @@ 0 0 0 +0 +3 64 0x82a1 0x97cdfb28 @@ -8446,6 +10558,8 @@ 1 0 0 +5 +0 10 0xec98 0x28df687b @@ -8454,6 +10568,8 @@ 1 0 0 +0 +2 99 0xf069 0xf1eeb033 @@ -8462,6 +10578,8 @@ 1 1 0 +0 +2 79 0x8dfe 0x810c95b4 @@ -8470,6 +10588,8 @@ 0 0 0 +5 +2 91 0x1bb5 0xf26c7e @@ -8478,6 +10598,8 @@ 1 1 0 +0 +1 38 0x8cd6 0xfa38a185 @@ -8486,6 +10608,8 @@ 0 0 0 +3 +0 77 0x2923 0x2594488b @@ -8494,6 +10618,8 @@ 0 0 0 +3 +5 88 0xc9b6 0xe853619a @@ -8502,6 +10628,8 @@ 0 0 0 +5 +3 85 0x1ae8 0x8dfbb681 @@ -8510,6 +10638,8 @@ 1 0 0 +4 +4 48 0xd2aa 0x28c11af5 @@ -8518,6 +10648,8 @@ 1 0 0 +0 +1 100 0xb494 0x6d8ba1f6 @@ -8526,6 +10658,8 @@ 0 0 0 +0 +3 12 0xb96b 0xa963391e @@ -8534,6 +10668,8 @@ 0 0 0 +1 +5 84 0xa7ed 0x3fe66019 @@ -8542,6 +10678,8 @@ 1 0 0 +0 +3 46 0x9a06 0x66892fbe @@ -8550,6 +10688,8 @@ 1 1 0 +5 +5 54 0xd9d0 0xc125000f @@ -8558,6 +10698,8 @@ 1 0 0 +3 +4 76 0x5409 0x73e7407c @@ -8566,6 +10708,8 @@ 1 0 0 +0 +4 50 0x9af9 0x406cd5b1 @@ -8574,6 +10718,8 @@ 1 0 0 +4 +5 85 0xd521 0x46ac6b92 @@ -8582,6 +10728,8 @@ 0 0 0 +2 +3 76 0xd49a 0xc7971aec @@ -8590,6 +10738,8 @@ 0 0 0 +4 +2 23 0x4ceb 0x4f380bcc @@ -8598,6 +10748,8 @@ 0 0 0 +0 +3 14 0xf078 0x68b0d49c @@ -8606,6 +10758,8 @@ 0 0 0 +5 +4 14 0x3ce0 0xf706c211 @@ -8614,6 +10768,8 @@ 0 0 0 +3 +1 30 0xcb41 0x80941f96 @@ -8622,6 +10778,8 @@ 1 1 0 +5 +5 40 0xce86 0xd264af6e @@ -8630,6 +10788,8 @@ 0 0 0 +1 +3 28 0x1abe 0xb489a9e5 @@ -8638,6 +10798,8 @@ 1 1 0 +4 +4 74 0x67c 0x922a0f25 @@ -8646,6 +10808,8 @@ 1 1 0 +5 +1 26 0x9a7b 0x9951ff0d @@ -8654,6 +10818,8 @@ 1 0 0 +4 +1 84 0xde8 0x2cf45674 @@ -8662,6 +10828,8 @@ 1 1 0 +5 +2 48 0x685f 0x22de84a9 @@ -8670,6 +10838,8 @@ 0 0 0 +5 +0 100 0x9d64 0x487d15f7 @@ -8678,6 +10848,8 @@ 0 0 0 +0 +2 72 0x7b4e 0x815bbd6a @@ -8686,6 +10858,8 @@ 0 0 0 +3 +3 58 0xa946 0x302f1559 @@ -8694,6 +10868,8 @@ 0 0 0 +1 +4 83 0xfe3e 0xc8df7970 @@ -8702,6 +10878,8 @@ 0 0 0 +3 +0 69 0xdc11 0x31add9fc @@ -8710,6 +10888,8 @@ 0 0 0 +4 +1 60 0x73f6 0x447f53ec @@ -8718,6 +10898,8 @@ 1 0 0 +1 +0 75 0x726f 0xdc66d6c0 @@ -8726,6 +10908,8 @@ 0 0 0 +3 +2 27 0x2289 0x3b60498e @@ -8734,6 +10918,8 @@ 0 0 0 +3 +4 30 0x6cef 0xebf38e95 @@ -8742,6 +10928,8 @@ 1 0 0 +2 +1 66 0xe8cb 0xae46898e @@ -8750,6 +10938,8 @@ 0 0 0 +0 +3 53 0x25cd 0xb0151ec9 @@ -8758,6 +10948,8 @@ 0 0 0 +5 +0 19 0xac9d 0x252c93bd @@ -8766,6 +10958,8 @@ 1 1 0 +2 +3 77 0xe702 0x56d18edc @@ -8774,6 +10968,8 @@ 1 0 0 +2 +5 82 0x42f7 0xf1b1905a @@ -8782,6 +10978,8 @@ 0 0 0 +1 +5 17 0xe4a2 0x1f67bf37 @@ -8790,6 +10988,8 @@ 0 0 0 +5 +1 98 0x745b 0x8e482e8d @@ -8798,6 +10998,8 @@ 0 0 0 +2 +2 64 0xc6fb 0x52513444 @@ -8806,6 +11008,8 @@ 0 0 0 +2 +1 40 0xf2e7 0xc1cb862e @@ -8814,6 +11018,8 @@ 1 1 0 +0 +1 93 0xf1bd 0x50d39d02 @@ -8822,6 +11028,8 @@ 0 0 0 +2 +0 26 0x50f3 0x508fa840 @@ -8830,6 +11038,8 @@ 1 1 0 +2 +2 89 0xacad 0x157151f6 @@ -8838,6 +11048,8 @@ 0 0 0 +3 +0 89 0x2f52 0x94342f @@ -8846,6 +11058,8 @@ 0 0 0 +4 +4 36 0xbedc 0xd211f103 @@ -8854,6 +11068,8 @@ 1 0 0 +3 +0 24 0xe52b 0xf7c94cb1 @@ -8862,6 +11078,8 @@ 1 0 0 +3 +1 55 0x6c72 0xec8f5f88 @@ -8870,6 +11088,8 @@ 0 0 0 +0 +2 82 0x9a64 0x9f7c98ac @@ -8878,6 +11098,8 @@ 0 0 0 +5 +1 45 0x5380 0xe692502a @@ -8886,6 +11108,8 @@ 1 0 0 +1 +3 56 0x909c 0xa87d31a8 @@ -8894,6 +11118,8 @@ 1 0 0 +5 +1 85 0x4c01 0x462ea6e4 @@ -8902,6 +11128,8 @@ 1 0 0 +3 +2 37 0xa641 0xe8ecb53e @@ -8910,6 +11138,8 @@ 1 0 0 +1 +5 82 0xd0f2 0x16e58e51 @@ -8918,6 +11148,8 @@ 1 1 0 +0 +5 67 0xc8ca 0x9ef0c300 @@ -8926,6 +11158,8 @@ 0 0 0 +0 +4 34 0x5ffb 0x47c51d23 @@ -8934,6 +11168,8 @@ 0 0 0 +0 +5 22 0xe720 0x19034c6c @@ -8942,6 +11178,8 @@ 1 0 0 +0 +1 25 0x92f 0x8d677697 @@ -8950,6 +11188,8 @@ 1 1 0 +4 +4 26 0x8475 0x87ccdf22 @@ -8958,6 +11198,8 @@ 1 0 0 +0 +5 73 0x163a 0xcceb2092 @@ -8966,6 +11208,8 @@ 0 0 0 +5 +3 25 0x3687 0x8cdabb4b @@ -8974,6 +11218,8 @@ 0 0 0 +1 +4 67 0xbf4 0x1539be86 @@ -8982,6 +11228,8 @@ 0 0 0 +0 +3 98 0x4dda 0xb47790ae @@ -8990,6 +11238,8 @@ 1 1 0 +5 +2 94 0x75d3 0xfa3730ff @@ -8998,6 +11248,8 @@ 0 0 0 +4 +5 39 0x81b6 0xf894568f @@ -9006,6 +11258,8 @@ 0 0 0 +1 +1 28 0x133 0x916d7a1 @@ -9014,6 +11268,8 @@ 1 0 0 +2 +5 100 0xe454 0xed480057 @@ -9022,6 +11278,8 @@ 0 0 0 +1 +5 11 0x638d 0x68cc7c24 @@ -9030,6 +11288,8 @@ 0 0 0 +5 +2 93 0xc913 0xc0be8e75 @@ -9038,6 +11298,8 @@ 0 0 0 +3 +0 63 0x91d3 0x40ddfc68 @@ -9046,6 +11308,8 @@ 0 0 0 +4 +2 14 0x50fa 0xba90fcb3 @@ -9054,6 +11318,8 @@ 0 0 0 +4 +1 37 0xf4e5 0x8274844f @@ -9062,6 +11328,8 @@ 1 0 0 +1 +3 69 0x3b36 0xd3583a6b @@ -9070,6 +11338,8 @@ 0 0 0 +5 +1 79 0xf2f5 0xeecc0cca @@ -9078,6 +11348,8 @@ 0 0 0 +4 +2 90 0xd84b 0x2711d8b0 @@ -9086,6 +11358,8 @@ 1 1 0 +2 +3 15 0xf5eb 0xdbf2f9b7 @@ -9094,6 +11368,8 @@ 1 0 0 +3 +5 79 0x5f2e 0x6e2d761a @@ -9102,6 +11378,8 @@ 0 0 0 +0 +3 15 0x962e 0x7cfb0e7f @@ -9110,6 +11388,8 @@ 0 0 0 +5 +4 40 0x8e53 0x494b2f0a @@ -9118,6 +11398,8 @@ 0 0 0 +1 +4 80 0xda1a 0xb5e5c2ca @@ -9126,6 +11408,8 @@ 1 0 0 +0 +5 15 0x9d9b 0x78229322 @@ -9134,6 +11418,8 @@ 1 1 0 +4 +3 58 0x7c29 0xa237fe7c @@ -9142,6 +11428,8 @@ 1 1 0 +4 +4 70 0xc3ef 0xff84114 @@ -9150,6 +11438,8 @@ 1 0 0 +1 +5 38 0x637a 0x8a0c4c81 @@ -9158,6 +11448,8 @@ 1 0 0 +3 +5 31 0xb224 0xd597640e @@ -9166,6 +11458,8 @@ 1 1 0 +4 +4 79 0x888d 0x3d1285 @@ -9174,6 +11468,8 @@ 1 0 0 +5 +1 97 0xdf7e 0xf690d022 @@ -9182,6 +11478,8 @@ 1 0 0 +5 +1 61 0xb7ee 0x68c684e4 @@ -9190,6 +11488,8 @@ 1 0 0 +0 +1 12 0xd218 0xb26d89b7 @@ -9198,6 +11498,8 @@ 0 0 0 +5 +3 38 0x7888 0xfce47405 @@ -9206,6 +11508,8 @@ 0 0 0 +5 +3 55 0x3f4b 0xce90bbb6 @@ -9214,6 +11518,8 @@ 1 0 0 +5 +4 84 0x8604 0x7bbea429 @@ -9222,6 +11528,8 @@ 1 1 0 +1 +2 45 0x431f 0x2d2b1a32 @@ -9230,6 +11538,8 @@ 1 1 0 +4 +2 63 0x2eb2 0xc372c0a4 @@ -9238,6 +11548,8 @@ 0 0 0 +3 +2 95 0x3856 0x4abb5aeb @@ -9246,6 +11558,8 @@ 0 0 0 +2 +0 74 0xa4f4 0x233ffdfe @@ -9254,6 +11568,8 @@ 0 0 0 +1 +3 67 0x8ddc 0x8e946d31 @@ -9262,6 +11578,8 @@ 0 0 0 +1 +5 45 0xfdbb 0xb70a79a5 @@ -9270,6 +11588,8 @@ 0 0 0 +3 +2 32 0xdc2b 0xa14f2347 @@ -9278,6 +11598,8 @@ 0 0 0 +3 +0 25 0x5d24 0x774350ce @@ -9286,6 +11608,8 @@ 0 0 0 +1 +2 24 0xf480 0x1e966dd @@ -9294,6 +11618,8 @@ 1 0 0 +4 +1 41 0x4c78 0x9fe91d0c @@ -9302,6 +11628,8 @@ 0 0 0 +4 +0 95 0xe1f3 0xcbda3ecb @@ -9310,6 +11638,8 @@ 0 0 0 +5 +3 24 0xf1e3 0x277ef42b @@ -9318,6 +11648,8 @@ 0 0 0 +2 +5 42 0x9fbf 0x4221ee11 @@ -9326,6 +11658,8 @@ 1 1 0 +3 +1 76 0x5da3 0x883e688b @@ -9334,6 +11668,8 @@ 1 1 0 +3 +4 24 0x72c0 0x866ba764 @@ -9342,6 +11678,8 @@ 1 0 0 +1 +1 76 0x6530 0x106f1fae @@ -9350,6 +11688,8 @@ 0 0 0 +5 +3 24 0xba78 0xdb1611ee @@ -9358,6 +11698,8 @@ 0 0 0 +3 +4 21 0xc5c0 0x80ad676c @@ -9366,6 +11708,8 @@ 1 0 0 +2 +3 80 0xe1d2 0x68773b79 @@ -9374,6 +11718,8 @@ 0 0 0 +1 +4 36 0x6335 0xea0b42e4 @@ -9382,6 +11728,8 @@ 0 0 0 +2 +0 63 0x1ff9 0x8e6e0aba @@ -9390,6 +11738,8 @@ 0 0 0 +5 +2 79 0x4129 0x72725ef2 @@ -9398,6 +11748,8 @@ 0 0 0 +5 +3 46 0x3bda 0x55595080 @@ -9406,6 +11758,8 @@ 1 0 0 +2 +2 30 0xa87e 0x6a9cae26 @@ -9414,6 +11768,8 @@ 1 1 0 +2 +4 26 0xcd15 0xa7f5107d @@ -9422,6 +11778,8 @@ 1 0 0 +5 +1 26 0xe4a8 0x8d9e2893 @@ -9430,6 +11788,8 @@ 0 0 0 +4 +3 64 0x15c9 0xb5e01a2f @@ -9438,6 +11798,8 @@ 1 0 0 +3 +5 38 0x1e2f 0xa1259a4c @@ -9446,6 +11808,8 @@ 1 1 0 +3 +2 15 0xde4c 0x3242691c @@ -9454,6 +11818,8 @@ 1 0 0 +5 +3 82 0xd1a9 0x4f55f71b @@ -9462,6 +11828,8 @@ 0 0 0 +3 +4 74 0xf839 0x24dc306b @@ -9470,6 +11838,8 @@ 1 1 0 +3 +3 63 0x88f3 0x229b4d19 @@ -9478,6 +11848,8 @@ 1 0 0 +2 +2 59 0x13dc 0xe38ff1bb @@ -9486,6 +11858,8 @@ 1 0 0 +3 +2 97 0x5b68 0xb6c210db @@ -9494,6 +11868,8 @@ 1 0 0 +3 +3 30 0x528a 0xebe64a3b @@ -9502,6 +11878,8 @@ 1 0 0 +2 +2 70 0x6faf 0x4d69dc1a @@ -9510,6 +11888,8 @@ 1 0 0 +0 +3 23 0x6bd1 0xbb2b74f8 @@ -9518,6 +11898,8 @@ 1 0 0 +4 +1 72 0x4b0b 0xc758d8b6 @@ -9526,6 +11908,8 @@ 1 0 0 +5 +5 71 0x9663 0xc7e0fb52 @@ -9534,6 +11918,8 @@ 1 0 0 +3 +2 100 0xf172 0x40cfd36 @@ -9542,6 +11928,8 @@ 1 0 0 +2 +0 77 0x7adc 0x48c3bb8d @@ -9550,6 +11938,8 @@ 0 0 0 +5 +2 83 0xe53 0xc15ebcac @@ -9558,6 +11948,8 @@ 1 0 0 +1 +0 61 0xe93 0x5573912e @@ -9566,6 +11958,8 @@ 1 0 0 +4 +5 78 0x2878 0x298ed6b1 @@ -9574,6 +11968,8 @@ 0 0 0 +2 +4 58 0xd83d 0xb72ca37c @@ -9582,6 +11978,8 @@ 0 0 0 +4 +5 84 0xcaee 0xb21ad0ec @@ -9590,6 +11988,8 @@ 0 0 0 +4 +4 63 0xbdb5 0x57f2ad8b @@ -9598,6 +11998,8 @@ 0 0 0 +5 +3 98 0x1a5d 0xe70b0965 @@ -9606,6 +12008,8 @@ 1 1 0 +0 +0 56 0x1dde 0xe7d34dc3 @@ -9614,6 +12018,8 @@ 1 0 0 +3 +0 37 0xd17d 0x2851f92f @@ -9622,6 +12028,8 @@ 1 0 0 +3 +5 88 0x8f42 0x17830da7 @@ -9630,6 +12038,8 @@ 1 1 0 +2 +0 66 0xf702 0xf628d8bd @@ -9638,6 +12048,8 @@ 0 0 0 +1 +3 22 0xa0cd 0xa020e50c @@ -9646,6 +12058,8 @@ 0 0 0 +5 +3 49 0x6c8a 0x22ac63f7 @@ -9654,6 +12068,8 @@ 0 0 0 +0 +2 100 0x8203 0xf06dd688 @@ -9662,6 +12078,8 @@ 0 0 0 +3 +0 66 0x13b9 0xad4143d1 @@ -9670,6 +12088,8 @@ 0 0 0 +0 +3 65 0x6d14 0xb12d41a5 @@ -9678,6 +12098,8 @@ 1 0 0 +4 +2 98 0x4bff 0xc7355de9 @@ -9686,6 +12108,8 @@ 1 0 0 +1 +5 29 0x1a6c 0x2fddab66 @@ -9694,6 +12118,8 @@ 0 0 0 +5 +2 13 0x9c6a 0xcbe43dac @@ -9702,6 +12128,8 @@ 0 0 0 +5 +3 95 0x52b7 0x61472e06 @@ -9710,6 +12138,8 @@ 0 0 0 +3 +0 86 0xd904 0x9cc9a3fe @@ -9718,6 +12148,8 @@ 0 0 0 +1 +2 26 0xcf2c 0xae7671ab @@ -9726,6 +12158,8 @@ 1 1 0 +2 +3 82 0xc21 0x31cfecdb @@ -9734,6 +12168,8 @@ 0 0 0 +3 +3 100 0xfc 0xdd00b54e @@ -9742,6 +12178,8 @@ 0 0 0 +3 +2 54 0xcd8c 0x428d03f4 @@ -9750,6 +12188,8 @@ 0 0 0 +0 +1 74 0x4349 0x8d4b3d05 @@ -9758,6 +12198,8 @@ 1 1 0 +2 +2 39 0xb201 0xb6485e3d @@ -9766,6 +12208,8 @@ 0 0 0 +5 +0 47 0xb7e3 0x5db8a30 @@ -9774,6 +12218,8 @@ 1 0 0 +5 +0 10 0x34db 0x263d98c5 @@ -9782,6 +12228,8 @@ 0 0 0 +4 +2 50 0x880 0x772b9c8a @@ -9790,6 +12238,8 @@ 0 0 0 +1 +1 58 0x931c 0xfc1ccf5a @@ -9798,6 +12248,8 @@ 0 0 0 +1 +1 50 0x3093 0xb9c13739 @@ -9806,6 +12258,8 @@ 0 0 0 +3 +3 54 0x4b5d 0x30667ad7 @@ -9814,6 +12268,8 @@ 0 0 0 +4 +4 35 0x1683 0xd69e76f7 @@ -9822,6 +12278,8 @@ 0 0 0 +1 +2 55 0x70d5 0x6351e6a6 @@ -9830,6 +12288,8 @@ 0 0 0 +5 +2 91 0x9042 0x7c767bbb @@ -9838,6 +12298,8 @@ 1 0 0 +5 +0 44 0x639d 0xd03eb6b1 @@ -9846,6 +12308,8 @@ 0 0 0 +3 +3 31 0xd75 0x7d5948aa @@ -9854,6 +12318,8 @@ 0 0 0 +0 +3 82 0x2bff 0x3c82d4cc @@ -9862,6 +12328,8 @@ 0 0 0 +1 +2 34 0xd9dc 0x4a70b5ce @@ -9870,6 +12338,8 @@ 1 0 0 +4 +2 87 0xb009 0xf815463b @@ -9878,6 +12348,8 @@ 0 0 0 +2 +3 65 0xae98 0x52561bac @@ -9886,6 +12358,8 @@ 1 0 0 +1 +2 97 0xef77 0x53295a5 @@ -9894,6 +12368,8 @@ 1 0 0 +3 +0 83 0xf86e 0x4bb7c6e7 @@ -9902,6 +12378,8 @@ 0 0 0 +5 +2 43 0xaf90 0x1a4867fc @@ -9910,6 +12388,8 @@ 0 0 0 +4 +0 64 0x8310 0xbf649d05 @@ -9918,6 +12398,8 @@ 1 1 0 +3 +4 66 0x93b3 0xa2e12fe5 @@ -9926,6 +12408,8 @@ 0 0 0 +1 +2 100 0x37a9 0xa2693f91 @@ -9934,6 +12418,8 @@ 0 0 0 +1 +4 73 0x172c 0x408f1bfa @@ -9942,6 +12428,8 @@ 0 0 0 +2 +3 53 0x1f5c 0x991e6e22 @@ -9950,6 +12438,8 @@ 0 0 0 +0 +3 33 0xfac2 0xe7c6ca56 @@ -9958,6 +12448,8 @@ 1 1 0 +2 +0 40 0x1962 0xa10e72ae @@ -9966,6 +12458,8 @@ 0 0 0 +1 +1 72 0x9e95 0xc477ac81 @@ -9974,6 +12468,8 @@ 1 0 0 +5 +0 56 0xe686 0x2513c7c7 @@ -9982,6 +12478,8 @@ 1 1 0 +1 +4 58 0xb80a 0xa08d44d6 @@ -9990,6 +12488,8 @@ 0 0 0 +4 +3 24 0x1d1 0xf53f11a @@ -9998,6 +12498,8 @@ 0 0 0 +2 +0 89 0x52fe 0xadb75a27 @@ -10006,6 +12508,8 @@ 0 0 0 +2 +3 29 0x7adb 0x68fc8f12 @@ -10014,6 +12518,8 @@ 0 0 0 +5 +5 19 0x242c 0xe032eeaf @@ -10022,6 +12528,8 @@ 1 0 0 +0 +4 30 0xf96c 0x42e2f6d7 @@ -10030,6 +12538,8 @@ 0 0 0 +5 +0 91 0x71cf 0x93d54150 @@ -10038,6 +12548,8 @@ 0 0 0 +4 +2 45 0xd1f7 0xa3b509a3 @@ -10046,6 +12558,8 @@ 1 0 0 +4 +4 54 0x67c0 0x56e50e02 @@ -10054,6 +12568,8 @@ 0 0 0 +3 +3 94 0xc315 0x25586450 @@ -10062,6 +12578,8 @@ 0 0 0 +1 +2 71 0xba12 0x5abd1f0c @@ -10070,6 +12588,8 @@ 1 0 0 +1 +0 28 0x554b 0xd37d0636 @@ -10078,6 +12598,8 @@ 1 0 0 +4 +2 21 0x46ff 0xbed6af4b @@ -10086,6 +12608,8 @@ 0 0 0 +3 +1 69 0x9fa7 0x4df0d905 @@ -10094,6 +12618,8 @@ 0 0 0 +2 +4 54 0xa92c 0xfc18b508 @@ -10102,6 +12628,8 @@ 1 0 0 +2 +0 41 0x1fcc 0x20869e87 @@ -10110,6 +12638,8 @@ 1 0 0 +0 +5 81 0x950b 0x38c63980 @@ -10118,6 +12648,8 @@ 0 0 0 +0 +1 64 0x353b 0xa2141038 @@ -10126,6 +12658,8 @@ 1 1 0 +2 +0 68 0x1327 0x7540338b @@ -10134,6 +12668,8 @@ 0 0 0 +1 +2 75 0x59b6 0x8d835115 @@ -10142,6 +12678,8 @@ 1 0 0 +5 +5 86 0x616c 0xde82cc8a @@ -10150,6 +12688,8 @@ 1 0 0 +0 +2 97 0xc96d 0x993456ce @@ -10158,6 +12698,8 @@ 0 0 0 +0 +5 100 0x7802 0xfd0b6d31 @@ -10166,6 +12708,8 @@ 1 1 0 +1 +4 35 0x56f6 0x42c5e0db @@ -10174,6 +12718,8 @@ 1 1 0 +1 +2 73 0xeaa0 0x4df215de @@ -10182,6 +12728,8 @@ 1 1 0 +5 +0 42 0x108b 0xb2dfd076 @@ -10190,6 +12738,8 @@ 0 0 0 +0 +2 49 0x15ac 0xbc20f7a6 @@ -10198,6 +12748,8 @@ 0 0 0 +0 +5 75 0xf85d 0x1b8ac678 @@ -10206,6 +12758,8 @@ 1 0 0 +2 +5 49 0xba8a 0x902a0f56 @@ -10214,6 +12768,8 @@ 1 1 0 +1 +2 57 0xf425 0xf6dc9d63 @@ -10222,6 +12778,8 @@ 1 0 0 +1 +4 44 0x7acc 0xdc9208a3 @@ -10230,6 +12788,8 @@ 0 0 0 +1 +2 24 0x3206 0xaaa1bd58 @@ -10238,6 +12798,8 @@ 1 0 0 +2 +4 85 0xdc11 0x51a7903 @@ -10246,6 +12808,8 @@ 0 0 0 +1 +2 91 0xf9fa 0x6f18b484 @@ -10254,6 +12818,8 @@ 1 1 0 +0 +1 43 0x27ea 0x560f50b2 @@ -10262,6 +12828,8 @@ 0 0 0 +3 +3 47 0xf3f5 0xc2da3ddb @@ -10270,6 +12838,8 @@ 0 0 0 +4 +1 12 0x1d 0x81492fb6 @@ -10278,6 +12848,8 @@ 1 1 0 +5 +5 46 0x20eb 0xa8496c6d @@ -10286,6 +12858,8 @@ 1 0 0 +0 +4 59 0x32de 0xb879bcda @@ -10294,6 +12868,8 @@ 1 1 0 +3 +1 25 0x8440 0xf3ccb8a0 @@ -10302,6 +12878,8 @@ 1 1 0 +5 +1 34 0x7630 0x72aaad26 @@ -10310,6 +12888,8 @@ 1 0 0 +0 +2 12 0x28f6 0xbabdb49 @@ -10318,6 +12898,8 @@ 1 0 0 +0 +4 76 0xf1fb 0x3023473a @@ -10326,6 +12908,8 @@ 0 0 0 +1 +2 13 0x7b2 0xd65e9afb @@ -10334,6 +12918,8 @@ 1 0 0 +1 +3 25 0xd09d 0x5f51ec34 @@ -10342,6 +12928,8 @@ 0 0 0 +5 +5 47 0xdb13 0xc62ec6cb @@ -10350,6 +12938,8 @@ 0 0 0 +0 +2 97 0x9050 0xf5129431 @@ -10358,6 +12948,8 @@ 0 0 0 +5 +2 91 0x9af3 0x5664fbed @@ -10366,6 +12958,8 @@ 1 1 0 +0 +2 95 0x7271 0xeec5f834 @@ -10374,6 +12968,8 @@ 0 0 0 +4 +4 20 0x281b 0xf71eae23 @@ -10382,6 +12978,8 @@ 1 0 0 +4 +0 87 0x97fc 0x764b16dd @@ -10390,6 +12988,8 @@ 1 0 0 +2 +3 59 0x32a7 0xa8bf6b31 @@ -10398,6 +12998,8 @@ 0 0 0 +2 +0 91 0xde7e 0xa1f9818e @@ -10406,6 +13008,8 @@ 1 1 0 +1 +5 77 0xceb9 0x5cf72019 @@ -10414,6 +13018,8 @@ 0 0 0 +4 +0 12 0x5750 0x827c6573 @@ -10422,6 +13028,8 @@ 0 0 0 +2 +2 30 0x8a99 0x500f4135 @@ -10430,6 +13038,8 @@ 1 0 0 +3 +2 65 0xbd4b 0x9487840e @@ -10438,6 +13048,8 @@ 0 0 0 +2 +1 96 0x339c 0x5ad8aa72 @@ -10446,6 +13058,8 @@ 1 0 0 +1 +1 37 0x2d91 0xab8cde1d @@ -10454,6 +13068,8 @@ 1 1 0 +1 +0 35 0x16ec 0x974fcfb8 @@ -10462,6 +13078,8 @@ 0 0 0 +5 +5 37 0xe84f 0x9f256a8f @@ -10470,6 +13088,8 @@ 0 0 0 +0 +4 53 0xfd57 0x7e57dd0c @@ -10478,6 +13098,8 @@ 1 0 0 +4 +0 20 0xaf9 0xb3600004 @@ -10486,6 +13108,8 @@ 1 0 0 +1 +2 20 0x38ef 0xdadbb636 @@ -10494,6 +13118,8 @@ 1 1 0 +5 +5 82 0x3539 0xc08cd1e3 @@ -10502,6 +13128,8 @@ 1 0 0 +1 +1 68 0xdbdc 0x4e7c1c89 @@ -10510,6 +13138,8 @@ 0 0 0 +2 +3 27 0x2c84 0x1cf0a029 @@ -10518,6 +13148,8 @@ 0 0 0 +0 +3 62 0x6ec6 0xd7db9ce1 @@ -10526,6 +13158,8 @@ 1 0 0 +1 +2 66 0xece3 0x55347522 @@ -10534,6 +13168,8 @@ 0 0 0 +3 +2 73 0x4127 0x7de71300 @@ -10542,6 +13178,8 @@ 1 0 0 +4 +5 47 0x10d0 0x1249b855 @@ -10550,6 +13188,8 @@ 1 0 0 +3 +4 29 0x9165 0xe245e367 @@ -10558,6 +13198,8 @@ 1 0 0 +0 +0 74 0x2479 0x77601836 @@ -10566,6 +13208,8 @@ 1 0 0 +0 +5 25 0xb50b 0xadfd82c7 @@ -10574,6 +13218,8 @@ 0 0 0 +3 +3 52 0xc176 0xd659286c @@ -10582,6 +13228,8 @@ 0 0 0 +4 +2 45 0xc5c9 0x26fa4868 @@ -10590,6 +13238,8 @@ 1 1 0 +3 +3 63 0xfac 0xdadb0d1e @@ -10598,6 +13248,8 @@ 0 0 0 +1 +0 28 0x31c5 0x6d614ba3 @@ -10606,6 +13258,8 @@ 0 0 0 +5 +2 100 0x6143 0x4416359c @@ -10614,6 +13268,8 @@ 0 0 0 +5 +1 31 0xedf 0x3a8ffba9 @@ -10622,6 +13278,8 @@ 0 0 0 +0 +3 78 0xa70b 0x892104de @@ -10630,6 +13288,8 @@ 0 0 0 +4 +4 54 0x7a89 0x55838940 @@ -10638,6 +13298,8 @@ 1 0 0 +2 +5 91 0x37d8 0x4ec699dc @@ -10646,6 +13308,8 @@ 1 0 0 +0 +4 87 0x7dc9 0x8a90cdf5 @@ -10654,6 +13318,8 @@ 0 0 0 +4 +2 17 0x8a09 0x839ffcc9 @@ -10662,6 +13328,8 @@ 0 0 0 +4 +0 23 0x4f18 0x693f1235 @@ -10670,6 +13338,8 @@ 1 1 0 +1 +2 24 0x7c67 0x3904e96e @@ -10678,6 +13348,8 @@ 0 0 0 +0 +1 80 0xd2df 0xfc1c9314 @@ -10686,6 +13358,8 @@ 0 0 0 +1 +0 76 0x3ecf 0x11744815 @@ -10694,6 +13368,8 @@ 1 1 0 +5 +1 57 0x85b2 0x4eb268fb @@ -10702,6 +13378,8 @@ 0 0 0 +5 +3 74 0xe142 0x5ad785f @@ -10710,6 +13388,8 @@ 1 1 0 +2 +4 86 0x3966 0xed7d77fd @@ -10718,6 +13398,8 @@ 1 1 0 +4 +2 89 0x13c8 0x6c833009 @@ -10726,6 +13408,8 @@ 1 0 0 +5 +0 21 0xa1d 0xadd40c70 @@ -10734,6 +13418,8 @@ 0 0 0 +4 +0 61 0xb6df 0x2d8d5d02 @@ -10742,6 +13428,8 @@ 0 0 0 +0 +5 68 0xe5ec 0xaaf867b9 @@ -10750,6 +13438,8 @@ 1 1 0 +4 +5 51 0xba08 0xe992d332 @@ -10758,6 +13448,8 @@ 0 0 0 +3 +3 30 0x115d 0x7035c052 @@ -10766,6 +13458,8 @@ 1 1 0 +5 +5 54 0x68d 0x63dc9e75 @@ -10774,6 +13468,8 @@ 0 0 0 +1 +2 98 0xcef5 0xf531c744 @@ -10782,6 +13478,8 @@ 0 0 0 +2 +3 45 0x2acb 0x772a19eb @@ -10790,6 +13488,8 @@ 0 0 0 +4 +3 27 0x1827 0xd8f53f26 @@ -10798,6 +13498,8 @@ 1 1 0 +3 +4 19 0x1ff2 0x25a33f3a @@ -10806,6 +13508,8 @@ 1 1 0 +1 +0 73 0xa72e 0xfb37ddfd @@ -10814,6 +13518,8 @@ 1 1 0 +1 +0 41 0x50b0 0x979e0065 @@ -10822,6 +13528,8 @@ 1 1 0 +0 +3 82 0xb50b 0xb83e57ff @@ -10830,6 +13538,8 @@ 0 0 0 +0 +5 82 0xb0ce 0x4064b461 @@ -10838,6 +13548,8 @@ 1 0 0 +1 +5 54 0x8d38 0x1549f5e0 @@ -10846,6 +13558,8 @@ 1 1 0 +5 +0 73 0xa702 0x268ec8e9 @@ -10854,6 +13568,8 @@ 0 0 0 +0 +1 72 0xc258 0x60e9a61d @@ -10862,6 +13578,8 @@ 0 0 0 +3 +3 95 0xe941 0x6005cd45 @@ -10870,6 +13588,8 @@ 1 0 0 +4 +5 61 0x8690 0xe73d9844 @@ -10878,6 +13598,8 @@ 1 0 0 +0 +2 90 0x41a9 0x5b7933c4 @@ -10886,6 +13608,8 @@ 1 0 0 +4 +4 36 0x17e 0x862afa23 @@ -10894,6 +13618,8 @@ 1 1 0 +5 +0 16 0xd577 0xfefd62fd @@ -10902,6 +13628,8 @@ 0 0 0 +1 +0 46 0x47ec 0x131a8831 @@ -10910,6 +13638,8 @@ 0 0 0 +2 +4 85 0x4275 0xd4801bd5 @@ -10918,6 +13648,8 @@ 0 0 0 +4 +2 43 0x7194 0xbeb03aef @@ -10926,6 +13658,8 @@ 0 0 0 +3 +4 68 0x1870 0xef4782c3 @@ -10934,6 +13668,8 @@ 0 0 0 +5 +0 32 0x8539 0x91708424 @@ -10942,6 +13678,8 @@ 0 0 0 +5 +0 74 0xda79 0xd508691f @@ -10950,6 +13688,8 @@ 0 0 0 +4 +2 10 0xdd24 0x5558fe4a @@ -10958,6 +13698,8 @@ 1 1 0 +2 +3 52 0xf8cb 0x6a5e4f98 @@ -10966,6 +13708,8 @@ 0 0 0 +5 +3 88 0xbdaf 0x1be51fef @@ -10974,6 +13718,8 @@ 0 0 0 +1 +0 90 0x1284 0xf10e38e6 @@ -10982,6 +13728,8 @@ 0 0 0 +5 +0 53 0xd136 0x53f5c3f8 @@ -10990,6 +13738,8 @@ 1 0 0 +3 +2 10 0x8ff4 0x145676c2 @@ -10998,6 +13748,8 @@ 1 1 0 +5 +3 79 0xed41 0x7d38c88 @@ -11006,6 +13758,8 @@ 0 0 0 +5 +3 81 0x7549 0x3a419e9a @@ -11014,6 +13768,8 @@ 0 0 0 +3 +5 44 0x3463 0xa283eb31 @@ -11022,6 +13778,8 @@ 0 0 0 +3 +0 82 0xc4e0 0x5b7bdf36 @@ -11030,6 +13788,8 @@ 1 1 0 +1 +2 16 0x6b64 0xe25979f4 @@ -11038,6 +13798,8 @@ 0 0 0 +2 +4 28 0x98f6 0x6a323866 @@ -11046,6 +13808,8 @@ 0 0 0 +5 +4 21 0x8059 0xe4a82ab5 @@ -11054,6 +13818,8 @@ 0 0 0 +5 +4 73 0x4d8e 0x952a6a83 @@ -11062,6 +13828,8 @@ 0 0 0 +4 +0 89 0xbe94 0xaf1cfccd @@ -11070,6 +13838,8 @@ 1 1 0 +4 +1 13 0x6d23 0x52cd496d @@ -11078,6 +13848,8 @@ 1 0 0 +0 +2 83 0xb52d 0xe0a7fb84 @@ -11086,6 +13858,8 @@ 1 0 0 +0 +2 89 0x8cb2 0x7f6809c7 @@ -11094,6 +13868,8 @@ 1 1 0 +2 +4 74 0x5a77 0xbfc05341 @@ -11102,6 +13878,8 @@ 1 1 0 +0 +3 85 0xe985 0xab77ab9a @@ -11110,6 +13888,8 @@ 1 1 0 +4 +0 45 0xaded 0x6799d6a4 @@ -11118,6 +13898,8 @@ 1 0 0 +1 +4 92 0xc589 0x6fe0f8e0 @@ -11126,6 +13908,8 @@ 1 1 0 +4 +5 62 0xde8b 0x74150710 @@ -11134,6 +13918,8 @@ 0 0 0 +5 +2 90 0x2ed1 0x985391e2 @@ -11142,6 +13928,8 @@ 1 0 0 +0 +3 24 0xbe97 0xc0051f08 @@ -11150,6 +13938,8 @@ 1 1 0 +3 +3 44 0xea 0x89b5fc5d @@ -11158,6 +13948,8 @@ 0 0 0 +4 +3 18 0xccd7 0x789564fe @@ -11166,6 +13958,8 @@ 1 0 0 +5 +3 69 0x2241 0xe2fe5eff @@ -11174,6 +13968,8 @@ 0 0 0 +5 +0 30 0xa369 0xd654479a @@ -11182,6 +13978,8 @@ 1 1 0 +0 +2 74 0xea7f 0x5e54f23a @@ -11190,6 +13988,8 @@ 0 0 0 +4 +2 56 0x4cbf 0x2dca0e8f @@ -11198,6 +13998,8 @@ 0 0 0 +5 +2 46 0xfa28 0xd3cfbc1b @@ -11206,6 +14008,8 @@ 1 1 0 +2 +0 32 0xc7d0 0xbf9a0953 @@ -11214,6 +14018,8 @@ 1 0 0 +3 +4 34 0x86f0 0x4639a30d @@ -11222,6 +14028,8 @@ 1 1 0 +0 +5 84 0x2bf8 0x679e5e2f @@ -11230,6 +14038,8 @@ 1 0 0 +5 +3 80 0x210b 0xb72ef22f @@ -11238,6 +14048,8 @@ 1 1 0 +3 +1 52 0xcc36 0x8402a982 @@ -11246,6 +14058,8 @@ 0 0 0 +4 +5 59 0xad79 0x963969a6 @@ -11254,6 +14068,8 @@ 1 1 0 +3 +4 88 0x7684 0x981eb273 @@ -11262,6 +14078,8 @@ 1 1 0 +3 +4 65 0x4a4b 0x3c8525a5 @@ -11270,6 +14088,8 @@ 0 0 0 +0 +2 67 0x5174 0x974a7188 @@ -11278,6 +14098,8 @@ 0 0 0 +5 +1 29 0x20da 0x236fe6d2 @@ -11286,6 +14108,8 @@ 1 1 0 +0 +3 79 0x130d 0x388bc99 @@ -11294,6 +14118,8 @@ 1 0 0 +0 +5 82 0x5b2b 0x7c08d0b9 @@ -11302,6 +14128,8 @@ 1 1 0 +0 +1 60 0x9cd9 0xc725407 @@ -11310,6 +14138,8 @@ 1 0 0 +3 +0 45 0x6104 0xdef50c3b @@ -11318,6 +14148,8 @@ 1 1 0 +3 +3 100 0xcff6 0xf1ad4bb6 @@ -11326,6 +14158,8 @@ 1 1 0 +1 +3 99 0xbcfe 0xae09cedf @@ -11334,6 +14168,8 @@ 0 0 0 +5 +2 44 0x6f14 0xdfe7c9b6 @@ -11342,6 +14178,8 @@ 1 1 0 +1 +3 82 0xa48b 0x85eb3622 @@ -11350,6 +14188,8 @@ 0 0 0 +1 +2 17 0xaf75 0x144f1e07 @@ -11358,6 +14198,8 @@ 0 0 0 +2 +3 64 0x9cf8 0x4a64baae @@ -11366,6 +14208,8 @@ 1 0 0 +1 +4 13 0x2b35 0x2f1f01b5 @@ -11374,6 +14218,8 @@ 0 0 0 +2 +5 83 0x42ba 0x5c4f21a7 @@ -11382,6 +14228,8 @@ 0 0 0 +5 +3 12 0x21dd 0x51d5558c @@ -11390,6 +14238,8 @@ 1 0 0 +2 +2 84 0xf7b6 0x61f10a37 @@ -11398,6 +14248,8 @@ 0 0 0 +5 +3 49 0x807e 0x1324d63d @@ -11406,6 +14258,8 @@ 0 0 0 +3 +1 56 0xfe00 0x4faf685 @@ -11414,6 +14268,8 @@ 1 0 0 +5 +4 97 0x896e 0x48a32030 @@ -11422,6 +14278,8 @@ 0 0 0 +3 +2 71 0xa04d 0xbe8f1d7c @@ -11430,6 +14288,8 @@ 1 0 0 +4 +5 13 0x6797 0x246bd06f @@ -11438,6 +14298,8 @@ 1 1 0 +3 +3 49 0x8402 0x56423894 @@ -11446,6 +14308,8 @@ 0 0 0 +1 +0 87 0x1306 0x7d2a04ab @@ -11454,6 +14318,8 @@ 0 0 0 +4 +2 19 0x78c7 0x9077e29d @@ -11462,6 +14328,8 @@ 0 0 0 +1 +3 43 0x66e6 0x28652455 @@ -11470,6 +14338,8 @@ 1 1 0 +4 +2 84 0x1909 0xfc268084 @@ -11478,6 +14348,8 @@ 0 0 0 +3 +3 53 0xb22a 0x1f872b06 @@ -11486,6 +14358,8 @@ 0 0 0 +4 +1 83 0x55ac 0xf81b9f86 @@ -11494,6 +14368,8 @@ 0 0 0 +2 +2 37 0x5df5 0xd282c8a7 @@ -11502,6 +14378,8 @@ 1 1 0 +3 +3 40 0xb18e 0x913d2990 @@ -11510,6 +14388,8 @@ 0 0 0 +5 +1 42 0x94b1 0xaeb5f347 @@ -11518,6 +14398,8 @@ 0 0 0 +0 +4 79 0xf73f 0xb4623730 @@ -11526,6 +14408,8 @@ 1 1 0 +0 +2 75 0x89a5 0x6272251b @@ -11534,6 +14418,8 @@ 0 0 0 +3 +4 90 0x183d 0xdc4f4cad @@ -11542,6 +14428,8 @@ 1 0 0 +0 +1 59 0xddf4 0xc62928d0 @@ -11550,6 +14438,8 @@ 1 0 0 +0 +1 90 0x12ae 0xb9781cde @@ -11558,6 +14448,8 @@ 1 0 0 +4 +1 65 0x6d2f 0xee2e2b30 @@ -11566,6 +14458,8 @@ 1 0 0 +2 +1 49 0xc476 0xfacc5814 @@ -11574,6 +14468,8 @@ 1 0 0 +4 +2 45 0x3fa2 0xb76d33b1 @@ -11582,6 +14478,8 @@ 0 0 0 +2 +2 79 0x9ecb 0xec8876f5 @@ -11590,6 +14488,8 @@ 1 0 0 +0 +5 25 0xcaf7 0x52d99714 @@ -11598,6 +14498,8 @@ 0 0 0 +2 +1 92 0xf045 0x1af5635c @@ -11606,6 +14508,8 @@ 1 1 0 +2 +5 94 0x1bb 0x5a5586b1 @@ -11614,6 +14518,8 @@ 1 0 0 +5 +0 47 0x26bd 0x303e1fbb @@ -11622,6 +14528,8 @@ 0 0 0 +3 +5 89 0xd652 0x91671902 @@ -11630,6 +14538,8 @@ 0 0 0 +0 +1 24 0x7391 0x7332038d @@ -11638,6 +14548,8 @@ 0 0 0 +0 +5 68 0x2f1b 0x23a9d738 @@ -11646,6 +14558,8 @@ 0 0 0 +2 +3 14 0x3338 0x5f648ae1 @@ -11654,6 +14568,8 @@ 1 0 0 +3 +3 12 0xa4ca 0x4dc0137c @@ -11662,6 +14578,8 @@ 0 0 0 +3 +5 69 0xaf2b 0xd8f76314 @@ -11670,6 +14588,8 @@ 0 0 0 +0 +1 20 0xf7d2 0x1d939a61 @@ -11678,6 +14598,8 @@ 1 0 0 +4 +3 37 0xdb29 0xc171fe87 @@ -11686,6 +14608,8 @@ 1 1 0 +2 +5 44 0xb8d6 0xc7f78b68 @@ -11694,6 +14618,8 @@ 0 0 0 +0 +0 66 0x7fc4 0xf654ef2 @@ -11702,6 +14628,8 @@ 0 0 0 +3 +5 56 0x5caa 0xdaf1f837 @@ -11710,6 +14638,8 @@ 0 0 0 +1 +0 96 0x20ed 0x455e2ef9 @@ -11718,6 +14648,8 @@ 1 0 0 +3 +3 65 0x17b4 0x26c93b71 @@ -11726,6 +14658,8 @@ 1 1 0 +5 +4 17 0xb9eb 0x5ae9d1a6 @@ -11734,6 +14668,8 @@ 0 0 0 +0 +3 52 0x8c6a 0x2d141d31 @@ -11742,6 +14678,8 @@ 0 0 0 +5 +0 34 0x137f 0x67224add @@ -11750,6 +14688,8 @@ 1 1 0 +4 +1 35 0x1a20 0xccd215a0 @@ -11758,6 +14698,8 @@ 1 1 0 +5 +4 20 0x7811 0x62397193 @@ -11766,6 +14708,8 @@ 0 0 0 +1 +4 29 0x4962 0xd40684fc @@ -11774,6 +14718,8 @@ 0 0 0 +3 +0 67 0x7aac 0x8937d340 @@ -11782,6 +14728,8 @@ 0 0 0 +0 +2 28 0x4089 0x27383cee @@ -11790,6 +14738,8 @@ 0 0 0 +3 +5 39 0xd159 0xb583fc84 @@ -11798,6 +14748,8 @@ 0 0 0 +3 +3 19 0x4514 0xc6de0287 @@ -11806,6 +14758,8 @@ 1 1 0 +0 +4 16 0xe638 0xdfa54a61 @@ -11814,6 +14768,8 @@ 0 0 0 +2 +3 13 0xc3c9 0xd1de7a5c @@ -11822,6 +14778,8 @@ 0 0 0 +5 +3 96 0xf341 0x3935a734 @@ -11830,6 +14788,8 @@ 1 0 0 +1 +2 26 0xa42f 0xfcc0dabe @@ -11838,6 +14798,8 @@ 1 0 0 +2 +3 41 0xb901 0x3fbc6691 @@ -11846,6 +14808,8 @@ 0 0 0 +2 +3 48 0xfc8b 0xbcb2aa91 @@ -11854,6 +14818,8 @@ 1 1 0 +4 +2 39 0x133e 0xaee67855 @@ -11862,6 +14828,8 @@ 1 0 0 +5 +3 94 0xd12f 0x2fdb149a @@ -11870,6 +14838,8 @@ 0 0 0 +0 +3 15 0x8c66 0xbde75824 @@ -11878,6 +14848,8 @@ 1 1 0 +4 +3 66 0xa257 0x60206fe @@ -11886,6 +14858,8 @@ 1 1 0 +5 +3 55 0xfa2d 0x54292394 @@ -11894,6 +14868,8 @@ 0 0 0 +2 +4 45 0xa9cf 0xbea8ea37 @@ -11902,6 +14878,8 @@ 0 0 0 +3 +3 71 0x8189 0x4201abe @@ -11910,6 +14888,8 @@ 0 0 0 +1 +5 32 0x56aa 0x4fdca3b3 @@ -11918,6 +14898,8 @@ 1 1 0 +2 +5 87 0xe574 0x963f8611 @@ -11926,6 +14908,8 @@ 0 0 0 +0 +1 79 0xdb00 0xc56f4626 @@ -11934,6 +14918,8 @@ 0 0 0 +5 +0 94 0xccf7 0x55570027 @@ -11942,6 +14928,8 @@ 0 0 0 +4 +0 56 0x341e 0x81e8b0df @@ -11950,6 +14938,8 @@ 1 1 0 +3 +2 51 0xc4b6 0xf18b21fa @@ -11958,6 +14948,8 @@ 1 0 0 +5 +2 58 0x3449 0x1d9839cd @@ -11966,6 +14958,8 @@ 0 0 0 +0 +0 62 0xb418 0x9d9b26df @@ -11974,6 +14968,8 @@ 0 0 0 +5 +0 38 0x903e 0xa7a22f9e @@ -11982,6 +14978,8 @@ 1 0 0 +2 +4 19 0x2f22 0x302f23de @@ -11990,6 +14988,8 @@ 1 1 0 +5 +3 39 0xcb65 0x1f3441b0 @@ -11998,6 +14998,8 @@ 1 1 0 +2 +4 58 0x3675 0x7e7dd2dd @@ -12006,6 +15008,8 @@ 0 0 0 +5 +4 52 0x1891 0x92812561 @@ -12014,6 +15018,8 @@ 1 1 0 +0 +5 91 0x27c4 0x34b58f36 @@ -12022,6 +15028,8 @@ 1 1 0 +4 +4 64 0x673 0x8963314 @@ -12030,6 +15038,8 @@ 1 0 0 +3 +3 67 0xf64 0xbe364dd7 @@ -12038,6 +15048,8 @@ 1 0 0 +5 +4 47 0xb07f 0xb21c08e9 @@ -12046,6 +15058,8 @@ 1 1 0 +0 +5 86 0xcfb 0xf1c2856b @@ -12054,6 +15068,8 @@ 0 0 0 +3 +0 92 0x368 0x2b8022fb @@ -12062,6 +15078,8 @@ 1 0 0 +1 +5 12 0x1622 0xa0829d15 @@ -12070,6 +15088,8 @@ 1 0 0 +0 +1 55 0x3468 0xb91f2e7f @@ -12078,6 +15098,8 @@ 0 0 0 +2 +5 88 0x49f3 0xcabfa31a @@ -12086,6 +15108,8 @@ 0 0 0 +0 +0 88 0xa89 0xb76c7a77 @@ -12094,6 +15118,8 @@ 0 0 0 +1 +2 35 0xb79b 0x9388cbd1 @@ -12102,6 +15128,8 @@ 1 0 0 +3 +5 31 0x13ed 0x641ba026 @@ -12110,6 +15138,8 @@ 0 0 0 +3 +4 30 0x20dc 0x404e8900 @@ -12118,6 +15148,8 @@ 0 0 0 +1 +3 57 0x8a21 0x9101fda2 @@ -12126,6 +15158,8 @@ 0 0 0 +3 +3 95 0x3dd8 0x4eb0ebd9 @@ -12134,6 +15168,8 @@ 1 1 0 +5 +3 66 0x577b 0xe232a87f @@ -12142,6 +15178,8 @@ 1 0 0 +4 +1 15 0xa1ad 0xabc716eb @@ -12150,6 +15188,8 @@ 0 0 0 +0 +0 21 0x747b 0x2363141a @@ -12158,6 +15198,8 @@ 1 0 0 +2 +1 31 0xe778 0x8d76db7c @@ -12166,6 +15208,8 @@ 1 1 0 +0 +1 77 0x5fc5 0xda59e8b6 @@ -12174,6 +15218,8 @@ 1 0 0 +0 +3 94 0xd1fc 0x831cd7d9 @@ -12182,6 +15228,8 @@ 1 0 0 +4 +5 26 0xbc3a 0x20fbd2e6 @@ -12190,6 +15238,8 @@ 1 1 0 +1 +1 78 0x3abc 0x9b514db1 @@ -12198,6 +15248,8 @@ 1 1 0 +0 +2 95 0x4fae 0xeca0a01a @@ -12206,6 +15258,8 @@ 0 0 0 +4 +0 87 0x33db 0x8591c5c1 @@ -12214,6 +15268,8 @@ 1 1 0 +5 +5 70 0xbb82 0x8cb9975f @@ -12222,6 +15278,8 @@ 0 0 0 +4 +2 66 0x2f4f 0x430073a0 @@ -12230,6 +15288,8 @@ 1 0 0 +3 +0 69 0x8724 0x3bafb3a2 @@ -12238,6 +15298,8 @@ 0 0 0 +5 +1 89 0xda22 0xbd4e67a6 @@ -12246,6 +15308,8 @@ 0 0 0 +1 +3 52 0xff89 0x9e7ac910 @@ -12254,6 +15318,8 @@ 1 0 0 +4 +5 62 0x23f9 0x73c8841e @@ -12262,6 +15328,8 @@ 1 1 0 +4 +0 17 0xe7e7 0x4aed8e65 @@ -12270,6 +15338,8 @@ 0 0 0 +2 +4 44 0x5069 0x767af4d3 @@ -12278,6 +15348,8 @@ 1 0 0 +5 +4 28 0xbfed 0x4f863cbf @@ -12286,6 +15358,8 @@ 1 0 0 +5 +3 100 0x152b 0x996e842d @@ -12294,6 +15368,8 @@ 1 0 0 +4 +4 81 0xe33a 0xccca5042 @@ -12302,6 +15378,8 @@ 1 1 0 +1 +5 18 0x5a3 0xeddea265 @@ -12310,6 +15388,8 @@ 1 0 0 +5 +2 78 0x6130 0x4e120181 @@ -12318,6 +15398,8 @@ 1 1 0 +2 +3 94 0x7f1c 0xda390692 @@ -12326,6 +15408,8 @@ 1 1 0 +0 +2 28 0x9c28 0x5cb25609 @@ -12334,6 +15418,8 @@ 0 0 0 +4 +5 79 0xd072 0xd9c45cb6 @@ -12342,6 +15428,8 @@ 1 0 0 +2 +1 48 0xe30e 0x623a04d6 @@ -12350,6 +15438,8 @@ 1 1 0 +2 +3 75 0x4dbf 0x21227cd7 @@ -12358,6 +15448,8 @@ 1 1 0 +0 +3 88 0x5462 0x5473a5e8 @@ -12366,6 +15458,8 @@ 1 0 0 +2 +1 68 0xd64d 0xc70d84be @@ -12374,6 +15468,8 @@ 0 0 0 +4 +4 69 0x666a 0xe9913352 @@ -12382,6 +15478,8 @@ 1 0 0 +0 +2 81 0x7a76 0xd1bf0ab5 @@ -12390,6 +15488,8 @@ 1 1 0 +1 +4 32 0x5e24 0x2aa7965c @@ -12398,6 +15498,8 @@ 0 0 0 +1 +5 71 0x509 0x2a997e44 @@ -12406,6 +15508,8 @@ 1 0 0 +0 +1 32 0xc4e8 0x841827ea @@ -12414,6 +15518,8 @@ 0 0 0 +0 +0 79 0x43ed 0x4a67ca52 @@ -12422,6 +15528,8 @@ 0 0 0 +5 +4 71 0x524b 0x1139e447 @@ -12430,6 +15538,8 @@ 1 1 0 +1 +3 42 0x3c04 0xa1c2d57f @@ -12438,6 +15548,8 @@ 1 1 0 +0 +3 59 0xf2f2 0x7b44e6c @@ -12446,6 +15558,8 @@ 0 0 0 +4 +3 94 0x4e5f 0xd9b0eb95 @@ -12454,6 +15568,8 @@ 0 0 0 +2 +2 96 0xe3c6 0xcad109b2 @@ -12462,6 +15578,8 @@ 1 1 0 +1 +3 84 0xca1 0x47c9167a @@ -12470,6 +15588,8 @@ 0 0 0 +3 +0 60 0x3c21 0x544818bb @@ -12478,6 +15598,8 @@ 1 0 0 +2 +3 96 0xca65 0x6353c53e @@ -12486,6 +15608,8 @@ 1 0 0 +2 +2 11 0x9b1a 0x20d0dd06 @@ -12494,6 +15618,8 @@ 1 0 0 +2 +2 94 0xedad 0xbb74836 @@ -12502,6 +15628,8 @@ 0 0 0 +2 +0 95 0x4585 0xbef6e441 @@ -12510,6 +15638,8 @@ 0 0 0 +0 +2 76 0xc5f6 0x8329617e @@ -12518,6 +15648,8 @@ 0 0 0 +2 +1 82 0xef1c 0xed27f9de @@ -12526,6 +15658,8 @@ 0 0 0 +1 +1 76 0xf04b 0x1dc0c772 @@ -12534,6 +15668,8 @@ 0 0 0 +5 +0 90 0x6299 0x440d94bb @@ -12542,6 +15678,8 @@ 0 0 0 +5 +0 99 0x5d71 0x346d3676 @@ -12550,6 +15688,8 @@ 1 0 0 +1 +5 44 0x1158 0xad092911 @@ -12558,6 +15698,8 @@ 1 0 0 +2 +0 36 0xb994 0xc1c39714 @@ -12566,6 +15708,8 @@ 0 0 0 +3 +1 21 0x1d91 0x6ac876e4 @@ -12574,6 +15718,8 @@ 1 0 0 +2 +4 32 0xbd6d 0x39592f0a @@ -12582,6 +15728,8 @@ 0 0 0 +5 +0 96 0xcff2 0x2743d1b6 @@ -12590,6 +15738,8 @@ 1 0 0 +0 +0 94 0x1480 0x94619f3d @@ -12598,6 +15748,8 @@ 1 1 0 +1 +3 53 0xcd96 0x72894ea9 @@ -12606,6 +15758,8 @@ 1 1 0 +4 +2 51 0x4525 0xd3e81e47 @@ -12614,6 +15768,8 @@ 0 0 0 +2 +3 91 0x73e6 0xb11b4f30 @@ -12622,6 +15778,8 @@ 1 1 0 +4 +2 97 0x82b7 0x70476a1c @@ -12630,6 +15788,8 @@ 1 0 0 +1 +5 58 0xf108 0xc7467d22 @@ -12638,6 +15798,8 @@ 0 0 0 +2 +0 95 0x6e72 0xd24eea07 @@ -12646,6 +15808,8 @@ 0 0 0 +3 +1 76 0x5c9d 0xfbac1816 @@ -12654,6 +15818,8 @@ 0 0 0 +5 +3 32 0xeb29 0x28ac4470 @@ -12662,6 +15828,8 @@ 1 1 0 +1 +0 47 0xe264 0x33cbaf3d @@ -12670,6 +15838,8 @@ 1 1 0 +5 +0 63 0x9739 0xf160a5be @@ -12678,6 +15848,8 @@ 1 0 0 +0 +1 39 0x737e 0x9ba5834c @@ -12686,6 +15858,8 @@ 1 0 0 +5 +2 63 0xd7b4 0x4e09119 @@ -12694,6 +15868,8 @@ 1 0 0 +2 +5 91 0x41f 0x7223e001 @@ -12702,6 +15878,8 @@ 0 0 0 +3 +3 11 0xfd4 0xd4132271 @@ -12710,6 +15888,8 @@ 1 0 0 +4 +0 94 0x79f4 0x1578b87c @@ -12718,6 +15898,8 @@ 1 1 0 +2 +5 55 0xa73a 0x52c05f98 @@ -12726,6 +15908,8 @@ 0 0 0 +2 +5 23 0x3dd8 0x1cfed75e @@ -12734,6 +15918,8 @@ 1 1 0 +1 +3 78 0x9bca 0xa068c7e8 @@ -12742,6 +15928,8 @@ 1 0 0 +5 +4 78 0x42b 0xba82383e @@ -12750,6 +15938,8 @@ 1 0 0 +4 +2 55 0x9052 0x772c7802 @@ -12758,6 +15948,8 @@ 1 0 0 +3 +3 98 0x753c 0x585b250f @@ -12766,6 +15958,8 @@ 1 1 0 +0 +0 95 0xc1ff 0x968b65f @@ -12774,6 +15968,8 @@ 1 1 0 +0 +1 87 0xa914 0xb088585d @@ -12782,6 +15978,8 @@ 1 1 0 +2 +4 16 0x8443 0xc20fd56 @@ -12790,6 +15988,8 @@ 0 0 0 +1 +5 82 0x7f09 0x819ba769 @@ -12798,6 +15998,8 @@ 1 0 0 +1 +3 85 0x1f7d 0x2f0a53db @@ -12806,6 +16008,8 @@ 1 0 0 +3 +0 12 0xbf61 0x63375795 @@ -12814,6 +16018,8 @@ 1 0 0 +5 +3 49 0x3dec 0x971863a5 @@ -12822,6 +16028,8 @@ 0 0 0 +1 +3 23 0x6902 0xd86f0067 @@ -12830,6 +16038,8 @@ 1 0 0 +3 +5 32 0x66a7 0xfe6ba072 @@ -12838,6 +16048,8 @@ 0 0 0 +1 +4 88 0xf170 0x8646dd8c @@ -12846,6 +16058,8 @@ 0 0 0 +5 +1 70 0xae8d 0x2c349326 @@ -12854,6 +16068,8 @@ 1 0 0 +2 +1 13 0x434f 0x16dc3b96 @@ -12862,6 +16078,8 @@ 0 0 0 +2 +2 41 0x651 0x849304e1 @@ -12870,6 +16088,8 @@ 1 0 0 +0 +4 41 0xebf1 0xd92f5b75 @@ -12878,6 +16098,8 @@ 1 0 0 +5 +2 30 0xbcd4 0x86ba96d @@ -12886,6 +16108,8 @@ 1 0 0 +4 +0 65 0x3853 0x69a3fbe9 @@ -12894,6 +16118,8 @@ 0 0 0 +3 +4 30 0xaa5 0x254b1a7c @@ -12902,6 +16128,8 @@ 0 0 0 +0 +4 95 0x1ba1 0xb651ec2d @@ -12910,6 +16138,8 @@ 0 0 0 +1 +3 85 0x441e 0x1bceaed1 @@ -12918,6 +16148,8 @@ 0 0 0 +2 +1 82 0xf9c3 0x1418969d @@ -12926,6 +16158,8 @@ 0 0 0 +3 +3 66 0xdf 0x3f0218ac @@ -12934,6 +16168,8 @@ 1 1 0 +4 +0 86 0x986b 0xc1e19ce2 @@ -12942,6 +16178,8 @@ 0 0 0 +1 +2 18 0xf008 0x46e1acb3 @@ -12950,6 +16188,8 @@ 0 0 0 +3 +2 90 0x9ddc 0xdeea420d @@ -12958,6 +16198,8 @@ 1 1 0 +1 +1 68 0xd6f4 0x862e6c35 @@ -12966,6 +16208,8 @@ 0 0 0 +2 +0 32 0x3aef 0xa629b04 @@ -12974,6 +16218,8 @@ 1 0 0 +4 +0 23 0x23dd 0x764bec9a @@ -12982,6 +16228,8 @@ 1 0 0 +0 +1 53 0xbb01 0x501e7225 @@ -12990,6 +16238,8 @@ 1 0 0 +5 +0 46 0x1e6f 0xeb7eef84 @@ -12998,6 +16248,8 @@ 1 0 0 +5 +2 98 0x6b3 0xe0de1f50 @@ -13006,6 +16258,8 @@ 0 0 0 +3 +0 30 0x90af 0xadb2c9ff @@ -13014,6 +16268,8 @@ 1 1 0 +0 +3 70 0xfdf9 0x6b581fef @@ -13022,6 +16278,8 @@ 1 0 0 +5 +1 64 0xe762 0x95037e0a @@ -13030,6 +16288,8 @@ 0 0 0 +0 +4 21 0xe0df 0x8d55c231 @@ -13038,6 +16298,8 @@ 0 0 0 +0 +1 55 0x57bb 0x7908855f @@ -13046,6 +16308,8 @@ 1 0 0 +5 +4 82 0xf7e0 0x83c11ea1 @@ -13054,6 +16318,8 @@ 0 0 0 +2 +5 25 0xa2d3 0xded87727 @@ -13062,6 +16328,8 @@ 0 0 0 +4 +4 82 0x95bf 0x88f930b9 @@ -13070,6 +16338,8 @@ 0 0 0 +0 +3 65 0x72e0 0x2972b606 @@ -13078,6 +16348,8 @@ 1 1 0 +5 +5 31 0x3726 0xcae87d91 @@ -13086,6 +16358,8 @@ 1 0 0 +2 +3 35 0xb 0x6c68708b @@ -13094,6 +16368,8 @@ 0 0 0 +2 +5 11 0x554e 0x65f9aedf @@ -13102,6 +16378,8 @@ 0 0 0 +2 +5 96 0x9b3a 0x86a1e549 @@ -13110,6 +16388,8 @@ 1 1 0 +0 +3 28 0x755b 0x7f680412 @@ -13118,6 +16398,8 @@ 0 0 0 +4 +1 20 0x24bb 0x71e3245f @@ -13126,6 +16408,8 @@ 1 1 0 +0 +0 59 0x94e8 0x24cad405 @@ -13134,6 +16418,8 @@ 1 1 0 +1 +4 86 0xaf6c 0x6d3619cd @@ -13142,6 +16428,8 @@ 1 0 0 +1 +5 14 0xa79f 0x7c5b96e3 @@ -13150,6 +16438,8 @@ 1 0 0 +5 +3 42 0xcd04 0x6f998d2c @@ -13158,6 +16448,8 @@ 1 1 0 +4 +4 19 0x76c9 0x3ad526af @@ -13166,6 +16458,8 @@ 0 0 0 +3 +0 36 0x3010 0xac257268 @@ -13174,6 +16468,8 @@ 0 0 0 +5 +0 98 0xe02f 0x328903d0 @@ -13182,6 +16478,8 @@ 1 1 0 +3 +5 10 0xa5fc 0x9b68fd59 @@ -13190,6 +16488,8 @@ 0 0 0 +5 +5 80 0xb55c 0x335547ec @@ -13198,6 +16498,8 @@ 0 0 0 +2 +1 10 0x1e89 0xda475b08 @@ -13206,6 +16508,8 @@ 0 0 0 +4 +1 23 0xc06a 0x6aebaf34 @@ -13214,6 +16518,8 @@ 1 1 0 +0 +4 16 0x4eb8 0x205ecd7d @@ -13222,6 +16528,8 @@ 0 0 0 +0 +5 83 0x3ae1 0x47787af8 @@ -13230,6 +16538,8 @@ 1 1 0 +3 +1 75 0x7a41 0xecf3a38c @@ -13238,6 +16548,8 @@ 1 0 0 +2 +0 67 0x4b37 0x5f898026 @@ -13246,6 +16558,8 @@ 0 0 0 +0 +2 90 0xefab 0x6fc4060 @@ -13254,6 +16568,8 @@ 0 0 0 +3 +1 49 0x8533 0x80e3c984 @@ -13262,6 +16578,8 @@ 1 0 0 +3 +2 30 0xb18b 0x736ea3 @@ -13270,6 +16588,8 @@ 1 0 0 +1 +0 21 0xb721 0x8faa406a @@ -13278,6 +16598,8 @@ 0 0 0 +0 +2 96 0xc750 0x7f9cef26 @@ -13286,6 +16608,8 @@ 1 1 0 +0 +1 89 0x68f 0x61ff67fc @@ -13294,6 +16618,8 @@ 1 1 0 +4 +4 82 0xb88c 0x50220955 @@ -13302,6 +16628,8 @@ 0 0 0 +5 +4 36 0x77c6 0x444d46b @@ -13310,6 +16638,8 @@ 0 0 0 +5 +1 88 0x7019 0xda4341a6 @@ -13318,6 +16648,8 @@ 1 1 0 +4 +2 61 0x391d 0xd1365047 @@ -13326,6 +16658,8 @@ 0 0 0 +2 +3 46 0xf59e 0xf36e5bc0 @@ -13334,6 +16668,8 @@ 0 0 0 +3 +1 53 0x514e 0x8763f40d @@ -13342,6 +16678,8 @@ 0 0 0 +0 +5 86 0x2706 0xe694023c @@ -13350,6 +16688,8 @@ 0 0 0 +0 +0 65 0x4baf 0xe0c8a698 @@ -13358,6 +16698,8 @@ 1 1 0 +0 +4 92 0x4e9b 0x98cbaefa @@ -13366,6 +16708,8 @@ 0 0 0 +0 +5 13 0xdfef 0x693e50ba @@ -13374,6 +16718,8 @@ 0 0 0 +2 +1 39 0x3eb8 0x1388342a @@ -13382,6 +16728,8 @@ 0 0 0 +3 +4 40 0x796d 0xc815f132 @@ -13390,6 +16738,8 @@ 0 0 0 +5 +2 44 0xea35 0xc44776d8 @@ -13398,6 +16748,8 @@ 0 0 0 +0 +4 58 0xe091 0x620e589 @@ -13406,6 +16758,8 @@ 1 1 0 +5 +5 28 0xf9bc 0x26c35a78 @@ -13414,6 +16768,8 @@ 1 0 0 +5 +3 53 0xb976 0x37c1ec9f @@ -13422,6 +16778,8 @@ 1 0 0 +2 +5 73 0x43c5 0xcfaa4eef @@ -13430,6 +16788,8 @@ 1 0 0 +1 +5 17 0x9f26 0x6b63d211 @@ -13438,6 +16798,8 @@ 1 0 0 +3 +5 77 0xadd4 0xfe23a335 @@ -13446,6 +16808,8 @@ 1 1 0 +3 +0 84 0x1d5b 0x3dc07aae @@ -13454,6 +16818,8 @@ 1 0 0 +2 +1 92 0xfecb 0x87cab45e @@ -13462,6 +16828,8 @@ 0 0 0 +1 +4 51 0x58cb 0xe9ccd53e @@ -13470,6 +16838,8 @@ 1 0 0 +1 +0 14 0x1c16 0xe561686f @@ -13478,6 +16848,8 @@ 1 0 0 +0 +1 68 0x9147 0x131f639e @@ -13486,6 +16858,8 @@ 0 0 0 +4 +1 16 0xdd6a 0x5d0116be @@ -13494,6 +16868,8 @@ 0 0 0 +2 +4 74 0xbcd7 0x8fc7cc83 @@ -13502,6 +16878,8 @@ 1 0 0 +2 +4 72 0x2ffe 0x69eaf6be @@ -13510,6 +16888,8 @@ 1 0 0 +5 +0 75 0xe5e2 0xdf22abe3 @@ -13518,6 +16898,8 @@ 1 1 0 +4 +1 88 0x8d4e 0x9e57c9bc @@ -13526,6 +16908,8 @@ 0 0 0 +1 +2 46 0x3326 0xaa2e0540 @@ -13534,6 +16918,8 @@ 1 0 0 +3 +1 43 0x6c7a 0x5c1bb79c @@ -13542,6 +16928,8 @@ 1 0 0 +2 +4 56 0x941f 0xd060992c @@ -13550,6 +16938,8 @@ 1 0 0 +2 +4 97 0x2692 0x81078a7 @@ -13558,6 +16948,8 @@ 0 0 0 +0 +1 54 0x395b 0xaa2fad68 @@ -13566,6 +16958,8 @@ 0 0 0 +4 +0 17 0x1e36 0x19e9d126 @@ -13574,6 +16968,8 @@ 1 0 0 +1 +0 24 0x9e71 0x9b0eadfb @@ -13582,6 +16978,8 @@ 0 0 0 +5 +2 22 0x8a7f 0xe4516616 @@ -13590,6 +16988,8 @@ 1 1 0 +0 +4 53 0xd9e0 0x9dc9297c @@ -13598,6 +16998,8 @@ 1 1 0 +2 +0 54 0x9372 0xac1cdd9b @@ -13606,6 +17008,8 @@ 1 0 0 +0 +5 96 0x500e 0x533d85b2 @@ -13614,6 +17018,8 @@ 1 1 0 +0 +5 70 0x51fe 0xb643e91a @@ -13622,6 +17028,8 @@ 0 0 0 +4 +4 80 0xd9f5 0x2631e6c5 @@ -13630,6 +17038,8 @@ 0 0 0 +0 +1 88 0x1c13 0x857ac635 @@ -13638,6 +17048,8 @@ 0 0 0 +0 +5 88 0x76b8 0x43aa5154 @@ -13646,6 +17058,8 @@ 0 0 0 +5 +5 60 0xd252 0xbd246e9f @@ -13654,6 +17068,8 @@ 0 0 0 +3 +5 47 0x8ebc 0xd3a744e7 @@ -13662,6 +17078,8 @@ 0 0 0 +1 +0 71 0xf67e 0xfcdfa332 @@ -13670,6 +17088,8 @@ 1 0 0 +2 +2 23 0x41fc 0x3d247ff6 @@ -13678,6 +17098,8 @@ 0 0 0 +3 +4 57 0xa09b 0x17dae939 @@ -13686,6 +17108,8 @@ 0 0 0 +5 +2 67 0x3dc7 0xf134af05 @@ -13694,6 +17118,8 @@ 1 0 0 +4 +5 43 0xab86 0x459dabe2 @@ -13702,6 +17128,8 @@ 0 0 0 +2 +1 82 0xab41 0xe161ffb7 @@ -13710,6 +17138,8 @@ 0 0 0 +3 +0 45 0x5925 0x710ddd34 @@ -13718,6 +17148,8 @@ 1 0 0 +1 +4 69 0x147b 0x1ca01c2e @@ -13726,6 +17158,8 @@ 1 0 0 +4 +3 85 0x43b 0x24c55546 @@ -13734,6 +17168,8 @@ 1 1 0 +5 +3 25 0xbc5b 0x882fa5ff @@ -13742,6 +17178,8 @@ 0 0 0 +2 +3 42 0x1cc 0xc6de8938 @@ -13750,6 +17188,8 @@ 0 0 0 +1 +0 53 0xd8a4 0x99f5d7c9 @@ -13758,6 +17198,8 @@ 0 0 0 +3 +4 10 0xa419 0xf448581d @@ -13766,6 +17208,8 @@ 0 0 0 +0 +1 64 0x11f5 0x88a3d240 @@ -13774,6 +17218,8 @@ 0 0 0 +5 +2 51 0x5a4e 0x95a4207a @@ -13782,6 +17228,8 @@ 1 0 0 +3 +4 74 0xa2f0 0x5a9fc91e @@ -13790,6 +17238,8 @@ 0 0 0 +1 +3 70 0x4d59 0xd489191a @@ -13798,6 +17248,8 @@ 0 0 0 +5 +2 79 0x151d 0xb489ea1e @@ -13806,6 +17258,8 @@ 1 1 0 +1 +4 95 0x43f0 0x496ad20e @@ -13814,6 +17268,8 @@ 0 0 0 +1 +0 36 0x32c7 0x4e2512f5 @@ -13822,6 +17278,8 @@ 1 0 0 +3 +1 55 0x5aa9 0xcbff3f5c @@ -13830,6 +17288,8 @@ 1 1 0 +1 +3 71 0xf2c1 0x3cf0ad9e @@ -13838,6 +17298,8 @@ 1 1 0 +0 +0 21 0x8e57 0xa57415b4 @@ -13846,6 +17308,8 @@ 1 1 0 +2 +3 39 0xca07 0xa42ddaac @@ -13854,6 +17318,8 @@ 1 1 0 +2 +3 42 0xc14f 0xd9ae95db @@ -13862,6 +17328,8 @@ 1 0 0 +1 +1 54 0xc0fe 0x4a42b21f @@ -13870,6 +17338,8 @@ 0 0 0 +4 +2 89 0xf235 0x77561d25 @@ -13878,6 +17348,8 @@ 0 0 0 +1 +3 31 0x2098 0xdcb8a301 @@ -13886,6 +17358,8 @@ 1 1 0 +2 +5 33 0x8967 0x617ebef1 @@ -13894,6 +17368,8 @@ 0 0 0 +4 +0 31 0xcf70 0xf91e2115 @@ -13902,6 +17378,8 @@ 0 0 0 +0 +2 14 0x9bbb 0x7cc02d3 @@ -13910,6 +17388,8 @@ 1 0 0 +3 +3 40 0x98bc 0x27da8bf2 @@ -13918,6 +17398,8 @@ 0 0 0 +1 +4 77 0x5002 0x8b1fbadb @@ -13926,6 +17408,8 @@ 1 1 0 +0 +2 75 0xc0e8 0x92399cf4 @@ -13934,6 +17418,8 @@ 1 0 0 +0 +4 48 0xdf23 0xe82e1fa @@ -13942,6 +17428,8 @@ 0 0 0 +1 +2 86 0x959d 0xdfa43f0b @@ -13950,6 +17438,8 @@ 1 1 0 +1 +5 46 0xfe50 0x50b8f82c @@ -13958,6 +17448,8 @@ 0 0 0 +0 +5 92 0xa51c 0x6719e147 @@ -13966,6 +17458,8 @@ 0 0 0 +4 +5 84 0x60b2 0x559c47db @@ -13974,6 +17468,8 @@ 0 0 0 +5 +5 78 0xe38 0xb009112e @@ -13982,6 +17478,8 @@ 0 0 0 +1 +2 37 0xb791 0x1f02e836 @@ -13990,6 +17488,8 @@ 1 1 0 +4 +1 65 0x92a8 0x4be56589 @@ -13998,6 +17498,8 @@ 1 1 0 +5 +5 53 0x98b6 0x3b13011e @@ -14006,6 +17508,8 @@ 0 0 0 +1 +5 38 0x3592 0x93da6627 @@ -14014,6 +17518,8 @@ 0 0 0 +2 +1 95 0x4332 0xb3fbbdb1 @@ -14022,6 +17528,8 @@ 0 0 0 +3 +3 14 0x38cb 0x4ef6a96f @@ -14030,6 +17538,8 @@ 0 0 0 +2 +2 96 0xd216 0xb2702237 @@ -14038,6 +17548,8 @@ 1 0 0 +4 +3 85 0xd9ba 0xc1ca7623 @@ -14046,6 +17558,8 @@ 1 1 0 +3 +5 13 0xe4b 0xe058539c @@ -14054,6 +17568,8 @@ 1 1 0 +3 +2 38 0xb067 0xaa0e8d0 @@ -14062,6 +17578,8 @@ 0 0 0 +4 +0 23 0x4ffd 0x7e026769 @@ -14070,6 +17588,8 @@ 0 0 0 +5 +4 54 0xe100 0x33261315 @@ -14078,6 +17598,8 @@ 1 0 0 +2 +0 73 0xe9ab 0xa0a00bbf @@ -14086,6 +17608,8 @@ 0 0 0 +4 +1 60 0xc752 0x658861b6 @@ -14094,6 +17618,8 @@ 1 0 0 +3 +2 61 0xe788 0xb7dc9980 @@ -14102,6 +17628,8 @@ 1 1 0 +4 +1 47 0x84b 0xca0da46a @@ -14110,6 +17638,8 @@ 0 0 0 +4 +1 46 0xbb2 0x1379100e @@ -14118,6 +17648,8 @@ 1 0 0 +1 +0 55 0xeba8 0x1a65274 @@ -14126,6 +17658,8 @@ 0 0 0 +0 +0 39 0xcecd 0x8b82b687 @@ -14134,6 +17668,8 @@ 0 0 0 +1 +4 96 0xdbc9 0xf12d3abc @@ -14142,6 +17678,8 @@ 1 1 0 +3 +5 42 0xc9d9 0x7a6d7fc3 @@ -14150,6 +17688,8 @@ 0 0 0 +1 +3 86 0xe0ce 0x1f5152f @@ -14158,6 +17698,8 @@ 1 1 0 +2 +0 21 0x485b 0xe99e7656 @@ -14166,6 +17708,8 @@ 0 0 0 +5 +4 27 0x9ce0 0xf8a69d9 @@ -14174,6 +17718,8 @@ 0 0 0 +1 +2 48 0x5cf4 0x5d639214 @@ -14182,6 +17728,8 @@ 0 0 0 +0 +5 50 0xb6d3 0xf7cb19e8 @@ -14190,6 +17738,8 @@ 1 0 0 +0 +2 12 0xcc67 0x2af965da @@ -14198,6 +17748,8 @@ 1 0 0 +0 +5 70 0xf8b6 0xf3597a9e @@ -14206,6 +17758,8 @@ 0 0 0 +0 +3 94 0xc845 0xf1c16fc @@ -14214,6 +17768,8 @@ 0 0 0 +5 +3 64 0x3969 0xc9f1c93d @@ -14222,6 +17778,8 @@ 1 1 0 +5 +1 40 0xb110 0x2340e15a @@ -14230,6 +17788,8 @@ 0 0 0 +1 +1 37 0x89f8 0xead302a4 @@ -14238,6 +17798,8 @@ 1 1 0 +2 +4 63 0x29a4 0x9089dc01 @@ -14246,6 +17808,8 @@ 0 0 0 +2 +2 35 0x625e 0x14f0b83d @@ -14254,6 +17818,8 @@ 1 1 0 +3 +5 82 0x1c59 0x75f5cc05 @@ -14262,6 +17828,8 @@ 0 0 0 +3 +5 75 0x283b 0xbe0efbab @@ -14270,6 +17838,8 @@ 1 1 0 +5 +2 93 0xf152 0xcb2e45fb @@ -14278,6 +17848,8 @@ 1 0 0 +2 +3 95 0x1bc 0x7eb47360 @@ -14286,6 +17858,8 @@ 1 1 0 +5 +4 15 0xc964 0x1c627221 @@ -14294,6 +17868,8 @@ 1 0 0 +0 +3 65 0xeb0d 0x50edc9ab @@ -14302,6 +17878,8 @@ 1 0 0 +1 +5 23 0x7433 0x42bacbcf @@ -14310,6 +17888,8 @@ 1 1 0 +0 +2 75 0xd6d8 0x24d438c8 @@ -14318,6 +17898,8 @@ 1 1 0 +5 +5 16 0x8c59 0x3a7e6cc2 @@ -14326,6 +17908,8 @@ 1 0 0 +3 +1 41 0xc2b5 0x1184007c @@ -14334,6 +17918,8 @@ 1 1 0 +2 +1 66 0x60e 0xc6be3d85 @@ -14342,6 +17928,8 @@ 0 0 0 +1 +4 23 0x221e 0x519a0a73 @@ -14350,6 +17938,8 @@ 1 0 0 +5 +5 97 0x549 0xf1c4fd6f @@ -14358,6 +17948,8 @@ 1 1 0 +1 +2 76 0x99d5 0x43278687 @@ -14366,6 +17958,8 @@ 1 0 0 +1 +2 20 0xb040 0x67e2d751 @@ -14374,6 +17968,8 @@ 0 0 0 +4 +5 97 0x57d 0xb49a94eb @@ -14382,6 +17978,8 @@ 1 1 0 +1 +3 50 0x9861 0x7e4d7e27 @@ -14390,6 +17988,8 @@ 0 0 0 +2 +0 58 0xa8af 0xf2a226db @@ -14398,6 +17998,8 @@ 1 1 0 +5 +3 20 0x903f 0xf0bfd872 @@ -14406,6 +18008,8 @@ 1 0 0 +3 +5 24 0x3793 0x4ab5302f @@ -14414,6 +18018,8 @@ 1 1 0 +2 +2 46 0x55fd 0xf3627c5d @@ -14422,6 +18028,8 @@ 0 0 0 +3 +1 13 0x576d 0x36a828b8 @@ -14430,6 +18038,8 @@ 0 0 0 +2 +2 93 0x3587 0xfd19cb92 @@ -14438,6 +18048,8 @@ 1 1 0 +0 +0 20 0xbcf4 0x3dbf2e0f @@ -14446,6 +18058,8 @@ 0 0 0 +4 +1 87 0x8e8a 0x23dbc4da @@ -14454,6 +18068,8 @@ 0 0 0 +2 +5 83 0xf1c0 0xa99eb1d0 @@ -14462,6 +18078,8 @@ 1 1 0 +0 +5 59 0x9a29 0xc926a5db @@ -14470,6 +18088,8 @@ 1 0 0 +3 +1 100 0x62ae 0x4326988b @@ -14478,6 +18098,8 @@ 1 1 0 +3 +3 53 0x8144 0x4d1db26e @@ -14486,6 +18108,8 @@ 1 1 0 +1 +2 16 0xf63c 0x53ba67c0 @@ -14494,6 +18118,8 @@ 1 1 0 +5 +1 22 0x4d34 0x4fc1952b @@ -14502,6 +18128,8 @@ 1 0 0 +2 +1 17 0x4245 0x837bfb36 @@ -14510,6 +18138,8 @@ 1 0 0 +2 +0 98 0x9e6d 0xb08d949b @@ -14518,6 +18148,8 @@ 1 1 0 +2 +3 30 0xe792 0x6ef40c3d @@ -14526,6 +18158,8 @@ 0 0 0 +1 +0 66 0xdf83 0x975b6051 @@ -14534,6 +18168,8 @@ 0 0 0 +3 +1 25 0x1d00 0x6059d1eb @@ -14542,6 +18178,8 @@ 0 0 0 +3 +3 59 0x724 0x3869f4fa @@ -14550,6 +18188,8 @@ 0 0 0 +1 +5 42 0xfa0f 0x1efa06e3 @@ -14558,6 +18198,8 @@ 1 1 0 +2 +2 13 0xf57b 0xb14745eb @@ -14566,6 +18208,8 @@ 1 1 0 +2 +5 50 0x4708 0x93ecf525 @@ -14574,6 +18218,8 @@ 1 0 0 +5 +3 61 0x574a 0xd12771c4 @@ -14582,6 +18228,8 @@ 1 0 0 +4 +1 69 0x110b 0x81bc11b1 @@ -14590,6 +18238,8 @@ 0 0 0 +2 +1 89 0xec0a 0xdc1e993f @@ -14598,6 +18248,8 @@ 0 0 0 +1 +4 87 0x3067 0xeb1c4ded @@ -14606,6 +18258,8 @@ 1 1 0 +5 +3 60 0x8d35 0x89766be2 @@ -14614,6 +18268,8 @@ 0 0 0 +1 +4 29 0xa8fa 0xeaa8825f @@ -14622,6 +18278,8 @@ 1 0 0 +3 +0 73 0x33da 0x3afa5019 @@ -14630,6 +18288,8 @@ 0 0 0 +5 +5 74 0xc14d 0x9bcef56b @@ -14638,6 +18298,8 @@ 0 0 0 +3 +5 52 0xf9e7 0x346d9b42 @@ -14646,6 +18308,8 @@ 1 0 0 +1 +5 93 0xa713 0x9c38f030 @@ -14654,6 +18318,8 @@ 0 0 0 +1 +5 29 0x3d15 0xbf36a09d @@ -14662,6 +18328,8 @@ 1 1 0 +5 +1 94 0xb961 0x83eaee06 @@ -14670,6 +18338,8 @@ 1 1 0 +2 +0 54 0x5cc3 0xa086a866 @@ -14678,6 +18348,8 @@ 1 1 0 +1 +4 13 0xa553 0x4aa7d433 @@ -14686,6 +18358,8 @@ 0 0 0 +1 +0 68 0x2281 0xf39569e4 @@ -14694,6 +18368,8 @@ 1 0 0 +0 +4 76 0x4852 0xaa73d4d3 @@ -14702,6 +18378,8 @@ 0 0 0 +0 +2 95 0xcd04 0x7ea5a21b @@ -14710,6 +18388,8 @@ 0 0 0 +2 +2 46 0x6350 0x4dae4207 @@ -14718,6 +18398,8 @@ 0 0 0 +2 +4 88 0xc709 0xf22f31ed @@ -14726,6 +18408,8 @@ 0 0 0 +2 +4 83 0x69c1 0xbd4c6681 @@ -14734,6 +18418,8 @@ 1 1 0 +2 +2 18 0x585a 0x79fc6eca @@ -14742,6 +18428,8 @@ 0 0 0 +2 +1 39 0xa50 0xe961af79 @@ -14750,6 +18438,8 @@ 1 0 0 +5 +3 54 0x619d 0xd6f05eca @@ -14758,6 +18448,8 @@ 0 0 0 +0 +4 24 0xf891 0xc2ee9025 @@ -14766,6 +18458,8 @@ 0 0 0 +3 +5 81 0xf0d2 0x5b6e801 @@ -14774,6 +18468,8 @@ 0 0 0 +4 +2 12 0xf76e 0xb243ea57 @@ -14782,6 +18478,8 @@ 0 0 0 +3 +0 46 0xb0a7 0xb06f9fdd @@ -14790,6 +18488,8 @@ 0 0 0 +1 +2 55 0xfaef 0x618a7198 @@ -14798,6 +18498,8 @@ 1 0 0 +0 +0 25 0x7370 0x19924ca8 @@ -14806,6 +18508,8 @@ 1 0 0 +5 +1 75 0x70db 0x6217faba @@ -14814,6 +18518,8 @@ 1 1 0 +4 +0 16 0x9773 0xebf93aae @@ -14822,6 +18528,8 @@ 0 0 0 +2 +5 12 0x7c88 0x89717448 @@ -14830,6 +18538,8 @@ 1 1 0 +3 +1 79 0xa858 0xefcfe8b5 @@ -14838,6 +18548,8 @@ 0 0 0 +4 +2 43 0xab38 0xca5adb64 @@ -14846,6 +18558,8 @@ 1 1 0 +3 +2 17 0x3332 0x271ee840 @@ -14854,6 +18568,8 @@ 1 1 0 +1 +1 43 0xced9 0x9b2ea895 @@ -14862,6 +18578,8 @@ 1 1 0 +2 +1 33 0x33a 0x18495702 @@ -14870,6 +18588,8 @@ 0 0 0 +5 +3 68 0xb314 0x68def3d1 @@ -14878,6 +18598,8 @@ 0 0 0 +1 +4 44 0x1d80 0x1d7277d5 @@ -14886,6 +18608,8 @@ 0 0 0 +5 +2 67 0x5c4d 0xb82c4238 @@ -14894,6 +18618,8 @@ 0 0 0 +4 +3 16 0x6033 0xb4abadaa @@ -14902,6 +18628,8 @@ 0 0 0 +5 +5 74 0xe055 0xe187e2c3 @@ -14910,6 +18638,8 @@ 0 0 0 +2 +1 94 0xe1df 0x269321c4 @@ -14918,6 +18648,8 @@ 0 0 0 +3 +2 82 0x3115 0x9497046b @@ -14926,6 +18658,8 @@ 1 0 0 +3 +5 32 0x872 0xc9251022 @@ -14934,6 +18668,8 @@ 1 1 0 +2 +2 20 0xfb8c 0x13336ea7 @@ -14942,6 +18678,8 @@ 1 1 0 +2 +3 21 0xdf21 0x770fcfdb @@ -14950,6 +18688,8 @@ 0 0 0 +0 +3 58 0x6756 0x20d5c00a @@ -14958,6 +18698,8 @@ 1 1 0 +1 +3 65 0x2a89 0xef03b45a @@ -14966,6 +18708,8 @@ 1 0 0 +0 +1 93 0xdcfc 0xded1ef0d @@ -14974,6 +18718,8 @@ 0 0 0 +3 +5 11 0x2143 0x5b67f2bb @@ -14982,6 +18728,8 @@ 0 0 0 +2 +3 61 0x807 0x40f0ec54 @@ -14990,6 +18738,8 @@ 0 0 0 +4 +0 64 0x2a4a 0x236f192a @@ -14998,6 +18748,8 @@ 1 1 0 +2 +2 91 0x114f 0xf4db80d0 @@ -15006,6 +18758,8 @@ 1 0 0 +2 +3 57 0xac1d 0xe46400f4 @@ -15014,6 +18768,8 @@ 1 1 0 +5 +0 21 0xf7bd 0xb015dd3 @@ -15022,6 +18778,8 @@ 1 0 0 +1 +5 60 0x3ec8 0x1a2dea52 @@ -15030,6 +18788,8 @@ 1 0 0 +5 +0 54 0x64c3 0xd40d370c @@ -15038,6 +18798,8 @@ 1 1 0 +5 +5 58 0x15c0 0x1e39679a @@ -15046,6 +18808,8 @@ 1 0 0 +4 +5 24 0xfbb8 0x811e09cf @@ -15054,6 +18818,8 @@ 0 0 0 +5 +1 86 0x8fad 0x9151fa4f @@ -15062,6 +18828,8 @@ 1 0 0 +2 +4 48 0xf7f9 0x838e411a @@ -15070,6 +18838,8 @@ 1 1 0 +5 +1 68 0x5efb 0x62f520dc @@ -15078,6 +18848,8 @@ 1 1 0 +5 +3 92 0x6da7 0x37feaef1 @@ -15086,6 +18858,8 @@ 1 1 0 +3 +0 39 0xd907 0x741e8527 @@ -15094,6 +18868,8 @@ 0 0 0 +3 +3 91 0x342a 0x874d2d41 @@ -15102,6 +18878,8 @@ 0 0 0 +1 +1 94 0x4281 0xd09f8935 @@ -15110,6 +18888,8 @@ 1 0 0 +0 +5 84 0x9c95 0x9c939506 @@ -15118,6 +18898,8 @@ 1 1 0 +1 +3 24 0xd550 0xbcf5869d @@ -15126,6 +18908,8 @@ 1 0 0 +1 +2 74 0xa242 0x8883d90d @@ -15134,6 +18918,8 @@ 1 0 0 +4 +3 12 0x6efc 0x40fdd04 @@ -15142,6 +18928,8 @@ 0 0 0 +2 +5 93 0xe21d 0xedfcd92f @@ -15150,6 +18938,8 @@ 0 0 0 +4 +1 42 0xe804 0x9b689ab3 @@ -15158,6 +18948,8 @@ 1 0 0 +2 +5 85 0x3e13 0x74a7e24e @@ -15166,6 +18958,8 @@ 0 0 0 +3 +4 100 0x1cee 0x13e32650 @@ -15174,6 +18968,8 @@ 1 1 0 +4 +3 36 0xe743 0xb13dd19e @@ -15182,6 +18978,8 @@ 1 1 0 +0 +1 40 0x4af0 0x37a549d9 @@ -15190,6 +18988,8 @@ 0 0 0 +3 +3 31 0x3def 0xb5e2c2a9 @@ -15198,6 +18998,8 @@ 1 1 0 +0 +5 62 0xa0dc 0xc95bb773 @@ -15206,6 +19008,8 @@ 0 0 0 +4 +5 47 0x40c4 0xf1aa836 @@ -15214,6 +19018,8 @@ 1 1 0 +1 +1 89 0x19de 0x84d6465c @@ -15222,6 +19028,8 @@ 0 0 0 +4 +4 86 0x8ac8 0x942f0fad @@ -15230,6 +19038,8 @@ 1 0 0 +2 +2 38 0x9620 0x72893eec @@ -15238,6 +19048,8 @@ 0 0 0 +5 +3 31 0x3346 0xcc58915a @@ -15246,6 +19058,8 @@ 1 1 0 +1 +1 69 0x983c 0x8756706b @@ -15254,6 +19068,8 @@ 1 0 0 +1 +1 35 0x38bc 0x38eaa566 @@ -15262,6 +19078,8 @@ 0 0 0 +4 +3 35 0xc60b 0x88df034 @@ -15270,6 +19088,8 @@ 0 0 0 +2 +2 22 0xfdc8 0x9d6ecd61 @@ -15278,6 +19098,8 @@ 0 0 0 +0 +1 81 0xf7c1 0xefefc970 @@ -15286,6 +19108,8 @@ 0 0 0 +2 +1 27 0x38a4 0x74409844 @@ -15294,6 +19118,8 @@ 0 0 0 +0 +0 73 0xd5ad 0x227852ca @@ -15302,6 +19128,8 @@ 1 1 0 +4 +2 22 0x40e 0xed6b90fc @@ -15310,6 +19138,8 @@ 1 0 0 +2 +1 96 0x17d2 0xb6616a29 @@ -15318,6 +19148,8 @@ 1 1 0 +0 +1 44 0xc1bb 0x3574a4ed @@ -15326,6 +19158,8 @@ 1 1 0 +0 +1 15 0x1cfd 0x8abbcf42 @@ -15334,6 +19168,8 @@ 1 0 0 +4 +4 50 0xf0f2 0x50d2106b @@ -15342,6 +19178,8 @@ 0 0 0 +0 +5 13 0x3467 0x2e1b6461 @@ -15350,6 +19188,8 @@ 1 1 0 +0 +5 30 0x9a07 0xba134621 @@ -15358,6 +19198,8 @@ 1 0 0 +1 +3 26 0x87e2 0x4dcc2748 @@ -15366,6 +19208,8 @@ 0 0 0 +4 +3 80 0x8336 0x42f19dbf @@ -15374,6 +19218,8 @@ 0 0 0 +4 +2 12 0x2812 0x2e43fb34 @@ -15382,6 +19228,8 @@ 1 1 0 +3 +3 40 0x810a 0xa52f1d21 @@ -15390,6 +19238,8 @@ 0 0 0 +0 +3 81 0xb229 0xa63d1cd4 @@ -15398,6 +19248,8 @@ 0 0 0 +3 +1 31 0x87d3 0x689f60ca @@ -15406,6 +19258,8 @@ 0 0 0 +0 +3 64 0x9e62 0x2d7cd408 @@ -15414,6 +19268,8 @@ 0 0 0 +3 +1 23 0xb88e 0xb4673f09 @@ -15422,6 +19278,8 @@ 1 1 0 +3 +4 42 0x55f0 0xac67f868 @@ -15430,6 +19288,8 @@ 1 0 0 +0 +5 32 0x4a8a 0xaa80148c @@ -15438,6 +19298,8 @@ 1 1 0 +1 +4 51 0xf7a5 0x6d376dcd @@ -15446,6 +19308,8 @@ 0 0 0 +4 +2 46 0xc3ad 0x4d92b206 @@ -15454,6 +19318,8 @@ 1 1 0 +0 +3 96 0x577e 0xd2fc76b5 @@ -15462,6 +19328,8 @@ 1 0 0 +0 +5 50 0xff39 0xa192379f @@ -15470,6 +19338,8 @@ 1 0 0 +2 +3 19 0x5bb7 0x266c2877 @@ -15478,6 +19348,8 @@ 1 1 0 +1 +1 96 0xd671 0xfce3547b @@ -15486,6 +19358,8 @@ 0 0 0 +3 +5 71 0x1330 0x9d61e329 @@ -15494,6 +19368,8 @@ 0 0 0 +2 +1 27 0xf866 0x86f29e54 @@ -15502,6 +19378,8 @@ 1 0 0 +1 +2 17 0x8a4e 0x6a5c151d @@ -15510,6 +19388,8 @@ 1 1 0 +2 +0 74 0xedbd 0x3474b097 @@ -15518,6 +19398,8 @@ 0 0 0 +0 +4 11 0x9775 0x12a25606 @@ -15526,6 +19408,8 @@ 0 0 0 +4 +3 44 0x8a0d 0xd6f16644 @@ -15534,6 +19418,8 @@ 0 0 0 +3 +1 68 0xee82 0xbe1aaa4f @@ -15542,6 +19428,8 @@ 0 0 0 +3 +5 75 0xd369 0x531bf443 @@ -15550,6 +19438,8 @@ 1 0 0 +1 +3 26 0xf50e 0xcc536a1c @@ -15558,6 +19448,8 @@ 1 1 0 +0 +2 66 0xf249 0x1354a342 @@ -15566,6 +19458,8 @@ 0 0 0 +1 +5 79 0xee6c 0x97c13277 @@ -15574,6 +19468,8 @@ 0 0 0 +3 +1 41 0xb9c0 0x20486e7d @@ -15582,6 +19478,8 @@ 1 1 0 +2 +5 28 0x6c8a 0xaa1d07f5 @@ -15590,6 +19488,8 @@ 1 0 0 +3 +1 44 0x766e 0xa23e9ec6 @@ -15598,6 +19498,8 @@ 1 1 0 +1 +5 91 0x2632 0xd3e3deae @@ -15606,6 +19508,8 @@ 0 0 0 +3 +3 77 0x8103 0x4044c3e9 @@ -15614,6 +19518,8 @@ 0 0 0 +2 +4 49 0x9aa4 0xa52cf410 @@ -15622,6 +19528,8 @@ 0 0 0 +5 +5 21 0xacde 0x34d7e8d1 @@ -15630,6 +19538,8 @@ 1 1 0 +2 +3 99 0x5d7d 0xdea908e3 @@ -15638,6 +19548,8 @@ 1 0 0 +1 +3 83 0xaabe 0xade629a3 @@ -15646,6 +19558,8 @@ 0 0 0 +2 +3 56 0xfca2 0x8c21b27d @@ -15654,6 +19568,8 @@ 1 0 0 +2 +5 99 0xd558 0x332487fe @@ -15662,6 +19578,8 @@ 0 0 0 +0 +4 73 0x30a4 0x3a38543a @@ -15670,6 +19588,8 @@ 0 0 0 +3 +2 28 0xd84b 0xb3bdf8c8 @@ -15678,6 +19598,8 @@ 1 0 0 +0 +5 57 0x4f1b 0x2959d6 @@ -15686,6 +19608,8 @@ 0 0 0 +1 +3 66 0xdd53 0x9c15d90e @@ -15694,6 +19618,8 @@ 1 1 0 +5 +3 80 0x3acc 0xaca8a135 @@ -15702,6 +19628,8 @@ 0 0 0 +0 +0 31 0x6b48 0x4df13651 @@ -15710,6 +19638,8 @@ 0 0 0 +5 +0 14 0x26fc 0xdcdca4c9 @@ -15718,6 +19648,8 @@ 0 0 0 +5 +1 75 0xf35d 0xd62564ec @@ -15726,6 +19658,8 @@ 1 0 0 +5 +2 25 0x3a57 0x66067ecd @@ -15734,6 +19668,8 @@ 1 1 0 +0 +0 91 0xa1af 0x9d3b800e @@ -15742,6 +19678,8 @@ 0 0 0 +2 +3 76 0xa135 0xa5f1260e @@ -15750,6 +19688,8 @@ 1 1 0 +0 +1 22 0xba48 0x6f49bcc1 @@ -15758,6 +19698,8 @@ 0 0 0 +2 +3 80 0xc63f 0xdc4f8865 @@ -15766,6 +19708,8 @@ 0 0 0 +5 +1 27 0xc4bf 0xbab9b857 @@ -15774,6 +19718,8 @@ 1 1 0 +3 +1 22 0xbabc 0xcbad63ca @@ -15782,6 +19728,8 @@ 0 0 0 +2 +5 18 0xc5eb 0x6c899da7 @@ -15790,6 +19738,8 @@ 1 1 0 +0 +0 32 0xeb3d 0x569b1c08 @@ -15798,6 +19748,8 @@ 1 0 0 +0 +0 47 0x6812 0x6bef6909 @@ -15806,6 +19758,8 @@ 1 1 0 +1 +5 74 0x8e51 0xb438dc8b @@ -15814,6 +19768,8 @@ 0 0 0 +4 +1 94 0xa242 0xf324e929 @@ -15822,6 +19778,8 @@ 0 0 0 +4 +1 71 0x269a 0x824614be @@ -15830,6 +19788,8 @@ 1 0 0 +5 +3 36 0x504d 0xae82012d @@ -15838,6 +19798,8 @@ 1 0 0 +5 +4 46 0xd20b 0x698cd2c3 @@ -15846,6 +19808,8 @@ 1 0 0 +1 +2 49 0x612d 0xfacc5673 @@ -15854,6 +19818,8 @@ 1 0 0 +2 +4 22 0x2a22 0x73daf889 @@ -15862,6 +19828,8 @@ 1 0 0 +0 +1 24 0x5ef5 0x3819c0f8 @@ -15870,6 +19838,8 @@ 1 0 0 +5 +5 89 0xa692 0x535687d8 @@ -15878,6 +19848,8 @@ 1 0 0 +5 +2 15 0x39b9 0x244def7c @@ -15886,6 +19858,8 @@ 1 1 0 +1 +2 61 0xf9df 0x272ca755 @@ -15894,6 +19868,8 @@ 0 0 0 +5 +4 65 0x8450 0x35ff97c1 @@ -15902,6 +19878,8 @@ 0 0 0 +0 +0 81 0x4fc8 0x66779821 @@ -15910,6 +19888,8 @@ 1 1 0 +5 +3 67 0x867d 0xdf69231c @@ -15918,6 +19898,8 @@ 1 1 0 +0 +1 56 0xdaa3 0x5a5b63a0 @@ -15926,6 +19908,8 @@ 0 0 0 +4 +5 78 0xc3cb 0x728640c6 @@ -15934,6 +19918,8 @@ 1 0 0 +4 +0 57 0xbf7f 0x1382ef08 @@ -15942,6 +19928,8 @@ 0 0 0 +2 +3 88 0x5b6 0xc50981f @@ -15950,6 +19938,8 @@ 0 0 0 +5 +5 87 0x4b60 0x83ea8d4 @@ -15958,6 +19948,8 @@ 0 0 0 +2 +3 98 0xb4f5 0x2f852174 @@ -15966,6 +19958,8 @@ 0 0 0 +1 +3 63 0x3885 0xbd42c66 @@ -15974,6 +19968,8 @@ 0 0 0 +1 +2 37 0xe130 0x795d702a @@ -15982,6 +19978,8 @@ 1 1 0 +3 +1 59 0xbd7a 0x2c1e5518 @@ -15990,6 +19988,8 @@ 1 1 0 +5 +0 14 0x55d7 0x9f39d27e @@ -15998,6 +19998,8 @@ 0 0 0 +2 +3 17 0xec3b 0x11ce408e @@ -16006,6 +20008,8 @@ 1 0 0 +4 +3 10 0x8c9a 0xafb4534c @@ -16014,6 +20018,8 @@ 0 0 0 +4 +2 27 0xde40 0x2d014c32 @@ -16022,6 +20028,8 @@ 1 0 0 +0 +5 98 0x3a92 0x5dbb04d8 @@ -16030,6 +20038,8 @@ 1 0 0 +3 +4 58 0x52d1 0x47deaecb @@ -16038,6 +20048,8 @@ 0 0 0 +2 +2 45 0x1bf6 0xe37c3d32 @@ -16046,6 +20058,8 @@ 0 0 0 +0 +1 59 0x3f86 0xa208738e @@ -16054,6 +20068,8 @@ 1 1 0 +2 +0 27 0x3d6a 0x1bc484d3 @@ -16062,6 +20078,8 @@ 0 0 0 +2 +0 91 0xbfe3 0x6356757b @@ -16070,6 +20088,8 @@ 1 1 0 +3 +5 66 0xecde 0xa2cef100 @@ -16078,6 +20098,8 @@ 0 0 0 +1 +5 40 0x62a2 0xca38deb2 @@ -16086,6 +20108,8 @@ 1 1 0 +0 +4 74 0xc777 0x27140d79 @@ -16094,6 +20118,8 @@ 1 0 0 +4 +1 18 0x5b0f 0xd718c1c @@ -16102,6 +20128,8 @@ 1 1 0 +5 +3 26 0x89c9 0xb4a81913 @@ -16110,6 +20138,8 @@ 1 1 0 +4 +4 50 0x4717 0x7c09c801 @@ -16118,6 +20148,8 @@ 0 0 0 +1 +4 13 0x57db 0x1181c891 @@ -16126,6 +20158,8 @@ 0 0 0 +5 +4 53 0x2bef 0x42e03fa6 @@ -16134,6 +20168,8 @@ 0 0 0 +5 +4 25 0xeb76 0x8c426227 @@ -16142,6 +20178,8 @@ 0 0 0 +1 +5 90 0x2d5a 0x911958f2 @@ -16150,6 +20188,8 @@ 1 1 0 +5 +3 23 0xccd4 0x823a00a8 @@ -16158,6 +20198,8 @@ 1 1 0 +0 +2 48 0xb83f 0xf11be7c3 @@ -16166,6 +20208,8 @@ 1 1 0 +1 +5 63 0xc277 0x6ffd34e2 @@ -16174,6 +20218,8 @@ 1 1 0 +3 +2 51 0x32d8 0x1544bca6 @@ -16182,6 +20228,8 @@ 0 0 0 +5 +5 94 0x1b89 0x87c44259 @@ -16190,6 +20238,8 @@ 0 0 0 +0 +0 88 0x780a 0x90dc4c85 @@ -16198,6 +20248,8 @@ 0 0 0 +5 +5 43 0xac68 0x3906402 @@ -16206,6 +20258,8 @@ 1 1 0 +4 +4 94 0x4165 0xc7746711 @@ -16214,6 +20268,8 @@ 1 0 0 +4 +4 51 0x8596 0x30b8101f @@ -16222,6 +20278,8 @@ 0 0 0 +3 +2 80 0xc404 0x144fe2aa @@ -16230,6 +20288,8 @@ 0 0 0 +4 +1 74 0x1fe 0xd18a226f @@ -16238,6 +20298,8 @@ 0 0 0 +3 +2 88 0xf3dd 0xa2aff20 @@ -16246,6 +20308,8 @@ 1 1 0 +0 +4 92 0x8c3e 0xd9159e3 @@ -16254,6 +20318,8 @@ 0 0 0 +4 +1 29 0x56b2 0x4f048932 @@ -16262,6 +20328,8 @@ 0 0 0 +0 +0 25 0x54e5 0xa735e1f @@ -16270,6 +20338,8 @@ 0 0 0 +5 +4 50 0x1e54 0x3496cf96 @@ -16278,6 +20348,8 @@ 1 1 0 +0 +3 85 0x9d1e 0xfb1ec657 @@ -16286,6 +20358,8 @@ 1 1 0 +5 +4 42 0xd5cd 0xe00c1ce1 @@ -16294,6 +20368,8 @@ 1 1 0 +0 +5 52 0x1c39 0x3b9b7c61 @@ -16302,6 +20378,8 @@ 1 1 0 +5 +0 98 0x5378 0xb338c72d @@ -16310,6 +20388,8 @@ 1 0 0 +0 +3 12 0x5631 0xb12e604b @@ -16318,6 +20398,8 @@ 0 0 0 +2 +5 69 0xd4d8 0xef1bb231 @@ -16326,6 +20408,8 @@ 0 0 0 +0 +2 99 0xb1c2 0x717a91 @@ -16334,6 +20418,8 @@ 1 1 0 +2 +0 54 0x4173 0x974df3fc @@ -16342,6 +20428,8 @@ 1 0 0 +5 +1 39 0x2932 0x4bec0568 @@ -16350,6 +20438,8 @@ 1 1 0 +4 +3 34 0x74e3 0x8555aed1 @@ -16358,6 +20448,8 @@ 0 0 0 +4 +2 79 0x26 0x4fc1e3de @@ -16366,6 +20458,8 @@ 1 1 0 +2 +4 74 0xc985 0x6e3eb9f7 @@ -16374,6 +20468,8 @@ 0 0 0 +4 +5 26 0x783a 0x1b313f93 @@ -16382,6 +20478,8 @@ 0 0 0 +1 +0 66 0xff44 0xdba0ff87 @@ -16390,6 +20488,8 @@ 0 0 0 +5 +1 55 0x3876 0x7317e6e4 @@ -16398,6 +20498,8 @@ 1 1 0 +5 +1 88 0x9aac 0x6b02984 @@ -16406,6 +20508,8 @@ 0 0 0 +5 +0 100 0xcf9d 0xaa77e34b @@ -16414,6 +20518,8 @@ 0 0 0 +5 +0 90 0x5bcf 0xb47e62ae @@ -16422,6 +20528,8 @@ 1 0 0 +1 +1 57 0xea92 0xb399a445 @@ -16430,6 +20538,8 @@ 0 0 0 +2 +0 58 0x766 0xaa03150a @@ -16438,6 +20548,8 @@ 0 0 0 +4 +4 34 0xab93 0x999a37b1 @@ -16446,6 +20558,8 @@ 0 0 0 +0 +4 40 0x3a03 0x597a2ff8 @@ -16454,6 +20568,8 @@ 1 0 0 +0 +3 97 0xf50d 0xc063744a @@ -16462,6 +20578,8 @@ 1 0 0 +3 +1 69 0x717e 0x7a1cc812 @@ -16470,6 +20588,8 @@ 0 0 0 +1 +1 75 0x3218 0x91ae4d4f @@ -16478,6 +20598,8 @@ 1 1 0 +0 +3 100 0xf146 0x5d564368 @@ -16486,6 +20608,8 @@ 0 0 0 +5 +1 90 0x637 0x3ff49b3c @@ -16494,6 +20618,8 @@ 0 0 0 +5 +3 22 0x1bb8 0x4f678104 @@ -16502,6 +20628,8 @@ 1 1 0 +0 +5 10 0xcc13 0x4c175886 @@ -16510,6 +20638,8 @@ 1 1 0 +5 +2 82 0xa40a 0x15abcf14 @@ -16518,6 +20648,8 @@ 0 0 0 +5 +2 96 0x2cca 0x34c06400 @@ -16526,6 +20658,8 @@ 1 1 0 +4 +5 22 0x844d 0x7dcfbf7d @@ -16534,6 +20668,8 @@ 1 0 0 +4 +0 15 0xcb1c 0x32f09e32 @@ -16542,6 +20678,8 @@ 0 0 0 +0 +4 73 0x3c2c 0x52b695d2 @@ -16550,6 +20688,8 @@ 0 0 0 +2 +4 10 0xafb7 0x272640dd @@ -16558,6 +20698,8 @@ 1 1 0 +1 +4 100 0x97c1 0xe1ebbde9 @@ -16566,6 +20708,8 @@ 0 0 0 +1 +1 47 0xa55e 0x3b382ec7 @@ -16574,6 +20718,8 @@ 1 1 0 +5 +3 15 0x25a4 0x9fdc9ca8 @@ -16582,6 +20728,8 @@ 1 1 0 +1 +5 64 0x9ff 0xb313fc2 @@ -16590,6 +20738,8 @@ 1 0 0 +1 +1 44 0x9ef7 0x4cf38c64 @@ -16598,6 +20748,8 @@ 1 1 0 +4 +1 90 0x5dec 0x1f1de329 @@ -16606,6 +20758,8 @@ 0 0 0 +3 +1 44 0x5486 0xa27c7eb3 @@ -16614,6 +20768,8 @@ 1 1 0 +5 +3 27 0x5068 0xd4f3bb3e @@ -16622,6 +20778,8 @@ 0 0 0 +5 +2 49 0x5080 0xf5ad0410 @@ -16630,6 +20788,8 @@ 0 0 0 +5 +4 81 0xd485 0x785521aa @@ -16638,6 +20798,8 @@ 1 1 0 +1 +0 99 0x782e 0xdddc89c6 @@ -16646,6 +20808,8 @@ 0 0 0 +4 +0 38 0x7c6e 0x5b05b885 @@ -16654,6 +20818,8 @@ 0 0 0 +1 +2 99 0xa695 0xcd0a38cb @@ -16662,6 +20828,8 @@ 1 1 0 +4 +1 57 0xcffd 0xb23495c6 @@ -16670,6 +20838,8 @@ 1 1 0 +4 +5 39 0x18 0x3583f93c @@ -16678,6 +20848,8 @@ 1 1 0 +5 +0 22 0xc3a3 0x7d834c0 @@ -16686,6 +20858,8 @@ 1 1 0 +5 +3 59 0x390a 0xeda226a0 @@ -16694,6 +20868,8 @@ 1 1 0 +5 +3 83 0x29c3 0x9b4e2ea3 @@ -16702,6 +20878,8 @@ 0 0 0 +0 +4 37 0x98cd 0xa5d9ed51 @@ -16710,6 +20888,8 @@ 0 0 0 +0 +2 63 0x4a37 0x6f69d564 @@ -16718,6 +20898,8 @@ 1 0 0 +5 +5 35 0x7138 0xc5051cef @@ -16726,6 +20908,8 @@ 1 1 0 +0 +2 60 0x692 0xd469edf6 @@ -16734,6 +20918,8 @@ 1 1 0 +5 +2 24 0xe7ff 0x26dc10c7 @@ -16742,6 +20928,8 @@ 1 1 0 +0 +5 93 0x8621 0x3932aa03 @@ -16750,6 +20938,8 @@ 1 0 0 +4 +0 95 0x5b98 0x86b5fa57 @@ -16758,6 +20948,8 @@ 1 1 0 +5 +1 15 0xcf9c 0xa822a2c8 @@ -16766,6 +20958,8 @@ 1 1 0 +3 +5 89 0x1c96 0x3d425816 @@ -16774,6 +20968,8 @@ 0 0 0 +4 +0 11 0x54f 0x46406653 @@ -16782,6 +20978,8 @@ 0 0 0 +2 +3 24 0xf56 0x819c68d0 @@ -16790,6 +20988,8 @@ 1 1 0 +2 +0 13 0xfde1 0x473e99ee @@ -16798,6 +20998,8 @@ 0 0 0 +1 +2 53 0x8cde 0x706e7217 @@ -16806,6 +21008,8 @@ 0 0 0 +4 +3 98 0x5700 0x87da324f @@ -16814,6 +21018,8 @@ 0 0 0 +3 +3 93 0x24e2 0x42f92f3b @@ -16822,6 +21028,8 @@ 1 0 0 +5 +5 49 0x8295 0x506ca820 @@ -16830,6 +21038,8 @@ 0 0 0 +2 +0 67 0xee7f 0x8f0ae4f8 @@ -16838,6 +21048,8 @@ 0 0 0 +1 +1 17 0xf9dd 0x46df71ed @@ -16846,6 +21058,8 @@ 1 1 0 +4 +2 82 0x2cd9 0x3f45d39 @@ -16854,6 +21068,8 @@ 1 1 0 +1 +2 70 0xa797 0x9ea1ec4d @@ -16862,6 +21078,8 @@ 0 0 0 +5 +4 88 0x9639 0xde077575 @@ -16870,6 +21088,8 @@ 1 0 0 +1 +3 51 0xb6f4 0x54839683 @@ -16878,6 +21098,8 @@ 0 0 0 +4 +5 99 0x32a9 0x9d7fd8 @@ -16886,6 +21108,8 @@ 0 0 0 +3 +4 89 0xf00d 0x51418dd @@ -16894,6 +21118,8 @@ 1 0 0 +4 +4 96 0x52bb 0xe0c76a0f @@ -16902,6 +21128,8 @@ 1 1 0 +3 +1 24 0xdd5d 0x23349a3 @@ -16910,6 +21138,8 @@ 0 0 0 +5 +1 39 0xd920 0x9b9564db @@ -16918,6 +21148,8 @@ 0 0 0 +0 +5 53 0x90cf 0xe61899f8 @@ -16926,6 +21158,8 @@ 0 0 0 +5 +0 94 0xec97 0x46480ec2 @@ -16934,6 +21168,8 @@ 1 0 0 +2 +5 30 0xdd7a 0x102c49ad @@ -16942,6 +21178,8 @@ 0 0 0 +2 +3 92 0x1d55 0xef1c77ab @@ -16950,6 +21188,8 @@ 0 0 0 +4 +5 21 0x6400 0x7db8765 @@ -16958,6 +21198,8 @@ 1 1 0 +4 +0 33 0x55d6 0x760a2786 @@ -16966,6 +21208,8 @@ 0 0 0 +4 +1 79 0xae0f 0xeaab6a35 @@ -16974,6 +21218,8 @@ 0 0 0 +5 +2 31 0x9fd7 0x134443c4 @@ -16982,6 +21228,8 @@ 1 0 0 +4 +2 10 0xcc02 0x586de819 @@ -16990,6 +21238,8 @@ 1 1 0 +5 +5 39 0x6499 0x87409d47 @@ -16998,6 +21248,8 @@ 1 1 0 +0 +2 17 0xc9ab 0x7f4ec12d @@ -17006,6 +21258,8 @@ 1 0 0 +3 +5 12 0x59f0 0x7239faee @@ -17014,6 +21268,8 @@ 1 1 0 +2 +4 63 0x502e 0x349e0f62 @@ -17022,6 +21278,8 @@ 0 0 0 +5 +3 34 0x8486 0x5c395c8e @@ -17030,6 +21288,8 @@ 0 0 0 +1 +2 82 0xb7c4 0xd2ed2173 @@ -17038,6 +21298,8 @@ 0 0 0 +4 +2 82 0xaf52 0x513678ca @@ -17046,6 +21308,8 @@ 1 0 0 +4 +3 25 0xf395 0x5edf99ed @@ -17054,6 +21318,8 @@ 0 0 0 +3 +4 81 0xe803 0x67c6fdad @@ -17062,6 +21328,8 @@ 0 0 0 +3 +3 27 0x5a1c 0x3e7a41bd @@ -17070,6 +21338,8 @@ 0 0 0 +3 +1 96 0x760f 0xb32dd9b3 @@ -17078,6 +21348,8 @@ 1 0 0 +4 +4 72 0xa542 0xfde87df4 @@ -17086,6 +21358,8 @@ 0 0 0 +3 +1 11 0x2786 0x60f380c6 @@ -17094,6 +21368,8 @@ 0 0 0 +0 +4 68 0x73aa 0x36473d @@ -17102,6 +21378,8 @@ 0 0 0 +1 +1 98 0x7d77 0xc91e7dc1 @@ -17110,6 +21388,8 @@ 1 0 0 +2 +4 50 0xefab 0xca1b6ac1 @@ -17118,6 +21398,8 @@ 0 0 0 +2 +0 64 0x7aae 0x9aa4c1e4 @@ -17126,6 +21408,8 @@ 0 0 0 +2 +4 92 0x77e2 0x8437cef4 @@ -17134,6 +21418,8 @@ 1 1 0 +5 +2 66 0x84b5 0xb2644c4a @@ -17142,6 +21428,8 @@ 1 0 0 +0 +5 17 0x184e 0x490181e3 @@ -17150,6 +21438,8 @@ 1 0 0 +5 +0 98 0x204c 0x950b13a0 @@ -17158,6 +21448,8 @@ 0 0 0 +3 +1 26 0xd62f 0xe6ab28c0 @@ -17166,6 +21458,8 @@ 0 0 0 +4 +4 47 0xca46 0xb8faf067 @@ -17174,6 +21468,8 @@ 1 1 0 +5 +5 73 0x937b 0x9d1f40a @@ -17182,6 +21478,8 @@ 1 0 0 +1 +3 77 0x7a27 0x45f1dfce @@ -17190,6 +21488,8 @@ 1 0 0 +0 +1 83 0x96ea 0xd7051e3b @@ -17198,6 +21498,8 @@ 1 0 0 +2 +3 32 0x9564 0x73e508d2 @@ -17206,6 +21508,8 @@ 1 1 0 +1 +1 23 0xcb5e 0xa057c10 @@ -17214,6 +21518,8 @@ 1 1 0 +1 +5 77 0x8719 0x41e0713a @@ -17222,6 +21528,8 @@ 1 1 0 +5 +3 90 0x2baa 0xfb866d09 @@ -17230,6 +21538,8 @@ 1 0 0 +5 +4 34 0x7352 0x2c3ebd88 @@ -17238,6 +21548,8 @@ 1 1 0 +5 +2 22 0x1ab7 0xb26ec9ac @@ -17246,6 +21558,8 @@ 1 1 0 +5 +1 30 0xc533 0x2993e72f @@ -17254,6 +21568,8 @@ 0 0 0 +2 +4 46 0xd025 0x28b41588 @@ -17262,6 +21578,8 @@ 0 0 0 +5 +1 78 0x9a42 0xef13c17c @@ -17270,6 +21588,8 @@ 1 0 0 +1 +4 16 0xba6c 0x5101e63 @@ -17278,6 +21598,8 @@ 0 0 0 +1 +0 39 0xc7f4 0x9eac81b6 @@ -17286,6 +21608,8 @@ 1 1 0 +0 +3 17 0x610 0x14e7d253 @@ -17294,6 +21618,8 @@ 1 1 0 +0 +3 72 0x78ec 0x416be328 @@ -17302,6 +21628,8 @@ 1 0 0 +4 +2 67 0x41c3 0xa1f51621 @@ -17310,6 +21638,8 @@ 0 0 0 +3 +5 77 0xa6c9 0x12323401 @@ -17318,6 +21648,8 @@ 1 0 0 +3 +3 47 0xc955 0xcaf9c90 @@ -17326,6 +21658,8 @@ 0 0 0 +3 +0 15 0x46c1 0xb7babe78 @@ -17334,6 +21668,8 @@ 1 0 0 +5 +2 82 0xe55 0xa7c6d2b7 @@ -17342,6 +21678,8 @@ 1 0 0 +0 +4 96 0xdc5c 0xe9425d20 @@ -17350,6 +21688,8 @@ 1 1 0 +0 +5 51 0xffb 0x21d27f44 @@ -17358,6 +21698,8 @@ 1 1 0 +3 +1 24 0x8045 0x2a62b1cc @@ -17366,6 +21708,8 @@ 0 0 0 +5 +4 95 0x2e53 0x5501f247 @@ -17374,6 +21718,8 @@ 0 0 0 +3 +4 28 0x1015 0x22c1e568 @@ -17382,6 +21728,8 @@ 1 0 0 +5 +2 38 0x556e 0xf9751128 @@ -17390,6 +21738,8 @@ 0 0 0 +0 +3 82 0xe793 0x44ec1530 @@ -17398,6 +21748,8 @@ 0 0 0 +5 +3 19 0xd982 0xedd4418 @@ -17406,6 +21758,8 @@ 0 0 0 +4 +4 64 0xcf8 0x5f2599cc @@ -17414,6 +21768,8 @@ 1 1 0 +4 +2 13 0x8894 0xd9463186 @@ -17422,6 +21778,8 @@ 0 0 0 +2 +0 33 0x2211 0xadc3dea8 @@ -17430,6 +21788,8 @@ 1 0 0 +1 +2 40 0xe565 0xd81d14af @@ -17438,6 +21798,8 @@ 0 0 0 +2 +2 18 0xf95a 0xe940ccd9 @@ -17446,6 +21808,8 @@ 0 0 0 +3 +1 75 0xdb09 0xc6ca6cc3 @@ -17454,6 +21818,8 @@ 1 1 0 +5 +3 99 0xe647 0x4b3a51d6 @@ -17462,6 +21828,8 @@ 1 1 0 +2 +3 40 0x5653 0xa962e4a4 @@ -17470,6 +21838,8 @@ 0 0 0 +5 +1 30 0x942f 0xa45111b0 @@ -17478,6 +21848,8 @@ 1 0 0 +1 +0 76 0xf159 0x899b4c0e @@ -17486,6 +21858,8 @@ 0 0 0 +0 +3 47 0xf474 0xbbcf0723 @@ -17494,6 +21868,8 @@ 1 1 0 +2 +5 10 0xc900 0x26bad726 @@ -17502,6 +21878,8 @@ 1 1 0 +1 +4 48 0xd07f 0x638ab6c0 @@ -17510,6 +21888,8 @@ 0 0 0 +4 +2 88 0x5b0e 0x471e0fb2 @@ -17518,6 +21898,8 @@ 0 0 0 +3 +1 99 0xb18d 0xe86c9d30 @@ -17526,6 +21908,8 @@ 1 1 0 +5 +1 38 0x2b38 0x8477f3e9 @@ -17534,6 +21918,8 @@ 0 0 0 +5 +2 37 0x751d 0xa476c35b @@ -17542,6 +21928,8 @@ 0 0 0 +5 +0 65 0xc428 0xe10e62c8 @@ -17550,6 +21938,8 @@ 1 1 0 +4 +5 77 0xbb0 0x5c1b23cf @@ -17558,6 +21948,8 @@ 1 0 0 +2 +5 89 0x8f24 0xf2b5a1b2 @@ -17566,6 +21958,8 @@ 1 1 0 +3 +2 87 0x6ee3 0xe2b6685f @@ -17574,6 +21968,8 @@ 1 0 0 +5 +2 21 0xf8ac 0xfe968e44 @@ -17582,6 +21978,8 @@ 1 1 0 +0 +4 22 0x592 0xbb71a861 @@ -17590,6 +21988,8 @@ 1 1 0 +5 +4 44 0xeeb8 0xdd637d0e @@ -17598,6 +21998,8 @@ 0 0 0 +5 +4 82 0xa5 0xd502ae7e @@ -17606,6 +22008,8 @@ 0 0 0 +2 +4 43 0x6139 0xc8206e16 @@ -17614,6 +22018,8 @@ 0 0 0 +0 +1 53 0xa672 0x3bc299f1 @@ -17622,6 +22028,8 @@ 0 0 0 +4 +3 50 0xdcc1 0xc006a3c7 @@ -17630,6 +22038,8 @@ 0 0 0 +4 +3 33 0xe861 0x5492db95 @@ -17638,6 +22048,8 @@ 1 0 0 +0 +5 75 0x4d96 0x114b84b8 @@ -17646,6 +22058,8 @@ 1 0 0 +4 +2 38 0xf6ff 0xaea8702c @@ -17654,6 +22068,8 @@ 1 0 0 +3 +0 41 0x3214 0xebacf274 @@ -17662,6 +22078,8 @@ 0 0 0 +1 +1 26 0x144c 0x341a1a19 @@ -17670,6 +22088,8 @@ 0 0 0 +1 +2 28 0xf9a5 0x8ace5105 @@ -17678,6 +22098,8 @@ 1 0 0 +4 +0 83 0x4749 0xbdd4de71 @@ -17686,6 +22108,8 @@ 1 1 0 +4 +1 64 0xee9 0x55c848c9 @@ -17694,6 +22118,8 @@ 1 0 0 +3 +3 65 0x9373 0xb96406e9 @@ -17702,6 +22128,8 @@ 0 0 0 +2 +4 81 0x4dc2 0x78e8ae37 @@ -17710,6 +22138,8 @@ 0 0 0 +1 +1 76 0x1646 0x68e40a76 @@ -17718,6 +22148,8 @@ 1 1 0 +2 +4 69 0x376b 0x51226d7a @@ -17726,6 +22158,8 @@ 0 0 0 +1 +1 76 0x48e6 0x40ba267d @@ -17734,6 +22168,8 @@ 1 1 0 +5 +2 42 0xc196 0xf3fb5620 @@ -17742,6 +22178,8 @@ 0 0 0 +3 +1 74 0x6d45 0x6c509e70 @@ -17750,6 +22188,8 @@ 1 1 0 +5 +3 84 0xdaf0 0xca26e119 @@ -17758,6 +22198,8 @@ 1 1 0 +4 +1 20 0xd622 0xab64b5f8 @@ -17766,6 +22208,8 @@ 1 1 0 +0 +4 25 0x2abc 0x580653eb @@ -17774,6 +22218,8 @@ 0 0 0 +4 +3 50 0x936d 0x8b0b7ddd @@ -17782,6 +22228,8 @@ 0 0 0 +1 +1 56 0x6929 0xfc201002 @@ -17790,6 +22238,8 @@ 1 0 0 +3 +1 48 0xb969 0xaa095feb @@ -17798,6 +22248,8 @@ 0 0 0 +2 +5 10 0x2e74 0x9d3bbf06 @@ -17806,6 +22258,8 @@ 1 1 0 +2 +3 13 0xeac5 0x25fb024 @@ -17814,6 +22268,8 @@ 0 0 0 +0 +3 26 0xf92e 0x506fdae3 @@ -17822,6 +22278,8 @@ 1 1 0 +3 +4 18 0xeed3 0xd56d872c @@ -17830,6 +22288,8 @@ 1 1 0 +5 +5 52 0x2588 0xe5d31c0e @@ -17838,6 +22298,8 @@ 0 0 0 +5 +2 71 0xfee1 0xb87c386f @@ -17846,6 +22308,8 @@ 0 0 0 +3 +4 11 0xbced 0xc9bea171 @@ -17854,6 +22318,8 @@ 1 0 0 +3 +3 55 0xa88a 0x8b8882a @@ -17862,6 +22328,8 @@ 1 0 0 +1 +5 12 0xfb5e 0x54092d45 @@ -17870,6 +22338,8 @@ 1 1 0 +4 +1 69 0x3ce3 0xeb7101f2 @@ -17878,6 +22348,8 @@ 0 0 0 +0 +0 19 0xcb6e 0x39152171 @@ -17886,6 +22358,8 @@ 1 0 0 +1 +5 79 0xd153 0xec01270e @@ -17894,6 +22368,8 @@ 0 0 0 +3 +0 32 0xce0 0x2760b261 @@ -17902,6 +22378,8 @@ 1 1 0 +2 +3 80 0x707c 0x32440a41 @@ -17910,6 +22388,8 @@ 1 0 0 +5 +3 17 0x90cc 0x93189e67 @@ -17918,6 +22398,8 @@ 1 0 0 +3 +2 74 0xfcf6 0xf605a2cf @@ -17926,6 +22408,8 @@ 1 1 0 +5 +1 79 0xe466 0xec268577 @@ -17934,6 +22418,8 @@ 0 0 0 +5 +1 33 0xd148 0x722dcee2 @@ -17942,6 +22428,8 @@ 1 0 0 +5 +3 35 0x8858 0x3bd4414 @@ -17950,6 +22438,8 @@ 0 0 0 +2 +4 97 0x903f 0x52d49c64 @@ -17958,6 +22448,8 @@ 1 1 0 +2 +5 87 0x844f 0xad8a46d4 @@ -17966,6 +22458,8 @@ 0 0 0 +4 +1 68 0x6784 0xfe266ff3 @@ -17974,6 +22468,8 @@ 1 0 0 +2 +2 59 0x5545 0xf6804590 @@ -17982,6 +22478,8 @@ 0 0 0 +5 +0 11 0x813a 0xed3b14b6 @@ -17990,6 +22488,8 @@ 1 1 0 +1 +3 28 0x657d 0x42604a94 @@ -17998,6 +22498,8 @@ 0 0 0 +3 +4 81 0x3dc6 0x8ec68f2c @@ -18006,6 +22508,8 @@ 1 1 0 +3 +5 99 0xe720 0xbc8070fa @@ -18014,6 +22518,8 @@ 0 0 0 +3 +3 55 0x3b81 0x3bfe5b07 @@ -18022,6 +22528,8 @@ 0 0 0 +2 +4 15 0xdd9d 0xe92229ff @@ -18030,6 +22538,8 @@ 0 0 0 +4 +2 14 0x9475 0xe367f2e6 @@ -18038,6 +22548,8 @@ 1 0 0 +0 +2 86 0x884f 0xd5fbe701 @@ -18046,6 +22558,8 @@ 1 1 0 +5 +5 45 0x7345 0xd5b5e9cc @@ -18054,6 +22568,8 @@ 1 0 0 +4 +5 37 0xad9a 0x6065d204 @@ -18062,6 +22578,8 @@ 0 0 0 +3 +2 33 0x9a54 0x97c864ee @@ -18070,6 +22588,8 @@ 0 0 0 +2 +1 95 0xdc27 0x5d9e822d @@ -18078,6 +22598,8 @@ 0 0 0 +5 +1 17 0x2110 0xb6cba2f2 @@ -18086,6 +22608,8 @@ 0 0 0 +1 +2 48 0x574e 0xac648a9d @@ -18094,6 +22618,8 @@ 1 1 0 +4 +4 14 0xbdd8 0xd70d1068 @@ -18102,6 +22628,8 @@ 0 0 0 +1 +1 47 0x716 0x5dcc5a50 @@ -18110,6 +22638,8 @@ 0 0 0 +3 +4 35 0xa4ac 0xb070b322 @@ -18118,6 +22648,8 @@ 0 0 0 +5 +0 49 0xf07b 0x59dc71d @@ -18126,6 +22658,8 @@ 0 0 0 +3 +3 44 0x7680 0xdec94958 @@ -18134,6 +22668,8 @@ 1 1 0 +5 +3 47 0xe97d 0x3ff2cfca @@ -18142,6 +22678,8 @@ 0 0 0 +0 +3 77 0xdfae 0xd43b3ec8 @@ -18150,6 +22688,8 @@ 0 0 0 +2 +0 48 0x3d46 0xf9f0b4d1 @@ -18158,6 +22698,8 @@ 1 0 0 +0 +3 80 0x7243 0x60b97798 @@ -18166,6 +22708,8 @@ 1 0 0 +5 +3 51 0x1903 0x6bb5f50b @@ -18174,6 +22718,8 @@ 1 1 0 +3 +2 36 0xdbc6 0xcc8e9b3 @@ -18182,6 +22728,8 @@ 0 0 0 +1 +3 52 0x2aa9 0x1bd4f140 @@ -18190,6 +22738,8 @@ 0 0 0 +3 +5 91 0xe678 0xc918099e @@ -18198,6 +22748,8 @@ 1 1 0 +2 +5 35 0x51c6 0xe0fc3aa2 @@ -18206,6 +22758,8 @@ 1 0 0 +0 +3 85 0x998f 0x80fbac8a @@ -18214,6 +22768,8 @@ 0 0 0 +3 +0 62 0x27db 0x4afea5da @@ -18222,6 +22778,8 @@ 1 0 0 +3 +0 56 0xc73 0x9f56460f @@ -18230,6 +22788,8 @@ 0 0 0 +3 +5 65 0xe30b 0x7da15d6c @@ -18238,6 +22798,8 @@ 1 0 0 +0 +3 11 0x5d14 0x6ede09d3 @@ -18246,6 +22808,8 @@ 0 0 0 +1 +3 30 0x5b1 0x2b6bd6f9 @@ -18254,6 +22818,8 @@ 1 1 0 +2 +1 77 0x8587 0x3027aba @@ -18262,6 +22828,8 @@ 1 1 0 +3 +3 68 0x2f76 0xe0535d28 @@ -18270,6 +22838,8 @@ 0 0 0 +3 +1 98 0x8be9 0x336ac247 @@ -18278,6 +22848,8 @@ 0 0 0 +0 +0 10 0xa336 0x6a3a9f10 @@ -18286,6 +22858,8 @@ 1 0 0 +4 +3 31 0x5e4e 0x997d70fc @@ -18294,6 +22868,8 @@ 0 0 0 +2 +0 40 0x5b8c 0x1f61bc75 @@ -18302,6 +22878,8 @@ 0 0 0 +1 +0 89 0x1026 0xac384508 @@ -18310,6 +22888,8 @@ 1 0 0 +5 +3 87 0xe33a 0x7da4eb93 @@ -18318,6 +22898,8 @@ 1 0 0 +4 +1 66 0x8128 0xab07273f @@ -18326,6 +22908,8 @@ 0 0 0 +0 +0 49 0x8c7d 0xd1350536 @@ -18334,6 +22918,8 @@ 1 0 0 +4 +3 95 0xb65e 0x3d3d9316 @@ -18342,6 +22928,8 @@ 0 0 0 +3 +0 84 0xbfb5 0xdc191b65 @@ -18350,6 +22938,8 @@ 0 0 0 +1 +2 25 0x974e 0xede045a8 @@ -18358,6 +22948,8 @@ 0 0 0 +5 +0 87 0x340b 0x8152d41c @@ -18366,6 +22958,8 @@ 1 0 0 +0 +3 10 0xf4d 0xf61df5f6 @@ -18374,6 +22968,8 @@ 1 0 0 +2 +0 74 0xfe35 0x6d18cdf5 @@ -18382,6 +22978,8 @@ 1 0 0 +2 +5 12 0x8044 0xaa663d13 @@ -18390,6 +22988,8 @@ 0 0 0 +1 +0 93 0x7154 0x6ee618ee @@ -18398,6 +22998,8 @@ 1 1 0 +1 +3 70 0x7fea 0x65fc9965 @@ -18406,6 +23008,8 @@ 1 0 0 +2 +5 31 0x4958 0xe75e9e6a @@ -18414,6 +23018,8 @@ 1 1 0 +0 +3 23 0xf248 0xe1fa497a @@ -18422,6 +23028,8 @@ 1 0 0 +1 +3 11 0x7973 0x2935cd66 @@ -18430,6 +23038,8 @@ 1 1 0 +0 +5 73 0x2478 0xe968f9c4 @@ -18438,6 +23048,8 @@ 0 0 0 +5 +5 20 0xecee 0x4852e6ef @@ -18446,6 +23058,8 @@ 0 0 0 +1 +1 64 0x70f5 0xd2544c27 @@ -18454,6 +23068,8 @@ 1 1 0 +3 +3 21 0x755d 0x477fddc1 @@ -18462,6 +23078,8 @@ 1 1 0 +2 +2 57 0x2b33 0x5b00cbcb @@ -18470,6 +23088,8 @@ 0 0 0 +2 +1 84 0x1363 0xfb40e5ad @@ -18478,6 +23098,8 @@ 0 0 0 +3 +4 39 0x3103 0x85117732 @@ -18486,6 +23108,8 @@ 0 0 0 +5 +1 96 0xe3da 0x3dc1ccf7 @@ -18494,6 +23118,8 @@ 1 0 0 +2 +4 34 0xd388 0xfb269005 @@ -18502,6 +23128,8 @@ 0 0 0 +5 +0 60 0xc018 0x8fe86e24 @@ -18510,6 +23138,8 @@ 1 0 0 +3 +5 19 0xf72 0xe1f914db @@ -18518,6 +23148,8 @@ 0 0 0 +5 +5 29 0xfbf9 0x11edc544 @@ -18526,6 +23158,8 @@ 0 0 0 +5 +2 99 0x51f 0x82400550 @@ -18534,6 +23168,8 @@ 0 0 0 +1 +0 31 0x641e 0xfc348a89 @@ -18542,6 +23178,8 @@ 0 0 0 +1 +1 34 0xc88d 0x3a2c33b6 @@ -18550,6 +23188,8 @@ 1 1 0 +2 +5 27 0x2421 0x43c60e60 @@ -18558,6 +23198,8 @@ 1 1 0 +4 +1 20 0xf51 0x6cce7ab9 @@ -18566,6 +23208,8 @@ 0 0 0 +0 +1 22 0xd18b 0x2a7dec3b @@ -18574,6 +23218,8 @@ 1 0 0 +0 +5 90 0x254c 0xcab44f9e @@ -18582,6 +23228,8 @@ 1 0 0 +0 +4 34 0xdb9c 0xd23e45d6 @@ -18590,6 +23238,8 @@ 1 1 0 +2 +1 62 0x8ead 0xdfac3428 @@ -18598,6 +23248,8 @@ 0 0 0 +0 +1 60 0x419d 0x9c4ed245 @@ -18606,6 +23258,8 @@ 0 0 0 +3 +2 83 0x5a4a 0x45119b64 @@ -18614,6 +23268,8 @@ 1 0 0 +5 +1 25 0x6383 0x6bc7f432 @@ -18622,6 +23278,8 @@ 1 1 0 +5 +5 74 0x7ce5 0x63374893 @@ -18630,6 +23288,8 @@ 0 0 0 +5 +2 74 0xb9a 0xf133de6f @@ -18638,6 +23298,8 @@ 1 0 0 +5 +0 61 0x33da 0x8aa5c25 @@ -18646,6 +23308,8 @@ 0 0 0 +5 +5 81 0xe989 0x690ae4b5 @@ -18654,6 +23318,8 @@ 0 0 0 +3 +4 32 0x49e0 0x1be75b49 @@ -18662,6 +23328,8 @@ 1 0 0 +3 +3 23 0x4794 0x28b3c2b2 @@ -18670,6 +23338,8 @@ 1 0 0 +0 +5 17 0xd716 0xc81c0ef0 @@ -18678,6 +23348,8 @@ 0 0 0 +5 +1 23 0x4f40 0xff03b434 @@ -18686,6 +23358,8 @@ 0 0 0 +3 +3 25 0x8884 0xa66a4455 @@ -18694,6 +23368,8 @@ 1 1 0 +0 +0 30 0xfe61 0xa9f63774 @@ -18702,6 +23378,8 @@ 0 0 0 +1 +3 23 0x5c4 0x176b6ecc @@ -18710,6 +23388,8 @@ 0 0 0 +0 +0 78 0xf690 0x587395fa @@ -18718,6 +23398,8 @@ 0 0 0 +1 +3 77 0x4e38 0x9debfff8 @@ -18726,6 +23408,8 @@ 1 1 0 +3 +1 20 0x5360 0xb0da51d3 @@ -18734,6 +23418,8 @@ 0 0 0 +1 +3 10 0x65b8 0x8dc703cf @@ -18742,6 +23428,8 @@ 1 1 0 +3 +2 30 0x3d71 0x7ebe69aa @@ -18750,6 +23438,8 @@ 0 0 0 +2 +4 14 0xa7bd 0xfbc5b842 @@ -18758,6 +23448,8 @@ 0 0 0 +2 +1 29 0xfe92 0x857f404d @@ -18766,6 +23458,8 @@ 0 0 0 +4 +0 78 0x2a8a 0x22936da5 @@ -18774,6 +23468,8 @@ 1 0 0 +4 +1 20 0xe054 0xa81d8f8b @@ -18782,6 +23478,8 @@ 1 0 0 +0 +2 69 0x1d88 0xee3ade52 @@ -18790,6 +23488,8 @@ 1 1 0 +2 +1 63 0xe93b 0x344551cc @@ -18798,6 +23498,8 @@ 1 0 0 +3 +5 44 0x4519 0xe4558a9e @@ -18806,6 +23508,8 @@ 1 1 0 +0 +3 54 0x369b 0x5edf5bce @@ -18814,6 +23518,8 @@ 1 0 0 +0 +4 70 0x9aa 0xc25b467d @@ -18822,6 +23528,8 @@ 1 1 0 +0 +5 60 0x8c59 0x1298ca0 @@ -18830,6 +23538,8 @@ 0 0 0 +0 +1 65 0xb4f5 0x56cdb6ec @@ -18838,6 +23548,8 @@ 0 0 0 +0 +5 18 0xf85a 0x31baf54c @@ -18846,6 +23558,8 @@ 1 1 0 +0 +1 51 0x8515 0x1527a287 @@ -18854,6 +23568,8 @@ 0 0 0 +4 +0 47 0xce7 0x10e1ea01 @@ -18862,6 +23578,8 @@ 1 0 0 +0 +5 50 0xd152 0x5beab8fe @@ -18870,6 +23588,8 @@ 0 0 0 +5 +5 97 0xcfeb 0x3839e305 @@ -18878,6 +23598,8 @@ 0 0 0 +3 +1 36 0x60d5 0xd355b4 @@ -18886,6 +23608,8 @@ 0 0 0 +1 +0 13 0x5dfd 0x5c353cb9 @@ -18894,6 +23618,8 @@ 0 0 0 +1 +4 17 0xb00d 0x962751e7 @@ -18902,6 +23628,8 @@ 1 1 0 +2 +1 44 0xebe9 0x6b302afe @@ -18910,6 +23638,8 @@ 1 0 0 +2 +0 87 0x6836 0xd20d35b5 @@ -18918,6 +23648,8 @@ 1 1 0 +4 +5 16 0x24be 0x19048003 @@ -18926,6 +23658,8 @@ 0 0 0 +1 +0 29 0xf9a3 0x5c41dc61 @@ -18934,6 +23668,8 @@ 1 0 0 +2 +3 48 0x6354 0x1ee4bc27 @@ -18942,6 +23678,8 @@ 1 0 0 +3 +4 86 0x2eb8 0x6830e7d6 @@ -18950,6 +23688,8 @@ 1 0 0 +5 +1 57 0xabcb 0x2926ae60 @@ -18958,6 +23698,8 @@ 0 0 0 +1 +1 92 0xa82a 0x5e9f7948 @@ -18966,6 +23708,8 @@ 1 1 0 +2 +5 17 0x4455 0x61e3f604 @@ -18974,6 +23718,8 @@ 1 0 0 +0 +3 32 0x6e2 0xb819a401 @@ -18982,6 +23728,8 @@ 1 1 0 +5 +4 82 0x2e40 0xea20a131 @@ -18990,6 +23738,8 @@ 1 1 0 +4 +3 67 0xfd50 0xf27c827 @@ -18998,6 +23748,8 @@ 0 0 0 +2 +4 100 0x40a1 0x1964f83e @@ -19006,6 +23758,8 @@ 0 0 0 +1 +1 29 0x27f8 0x456649bf @@ -19014,6 +23768,8 @@ 1 1 0 +2 +3 23 0xe1e3 0x9b6de2bf @@ -19022,6 +23778,8 @@ 1 1 0 +3 +3 35 0x9527 0x5a0c118c @@ -19030,6 +23788,8 @@ 0 0 0 +0 +5 39 0x1bac 0xed742bfb @@ -19038,6 +23798,8 @@ 1 0 0 +1 +1 80 0xebb8 0x6862380 @@ -19046,6 +23808,8 @@ 0 0 0 +5 +1 11 0x890a 0x9933de87 @@ -19054,6 +23818,8 @@ 1 1 0 +4 +4 61 0x239a 0x1c26857 @@ -19062,6 +23828,8 @@ 0 0 0 +1 +5 49 0x817b 0xc498afbc @@ -19070,6 +23838,8 @@ 1 1 0 +3 +0 33 0xae4e 0xa1cf67b1 @@ -19078,6 +23848,8 @@ 0 0 0 +3 +3 14 0x5c4a 0xbb9179d6 @@ -19086,6 +23858,8 @@ 1 1 0 +1 +3 64 0xf17b 0xced7c8f2 @@ -19094,6 +23868,8 @@ 1 1 0 +5 +5 99 0xe1ad 0x70b11feb @@ -19102,6 +23878,8 @@ 0 0 0 +3 +4 89 0xf4b2 0xede4a3c2 @@ -19110,6 +23888,8 @@ 0 0 0 +5 +1 93 0x69b6 0x272c2890 @@ -19118,6 +23898,8 @@ 1 0 0 +2 +2 25 0x4917 0x7778cc5d @@ -19126,6 +23908,8 @@ 0 0 0 +3 +5 44 0xd7f8 0x3e92c105 @@ -19134,6 +23918,8 @@ 0 0 0 +5 +5 81 0x3f0e 0x6ead2945 @@ -19142,6 +23928,8 @@ 0 0 0 +2 +0 34 0xc6be 0x5f0e8a81 @@ -19150,6 +23938,8 @@ 0 0 0 +1 +5 77 0x20cb 0xe69bfcd @@ -19158,6 +23948,8 @@ 1 0 0 +1 +0 43 0x8015 0x862f686e @@ -19166,6 +23958,8 @@ 1 0 0 +2 +5 22 0x26fa 0x9a27e82d @@ -19174,6 +23968,8 @@ 1 0 0 +4 +3 96 0xfae4 0x6f661514 @@ -19182,6 +23978,8 @@ 0 0 0 +4 +4 30 0x1d79 0xa04d9196 @@ -19190,6 +23988,8 @@ 0 0 0 +2 +4 14 0xfbbe 0xe8a710c @@ -19198,6 +23998,8 @@ 1 0 0 +2 +2 77 0xc37b 0xa99429ac @@ -19206,6 +24008,8 @@ 1 0 0 +4 +2 37 0x886e 0x6db63b71 @@ -19214,6 +24018,8 @@ 0 0 0 +0 +5 13 0x4dab 0x73e6b773 @@ -19222,6 +24028,8 @@ 0 0 0 +3 +5 74 0x8c91 0x72681be2 @@ -19230,6 +24038,8 @@ 1 0 0 +0 +4 26 0xdabe 0x89587709 @@ -19238,6 +24048,8 @@ 0 0 0 +4 +3 34 0xa56f 0xddf6250b @@ -19246,6 +24058,8 @@ 0 0 0 +3 +0 39 0x1188 0xff661028 @@ -19254,6 +24068,8 @@ 1 0 0 +2 +2 25 0x81bc 0x95ba69fe @@ -19262,6 +24078,8 @@ 0 0 0 +4 +0 82 0x5658 0x562b450d @@ -19270,6 +24088,8 @@ 0 0 0 +3 +1 77 0xf0bc 0x54385bd2 @@ -19278,6 +24098,8 @@ 1 0 0 +0 +5 42 0xcd2f 0xef51493d @@ -19286,6 +24108,8 @@ 1 1 0 +5 +4 78 0x7ccb 0x8c732ea5 @@ -19294,6 +24118,8 @@ 0 0 0 +4 +5 96 0xc6ed 0xe4e1b891 @@ -19302,6 +24128,8 @@ 0 0 0 +3 +2 34 0x99d7 0x7044199b @@ -19310,6 +24138,8 @@ 0 0 0 +4 +2 94 0xfbdb 0x8683ce44 @@ -19318,6 +24148,8 @@ 0 0 0 +1 +3 49 0xc4f 0x298d8a20 @@ -19326,6 +24158,8 @@ 1 0 0 +5 +1 61 0x6c82 0x9d7e6dce @@ -19334,6 +24168,8 @@ 0 0 0 +1 +0 73 0x6129 0x1f8712ce @@ -19342,6 +24178,8 @@ 0 0 0 +5 +5 62 0x5c00 0x11da3932 @@ -19350,6 +24188,8 @@ 0 0 0 +5 +2 58 0xdab1 0x89416db7 @@ -19358,6 +24198,8 @@ 0 0 0 +4 +0 67 0xcaa8 0x6436d1fc @@ -19366,6 +24208,8 @@ 0 0 0 +2 +0 70 0x997e 0xc8060e62 @@ -19374,6 +24218,8 @@ 1 0 0 +0 +5 18 0xaa75 0x34ee03c5 @@ -19382,6 +24228,8 @@ 1 0 0 +0 +1 99 0xf867 0xe07cc0d4 @@ -19390,6 +24238,8 @@ 0 0 0 +5 +0 11 0xe244 0x44044c07 @@ -19398,6 +24248,8 @@ 1 0 0 +5 +3 43 0xb9af 0x9ffa7f54 @@ -19406,6 +24258,8 @@ 0 0 0 +0 +0 67 0x274f 0x90682989 @@ -19414,6 +24268,8 @@ 1 0 0 +5 +4 46 0x4498 0xeb087883 @@ -19422,6 +24278,8 @@ 1 0 0 +1 +4 61 0x8020 0x5317683c @@ -19430,6 +24288,8 @@ 1 1 0 +5 +5 64 0x22c2 0xba325b70 @@ -19438,6 +24298,8 @@ 1 1 0 +0 +0 40 0xa725 0x48af9078 @@ -19446,6 +24308,8 @@ 0 0 0 +1 +2 34 0x9344 0xb28e0fda @@ -19454,6 +24318,8 @@ 0 0 0 +1 +3 65 0x4c6b 0xe732b1c8 @@ -19462,6 +24328,8 @@ 1 0 0 +0 +3 36 0xf2fe 0x72a66b1b @@ -19470,6 +24338,8 @@ 0 0 0 +3 +0 100 0xac70 0x674fd938 @@ -19478,6 +24348,8 @@ 0 0 0 +1 +0 19 0xf4d1 0x10eb058b @@ -19486,6 +24358,8 @@ 0 0 0 +5 +4 28 0x425a 0x408f786b @@ -19494,6 +24368,8 @@ 0 0 0 +5 +5 19 0x442b 0xb93704ed @@ -19502,6 +24378,8 @@ 1 1 0 +4 +4 27 0xd03d 0x364e624a @@ -19510,6 +24388,8 @@ 1 1 0 +0 +3 13 0xb21a 0x4f7ebb23 @@ -19518,6 +24398,8 @@ 1 1 0 +0 +3 63 0xbb76 0xe5c0a5d1 @@ -19526,6 +24408,8 @@ 1 0 0 +4 +1 57 0xe24c 0xf8a3d471 @@ -19534,6 +24418,8 @@ 0 0 0 +5 +0 60 0x9adc 0xed27d9aa @@ -19542,6 +24428,8 @@ 0 0 0 +1 +1 21 0xa77b 0x81423f16 @@ -19550,6 +24438,8 @@ 1 0 0 +3 +1 75 0xca77 0x9cedfd3e @@ -19558,6 +24448,8 @@ 0 0 0 +1 +0 95 0xca0b 0x175056f3 @@ -19566,6 +24458,8 @@ 0 0 0 +4 +5 30 0xa1f2 0xf766e3cd @@ -19574,6 +24468,8 @@ 0 0 0 +0 +5 82 0x38ad 0x7d160936 @@ -19582,6 +24478,8 @@ 1 0 0 +2 +5 60 0x7690 0x807ffbce @@ -19590,6 +24488,8 @@ 0 0 0 +1 +2 86 0x81d2 0xd1c5e746 @@ -19598,6 +24498,8 @@ 1 0 0 +3 +2 30 0xb637 0x854cb2a @@ -19606,6 +24508,8 @@ 1 0 0 +1 +3 77 0x597a 0xf0bacdac @@ -19614,6 +24518,8 @@ 1 1 0 +4 +2 50 0x76b7 0xdfff0076 @@ -19622,6 +24528,8 @@ 1 0 0 +3 +1 65 0xd3d1 0x712d879 @@ -19630,6 +24538,8 @@ 0 0 0 +5 +0 27 0x4d06 0x86181ef8 @@ -19638,6 +24548,8 @@ 0 0 0 +3 +2 83 0x4969 0x915e94f @@ -19646,6 +24558,8 @@ 0 0 0 +0 +4 76 0x924 0x23bbabde @@ -19654,6 +24568,8 @@ 1 1 0 +1 +5 49 0xe74b 0x4eb5512e @@ -19662,6 +24578,8 @@ 1 0 0 +5 +5 64 0xca94 0x3a5184fa @@ -19670,6 +24588,8 @@ 0 0 0 +3 +4 86 0x1bde 0xe0bd34e7 @@ -19678,6 +24598,8 @@ 1 0 0 +4 +5 44 0x7722 0xfba4075 @@ -19686,6 +24608,8 @@ 0 0 0 +2 +1 39 0xb0fa 0x50856d81 @@ -19694,6 +24618,8 @@ 0 0 0 +5 +4 19 0xd077 0xd2e5d34e @@ -19702,6 +24628,8 @@ 1 1 0 +1 +5 46 0xd324 0x280d02d3 @@ -19710,6 +24638,8 @@ 0 0 0 +1 +0 51 0x9c81 0xcfa28f6a @@ -19718,6 +24648,8 @@ 1 1 0 +5 +1 49 0x72a5 0xdcedf719 @@ -19726,6 +24658,8 @@ 1 1 0 +5 +4 71 0x4226 0x7aa20aa0 @@ -19734,6 +24668,8 @@ 0 0 0 +1 +3 89 0xd248 0xa6a89fd2 @@ -19742,6 +24678,8 @@ 0 0 0 +3 +5 88 0x85b8 0xa948f058 @@ -19750,6 +24688,8 @@ 1 1 0 +2 +2 68 0x39e9 0xd922a634 @@ -19758,6 +24698,8 @@ 0 0 0 +1 +5 77 0x51e8 0x520fd021 @@ -19766,6 +24708,8 @@ 1 1 0 +3 +2 32 0x8f72 0x5b2fa9bf @@ -19774,6 +24718,8 @@ 1 0 0 +0 +0 24 0x3395 0x1ca8f614 @@ -19782,6 +24728,8 @@ 1 0 0 +2 +3 30 0xcecb 0xb400becf @@ -19790,6 +24738,8 @@ 1 1 0 +3 +2 60 0x912 0x549d2ecf @@ -19798,6 +24748,8 @@ 0 0 0 +5 +2 33 0xeb87 0xe0f98a98 @@ -19806,6 +24758,8 @@ 1 1 0 +3 +5 40 0xedf7 0x733b2d10 @@ -19814,6 +24768,8 @@ 0 0 0 +5 +4 88 0xdd3d 0xed417f2a @@ -19822,6 +24778,8 @@ 1 0 0 +1 +4 26 0xbb9d 0x9e91822f @@ -19830,6 +24788,8 @@ 1 0 0 +4 +2 18 0xb262 0xa320e5dd @@ -19838,6 +24798,8 @@ 0 0 0 +2 +5 63 0xbeef 0x92e84c56 @@ -19846,6 +24808,8 @@ 1 1 0 +5 +3 17 0xcde1 0xf97124b8 @@ -19854,6 +24818,8 @@ 1 0 0 +1 +2 60 0xfc58 0x974a6139 @@ -19862,6 +24828,8 @@ 0 0 0 +2 +4 50 0x3919 0x64972e05 @@ -19870,6 +24838,8 @@ 0 0 0 +4 +3 82 0xf2a4 0xc53fc8aa @@ -19878,6 +24848,8 @@ 0 0 0 +2 +3 89 0x7159 0x5aa0c7db @@ -19886,6 +24858,8 @@ 0 0 0 +4 +0 80 0xf869 0x4587377 @@ -19894,6 +24868,8 @@ 0 0 0 +3 +1 22 0x848 0xcde018ef @@ -19902,6 +24878,8 @@ 1 0 0 +4 +0 10 0x8b0e 0x76f74978 @@ -19910,6 +24888,8 @@ 1 0 0 +3 +4 72 0x1e9c 0xbd1ce57 @@ -19918,6 +24898,8 @@ 1 0 0 +0 +1 17 0x27ea 0xdca8829 @@ -19926,6 +24908,8 @@ 1 0 0 +1 +0 15 0xd456 0x9a3213b6 @@ -19934,6 +24918,8 @@ 0 0 0 +2 +5 67 0x9f4e 0x5aac9f7e @@ -19942,6 +24928,8 @@ 0 0 0 +0 +0 11 0xcf0b 0xc1180feb @@ -19950,6 +24938,8 @@ 1 0 0 +5 +2 43 0xfb01 0x76d2aff1 @@ -19958,6 +24948,8 @@ 0 0 0 +2 +0 34 0xd650 0xf20420a2 @@ -19966,6 +24958,8 @@ 0 0 0 +4 +3 16 0x3165 0x5d280615 @@ -19974,6 +24968,8 @@ 0 0 0 +4 +0 80 0xfacc 0xcc6e1ffd @@ -19982,6 +24978,8 @@ 1 0 0 +3 +0 67 0xce88 0xa28a14de @@ -19990,6 +24988,8 @@ 0 0 0 +4 +2 32 0x519e 0xac02df6b @@ -19998,6 +24998,8 @@ 0 0 0 +4 +4 17 0xe01a 0xda2dd0a4 @@ -20006,6 +25008,8 @@ 1 0 0 +5 +3 71 0x821a 0xca775e8e @@ -20014,6 +25018,8 @@ 1 1 0 +2 +4 54 0xfb27 0x1fb8f2f7 @@ -20022,6 +25028,8 @@ 1 0 0 +3 +2 57 0x77c9 0x1467c516 @@ -20030,6 +25038,8 @@ 1 0 0 +5 +2 82 0xe0b4 0x868b4ff3 @@ -20038,6 +25048,8 @@ 0 0 0 +0 +3 69 0x168a 0xd078d402 @@ -20046,6 +25058,8 @@ 0 0 0 +0 +1 77 0xb8e 0x30852a25 @@ -20054,6 +25068,8 @@ 1 0 0 +2 +1 41 0x6794 0x26423f03 @@ -20062,6 +25078,8 @@ 0 0 0 +4 +2 64 0x3ee1 0xe3521bce @@ -20070,6 +25088,8 @@ 1 0 0 +3 +4 92 0xafdf 0xfb0a5c6a @@ -20078,6 +25098,8 @@ 1 1 0 +4 +1 12 0x88a 0xdf7568ac @@ -20086,6 +25108,8 @@ 1 0 0 +0 +0 56 0xd0f7 0xb311bae3 @@ -20094,6 +25118,8 @@ 0 0 0 +4 +5 90 0xe316 0x8ef74047 @@ -20102,6 +25128,8 @@ 1 0 0 +4 +1 20 0x11ed 0x89cf2ddf @@ -20110,6 +25138,8 @@ 1 0 0 +1 +0 25 0x8e3c 0xc36f4f94 @@ -20118,6 +25148,8 @@ 1 1 0 +3 +3 10 0x8f6 0x6776e030 @@ -20126,6 +25158,8 @@ 0 0 0 +4 +4 49 0xcd24 0x16f16a4f @@ -20134,6 +25168,8 @@ 0 0 0 +3 +0 74 0x1ed 0x13ace30d @@ -20142,6 +25178,8 @@ 0 0 0 +1 +5 26 0x57e7 0xb73f6b94 @@ -20150,6 +25188,8 @@ 1 0 0 +5 +0 20 0xf477 0x1ed4c5d5 @@ -20158,6 +25198,8 @@ 1 1 0 +3 +1 52 0xd7c 0x7f69d419 @@ -20166,6 +25208,8 @@ 1 1 0 +2 +1 80 0x2336 0x81d8b727 @@ -20174,6 +25218,8 @@ 1 1 0 +1 +4 20 0xefcf 0xfc539028 @@ -20182,6 +25228,8 @@ 0 0 0 +2 +2 21 0xb009 0xd83a7624 @@ -20190,6 +25238,8 @@ 1 0 0 +4 +0 31 0xaec5 0x442e4e44 @@ -20198,6 +25248,8 @@ 0 0 0 +2 +1 21 0x8e23 0x980addb9 @@ -20206,6 +25258,8 @@ 0 0 0 +4 +3 52 0x1c36 0x4ee68b0e @@ -20214,6 +25268,8 @@ 1 1 0 +3 +2 56 0x422a 0xf3723779 @@ -20222,6 +25278,8 @@ 1 1 0 +0 +0 40 0xfbb1 0x847a49a5 @@ -20230,6 +25288,8 @@ 1 0 0 +2 +0 75 0x540b 0x489f60e3 @@ -20238,6 +25298,8 @@ 1 0 0 +5 +5 96 0x8a6f 0x5a0b6bb9 @@ -20246,6 +25308,8 @@ 1 0 0 +0 +4 51 0xdfee 0xc914d11d @@ -20254,6 +25318,8 @@ 0 0 0 +5 +3 31 0x3215 0x99aa54ad @@ -20262,6 +25328,8 @@ 0 0 0 +1 +0 57 0x3332 0x68b00ded @@ -20270,6 +25338,8 @@ 1 0 0 +2 +4 52 0xefd7 0x909be681 @@ -20278,6 +25348,8 @@ 1 1 0 +2 +3 78 0x4de8 0xa842b5ae @@ -20286,6 +25358,8 @@ 1 1 0 +1 +1 12 0x7b76 0xeac736c2 @@ -20294,6 +25368,8 @@ 1 1 0 +2 +1 16 0xb2df 0x168a295a @@ -20302,6 +25378,8 @@ 0 0 0 +3 +5 47 0x5111 0xd2de03e4 @@ -20310,6 +25388,8 @@ 1 1 0 +2 +3 27 0x7e9a 0xe28f6f23 @@ -20318,6 +25398,8 @@ 0 0 0 +0 +4 14 0x524e 0xca2ef484 @@ -20326,6 +25408,8 @@ 1 1 0 +0 +5 94 0x35c4 0xcf83aea0 @@ -20334,6 +25418,8 @@ 1 1 0 +0 +0 30 0xc727 0x82516653 @@ -20342,6 +25428,8 @@ 1 0 0 +1 +5 99 0x30c7 0x6c6232ce @@ -20350,6 +25438,8 @@ 0 0 0 +3 +2 29 0xc3f1 0xbbdb0537 @@ -20358,6 +25448,8 @@ 0 0 0 +4 +3 40 0xea9f 0x198e3dfc @@ -20366,6 +25458,8 @@ 0 0 0 +0 +1 78 0xcb84 0xfb1015ba @@ -20374,6 +25468,8 @@ 0 0 0 +2 +5 49 0x6c7e 0x61afc7bf @@ -20382,6 +25478,8 @@ 1 0 0 +1 +0 57 0xe6ad 0xf8dcbfa @@ -20390,6 +25488,8 @@ 1 0 0 +0 +5 27 0x6199 0x3c5a974b @@ -20398,6 +25498,8 @@ 0 0 0 +2 +5 65 0x93f2 0xd342f6c7 @@ -20406,6 +25508,8 @@ 0 0 0 +2 +2 95 0x2b0a 0x32170178 @@ -20414,6 +25518,8 @@ 1 0 0 +0 +3 67 0xdb2f 0x4559c062 @@ -20422,6 +25528,8 @@ 0 0 0 +4 +2 78 0x35ec 0x426813b3 @@ -20430,6 +25538,8 @@ 0 0 0 +1 +4 92 0x1662 0x48f4d07c @@ -20438,6 +25548,8 @@ 0 0 0 +0 +4 81 0x4c6c 0x82051a5b @@ -20446,6 +25558,8 @@ 0 0 0 +4 +4 86 0xb79 0x11cb8594 @@ -20454,6 +25568,8 @@ 1 0 0 +2 +0 28 0xe13a 0xfaa88ca2 @@ -20462,6 +25578,8 @@ 0 0 0 +2 +2 61 0x5674 0xff892d01 @@ -20470,6 +25588,8 @@ 0 0 0 +2 +1 19 0xa2f9 0xd2392e9b @@ -20478,6 +25598,8 @@ 1 0 0 +5 +0 83 0xab8c 0xdbfb5523 @@ -20486,6 +25608,8 @@ 0 0 0 +3 +2 25 0x9677 0xb41ab627 @@ -20494,6 +25618,8 @@ 1 0 0 +0 +2 59 0x3351 0x6f0ddda0 @@ -20502,6 +25628,8 @@ 0 0 0 +0 +3 68 0xeed1 0xebccba83 @@ -20510,6 +25638,8 @@ 1 1 0 +1 +5 33 0x134b 0xd7b8df62 @@ -20518,6 +25648,8 @@ 0 0 0 +0 +1 72 0x8999 0x6903f0df @@ -20526,6 +25658,8 @@ 0 0 0 +3 +4 98 0x3e0b 0x277b356c @@ -20534,6 +25668,8 @@ 0 0 0 +4 +4 79 0x36bf 0xd705fb92 @@ -20542,6 +25678,8 @@ 1 1 0 +5 +1 16 0xb207 0xbafac382 @@ -20550,6 +25688,8 @@ 0 0 0 +2 +0 39 0x3ff6 0xc69d8654 @@ -20558,6 +25698,8 @@ 1 1 0 +3 +4 73 0x7edc 0xbaf321d1 @@ -20566,6 +25708,8 @@ 0 0 0 +3 +0 21 0xc1a 0xdbe9e5 @@ -20574,6 +25718,8 @@ 1 0 0 +5 +0 36 0x5764 0x523cfa42 @@ -20582,6 +25728,8 @@ 1 1 0 +1 +2 64 0xbd55 0x5ad94d02 @@ -20590,6 +25738,8 @@ 0 0 0 +2 +2 67 0x90b8 0xf21a0392 @@ -20598,6 +25748,8 @@ 1 0 0 +0 +4 84 0xe976 0x32855e9c @@ -20606,6 +25758,8 @@ 1 0 0 +3 +2 41 0x56ab 0x950f994 @@ -20614,6 +25768,8 @@ 1 0 0 +4 +3 83 0xb877 0xac9828d4 @@ -20622,6 +25778,8 @@ 1 1 0 +4 +2 21 0x9efd 0x43e3f018 @@ -20630,6 +25788,8 @@ 1 0 0 +2 +3 26 0xc304 0x8bc3b801 @@ -20638,6 +25798,8 @@ 0 0 0 +5 +2 23 0xd21a 0xeff399b2 @@ -20646,6 +25808,8 @@ 0 0 0 +1 +3 24 0x89f1 0x468f8e3 @@ -20654,6 +25818,8 @@ 0 0 0 +0 +3 90 0xacb0 0x72302f1f @@ -20662,6 +25828,8 @@ 0 0 0 +4 +5 45 0x731e 0x5aaf67d6 @@ -20670,6 +25838,8 @@ 0 0 0 +2 +4 48 0x5b5a 0x349b686f @@ -20678,6 +25848,8 @@ 0 0 0 +1 +5 90 0xaa2f 0xcaef8a80 @@ -20686,6 +25858,8 @@ 1 1 0 +4 +5 75 0x5d20 0x8e4d2913 @@ -20694,6 +25868,8 @@ 1 0 0 +0 +5 79 0x6a0e 0x19a142f3 @@ -20702,6 +25878,8 @@ 1 0 0 +1 +2 54 0xd981 0xc2a562f @@ -20710,6 +25888,8 @@ 1 1 0 +0 +1 71 0x41da 0x5af19664 @@ -20718,6 +25898,8 @@ 0 0 0 +0 +3 31 0x41d8 0x464f585 @@ -20726,6 +25908,8 @@ 1 1 0 +2 +0 76 0x5af3 0xc792053d @@ -20734,6 +25918,8 @@ 0 0 0 +5 +0 53 0x1d3 0x2189111 @@ -20742,6 +25928,8 @@ 0 0 0 +5 +4 54 0xf468 0x1364a3e2 @@ -20750,6 +25938,8 @@ 0 0 0 +2 +4 28 0x8be 0x4f92969f @@ -20758,6 +25948,8 @@ 0 0 0 +0 +3 66 0x9fd6 0x94702ca8 @@ -20766,6 +25958,8 @@ 1 1 0 +2 +3 44 0xfc55 0xbb46c31 @@ -20774,6 +25968,8 @@ 1 1 0 +0 +3 24 0xb6da 0xeaf30cb @@ -20782,6 +25978,8 @@ 0 0 0 +1 +2 76 0x5c90 0x4dd06cfd @@ -20790,6 +25988,8 @@ 1 1 0 +1 +4 78 0xe3f0 0xe68e16f1 @@ -20798,6 +25998,8 @@ 0 0 0 +3 +4 53 0xe892 0xd71d3acd @@ -20806,6 +26008,8 @@ 0 0 0 +2 +5 70 0x17ed 0x35aac1e @@ -20814,6 +26018,8 @@ 1 0 0 +1 +4 85 0x32d9 0x295d6109 @@ -20822,6 +26028,8 @@ 0 0 0 +1 +5 16 0x997e 0x7483fc32 @@ -20830,6 +26038,8 @@ 0 0 0 +1 +3 55 0x9b7b 0x1c9e919a @@ -20838,6 +26048,8 @@ 1 1 0 +4 +4 38 0xda9b 0x819bfa7a @@ -20846,6 +26058,8 @@ 1 0 0 +5 +4 77 0xa855 0xb8a98c2 @@ -20854,6 +26068,8 @@ 0 0 0 +0 +4 88 0x829 0x6c7533f5 @@ -20862,6 +26078,8 @@ 0 0 0 +2 +4 77 0xfc0e 0xfe16b15a @@ -20870,6 +26088,8 @@ 0 0 0 +3 +3 38 0xe03 0xedbc720 @@ -20878,6 +26098,8 @@ 0 0 0 +5 +4 18 0xce25 0x180b0466 @@ -20886,6 +26108,8 @@ 1 1 0 +3 +3 92 0xf82c 0xfe38c126 @@ -20894,6 +26118,8 @@ 1 1 0 +0 +0 88 0x9b2a 0xca64dadd @@ -20902,6 +26128,8 @@ 0 0 0 +3 +5 46 0x3cf1 0xf2aa7cf7 @@ -20910,6 +26138,8 @@ 0 0 0 +2 +5 97 0x9f9a 0xa6371f0e @@ -20918,6 +26148,8 @@ 1 1 0 +4 +2 76 0xc503 0x8e6a1b2a @@ -20926,6 +26158,8 @@ 0 0 0 +2 +3 59 0x8fab 0xea7f6549 @@ -20934,6 +26168,8 @@ 1 1 0 +4 +5 23 0xbcd1 0x4c71bf7f @@ -20942,6 +26178,8 @@ 0 0 0 +2 +2 41 0xa50 0xf9b16dc2 @@ -20950,6 +26188,8 @@ 0 0 0 +4 +4 24 0x7caf 0xe9d3bc20 @@ -20958,6 +26198,8 @@ 0 0 0 +4 +3 69 0x4c6d 0x55d37b66 @@ -20966,6 +26208,8 @@ 1 1 0 +4 +0 54 0xd7c1 0xda55252a @@ -20974,6 +26218,8 @@ 0 0 0 +1 +4 26 0x25e0 0x91e5e17c @@ -20982,6 +26228,8 @@ 1 0 0 +0 +0 18 0xaf1e 0x622ce542 @@ -20990,6 +26238,8 @@ 0 0 0 +4 +3 11 0xee6c 0x9d4f084a @@ -20998,6 +26248,8 @@ 1 0 0 +1 +2 22 0x797 0x417d9cb4 @@ -21006,6 +26258,8 @@ 1 0 0 +3 +5 27 0xbbb4 0x6bcad89 @@ -21014,6 +26268,8 @@ 0 0 0 +2 +0 58 0x99dd 0x697e5939 @@ -21022,6 +26278,8 @@ 0 0 0 +5 +0 50 0x1e37 0x16ed88c @@ -21030,6 +26288,8 @@ 1 0 0 +2 +2 10 0x72f6 0xa0eb8a66 @@ -21038,6 +26298,8 @@ 1 1 0 +1 +5 38 0x810f 0xfb4de3b5 @@ -21046,6 +26308,8 @@ 1 0 0 +5 +2 57 0xf36a 0x8ce0f6b7 @@ -21054,6 +26318,8 @@ 0 0 0 +0 +5 52 0x55cb 0x3005c66a @@ -21062,6 +26328,8 @@ 0 0 0 +1 +2 58 0x5603 0x79d61640 @@ -21070,6 +26338,8 @@ 1 0 0 +1 +0 66 0x34e6 0x91035637 @@ -21078,6 +26348,8 @@ 1 1 0 +1 +1 17 0x6fbd 0xdba6c0c @@ -21086,6 +26358,8 @@ 0 0 0 +5 +0 85 0xcadc 0xa2e250c4 @@ -21094,6 +26368,8 @@ 0 0 0 +1 +0 75 0xe0e3 0x4c76b3c0 @@ -21102,6 +26378,8 @@ 1 1 0 +0 +2 17 0xb980 0xc07990dc @@ -21110,6 +26388,8 @@ 0 0 0 +5 +0 31 0x3647 0x3097e5d @@ -21118,6 +26398,8 @@ 0 0 0 +1 +0 26 0x7716 0x8d6a62bb @@ -21126,6 +26408,8 @@ 0 0 0 +4 +3 72 0xd78e 0x13f23ed7 @@ -21134,6 +26418,8 @@ 0 0 0 +2 +0 86 0x5782 0xd406b9b7 @@ -21142,6 +26428,8 @@ 0 0 0 +0 +2 78 0xb9ec 0xf15ade4 @@ -21150,6 +26438,8 @@ 0 0 0 +5 +1 60 0xcc26 0xc427f271 @@ -21158,6 +26448,8 @@ 1 1 0 +2 +4 91 0xb0ca 0xe04d4c88 @@ -21166,6 +26458,8 @@ 1 1 0 +1 +1 74 0x6352 0x871b2607 @@ -21174,6 +26468,8 @@ 0 0 0 +4 +2 30 0xca6d 0xabf33723 @@ -21182,6 +26478,8 @@ 1 1 0 +0 +2 38 0x3ca9 0x33094b4c @@ -21190,6 +26488,8 @@ 0 0 0 +1 +4 62 0x670e 0xa0d4915 @@ -21198,6 +26498,8 @@ 1 1 0 +2 +2 100 0x8743 0x6bce7941 @@ -21206,6 +26508,8 @@ 1 0 0 +5 +0 79 0x358f 0x3aaeaf24 @@ -21214,6 +26518,8 @@ 0 0 0 +3 +3 30 0x2c2c 0x50a1253e @@ -21222,6 +26528,8 @@ 1 0 0 +1 +5 69 0x5e49 0xf011b1e9 @@ -21230,6 +26538,8 @@ 1 1 0 +4 +4 99 0x6364 0x5697cbca @@ -21238,6 +26548,8 @@ 1 0 0 +3 +3 58 0xddec 0xc8a234f1 @@ -21246,6 +26558,8 @@ 1 1 0 +3 +2 25 0x1fde 0x8cfc384e @@ -21254,6 +26568,8 @@ 1 1 0 +2 +0 37 0x4c8a 0x10a6b43c @@ -21262,6 +26578,8 @@ 0 0 0 +4 +3 80 0xa7ef 0xdbdd5d7d @@ -21270,6 +26588,8 @@ 0 0 0 +5 +2 40 0xad50 0x44d3aefd @@ -21278,6 +26598,8 @@ 0 0 0 +0 +3 16 0x562a 0xc2e53f03 @@ -21286,6 +26608,8 @@ 0 0 0 +1 +3 15 0x8986 0x33c32059 @@ -21294,6 +26618,8 @@ 0 0 0 +1 +5 52 0xb336 0x338d09e1 @@ -21302,6 +26628,8 @@ 1 0 0 +3 +3 71 0xe8e1 0xcc0a0826 @@ -21310,6 +26638,8 @@ 1 0 0 +3 +2 61 0xdc4f 0x2ef6c7d1 @@ -21318,6 +26648,8 @@ 0 0 0 +2 +2 49 0x7608 0x6d51a84f @@ -21326,6 +26658,8 @@ 1 0 0 +4 +3 59 0xfdbe 0x2b1a13e3 @@ -21334,6 +26668,8 @@ 0 0 0 +0 +0 53 0xe157 0x94adaaf1 @@ -21342,6 +26678,8 @@ 0 0 0 +4 +0 39 0xa18b 0xd0de5b9b @@ -21350,6 +26688,8 @@ 1 0 0 +3 +2 27 0x8d5d 0x62f287b1 @@ -21358,6 +26698,8 @@ 1 0 0 +2 +2 11 0x881b 0xd9e1f607 @@ -21366,6 +26708,8 @@ 0 0 0 +2 +5 55 0x31f6 0xdb1e0082 @@ -21374,6 +26718,8 @@ 1 0 0 +1 +1 90 0x151c 0xebc49843 @@ -21382,6 +26728,8 @@ 0 0 0 +5 +1 11 0x7ac3 0xa6b5999e @@ -21390,6 +26738,8 @@ 0 0 0 +1 +2 29 0x4f98 0x818de4b2 @@ -21398,6 +26748,8 @@ 0 0 0 +1 +5 72 0x9742 0x584547d6 @@ -21406,6 +26758,8 @@ 0 0 0 +2 +0 12 0x909 0x37fcc9d2 @@ -21414,6 +26768,8 @@ 1 1 0 +3 +1 67 0x8f0c 0xcf225b36 @@ -21422,6 +26778,8 @@ 1 0 0 +0 +1 83 0x518e 0xf174ff7f @@ -21430,6 +26788,8 @@ 0 0 0 +4 +4 28 0xc2b0 0xda1b7221 @@ -21438,6 +26798,8 @@ 1 0 0 +5 +4 13 0xdd59 0xf112647e @@ -21446,6 +26808,8 @@ 1 1 0 +4 +3 99 0x7c1d 0x97533fce @@ -21454,6 +26818,8 @@ 0 0 0 +2 +2 99 0x8e68 0x40b1cee4 @@ -21462,6 +26828,8 @@ 1 0 0 +2 +1 41 0xe18f 0xabc8306e @@ -21470,6 +26838,8 @@ 1 0 0 +3 +3 26 0xa9d 0xa8074a9 @@ -21478,6 +26848,8 @@ 1 0 0 +1 +5 76 0x7d41 0x1e15bd87 @@ -21486,6 +26858,8 @@ 1 1 0 +0 +5 70 0x5dc8 0x1d701017 @@ -21494,6 +26868,8 @@ 1 1 0 +4 +2 99 0xb7d3 0x94f99741 @@ -21502,6 +26878,8 @@ 1 1 0 +5 +1 66 0xa954 0x79827266 @@ -21510,6 +26888,8 @@ 0 0 0 +5 +1 90 0xa07 0xb533ba63 @@ -21518,6 +26898,8 @@ 0 0 0 +0 +1 86 0x791b 0x4855b22c @@ -21526,6 +26908,8 @@ 1 0 0 +2 +3 11 0x8503 0xdcac7e37 @@ -21534,6 +26918,8 @@ 1 0 0 +0 +5 40 0x81a2 0x8539a168 @@ -21542,6 +26928,8 @@ 0 0 0 +0 +2 100 0xf2f1 0xcba16739 @@ -21550,6 +26938,8 @@ 0 0 0 +5 +4 68 0xfdfc 0xff1a5775 @@ -21558,6 +26948,8 @@ 1 0 0 +1 +0 16 0xf43e 0x97750c8a @@ -21566,6 +26958,8 @@ 0 0 0 +0 +2 84 0xce9a 0xb2d4c4b9 @@ -21574,6 +26968,8 @@ 0 0 0 +5 +1 81 0xde55 0x61d17e6c @@ -21582,6 +26978,8 @@ 1 1 0 +1 +2 40 0xace6 0x97635d @@ -21590,6 +26988,8 @@ 1 0 0 +3 +4 85 0xc2eb 0x988c5e4b @@ -21598,6 +26998,8 @@ 0 0 0 +0 +4 54 0x8502 0xb3dc59bd @@ -21606,6 +27008,8 @@ 0 0 0 +5 +3 50 0xd937 0x510e2704 @@ -21614,6 +27018,8 @@ 1 0 0 +5 +5 55 0xcb2c 0x47657e0a @@ -21622,6 +27028,8 @@ 1 1 0 +1 +5 80 0xecd8 0x2ed140d2 @@ -21630,6 +27038,8 @@ 1 1 0 +4 +1 20 0xe394 0x418869fc @@ -21638,6 +27048,8 @@ 1 0 0 +5 +5 87 0x4f7e 0x4ba59917 @@ -21646,6 +27058,8 @@ 0 0 0 +2 +1 99 0xa17c 0x7a6832a @@ -21654,6 +27068,8 @@ 0 0 0 +2 +5 93 0x5ed1 0xb03e8305 @@ -21662,6 +27078,8 @@ 1 1 0 +1 +4 18 0x13a4 0xcf8a5913 @@ -21670,6 +27088,8 @@ 0 0 0 +1 +2 62 0xf904 0xfc506470 @@ -21678,6 +27098,8 @@ 1 1 0 +3 +5 34 0x7478 0x82e95ddf @@ -21686,6 +27108,8 @@ 1 1 0 +4 +0 57 0xe456 0x2361748e @@ -21694,6 +27118,8 @@ 0 0 0 +2 +5 22 0x29a4 0x42e027bc @@ -21702,6 +27128,8 @@ 1 0 0 +1 +1 83 0x56d3 0x6078f6f6 @@ -21710,6 +27138,8 @@ 1 1 0 +2 +4 42 0x6257 0xbe2fde2f @@ -21718,6 +27148,8 @@ 1 0 0 +3 +4 73 0x4c2a 0xd513438b @@ -21726,6 +27158,8 @@ 1 1 0 +4 +1 16 0x6831 0xc49a0dc7 @@ -21734,6 +27168,8 @@ 0 0 0 +4 +2 46 0x324 0x78da735d @@ -21742,6 +27178,8 @@ 1 0 0 +4 +5 26 0xc121 0xfa2ce9cb @@ -21750,6 +27188,8 @@ 0 0 0 +2 +4 76 0x5079 0x6bff741f @@ -21758,6 +27198,8 @@ 1 0 0 +0 +3 67 0xc13d 0x65e56d0e @@ -21766,6 +27208,8 @@ 1 0 0 +2 +5 38 0xafe4 0xc1a15b1e @@ -21774,6 +27218,8 @@ 0 0 0 +0 +2 97 0x7c4e 0x3de7dd8b @@ -21782,6 +27228,8 @@ 1 0 0 +5 +2 44 0x9477 0xf3f7ae0f @@ -21790,6 +27238,8 @@ 1 1 0 +4 +0 86 0xc2b6 0x69ffc1bc @@ -21798,6 +27248,8 @@ 0 0 0 +5 +4 68 0xce46 0xa746db54 @@ -21806,6 +27258,8 @@ 1 1 0 +5 +1 74 0xf0c 0xd2e9d5ba @@ -21814,6 +27268,8 @@ 0 0 0 +3 +1 54 0xc16 0x5fcf21e1 @@ -21822,6 +27278,8 @@ 1 0 0 +4 +1 25 0x10e8 0x6e243119 @@ -21830,6 +27288,8 @@ 0 0 0 +1 +4 28 0xab97 0xb6095daa @@ -21838,6 +27298,8 @@ 0 0 0 +2 +1 67 0xf7e0 0xd24a7c23 @@ -21846,6 +27308,8 @@ 0 0 0 +5 +0 91 0xd665 0x68843d33 @@ -21854,6 +27318,8 @@ 0 0 0 +5 +4 57 0xd985 0xd59bf6c0 @@ -21862,6 +27328,8 @@ 1 1 0 +0 +4 72 0x9e5a 0x6aee9a69 @@ -21870,6 +27338,8 @@ 1 1 0 +2 +4 72 0x9e86 0x934b2e8c @@ -21878,6 +27348,8 @@ 0 0 0 +3 +5 76 0x8e8c 0x33991a7b @@ -21886,6 +27358,8 @@ 0 0 0 +5 +4 25 0xcd14 0x232f6ebc @@ -21894,6 +27368,8 @@ 1 1 0 +0 +4 51 0xd8a3 0x858e510e @@ -21902,6 +27378,8 @@ 0 0 0 +2 +3 64 0xa299 0x2241716c @@ -21910,6 +27388,8 @@ 0 0 0 +3 +0 43 0xef8a 0x67960213 @@ -21918,6 +27398,8 @@ 1 1 0 +2 +1 53 0x7915 0x505660c2 @@ -21926,6 +27408,8 @@ 0 0 0 +0 +5 34 0x7d39 0x173d5b24 @@ -21934,6 +27418,8 @@ 0 0 0 +3 +0 41 0x26ad 0x9838ecfb @@ -21942,6 +27428,8 @@ 1 0 0 +4 +5 28 0xe31d 0xbbf193c @@ -21950,6 +27438,8 @@ 1 0 0 +3 +3 99 0x45f6 0xf754adee @@ -21958,6 +27448,8 @@ 0 0 0 +3 +1 35 0x63c5 0x8cff0a0a @@ -21966,6 +27458,8 @@ 1 0 0 +4 +1 85 0xe1b5 0xf67849b6 @@ -21974,6 +27468,8 @@ 1 1 0 +1 +5 92 0x7617 0x39e84b6 @@ -21982,6 +27478,8 @@ 0 0 0 +5 +0 82 0x7367 0x5fac9bc2 @@ -21990,6 +27488,8 @@ 0 0 0 +2 +5 76 0xac6b 0x19473209 @@ -21998,6 +27498,8 @@ 1 1 0 +5 +5 12 0x5a03 0xbd076581 @@ -22006,6 +27508,8 @@ 1 0 0 +5 +5 77 0x685d 0xff87592c @@ -22014,6 +27518,8 @@ 1 0 0 +0 +1 61 0x9ff4 0x1e881b24 @@ -22022,6 +27528,8 @@ 0 0 0 +5 +4 33 0xc8c3 0xb24664fc @@ -22030,6 +27538,8 @@ 1 1 0 +1 +0 66 0x67e0 0x18d7d536 @@ -22038,6 +27548,8 @@ 0 0 0 +2 +3 81 0xfcd6 0x8885a179 @@ -22046,6 +27558,8 @@ 0 0 0 +3 +1 49 0x4c15 0x49e7fc44 @@ -22054,6 +27568,8 @@ 0 0 0 +3 +5 88 0x5e0c 0x5323a614 @@ -22062,6 +27578,8 @@ 1 1 0 +1 +4 83 0xf931 0xf9ee0a46 @@ -22070,6 +27588,8 @@ 0 0 0 +1 +1 39 0xcec1 0x621151b9 @@ -22078,6 +27598,8 @@ 1 1 0 +0 +0 44 0x5d82 0x7545f5cf @@ -22086,6 +27608,8 @@ 1 1 0 +4 +4 70 0x4db1 0xd7156824 @@ -22094,6 +27618,8 @@ 1 1 0 +5 +1 39 0x90d0 0x8591e7a1 @@ -22102,6 +27628,8 @@ 0 0 0 +2 +5 85 0x4de3 0x1b9d562 @@ -22110,6 +27638,8 @@ 0 0 0 +2 +0 96 0x4c67 0xb8f81ba5 @@ -22118,6 +27648,8 @@ 1 0 0 +5 +5 88 0xe1c3 0xaa980827 @@ -22126,6 +27658,8 @@ 0 0 0 +4 +1 12 0x3f2a 0x918a3d75 @@ -22134,6 +27668,8 @@ 0 0 0 +3 +5 79 0xb9ca 0xead4dd40 @@ -22142,6 +27678,8 @@ 0 0 0 +0 +1 10 0x353a 0x882bc901 @@ -22150,6 +27688,8 @@ 1 1 0 +3 +5 60 0xe1d3 0x8157e626 @@ -22158,6 +27698,8 @@ 1 1 0 +2 +3 85 0x71f4 0x3bf3464 @@ -22166,6 +27708,8 @@ 0 0 0 +0 +0 65 0xc623 0x62c03e37 @@ -22174,6 +27718,8 @@ 0 0 0 +3 +3 94 0x1f62 0xf5414a00 @@ -22182,6 +27728,8 @@ 1 0 0 +2 +1 51 0xdc13 0x254a7b7d @@ -22190,6 +27738,8 @@ 1 0 0 +0 +3 70 0x9161 0x81d77299 @@ -22198,6 +27748,8 @@ 1 1 0 +3 +0 31 0x449c 0x8094b371 @@ -22206,6 +27758,8 @@ 0 0 0 +0 +4 75 0x968e 0xe5824210 @@ -22214,6 +27768,8 @@ 0 0 0 +5 +4 74 0x494e 0x1de5cefb @@ -22222,6 +27778,8 @@ 0 0 0 +3 +1 17 0xc764 0xdb94b9ce @@ -22230,6 +27788,8 @@ 1 0 0 +0 +5 55 0x624a 0xbf18f20c @@ -22238,6 +27798,8 @@ 0 0 0 +1 +1 72 0x6c25 0x718227fc @@ -22246,6 +27808,8 @@ 0 0 0 +3 +2 40 0xf02c 0x4e9648b @@ -22254,6 +27818,8 @@ 0 0 0 +2 +0 57 0x1b86 0xed5cff64 @@ -22262,6 +27828,8 @@ 1 0 0 +3 +0 87 0x5e15 0xbbf593c7 @@ -22270,6 +27838,8 @@ 0 0 0 +1 +2 30 0xab1 0xf0df870f @@ -22278,6 +27848,8 @@ 1 0 0 +2 +5 44 0xd779 0x368025 @@ -22286,6 +27858,8 @@ 0 0 0 +0 +2 99 0x4bae 0xf45f070e @@ -22294,6 +27868,8 @@ 0 0 0 +3 +3 56 0xe45d 0xdbe3d8f1 @@ -22302,6 +27878,8 @@ 0 0 0 +3 +5 59 0xf6ee 0x723cb3e1 @@ -22310,6 +27888,8 @@ 0 0 0 +4 +5 55 0xa907 0x389a6073 @@ -22318,6 +27898,8 @@ 1 0 0 +3 +1 18 0xe895 0xd88d68a7 @@ -22326,6 +27908,8 @@ 1 0 0 +5 +4 80 0xea44 0x71fb1c96 @@ -22334,6 +27918,8 @@ 1 0 0 +4 +0 36 0xcc1b 0x71305181 @@ -22342,6 +27928,8 @@ 0 0 0 +0 +0 37 0xa6be 0xcddad945 @@ -22350,6 +27938,8 @@ 1 1 0 +4 +5 87 0xf9b8 0xdf62d609 @@ -22358,6 +27948,8 @@ 0 0 0 +4 +1 45 0x1d2f 0x9e84948b @@ -22366,6 +27958,8 @@ 0 0 0 +5 +1 63 0xcbc0 0xaeea5c63 @@ -22374,6 +27968,8 @@ 0 0 0 +1 +1 12 0x3511 0xaf09b84 @@ -22382,6 +27978,8 @@ 1 1 0 +4 +2 57 0x9f91 0x45506357 @@ -22390,6 +27988,8 @@ 1 0 0 +2 +2 33 0xe30c 0xab0b9f8a @@ -22398,6 +27998,8 @@ 1 1 0 +2 +0 63 0x5c1f 0xd25fc48f @@ -22406,6 +28008,8 @@ 1 1 0 +0 +2 19 0xef60 0x77f26272 @@ -22414,6 +28018,8 @@ 1 1 0 +2 +0 17 0x2f0f 0xa0e1f149 @@ -22422,6 +28028,8 @@ 0 0 0 +4 +5 42 0xff6 0x7c0ad53e @@ -22430,6 +28038,8 @@ 1 0 0 +5 +3 15 0x7d95 0xfd52c591 @@ -22438,6 +28048,8 @@ 0 0 0 +3 +0 89 0x8582 0xc5f4c34e @@ -22446,6 +28058,8 @@ 0 0 0 +3 +0 27 0x79f3 0xc728cd70 @@ -22454,6 +28068,8 @@ 1 1 0 +0 +1 63 0x9af4 0xd7e37b35 @@ -22462,6 +28078,8 @@ 1 1 0 +3 +3 75 0x3ce2 0xa9bd0a27 @@ -22470,6 +28088,8 @@ 1 0 0 +0 +2 74 0x7a9e 0xcede3c8a @@ -22478,6 +28098,8 @@ 0 0 0 +1 +3 39 0x5f83 0x6bbb07a7 @@ -22486,6 +28108,8 @@ 0 0 0 +3 +3 77 0x38ba 0xd810a7d3 @@ -22494,6 +28118,8 @@ 0 0 0 +2 +5 28 0x121 0x5d95d81 @@ -22502,6 +28128,8 @@ 1 1 0 +3 +5 47 0x68cb 0x323f8aad @@ -22510,6 +28138,8 @@ 0 0 0 +4 +2 51 0xb90c 0x2a2486f5 @@ -22518,6 +28148,8 @@ 1 0 0 +0 +3 41 0xf46d 0x137dd673 @@ -22526,6 +28158,8 @@ 0 0 0 +4 +2 49 0xfdd3 0xc8b3e59f @@ -22534,6 +28168,8 @@ 0 0 0 +1 +5 85 0x3eb4 0x4f88b9e8 @@ -22542,6 +28178,8 @@ 0 0 0 +0 +3 68 0x706 0xa7e613d4 @@ -22550,6 +28188,8 @@ 0 0 0 +1 +3 66 0xf522 0x4a30398a @@ -22558,6 +28198,8 @@ 0 0 0 +0 +3 67 0x389a 0xe66550dd @@ -22566,6 +28208,8 @@ 1 1 0 +5 +1 81 0x80bb 0xe8c655ce @@ -22574,6 +28218,8 @@ 1 0 0 +3 +4 39 0x27a7 0xa5410791 @@ -22582,6 +28228,8 @@ 0 0 0 +5 +5 54 0x8a67 0x88922f4 @@ -22590,6 +28238,8 @@ 1 0 0 +4 +4 88 0xe0c2 0xe5f05da2 @@ -22598,6 +28248,8 @@ 1 1 0 +1 +5 69 0xe13e 0x513791ba @@ -22606,6 +28258,8 @@ 1 0 0 +0 +3 85 0xb4c2 0x779809ea @@ -22614,6 +28268,8 @@ 1 1 0 +4 +1 69 0x504f 0x1ec6e3df @@ -22622,6 +28278,8 @@ 1 1 0 +2 +0 84 0x4b5c 0xc8413dca @@ -22630,6 +28288,8 @@ 1 1 0 +0 +4 45 0x3136 0xec014c77 @@ -22638,6 +28298,8 @@ 1 0 0 +1 +3 18 0xe0ea 0x2eadca04 @@ -22646,6 +28308,8 @@ 1 1 0 +4 +0 78 0xc1b4 0x810c3af0 @@ -22654,6 +28318,8 @@ 1 1 0 +5 +2 68 0xa87a 0xd18f560 @@ -22662,6 +28328,8 @@ 0 0 0 +2 +4 25 0x3fa7 0x42bcb049 @@ -22670,6 +28338,8 @@ 1 1 0 +2 +5 20 0xd11b 0x3bcf3b6a @@ -22678,6 +28348,8 @@ 0 0 0 +2 +0 96 0x637 0xf542687b @@ -22686,6 +28358,8 @@ 0 0 0 +5 +4 62 0x126b 0xe029af3e @@ -22694,6 +28368,8 @@ 0 0 0 +1 +2 12 0xe679 0xa5cd9d7c @@ -22702,6 +28378,8 @@ 1 0 0 +2 +3 80 0x6854 0x5335dc4c @@ -22710,6 +28388,8 @@ 1 0 0 +0 +0 90 0xd462 0x21cc3e32 @@ -22718,6 +28398,8 @@ 0 0 0 +2 +3 100 0xf477 0x4d5e0bca @@ -22726,6 +28408,8 @@ 1 0 0 +5 +5 36 0x8e5 0x38a6418a @@ -22734,6 +28418,8 @@ 1 1 0 +0 +1 64 0xdc94 0xe1521fba @@ -22742,6 +28428,8 @@ 1 1 0 +0 +2 20 0xf7dc 0x8238a4d5 @@ -22750,6 +28438,8 @@ 1 1 0 +3 +5 32 0x7d1b 0x5951a10d @@ -22758,6 +28448,8 @@ 1 0 0 +1 +3 10 0x5521 0x419de3d2 @@ -22766,6 +28458,8 @@ 1 1 0 +4 +1 65 0xadfa 0x1be841fa @@ -22774,6 +28468,8 @@ 1 0 0 +5 +1 51 0x9646 0xa5a182c @@ -22782,6 +28478,8 @@ 1 1 0 +3 +3 34 0xb129 0xc12babbc @@ -22790,6 +28488,8 @@ 0 0 0 +2 +5 43 0xee78 0xc44bb1cf @@ -22798,6 +28498,8 @@ 1 0 0 +1 +4 28 0xb871 0x13d11f52 @@ -22806,6 +28508,8 @@ 0 0 0 +2 +5 60 0xebab 0xf456725b @@ -22814,6 +28518,8 @@ 1 1 0 +0 +3 27 0x24f3 0xf92d339d @@ -22822,6 +28528,8 @@ 1 1 0 +1 +5 35 0xf33e 0xfd66dad5 @@ -22830,6 +28538,8 @@ 0 0 0 +3 +3 71 0xa402 0x8db05617 @@ -22838,6 +28548,8 @@ 1 0 0 +1 +4 39 0x4fed 0x70212330 @@ -22846,6 +28558,8 @@ 1 0 0 +3 +0 94 0xa0be 0x7955c65b @@ -22854,6 +28568,8 @@ 1 1 0 +0 +5 51 0x42bb 0x5bfff05e @@ -22862,6 +28578,8 @@ 1 0 0 +3 +1 21 0x4653 0xe1da800c @@ -22870,6 +28588,8 @@ 0 0 0 +3 +1 27 0x4e1 0x84095407 @@ -22878,6 +28598,8 @@ 1 1 0 +4 +3 77 0x624 0xf30ab9ab @@ -22886,6 +28608,8 @@ 1 0 0 +5 +3 44 0x8c7a 0x8b6b0712 @@ -22894,6 +28618,8 @@ 1 1 0 +3 +3 87 0x4d52 0xbf214a93 @@ -22902,6 +28628,8 @@ 1 0 0 +2 +3 67 0x87c7 0xd4516dcf @@ -22910,6 +28638,8 @@ 1 0 0 +3 +2 67 0xce 0x9b23a7be @@ -22918,6 +28648,8 @@ 1 1 0 +5 +2 61 0xae8c 0x55199f22 @@ -22926,6 +28658,8 @@ 0 0 0 +2 +2 44 0xd907 0x18c81ef2 @@ -22934,6 +28668,8 @@ 0 0 0 +3 +4 74 0xe492 0xf5f677ae @@ -22942,6 +28678,8 @@ 1 1 0 +2 +3 69 0x6d9 0x6736841b @@ -22950,6 +28688,8 @@ 0 0 0 +5 +4 36 0xdfd9 0x2ab90870 @@ -22958,6 +28698,8 @@ 1 1 0 +5 +0 22 0x376e 0x8d45fd7e @@ -22966,6 +28708,8 @@ 1 1 0 +2 +4 83 0x4ab7 0xcec30d7f @@ -22974,6 +28718,8 @@ 1 0 0 +1 +3 57 0xad72 0x374338bb @@ -22982,6 +28728,8 @@ 0 0 0 +5 +0 45 0x950b 0xf772fbca @@ -22990,6 +28738,8 @@ 0 0 0 +0 +5 25 0xf466 0xdf784579 @@ -22998,6 +28748,8 @@ 1 1 0 +3 +1 48 0xc60d 0x43dd9d92 @@ -23006,6 +28758,8 @@ 0 0 0 +4 +1 72 0x7eae 0xb1503f28 @@ -23014,6 +28768,8 @@ 1 1 0 +5 +2 16 0xeea9 0xda1550f0 @@ -23022,6 +28778,8 @@ 0 0 0 +0 +0 11 0x15b2 0xc705459 @@ -23030,6 +28788,8 @@ 0 0 0 +5 +2 58 0xebc1 0x652426bd @@ -23038,6 +28798,8 @@ 0 0 0 +3 +5 85 0xba7f 0xa2016873 @@ -23046,6 +28808,8 @@ 0 0 0 +2 +5 83 0x277a 0x41829823 @@ -23054,6 +28818,8 @@ 1 0 0 +5 +2 38 0xf676 0xae1ea32a @@ -23062,6 +28828,8 @@ 1 1 0 +2 +4 98 0x343f 0xca69295a @@ -23070,6 +28838,8 @@ 0 0 0 +4 +3 87 0x9e91 0xa976e357 @@ -23078,6 +28848,8 @@ 1 0 0 +4 +0 84 0xb122 0x163cd76d @@ -23086,6 +28858,8 @@ 1 0 0 +4 +5 25 0xbd58 0xc50487ae @@ -23094,6 +28868,8 @@ 1 0 0 +1 +1 84 0x4b85 0x7ccc4c06 @@ -23102,6 +28878,8 @@ 1 0 0 +1 +1 97 0xa73e 0xaa984090 @@ -23110,6 +28888,8 @@ 1 0 0 +5 +4 71 0xb978 0xaa43efcf @@ -23118,6 +28898,8 @@ 0 0 0 +4 +4 46 0x185c 0xd8d6ac3 @@ -23126,6 +28908,8 @@ 1 0 0 +0 +4 91 0x3215 0x76763219 @@ -23134,6 +28918,8 @@ 1 0 0 +4 +1 19 0x507f 0xfe39dfd5 @@ -23142,6 +28928,8 @@ 1 0 0 +1 +3 14 0xc81a 0x3a73899e @@ -23150,6 +28938,8 @@ 0 0 0 +5 +1 35 0x5a4b 0xa17aa7e4 @@ -23158,6 +28948,8 @@ 0 0 0 +2 +3 98 0xe41d 0x8af60f94 @@ -23166,6 +28958,8 @@ 1 0 0 +2 +2 29 0x9118 0xcf42b13 @@ -23174,6 +28968,8 @@ 1 0 0 +0 +2 38 0x10a0 0x204fc390 @@ -23182,6 +28978,8 @@ 1 0 0 +0 +4 52 0x5c8 0x53d82c6 @@ -23190,6 +28988,8 @@ 1 1 0 +2 +4 50 0x543f 0x47b17e52 @@ -23198,6 +28998,8 @@ 1 0 0 +2 +3 67 0x7247 0x7cf0aebe @@ -23206,6 +29008,8 @@ 1 0 0 +3 +4 35 0xa8d9 0xae6033c0 @@ -23214,6 +29018,8 @@ 0 0 0 +0 +4 49 0xe018 0xb189bc2e @@ -23222,6 +29028,8 @@ 0 0 0 +5 +3 50 0x72e9 0x53e984d9 @@ -23230,6 +29038,8 @@ 1 1 0 +4 +1 51 0xf126 0xc754f5e3 @@ -23238,6 +29048,8 @@ 0 0 0 +1 +3 80 0xf0cf 0x16ee785f @@ -23246,6 +29058,8 @@ 1 1 0 +5 +4 77 0x50c7 0x22116233 @@ -23254,6 +29068,8 @@ 1 1 0 +2 +0 88 0xc585 0x4ec58b35 @@ -23262,6 +29078,8 @@ 0 0 0 +1 +3 42 0x531 0x455aa392 @@ -23270,6 +29088,8 @@ 0 0 0 +2 +1 21 0xba30 0x973bc321 @@ -23278,6 +29098,8 @@ 1 1 0 +1 +0 14 0xaa8a 0x796ec99f @@ -23286,6 +29108,8 @@ 0 0 0 +4 +2 11 0x6001 0x6f3acbc0 @@ -23294,6 +29118,8 @@ 0 0 0 +2 +2 10 0xc6d7 0x8784b084 @@ -23302,6 +29128,8 @@ 1 0 0 +4 +3 89 0xf473 0x5c8fcab @@ -23310,6 +29138,8 @@ 1 1 0 +4 +2 94 0x1561 0x7657fc40 @@ -23318,6 +29148,8 @@ 0 0 0 +2 +1 94 0x8870 0x34ddac77 @@ -23326,6 +29158,8 @@ 0 0 0 +5 +0 35 0xce4b 0x9e2d94dd @@ -23334,6 +29168,8 @@ 0 0 0 +1 +2 77 0xd4ad 0xc37a807e @@ -23342,6 +29178,8 @@ 0 0 0 +5 +5 100 0x61df 0xa5af3948 @@ -23350,6 +29188,8 @@ 0 0 0 +5 +1 25 0x16ea 0x65d0c7f3 @@ -23358,6 +29198,8 @@ 0 0 0 +5 +5 27 0xde04 0x5fa09d4f @@ -23366,6 +29208,8 @@ 0 0 0 +1 +1 77 0x37de 0x48485256 @@ -23374,6 +29218,8 @@ 0 0 0 +2 +0 60 0x8789 0x81ed1978 @@ -23382,6 +29228,8 @@ 1 0 0 +5 +5 39 0xf7b9 0xe0c0838e @@ -23390,6 +29238,8 @@ 1 0 0 +1 +3 69 0x91bc 0xc039fd94 @@ -23398,6 +29248,8 @@ 1 0 0 +3 +5 28 0x4e1a 0xd74ae308 @@ -23406,6 +29258,8 @@ 0 0 0 +1 +1 70 0xcd09 0xb33d92fb @@ -23414,6 +29268,8 @@ 1 0 0 +1 +3 96 0x444f 0xa46b6ea7 @@ -23422,6 +29278,8 @@ 1 1 0 +1 +2 73 0xfe19 0x4749c3b8 @@ -23430,6 +29288,8 @@ 1 0 0 +0 +5 79 0xd91d 0x545512f @@ -23438,6 +29298,8 @@ 1 0 0 +3 +4 47 0x6ba 0x7fe9174d @@ -23446,6 +29308,8 @@ 1 0 0 +5 +1 69 0xf436 0x6f44607d @@ -23454,6 +29318,8 @@ 1 1 0 +4 +5 47 0x184 0x43534446 @@ -23462,6 +29328,8 @@ 1 0 0 +4 +0 84 0x98e0 0x4d95c46 @@ -23470,6 +29338,8 @@ 1 1 0 +5 +2 40 0x68e5 0xaf01fba9 @@ -23478,6 +29348,8 @@ 1 1 0 +4 +4 100 0x7d0c 0xa251bb62 @@ -23486,6 +29358,8 @@ 1 0 0 +4 +5 79 0x6d7f 0xbaa898fd @@ -23494,6 +29368,8 @@ 0 0 0 +2 +2 92 0x16c4 0x54a4e2fb @@ -23502,6 +29378,8 @@ 1 0 0 +4 +1 74 0xf5e3 0x9fdcfb82 @@ -23510,6 +29388,8 @@ 0 0 0 +0 +4 31 0xaf7d 0x6e0d3fcd @@ -23518,6 +29398,8 @@ 1 0 0 +1 +4 90 0x362b 0x3228dc71 @@ -23526,6 +29408,8 @@ 0 0 0 +1 +3 90 0xeea 0xa2f13fda @@ -23534,6 +29418,8 @@ 0 0 0 +1 +2 52 0xbfbc 0x58a68514 @@ -23542,6 +29428,8 @@ 1 0 0 +2 +0 58 0x8867 0x2413387b @@ -23550,6 +29438,8 @@ 1 1 0 +5 +1 23 0x1f6f 0xdd1f12de @@ -23558,6 +29448,8 @@ 1 0 0 +3 +2 75 0xd3a 0x5f22e99a @@ -23566,6 +29458,8 @@ 1 0 0 +4 +3 15 0x65c9 0xd25d5fff @@ -23574,6 +29468,8 @@ 0 0 0 +4 +5 65 0xe0f2 0xd545d615 @@ -23582,6 +29478,8 @@ 1 0 0 +3 +4 27 0x6094 0x14fddf41 @@ -23590,6 +29488,8 @@ 1 0 0 +1 +3 21 0xb014 0x80bd19e3 @@ -23598,6 +29498,8 @@ 1 1 0 +2 +0 21 0x664d 0xe2b61f61 @@ -23606,6 +29508,8 @@ 1 0 0 +0 +5 84 0x10 0xb96b037 @@ -23614,6 +29518,8 @@ 1 1 0 +2 +0 87 0xaffe 0x93f089b2 @@ -23622,6 +29528,8 @@ 1 1 0 +3 +5 82 0xd7b9 0x61422959 @@ -23630,6 +29538,8 @@ 0 0 0 +2 +5 39 0xe897 0x5ef5bff5 @@ -23638,6 +29548,8 @@ 0 0 0 +1 +2 84 0x8226 0x2aa658d0 @@ -23646,6 +29558,8 @@ 1 0 0 +1 +2 76 0x8097 0xff09bda @@ -23654,6 +29568,8 @@ 0 0 0 +1 +2 52 0x30f7 0x32c242a5 @@ -23662,6 +29578,8 @@ 0 0 0 +1 +5 67 0xde66 0x687067a3 @@ -23670,6 +29588,8 @@ 0 0 0 +0 +0 55 0xc438 0xff562ffd @@ -23678,6 +29598,8 @@ 1 1 0 +5 +0 71 0x519a 0x8f5f3d25 @@ -23686,6 +29608,8 @@ 0 0 0 +3 +5 63 0x18cc 0xdb76762e @@ -23694,6 +29618,8 @@ 1 0 0 +1 +1 71 0xe8e2 0xab20ce9a @@ -23702,6 +29628,8 @@ 0 0 0 +0 +0 14 0x24bd 0xab3bf050 @@ -23710,6 +29638,8 @@ 0 0 0 +4 +5 33 0x29a4 0xedfa2d63 @@ -23718,6 +29648,8 @@ 1 0 0 +1 +0 26 0xefec 0x5dd4da04 @@ -23726,6 +29658,8 @@ 0 0 0 +1 +2 66 0xf16f 0x37b60e5 @@ -23734,6 +29668,8 @@ 0 0 0 +4 +0 74 0x199a 0xba0986dc @@ -23742,6 +29678,8 @@ 0 0 0 +3 +4 34 0x3c 0x722ea868 @@ -23750,6 +29688,8 @@ 1 0 0 +2 +0 96 0xa4ad 0x30534287 @@ -23758,6 +29698,8 @@ 0 0 0 +5 +3 79 0xba3e 0x6cb835f @@ -23766,6 +29708,8 @@ 1 1 0 +4 +0 39 0x85ab 0xdda66a71 @@ -23774,6 +29718,8 @@ 0 0 0 +0 +0 27 0x368b 0x6c03f76e @@ -23782,6 +29728,8 @@ 1 0 0 +0 +1 42 0x7243 0x6f8d0220 @@ -23790,6 +29738,8 @@ 1 1 0 +4 +1 73 0x5451 0xeeb7010c @@ -23798,6 +29748,8 @@ 1 1 0 +2 +5 19 0x3759 0xa9ae11cb @@ -23806,6 +29758,8 @@ 1 1 0 +4 +4 20 0x8d77 0x1d982eb2 @@ -23814,6 +29768,8 @@ 1 0 0 +3 +0 55 0x3c48 0xae8f0bf6 @@ -23822,6 +29778,8 @@ 0 0 0 +1 +0 67 0x1859 0x44a079d0 @@ -23830,6 +29788,8 @@ 0 0 0 +1 +2 61 0xe875 0x3177abfd @@ -23838,6 +29798,8 @@ 1 0 0 +4 +0 45 0x9173 0xc918b21d @@ -23846,6 +29808,8 @@ 0 0 0 +1 +0 16 0x2b78 0x19a3876a @@ -23854,6 +29818,8 @@ 0 0 0 +3 +1 95 0x1712 0x39b6a999 @@ -23862,6 +29828,8 @@ 1 0 0 +2 +2 45 0xfb75 0xddc7a4ff @@ -23870,6 +29838,8 @@ 1 0 0 +4 +2 20 0x9b62 0x47e261d0 @@ -23878,6 +29848,8 @@ 0 0 0 +0 +3 11 0x5e5f 0xc04f1e7e @@ -23886,6 +29858,8 @@ 0 0 0 +0 +3 27 0xe54b 0x23eefac9 @@ -23894,6 +29868,8 @@ 1 1 0 +0 +0 86 0x58fa 0x24121406 @@ -23902,6 +29878,8 @@ 0 0 0 +4 +0 67 0x3a44 0x7ccd7957 @@ -23910,6 +29888,8 @@ 1 1 0 +4 +2 95 0xfd79 0x6a30ddc4 @@ -23918,6 +29898,8 @@ 1 0 0 +0 +3 22 0x942d 0x1adda26 @@ -23926,6 +29908,8 @@ 0 0 0 +5 +1 79 0x41b5 0x46153744 @@ -23934,6 +29918,8 @@ 0 0 0 +0 +5 66 0x8f49 0x3afdbcd @@ -23942,6 +29928,8 @@ 1 1 0 +3 +5 89 0xb351 0x1d01bfa4 @@ -23950,6 +29938,8 @@ 1 1 0 +0 +0 52 0x6eda 0xb565a0a4 @@ -23958,6 +29948,8 @@ 0 0 0 +4 +1 41 0x97a3 0xd44b8fd @@ -23966,6 +29958,8 @@ 1 0 0 +3 +3 35 0xb9d6 0x8d8ba1e4 @@ -23974,6 +29968,8 @@ 0 0 0 +1 +3 68 0x82d5 0xfbfc47c9 @@ -23982,6 +29978,8 @@ 0 0 0 +0 +1 83 0x1221 0xea749b4b @@ -23990,6 +29988,8 @@ 0 0 0 +5 +2 39 0x53e9 0x7eb07b0 @@ -23998,6 +29998,8 @@ 0 0 0 +1 +5 65 0x1d33 0xeaf685dc @@ -24006,6 +30008,8 @@ 0 0 0 +5 +5 15 0xcd0b 0x69695e3a @@ -24014,6 +30018,8 @@ 0 0 0 +1 +3 60 0x699f 0xdfc0a873 @@ -24022,6 +30028,8 @@ 0 0 0 +3 +5 83 0xed 0x39f14187 @@ -24030,6 +30038,8 @@ 1 1 0 +3 +5 94 0x6771 0x6aba96d5 @@ -24038,6 +30048,8 @@ 0 0 0 +5 +2 24 0xdea 0x63cdf0b6 @@ -24046,6 +30058,8 @@ 1 0 0 +3 +5 41 0xd395 0x5093bb19 @@ -24054,6 +30068,8 @@ 1 1 0 +4 +4 46 0x5c36 0xae71c219 @@ -24062,6 +30078,8 @@ 0 0 0 +1 +2 16 0x8bec 0x714d2d87 @@ -24070,6 +30088,8 @@ 1 1 0 +1 +5 98 0xd06e 0x6dd02f36 @@ -24078,6 +30098,8 @@ 1 0 0 +1 +4 62 0x7777 0x24836c14 @@ -24086,6 +30108,8 @@ 0 0 0 +1 +4 92 0x538b 0x5f73eb95 @@ -24094,6 +30118,8 @@ 0 0 0 +5 +1 23 0x4056 0x44e3d1aa @@ -24102,6 +30128,8 @@ 0 0 0 +4 +0 90 0xab41 0x5ac5c590 @@ -24110,6 +30138,8 @@ 0 0 0 +0 +2 44 0xcf6e 0xeb1771c0 @@ -24118,6 +30148,8 @@ 1 0 0 +1 +0 85 0x5a0a 0x9171b7a4 @@ -24126,6 +30158,8 @@ 1 1 0 +0 +1 94 0xa194 0xacb845c0 @@ -24134,6 +30168,8 @@ 0 0 0 +3 +1 83 0xf688 0xa9bd8205 @@ -24142,6 +30178,8 @@ 0 0 0 +2 +2 76 0xa00b 0x499be1d0 @@ -24150,6 +30188,8 @@ 1 0 0 +5 +2 27 0x586d 0xbf4821b3 @@ -24158,6 +30198,8 @@ 0 0 0 +3 +2 96 0xfbb1 0xaea83249 @@ -24166,6 +30208,8 @@ 0 0 0 +3 +4 33 0xbbc6 0xa3590bd8 @@ -24174,6 +30218,8 @@ 1 1 0 +5 +5 28 0xda57 0x74796919 @@ -24182,6 +30228,8 @@ 1 0 0 +0 +2 95 0x3fa7 0x4f69d0e7 @@ -24190,6 +30238,8 @@ 1 1 0 +4 +1 92 0x6d35 0x90910275 @@ -24198,6 +30248,8 @@ 0 0 0 +1 +5 17 0xaf27 0x35c67ba3 @@ -24206,6 +30258,8 @@ 0 0 0 +4 +4 35 0x25b9 0x56cbe257 @@ -24214,6 +30268,8 @@ 0 0 0 +2 +5 96 0x6849 0xc564d25a @@ -24222,6 +30278,8 @@ 1 1 0 +3 +4 35 0xe8d6 0x5ebf0c13 @@ -24230,6 +30288,8 @@ 1 1 0 +3 +4 38 0xeed7 0xed09f0c2 @@ -24238,6 +30298,8 @@ 1 1 0 +1 +0 68 0xdba6 0x5d4d694b @@ -24246,6 +30308,8 @@ 0 0 0 +3 +4 55 0x4ab1 0xc3807a27 @@ -24254,6 +30318,8 @@ 0 0 0 +0 +3 52 0xabe3 0xe0c865a9 @@ -24262,6 +30328,8 @@ 0 0 0 +5 +3 57 0xf07e 0xdd2402b @@ -24270,6 +30338,8 @@ 0 0 0 +0 +3 15 0x6158 0xb7dcd0c @@ -24278,6 +30348,8 @@ 1 0 0 +3 +2 60 0xbb1 0x47865e8b @@ -24286,6 +30358,8 @@ 0 0 0 +0 +2 23 0x7041 0xef2c4e67 @@ -24294,6 +30368,8 @@ 0 0 0 +3 +5 33 0x9d80 0x654ead19 @@ -24302,6 +30378,8 @@ 1 1 0 +1 +0 56 0x7064 0x6bef27d7 @@ -24310,6 +30388,8 @@ 0 0 0 +5 +4 47 0x148d 0x14fdc25d @@ -24318,6 +30398,8 @@ 0 0 0 +4 +1 41 0xf664 0x3180bf83 @@ -24326,6 +30408,8 @@ 1 0 0 +3 +1 36 0xc90a 0x8c089fd9 @@ -24334,6 +30418,8 @@ 1 1 0 +2 +3 64 0xf7d6 0xc7f6eee6 @@ -24342,6 +30428,8 @@ 1 1 0 +2 +5 56 0x4ce2 0x39a70f1d @@ -24350,6 +30438,8 @@ 0 0 0 +5 +3 46 0x4c48 0x8ad27943 @@ -24358,6 +30448,8 @@ 0 0 0 +5 +4 28 0x1dbc 0xf45bf885 @@ -24366,6 +30458,8 @@ 1 0 0 +4 +5 40 0xf8f1 0xeb894057 @@ -24374,6 +30468,8 @@ 0 0 0 +2 +0 39 0x9837 0x8197679c @@ -24382,6 +30478,8 @@ 1 0 0 +5 +0 72 0xe457 0xff3f2058 @@ -24390,6 +30488,8 @@ 0 0 0 +2 +3 33 0xf337 0x6236780d @@ -24398,6 +30498,8 @@ 0 0 0 +3 +5 34 0xc413 0x849c5d9b @@ -24406,6 +30508,8 @@ 0 0 0 +2 +4 71 0x7eee 0x10bb3e09 @@ -24414,6 +30518,8 @@ 0 0 0 +2 +4 87 0x1a1d 0x2c336828 @@ -24422,6 +30528,8 @@ 0 0 0 +5 +1 57 0x71ab 0x7558c1ad @@ -24430,6 +30538,8 @@ 0 0 0 +5 +4 21 0xfb6d 0x99dffb2 @@ -24438,6 +30548,8 @@ 1 1 0 +2 +3 65 0x10a9 0xce92743 @@ -24446,6 +30558,8 @@ 1 1 0 +5 +1 50 0x1577 0x908e7ad2 @@ -24454,6 +30568,8 @@ 1 0 0 +0 +1 61 0x3489 0xd8f3d017 @@ -24462,6 +30578,8 @@ 0 0 0 +2 +0 57 0x3ab6 0xe5f00ba5 @@ -24470,6 +30588,8 @@ 0 0 0 +2 +0 34 0x24ad 0xad36abf0 @@ -24478,6 +30598,8 @@ 1 1 0 +0 +5 93 0x4d68 0x6300779b @@ -24486,6 +30608,8 @@ 0 0 0 +4 +3 16 0xa366 0x2d9be3bc @@ -24494,6 +30618,8 @@ 0 0 0 +4 +5 84 0x470f 0x90ae4be2 @@ -24502,6 +30628,8 @@ 0 0 0 +4 +4 71 0x886a 0xc19af78c @@ -24510,6 +30638,8 @@ 1 1 0 +4 +3 89 0xa811 0xd549bcdf @@ -24518,6 +30648,8 @@ 0 0 0 +0 +5 41 0xb9cf 0x3deb2629 @@ -24526,6 +30658,8 @@ 0 0 0 +0 +2 41 0x65cc 0xbe835f48 @@ -24534,6 +30668,8 @@ 0 0 0 +3 +0 49 0xf503 0xb9fa47b @@ -24542,6 +30678,8 @@ 0 0 0 +3 +1 52 0x35 0x885c33f9 @@ -24550,6 +30688,8 @@ 0 0 0 +4 +2 95 0x6b05 0x64c32bf5 @@ -24558,6 +30698,8 @@ 0 0 0 +4 +2 74 0x72e0 0x807fa35d @@ -24566,6 +30708,8 @@ 0 0 0 +0 +1 80 0x6669 0x6a7350f8 @@ -24574,6 +30718,8 @@ 1 1 0 +5 +1 27 0x8f5d 0x98ac1ed1 @@ -24582,6 +30728,8 @@ 0 0 0 +0 +1 19 0x83f1 0x63169fba @@ -24590,6 +30738,8 @@ 1 1 0 +1 +2 89 0xcdc4 0x72dd1e8f @@ -24598,6 +30748,8 @@ 0 0 0 +1 +0 94 0x1b75 0xfff91d42 @@ -24606,6 +30758,8 @@ 1 0 0 +3 +2 23 0xec22 0x78507fd0 @@ -24614,6 +30768,8 @@ 1 0 0 +0 +1 48 0x8c66 0xfa1f8128 @@ -24622,6 +30778,8 @@ 1 0 0 +0 +0 10 0xbe9f 0xef26edb0 @@ -24630,6 +30788,8 @@ 1 0 0 +3 +3 64 0xebd7 0xdafb088c @@ -24638,6 +30798,8 @@ 1 1 0 +1 +5 75 0xb223 0x559ce890 @@ -24646,6 +30808,8 @@ 0 0 0 +4 +4 63 0xe61 0xf3321758 @@ -24654,6 +30818,8 @@ 0 0 0 +1 +5 40 0x2f3d 0x53f2853f @@ -24662,6 +30828,8 @@ 0 0 0 +3 +4 39 0xbe16 0x8d674255 @@ -24670,6 +30838,8 @@ 1 0 0 +2 +5 38 0x9b0e 0x6f651df7 @@ -24678,6 +30848,8 @@ 1 1 0 +1 +2 35 0x3840 0x9c4575f9 @@ -24686,6 +30858,8 @@ 0 0 0 +2 +3 27 0x652d 0x4acd06b2 @@ -24694,6 +30868,8 @@ 0 0 0 +0 +0 77 0x9833 0x680572f6 @@ -24702,6 +30878,8 @@ 0 0 0 +4 +4 36 0xb8da 0xbb5e76cc @@ -24710,6 +30888,8 @@ 0 0 0 +4 +0 77 0xce05 0xcb8978c7 @@ -24718,6 +30898,8 @@ 0 0 0 +5 +3 67 0xfb7c 0xec2a810c @@ -24726,6 +30908,8 @@ 1 0 0 +1 +3 70 0x55a4 0x4c704e88 @@ -24734,6 +30918,8 @@ 1 0 0 +1 +2 27 0x3a39 0x735c10f3 @@ -24742,6 +30928,8 @@ 1 1 0 +5 +0 60 0xcffc 0x1d872c0 @@ -24750,6 +30938,8 @@ 1 1 0 +1 +2 51 0x39 0xebfc4bd3 @@ -24758,6 +30948,8 @@ 1 0 0 +3 +1 61 0xba57 0x7abf3a1e @@ -24766,6 +30958,8 @@ 0 0 0 +3 +4 27 0xeafa 0x8c6b2dba @@ -24774,6 +30968,8 @@ 0 0 0 +2 +1 87 0xd17 0x3e884073 @@ -24782,6 +30978,8 @@ 1 0 0 +0 +1 62 0x1c49 0xdddeb0be @@ -24790,6 +30988,8 @@ 1 1 0 +2 +3 88 0x1213 0x9499ef93 @@ -24798,6 +30998,8 @@ 1 1 0 +4 +1 53 0xa8eb 0x4374f125 @@ -24806,6 +31008,8 @@ 0 0 0 +4 +1 75 0x4512 0xbb338fb0 @@ -24814,6 +31018,8 @@ 1 1 0 +5 +1 52 0xf12 0x2d05b659 @@ -24822,6 +31028,8 @@ 0 0 0 +0 +5 79 0xd256 0xd57e65b4 @@ -24830,6 +31038,8 @@ 0 0 0 +0 +5 36 0xeb2e 0x2eb653f5 @@ -24838,6 +31048,8 @@ 0 0 0 +5 +0 18 0xfee9 0x1a694f72 @@ -24846,6 +31058,8 @@ 0 0 0 +3 +4 90 0x146 0x472870f3 @@ -24854,6 +31068,8 @@ 1 0 0 +0 +0 36 0x88cc 0x7c6480ba @@ -24862,6 +31078,8 @@ 0 0 0 +0 +1 73 0xa252 0x8a062cd0 @@ -24870,6 +31088,8 @@ 0 0 0 +5 +5 65 0x3790 0xbdd237bf @@ -24878,6 +31098,8 @@ 1 0 0 +0 +5 37 0xd19e 0x4182f266 @@ -24886,6 +31108,8 @@ 0 0 0 +2 +1 27 0x5c54 0xee673fc @@ -24894,6 +31118,8 @@ 0 0 0 +1 +4 87 0x96e2 0x50db2456 @@ -24902,6 +31128,8 @@ 0 0 0 +1 +4 68 0xf4fb 0x88475fbc @@ -24910,6 +31138,8 @@ 1 1 0 +3 +3 20 0xecc3 0x993d2621 @@ -24918,6 +31148,8 @@ 1 1 0 +5 +1 88 0x14ef 0xcae0d036 @@ -24926,6 +31158,8 @@ 1 0 0 +1 +5 93 0x8979 0x4b674e56 @@ -24934,6 +31168,8 @@ 0 0 0 +1 +5 70 0x9d55 0x59457088 @@ -24942,6 +31178,8 @@ 0 0 0 +0 +2 78 0xb48e 0xf83d0484 @@ -24950,6 +31188,8 @@ 1 1 0 +3 +0 12 0x26c5 0x510ba053 @@ -24958,6 +31198,8 @@ 0 0 0 +5 +0 59 0xe70f 0x2804e20d @@ -24966,6 +31208,8 @@ 1 1 0 +4 +2 64 0x6cf5 0xb67aba90 @@ -24974,6 +31218,8 @@ 1 0 0 +5 +2 91 0x9a63 0x6201a5ee @@ -24982,6 +31228,8 @@ 0 0 0 +3 +5 31 0xfa3a 0x707077e6 @@ -24990,6 +31238,8 @@ 1 0 0 +3 +3 67 0xc0f9 0xe3cef49a @@ -24998,6 +31248,8 @@ 1 0 0 +4 +1 69 0x255a 0x38b77e6f @@ -25006,6 +31258,8 @@ 1 1 0 +5 +3 51 0xb927 0xfd7095f7 @@ -25014,6 +31268,8 @@ 1 0 0 +4 +4 27 0xff4d 0x50cd3585 @@ -25022,6 +31278,8 @@ 1 0 0 +3 +3 83 0x81c 0x444376c1 @@ -25030,6 +31288,8 @@ 0 0 0 +5 +4 24 0xff00 0x45fa2f95 @@ -25038,6 +31298,8 @@ 1 0 0 +4 +0 88 0x98b9 0x1d80c5a3 @@ -25046,6 +31308,8 @@ 0 0 0 +2 +5 99 0x106f 0x337fd140 @@ -25054,6 +31318,8 @@ 1 0 0 +1 +4 68 0x3a9c 0x490364c7 @@ -25062,6 +31328,8 @@ 0 0 0 +5 +5 40 0x3a4a 0xd3fdc4f0 @@ -25070,6 +31338,8 @@ 1 1 0 +4 +2 79 0x70 0xc42d18ae @@ -25078,6 +31348,8 @@ 0 0 0 +3 +0 65 0x621f 0x5af195ea @@ -25086,6 +31358,8 @@ 1 0 0 +0 +4 40 0x2f89 0x8d1474e1 @@ -25094,6 +31368,8 @@ 1 1 0 +3 +4 17 0xa8ac 0x8768b436 @@ -25102,6 +31378,8 @@ 1 1 0 +5 +4 37 0xa724 0x925c2ff2 @@ -25110,6 +31388,8 @@ 1 0 0 +4 +3 60 0x43fd 0x11a4333c @@ -25118,6 +31398,8 @@ 0 0 0 +4 +5 87 0xdefe 0x5cddae5a @@ -25126,6 +31408,8 @@ 1 1 0 +0 +3 88 0x6f99 0x7562b00 @@ -25134,6 +31418,8 @@ 0 0 0 +4 +3 51 0xe919 0x433c7666 @@ -25142,6 +31428,8 @@ 1 1 0 +5 +1 42 0x4e60 0x2e3ed1fe @@ -25150,6 +31438,8 @@ 1 1 0 +4 +3 31 0xcf1a 0xbd27b332 @@ -25158,6 +31448,8 @@ 0 0 0 +5 +2 77 0xf25a 0x5260f80 @@ -25166,6 +31458,8 @@ 0 0 0 +3 +0 32 0x2600 0x5882953a @@ -25174,6 +31468,8 @@ 0 0 0 +2 +5 20 0x5c53 0xce06fc8e @@ -25182,6 +31478,8 @@ 1 1 0 +1 +0 32 0x46d3 0x4aaf6b46 @@ -25190,6 +31488,8 @@ 1 0 0 +5 +1 78 0xe84 0x3edb22a1 @@ -25198,6 +31498,8 @@ 1 1 0 +0 +1 59 0x1748 0x7bba6c6a @@ -25206,6 +31508,8 @@ 0 0 0 +5 +4 62 0xbe85 0xb7babd99 @@ -25214,6 +31518,8 @@ 1 0 0 +0 +3 49 0x9edf 0xfc789dae @@ -25222,6 +31528,8 @@ 1 0 0 +0 +0 98 0x1f3 0xa856793b @@ -25230,6 +31538,8 @@ 1 1 0 +4 +2 40 0xf634 0xe95764c5 @@ -25238,6 +31548,8 @@ 0 0 0 +5 +3 43 0x9d71 0x77648ad9 @@ -25246,6 +31558,8 @@ 0 0 0 +2 +1 17 0x38a9 0x4559dbb6 @@ -25254,6 +31568,8 @@ 1 1 0 +4 +0 98 0x6b51 0xb3f23872 @@ -25262,6 +31578,8 @@ 1 0 0 +2 +5 82 0x8342 0x2307c99a @@ -25270,6 +31588,8 @@ 0 0 0 +2 +3 60 0x8e36 0x1d961d25 @@ -25278,6 +31598,8 @@ 0 0 0 +3 +5 37 0xef5e 0xbe49476e @@ -25286,6 +31608,8 @@ 1 0 0 +0 +1 77 0x4223 0x85c2dbfe @@ -25294,6 +31618,8 @@ 1 0 0 +1 +3 91 0x89ac 0x11553b0a @@ -25302,6 +31628,8 @@ 1 0 0 +1 +5 85 0xa3bd 0xb129da19 @@ -25310,6 +31638,8 @@ 1 0 0 +3 +2 92 0xa1b5 0x97efe78d @@ -25318,6 +31648,8 @@ 1 1 0 +4 +0 57 0xad3a 0x3c37aabb @@ -25326,6 +31658,8 @@ 0 0 0 +4 +0 45 0x39b8 0xe80344ab @@ -25334,6 +31668,8 @@ 1 0 0 +5 +2 75 0x2606 0x38123311 @@ -25342,6 +31678,8 @@ 1 0 0 +4 +1 75 0x16f6 0x555aae06 @@ -25350,6 +31688,8 @@ 0 0 0 +0 +2 24 0xb377 0xa7f521b7 @@ -25358,6 +31698,8 @@ 0 0 0 +0 +1 60 0xc63 0xbf5ccb6f @@ -25366,6 +31708,8 @@ 1 0 0 +4 +1 98 0x9ec6 0x486995ec @@ -25374,6 +31718,8 @@ 1 0 0 +4 +2 86 0x4fd9 0x157081f2 @@ -25382,6 +31728,8 @@ 1 1 0 +4 +4 35 0x92b 0x99fad6ad @@ -25390,6 +31738,8 @@ 1 1 0 +5 +5 23 0x6c91 0x159a68f @@ -25398,6 +31748,8 @@ 0 0 0 +5 +3 95 0x2e02 0xe10304c @@ -25406,6 +31758,8 @@ 0 0 0 +2 +4 36 0x321d 0x4b295a8a @@ -25414,6 +31768,8 @@ 0 0 0 +1 +0 75 0xe362 0x80de23d1 @@ -25422,6 +31778,8 @@ 0 0 0 +2 +5 56 0xe91f 0xf750b34b @@ -25430,6 +31788,8 @@ 1 0 0 +1 +4 53 0x34ee 0xd8ab4d7f @@ -25438,6 +31798,8 @@ 1 1 0 +4 +4 55 0xbfca 0xd2a64430 @@ -25446,6 +31808,8 @@ 1 1 0 +1 +5 92 0xc4c3 0x3d376a19 @@ -25454,6 +31818,8 @@ 1 0 0 +5 +3 80 0x3b4a 0x79fe2740 @@ -25462,6 +31828,8 @@ 0 0 0 +2 +3 85 0xb099 0xaa6ab2b @@ -25470,6 +31838,8 @@ 0 0 0 +0 +4 29 0xba75 0xa70a6b56 @@ -25478,6 +31848,8 @@ 1 0 0 +2 +2 34 0x9b27 0xbd82116 @@ -25486,6 +31858,8 @@ 1 0 0 +2 +0 69 0x245c 0x3c4efabf @@ -25494,6 +31868,8 @@ 0 0 0 +5 +5 61 0x5a84 0x66b50db7 @@ -25502,6 +31878,8 @@ 1 1 0 +3 +2 46 0x8b97 0xb0cd364b @@ -25510,6 +31888,8 @@ 0 0 0 +0 +5 47 0x6081 0x967dc4a6 @@ -25518,6 +31898,8 @@ 1 0 0 +4 +5 96 0x2b3e 0x9b15e754 @@ -25526,6 +31908,8 @@ 1 0 0 +4 +3 59 0x208f 0xf067302b @@ -25534,6 +31918,8 @@ 0 0 0 +5 +1 46 0xca88 0xfe66fe11 @@ -25542,6 +31928,8 @@ 1 1 0 +1 +5 39 0x6821 0x5292bdba @@ -25550,6 +31938,8 @@ 1 0 0 +3 +2 98 0xf2b2 0xa621d84b @@ -25558,6 +31948,8 @@ 0 0 0 +1 +2 28 0x9f02 0xc7117d56 @@ -25566,6 +31958,8 @@ 1 1 0 +2 +2 25 0x7c2b 0x94546580 @@ -25574,6 +31968,8 @@ 1 1 0 +3 +1 23 0xd845 0x3f652ca8 @@ -25582,6 +31978,8 @@ 0 0 0 +3 +5 41 0xfab2 0xacf28cb1 @@ -25590,6 +31988,8 @@ 0 0 0 +5 +3 99 0x5aa0 0x43713317 @@ -25598,6 +31998,8 @@ 1 0 0 +0 +3 80 0x8003 0x1bc69bf3 @@ -25606,6 +32008,8 @@ 1 0 0 +2 +3 56 0x152 0xdf131248 @@ -25614,6 +32018,8 @@ 1 0 0 +4 +5 96 0x8301 0x679cdcfe @@ -25622,6 +32028,8 @@ 1 1 0 +3 +2 16 0x77ea 0x8716d98e @@ -25630,6 +32038,8 @@ 0 0 0 +4 +3 15 0xddf6 0x30afcfc5 @@ -25638,6 +32048,8 @@ 1 0 0 +4 +0 19 0x45bd 0x255e4c37 @@ -25646,6 +32058,8 @@ 1 0 0 +5 +5 95 0x9095 0xd38a6b7e @@ -25654,6 +32068,8 @@ 1 0 0 +2 +2 12 0x9a3 0xf0075f82 @@ -25662,6 +32078,8 @@ 1 0 0 +4 +4 85 0x3a19 0x616747aa @@ -25670,6 +32088,8 @@ 1 1 0 +4 +1 64 0x2a5c 0x41a68bdc @@ -25678,6 +32098,8 @@ 1 0 0 +4 +0 87 0xf6c9 0x866195e1 @@ -25686,6 +32108,8 @@ 1 1 0 +3 +2 96 0x50a6 0x25904b02 @@ -25694,6 +32118,8 @@ 1 1 0 +3 +0 95 0xcb64 0xd62b1939 @@ -25702,6 +32128,8 @@ 0 0 0 +2 +1 47 0xbc27 0xf793c974 @@ -25710,6 +32138,8 @@ 1 0 0 +3 +2 84 0xa0ab 0x3d437dca @@ -25718,6 +32148,8 @@ 0 0 0 +1 +3 11 0x53b7 0x9c668365 @@ -25726,6 +32158,8 @@ 0 0 0 +5 +4 79 0xbb04 0x99ca245b @@ -25734,6 +32168,8 @@ 0 0 0 +0 +0 81 0x86f6 0xb4513fe6 @@ -25742,6 +32178,8 @@ 1 1 0 +5 +4 65 0x5bc8 0x6310db6c @@ -25750,6 +32188,8 @@ 0 0 0 +5 +2 77 0xc93b 0x68e8f0f7 @@ -25758,6 +32198,8 @@ 0 0 0 +5 +4 60 0x2e79 0x3a3d8aa5 @@ -25766,6 +32208,8 @@ 0 0 0 +0 +4 19 0x9870 0xbb5b42d5 @@ -25774,6 +32218,8 @@ 0 0 0 +5 +3 10 0xaa57 0xbf056a05 @@ -25782,6 +32228,8 @@ 1 1 0 +4 +4 89 0xc3c3 0xf57be869 @@ -25790,6 +32238,8 @@ 0 0 0 +2 +2 78 0x5ae1 0x28188b9c @@ -25798,6 +32248,8 @@ 0 0 0 +4 +0 50 0x94ae 0x30adde24 @@ -25806,6 +32258,8 @@ 1 0 0 +0 +4 97 0x4dee 0x70b3ed2f @@ -25814,6 +32268,8 @@ 1 0 0 +4 +0 33 0xf609 0x8d2e867c @@ -25822,6 +32278,8 @@ 1 1 0 +5 +3 66 0x5a2d 0x5122a57f @@ -25830,6 +32288,8 @@ 0 0 0 +0 +1 32 0x767c 0xe411bcea @@ -25838,6 +32298,8 @@ 1 1 0 +1 +2 49 0xafb7 0xa26f8563 @@ -25846,6 +32308,8 @@ 1 0 0 +4 +0 80 0xd58f 0xe6a3593 @@ -25854,6 +32318,8 @@ 1 0 0 +5 +3 68 0x27c9 0xa79ad182 @@ -25862,6 +32328,8 @@ 0 0 0 +3 +4 22 0x3e9 0x120212a6 @@ -25870,6 +32338,8 @@ 0 0 0 +1 +2 49 0xbee0 0xe85104ea @@ -25878,6 +32348,8 @@ 0 0 0 +3 +2 99 0xbdd5 0xe9244161 @@ -25886,6 +32358,8 @@ 0 0 0 +3 +2 35 0xa124 0x9373b330 @@ -25894,6 +32368,8 @@ 1 0 0 +2 +3 53 0x8b8e 0x76f15b6f @@ -25902,6 +32378,8 @@ 1 0 0 +3 +2 66 0xd0b8 0x9f9b742f @@ -25910,6 +32388,8 @@ 1 0 0 +1 +3 10 0x29ad 0xc296c81a @@ -25918,6 +32398,8 @@ 1 1 0 +4 +3 98 0x35d1 0x7bf0071f @@ -25926,6 +32408,8 @@ 1 0 0 +1 +2 70 0xe8ff 0x54116f85 @@ -25934,6 +32418,8 @@ 1 1 0 +1 +5 54 0x151b 0xc8c37313 @@ -25942,6 +32428,8 @@ 0 0 0 +0 +3 75 0x55b 0x743fda5c @@ -25950,6 +32438,8 @@ 1 0 0 +1 +1 85 0x80dd 0x3f938c6 @@ -25958,6 +32448,8 @@ 1 1 0 +4 +5 98 0x7114 0xfc21f98c @@ -25966,6 +32458,8 @@ 1 1 0 +4 +1 63 0x374e 0xd550e91f @@ -25974,6 +32468,8 @@ 0 0 0 +5 +0 70 0xc88a 0xe1da8f50 @@ -25982,6 +32478,8 @@ 1 0 0 +3 +1 45 0x3a16 0xa8ccc1e2 @@ -25990,6 +32488,8 @@ 1 1 0 +4 +3 50 0x4baa 0x30c11edc @@ -25998,6 +32498,8 @@ 0 0 0 +0 +3 62 0xe6a5 0x56d69059 @@ -26006,6 +32508,8 @@ 0 0 0 +3 +2 75 0xcbf6 0xc1fe9d06 @@ -26014,6 +32518,8 @@ 1 1 0 +1 +3 14 0x7634 0xf280e8a2 @@ -26022,6 +32528,8 @@ 1 1 0 +5 +4 68 0x71b0 0x603af418 @@ -26030,6 +32538,8 @@ 1 0 0 +2 +0 75 0x3de1 0x27131825 @@ -26038,6 +32548,8 @@ 1 1 0 +3 +2 13 0x3ba2 0x94d78e83 @@ -26046,6 +32558,8 @@ 0 0 0 +5 +3 57 0x5bbe 0x39f4cd94 @@ -26054,6 +32568,8 @@ 1 1 0 +1 +3 15 0x1e98 0x45a24780 @@ -26062,6 +32578,8 @@ 1 1 0 +3 +5 16 0xcead 0x59e48a23 @@ -26070,6 +32588,8 @@ 1 1 0 +5 +4 73 0xce6d 0xb911617c @@ -26078,6 +32598,8 @@ 0 0 0 +4 +4 82 0xd162 0x6cc2b674 @@ -26086,6 +32608,8 @@ 0 0 0 +3 +2 24 0x3969 0x5d95ddc1 @@ -26094,6 +32618,8 @@ 1 0 0 +5 +2 59 0x82f0 0x2266dbed @@ -26102,6 +32628,8 @@ 1 1 0 +2 +0 95 0xce81 0x6655fde5 @@ -26110,6 +32638,8 @@ 1 0 0 +0 +0 23 0xd34 0x818815a @@ -26118,6 +32648,8 @@ 1 0 0 +0 +3 24 0xdec3 0x84812e17 @@ -26126,6 +32658,8 @@ 1 0 0 +1 +4 98 0x4f17 0x8239c592 @@ -26134,6 +32668,8 @@ 1 1 0 +1 +0 16 0x3b83 0x876c18e0 @@ -26142,6 +32678,8 @@ 0 0 0 +5 +0 19 0x1e6e 0xa0db4e57 @@ -26150,6 +32688,8 @@ 1 0 0 +2 +4 63 0xf1c4 0x530bcab1 @@ -26158,6 +32698,8 @@ 0 0 0 +0 +3 42 0x632e 0x10bcb74d @@ -26166,6 +32708,8 @@ 1 0 0 +1 +1 85 0x94a9 0x6ebb136f @@ -26174,6 +32718,8 @@ 0 0 0 +2 +5 71 0xdcce 0x9ad538d2 @@ -26182,6 +32728,8 @@ 0 0 0 +1 +0 91 0x9621 0xe517e119 @@ -26190,6 +32738,8 @@ 0 0 0 +4 +4 70 0x58c7 0x36721f19 @@ -26198,6 +32748,8 @@ 1 1 0 +3 +2 18 0xe767 0x63c01833 @@ -26206,6 +32758,8 @@ 1 0 0 +2 +4 94 0x388a 0x3290adf0 @@ -26214,6 +32768,8 @@ 0 0 0 +4 +2 65 0x4bad 0xffd79aae @@ -26222,6 +32778,8 @@ 0 0 0 +4 +4 95 0xbe7c 0xa234357b @@ -26230,6 +32788,8 @@ 1 1 0 +3 +2 74 0x449e 0x388574fe @@ -26238,6 +32798,8 @@ 1 0 0 +0 +3 21 0xcba9 0x62ad39d4 @@ -26246,6 +32808,8 @@ 0 0 0 +4 +0 36 0xf118 0x6eb857a9 @@ -26254,6 +32818,8 @@ 1 0 0 +0 +0 22 0x58fd 0xa396804e @@ -26262,6 +32828,8 @@ 1 1 0 +2 +0 24 0x7daa 0x4195045d @@ -26270,6 +32838,8 @@ 1 0 0 +1 +4 27 0x43fd 0x31427a4d @@ -26278,6 +32848,8 @@ 1 0 0 +5 +0 89 0x737c 0xfb1b3f75 @@ -26286,6 +32858,8 @@ 0 0 0 +2 +0 51 0x6f21 0x7ba1a1f9 @@ -26294,6 +32868,8 @@ 1 0 0 +1 +0 43 0xa80a 0x79491d01 @@ -26302,6 +32878,8 @@ 0 0 0 +2 +2 49 0x846c 0x5a785ac @@ -26310,6 +32888,8 @@ 0 0 0 +5 +1 28 0x1209 0x56e23d00 @@ -26318,6 +32898,8 @@ 1 0 0 +2 +0 97 0x363e 0x88903ff9 @@ -26326,6 +32908,8 @@ 1 0 0 +4 +4 97 0xda1c 0x1d84b5d1 @@ -26334,6 +32918,8 @@ 0 0 0 +5 +1 94 0xb93e 0x4159b755 @@ -26342,6 +32928,8 @@ 0 0 0 +3 +2 42 0x6ea6 0x91f99876 @@ -26350,6 +32938,8 @@ 0 0 0 +5 +1 63 0xb508 0x208c79b6 @@ -26358,6 +32948,8 @@ 1 1 0 +0 +2 98 0xa70 0x4982e8d3 @@ -26366,6 +32958,8 @@ 0 0 0 +2 +0 60 0x8a2a 0x22b60df8 @@ -26374,6 +32968,8 @@ 1 1 0 +3 +4 99 0xb864 0xa94d8ff2 @@ -26382,6 +32978,8 @@ 0 0 0 +2 +0 88 0x6d6c 0x3837d4c @@ -26390,6 +32988,8 @@ 1 0 0 +1 +0 43 0x39ed 0xa77bb13 @@ -26398,6 +32998,8 @@ 1 0 0 +1 +5 60 0x43b 0x49128de3 @@ -26406,6 +33008,8 @@ 0 0 0 +3 +1 13 0xde8d 0xfa7fda4c @@ -26414,6 +33018,8 @@ 1 0 0 +4 +1 63 0xa0c8 0xfa71c4a3 @@ -26422,6 +33028,8 @@ 0 0 0 +0 +1 88 0xb349 0xeff0bb3c @@ -26430,6 +33038,8 @@ 1 0 0 +3 +3 60 0xadb1 0x7eb30d31 @@ -26438,6 +33048,8 @@ 1 1 0 +3 +2 30 0x7c0b 0x345f6785 @@ -26446,6 +33058,8 @@ 0 0 0 +5 +4 73 0xcc00 0x9f688b87 @@ -26454,6 +33068,8 @@ 1 1 0 +3 +1 54 0xae28 0x5b20603f @@ -26462,6 +33078,8 @@ 1 0 0 +2 +2 33 0xd03e 0x60ee842f @@ -26470,6 +33088,8 @@ 1 0 0 +1 +0 40 0xb19e 0xa1d0d11e @@ -26478,6 +33098,8 @@ 0 0 0 +0 +1 16 0x393f 0x7abcb853 @@ -26486,6 +33108,8 @@ 1 1 0 +1 +4 44 0x4d6a 0xd5f41057 @@ -26494,6 +33118,8 @@ 0 0 0 +3 +4 98 0x6815 0x92e60616 @@ -26502,6 +33128,8 @@ 0 0 0 +4 +1 55 0x558 0xb2c88697 @@ -26510,6 +33138,8 @@ 0 0 0 +3 +1 52 0xcde9 0x63fa223e @@ -26518,6 +33148,8 @@ 1 1 0 +1 +5 19 0xc022 0x13b2a69c @@ -26526,6 +33158,8 @@ 0 0 0 +4 +2 26 0xed90 0x7ae0d76a @@ -26534,6 +33168,8 @@ 0 0 0 +2 +5 47 0x4692 0x40f755bf @@ -26542,6 +33178,8 @@ 1 0 0 +4 +3 100 0x5c3f 0xc00f0bab @@ -26550,6 +33188,8 @@ 1 1 0 +2 +1 37 0x7423 0x2ed9ae43 @@ -26558,6 +33198,8 @@ 1 0 0 +3 +2 92 0x13b3 0x4f30e8f5 @@ -26566,6 +33208,8 @@ 0 0 0 +5 +0 95 0x2e52 0x208c9a09 @@ -26574,6 +33218,8 @@ 1 1 0 +4 +2 98 0xe7 0x9252969d @@ -26582,6 +33228,8 @@ 1 0 0 +4 +2 39 0x7feb 0x43dc0789 @@ -26590,6 +33238,8 @@ 1 1 0 +4 +1 84 0x7169 0x380c93cd @@ -26598,6 +33248,8 @@ 0 0 0 +0 +5 39 0x406a 0x3be76447 @@ -26606,6 +33258,8 @@ 1 1 0 +1 +4 78 0xca27 0x515cf8b9 @@ -26614,6 +33268,8 @@ 1 1 0 +4 +2 33 0xedcd 0x26fca722 @@ -26622,6 +33278,8 @@ 0 0 0 +2 +0 69 0x94cd 0x631b2928 @@ -26630,6 +33288,8 @@ 0 0 0 +5 +5 43 0xc253 0x55234f45 @@ -26638,6 +33298,8 @@ 0 0 0 +0 +0 48 0x7d86 0xca3d54c5 @@ -26646,6 +33308,8 @@ 0 0 0 +2 +0 25 0x5038 0xdd1fc735 @@ -26654,6 +33318,8 @@ 1 0 0 +1 +1 23 0xa1a5 0xcca4d0f5 @@ -26662,6 +33328,8 @@ 1 1 0 +5 +0 82 0x2f0f 0xfd6e1b12 @@ -26670,6 +33338,8 @@ 1 0 0 +3 +2 53 0x3f9a 0x2b2ed5bb @@ -26678,6 +33348,8 @@ 1 0 0 +1 +3 69 0xb7a8 0x27c32377 @@ -26686,6 +33358,8 @@ 0 0 0 +1 +2 20 0x7377 0xb8d2fed7 @@ -26694,6 +33368,8 @@ 0 0 0 +5 +1 23 0xfea4 0x6058914f @@ -26702,6 +33378,8 @@ 0 0 0 +4 +0 55 0xaab3 0x11f174cb @@ -26710,6 +33388,8 @@ 1 1 0 +3 +5 29 0xeb8a 0x23c1ce8c @@ -26718,6 +33398,8 @@ 0 0 0 +4 +2 16 0xb54c 0xe8e8bd31 @@ -26726,6 +33408,8 @@ 0 0 0 +1 +5 31 0xea8a 0xd6c0615e @@ -26734,6 +33418,8 @@ 1 1 0 +2 +0 35 0x51d3 0xb4fe0564 @@ -26742,6 +33428,8 @@ 0 0 0 +3 +1 32 0xb38a 0x5765e2cf @@ -26750,6 +33438,8 @@ 1 0 0 +3 +4 40 0x8b57 0x20efa055 @@ -26758,6 +33448,8 @@ 0 0 0 +2 +5 91 0x2a93 0x4d96fa3d @@ -26766,6 +33458,8 @@ 1 1 0 +5 +1 49 0xfbc9 0x2ab50dce @@ -26774,6 +33468,8 @@ 1 0 0 +1 +1 95 0xaaab 0xf2e8b252 @@ -26782,6 +33478,8 @@ 0 0 0 +2 +3 89 0x491b 0x37386d7b @@ -26790,6 +33488,8 @@ 0 0 0 +3 +3 68 0xd76c 0x4c20a98b @@ -26798,6 +33498,8 @@ 0 0 0 +3 +5 45 0xe7c4 0xd4f2eaec @@ -26806,6 +33508,8 @@ 0 0 0 +5 +2 68 0x46df 0xbf0a84a5 @@ -26814,6 +33518,8 @@ 0 0 0 +4 +0 32 0x134c 0xc7e4f193 @@ -26822,6 +33528,8 @@ 1 1 0 +0 +3 53 0x2828 0xc91b9fec @@ -26830,6 +33538,8 @@ 1 0 0 +0 +2 65 0x94d0 0xe85d1f60 @@ -26838,6 +33548,8 @@ 1 0 0 +3 +0 75 0xcd5 0x84b3c866 @@ -26846,6 +33558,8 @@ 0 0 0 +3 +0 86 0x8373 0x50cd9870 @@ -26854,6 +33568,8 @@ 0 0 0 +3 +1 51 0xd789 0xf5b54a41 @@ -26862,6 +33578,8 @@ 0 0 0 +2 +5 46 0x71d4 0xfa8476db @@ -26870,6 +33588,8 @@ 0 0 0 +0 +5 38 0x67c4 0x20c1f774 @@ -26878,6 +33598,8 @@ 0 0 0 +1 +3 28 0x1ca6 0xa23c8263 @@ -26886,6 +33608,8 @@ 0 0 0 +3 +5 99 0x74cb 0xa32941f0 @@ -26894,6 +33618,8 @@ 0 0 0 +0 +1 67 0xd6b1 0xf0d1c5ac @@ -26902,6 +33628,8 @@ 0 0 0 +4 +1 27 0xf07 0x9c2d47d8 @@ -26910,6 +33638,8 @@ 1 0 0 +1 +2 79 0x63db 0xcd8030e5 @@ -26918,6 +33648,8 @@ 1 1 0 +3 +5 89 0xaf42 0xcd445f66 @@ -26926,6 +33658,8 @@ 0 0 0 +1 +0 63 0xa6cb 0x96c07f3 @@ -26934,6 +33668,8 @@ 1 1 0 +5 +1 24 0x3873 0xe21e0de1 @@ -26942,6 +33678,8 @@ 0 0 0 +0 +4 36 0x222f 0xab275b60 @@ -26950,6 +33688,8 @@ 1 0 0 +5 +5 90 0x82b3 0xd10db96e @@ -26958,6 +33698,8 @@ 0 0 0 +0 +1 46 0xdc99 0xa0987b0e @@ -26966,6 +33708,8 @@ 0 0 0 +2 +5 30 0x7ede 0xab5b87d2 @@ -26974,6 +33718,8 @@ 1 0 0 +1 +4 94 0x749e 0xe19a832a @@ -26982,6 +33728,8 @@ 0 0 0 +2 +0 34 0x7b1c 0x45910395 @@ -26990,6 +33738,8 @@ 0 0 0 +5 +1 61 0x2e98 0xd7cbab02 @@ -26998,6 +33748,8 @@ 1 0 0 +1 +2 50 0x120d 0xbbf3b063 @@ -27006,6 +33758,8 @@ 1 1 0 +2 +5 12 0x7db0 0x6154284b @@ -27014,6 +33768,8 @@ 0 0 0 +5 +5 39 0xb685 0x5fa72984 @@ -27022,6 +33778,8 @@ 0 0 0 +1 +0 68 0x75b3 0x932c52e8 @@ -27030,6 +33788,8 @@ 0 0 0 +0 +0 66 0x2c3 0xc786ca90 @@ -27038,6 +33798,8 @@ 0 0 0 +2 +3 88 0x6ec2 0xd0e75eb8 @@ -27046,6 +33808,8 @@ 1 0 0 +3 +5 78 0x7bd2 0x44d0fd9a @@ -27054,6 +33818,8 @@ 0 0 0 +1 +5 21 0x6084 0xae4c0a5d @@ -27062,6 +33828,8 @@ 0 0 0 +4 +2 66 0x1e93 0xf3b90b04 @@ -27070,6 +33838,8 @@ 0 0 0 +3 +2 90 0x53d9 0xc30e3d3b @@ -27078,6 +33848,8 @@ 0 0 0 +0 +2 64 0x6157 0xe8ad12a2 @@ -27086,6 +33858,8 @@ 0 0 0 +1 +2 25 0x43e1 0x29231f8 @@ -27094,6 +33868,8 @@ 0 0 0 +4 +4 23 0xad63 0x893c7a6f @@ -27102,6 +33878,8 @@ 1 1 0 +3 +5 66 0xacb0 0xecee390 @@ -27110,6 +33888,8 @@ 1 0 0 +0 +1 32 0x332f 0xd8f95140 @@ -27118,6 +33898,8 @@ 0 0 0 +2 +2 28 0xd2cf 0xe5da6506 @@ -27126,6 +33908,8 @@ 0 0 0 +3 +5 47 0xb5fa 0x1a4559e3 @@ -27134,6 +33918,8 @@ 0 0 0 +1 +1 90 0x6e81 0xe40a11e5 @@ -27142,6 +33928,8 @@ 0 0 0 +3 +3 50 0x9899 0xbb1da2c0 @@ -27150,6 +33938,8 @@ 0 0 0 +1 +2 72 0x3e66 0x4c84b6de @@ -27158,6 +33948,8 @@ 0 0 0 +5 +2 52 0x46ae 0x6271d86f @@ -27166,6 +33958,8 @@ 1 0 0 +3 +1 40 0xd250 0x5d60b35a @@ -27174,6 +33968,8 @@ 1 1 0 +2 +3 98 0x7edf 0x763d141b @@ -27182,6 +33978,8 @@ 0 0 0 +0 +3 63 0xb89 0x7cf32296 @@ -27190,6 +33988,8 @@ 1 1 0 +3 +3 50 0x1067 0x572606bd @@ -27198,6 +33998,8 @@ 0 0 0 +3 +1 19 0x5cfa 0x23451039 @@ -27206,6 +34008,8 @@ 0 0 0 +4 +3 89 0x23e6 0xfe6ed53e @@ -27214,6 +34018,8 @@ 1 0 0 +1 +5 83 0xb32e 0x23790b0f @@ -27222,6 +34028,8 @@ 0 0 0 +3 +4 22 0xfd34 0xa3161623 @@ -27230,6 +34038,8 @@ 0 0 0 +3 +4 94 0x306b 0x15bd2630 @@ -27238,6 +34048,8 @@ 0 0 0 +5 +1 67 0x33dd 0xad76c0b6 @@ -27246,6 +34058,8 @@ 0 0 0 +4 +3 21 0xdbe9 0xdca386f6 @@ -27254,6 +34068,8 @@ 0 0 0 +1 +2 97 0xfb65 0x5ce721a9 @@ -27262,6 +34078,8 @@ 1 1 0 +1 +2 88 0xe48d 0xcac2c6ee @@ -27270,6 +34088,8 @@ 1 0 0 +0 +5 82 0x7d17 0x7d87b944 @@ -27278,6 +34098,8 @@ 1 0 0 +5 +1 74 0x8af9 0x61fcf3ff @@ -27286,6 +34108,8 @@ 0 0 0 +1 +0 46 0xe39f 0x9e58d8d5 @@ -27294,6 +34118,8 @@ 0 0 0 +3 +4 78 0xef37 0xfac1c244 @@ -27302,6 +34128,8 @@ 0 0 0 +0 +0 83 0x3d2c 0x12ad0deb @@ -27310,6 +34138,8 @@ 0 0 0 +4 +5 39 0xa9d4 0xa8f139fb @@ -27318,6 +34148,8 @@ 0 0 0 +3 +2 53 0x98ee 0x662f2af5 @@ -27326,6 +34158,8 @@ 1 0 0 +3 +4 20 0x5a4 0x5301fc2b @@ -27334,6 +34168,8 @@ 1 1 0 +4 +1 47 0xc5f8 0x30a4cce0 @@ -27342,6 +34178,8 @@ 1 0 0 +3 +1 11 0x8955 0xd191e822 @@ -27350,6 +34188,8 @@ 0 0 0 +4 +3 58 0xc0c1 0x319d4bef @@ -27358,6 +34198,8 @@ 0 0 0 +2 +3 38 0x7b9b 0x89d3d145 @@ -27366,6 +34208,8 @@ 0 0 0 +4 +3 41 0x142c 0xb2cdcafe @@ -27374,6 +34218,8 @@ 1 1 0 +1 +3 11 0xb225 0x938d4bed @@ -27382,6 +34228,8 @@ 0 0 0 +3 +4 60 0xb2c4 0x505c4cc1 @@ -27390,6 +34238,8 @@ 1 0 0 +3 +1 97 0x29f3 0x7a7f306f @@ -27398,6 +34248,8 @@ 0 0 0 +0 +5 56 0x9db1 0x67b15d3e @@ -27406,6 +34258,8 @@ 0 0 0 +5 +0 52 0x3fcd 0x12541c7a @@ -27414,6 +34268,8 @@ 1 0 0 +5 +2 59 0xf8b0 0xf959d4fd @@ -27422,6 +34278,8 @@ 0 0 0 +1 +0 67 0xeae2 0x2527fa5 @@ -27430,6 +34288,8 @@ 1 1 0 +3 +0 69 0xde28 0x4a5b8e77 @@ -27438,6 +34298,8 @@ 1 1 0 +1 +2 54 0x401e 0x88c9103f @@ -27446,6 +34308,8 @@ 0 0 0 +5 +4 74 0x1914 0x2c1f2ca4 @@ -27454,6 +34318,8 @@ 0 0 0 +2 +4 97 0x120c 0xb4bea2f1 @@ -27462,6 +34328,8 @@ 1 0 0 +5 +2 26 0x14c9 0xd8630519 @@ -27470,6 +34338,8 @@ 1 1 0 +2 +1 38 0xa4c 0xa12f82b6 @@ -27478,6 +34348,8 @@ 0 0 0 +0 +1 22 0x6e7e 0xa27cef3a @@ -27486,6 +34358,8 @@ 1 1 0 +4 +5 97 0xda9b 0xea5ddb5d @@ -27494,6 +34368,8 @@ 1 0 0 +2 +4 89 0xdf22 0x25ddc76a @@ -27502,6 +34378,8 @@ 1 1 0 +0 +2 12 0xb354 0xdabba64e @@ -27510,6 +34388,8 @@ 1 1 0 +4 +0 37 0x7c5a 0xaea14fce @@ -27518,6 +34398,8 @@ 0 0 0 +0 +3 58 0x3a2d 0x1d820b45 @@ -27526,6 +34408,8 @@ 0 0 0 +4 +3 51 0xf574 0x439b9383 @@ -27534,6 +34418,8 @@ 1 0 0 +4 +4 62 0xed77 0xadf20234 @@ -27542,6 +34428,8 @@ 0 0 0 +4 +5 44 0x9761 0x9bd6a36f @@ -27550,6 +34438,8 @@ 1 1 0 +0 +2 73 0x3f89 0x5a8822cc @@ -27558,6 +34448,8 @@ 1 1 0 +1 +4 13 0xf8b3 0x4ad0546b @@ -27566,6 +34458,8 @@ 0 0 0 +3 +1 64 0x64c7 0xdb0523af @@ -27574,6 +34468,8 @@ 1 0 0 +4 +2 78 0x6f30 0xc9fb2af9 @@ -27582,6 +34478,8 @@ 0 0 0 +2 +5 88 0x59a1 0x9d2e52fc @@ -27590,6 +34488,8 @@ 1 0 0 +1 +0 46 0xbd93 0xf59baf5a @@ -27598,6 +34498,8 @@ 1 1 0 +1 +1 13 0xc084 0xd31eefe5 @@ -27606,6 +34508,8 @@ 1 1 0 +4 +5 53 0xcad1 0x3b37632d @@ -27614,6 +34518,8 @@ 1 0 0 +2 +1 100 0x73ef 0x2ea55844 @@ -27622,6 +34528,8 @@ 1 1 0 +4 +1 56 0xb5ef 0x62ef7382 @@ -27630,6 +34538,8 @@ 0 0 0 +4 +2 71 0xc21e 0x46288e58 @@ -27638,6 +34548,8 @@ 1 1 0 +5 +3 95 0xf9d2 0x853699c @@ -27646,6 +34558,8 @@ 1 0 0 +2 +3 42 0x3dc1 0x62812e01 @@ -27654,6 +34568,8 @@ 0 0 0 +3 +1 27 0x1236 0x6bc42c68 @@ -27662,6 +34578,8 @@ 0 0 0 +1 +5 30 0xda69 0xd4dfd669 @@ -27670,6 +34588,8 @@ 1 1 0 +0 +0 91 0x8952 0x5966d504 @@ -27678,6 +34598,8 @@ 0 0 0 +0 +1 69 0x1982 0x7a56c3fd @@ -27686,6 +34608,8 @@ 1 0 0 +4 +2 47 0xde40 0xcafa39e4 @@ -27694,6 +34618,8 @@ 0 0 0 +3 +4 73 0x62b2 0xb223d7fc @@ -27702,6 +34628,8 @@ 1 0 0 +0 +3 50 0x4726 0xa57365a2 @@ -27710,6 +34638,8 @@ 0 0 0 +2 +2 75 0xa124 0xb6242734 @@ -27718,6 +34648,8 @@ 1 0 0 +1 +3 97 0x2a5a 0x8d731c58 @@ -27726,6 +34658,8 @@ 0 0 0 +1 +1 59 0xa822 0xd2415c2f @@ -27734,6 +34668,8 @@ 0 0 0 +2 +2 74 0x60da 0xb7dc3221 @@ -27742,6 +34678,8 @@ 1 0 0 +4 +4 72 0xd0da 0x5c130eb7 @@ -27750,6 +34688,8 @@ 0 0 0 +3 +2 42 0x3c59 0x677490b2 @@ -27758,6 +34698,8 @@ 1 1 0 +5 +1 11 0xdd5d 0x88f7067c @@ -27766,6 +34708,8 @@ 0 0 0 +1 +2 69 0x5e3 0xe3fe8377 @@ -27774,6 +34718,8 @@ 0 0 0 +0 +5 96 0x7d97 0xaacb0c86 @@ -27782,6 +34728,8 @@ 1 0 0 +5 +5 18 0x927c 0xb36d84f7 @@ -27790,6 +34738,8 @@ 0 0 0 +4 +5 84 0xce21 0x2d612292 @@ -27798,6 +34748,8 @@ 1 1 0 +5 +0 80 0xeafa 0x41a15cc7 @@ -27806,6 +34758,8 @@ 0 0 0 +3 +4 91 0xb9d6 0xd47e5e0c @@ -27814,6 +34768,8 @@ 1 0 0 +1 +2 37 0xbab5 0xa5103eec @@ -27822,6 +34778,8 @@ 0 0 0 +3 +0 51 0x9e6e 0x81949275 @@ -27830,6 +34788,8 @@ 0 0 0 +1 +5 74 0x7424 0x64b28d14 @@ -27838,6 +34798,8 @@ 1 1 0 +5 +0 16 0xeb09 0x81f943aa @@ -27846,6 +34808,8 @@ 0 0 0 +4 +0 13 0xe7a3 0x972a2704 @@ -27854,6 +34818,8 @@ 0 0 0 +4 +2 80 0x3a52 0x6f5c1cd @@ -27862,6 +34828,8 @@ 1 0 0 +0 +5 11 0x8597 0x60b37aba @@ -27870,6 +34838,8 @@ 1 1 0 +5 +2 64 0xa51a 0x9903cdcd @@ -27878,6 +34848,8 @@ 0 0 0 +2 +0 87 0x8409 0xb784309c @@ -27886,6 +34858,8 @@ 1 0 0 +1 +4 71 0xc1b6 0x58e3724d @@ -27894,6 +34868,8 @@ 1 1 0 +1 +5 66 0x9a03 0x2e821460 @@ -27902,6 +34878,8 @@ 1 0 0 +0 +0 26 0xcff 0x3cfe9aea @@ -27910,6 +34888,8 @@ 0 0 0 +1 +3 26 0x4d8 0x367a06df @@ -27918,6 +34898,8 @@ 1 1 0 +1 +0 17 0xb9ba 0x7fe9ecb0 @@ -27926,6 +34908,8 @@ 0 0 0 +2 +5 25 0x1400 0x8a3c33e8 @@ -27934,6 +34918,8 @@ 0 0 0 +0 +2 48 0x39c4 0xf92a2c18 @@ -27942,6 +34928,8 @@ 0 0 0 +4 +4 12 0x36a8 0xf3b7b698 @@ -27950,6 +34938,8 @@ 1 1 0 +4 +1 33 0xb9ec 0x2e8a9d58 @@ -27958,6 +34948,8 @@ 1 0 0 +0 +1 24 0xe082 0x3ee9cf9c @@ -27966,6 +34958,8 @@ 1 1 0 +0 +1 73 0xf030 0x3e624aaf @@ -27974,6 +34968,8 @@ 1 1 0 +2 +5 91 0x71e6 0xde44c101 @@ -27982,6 +34978,8 @@ 1 1 0 +0 +3 60 0x74f 0xf3810762 @@ -27990,6 +34988,8 @@ 1 0 0 +3 +0 92 0xb0cb 0xd4966b68 @@ -27998,6 +34998,8 @@ 0 0 0 +1 +5 49 0x17c2 0x3a48dd98 @@ -28006,6 +35008,8 @@ 0 0 0 +1 +0 91 0xa743 0x7471311e @@ -28014,6 +35018,8 @@ 0 0 0 +5 +1 65 0x7628 0x67bd5811 @@ -28022,6 +35028,8 @@ 0 0 0 +5 +1 60 0x7f0d 0x27c7cbce @@ -28030,6 +35038,8 @@ 1 0 0 +1 +4 51 0xa8f5 0xf49b8d95 @@ -28038,6 +35048,8 @@ 1 1 0 +1 +3 59 0xb5b2 0x5654164e @@ -28046,6 +35058,8 @@ 1 0 0 +5 +5 44 0x106e 0x120d74d0 @@ -28054,6 +35068,8 @@ 1 1 0 +5 +0 29 0xe4ba 0xf3f28777 @@ -28062,6 +35078,8 @@ 0 0 0 +5 +5 13 0xb127 0x7aff0a7 @@ -28070,6 +35088,8 @@ 0 0 0 +4 +0 29 0x87c8 0xbbeaacd5 @@ -28078,6 +35098,8 @@ 0 0 0 +3 +0 28 0xc57b 0x60120e @@ -28086,6 +35108,8 @@ 0 0 0 +5 +4 48 0xea80 0x37cc12b1 @@ -28094,6 +35118,8 @@ 1 1 0 +0 +0 64 0x5cda 0xffd0d676 @@ -28102,6 +35128,8 @@ 1 0 0 +2 +3 19 0xb94c 0x82af0b9a @@ -28110,6 +35138,8 @@ 1 1 0 +1 +5 94 0xbc27 0x45f2ece3 @@ -28118,6 +35148,8 @@ 1 0 0 +4 +2 99 0xa073 0x31c4bea7 @@ -28126,6 +35158,8 @@ 1 1 0 +2 +0 96 0x1606 0xc9b558bb @@ -28134,6 +35168,8 @@ 1 0 0 +2 +5 67 0xb77d 0x5484c26a @@ -28142,6 +35178,8 @@ 0 0 0 +0 +2 78 0x6cf7 0x45700f1a @@ -28150,6 +35188,8 @@ 1 0 0 +0 +3 36 0xc5d 0x7e858b29 @@ -28158,6 +35198,8 @@ 1 1 0 +4 +4 86 0x4159 0x94e3536e @@ -28166,6 +35208,8 @@ 0 0 0 +0 +5 76 0x2c6b 0x6fdf8ec1 @@ -28174,6 +35218,8 @@ 0 0 0 +1 +5 75 0x1bf 0xe41ff457 @@ -28182,6 +35228,8 @@ 1 0 0 +0 +0 88 0xedd4 0xae51c161 @@ -28190,6 +35238,8 @@ 0 0 0 +5 +1 40 0x3664 0x3c22e37c @@ -28198,6 +35248,8 @@ 1 0 0 +0 +4 28 0xca4a 0xc91d3a3c @@ -28206,6 +35258,8 @@ 1 0 0 +1 +3 10 0x30d 0xfece5bf8 @@ -28214,6 +35268,8 @@ 0 0 0 +1 +4 45 0xcb86 0x2cfbf320 @@ -28222,6 +35278,8 @@ 1 0 0 +5 +3 67 0xd1fb 0x969fa971 @@ -28230,6 +35288,8 @@ 1 1 0 +4 +0 86 0xe78 0x5935c28 @@ -28238,6 +35298,8 @@ 1 0 0 +0 +4 28 0xfbd1 0x5fb34e1 @@ -28246,6 +35308,8 @@ 1 1 0 +2 +1 26 0x977e 0x36622d40 @@ -28254,6 +35318,8 @@ 0 0 0 +3 +5 92 0x4507 0x6353287e @@ -28262,6 +35328,8 @@ 1 0 0 +3 +5 80 0xe20d 0x9f0cf444 @@ -28270,6 +35338,8 @@ 0 0 0 +4 +3 80 0x9b0b 0x46aa7ddd @@ -28278,6 +35348,8 @@ 1 1 0 +4 +4 12 0x63a6 0x2a013bb9 @@ -28286,6 +35358,8 @@ 0 0 0 +4 +2 42 0x6ff3 0x1a2f9eea @@ -28294,6 +35368,8 @@ 1 0 0 +1 +4 55 0xc364 0xa4f1d6fe @@ -28302,6 +35378,8 @@ 1 1 0 +0 +0 84 0x10c6 0xaa6508f7 @@ -28310,6 +35388,8 @@ 1 1 0 +3 +5 98 0x6a05 0xb97a6c14 @@ -28318,6 +35398,8 @@ 0 0 0 +2 +1 14 0x6762 0xb61c82b @@ -28326,6 +35408,8 @@ 1 0 0 +0 +3 36 0x798a 0xcf5fd3b2 @@ -28334,6 +35418,8 @@ 0 0 0 +0 +5 22 0x35d8 0x3787dea @@ -28342,6 +35428,8 @@ 1 0 0 +4 +5 27 0xe959 0xaccec19b @@ -28350,6 +35438,8 @@ 1 1 0 +1 +2 94 0x1777 0x1c06ddc3 @@ -28358,6 +35448,8 @@ 1 1 0 +4 +4 93 0x9dc4 0x1d127ab3 @@ -28366,6 +35458,8 @@ 1 1 0 +5 +2 33 0xfea3 0xb1a1ad3 @@ -28374,6 +35468,8 @@ 1 1 0 +1 +5 28 0x719 0x502c26f6 @@ -28382,6 +35478,8 @@ 1 0 0 +3 +3 46 0x136d 0x4328bd31 @@ -28390,6 +35488,8 @@ 0 0 0 +2 +3 60 0x4662 0x47bd2cdd @@ -28398,6 +35498,8 @@ 0 0 0 +1 +0 95 0x17f3 0x7019c805 @@ -28406,6 +35508,8 @@ 1 0 0 +2 +3 28 0x1523 0x43b8b4ee @@ -28414,6 +35518,8 @@ 1 1 0 +3 +4 89 0xa7ac 0x56ed799 @@ -28422,6 +35528,8 @@ 1 1 0 +3 +5 66 0x1871 0x95619fd5 @@ -28430,6 +35538,8 @@ 0 0 0 +5 +0 88 0xd39 0xcb5976b3 @@ -28438,6 +35548,8 @@ 0 0 0 +2 +0 73 0xd609 0x5e2ff385 @@ -28446,6 +35558,8 @@ 0 0 0 +0 +3 69 0x3348 0x7254cf5f @@ -28454,6 +35568,8 @@ 1 1 0 +0 +3 38 0xd895 0x4d9e63a0 @@ -28462,6 +35578,8 @@ 0 0 0 +3 +0 70 0x4e3b 0xc0c7a8da @@ -28470,6 +35588,8 @@ 0 0 0 +3 +5 43 0xf5ad 0xb76ecd3d @@ -28478,6 +35598,8 @@ 1 0 0 +4 +3 65 0xc26f 0xcf6c0151 @@ -28486,6 +35608,8 @@ 1 1 0 +3 +0 45 0xecae 0xae9a87f6 @@ -28494,6 +35618,8 @@ 1 1 0 +0 +0 37 0x7e09 0xfa4bef88 @@ -28502,6 +35628,8 @@ 0 0 0 +1 +3 17 0x9ec9 0xa3232210 @@ -28510,6 +35638,8 @@ 0 0 0 +4 +5 58 0x9ba8 0xb9b856e1 @@ -28518,6 +35648,8 @@ 1 1 0 +2 +0 33 0xb8c1 0x2b29612a @@ -28526,6 +35658,8 @@ 1 1 0 +5 +3 96 0x9360 0xc3043957 @@ -28534,6 +35668,8 @@ 0 0 0 +1 +0 13 0x2014 0x4229a6c @@ -28542,6 +35678,8 @@ 1 1 0 +2 +1 18 0x94e2 0xa1f62dd6 @@ -28550,6 +35688,8 @@ 1 1 0 +4 +1 90 0xd8c5 0xc6970ebc @@ -28558,6 +35698,8 @@ 0 0 0 +4 +3 87 0x2548 0xe9c8a8aa @@ -28566,6 +35708,8 @@ 1 0 0 +3 +3 37 0x7ee2 0x6ff240f3 @@ -28574,6 +35718,8 @@ 1 0 0 +3 +2 71 0xf098 0x65f875b @@ -28582,6 +35728,8 @@ 0 0 0 +4 +2 69 0xb266 0x15443639 @@ -28590,6 +35738,8 @@ 0 0 0 +0 +5 84 0x5f10 0xcb0853d7 @@ -28598,6 +35748,8 @@ 1 1 0 +4 +4 17 0xfa76 0x15e8f70c @@ -28606,6 +35758,8 @@ 1 0 0 +3 +0 93 0x6f9b 0xbced4fb @@ -28614,6 +35768,8 @@ 0 0 0 +1 +5 53 0xdb83 0x8bbeb0eb @@ -28622,6 +35778,8 @@ 0 0 0 +3 +5 10 0x9712 0xd52c2e19 @@ -28630,6 +35788,8 @@ 1 1 0 +1 +1 17 0x4070 0xa6461b90 @@ -28638,6 +35798,8 @@ 0 0 0 +1 +1 67 0x563c 0x8f15aabf @@ -28646,6 +35808,8 @@ 0 0 0 +1 +2 93 0x48f0 0x7e912ae8 @@ -28654,6 +35818,8 @@ 0 0 0 +2 +4 50 0x233 0xf3554001 @@ -28662,6 +35828,8 @@ 1 0 0 +5 +1 39 0xb01a 0xfa8f38a9 @@ -28670,6 +35838,8 @@ 1 1 0 +4 +1 14 0x1d8a 0x1c1d7df2 @@ -28678,6 +35848,8 @@ 0 0 0 +4 +3 59 0xd05a 0x47dee28f @@ -28686,6 +35858,8 @@ 0 0 0 +1 +5 19 0xe610 0xcf9b048c @@ -28694,6 +35868,8 @@ 1 1 0 +2 +4 27 0xd0eb 0x4276ff2 @@ -28702,6 +35878,8 @@ 1 0 0 +1 +5 40 0x86ec 0xc7512a82 @@ -28710,6 +35888,8 @@ 1 1 0 +5 +5 11 0xd423 0x5d6269c3 @@ -28718,6 +35898,8 @@ 1 1 0 +4 +4 75 0xa057 0xfb290784 @@ -28726,6 +35908,8 @@ 0 0 0 +3 +0 11 0x7ebb 0xfc39b86e @@ -28734,6 +35918,8 @@ 0 0 0 +1 +3 89 0xe6a 0xe34d58e1 @@ -28742,6 +35928,8 @@ 0 0 0 +4 +5 93 0xf9f5 0x1054104b @@ -28750,6 +35938,8 @@ 1 0 0 +0 +4 38 0xb382 0x4197fbf9 @@ -28758,6 +35948,8 @@ 0 0 0 +3 +4 58 0x72a3 0xc5aa7d7f @@ -28766,6 +35958,8 @@ 0 0 0 +0 +5 44 0x3101 0x6352b3d5 @@ -28774,6 +35968,8 @@ 1 0 0 +5 +0 41 0x973f 0xa5e96915 @@ -28782,6 +35978,8 @@ 0 0 0 +1 +4 32 0x71da 0x667530a @@ -28790,6 +35988,8 @@ 0 0 0 +1 +2 49 0x574a 0x33974f25 @@ -28798,6 +35998,8 @@ 0 0 0 +2 +5 13 0x161f 0x13948020 @@ -28806,6 +36008,8 @@ 0 0 0 +5 +0 10 0xcf71 0xd623c111 @@ -28814,6 +36018,8 @@ 1 0 0 +5 +1 93 0x7ff5 0x1dc78216 @@ -28822,6 +36028,8 @@ 0 0 0 +1 +0 14 0x3b37 0xd8615f7a @@ -28830,6 +36038,8 @@ 0 0 0 +2 +4 23 0xe633 0xe2f9ce4e @@ -28838,6 +36048,8 @@ 1 1 0 +3 +1 82 0x5513 0xe668158e @@ -28846,6 +36058,8 @@ 0 0 0 +3 +2 18 0x99a6 0xe6c7b9f3 @@ -28854,6 +36068,8 @@ 0 0 0 +2 +2 71 0xec36 0x1f6af3d9 @@ -28862,6 +36078,8 @@ 0 0 0 +2 +4 52 0xef3e 0x42737de7 @@ -28870,6 +36088,8 @@ 0 0 0 +4 +2 96 0xeacc 0x63877c3a @@ -28878,6 +36098,8 @@ 1 1 0 +5 +0 87 0xd724 0x8a72542d @@ -28886,6 +36108,8 @@ 0 0 0 +0 +0 99 0xf66e 0x20d6a1ae @@ -28894,6 +36118,8 @@ 0 0 0 +4 +0 32 0xda6a 0xb5384071 @@ -28902,6 +36128,8 @@ 1 0 0 +3 +1 29 0x4fe0 0x35ece5b @@ -28910,6 +36138,8 @@ 1 0 0 +0 +2 18 0xe7a6 0x80535a47 @@ -28918,6 +36148,8 @@ 0 0 0 +5 +4 32 0x3f4a 0xf4084091 @@ -28926,6 +36158,8 @@ 0 0 0 +1 +4 22 0x1bce 0x6515098f @@ -28934,6 +36168,8 @@ 1 0 0 +1 +0 59 0xd76a 0x96ac58e7 @@ -28942,6 +36178,8 @@ 0 0 0 +3 +2 19 0xafe7 0x685e3d51 @@ -28950,6 +36188,8 @@ 1 0 0 +4 +1 90 0x693f 0x2533308a @@ -28958,6 +36198,8 @@ 0 0 0 +1 +1 72 0x12 0x3ffda2b6 @@ -28966,6 +36208,8 @@ 0 0 0 +4 +2 42 0x3b41 0xc6fab9a7 @@ -28974,6 +36218,8 @@ 1 1 0 +5 +5 21 0x86a7 0x324778ad @@ -28982,6 +36228,8 @@ 0 0 0 +0 +1 97 0x1054 0x747ad9dc @@ -28990,6 +36238,8 @@ 1 0 0 +0 +4 56 0xde9c 0x898dfb91 @@ -28998,6 +36248,8 @@ 1 1 0 +5 +5 75 0x7f83 0xa14d7df1 @@ -29006,6 +36258,8 @@ 0 0 0 +1 +3 66 0xb80f 0x24f5d1bd @@ -29014,6 +36268,8 @@ 1 0 0 +4 +5 99 0x5130 0xdc57f580 @@ -29022,6 +36278,8 @@ 1 0 0 +1 +5 92 0xacbf 0x4eb5b375 @@ -29030,6 +36288,8 @@ 0 0 0 +4 +1 46 0x2958 0xc81a0eda @@ -29038,6 +36298,8 @@ 0 0 0 +5 +5 76 0x6784 0x142aa726 @@ -29046,6 +36308,8 @@ 1 0 0 +4 +1 42 0xa973 0x9bc38edf @@ -29054,6 +36318,8 @@ 0 0 0 +1 +4 85 0x9e56 0xd9d61b66 @@ -29062,6 +36328,8 @@ 0 0 0 +2 +0 18 0xf85 0xdfd07101 @@ -29070,6 +36338,8 @@ 1 0 0 +0 +2 29 0x3d09 0x1735a357 @@ -29078,6 +36348,8 @@ 1 0 0 +1 +4 23 0x3123 0xef1aa76d @@ -29086,6 +36358,8 @@ 1 0 0 +2 +3 88 0xb2c6 0xa694dd2c @@ -29094,6 +36368,8 @@ 0 0 0 +1 +5 21 0xc276 0xaf068a87 @@ -29102,6 +36378,8 @@ 0 0 0 +2 +1 82 0xaa6f 0xdb780576 @@ -29110,6 +36388,8 @@ 1 0 0 +2 +3 83 0xc7f3 0x23a433c @@ -29118,6 +36398,8 @@ 1 0 0 +0 +4 49 0xa011 0xb959a74a @@ -29126,6 +36408,8 @@ 0 0 0 +3 +1 11 0x8138 0x635ab27e @@ -29134,6 +36418,8 @@ 1 1 0 +1 +4 77 0x617b 0x8fb84979 @@ -29142,6 +36428,8 @@ 0 0 0 +2 +1 10 0xdff 0x47521c34 @@ -29150,6 +36438,8 @@ 0 0 0 +5 +5 62 0x230 0xbf824390 @@ -29158,6 +36448,8 @@ 0 0 0 +3 +1 53 0xf03f 0x15d65006 @@ -29166,6 +36458,8 @@ 0 0 0 +2 +2 19 0xd71e 0x238c99f6 @@ -29174,6 +36468,8 @@ 0 0 0 +5 +5 44 0x6942 0x867d553a @@ -29182,6 +36478,8 @@ 0 0 0 +1 +4 16 0x218e 0x6517a5d3 @@ -29190,6 +36488,8 @@ 1 0 0 +4 +0 49 0x36eb 0xee91404c @@ -29198,6 +36498,8 @@ 0 0 0 +5 +4 11 0x14a6 0x3d92eddd @@ -29206,6 +36508,8 @@ 0 0 0 +3 +3 44 0x5f54 0xa3162690 @@ -29214,6 +36518,8 @@ 1 1 0 +4 +3 34 0xae9e 0xe73bf1fc @@ -29222,6 +36528,8 @@ 1 0 0 +2 +1 65 0xd94e 0xa1528e98 @@ -29230,6 +36538,8 @@ 0 0 0 +2 +3 96 0x22d9 0x6328f91c @@ -29238,6 +36548,8 @@ 1 1 0 +4 +5 66 0xbafa 0xcacc995e @@ -29246,6 +36558,8 @@ 1 0 0 +2 +1 43 0xa5cd 0xc84df8e4 @@ -29254,6 +36568,8 @@ 0 0 0 +1 +3 85 0x8e26 0x2a718302 @@ -29262,6 +36578,8 @@ 1 1 0 +3 +1 91 0xb509 0xf7285ab @@ -29270,6 +36588,8 @@ 0 0 0 +1 +2 12 0xeacb 0x5c161273 @@ -29278,6 +36598,8 @@ 1 1 0 +4 +1 60 0x1ce7 0x8b06e4e1 @@ -29286,6 +36608,8 @@ 0 0 0 +5 +4 37 0x6169 0x73f965cf @@ -29294,6 +36618,8 @@ 0 0 0 +3 +0 16 0xdb8 0x198bfa70 @@ -29302,6 +36628,8 @@ 1 0 0 +4 +3 16 0x3204 0x8ca129d @@ -29310,6 +36638,8 @@ 0 0 0 +0 +0 62 0x3b76 0xcd88293a @@ -29318,6 +36648,8 @@ 1 0 0 +0 +3 25 0xc416 0x65198d08 @@ -29326,6 +36658,8 @@ 1 1 0 +3 +5 18 0x2de2 0xeabf8f96 @@ -29334,6 +36668,8 @@ 1 1 0 +2 +0 57 0xc495 0x37d909c9 @@ -29342,6 +36678,8 @@ 1 0 0 +1 +3 69 0x869c 0x39105a5a @@ -29350,6 +36688,8 @@ 0 0 0 +2 +1 83 0x2482 0xcb02ef63 @@ -29358,6 +36698,8 @@ 1 0 0 +4 +3 50 0x663e 0x8e0ff8b7 @@ -29366,6 +36708,8 @@ 1 0 0 +1 +0 68 0xec96 0xfc41ed3 @@ -29374,6 +36718,8 @@ 0 0 0 +3 +3 54 0x74fb 0x24612ff5 @@ -29382,6 +36728,8 @@ 0 0 0 +5 +2 16 0x7e0b 0xa1612c50 @@ -29390,6 +36738,8 @@ 0 0 0 +3 +3 61 0x257a 0x1a23d139 @@ -29398,6 +36748,8 @@ 0 0 0 +4 +3 37 0x5317 0x8b243fa9 @@ -29406,6 +36758,8 @@ 0 0 0 +0 +4 98 0xab41 0xdd7c9704 @@ -29414,6 +36768,8 @@ 1 0 0 +2 +5 46 0x3bf0 0x2650c8fd @@ -29422,6 +36778,8 @@ 1 1 0 +4 +5 93 0xd0c3 0xc85c7c88 @@ -29430,6 +36788,8 @@ 1 1 0 +5 +5 22 0xac1e 0xb9d6d697 @@ -29438,6 +36798,8 @@ 0 0 0 +1 +4 64 0x18b0 0x91bc0976 @@ -29446,6 +36808,8 @@ 0 0 0 +5 +3 93 0x17e5 0x482fc221 @@ -29454,6 +36818,8 @@ 0 0 0 +4 +4 31 0x8b81 0x555b054c @@ -29462,6 +36828,8 @@ 1 1 0 +2 +3 21 0xc9ad 0x1ae849dc @@ -29470,6 +36838,8 @@ 0 0 0 +3 +1 63 0x57bc 0xf2c852d3 @@ -29478,6 +36848,8 @@ 1 1 0 +1 +1 51 0x3ad9 0x762c7dbd @@ -29486,6 +36858,8 @@ 1 1 0 +4 +5 30 0x1168 0x1732fdb7 @@ -29494,6 +36868,8 @@ 1 0 0 +3 +2 47 0xe0a5 0x53acb39b @@ -29502,6 +36878,8 @@ 1 0 0 +0 +0 85 0xb4eb 0x6b98639 @@ -29510,6 +36888,8 @@ 1 1 0 +2 +3 28 0x914f 0x84d6b427 @@ -29518,6 +36898,8 @@ 1 1 0 +4 +1 40 0xab8e 0x4ca5817e @@ -29526,6 +36908,8 @@ 1 0 0 +4 +2 70 0x2f0d 0xb2ea3b05 @@ -29534,6 +36918,8 @@ 0 0 0 +2 +2 49 0x5f38 0x86fec9e9 @@ -29542,6 +36928,8 @@ 1 0 0 +1 +1 66 0x1ee8 0x401b23ce @@ -29550,6 +36938,8 @@ 1 1 0 +0 +4 19 0xb243 0x9dd5355f @@ -29558,6 +36948,8 @@ 0 0 0 +2 +2 76 0xa314 0x3ac7332a @@ -29566,6 +36958,8 @@ 0 0 0 +1 +4 47 0xef81 0xbaf0ec @@ -29574,6 +36968,8 @@ 1 1 0 +2 +4 98 0xa7f9 0x3f87902f @@ -29582,6 +36978,8 @@ 1 0 0 +4 +4 20 0x3607 0x8011de96 @@ -29590,6 +36988,8 @@ 0 0 0 +1 +2 44 0x12cb 0xc59293ad @@ -29598,6 +36998,8 @@ 1 0 0 +1 +1 57 0x6d3e 0x7b34fe2e @@ -29606,6 +37008,8 @@ 1 1 0 +0 +4 95 0x1f6f 0x24c88f7 @@ -29614,6 +37018,8 @@ 1 0 0 +5 +0 41 0xd027 0xf5f8d15b @@ -29622,6 +37028,8 @@ 0 0 0 +1 +2 23 0x59be 0x6da15da5 @@ -29630,6 +37038,8 @@ 0 0 0 +4 +4 20 0x4d9a 0xa5cc8489 @@ -29638,6 +37048,8 @@ 0 0 0 +5 +5 46 0xe942 0x931ebe02 @@ -29646,6 +37058,8 @@ 1 1 0 +2 +1 46 0x428e 0xec540c4c @@ -29654,6 +37068,8 @@ 0 0 0 +3 +1 91 0xb165 0x748ed400 @@ -29662,6 +37078,8 @@ 0 0 0 +5 +2 31 0x6b1 0x6deebfa4 @@ -29670,6 +37088,8 @@ 0 0 0 +2 +2 70 0x5c51 0xb22aa1a6 @@ -29678,6 +37098,8 @@ 0 0 0 +1 +3 59 0xdf08 0x4982d514 @@ -29686,6 +37108,8 @@ 1 1 0 +4 +1 68 0xc51 0x7eeccda1 @@ -29694,6 +37118,8 @@ 0 0 0 +1 +1 82 0xd27 0xda57ed8a @@ -29702,6 +37128,8 @@ 0 0 0 +0 +3 18 0x9d12 0x986db59a @@ -29710,6 +37138,8 @@ 0 0 0 +2 +0 62 0xf9c2 0x76b4ea82 @@ -29718,6 +37148,8 @@ 1 0 0 +5 +0 24 0xe53b 0x14988379 @@ -29726,6 +37158,8 @@ 1 0 0 +0 +3 10 0xb77e 0xd9224446 @@ -29734,6 +37168,8 @@ 0 0 0 +1 +2 92 0xfcb9 0x283d0ff6 @@ -29742,6 +37178,8 @@ 1 1 0 +3 +1 20 0x8c20 0x22a28c44 @@ -29750,6 +37188,8 @@ 1 0 0 +3 +1 46 0x5000 0xa54d0cf @@ -29758,6 +37198,8 @@ 0 0 0 +5 +3 95 0x33fd 0xf49acdf3 @@ -29766,6 +37208,8 @@ 0 0 0 +5 +2 52 0x9d2 0xb83a87b8 @@ -29774,6 +37218,8 @@ 1 0 0 +1 +3 14 0x10a7 0x18caae56 @@ -29782,6 +37228,8 @@ 1 1 0 +2 +2 96 0xe0e6 0x7d553429 @@ -29790,6 +37238,8 @@ 0 0 0 +4 +0 12 0x52f4 0xcfb4eac2 @@ -29798,6 +37248,8 @@ 1 0 0 +1 +0 14 0x8ac3 0xfbd37c52 @@ -29806,6 +37258,8 @@ 1 1 0 +4 +3 56 0x2f7d 0x101ee547 @@ -29814,6 +37268,8 @@ 0 0 0 +4 +5 76 0xcbc5 0x35d831f5 @@ -29822,6 +37278,8 @@ 0 0 0 +2 +3 20 0x684f 0xf4ecd6c9 @@ -29830,6 +37288,8 @@ 1 0 0 +1 +5 37 0x2aa9 0xd7b4e28f @@ -29838,6 +37298,8 @@ 1 1 0 +5 +2 50 0xbb58 0x210a8c75 @@ -29846,6 +37308,8 @@ 1 1 0 +5 +2 97 0xaf80 0xdeb9c936 @@ -29854,6 +37318,8 @@ 1 1 0 +0 +0 38 0x80dd 0xb48fb777 @@ -29862,6 +37328,8 @@ 1 1 0 +5 +0 41 0xd6cc 0xf67a86ff @@ -29870,6 +37338,8 @@ 0 0 0 +5 +3 30 0x1cbf 0xeed562e8 @@ -29878,6 +37348,8 @@ 1 0 0 +0 +3 13 0x1fea 0xde99e52f @@ -29886,6 +37358,8 @@ 0 0 0 +3 +0 16 0xb13d 0xde1c7bee @@ -29894,6 +37368,8 @@ 1 1 0 +5 +0 42 0xe19d 0xeefc1f9e @@ -29902,6 +37378,8 @@ 1 0 0 +4 +5 84 0x77f9 0xf085a70c @@ -29910,6 +37388,8 @@ 1 0 0 +3 +5 92 0x1ca3 0x9eb91d6b @@ -29918,6 +37398,8 @@ 0 0 0 +4 +1 40 0x2420 0x9a667e9d @@ -29926,6 +37408,8 @@ 1 1 0 +5 +4 58 0x975d 0xdfd6bb3b @@ -29934,6 +37418,8 @@ 0 0 0 +2 +0 57 0xd6f7 0x8bba7a5f @@ -29942,6 +37428,8 @@ 1 0 0 +2 +1 24 0xb537 0x9582f53f @@ -29950,6 +37438,8 @@ 1 1 0 +4 +1 35 0x7584 0x8f7ffb40 @@ -29958,6 +37448,8 @@ 1 1 0 +1 +1 68 0x9ed1 0x22d2bfc7 @@ -29966,6 +37458,8 @@ 1 0 0 +4 +2 67 0xa3d4 0x24f7fbe0 @@ -29974,6 +37468,8 @@ 1 0 0 +1 +3 67 0x7974 0x720812a3 @@ -29982,6 +37478,8 @@ 1 0 0 +3 +0 85 0x852c 0x29d1cf86 @@ -29990,6 +37488,8 @@ 0 0 0 +4 +0 60 0xa169 0xbb0e1d30 @@ -29998,6 +37498,8 @@ 0 0 0 +2 +0 88 0x62e2 0xe02f94fe @@ -30006,6 +37508,8 @@ 0 0 0 +0 +1 62 0x5114 0xebc25333 @@ -30014,6 +37518,8 @@ 1 0 0 +2 +0 80 0xdee2 0x63491ea6 @@ -30022,6 +37528,8 @@ 0 0 0 +5 +2 27 0xf2d9 0x18a6b578 @@ -30030,6 +37538,8 @@ 1 0 0 +1 +5 34 0x2b64 0xbe0ba582 @@ -30038,6 +37548,8 @@ 0 0 0 +5 +0 30 0x710e 0x8290838b @@ -30046,6 +37558,8 @@ 0 0 0 +0 +3 32 0x96d4 0xb4f20653 @@ -30054,6 +37568,8 @@ 1 1 0 +1 +5 64 0xee4f 0x7f3fd5ef @@ -30062,6 +37578,8 @@ 1 0 0 +1 +0 58 0xcb06 0xa98961a4 @@ -30070,6 +37588,8 @@ 1 0 0 +2 +1 21 0x2f2c 0x893f404d @@ -30078,6 +37598,8 @@ 1 0 0 +5 +0 19 0xbf0a 0x160cd526 @@ -30086,6 +37608,8 @@ 1 0 0 +4 +5 62 0xff41 0xf5c8b0c @@ -30094,6 +37618,8 @@ 0 0 0 +4 +2 89 0x66dc 0xa51ead84 @@ -30102,6 +37628,8 @@ 1 1 0 +1 +5 43 0xf422 0x5fa28bb0 @@ -30110,6 +37638,8 @@ 0 0 0 +3 +1 47 0x7fda 0x9ab6b03c @@ -30118,6 +37648,8 @@ 0 0 0 +1 +2 56 0xa0ef 0x3c4a8217 @@ -30126,6 +37658,8 @@ 0 0 0 +4 +5 48 0x76db 0x3f7c9682 @@ -30134,6 +37668,8 @@ 0 0 0 +5 +0 54 0x85bc 0x8b0933e2 @@ -30142,6 +37678,8 @@ 0 0 0 +2 +3 35 0x63e3 0x900ac627 @@ -30150,6 +37688,8 @@ 0 0 0 +2 +1 34 0x5a8e 0x53564c36 @@ -30158,6 +37698,8 @@ 1 0 0 +2 +4 39 0xbd3d 0x7b0aac89 @@ -30166,6 +37708,8 @@ 1 0 0 +5 +1 54 0x303a 0x9dcaa200 @@ -30174,6 +37718,8 @@ 0 0 0 +0 +2 48 0x9d3b 0x7fa3989e @@ -30182,6 +37728,8 @@ 1 0 0 +4 +1 49 0x5ff0 0xded11521 @@ -30190,6 +37738,8 @@ 0 0 0 +1 +1 68 0xbe7e 0x6cd21089 @@ -30198,6 +37748,8 @@ 1 1 0 +1 +1 55 0x5fee 0x3e56a136 @@ -30206,6 +37758,8 @@ 0 0 0 +1 +0 75 0x22cc 0x90d5c575 @@ -30214,6 +37768,8 @@ 1 1 0 +1 +3 24 0xfe9 0xbc45fac2 @@ -30222,6 +37778,8 @@ 0 0 0 +2 +5 98 0xb0bf 0x6981d2b @@ -30230,6 +37788,8 @@ 0 0 0 +5 +0 30 0x9d9e 0x5faa482d @@ -30238,6 +37798,8 @@ 0 0 0 +5 +5 79 0xee57 0xe10ddd89 @@ -30246,6 +37808,8 @@ 1 0 0 +4 +0 98 0xb9df 0xf7d99d24 @@ -30254,6 +37818,8 @@ 1 0 0 +5 +1 40 0x2581 0x499b0a69 @@ -30262,6 +37828,8 @@ 1 0 0 +4 +0 67 0xaca5 0xc3b35ceb @@ -30270,6 +37838,8 @@ 0 0 0 +2 +0 72 0x1e7b 0x34db311 @@ -30278,6 +37848,8 @@ 1 0 0 +5 +4 73 0x90d9 0xfac28187 @@ -30286,6 +37858,8 @@ 1 1 0 +3 +3 68 0xff4a 0xdabc3b1d @@ -30294,6 +37868,8 @@ 1 0 0 +5 +2 100 0x88dc 0x3d1c9f43 @@ -30302,6 +37878,8 @@ 0 0 0 +1 +5 42 0x3c41 0x25560443 @@ -30310,6 +37888,8 @@ 1 0 0 +1 +0 56 0x905 0x68192915 @@ -30318,6 +37898,8 @@ 1 0 0 +2 +4 66 0x77d5 0x43dfd723 @@ -30326,6 +37908,8 @@ 1 1 0 +5 +5 85 0x3f68 0xcf4801aa @@ -30334,6 +37918,8 @@ 0 0 0 +1 +4 15 0x69a0 0xb9a090ee @@ -30342,6 +37928,8 @@ 1 1 0 +1 +3 27 0xf3c8 0x6e20f3aa @@ -30350,6 +37938,8 @@ 0 0 0 +1 +0 71 0x8901 0x38a21b89 @@ -30358,6 +37948,8 @@ 0 0 0 +5 +4 43 0x56bc 0xe940e29d @@ -30366,6 +37958,8 @@ 0 0 0 +1 +1 92 0xde9f 0x92814398 @@ -30374,6 +37968,8 @@ 0 0 0 +0 +4 54 0x6d17 0x4f0efe8 @@ -30382,6 +37978,8 @@ 1 0 0 +0 +1 38 0xbba3 0x6a543614 @@ -30390,6 +37988,8 @@ 1 0 0 +0 +4 57 0x44bb 0x58c751a3 @@ -30398,6 +37998,8 @@ 0 0 0 +2 +4 31 0x2d7c 0x294f2233 @@ -30406,6 +38008,8 @@ 0 0 0 +2 +2 63 0x5b28 0x37eeed19 @@ -30414,6 +38018,8 @@ 1 1 0 +4 +2 98 0xded 0x1a13a94a @@ -30422,6 +38028,8 @@ 0 0 0 +0 +4 70 0xee45 0x29b28474 @@ -30430,6 +38038,8 @@ 1 0 0 +1 +0 55 0x10e3 0x5a43615 @@ -30438,6 +38048,8 @@ 1 0 0 +4 +2 95 0x4dff 0x55b9d5d2 @@ -30446,6 +38058,8 @@ 0 0 0 +3 +2 18 0x9c32 0xed90cf57 @@ -30454,6 +38068,8 @@ 1 1 0 +4 +1 80 0x3901 0x9c75c719 @@ -30462,6 +38078,8 @@ 0 0 0 +1 +2 50 0x273b 0xbbf3a11c @@ -30470,6 +38088,8 @@ 1 1 0 +3 +0 16 0xa655 0xe4c57e38 @@ -30478,6 +38098,8 @@ 1 0 0 +0 +0 51 0xe96d 0xc8ef493e @@ -30486,6 +38108,8 @@ 0 0 0 +0 +0 34 0x2b45 0x722f0885 @@ -30494,6 +38118,8 @@ 0 0 0 +5 +5 75 0x9e64 0x7f034330 @@ -30502,6 +38128,8 @@ 0 0 0 +2 +1 32 0xd9d0 0x2a1d3204 @@ -30510,6 +38138,8 @@ 0 0 0 +4 +3 70 0x7b98 0x87aeb02e @@ -30518,6 +38148,8 @@ 0 0 0 +2 +5 13 0xdbfe 0xcb9a2014 @@ -30526,6 +38158,8 @@ 0 0 0 +1 +2 79 0x7fb3 0xfeb6cd34 @@ -30534,6 +38168,8 @@ 0 0 0 +5 +4 34 0xe1a0 0x3417a179 @@ -30542,6 +38178,8 @@ 1 1 0 +0 +1 59 0xc244 0xc6a226f6 @@ -30550,6 +38188,8 @@ 0 0 0 +3 +0 48 0x2c6d 0xcea2dd40 @@ -30558,6 +38198,8 @@ 1 0 0 +0 +3 26 0xa28c 0xaa15e35f @@ -30566,6 +38208,8 @@ 1 0 0 +1 +3 61 0x87c0 0x296cf47e @@ -30574,6 +38218,8 @@ 0 0 0 +4 +1 12 0x56f0 0x24da31f2 @@ -30582,6 +38228,8 @@ 1 0 0 +4 +5 51 0x7db 0x86c9f0ce @@ -30590,6 +38238,8 @@ 0 0 0 +2 +3 20 0xea7d 0xa252a1cc @@ -30598,6 +38248,8 @@ 1 1 0 +5 +5 21 0x7d42 0x6503704d @@ -30606,6 +38258,8 @@ 0 0 0 +0 +3 43 0xb148 0x11fb3332 @@ -30614,6 +38268,8 @@ 1 1 0 +4 +2 24 0xad88 0xcd1c3f90 @@ -30622,6 +38278,8 @@ 1 0 0 +5 +4 47 0x8b37 0x1aaf90c6 @@ -30630,6 +38288,8 @@ 0 0 0 +4 +3 92 0x5068 0xdf35cc5a @@ -30638,6 +38298,8 @@ 1 1 0 +4 +0 48 0x431a 0x48509782 @@ -30646,6 +38308,8 @@ 0 0 0 +5 +4 58 0xafa6 0x295b6bd3 @@ -30654,6 +38318,8 @@ 0 0 0 +4 +5 22 0xda25 0xcf72ee65 @@ -30662,6 +38328,8 @@ 1 0 0 +3 +4 40 0xf64e 0xc493920b @@ -30670,6 +38338,8 @@ 1 1 0 +5 +2 12 0xa42d 0x739955c4 @@ -30678,6 +38348,8 @@ 1 0 0 +2 +4 79 0x22d5 0x6a7c455 @@ -30686,6 +38358,8 @@ 1 0 0 +3 +0 87 0xbe16 0x320e4f5d @@ -30694,6 +38368,8 @@ 0 0 0 +2 +3 90 0xe5ce 0xf0c8636d @@ -30702,6 +38378,8 @@ 0 0 0 +3 +0 57 0xff55 0x77094fa7 @@ -30710,6 +38388,8 @@ 0 0 0 +2 +4 37 0x9970 0x796d1032 @@ -30718,6 +38398,8 @@ 1 1 0 +4 +3 16 0xfb2b 0xfb7ad5a8 @@ -30726,6 +38408,8 @@ 1 1 0 +5 +3 57 0x54fc 0x5dbe1eaf @@ -30734,6 +38418,8 @@ 1 0 0 +5 +3 99 0x7a53 0x27120f48 @@ -30742,6 +38428,8 @@ 1 0 0 +4 +4 14 0x3ad7 0xe941466d @@ -30750,6 +38438,8 @@ 1 1 0 +1 +0 70 0xc089 0x13431699 @@ -30758,6 +38448,8 @@ 1 1 0 +4 +2 56 0xe162 0x2b3a7fd8 @@ -30766,6 +38458,8 @@ 1 0 0 +0 +1 14 0x2c5f 0x449585af @@ -30774,6 +38468,8 @@ 1 0 0 +2 +0 71 0x7017 0xa0a4ba73 @@ -30782,6 +38478,8 @@ 0 0 0 +0 +1 55 0x33d5 0x95426f0d @@ -30790,6 +38488,8 @@ 1 1 0 +1 +2 76 0x4349 0xbf9acadb @@ -30798,6 +38498,8 @@ 1 1 0 +1 +5 69 0xd701 0xf6d7ff15 @@ -30806,6 +38508,8 @@ 1 0 0 +2 +0 16 0xebb0 0xe4514e6b @@ -30814,6 +38518,8 @@ 1 0 0 +2 +0 72 0x8196 0xcbd14228 @@ -30822,6 +38528,8 @@ 1 1 0 +5 +5 65 0x9588 0x7e75c3b3 @@ -30830,6 +38538,8 @@ 0 0 0 +0 +0 69 0x9f24 0x512ec306 @@ -30838,6 +38548,8 @@ 1 1 0 +4 +0 84 0x217b 0xa6dedc3e @@ -30846,6 +38558,8 @@ 1 0 0 +3 +4 98 0x3cc1 0x9cb9eb25 @@ -30854,6 +38568,8 @@ 1 1 0 +2 +3 16 0x2c03 0x7800518a @@ -30862,6 +38578,8 @@ 1 1 0 +1 +0 69 0xed68 0x49d2851a @@ -30870,6 +38588,8 @@ 1 1 0 +3 +5 58 0x6e4a 0xda66996e @@ -30878,6 +38598,8 @@ 0 0 0 +0 +5 75 0xa1d 0x79200aee @@ -30886,6 +38608,8 @@ 1 1 0 +5 +4 48 0xfd8f 0xc4c76c70 @@ -30894,6 +38618,8 @@ 0 0 0 +5 +5 28 0x9d89 0x6d740aa4 @@ -30902,6 +38628,8 @@ 0 0 0 +5 +2 77 0xd6eb 0x6345011a @@ -30910,6 +38638,8 @@ 1 1 0 +0 +5 45 0x4d8f 0xc170cb1b @@ -30918,6 +38648,8 @@ 0 0 0 +3 +4 78 0x4677 0xa557edc7 @@ -30926,6 +38658,8 @@ 0 0 0 +5 +2 33 0x3b8f 0x9b4c5004 @@ -30934,6 +38668,8 @@ 1 1 0 +4 +3 87 0x2f34 0xb6a5cd4e @@ -30942,6 +38678,8 @@ 1 1 0 +2 +0 58 0x3d39 0xb26b01ea @@ -30950,6 +38688,8 @@ 1 0 0 +0 +4 57 0xfa75 0xa6c0cd5d @@ -30958,6 +38698,8 @@ 0 0 0 +0 +5 31 0x1686 0x2646f19a @@ -30966,6 +38708,8 @@ 1 0 0 +2 +1 32 0x7fef 0xcabaab3b @@ -30974,6 +38718,8 @@ 1 1 0 +4 +3 51 0x4624 0x80250b07 @@ -30982,6 +38728,8 @@ 1 1 0 +1 +4 45 0x4f4a 0xf402263c @@ -30990,6 +38738,8 @@ 1 1 0 +0 +2 47 0x319 0xa67944cd @@ -30998,6 +38748,8 @@ 0 0 0 +0 +1 28 0xf4a1 0x22d1e511 @@ -31006,6 +38758,8 @@ 0 0 0 +5 +0 31 0x7ef 0x205d3680 @@ -31014,6 +38768,8 @@ 1 1 0 +2 +3 96 0xbd67 0xa9a55398 @@ -31022,6 +38778,8 @@ 1 0 0 +4 +4 56 0x4c0a 0x4ccc96bc @@ -31030,6 +38788,8 @@ 1 1 0 +3 +0 14 0x44fc 0x9cd16c5e @@ -31038,6 +38798,8 @@ 0 0 0 +0 +0 87 0x10d0 0xd196531c @@ -31046,6 +38808,8 @@ 1 1 0 +5 +5 84 0xd1b8 0xc82623e6 @@ -31054,6 +38818,8 @@ 0 0 0 +1 +5 26 0xa867 0x7e523fd2 @@ -31062,6 +38828,8 @@ 0 0 0 +5 +2 63 0xbfee 0x436af1c9 @@ -31070,6 +38838,8 @@ 1 0 0 +4 +4 53 0xcb77 0x5ccf37ec @@ -31078,6 +38848,8 @@ 1 1 0 +4 +2 38 0xb93 0x9a7c79f9 @@ -31086,6 +38858,8 @@ 1 0 0 +5 +5 71 0xad36 0xe18143f1 @@ -31094,6 +38868,8 @@ 0 0 0 +0 +4 67 0x541b 0x549075f3 @@ -31102,6 +38878,8 @@ 1 0 0 +3 +4 12 0x1608 0xc7db9d2b @@ -31110,6 +38888,8 @@ 1 1 0 +5 +2 36 0x6023 0xc3e8b3e6 @@ -31118,6 +38898,8 @@ 0 0 0 +5 +4 72 0x56ac 0xbfb8fcf8 @@ -31126,6 +38908,8 @@ 0 0 0 +5 +5 97 0x9d7b 0x76e6cf4a @@ -31134,6 +38918,8 @@ 1 1 0 +1 +0 55 0xa304 0x21fd0514 @@ -31142,6 +38928,8 @@ 1 1 0 +1 +2 60 0x6a74 0x6d4b7f4c @@ -31150,6 +38938,8 @@ 1 1 0 +1 +0 76 0x2293 0xa63c5cdf @@ -31158,6 +38948,8 @@ 1 0 0 +3 +1 30 0x585e 0x20a12fe1 @@ -31166,6 +38958,8 @@ 1 0 0 +0 +0 82 0xfa7 0x39fb552e @@ -31174,6 +38968,8 @@ 1 0 0 +4 +3 28 0x17ca 0x3dfaea59 @@ -31182,6 +38978,8 @@ 1 1 0 +4 +1 34 0xaf2d 0x73ea8eb3 @@ -31190,6 +38988,8 @@ 0 0 0 +3 +1 35 0x94ce 0x382bf49f @@ -31198,6 +38998,8 @@ 1 0 0 +3 +3 69 0xf14 0x5cbe34b0 @@ -31206,6 +39008,8 @@ 1 0 0 +4 +4 94 0x2f7d 0x47d1231d @@ -31214,6 +39018,8 @@ 0 0 0 +2 +0 65 0xd5f8 0x611a0d6a @@ -31222,6 +39028,8 @@ 0 0 0 +0 +0 100 0x728d 0xb36189cc @@ -31230,6 +39038,8 @@ 0 0 0 +5 +1 37 0x6231 0xce5f58c1 @@ -31238,6 +39048,8 @@ 0 0 0 +1 +3 69 0x1fef 0xee912909 @@ -31246,6 +39058,8 @@ 0 0 0 +5 +0 49 0x8b77 0xb21fcf97 @@ -31254,6 +39068,8 @@ 1 1 0 +5 +2 91 0xfe42 0x70cf6030 @@ -31262,6 +39078,8 @@ 1 0 0 +2 +2 22 0x1743 0x3d8aa43 @@ -31270,6 +39088,8 @@ 1 0 0 +3 +5 35 0xa17d 0xf5726e8e @@ -31278,6 +39098,8 @@ 0 0 0 +1 +5 64 0x4e1d 0x97298cb5 @@ -31286,6 +39108,8 @@ 1 1 0 +3 +3 51 0x1547 0xddee8fcc @@ -31294,6 +39118,8 @@ 0 0 0 +5 +1 81 0xebad 0x382aa7c0 @@ -31302,6 +39128,8 @@ 1 1 0 +2 +1 19 0x9766 0x15da9378 @@ -31310,6 +39138,8 @@ 1 1 0 +0 +3 16 0xceda 0xcb2c98d @@ -31318,6 +39148,8 @@ 1 0 0 +1 +5 59 0x4a52 0xf286f332 @@ -31326,6 +39158,8 @@ 0 0 0 +5 +0 33 0xc611 0xee913b8c @@ -31334,6 +39168,8 @@ 1 1 0 +0 +3 57 0x421f 0x15aad766 @@ -31342,6 +39178,8 @@ 1 1 0 +4 +2 42 0x4474 0xf24e27d @@ -31350,6 +39188,8 @@ 0 0 0 +0 +0 76 0xc675 0x8239e679 @@ -31358,6 +39198,8 @@ 0 0 0 +0 +4 95 0xf7b8 0xa1c8dfe @@ -31366,6 +39208,8 @@ 1 0 0 +4 +3 66 0x4c66 0x4358c42c @@ -31374,6 +39218,8 @@ 0 0 0 +4 +2 25 0x5ffc 0x3ab6b558 @@ -31382,6 +39228,8 @@ 1 1 0 +0 +0 31 0x3b30 0xc2654536 @@ -31390,6 +39238,8 @@ 0 0 0 +2 +3 91 0x6738 0xe85a6050 @@ -31398,6 +39248,8 @@ 0 0 0 +0 +1 49 0xa1e 0x9d48dfbe @@ -31406,6 +39258,8 @@ 1 1 0 +1 +3 80 0x4c80 0x16889875 @@ -31414,6 +39268,8 @@ 0 0 0 +1 +1 57 0xc0c8 0xa5d10b74 @@ -31422,6 +39278,8 @@ 1 1 0 +2 +2 97 0xf87 0x383f7f70 @@ -31430,6 +39288,8 @@ 0 0 0 +5 +1 16 0x72bb 0xf68b3126 @@ -31438,6 +39298,8 @@ 0 0 0 +3 +4 44 0x723c 0x1f6fcb69 @@ -31446,6 +39308,8 @@ 0 0 0 +4 +3 95 0xb5dc 0xcf969633 @@ -31454,6 +39318,8 @@ 0 0 0 +3 +4 22 0xfbf9 0xbcaa6fc3 @@ -31462,6 +39328,8 @@ 1 0 0 +2 +2 87 0x85fd 0xbda6f4fe @@ -31470,6 +39338,8 @@ 1 1 0 +3 +5 96 0xf3f0 0xc4ffd0f7 @@ -31478,6 +39348,8 @@ 0 0 0 +3 +1 52 0xa15c 0x52a6d4eb @@ -31486,6 +39358,8 @@ 0 0 0 +1 +2 84 0xf69f 0xb654501c @@ -31494,6 +39368,8 @@ 1 1 0 +5 +3 35 0x9717 0x3d05fb7f @@ -31502,6 +39378,8 @@ 0 0 0 +5 +2 97 0x2115 0x36f2ee90 @@ -31510,6 +39388,8 @@ 0 0 0 +5 +2 23 0x38f 0x69a46f32 @@ -31518,6 +39398,8 @@ 0 0 0 +4 +2 93 0x33b6 0x8348c349 @@ -31526,6 +39408,8 @@ 1 0 0 +5 +3 43 0x6c8a 0x57cf585f @@ -31534,6 +39418,8 @@ 0 0 0 +1 +2 42 0xbfef 0xd089aad1 @@ -31542,6 +39428,8 @@ 1 1 0 +0 +2 22 0xcdb 0xb97e8ab7 @@ -31550,6 +39438,8 @@ 0 0 0 +0 +5 77 0xd1a9 0x89064d72 @@ -31558,6 +39448,8 @@ 1 0 0 +4 +5 11 0x8516 0xe95ad794 @@ -31566,6 +39458,8 @@ 1 0 0 +1 +2 100 0x14d8 0x43938a38 @@ -31574,6 +39468,8 @@ 1 0 0 +1 +0 80 0xf527 0x89c7d7f5 @@ -31582,6 +39478,8 @@ 0 0 0 +3 +5 91 0x91d2 0x2fd0d7a7 @@ -31590,6 +39488,8 @@ 0 0 0 +1 +5 53 0x1f03 0x9f3c8aaa @@ -31598,6 +39498,8 @@ 0 0 0 +4 +4 91 0x2c55 0x28e98a7c @@ -31606,6 +39508,8 @@ 0 0 0 +2 +4 63 0xa40a 0x48fb3871 @@ -31614,6 +39518,8 @@ 1 0 0 +2 +5 61 0x17ff 0xcf1a481 @@ -31622,6 +39528,8 @@ 0 0 0 +2 +4 43 0xbd2f 0xf4931d56 @@ -31630,6 +39538,8 @@ 0 0 0 +5 +2 16 0xf21 0x43482cce @@ -31638,6 +39548,8 @@ 0 0 0 +4 +4 83 0x4148 0x76adb5bb @@ -31646,6 +39558,8 @@ 0 0 0 +0 +0 92 0xad4c 0x5f2cda15 @@ -31654,6 +39568,8 @@ 0 0 0 +3 +4 48 0x72d5 0x13ef1c7 @@ -31662,6 +39578,8 @@ 1 0 0 +0 +2 65 0xf002 0x7d84f815 @@ -31670,6 +39588,8 @@ 0 0 0 +4 +1 24 0x5b04 0x12d4c61f @@ -31678,6 +39598,8 @@ 0 0 0 +0 +1 46 0x9d96 0xe58e49ee @@ -31686,6 +39608,8 @@ 1 0 0 +4 +0 25 0x7cae 0xb7e89d3e @@ -31694,6 +39618,8 @@ 0 0 0 +5 +0 31 0x20f5 0x260a9b8c @@ -31702,6 +39628,8 @@ 0 0 0 +0 +5 17 0xde84 0x9901c874 @@ -31710,6 +39638,8 @@ 0 0 0 +4 +0 98 0x8e8e 0xa31f2038 @@ -31718,6 +39648,8 @@ 1 0 0 +1 +2 29 0xfdb8 0x91698043 @@ -31726,6 +39658,8 @@ 1 0 0 +2 +2 73 0x1aa 0x1c05f79c @@ -31734,6 +39668,8 @@ 1 1 0 +3 +4 18 0x18b7 0xa5b2a5e6 @@ -31742,6 +39678,8 @@ 0 0 0 +1 +3 69 0x2bef 0x806a8694 @@ -31750,6 +39688,8 @@ 0 0 0 +3 +4 84 0x409 0x40ce432d @@ -31758,6 +39698,8 @@ 0 0 0 +5 +5 90 0x2ab4 0x1735163b @@ -31766,6 +39708,8 @@ 1 1 0 +3 +0 27 0xea9d 0x4e3b69eb @@ -31774,6 +39718,8 @@ 1 0 0 +0 +2 63 0xc8e4 0x2da92209 @@ -31782,6 +39728,8 @@ 1 1 0 +1 +3 65 0x70e4 0x302aaca6 @@ -31790,6 +39738,8 @@ 0 0 0 +1 +1 97 0xf262 0x2803702 @@ -31798,6 +39748,8 @@ 1 1 0 +1 +5 40 0x3f90 0xf1fabe81 @@ -31806,6 +39758,8 @@ 1 1 0 +2 +0 61 0xab9a 0xbdf698e3 @@ -31814,6 +39768,8 @@ 1 1 0 +5 +1 95 0x98b9 0xa7e7be01 @@ -31822,6 +39778,8 @@ 0 0 0 +0 +1 67 0x9be5 0xe3760c1b @@ -31830,6 +39788,8 @@ 1 1 0 +3 +2 68 0x8668 0xdeed0a49 @@ -31838,6 +39798,8 @@ 1 1 0 +0 +2 64 0x331f 0xb6a21f03 @@ -31846,6 +39808,8 @@ 1 1 0 +2 +5 73 0xb562 0xe46ff8c0 @@ -31854,6 +39818,8 @@ 0 0 0 +2 +1 87 0x710a 0xb44ead6d @@ -31862,6 +39828,8 @@ 1 1 0 +4 +3 33 0xea2a 0xe441aaf7 @@ -31870,6 +39838,8 @@ 1 0 0 +4 +2 18 0x1c45 0xffe503f7 @@ -31878,6 +39848,8 @@ 1 0 0 +2 +5 92 0x7a90 0x17c5aba4 @@ -31886,6 +39858,8 @@ 0 0 0 +1 +0 50 0x5a84 0x89d2a15d @@ -31894,6 +39868,8 @@ 1 0 0 +5 +1 63 0x155 0xb42a1f37 @@ -31902,6 +39878,8 @@ 0 0 0 +3 +1 33 0x365 0x5b9ff13f @@ -31910,6 +39888,8 @@ 1 0 0 +1 +3 59 0xd64a 0x6ab5bc3a @@ -31918,6 +39898,8 @@ 0 0 0 +3 +5 46 0x33fa 0x863cf941 @@ -31926,6 +39908,8 @@ 1 0 0 +4 +3 49 0xa998 0x98e409ea @@ -31934,6 +39918,8 @@ 0 0 0 +2 +0 38 0x1667 0x622bb184 @@ -31942,6 +39928,8 @@ 0 0 0 +3 +3 96 0x1322 0xbbce9b86 @@ -31950,6 +39938,8 @@ 1 1 0 +1 +1 59 0x93a2 0x5b212184 @@ -31958,6 +39948,8 @@ 0 0 0 +0 +5 96 0x624 0xff3d9ee2 @@ -31966,6 +39958,8 @@ 1 1 0 +3 +5 91 0x7b0d 0xbcd5b6c2 @@ -31974,6 +39968,8 @@ 0 0 0 +4 +0 70 0xc8ad 0x9e10e31d @@ -31982,6 +39978,8 @@ 0 0 0 +4 +0 79 0x94c7 0x41b4a960 @@ -31990,6 +39988,8 @@ 1 0 0 +3 +3 56 0x6a9d 0x1372bf77 @@ -31998,6 +39998,8 @@ 0 0 0 +1 +4 97 0x198a 0x8d740c9b @@ -32006,6 +40008,8 @@ 0 0 0 +5 +5 24 0x1e75 0xa64f5329 @@ -32014,6 +40018,8 @@ 1 0 0 +1 +0 39 0x9c4c 0xfd826ba @@ -32022,6 +40028,8 @@ 0 0 0 +2 +3 46 0xf232 0x9fa845d6 @@ -32030,6 +40038,8 @@ 1 1 0 +0 +5 37 0xb42a 0x8a3ff0b0 @@ -32038,6 +40048,8 @@ 0 0 0 +2 +2 47 0xea6b 0x5765f45e @@ -32046,6 +40058,8 @@ 1 0 0 +1 +0 93 0x1ae 0x649abf37 @@ -32054,6 +40068,8 @@ 0 0 0 +0 +2 90 0x7dde 0x5ae4abcf @@ -32062,6 +40078,8 @@ 0 0 0 +2 +1 73 0x33cd 0xbe628c9b @@ -32070,6 +40088,8 @@ 0 0 0 +1 +2 50 0x31da 0x33eb36ab @@ -32078,6 +40098,8 @@ 1 0 0 +3 +4 73 0x1c5 0x19bae18 @@ -32086,6 +40108,8 @@ 0 0 0 +3 +1 78 0xf983 0x4f499ee0 @@ -32094,6 +40118,8 @@ 0 0 0 +5 +1 56 0xf71f 0xf5f31441 @@ -32102,6 +40128,8 @@ 1 0 0 +5 +1 95 0x22d4 0x99e35eb7 @@ -32110,6 +40138,8 @@ 0 0 0 +0 +0 58 0x7d3a 0x40d5df4c @@ -32118,6 +40148,8 @@ 1 1 0 +5 +5 82 0x74c4 0xffcaa4e6 @@ -32126,6 +40158,8 @@ 1 0 0 +2 +5 29 0x8cc6 0x336159b3 @@ -32134,6 +40168,8 @@ 0 0 0 +3 +3 95 0xe061 0x38935c18 @@ -32142,6 +40178,8 @@ 0 0 0 +4 +2 19 0x9260 0xd0908da1 @@ -32150,6 +40188,8 @@ 0 0 0 +1 +4 77 0x1e02 0xb5698ec5 @@ -32158,6 +40198,8 @@ 0 0 0 +3 +1 90 0x3382 0x16774325 @@ -32166,6 +40208,8 @@ 0 0 0 +4 +0 70 0xede8 0xdce69e0b @@ -32174,6 +40218,8 @@ 0 0 0 +0 +1 21 0xa280 0xee8d0a2e @@ -32182,6 +40228,8 @@ 1 0 0 +0 +0 35 0x8a69 0x7934826e @@ -32190,6 +40238,8 @@ 0 0 0 +5 +0 65 0x4433 0xcc7c88fb @@ -32198,6 +40248,8 @@ 0 0 0 +4 +4 70 0x44a7 0xf4fc279e @@ -32206,6 +40258,8 @@ 0 0 0 +0 +1 59 0x1775 0xa7f49eea @@ -32214,6 +40268,8 @@ 1 0 0 +3 +2 40 0x1d25 0x57ee9a70 @@ -32222,6 +40278,8 @@ 1 1 0 +4 +1 49 0xc8b5 0x3158384e @@ -32230,6 +40288,8 @@ 0 0 0 +0 +5 34 0x4b72 0x853f648d @@ -32238,6 +40298,8 @@ 1 1 0 +2 +0 93 0x87a4 0xe20b15a7 @@ -32246,6 +40308,8 @@ 0 0 0 +4 +3 20 0xafbd 0x5ed6706a @@ -32254,6 +40318,8 @@ 0 0 0 +2 +0 43 0xd845 0x4bc577ca @@ -32262,6 +40328,8 @@ 0 0 0 +0 +5 33 0x117 0x5c0ca124 @@ -32270,6 +40338,8 @@ 0 0 0 +2 +3 24 0xd706 0x68b4a70d @@ -32278,6 +40348,8 @@ 0 0 0 +3 +0 92 0x2646 0xb4ecc0c @@ -32286,6 +40358,8 @@ 0 0 0 +5 +0 82 0x8b6e 0x85fbbe61 @@ -32294,6 +40368,8 @@ 0 0 0 +3 +0 15 0xc455 0x7dea1a6b @@ -32302,6 +40378,8 @@ 1 0 0 +0 +1 22 0xe6ff 0x7a915f67 @@ -32310,6 +40388,8 @@ 0 0 0 +3 +3 15 0xb2b 0x56ddeb64 @@ -32318,6 +40398,8 @@ 0 0 0 +5 +0 61 0x96be 0xf4c72d06 @@ -32326,6 +40408,8 @@ 0 0 0 +3 +5 72 0xf875 0x6f9a6945 @@ -32334,6 +40418,8 @@ 0 0 0 +5 +3 12 0x8a6b 0x994d53bd @@ -32342,6 +40428,8 @@ 0 0 0 +2 +5 46 0xb6cd 0x7929920c @@ -32350,6 +40438,8 @@ 0 0 0 +3 +0 16 0x126e 0x2e901b16 @@ -32358,6 +40448,8 @@ 1 1 0 +3 +0 50 0x5930 0xd7da97da @@ -32366,6 +40458,8 @@ 0 0 0 +1 +0 66 0x6625 0x288d89a2 @@ -32374,6 +40468,8 @@ 1 0 0 +1 +5 55 0xd338 0x8d95631b @@ -32382,6 +40478,8 @@ 0 0 0 +2 +0 79 0x2813 0x32eb848e @@ -32390,6 +40488,8 @@ 0 0 0 +2 +2 11 0x1640 0xae90fcc0 @@ -32398,6 +40498,8 @@ 0 0 0 +5 +2 42 0x2e9c 0x4d6e6dc5 @@ -32406,6 +40508,8 @@ 0 0 0 +1 +5 72 0xf3df 0x72f2b85b @@ -32414,6 +40518,8 @@ 1 0 0 +2 +4 12 0x2b07 0xa7a97cce @@ -32422,6 +40528,8 @@ 0 0 0 +4 +1 74 0xe5e3 0xabeaa65c @@ -32430,6 +40538,8 @@ 1 0 0 +0 +2 57 0x7f2e 0xde394964 @@ -32438,6 +40548,8 @@ 0 0 0 +0 +0 39 0x1c31 0x4b118110 @@ -32446,6 +40558,8 @@ 1 0 0 +2 +4 36 0x131b 0x42c5b62 @@ -32454,6 +40568,8 @@ 0 0 0 +3 +0 80 0xe97a 0xbaaea3e5 @@ -32462,6 +40578,8 @@ 0 0 0 +1 +3 61 0x8c41 0xa752107b @@ -32470,6 +40588,8 @@ 1 1 0 +5 +4 22 0xf716 0xd839c096 @@ -32478,6 +40598,8 @@ 0 0 0 +3 +3 95 0x549a 0x444271ec @@ -32486,6 +40608,8 @@ 0 0 0 +5 +5 30 0x74a5 0xc2de1034 @@ -32494,6 +40618,8 @@ 0 0 0 +3 +0 56 0x6464 0xb69d1023 @@ -32502,6 +40628,8 @@ 0 0 0 +1 +0 99 0xa25b 0xc5d3956a @@ -32510,6 +40638,8 @@ 0 0 0 +5 +2 53 0x8b15 0x15737f27 @@ -32518,6 +40648,8 @@ 1 1 0 +4 +5 74 0x8b2e 0xdc289b02 @@ -32526,6 +40658,8 @@ 1 1 0 +0 +2 57 0x568f 0x1d80b526 @@ -32534,6 +40668,8 @@ 1 0 0 +1 +2 34 0x91a1 0x7d4e8a9f @@ -32542,6 +40678,8 @@ 0 0 0 +4 +0 11 0x6aee 0xce110896 @@ -32550,6 +40688,8 @@ 0 0 0 +0 +3 65 0x5c4 0x32697444 @@ -32558,6 +40698,8 @@ 0 0 0 +2 +1 75 0x176f 0xe48f6275 @@ -32566,6 +40708,8 @@ 0 0 0 +2 +0 16 0x4410 0x622d50bb @@ -32574,6 +40718,8 @@ 1 0 0 +1 +3 75 0x7098 0xa212e1d4 @@ -32582,6 +40728,8 @@ 0 0 0 +0 +2 93 0x5103 0xa3226b3 @@ -32590,6 +40738,8 @@ 0 0 0 +5 +5 85 0x2783 0x1cd11eaa @@ -32598,6 +40748,8 @@ 0 0 0 +1 +1 70 0xa3b3 0xcc905332 @@ -32606,6 +40758,8 @@ 1 0 0 +1 +0 11 0xe1af 0xe4c7aa10 @@ -32614,6 +40768,8 @@ 0 0 0 +3 +2 100 0x4057 0x775b2317 @@ -32622,6 +40778,8 @@ 1 1 0 +3 +5 60 0x7f77 0x2bb9bb68 @@ -32630,6 +40788,8 @@ 1 0 0 +0 +2 37 0xbfda 0x25a1f51e @@ -32638,6 +40798,8 @@ 1 0 0 +2 +5 47 0x9175 0xf3ffd57d @@ -32646,6 +40808,8 @@ 1 0 0 +5 +0 58 0xc951 0x17ac55df @@ -32654,6 +40818,8 @@ 0 0 0 +2 +1 92 0x97cc 0x8a0d4b36 @@ -32662,6 +40828,8 @@ 1 1 0 +2 +1 19 0x2b84 0x9fa07ecc @@ -32670,6 +40838,8 @@ 0 0 0 +4 +3 94 0xfa68 0x7ffca740 @@ -32678,6 +40848,8 @@ 1 1 0 +2 +2 31 0xe3cc 0xbadd0f41 @@ -32686,6 +40858,8 @@ 1 1 0 +4 +4 88 0x3eb6 0x143f1de2 @@ -32694,6 +40868,8 @@ 0 0 0 +5 +4 74 0xd6c2 0x3a4c8816 @@ -32702,6 +40878,8 @@ 1 1 0 +2 +0 63 0x7fdc 0xa22dab3a @@ -32710,6 +40888,8 @@ 1 1 0 +4 +3 67 0x63e2 0x1ac5c15f @@ -32718,6 +40898,8 @@ 0 0 0 +5 +4 85 0xf52d 0x47f7db79 @@ -32726,6 +40908,8 @@ 0 0 0 +0 +2 74 0x4684 0xd0fbe39f @@ -32734,6 +40918,8 @@ 0 0 0 +5 +2 31 0x4eb4 0xcb93f20f @@ -32742,6 +40928,8 @@ 0 0 0 +2 +1 93 0xfc38 0xb96b6965 @@ -32750,6 +40938,8 @@ 0 0 0 +3 +3 87 0x1ed8 0x76a54e6b @@ -32758,6 +40948,8 @@ 0 0 0 +4 +2 58 0xaaae 0xf62671df @@ -32766,6 +40958,8 @@ 0 0 0 +3 +5 81 0xe3f6 0x34ae57c4 @@ -32774,6 +40968,8 @@ 1 0 0 +1 +3 56 0x3b58 0x330d1b3c @@ -32782,6 +40978,8 @@ 0 0 0 +0 +4 32 0x5fdf 0x9507a4a2 @@ -32790,6 +40988,8 @@ 1 0 0 +0 +2 78 0x2f0 0xe1e194c5 @@ -32798,6 +40998,8 @@ 0 0 0 +3 +4 34 0x405e 0xd34a090c @@ -32806,6 +41008,8 @@ 1 0 0 +3 +0 19 0x8df4 0xf487b852 @@ -32814,6 +41018,8 @@ 1 1 0 +2 +0 16 0xf03f 0xd97deea4 @@ -32822,6 +41028,8 @@ 0 0 0 +1 +5 94 0xb098 0x83796faf @@ -32830,6 +41038,8 @@ 0 0 0 +3 +3 36 0xd113 0xb0b74950 @@ -32838,6 +41048,8 @@ 0 0 0 +2 +5 57 0xdede 0x3760a39d @@ -32846,6 +41058,8 @@ 0 0 0 +0 +1 56 0xd48c 0xf9432a7 @@ -32854,6 +41068,8 @@ 1 1 0 +5 +2 82 0x7f9f 0xa3e722c0 @@ -32862,6 +41078,8 @@ 1 0 0 +1 +0 42 0xb27c 0xd711f11a @@ -32870,6 +41088,8 @@ 1 0 0 +0 +1 63 0x8e08 0x543ace95 @@ -32878,6 +41098,8 @@ 1 1 0 +3 +3 87 0xc0b1 0xa1f236c8 @@ -32886,6 +41108,8 @@ 0 0 0 +4 +0 40 0xe0bc 0x3720196f @@ -32894,6 +41118,8 @@ 0 0 0 +2 +0 13 0x7379 0x333a0174 @@ -32902,6 +41128,8 @@ 1 0 0 +3 +5 93 0x7ea2 0xb1289fc4 @@ -32910,6 +41138,8 @@ 0 0 0 +0 +0 75 0x5a3c 0x9014b80a @@ -32918,6 +41148,8 @@ 0 0 0 +4 +1 19 0x3046 0x99474d84 @@ -32926,6 +41158,8 @@ 1 1 0 +4 +3 86 0xd6e 0x23f49959 @@ -32934,6 +41168,8 @@ 0 0 0 +2 +0 80 0x5993 0x5dee9e12 @@ -32942,6 +41178,8 @@ 1 1 0 +2 +0 30 0x23ff 0x8827ef2c @@ -32950,6 +41188,8 @@ 0 0 0 +0 +5 71 0x5cff 0x3d1a1b3a @@ -32958,6 +41198,8 @@ 1 1 0 +3 +4 50 0x86e2 0xc3fcafb @@ -32966,6 +41208,8 @@ 0 0 0 +2 +1 96 0x3aae 0x7fdfeb6e @@ -32974,6 +41218,8 @@ 0 0 0 +2 +3 96 0x920c 0x118577b1 @@ -32982,6 +41228,8 @@ 1 0 0 +1 +1 40 0x2dd2 0x148a33ec @@ -32990,6 +41238,8 @@ 0 0 0 +1 +5 67 0xf79f 0x50566597 @@ -32998,6 +41248,8 @@ 1 0 0 +4 +3 53 0xc860 0x6e591844 @@ -33006,6 +41258,8 @@ 0 0 0 +4 +3 40 0xcde8 0x4ec3abd5 @@ -33014,6 +41268,8 @@ 0 0 0 +1 +5 94 0x7a2b 0x5577c61 @@ -33022,6 +41278,8 @@ 0 0 0 +1 +5 70 0x2a69 0x12bc4a9c @@ -33030,6 +41288,8 @@ 1 0 0 +2 +4 24 0x320e 0x574a9e0a @@ -33038,6 +41298,8 @@ 1 0 0 +4 +2 13 0xdde1 0xf57407e4 @@ -33046,6 +41308,8 @@ 1 1 0 +3 +4 36 0x6cad 0x29109028 @@ -33054,6 +41318,8 @@ 0 0 0 +0 +1 98 0x257d 0xbd5a1ead @@ -33062,6 +41328,8 @@ 1 1 0 +2 +3 88 0xa966 0x1dba72b8 @@ -33070,6 +41338,8 @@ 0 0 0 +3 +1 29 0xb1f5 0x7bb346a1 @@ -33078,6 +41348,8 @@ 1 0 0 +1 +4 94 0x1da8 0x5739676c @@ -33086,6 +41358,8 @@ 0 0 0 +2 +4 81 0xd493 0x415bdd58 @@ -33094,6 +41368,8 @@ 1 0 0 +3 +5 76 0x667 0xd1f4f367 @@ -33102,6 +41378,8 @@ 1 1 0 +1 +2 71 0xecaf 0x602a809d @@ -33110,6 +41388,8 @@ 1 0 0 +0 +3 34 0x2722 0xdebada3 @@ -33118,6 +41398,8 @@ 0 0 0 +5 +1 19 0x9dc8 0x708381a7 @@ -33126,6 +41408,8 @@ 0 0 0 +0 +0 74 0x8018 0x1402080f @@ -33134,6 +41418,8 @@ 0 0 0 +0 +0 93 0x6d19 0xc6711759 @@ -33142,6 +41428,8 @@ 1 0 0 +2 +4 31 0xb709 0x4b4641db @@ -33150,6 +41438,8 @@ 0 0 0 +2 +2 19 0xdd8 0xdf3b9afa @@ -33158,6 +41448,8 @@ 1 1 0 +4 +1 32 0x9622 0x5fc4b7e8 @@ -33166,6 +41458,8 @@ 0 0 0 +5 +0 62 0xae61 0xec5dc0c @@ -33174,6 +41468,8 @@ 0 0 0 +4 +5 14 0x19e6 0x549872a7 @@ -33182,6 +41478,8 @@ 1 0 0 +1 +2 91 0x2b6c 0xb4d5078f @@ -33190,6 +41488,8 @@ 1 1 0 +3 +4 11 0x272b 0xcfbca7c @@ -33198,6 +41498,8 @@ 1 1 0 +1 +0 98 0x3ad9 0x91e5ca8f @@ -33206,6 +41508,8 @@ 0 0 0 +3 +0 62 0x862d 0x87d8d000 @@ -33214,6 +41518,8 @@ 0 0 0 +0 +1 15 0x8877 0x953fd1a3 @@ -33222,6 +41528,8 @@ 1 1 0 +2 +0 98 0xdce6 0x2e789b21 @@ -33230,6 +41538,8 @@ 1 1 0 +4 +0 27 0xa402 0x85a7f5b3 @@ -33238,6 +41548,8 @@ 1 0 0 +2 +1 69 0x6eb4 0x2bf574f2 @@ -33246,6 +41558,8 @@ 1 1 0 +2 +0 94 0x158 0xd57127d4 @@ -33254,6 +41568,8 @@ 1 0 0 +5 +0 100 0x9620 0x31e05fd8 @@ -33262,6 +41578,8 @@ 0 0 0 +2 +3 15 0xd761 0x9c398b32 @@ -33270,6 +41588,8 @@ 0 0 0 +5 +5 90 0x7af8 0x4692d23e @@ -33278,6 +41598,8 @@ 0 0 0 +2 +5 59 0x3afd 0xbb77e1f7 @@ -33286,6 +41608,8 @@ 0 0 0 +0 +3 66 0xf9a8 0xefb12bb2 @@ -33294,6 +41618,8 @@ 1 0 0 +0 +5 100 0x6552 0xd66aa1e5 @@ -33302,6 +41628,8 @@ 0 0 0 +2 +4 60 0x9891 0xb1cbfca @@ -33310,6 +41638,8 @@ 1 0 0 +3 +4 58 0x2254 0x6ee634b @@ -33318,6 +41648,8 @@ 1 0 0 +3 +4 81 0x8922 0xd7deb53f @@ -33326,6 +41658,8 @@ 0 0 0 +2 +3 30 0xb730 0x36343169 @@ -33334,6 +41668,8 @@ 0 0 0 +4 +0 43 0x5ecb 0x2ce74e2d @@ -33342,6 +41678,8 @@ 1 1 0 +5 +4 66 0xf930 0xa8dfd4b8 @@ -33350,6 +41688,8 @@ 0 0 0 +5 +2 93 0xd637 0x337a470d @@ -33358,6 +41698,8 @@ 1 1 0 +0 +1 66 0x1fd0 0xcaf5013d @@ -33366,6 +41708,8 @@ 0 0 0 +2 +5 66 0x6d96 0x1e33b442 @@ -33374,6 +41718,8 @@ 1 0 0 +4 +0 47 0xd60f 0x537546a1 @@ -33382,6 +41728,8 @@ 0 0 0 +2 +2 26 0xbc16 0x4816570b @@ -33390,6 +41738,8 @@ 1 1 0 +5 +3 30 0x3601 0xf6b4dc63 @@ -33398,6 +41748,8 @@ 1 1 0 +0 +1 63 0x9a65 0x90c6f532 @@ -33406,6 +41758,8 @@ 1 1 0 +4 +4 18 0xdaf8 0xfa87c6f0 @@ -33414,6 +41768,8 @@ 1 1 0 +3 +4 49 0xf95e 0xbec4c638 @@ -33422,6 +41778,8 @@ 1 1 0 +1 +0 81 0x1254 0x87230590 @@ -33430,6 +41788,8 @@ 1 1 0 +1 +4 48 0x30fa 0xe95143ed @@ -33438,6 +41798,8 @@ 0 0 0 +4 +0 46 0xb9bc 0x9ffe22e4 @@ -33446,6 +41808,8 @@ 0 0 0 +2 +4 91 0x2f15 0xd69d9d11 @@ -33454,6 +41818,8 @@ 0 0 0 +0 +0 48 0xc936 0x3b270178 @@ -33462,6 +41828,8 @@ 1 1 0 +2 +1 100 0x947a 0xbb79179 @@ -33470,6 +41838,8 @@ 1 0 0 +5 +2 79 0x533f 0xac582afb @@ -33478,6 +41848,8 @@ 1 1 0 +0 +3 10 0x17dd 0x5a5f9286 @@ -33486,6 +41858,8 @@ 0 0 0 +3 +2 10 0x893b 0x947161f1 @@ -33494,6 +41868,8 @@ 0 0 0 +1 +0 67 0xd20 0x6690fecd @@ -33502,6 +41878,8 @@ 0 0 0 +0 +2 72 0x41c1 0xd4a1554b @@ -33510,6 +41888,8 @@ 1 0 0 +2 +3 10 0xda5c 0xf2d513a4 @@ -33518,6 +41898,8 @@ 0 0 0 +2 +5 55 0xd1b9 0x6fcf7627 @@ -33526,6 +41908,8 @@ 0 0 0 +5 +1 11 0xf5ed 0xb02c1b9a @@ -33534,6 +41918,8 @@ 1 0 0 +3 +5 12 0xb890 0xec48cceb @@ -33542,6 +41928,8 @@ 1 1 0 +4 +2 64 0x6898 0x8fe9adfd @@ -33550,6 +41938,8 @@ 1 1 0 +5 +5 36 0x18eb 0x76f3f550 @@ -33558,6 +41948,8 @@ 0 0 0 +1 +5 72 0xc49c 0x9835f981 @@ -33566,6 +41958,8 @@ 0 0 0 +4 +2 25 0x4479 0x126f0c90 @@ -33574,6 +41968,8 @@ 0 0 0 +0 +4 95 0x7c80 0x757cc01 @@ -33582,6 +41978,8 @@ 1 0 0 +1 +2 85 0xd059 0x1239bc1c @@ -33590,6 +41988,8 @@ 1 1 0 +3 +0 22 0xd86e 0x1b6042f8 @@ -33598,6 +41998,8 @@ 1 0 0 +4 +3 81 0x40ce 0xb4b23414 @@ -33606,6 +42008,8 @@ 1 1 0 +2 +5 59 0x3e44 0x36df89d9 @@ -33614,6 +42018,8 @@ 1 1 0 +2 +1 38 0x343b 0x24280b0c @@ -33622,6 +42028,8 @@ 1 1 0 +2 +2 75 0xc8d1 0xa301eb28 @@ -33630,6 +42038,8 @@ 1 1 0 +3 +1 62 0x85ab 0x754e62b7 @@ -33638,6 +42048,8 @@ 0 0 0 +4 +0 15 0xc5ba 0x17606af3 @@ -33646,6 +42058,8 @@ 1 0 0 +3 +3 100 0x2ed2 0xa5da8573 @@ -33654,6 +42068,8 @@ 0 0 0 +3 +2 69 0x5449 0x3c646bb0 @@ -33662,6 +42078,8 @@ 1 0 0 +4 +1 56 0xb408 0xacd5fc50 @@ -33670,6 +42088,8 @@ 1 0 0 +4 +1 71 0x1bb6 0x8355ab21 @@ -33678,6 +42098,8 @@ 0 0 0 +2 +5 35 0x613a 0x4942c32f @@ -33686,6 +42108,8 @@ 0 0 0 +0 +0 25 0x7ec 0xb56229f7 @@ -33694,6 +42118,8 @@ 0 0 0 +5 +0 88 0x9df7 0x1145c087 @@ -33702,6 +42128,8 @@ 0 0 0 +2 +0 55 0x9fdd 0x740d7d8c @@ -33710,6 +42138,8 @@ 0 0 0 +2 +0 38 0x1c18 0x89048f13 @@ -33718,6 +42148,8 @@ 1 1 0 +4 +5 98 0xcab6 0x85325f03 @@ -33726,6 +42158,8 @@ 0 0 0 +5 +3 79 0x14a0 0xff2c48f0 @@ -33734,6 +42168,8 @@ 1 0 0 +3 +5 93 0xeaa2 0x4ad82053 @@ -33742,6 +42178,8 @@ 1 0 0 +2 +2 47 0x7771 0x1654bd03 @@ -33750,6 +42188,8 @@ 1 0 0 +3 +2 68 0xd065 0x9b5aa762 @@ -33758,6 +42198,8 @@ 0 0 0 +5 +1 59 0xdd69 0xa99f4775 @@ -33766,6 +42208,8 @@ 0 0 0 +5 +4 49 0x4dd8 0xb17e139d @@ -33774,6 +42218,8 @@ 0 0 0 +5 +0 82 0x4849 0x17ec3b44 @@ -33782,6 +42228,8 @@ 0 0 0 +4 +2 52 0x4df 0x155d409 @@ -33790,6 +42238,8 @@ 0 0 0 +3 +3 52 0xc3a7 0x81c5e11 @@ -33798,6 +42248,8 @@ 0 0 0 +3 +2 67 0x9f89 0xdffba766 @@ -33806,6 +42258,8 @@ 1 0 0 +4 +5 93 0x4ffc 0x72032f1e @@ -33814,6 +42268,8 @@ 0 0 0 +3 +0 30 0xe27f 0xfe30e6b6 @@ -33822,6 +42278,8 @@ 1 0 0 +5 +1 64 0x8b7e 0xd1987e7a @@ -33830,6 +42288,8 @@ 0 0 0 +1 +0 24 0xe4df 0xeaae01ec @@ -33838,6 +42298,8 @@ 1 1 0 +3 +3 58 0x979a 0x95b8ef93 @@ -33846,6 +42308,8 @@ 1 1 0 +1 +0 23 0xe46 0x2ba9de5e @@ -33854,6 +42318,8 @@ 1 1 0 +0 +4 59 0x8023 0xc0db1c48 @@ -33862,6 +42328,8 @@ 1 1 0 +4 +3 23 0x2235 0xbb157628 @@ -33870,6 +42338,8 @@ 1 0 0 +4 +5 92 0x34ab 0xd91b386b @@ -33878,6 +42348,8 @@ 0 0 0 +3 +3 75 0x1168 0xaba8b0b3 @@ -33886,6 +42358,8 @@ 0 0 0 +3 +1 27 0xcbaf 0xd9150e2d @@ -33894,6 +42368,8 @@ 1 1 0 +1 +4 55 0x5971 0x719e0bf0 @@ -33902,6 +42378,8 @@ 0 0 0 +2 +3 61 0xddab 0x99c32f0b @@ -33910,6 +42388,8 @@ 0 0 0 +2 +5 64 0x29b0 0x3142506e @@ -33918,6 +42398,8 @@ 0 0 0 +0 +1 38 0xec21 0x6544f19e @@ -33926,6 +42408,8 @@ 1 1 0 +4 +0 25 0x902 0xf3f4057e @@ -33934,6 +42418,8 @@ 0 0 0 +3 +4 64 0x55ec 0x201779c5 @@ -33942,6 +42428,8 @@ 1 1 0 +4 +1 13 0xcf53 0x95b8f26f @@ -33950,6 +42438,8 @@ 1 1 0 +1 +5 36 0xdf9d 0x516feb6c @@ -33958,6 +42448,8 @@ 0 0 0 +5 +3 95 0xbd82 0xb7ab7c72 @@ -33966,6 +42458,8 @@ 1 0 0 +1 +3 74 0xcd3c 0x99135308 @@ -33974,6 +42468,8 @@ 0 0 0 +1 +0 48 0xd38c 0x2a1d94f7 @@ -33982,6 +42478,8 @@ 0 0 0 +2 +1 69 0x4a90 0x176a6bc3 @@ -33990,6 +42488,8 @@ 1 0 0 +5 +5 74 0x7669 0xee8681b5 @@ -33998,6 +42498,8 @@ 1 1 0 +0 +5 47 0x7c3d 0xd4fd1d4f @@ -34006,6 +42508,8 @@ 0 0 0 +2 +4 54 0xae14 0x54513540 @@ -34014,6 +42518,8 @@ 1 0 0 +2 +0 65 0x94c6 0xf7b02a33 @@ -34022,6 +42528,8 @@ 1 1 0 +5 +3 60 0xb846 0x23d6c2c1 @@ -34030,6 +42538,8 @@ 0 0 0 +3 +5 33 0x4c7f 0x5b5a400 @@ -34038,6 +42548,8 @@ 1 1 0 +3 +4 44 0xff86 0xdfdb80df @@ -34046,6 +42558,8 @@ 1 1 0 +2 +0 49 0x1c22 0x66291b0a @@ -34054,6 +42568,8 @@ 1 1 0 +1 +3 67 0x4825 0xbd0074c1 @@ -34062,6 +42578,8 @@ 0 0 0 +2 +5 61 0xaa29 0x4702399a @@ -34070,6 +42588,8 @@ 1 0 0 +5 +1 49 0xc68f 0x7d0d13ac @@ -34078,6 +42598,8 @@ 1 1 0 +3 +1 36 0xc297 0xd6a63765 @@ -34086,6 +42608,8 @@ 1 1 0 +5 +0 26 0xdb74 0x6e9c1299 @@ -34094,6 +42618,8 @@ 1 0 0 +5 +5 39 0x8d0a 0xf0011852 @@ -34102,6 +42628,8 @@ 0 0 0 +4 +0 72 0x607f 0x172238a3 @@ -34110,6 +42638,8 @@ 0 0 0 +2 +4 18 0x3123 0xe8497783 @@ -34118,6 +42648,8 @@ 1 0 0 +0 +0 43 0xc366 0xd625ed45 @@ -34126,6 +42658,8 @@ 1 0 0 +0 +2 72 0xe56f 0x652f4973 @@ -34134,6 +42668,8 @@ 0 0 0 +3 +1 88 0xd9d8 0xc2609381 @@ -34142,6 +42678,8 @@ 1 0 0 +5 +2 72 0xfae9 0x7bec1939 @@ -34150,6 +42688,8 @@ 0 0 0 +0 +4 83 0xcdfe 0xc7518ea1 @@ -34158,6 +42698,8 @@ 0 0 0 +2 +2 23 0x86ee 0x65354330 @@ -34166,6 +42708,8 @@ 0 0 0 +4 +1 28 0xb32 0xf2b73d3 @@ -34174,6 +42718,8 @@ 0 0 0 +2 +0 58 0x60a1 0xa9fb2aec @@ -34182,6 +42728,8 @@ 0 0 0 +5 +0 82 0x442c 0x1e8ba879 @@ -34190,6 +42738,8 @@ 1 0 0 +0 +3 37 0xd651 0xe81ecb56 @@ -34198,6 +42748,8 @@ 0 0 0 +0 +0 38 0xb025 0x3f360a5d @@ -34206,6 +42758,8 @@ 0 0 0 +1 +4 28 0x6339 0x465e8e82 @@ -34214,6 +42768,8 @@ 1 1 0 +3 +4 71 0x5bba 0xe36d4fd2 @@ -34222,6 +42778,8 @@ 0 0 0 +0 +3 37 0xe41a 0xd37fbed @@ -34230,6 +42788,8 @@ 1 1 0 +1 +1 74 0x2122 0x961d6219 @@ -34238,6 +42798,8 @@ 0 0 0 +1 +3 96 0xd5d0 0x65972351 @@ -34246,6 +42808,8 @@ 0 0 0 +2 +1 44 0x147d 0x29145f2d @@ -34254,6 +42818,8 @@ 0 0 0 +0 +1 41 0xf99b 0xe3c6c731 @@ -34262,6 +42828,8 @@ 1 0 0 +1 +2 42 0xccb9 0xefb24042 @@ -34270,6 +42838,8 @@ 1 1 0 +1 +3 13 0xe143 0xb0847e59 @@ -34278,6 +42848,8 @@ 0 0 0 +3 +3 11 0xad2b 0xda1a24a3 @@ -34286,6 +42858,8 @@ 1 1 0 +5 +2 25 0x2145 0xd40c82bc @@ -34294,6 +42868,8 @@ 1 0 0 +1 +2 25 0x3bbd 0x1f0466c7 @@ -34302,6 +42878,8 @@ 0 0 0 +2 +0 34 0x3445 0x64067b5c @@ -34310,6 +42888,8 @@ 0 0 0 +0 +1 22 0x7fbe 0x27d7bc0 @@ -34318,6 +42898,8 @@ 1 1 0 +0 +2 70 0x3b32 0x75b54871 @@ -34326,6 +42908,8 @@ 1 1 0 +3 +3 83 0x81b4 0x5c40c861 @@ -34334,6 +42918,8 @@ 1 1 0 +2 +2 24 0x927c 0x7ea9dcd0 @@ -34342,6 +42928,8 @@ 1 1 0 +2 +4 39 0x65c5 0x27a71b9e @@ -34350,6 +42938,8 @@ 0 0 0 +5 +2 34 0xd4b4 0x1669647d @@ -34358,6 +42948,8 @@ 0 0 0 +4 +1 46 0x2954 0xdda3d1a0 @@ -34366,6 +42958,8 @@ 0 0 0 +1 +3 49 0x1154 0x20cff9c8 @@ -34374,6 +42968,8 @@ 1 1 0 +4 +3 51 0xfb1 0xf6eae570 @@ -34382,6 +42978,8 @@ 1 1 0 +0 +0 51 0x7333 0x9ecf568f @@ -34390,6 +42988,8 @@ 0 0 0 +0 +1 32 0xace2 0xee1ce1b9 @@ -34398,6 +42998,8 @@ 1 1 0 +3 +2 32 0xd4a3 0x41bdf330 @@ -34406,6 +43008,8 @@ 1 0 0 +4 +1 75 0xf180 0x2f103bd9 @@ -34414,6 +43018,8 @@ 0 0 0 +1 +4 28 0x150c 0xd13d8cc8 @@ -34422,6 +43028,8 @@ 1 0 0 +1 +2 89 0x802d 0xf4bb57f0 @@ -34430,6 +43038,8 @@ 1 0 0 +0 +2 66 0x909d 0x24d8326c @@ -34438,6 +43048,8 @@ 0 0 0 +5 +3 10 0xaffd 0x426f6637 @@ -34446,6 +43058,8 @@ 1 1 0 +3 +1 42 0x568f 0x37531840 @@ -34454,6 +43068,8 @@ 1 0 0 +0 +0 94 0xed6f 0x368d4af3 @@ -34462,6 +43078,8 @@ 0 0 0 +5 +2 55 0x209f 0xd16d7908 @@ -34470,6 +43088,8 @@ 0 0 0 +5 +5 18 0xd3cd 0x32b9c5e7 @@ -34478,6 +43098,8 @@ 1 1 0 +4 +2 27 0xe055 0xeb3c4eb2 @@ -34486,6 +43108,8 @@ 0 0 0 +5 +4 67 0x409 0x4811cd7e @@ -34494,6 +43118,8 @@ 1 1 0 +5 +1 54 0x5b78 0xf2404407 @@ -34502,6 +43128,8 @@ 1 1 0 +0 +5 61 0xea58 0x49a3cd3a @@ -34510,6 +43138,8 @@ 0 0 0 +1 +4 40 0xdabc 0x7b2a97b4 @@ -34518,6 +43148,8 @@ 1 1 0 +1 +1 87 0xdabe 0x9906d6ec @@ -34526,6 +43158,8 @@ 0 0 0 +2 +3 76 0xa9e5 0x9edb584d @@ -34534,6 +43168,8 @@ 0 0 0 +4 +2 74 0x9316 0x84829928 @@ -34542,6 +43178,8 @@ 0 0 0 +2 +1 46 0x80f3 0xe5952cd8 @@ -34550,6 +43188,8 @@ 1 1 0 +2 +2 82 0xbc6c 0x77e62c8e @@ -34558,6 +43198,8 @@ 1 0 0 +1 +2 97 0x73f7 0x42e38dbe @@ -34566,6 +43208,8 @@ 0 0 0 +3 +2 56 0xdcaa 0xa77bdd46 @@ -34574,6 +43218,8 @@ 1 0 0 +5 +5 53 0xfaa0 0x8191c8cd @@ -34582,6 +43228,8 @@ 1 0 0 +5 +0 55 0x23b2 0x9cf9d13 @@ -34590,6 +43238,8 @@ 1 1 0 +1 +5 51 0x418a 0x43422e1b @@ -34598,6 +43248,8 @@ 0 0 0 +5 +0 83 0xcc5b 0x13d3d05c @@ -34606,6 +43258,8 @@ 1 1 0 +5 +3 33 0x3f5f 0x17cc31ae @@ -34614,6 +43268,8 @@ 0 0 0 +0 +4 47 0xf199 0x364973e2 @@ -34622,6 +43278,8 @@ 0 0 0 +1 +2 29 0x4149 0x838f3d1c @@ -34630,6 +43288,8 @@ 0 0 0 +2 +1 62 0xb186 0x957d28f @@ -34638,6 +43298,8 @@ 1 1 0 +5 +3 100 0xcd8f 0xf1626d5a @@ -34646,6 +43308,8 @@ 0 0 0 +4 +4 74 0xb610 0x532c6658 @@ -34654,6 +43318,8 @@ 1 1 0 +5 +4 78 0xcb62 0xb5328f65 @@ -34662,6 +43328,8 @@ 1 0 0 +3 +3 74 0x6d50 0xf4cd714b @@ -34670,6 +43338,8 @@ 0 0 0 +0 +1 92 0x8653 0xe786b7b0 @@ -34678,6 +43348,8 @@ 0 0 0 +1 +1 22 0x23d7 0x2d905f8d @@ -34686,6 +43358,8 @@ 0 0 0 +1 +2 37 0xca08 0x48834ea8 @@ -34694,6 +43368,8 @@ 1 1 0 +4 +1 78 0x5e45 0x3701fd8e @@ -34702,6 +43378,8 @@ 1 1 0 +5 +4 85 0xa44b 0xe3c324e8 @@ -34710,6 +43388,8 @@ 0 0 0 +2 +1 71 0x48cf 0x8915f85d @@ -34718,6 +43398,8 @@ 1 1 0 +0 +2 76 0xca46 0x368c7d60 @@ -34726,6 +43408,8 @@ 0 0 0 +0 +3 84 0x93df 0x4528219b @@ -34734,6 +43418,8 @@ 0 0 0 +3 +2 72 0x4f1 0xa7f27f83 @@ -34742,6 +43428,8 @@ 0 0 0 +1 +1 32 0xf5ea 0x9e292642 @@ -34750,6 +43438,8 @@ 0 0 0 +3 +0 52 0xd3ca 0x66ec7c99 @@ -34758,6 +43448,8 @@ 1 0 0 +5 +5 11 0x2f13 0x466a088f @@ -34766,6 +43458,8 @@ 0 0 0 +5 +0 73 0xf920 0x71d34b15 @@ -34774,6 +43468,8 @@ 0 0 0 +3 +1 57 0x4e76 0xacd45da3 @@ -34782,6 +43478,8 @@ 1 1 0 +1 +1 57 0xae89 0x70cbcf70 @@ -34790,6 +43488,8 @@ 1 0 0 +3 +4 67 0x6fdf 0x2dfd4649 @@ -34798,6 +43498,8 @@ 0 0 0 +5 +5 54 0x17b8 0xcfabc33a @@ -34806,6 +43508,8 @@ 1 1 0 +5 +3 12 0xbf57 0xb25fcee0 @@ -34814,6 +43518,8 @@ 0 0 0 +1 +2 67 0xfead 0x5e74e7c @@ -34822,6 +43528,8 @@ 1 1 0 +2 +5 54 0x5938 0xfcf2fb77 @@ -34830,6 +43538,8 @@ 0 0 0 +0 +4 87 0x4522 0xce5ea6b @@ -34838,6 +43548,8 @@ 0 0 0 +5 +0 94 0x40f6 0x52cfe03a @@ -34846,6 +43558,8 @@ 0 0 0 +0 +3 78 0xeab3 0x3a58af3c @@ -34854,6 +43568,8 @@ 1 0 0 +5 +3 27 0xf2ee 0x7023b2fa @@ -34862,6 +43578,8 @@ 0 0 0 +4 +4 63 0xe1f9 0xc8c81e5c @@ -34870,6 +43588,8 @@ 0 0 0 +5 +1 29 0x61c3 0x7826cd81 @@ -34878,6 +43598,8 @@ 1 1 0 +2 +2 19 0xa660 0xa8ee60bb @@ -34886,6 +43608,8 @@ 1 1 0 +3 +5 26 0x5502 0xc06205c5 @@ -34894,6 +43618,8 @@ 1 0 0 +5 +1 45 0xb9b3 0x13e21e14 @@ -34902,6 +43628,8 @@ 1 0 0 +2 +0 48 0xd153 0xbefd053a @@ -34910,6 +43638,8 @@ 1 0 0 +0 +1 92 0x739e 0x568e51b4 @@ -34918,6 +43648,8 @@ 0 0 0 +5 +3 16 0xee39 0xcf96cd1a @@ -34926,6 +43658,8 @@ 1 0 0 +3 +2 90 0x6bea 0xecb0cec4 @@ -34934,6 +43668,8 @@ 0 0 0 +3 +3 46 0x581b 0x27b58f34 @@ -34942,6 +43678,8 @@ 0 0 0 +1 +5 34 0x656f 0xd715a466 @@ -34950,6 +43688,8 @@ 1 1 0 +5 +4 100 0x183 0xe581cfaa @@ -34958,6 +43698,8 @@ 0 0 0 +3 +3 52 0xe07c 0x548cca19 @@ -34966,6 +43708,8 @@ 1 0 0 +4 +3 37 0xb619 0x4a55c253 @@ -34974,6 +43718,8 @@ 0 0 0 +2 +3 94 0x925f 0x695db461 @@ -34982,6 +43728,8 @@ 0 0 0 +1 +2 77 0x3b71 0xc10caa87 @@ -34990,6 +43738,8 @@ 1 1 0 +2 +0 45 0x6405 0x9c21bd56 @@ -34998,6 +43748,8 @@ 1 0 0 +1 +5 13 0x65d6 0x1a6873e6 @@ -35006,6 +43758,8 @@ 0 0 0 +1 +1 45 0xef76 0x42f14e69 @@ -35014,6 +43768,8 @@ 1 1 0 +0 +3 90 0xcfe4 0x404ec5ed @@ -35022,6 +43778,8 @@ 0 0 0 +1 +3 51 0x7c2c 0xec11f8dd @@ -35030,6 +43788,8 @@ 0 0 0 +1 +2 87 0x6e35 0x82c34545 @@ -35038,6 +43798,8 @@ 1 0 0 +3 +2 92 0x1f30 0x4e0d7709 @@ -35046,6 +43808,8 @@ 1 0 0 +2 +4 36 0xb544 0x6e512b1c @@ -35054,6 +43818,8 @@ 0 0 0 +4 +0 32 0x300e 0x739d525b @@ -35062,6 +43828,8 @@ 1 1 0 +4 +0 47 0x2ca6 0xf09bb9f @@ -35070,6 +43838,8 @@ 1 1 0 +4 +3 35 0xe78e 0xafd65a4c @@ -35078,6 +43848,8 @@ 1 1 0 +5 +0 86 0x1701 0x3bc4b4b2 @@ -35086,6 +43858,8 @@ 1 1 0 +4 +3 44 0x8655 0x703550e5 @@ -35094,6 +43868,8 @@ 1 1 0 +5 +4 62 0xfdee 0x88e656c4 @@ -35102,6 +43878,8 @@ 1 1 0 +4 +4 99 0xc679 0x28d6a5ab @@ -35110,6 +43888,8 @@ 0 0 0 +4 +3 52 0x7988 0x334c11cd @@ -35118,6 +43898,8 @@ 0 0 0 +0 +5 59 0xf470 0xe0150d27 @@ -35126,6 +43908,8 @@ 0 0 0 +1 +2 60 0xa5c6 0x1fcf4b77 @@ -35134,6 +43918,8 @@ 1 0 0 +5 +4 99 0xf1f 0x4f661362 @@ -35142,6 +43928,8 @@ 0 0 0 +4 +2 95 0x7980 0xda276395 @@ -35150,6 +43938,8 @@ 1 1 0 +4 +0 58 0x16ce 0x917fba64 @@ -35158,6 +43948,8 @@ 0 0 0 +5 +3 14 0x140f 0xaa4cbbf @@ -35166,6 +43958,8 @@ 1 0 0 +3 +2 25 0x1c89 0x51419614 @@ -35174,6 +43968,8 @@ 0 0 0 +2 +5 24 0xc55a 0x50506c6a @@ -35182,6 +43978,8 @@ 0 0 0 +3 +5 92 0x5baa 0x2a111ce7 @@ -35190,6 +43988,8 @@ 0 0 0 +0 +4 30 0x623 0x26639dbd @@ -35198,6 +43998,8 @@ 0 0 0 +4 +5 35 0x918b 0x9a4ddda2 @@ -35206,6 +44008,8 @@ 0 0 0 +0 +4 30 0xe823 0xc2d7f40f @@ -35214,6 +44018,8 @@ 1 0 0 +5 +0 73 0x25f 0xe5adf15 @@ -35222,6 +44028,8 @@ 0 0 0 +4 +0 59 0xe656 0x9f5a7130 @@ -35230,6 +44038,8 @@ 0 0 0 +0 +5 18 0xb849 0xd8511b12 @@ -35238,6 +44048,8 @@ 0 0 0 +5 +0 92 0x5b56 0xa285ae23 @@ -35246,6 +44058,8 @@ 1 1 0 +3 +3 92 0xd5df 0xd41e47f2 @@ -35254,6 +44068,8 @@ 1 1 0 +1 +1 74 0xf66e 0x62725730 @@ -35262,6 +44078,8 @@ 1 0 0 +1 +3 96 0x6c4d 0x1cdc385f @@ -35270,6 +44088,8 @@ 1 0 0 +5 +4 96 0x3fd6 0xdae66827 @@ -35278,6 +44098,8 @@ 0 0 0 +5 +0 68 0x7c86 0x83daf1fb @@ -35286,6 +44108,8 @@ 1 0 0 +0 +1 71 0xa73b 0x98d37cfd @@ -35294,6 +44118,8 @@ 0 0 0 +2 +3 59 0x2682 0xa841e678 @@ -35302,6 +44128,8 @@ 1 0 0 +0 +3 49 0x72cc 0x2c6a539e @@ -35310,6 +44138,8 @@ 0 0 0 +0 +5 50 0xd59c 0xe79bedd1 @@ -35318,6 +44148,8 @@ 0 0 0 +5 +0 13 0xa6d1 0xfd103222 @@ -35326,6 +44158,8 @@ 0 0 0 +2 +1 24 0x3e1b 0x8bd250fd @@ -35334,6 +44168,8 @@ 1 1 0 +1 +4 67 0x5459 0xb700c1ef @@ -35342,6 +44178,8 @@ 0 0 0 +3 +2 59 0x1ec2 0x72deb07f @@ -35350,6 +44188,8 @@ 1 0 0 +2 +5 14 0x2002 0x3a72692 @@ -35358,6 +44198,8 @@ 1 1 0 +4 +1 80 0x94da 0xa19fce87 @@ -35366,6 +44208,8 @@ 0 0 0 +5 +2 15 0x4bbd 0x4a69ddb1 @@ -35374,6 +44218,8 @@ 1 0 0 +0 +3 21 0xd6db 0x6a2b657d @@ -35382,6 +44228,8 @@ 0 0 0 +4 +5 29 0x4617 0xb75a4b0c @@ -35390,6 +44238,8 @@ 1 0 0 +4 +4 84 0x97c0 0xb04f059b @@ -35398,6 +44248,8 @@ 1 0 0 +4 +0 22 0x96e4 0x6610d52a @@ -35406,6 +44258,8 @@ 0 0 0 +5 +4 58 0xa797 0xc3545440 @@ -35414,6 +44268,8 @@ 1 1 0 +1 +4 85 0xc6d6 0xda275028 @@ -35422,6 +44278,8 @@ 1 1 0 +2 +1 78 0xb7cb 0xf1c0afcb @@ -35430,6 +44288,8 @@ 0 0 0 +1 +5 34 0xeff7 0xb75872b5 @@ -35438,6 +44298,8 @@ 0 0 0 +3 +2 99 0x184b 0xb5b4972d @@ -35446,6 +44308,8 @@ 0 0 0 +4 +1 81 0xdfe2 0xfcc37483 @@ -35454,6 +44318,8 @@ 0 0 0 +2 +1 82 0xb5ad 0x668d5e70 @@ -35462,6 +44328,8 @@ 1 1 0 +4 +2 74 0x2f57 0xb0bbd678 @@ -35470,6 +44338,8 @@ 1 0 0 +3 +5 47 0x786c 0x1c2c2e3f @@ -35478,6 +44348,8 @@ 1 0 0 +3 +1 60 0xdbe1 0x479886d8 @@ -35486,6 +44358,8 @@ 1 0 0 +3 +1 83 0x8a56 0xc45a9da7 @@ -35494,6 +44368,8 @@ 0 0 0 +1 +2 87 0xa123 0xfbede8c @@ -35502,6 +44378,8 @@ 1 0 0 +3 +3 99 0xd5d4 0x64e8f486 @@ -35510,6 +44388,8 @@ 0 0 0 +3 +5 60 0x38ce 0x9a53236b @@ -35518,6 +44398,8 @@ 0 0 0 +0 +4 39 0xe2a1 0x3b88eb3e @@ -35526,6 +44408,8 @@ 0 0 0 +3 +1 44 0x5ea2 0xba590af2 @@ -35534,6 +44418,8 @@ 1 1 0 +5 +1 65 0xc9e1 0xf1253874 @@ -35542,6 +44428,8 @@ 1 0 0 +3 +1 22 0x4384 0x9d48c732 @@ -35550,6 +44438,8 @@ 0 0 0 +3 +2 70 0x2fce 0xa389444a @@ -35558,6 +44448,8 @@ 0 0 0 +3 +2 93 0x478a 0xcfb574d @@ -35566,6 +44458,8 @@ 1 0 0 +2 +4 70 0xaf3a 0xc2fe42e5 @@ -35574,6 +44468,8 @@ 0 0 0 +4 +3 64 0x6daf 0x4c360c19 @@ -35582,6 +44478,8 @@ 1 1 0 +1 +4 69 0xa077 0x8bab5985 @@ -35590,6 +44488,8 @@ 0 0 0 +1 +5 91 0x5382 0x8085206c @@ -35598,6 +44498,8 @@ 1 1 0 +4 +5 100 0x87d2 0xa2dd300b @@ -35606,6 +44508,8 @@ 1 1 0 +4 +3 84 0x9d8e 0xfd0265cf @@ -35614,6 +44518,8 @@ 1 1 0 +0 +1 63 0xbbc0 0x38fa0798 @@ -35622,6 +44528,8 @@ 0 0 0 +0 +4 68 0xa665 0x4fc52a29 @@ -35630,6 +44538,8 @@ 1 0 0 +4 +4 48 0xb8be 0x67983a71 @@ -35638,6 +44548,8 @@ 0 0 0 +2 +4 94 0xddac 0x3fa419fe @@ -35646,6 +44558,8 @@ 1 0 0 +2 +1 92 0x601d 0x93d451e3 @@ -35654,6 +44568,8 @@ 1 1 0 +2 +4 72 0x1148 0x7a48a365 @@ -35662,6 +44578,8 @@ 1 0 0 +2 +1 98 0x709c 0x93685800 @@ -35670,6 +44588,8 @@ 0 0 0 +0 +4 65 0xfd76 0x82e928bd @@ -35678,6 +44598,8 @@ 0 0 0 +0 +0 79 0x660d 0x9cea4e92 @@ -35686,6 +44608,8 @@ 1 1 0 +0 +2 19 0x2942 0xadbfce89 @@ -35694,6 +44618,8 @@ 0 0 0 +4 +4 66 0x5bee 0x8fdd0bb @@ -35702,6 +44628,8 @@ 0 0 0 +1 +5 67 0x1ca4 0xfd2031aa @@ -35710,6 +44638,8 @@ 0 0 0 +4 +5 26 0xeead 0xcd43b49d @@ -35718,6 +44648,8 @@ 0 0 0 +5 +1 34 0xbe20 0xc680d6ea @@ -35726,6 +44658,8 @@ 0 0 0 +3 +3 65 0x300a 0x5086c50b @@ -35734,6 +44668,8 @@ 0 0 0 +3 +3 88 0x9083 0x339e92b4 @@ -35742,6 +44678,8 @@ 0 0 0 +3 +5 89 0xa93e 0x4f52dac5 @@ -35750,6 +44688,8 @@ 1 0 0 +4 +3 94 0x93f9 0xa71aec26 @@ -35758,6 +44698,8 @@ 0 0 0 +0 +1 44 0x59dd 0x739ade14 @@ -35766,6 +44708,8 @@ 1 0 0 +4 +0 77 0xe851 0x8a7cbca3 @@ -35774,6 +44718,8 @@ 0 0 0 +1 +0 73 0xd628 0xdfad6d8b @@ -35782,6 +44728,8 @@ 1 0 0 +5 +4 25 0x413c 0x5ca6350d @@ -35790,6 +44738,8 @@ 0 0 0 +2 +1 47 0x7c27 0x48b694e7 @@ -35798,6 +44748,8 @@ 0 0 0 +5 +4 92 0x34ca 0x489c094d @@ -35806,6 +44758,8 @@ 0 0 0 +3 +4 78 0x176d 0x7b9856fd @@ -35814,6 +44768,8 @@ 0 0 0 +0 +5 62 0x2d92 0x694f3374 @@ -35822,6 +44778,8 @@ 1 1 0 +5 +1 95 0x6668 0x1694f840 @@ -35830,6 +44788,8 @@ 0 0 0 +2 +0 61 0x59cb 0x16eebbd8 @@ -35838,6 +44798,8 @@ 1 1 0 +5 +5 41 0x1c91 0x52fc5e40 @@ -35846,6 +44808,8 @@ 0 0 0 +5 +4 58 0xc1a9 0x7f579af1 @@ -35854,6 +44818,8 @@ 0 0 0 +2 +0 46 0xdc9 0x3ff504 @@ -35862,6 +44828,8 @@ 0 0 0 +2 +5 91 0xe815 0x6ac87e56 @@ -35870,6 +44838,8 @@ 0 0 0 +4 +5 77 0x9fe0 0xc1eb37e8 @@ -35878,6 +44848,8 @@ 1 1 0 +4 +5 35 0xdeba 0x918bb8b4 @@ -35886,6 +44858,8 @@ 1 1 0 +2 +2 25 0x134d 0xc97b5b4c @@ -35894,6 +44868,8 @@ 1 0 0 +1 +4 43 0x8d58 0x52e33fef @@ -35902,6 +44878,8 @@ 0 0 0 +0 +0 89 0x4976 0xfeb1184 @@ -35910,6 +44888,8 @@ 1 1 0 +4 +1 50 0x86e8 0xde3b6cc2 @@ -35918,6 +44898,8 @@ 1 1 0 +3 +5 91 0xa662 0x4b36be4 @@ -35926,6 +44908,8 @@ 1 1 0 +1 +3 56 0x4dbf 0x54b8164 @@ -35934,6 +44918,8 @@ 1 1 0 +2 +5 72 0x6b7b 0xae8a9c90 @@ -35942,6 +44928,8 @@ 1 0 0 +2 +4 38 0x2124 0xf68340d7 @@ -35950,6 +44938,8 @@ 0 0 0 +5 +3 55 0xeb9b 0xed055180 @@ -35958,6 +44948,8 @@ 1 0 0 +2 +5 56 0xe279 0x50311428 @@ -35966,6 +44958,8 @@ 1 0 0 +3 +1 16 0x6e6d 0x2c069e98 @@ -35974,6 +44968,8 @@ 0 0 0 +3 +1 57 0xc6c6 0x57e96ddc @@ -35982,6 +44978,8 @@ 0 0 0 +3 +1 40 0x623a 0xd07aa537 @@ -35990,6 +44988,8 @@ 0 0 0 +1 +5 59 0x57da 0xdbd438f9 @@ -35998,6 +44998,8 @@ 0 0 0 +0 +3 29 0xc2d 0xdd00771b @@ -36006,6 +45008,8 @@ 0 0 0 +5 +5 53 0xc495 0xa904836e @@ -36014,6 +45018,8 @@ 0 0 0 +3 +0 69 0x1bf6 0x44c9ea03 @@ -36022,6 +45028,8 @@ 0 0 0 +4 +1 34 0x9cc 0x623e24f7 @@ -36030,6 +45038,8 @@ 1 1 0 +4 +0 100 0x5eb0 0x79a1bc7c @@ -36038,6 +45048,8 @@ 1 0 0 +1 +5 30 0x63dd 0xb7a5e47d @@ -36046,6 +45058,8 @@ 1 0 0 +2 +3 52 0xde76 0xfd59bc08 @@ -36054,6 +45068,8 @@ 1 0 0 +2 +3 77 0x433b 0xbb733f2a @@ -36062,6 +45078,8 @@ 1 1 0 +0 +0 33 0xb2bf 0x55f9762b @@ -36070,6 +45088,8 @@ 1 1 0 +4 +0 76 0x4bcd 0xe0a39949 @@ -36078,6 +45098,8 @@ 1 0 0 +1 +5 96 0x19fb 0x64e1da08 @@ -36086,6 +45108,8 @@ 1 1 0 +0 +1 53 0x5740 0x30dd035c @@ -36094,6 +45118,8 @@ 0 0 0 +2 +3 13 0xd0c8 0x9150c0fb @@ -36102,6 +45128,8 @@ 1 0 0 +1 +0 84 0xf4b1 0x726c5153 @@ -36110,6 +45138,8 @@ 0 0 0 +1 +1 69 0xc4dd 0x64622dff @@ -36118,6 +45148,8 @@ 1 1 0 +4 +5 68 0xab2d 0x20a4f4ef @@ -36126,6 +45158,8 @@ 1 0 0 +1 +4 81 0xefea 0xb61d0630 @@ -36134,6 +45168,8 @@ 1 1 0 +3 +2 42 0x9199 0x7682963f @@ -36142,6 +45178,8 @@ 0 0 0 +4 +5 16 0x26f 0xff99b1ee @@ -36150,6 +45188,8 @@ 1 1 0 +2 +0 98 0xcc94 0x49fd9cba @@ -36158,6 +45198,8 @@ 0 0 0 +3 +0 18 0x30ee 0xedf38bec @@ -36166,6 +45208,8 @@ 0 0 0 +2 +4 92 0x1384 0xd5f32886 @@ -36174,6 +45218,8 @@ 1 0 0 +4 +0 46 0xca50 0x1e4f580d @@ -36182,6 +45228,8 @@ 1 0 0 +1 +5 97 0x95ff 0xdee78822 @@ -36190,6 +45238,8 @@ 1 1 0 +0 +0 72 0x3dfa 0xa93fb2ae @@ -36198,6 +45248,8 @@ 0 0 0 +5 +0 88 0x9b65 0xcba3863a @@ -36206,6 +45258,8 @@ 0 0 0 +3 +4 99 0xa860 0x622390da @@ -36214,6 +45268,8 @@ 1 1 0 +0 +2 89 0x9c9c 0x72adffd @@ -36222,6 +45278,8 @@ 0 0 0 +4 +2 10 0xf488 0x6c1a8570 @@ -36230,6 +45288,8 @@ 1 1 0 +4 +5 19 0xf0b2 0xc5957fd0 @@ -36238,6 +45298,8 @@ 1 0 0 +3 +5 15 0x760c 0xb89b00f9 @@ -36246,6 +45308,8 @@ 1 0 0 +0 +1 81 0x55ff 0x7cd6f7e9 @@ -36254,6 +45318,8 @@ 1 1 0 +1 +2 59 0x404c 0x3852f943 @@ -36262,6 +45328,8 @@ 0 0 0 +5 +1 80 0x1208 0xcd82a6c1 @@ -36270,6 +45338,8 @@ 1 1 0 +3 +5 32 0xa6df 0x5df68787 @@ -36278,6 +45348,8 @@ 0 0 0 +2 +5 16 0x7646 0x7856091 @@ -36286,6 +45358,8 @@ 0 0 0 +3 +3 18 0x8c76 0xd227371a @@ -36294,6 +45368,8 @@ 1 1 0 +1 +0 78 0xe351 0xa4752755 @@ -36302,6 +45378,8 @@ 1 0 0 +3 +5 25 0x69b0 0x48e1533c @@ -36310,6 +45388,8 @@ 0 0 0 +1 +4 14 0xbc75 0xeee1e0b1 @@ -36318,6 +45398,8 @@ 1 0 0 +3 +1 50 0x2aa0 0x80872a25 @@ -36326,6 +45408,8 @@ 0 0 0 +1 +5 24 0x2b15 0x29ec1f98 @@ -36334,6 +45418,8 @@ 1 1 0 +5 +0 81 0x77f9 0xb1ed3549 @@ -36342,6 +45428,8 @@ 0 0 0 +5 +0 84 0xdb7e 0xb0114a18 @@ -36350,6 +45438,8 @@ 0 0 0 +4 +5 69 0xe98b 0xdd758eca @@ -36358,6 +45448,8 @@ 0 0 0 +3 +5 49 0x1b5f 0x93a13fae @@ -36366,6 +45458,8 @@ 0 0 0 +1 +4 63 0x9ab2 0xea95904e @@ -36374,6 +45468,8 @@ 0 0 0 +2 +3 54 0x1467 0xc4f247c8 @@ -36382,6 +45478,8 @@ 0 0 0 +3 +3 17 0x2656 0xc39866aa @@ -36390,6 +45488,8 @@ 0 0 0 +5 +4 87 0x4582 0xf0343c63 @@ -36398,6 +45498,8 @@ 0 0 0 +1 +2 15 0x92d 0x77901211 @@ -36406,6 +45508,8 @@ 1 1 0 +2 +5 100 0xe4ce 0x5e9f025f @@ -36414,6 +45518,8 @@ 0 0 0 +0 +2 85 0xf3ed 0x56e611f9 @@ -36422,6 +45528,8 @@ 1 0 0 +0 +0 40 0xa78e 0x78b44ac8 @@ -36430,6 +45538,8 @@ 1 0 0 +2 +5 35 0x7467 0x40996121 @@ -36438,6 +45548,8 @@ 0 0 0 +5 +1 41 0x9a29 0xc4c26327 @@ -36446,6 +45558,8 @@ 1 0 0 +1 +1 33 0x5f35 0xbadf2f8e @@ -36454,6 +45568,8 @@ 1 1 0 +2 +3 58 0x1b5f 0x2e7342e2 @@ -36462,6 +45578,8 @@ 1 1 0 +2 +4 30 0xf397 0x2b164957 @@ -36470,6 +45588,8 @@ 1 1 0 +3 +3 91 0xadff 0xddc8f246 @@ -36478,6 +45598,8 @@ 1 0 0 +0 +4 52 0xae37 0x9989fc95 @@ -36486,6 +45608,8 @@ 0 0 0 +5 +2 78 0x755b 0x77c2f941 @@ -36494,6 +45618,8 @@ 1 1 0 +0 +1 38 0x5330 0x143be470 @@ -36502,6 +45628,8 @@ 1 0 0 +4 +1 27 0xb7be 0x6dc9d233 @@ -36510,6 +45638,8 @@ 1 0 0 +3 +0 77 0x4630 0x75eb4afd @@ -36518,6 +45648,8 @@ 0 0 0 +4 +2 47 0xbecf 0xd221b26a @@ -36526,6 +45658,8 @@ 0 0 0 +5 +4 83 0xf5b1 0xc04c0061 @@ -36534,6 +45668,8 @@ 0 0 0 +0 +3 47 0xb407 0xb8e89966 @@ -36542,6 +45678,8 @@ 0 0 0 +4 +3 67 0x335e 0x314aa534 @@ -36550,6 +45688,8 @@ 1 1 0 +0 +3 75 0xd788 0x869c0025 @@ -36558,6 +45698,8 @@ 0 0 0 +4 +3 56 0xd7b5 0xe114d545 @@ -36566,6 +45708,8 @@ 1 0 0 +2 +5 97 0xf5a 0x4e1c3e41 @@ -36574,6 +45718,8 @@ 1 0 0 +3 +3 59 0xdc0f 0x7f6e4142 @@ -36582,6 +45728,8 @@ 1 1 0 +5 +2 26 0xf65 0x96243340 @@ -36590,6 +45738,8 @@ 0 0 0 +4 +5 96 0xccdf 0xba426ad4 @@ -36598,6 +45748,8 @@ 0 0 0 +5 +2 35 0x1019 0x135b278a @@ -36606,6 +45758,8 @@ 0 0 0 +5 +5 70 0x55f8 0xd33d9fc2 @@ -36614,6 +45768,8 @@ 0 0 0 +5 +2 37 0xa7df 0xc9d7c325 @@ -36622,6 +45778,8 @@ 1 0 0 +3 +1 93 0xc3bf 0x84fa3cf5 @@ -36630,6 +45788,8 @@ 0 0 0 +3 +3 77 0xbd99 0x7a8ba2d5 @@ -36638,6 +45798,8 @@ 0 0 0 +5 +3 70 0xa96 0xa702f383 @@ -36646,6 +45808,8 @@ 0 0 0 +3 +5 59 0xd751 0x18be1e16 @@ -36654,6 +45818,8 @@ 1 0 0 +3 +5 25 0x30f6 0x181c80ec @@ -36662,6 +45828,8 @@ 1 1 0 +3 +2 30 0x2084 0x3c02f703 @@ -36670,6 +45838,8 @@ 0 0 0 +3 +5 28 0x5278 0xc67a25fb @@ -36678,6 +45848,8 @@ 1 1 0 +1 +0 15 0x2ac3 0x72d4d45e @@ -36686,6 +45858,8 @@ 1 0 0 +2 +1 77 0xe818 0xd8eaa1e5 @@ -36694,6 +45868,8 @@ 1 0 0 +4 +4 56 0x7998 0xcbfdaf79 @@ -36702,6 +45878,8 @@ 1 1 0 +1 +0 22 0x27f9 0x8e8a46a1 @@ -36710,6 +45888,8 @@ 0 0 0 +2 +1 72 0xfec3 0xeda66ade @@ -36718,6 +45898,8 @@ 1 1 0 +0 +1 81 0x6ddd 0x72e3827e @@ -36726,6 +45908,8 @@ 0 0 0 +5 +1 78 0x136d 0x1a7dbdaf @@ -36734,6 +45918,8 @@ 0 0 0 +0 +4 60 0x8263 0xb6ac6f61 @@ -36742,6 +45928,8 @@ 1 1 0 +3 +0 85 0xa616 0xc832109c @@ -36750,6 +45938,8 @@ 1 0 0 +0 +1 10 0x7771 0x4b080672 @@ -36758,6 +45948,8 @@ 0 0 0 +5 +2 40 0xa900 0xb38e6f98 @@ -36766,6 +45958,8 @@ 0 0 0 +3 +0 36 0x485c 0x28b1e73e @@ -36774,6 +45968,8 @@ 0 0 0 +2 +1 19 0x61b5 0x226ed81d @@ -36782,6 +45978,8 @@ 0 0 0 +0 +5 54 0x17b0 0x8decaf84 @@ -36790,6 +45988,8 @@ 0 0 0 +3 +2 19 0xfd6d 0xe3e29e03 @@ -36798,6 +45998,8 @@ 1 1 0 +5 +4 99 0xcbd3 0x930c4c24 @@ -36806,6 +46008,8 @@ 1 0 0 +5 +2 87 0xff5d 0x7c64788e @@ -36814,6 +46018,8 @@ 0 0 0 +5 +5 60 0x9e0d 0x24bde9c8 @@ -36822,6 +46028,8 @@ 0 0 0 +3 +4 98 0xff1a 0x109c51a7 @@ -36830,6 +46038,8 @@ 0 0 0 +4 +4 65 0x973f 0x115fa1ef @@ -36838,6 +46048,8 @@ 0 0 0 +3 +5 85 0x515e 0x63ad4c1f @@ -36846,6 +46058,8 @@ 0 0 0 +1 +2 17 0x58ec 0x602ef46f @@ -36854,6 +46068,8 @@ 1 1 0 +1 +3 15 0x3c33 0x9a98eeb @@ -36862,6 +46078,8 @@ 0 0 0 +4 +2 11 0xaa6d 0xc262e226 @@ -36870,6 +46088,8 @@ 0 0 0 +5 +0 60 0x7935 0x9eb0184b @@ -36878,6 +46098,8 @@ 1 1 0 +2 +0 12 0xe4bc 0x838e0b1a @@ -36886,6 +46108,8 @@ 1 0 0 +1 +4 95 0xd673 0x48ea2794 @@ -36894,6 +46118,8 @@ 1 1 0 +0 +3 14 0xde72 0xe956b92a @@ -36902,6 +46128,8 @@ 0 0 0 +2 +5 77 0x62f8 0x83644b03 @@ -36910,6 +46138,8 @@ 1 0 0 +3 +5 46 0x3b28 0xec152b4e @@ -36918,6 +46148,8 @@ 1 1 0 +5 +4 96 0xc248 0xc88ed4e0 @@ -36926,6 +46158,8 @@ 0 0 0 +2 +0 10 0x209e 0x890a2f8f @@ -36934,6 +46168,8 @@ 0 0 0 +0 +3 42 0x4bc6 0xd8cdbf84 @@ -36942,6 +46178,8 @@ 0 0 0 +4 +1 82 0xe680 0x3fcb29bd @@ -36950,6 +46188,8 @@ 0 0 0 +4 +3 50 0xe86f 0x57cd461b @@ -36958,6 +46198,8 @@ 0 0 0 +5 +5 67 0x90d4 0xe470424f @@ -36966,6 +46208,8 @@ 1 1 0 +5 +4 27 0xfb91 0xa092d1df @@ -36974,6 +46218,8 @@ 0 0 0 +2 +0 65 0x92cd 0x2e97d04a @@ -36982,6 +46228,8 @@ 0 0 0 +3 +2 78 0xfa3a 0x8d857606 @@ -36990,6 +46238,8 @@ 1 0 0 +2 +0 59 0xf1c8 0xac1dc1f2 @@ -36998,6 +46248,8 @@ 1 0 0 +2 +0 70 0x8ddc 0x5b7439fe @@ -37006,6 +46258,8 @@ 1 1 0 +3 +0 68 0x42b0 0x75655c2f @@ -37014,6 +46268,8 @@ 0 0 0 +0 +2 50 0x5acb 0x2257c279 @@ -37022,6 +46278,8 @@ 1 1 0 +5 +1 84 0x3b93 0x5be1dab5 @@ -37030,6 +46288,8 @@ 1 0 0 +2 +1 34 0x3a0f 0x1701ae50 @@ -37038,6 +46298,8 @@ 0 0 0 +3 +0 100 0x7117 0xe5b391c8 @@ -37046,6 +46308,8 @@ 1 1 0 +3 +3 24 0xf30 0xafc465b6 @@ -37054,6 +46318,8 @@ 0 0 0 +1 +2 88 0x3584 0x72c683a9 @@ -37062,6 +46328,8 @@ 0 0 0 +3 +0 58 0x4ace 0xebc97576 @@ -37070,6 +46338,8 @@ 0 0 0 +0 +3 52 0x8910 0xad56468 @@ -37078,6 +46348,8 @@ 1 1 0 +1 +1 39 0x5076 0x89736d9a @@ -37086,6 +46358,8 @@ 0 0 0 +4 +3 64 0x414 0x58eedc48 @@ -37094,6 +46368,8 @@ 1 1 0 +3 +4 25 0x65ee 0x9a3b54da @@ -37102,6 +46378,8 @@ 0 0 0 +3 +3 62 0xb776 0x3d6c0a75 @@ -37110,6 +46388,8 @@ 1 1 0 +5 +4 48 0xb6ae 0x9fbeab3e @@ -37118,6 +46398,8 @@ 0 0 0 +5 +4 71 0x4a9 0x1f7e3923 @@ -37126,6 +46408,8 @@ 0 0 0 +2 +5 71 0x263b 0xb620041a @@ -37134,6 +46418,8 @@ 1 1 0 +5 +2 18 0x4b6e 0x4d2d272d @@ -37142,6 +46428,8 @@ 1 0 0 +2 +4 16 0x435 0xcd905ccc @@ -37150,6 +46438,8 @@ 0 0 0 +5 +2 61 0x8f88 0x1fbbb708 @@ -37158,6 +46448,8 @@ 0 0 0 +3 +3 32 0x7df 0x330c8255 @@ -37166,6 +46458,8 @@ 1 1 0 +5 +5 87 0xfb9b 0xa28956c8 @@ -37174,6 +46468,8 @@ 0 0 0 +1 +5 34 0xb865 0x57298bc5 @@ -37182,6 +46478,8 @@ 0 0 0 +0 +2 29 0x967e 0x44602dd2 @@ -37190,6 +46488,8 @@ 0 0 0 +4 +2 80 0xeb1c 0x9ddbf9e5 @@ -37198,6 +46498,8 @@ 0 0 0 +5 +4 63 0xfde2 0x59f39e5c @@ -37206,6 +46508,8 @@ 0 0 0 +0 +4 45 0x10c5 0xd1da3762 @@ -37214,6 +46518,8 @@ 0 0 0 +4 +2 30 0x5841 0xd96d37cd @@ -37222,6 +46528,8 @@ 1 0 0 +5 +2 89 0xe0a9 0xb8175f55 @@ -37230,6 +46538,8 @@ 0 0 0 +5 +1 40 0x4f53 0xabbedb9d @@ -37238,6 +46548,8 @@ 1 0 0 +2 +0 39 0xa581 0x58603747 @@ -37246,6 +46558,8 @@ 1 0 0 +0 +1 13 0xa1b8 0x12f528dc @@ -37254,6 +46568,8 @@ 0 0 0 +5 +2 17 0xfd00 0xc9a267fe @@ -37262,6 +46578,8 @@ 1 0 0 +4 +5 46 0x975 0x31c3f624 @@ -37270,6 +46588,8 @@ 0 0 0 +2 +3 38 0x472 0xa6f23d31 @@ -37278,6 +46598,8 @@ 1 1 0 +5 +2 71 0xb6c4 0xdeee7dda @@ -37286,6 +46608,8 @@ 1 1 0 +2 +1 77 0x2129 0x37a536e4 @@ -37294,6 +46618,8 @@ 0 0 0 +4 +3 39 0x3f95 0xe517b64c @@ -37302,6 +46628,8 @@ 0 0 0 +4 +1 87 0x3384 0x41880eb8 @@ -37310,6 +46638,8 @@ 0 0 0 +3 +0 45 0xc796 0xdbaf5bd2 @@ -37318,6 +46648,8 @@ 0 0 0 +3 +2 43 0xc06e 0x22125f01 @@ -37326,6 +46658,8 @@ 0 0 0 +4 +4 94 0xa607 0xe14612c9 @@ -37334,6 +46668,8 @@ 0 0 0 +3 +5 92 0x38d 0xc7bbe6e7 @@ -37342,6 +46678,8 @@ 1 1 0 +1 +5 89 0x49ab 0x8d91c7b0 @@ -37350,6 +46688,8 @@ 0 0 0 +3 +0 78 0x18ec 0xb2abd5b3 @@ -37358,6 +46698,8 @@ 0 0 0 +1 +1 46 0xdb03 0xaea19e55 @@ -37366,6 +46708,8 @@ 0 0 0 +3 +1 79 0xac66 0x7f0ec0b7 @@ -37374,6 +46718,8 @@ 0 0 0 +3 +2 94 0x96ea 0x62e9ead7 @@ -37382,6 +46728,8 @@ 1 0 0 +1 +4 46 0x2e80 0xab7f78de @@ -37390,6 +46738,8 @@ 0 0 0 +5 +1 17 0xe80a 0x9a572ce5 @@ -37398,6 +46748,8 @@ 0 0 0 +1 +0 59 0xddd4 0xd73a0930 @@ -37406,6 +46758,8 @@ 0 0 0 +3 +3 88 0x9385 0x9b1c0d0 @@ -37414,6 +46768,8 @@ 1 0 0 +5 +1 68 0x7543 0xf2a90248 @@ -37422,6 +46778,8 @@ 0 0 0 +2 +2 93 0x7d4e 0x1421da43 @@ -37430,6 +46788,8 @@ 0 0 0 +1 +1 72 0x11a4 0x5046360b @@ -37438,6 +46798,8 @@ 1 0 0 +2 +0 35 0xf84 0x239df495 @@ -37446,6 +46808,8 @@ 1 1 0 +5 +5 73 0x7519 0x80d116f6 @@ -37454,6 +46818,8 @@ 0 0 0 +2 +1 15 0xa7c0 0xffef58d @@ -37462,6 +46828,8 @@ 0 0 0 +0 +1 17 0xfb04 0xd2167011 @@ -37470,6 +46838,8 @@ 0 0 0 +1 +2 75 0x3468 0xe67a27cd @@ -37478,6 +46848,8 @@ 1 0 0 +3 +4 63 0x3d56 0xe3f32573 @@ -37486,6 +46858,8 @@ 0 0 0 +2 +0 15 0x1d87 0x3bd06b6c @@ -37494,6 +46868,8 @@ 0 0 0 +0 +3 51 0xda63 0x30293b07 @@ -37502,6 +46878,8 @@ 1 1 0 +3 +1 10 0x70bc 0xb6658a30 @@ -37510,6 +46888,8 @@ 0 0 0 +5 +4 42 0xe311 0x71acd471 @@ -37518,6 +46898,8 @@ 0 0 0 +1 +1 90 0x3b7a 0xb64464f0 @@ -37526,6 +46908,8 @@ 0 0 0 +3 +3 13 0xd0a4 0xd563eda2 @@ -37534,6 +46918,8 @@ 0 0 0 +2 +5 81 0xcccc 0x230fd35b @@ -37542,6 +46928,8 @@ 0 0 0 +4 +2 53 0x2eb5 0x2a0fbf69 @@ -37550,6 +46938,8 @@ 1 0 0 +2 +5 47 0x1795 0x4abfe117 @@ -37558,6 +46948,8 @@ 0 0 0 +3 +4 84 0x95f6 0x8c98f7da @@ -37566,6 +46958,8 @@ 0 0 0 +2 +0 43 0x9a10 0x515cd878 @@ -37574,6 +46968,8 @@ 0 0 0 +3 +2 23 0xbf27 0xa4b675e2 @@ -37582,6 +46978,8 @@ 0 0 0 +0 +5 63 0x48e0 0x1557bdbb @@ -37590,6 +46988,8 @@ 1 0 0 +5 +0 40 0xd590 0x7897f978 @@ -37598,6 +46998,8 @@ 1 1 0 +4 +0 87 0x398c 0xe551b821 @@ -37606,6 +47008,8 @@ 1 0 0 +2 +1 53 0xbe27 0x5ddff1ec @@ -37614,6 +47018,8 @@ 0 0 0 +5 +0 76 0xd139 0xd0a317e5 @@ -37622,6 +47028,8 @@ 0 0 0 +3 +3 12 0x5252 0x7a07bac5 @@ -37630,6 +47038,8 @@ 0 0 0 +0 +4 97 0x331e 0xc3a2d24b @@ -37638,6 +47048,8 @@ 1 0 0 +3 +5 14 0x30f2 0x2c4f5d2a @@ -37646,6 +47058,8 @@ 0 0 0 +4 +1 66 0x17b2 0xb8291e35 @@ -37654,6 +47068,8 @@ 1 0 0 +5 +5 20 0x68b1 0x60bfecc2 @@ -37662,6 +47078,8 @@ 1 1 0 +0 +0 80 0x2732 0x9b6511d5 @@ -37670,6 +47088,8 @@ 0 0 0 +1 +0 80 0xa15a 0x64883d7 @@ -37678,6 +47098,8 @@ 1 0 0 +2 +5 34 0x14ac 0x32323285 @@ -37686,6 +47108,8 @@ 0 0 0 +0 +5 44 0x803d 0xe0ffd1d0 @@ -37694,6 +47118,8 @@ 1 0 0 +5 +1 64 0xf19d 0xb4c887cd @@ -37702,6 +47128,8 @@ 1 1 0 +3 +1 40 0xc0d9 0xc07bb9e2 @@ -37710,6 +47138,8 @@ 0 0 0 +4 +5 38 0x94e0 0x1a939ebc @@ -37718,6 +47148,8 @@ 1 0 0 +4 +3 93 0x3693 0xc08e4219 @@ -37726,6 +47158,8 @@ 1 1 0 +3 +3 10 0x90ad 0xf2f4206a @@ -37734,6 +47168,8 @@ 0 0 0 +2 +1 32 0xaf4b 0x3486b167 @@ -37742,6 +47178,8 @@ 0 0 0 +1 +4 63 0x4425 0x462efeb2 @@ -37750,6 +47188,8 @@ 1 0 0 +0 +4 92 0x14b4 0xb491a59b @@ -37758,6 +47198,8 @@ 0 0 0 +3 +2 39 0xc2a0 0xf1304ca @@ -37766,6 +47208,8 @@ 0 0 0 +3 +3 52 0x5f1a 0xbd0b4e72 @@ -37774,6 +47218,8 @@ 0 0 0 +4 +2 42 0x3d7c 0x718068e4 @@ -37782,6 +47228,8 @@ 0 0 0 +3 +2 17 0xf8b9 0xad874770 @@ -37790,6 +47238,8 @@ 0 0 0 +2 +3 42 0x977f 0x76eca8c2 @@ -37798,6 +47248,8 @@ 1 0 0 +5 +5 59 0x2821 0x6b311a73 @@ -37806,6 +47258,8 @@ 0 0 0 +4 +0 61 0x67e9 0x1389ad18 @@ -37814,6 +47268,8 @@ 1 0 0 +2 +0 21 0x97b0 0xfbe841c6 @@ -37822,6 +47278,8 @@ 1 1 0 +3 +5 32 0xe463 0xc08398a7 @@ -37830,6 +47288,8 @@ 0 0 0 +2 +5 75 0xd5ec 0xfaaa07ed @@ -37838,6 +47298,8 @@ 1 0 0 +1 +4 31 0xa2 0xe7b12442 @@ -37846,6 +47308,8 @@ 0 0 0 +1 +3 34 0x4c7b 0xa29e3dbe @@ -37854,6 +47318,8 @@ 0 0 0 +4 +3 57 0x63e2 0xc2b1710e @@ -37862,6 +47328,8 @@ 0 0 0 +1 +5 38 0x5c98 0xe0d9753 @@ -37870,6 +47338,8 @@ 0 0 0 +0 +2 80 0xc2ee 0xd38ee8db @@ -37878,6 +47348,8 @@ 1 0 0 +4 +0 45 0x84a6 0x9f9b2455 @@ -37886,6 +47358,8 @@ 0 0 0 +5 +5 33 0x8a66 0x57098e4a @@ -37894,6 +47368,8 @@ 0 0 0 +5 +4 90 0x60fe 0x13af2f83 @@ -37902,6 +47378,8 @@ 1 1 0 +1 +2 59 0xbe48 0xb0373816 @@ -37910,6 +47388,8 @@ 1 1 0 +0 +4 81 0x4ca4 0xc7351d38 @@ -37918,6 +47398,8 @@ 0 0 0 +3 +1 21 0xa712 0xfe1f5968 @@ -37926,6 +47408,8 @@ 1 1 0 +4 +2 83 0xe8c 0x39d70083 @@ -37934,6 +47418,8 @@ 1 0 0 +1 +4 43 0x3893 0x612d2c57 @@ -37942,6 +47428,8 @@ 0 0 0 +3 +3 75 0xad26 0x6e211a32 @@ -37950,6 +47438,8 @@ 0 0 0 +3 +0 49 0x9a11 0xf62c9fa3 @@ -37958,6 +47448,8 @@ 0 0 0 +1 +2 68 0x4d22 0x8be1a204 @@ -37966,6 +47458,8 @@ 0 0 0 +1 +4 75 0x6409 0x6ec7f4d5 @@ -37974,6 +47468,8 @@ 0 0 0 +1 +4 50 0xb86 0x424083a3 @@ -37982,6 +47478,8 @@ 1 0 0 +4 +1 56 0xcb5d 0x918f5ace @@ -37990,6 +47488,8 @@ 0 0 0 +5 +5 61 0xb1aa 0xf8f478f1 @@ -37998,6 +47498,8 @@ 1 0 0 +5 +1 81 0xcfec 0xe78fa734 @@ -38006,6 +47508,8 @@ 0 0 0 +5 +5 24 0x9d6b 0x41cda94d @@ -38014,6 +47518,8 @@ 1 1 0 +4 +0 25 0x107e 0xcd83919a @@ -38022,6 +47528,8 @@ 0 0 0 +2 +1 70 0xd670 0x4948a932 @@ -38030,6 +47538,8 @@ 1 1 0 +0 +2 28 0x1820 0xd6d1ece3 @@ -38038,6 +47548,8 @@ 0 0 0 +2 +1 39 0x7c12 0xe9503253 @@ -38046,6 +47558,8 @@ 0 0 0 +0 +3 22 0x9896 0xcf561ac @@ -38054,6 +47568,8 @@ 1 0 0 +0 +0 17 0x5ac4 0x6a3979a7 @@ -38062,6 +47578,8 @@ 0 0 0 +5 +3 23 0xc75f 0x2657b739 @@ -38070,6 +47588,8 @@ 0 0 0 +3 +0 29 0x76d3 0xef8bb4 @@ -38078,6 +47598,8 @@ 1 0 0 +2 +4 52 0xf8b 0xbfad0612 @@ -38086,6 +47608,8 @@ 0 0 0 +2 +2 50 0x5360 0x83bfda68 @@ -38094,6 +47618,8 @@ 0 0 0 +4 +5 100 0x98e3 0xe86c0d69 @@ -38102,6 +47628,8 @@ 0 0 0 +1 +0 28 0x1afb 0xa1bcd88c @@ -38110,6 +47638,8 @@ 1 0 0 +5 +0 81 0x4811 0x11429fb8 @@ -38118,6 +47648,8 @@ 1 1 0 +0 +3 28 0xea83 0x28398f55 @@ -38126,6 +47658,8 @@ 1 0 0 +5 +0 54 0x8571 0xc6f91662 @@ -38134,6 +47668,8 @@ 1 1 0 +0 +1 52 0x954 0x482f92c3 @@ -38142,6 +47678,8 @@ 1 1 0 +2 +5 12 0x6d7c 0x405aafcd @@ -38150,6 +47688,8 @@ 1 0 0 +0 +0 29 0x377d 0x65a3636d @@ -38158,6 +47698,8 @@ 1 0 0 +2 +2 39 0xfe9a 0xb35d75c6 @@ -38166,6 +47708,8 @@ 1 0 0 +1 +2 90 0xb15d 0xa3e7c821 @@ -38174,6 +47718,8 @@ 1 1 0 +1 +1 45 0x2396 0x62eb0af8 @@ -38182,6 +47728,8 @@ 0 0 0 +3 +3 63 0x9196 0x765824f3 @@ -38190,6 +47738,8 @@ 0 0 0 +1 +4 70 0x8cb5 0xf15d4027 @@ -38198,6 +47748,8 @@ 0 0 0 +0 +4 68 0x72c7 0x61514e04 @@ -38206,6 +47758,8 @@ 1 0 0 +2 +1 25 0x140f 0xfcd62972 @@ -38214,6 +47768,8 @@ 1 1 0 +1 +1 43 0x4d45 0x3afbdaa @@ -38222,6 +47778,8 @@ 0 0 0 +5 +3 78 0x2dc7 0x172b1257 @@ -38230,6 +47788,8 @@ 0 0 0 +0 +0 10 0xb08 0xa4a0bc57 @@ -38238,6 +47798,8 @@ 1 0 0 +1 +5 69 0xb1d6 0x7608577 @@ -38246,6 +47808,8 @@ 1 1 0 +3 +0 77 0x942b 0x4d896b44 @@ -38254,6 +47818,8 @@ 1 1 0 +0 +0 61 0x1ec8 0x1661e609 @@ -38262,6 +47828,8 @@ 0 0 0 +5 +4 47 0x417c 0x9ce532aa @@ -38270,6 +47838,8 @@ 0 0 0 +0 +0 64 0xc10b 0x4dbaec55 @@ -38278,6 +47848,8 @@ 1 1 0 +1 +3 17 0xc695 0xdb44423d @@ -38286,6 +47858,8 @@ 1 0 0 +2 +4 85 0xc5d4 0x24b79717 @@ -38294,6 +47868,8 @@ 1 1 0 +1 +0 24 0x7c0 0xe9a2eb83 @@ -38302,6 +47878,8 @@ 0 0 0 +5 +5 98 0xb724 0x2699080a @@ -38310,6 +47888,8 @@ 1 0 0 +5 +2 47 0x88cd 0x2084e61d @@ -38318,6 +47898,8 @@ 0 0 0 +1 +4 93 0x8a2e 0x7352de2 @@ -38326,6 +47908,8 @@ 1 0 0 +4 +5 74 0x5094 0x1acd2834 @@ -38334,6 +47918,8 @@ 0 0 0 +2 +5 80 0xb96b 0x3c5ae380 @@ -38342,6 +47928,8 @@ 1 0 0 +0 +0 12 0x6cc0 0x20baa32b @@ -38350,6 +47938,8 @@ 1 1 0 +1 +5 74 0x3916 0x25d37342 @@ -38358,6 +47948,8 @@ 0 0 0 +4 +0 99 0x95b8 0x71b4dd85 @@ -38366,6 +47958,8 @@ 1 1 0 +4 +5 59 0x979 0xe1f99294 @@ -38374,6 +47968,8 @@ 0 0 0 +0 +4 86 0x3b6e 0x92625711 @@ -38382,6 +47978,8 @@ 1 1 0 +0 +3 65 0x27d4 0x21457ad0 @@ -38390,6 +47988,8 @@ 1 1 0 +3 +1 76 0x68fa 0x3b0cdab4 @@ -38398,6 +47998,8 @@ 0 0 0 +0 +1 64 0xeb6b 0xd34fadea @@ -38406,6 +48008,8 @@ 1 1 0 +1 +4 74 0xf638 0x2e853ddb @@ -38414,6 +48018,8 @@ 1 1 0 +0 +3 19 0xc268 0x3f81b211 @@ -38422,6 +48028,8 @@ 1 0 0 +0 +5 45 0xe2ef 0xcc05445d @@ -38430,6 +48038,8 @@ 1 0 0 +5 +1 26 0x8377 0xc2d77fbd @@ -38438,6 +48048,8 @@ 0 0 0 +1 +3 65 0xe3b9 0xaf0c8012 @@ -38446,6 +48058,8 @@ 1 0 0 +0 +3 60 0x46f2 0x26d92baf @@ -38454,6 +48068,8 @@ 1 0 0 +5 +3 14 0x7a66 0x555d7d38 @@ -38462,6 +48078,8 @@ 0 0 0 +1 +0 44 0xfecf 0xd71f0f1c @@ -38470,6 +48088,8 @@ 0 0 0 +2 +2 42 0x920d 0xd5384ba2 @@ -38478,6 +48098,8 @@ 0 0 0 +4 +4 94 0xf1ca 0xfb356e0f @@ -38486,6 +48108,8 @@ 1 0 0 +0 +0 72 0x5197 0xf0d35db9 @@ -38494,6 +48118,8 @@ 0 0 0 +2 +1 97 0x6aa2 0xfb08d4b4 @@ -38502,6 +48128,8 @@ 1 0 0 +3 +0 83 0xe2db 0xcfee7e77 @@ -38510,6 +48138,8 @@ 0 0 0 +1 +3 48 0x8039 0xdb61e492 @@ -38518,6 +48148,8 @@ 0 0 0 +5 +1 71 0x45ae 0x37965ee4 @@ -38526,6 +48158,8 @@ 1 0 0 +4 +3 41 0x108b 0x6cb37d52 @@ -38534,6 +48168,8 @@ 1 1 0 +4 +2 53 0x2b16 0x1ae98f0b @@ -38542,6 +48178,8 @@ 1 1 0 +4 +1 81 0xdc6e 0x54fd1989 @@ -38550,6 +48188,8 @@ 1 1 0 +2 +2 53 0xf86c 0xa0380642 @@ -38558,6 +48198,8 @@ 1 0 0 +3 +4 48 0x1ffb 0xccec3bbc @@ -38566,6 +48208,8 @@ 1 0 0 +3 +5 71 0xf2ca 0xfb5164c5 @@ -38574,6 +48218,8 @@ 1 0 0 +0 +5 22 0x4cbe 0xe7f4fba6 @@ -38582,6 +48228,8 @@ 1 1 0 +3 +3 16 0x8422 0x7ee64ead @@ -38590,6 +48238,8 @@ 1 1 0 +4 +1 76 0x2f2f 0x8c1e5391 @@ -38598,6 +48248,8 @@ 0 0 0 +3 +5 67 0xa99e 0x959f7258 @@ -38606,6 +48258,8 @@ 1 0 0 +2 +1 48 0xaa35 0xbafb8076 @@ -38614,6 +48268,8 @@ 1 0 0 +5 +0 44 0x68b 0x72f989fe @@ -38622,6 +48278,8 @@ 1 1 0 +4 +0 65 0x7e9b 0x6457b80e @@ -38630,6 +48288,8 @@ 0 0 0 +2 +3 47 0x672f 0x32039076 @@ -38638,6 +48298,8 @@ 1 1 0 +3 +2 29 0xcd74 0x10478b1f @@ -38646,6 +48308,8 @@ 0 0 0 +5 +0 25 0x597f 0xabbfa7cb @@ -38654,6 +48318,8 @@ 1 0 0 +5 +1 37 0x419f 0x8efc394f @@ -38662,6 +48328,8 @@ 1 0 0 +2 +5 22 0xed12 0x5541cd39 @@ -38670,6 +48338,8 @@ 0 0 0 +3 +2 74 0xcdb8 0x2d21e8f9 @@ -38678,6 +48348,8 @@ 1 0 0 +1 +4 32 0xc213 0x6985f111 @@ -38686,6 +48358,8 @@ 1 0 0 +5 +5 32 0x2fe2 0x1a395f1f @@ -38694,6 +48368,8 @@ 0 0 0 +4 +2 91 0x39bf 0x43b20906 @@ -38702,6 +48378,8 @@ 0 0 0 +2 +3 99 0x2713 0xe5ad141a @@ -38710,6 +48388,8 @@ 1 1 0 +4 +5 87 0x4a30 0x6b3f306f @@ -38718,6 +48398,8 @@ 0 0 0 +3 +1 50 0x9a94 0x9a881dd6 @@ -38726,6 +48408,8 @@ 0 0 0 +5 +2 30 0xdf9 0x83a5811d @@ -38734,6 +48418,8 @@ 1 1 0 +5 +2 52 0x9d14 0x92b31e96 @@ -38742,6 +48428,8 @@ 0 0 0 +4 +1 40 0x2b19 0xecd05797 @@ -38750,6 +48438,8 @@ 0 0 0 +1 +5 68 0x1f4c 0xb6a36e79 @@ -38758,6 +48448,8 @@ 1 0 0 +4 +2 37 0x8134 0x1886955 @@ -38766,6 +48458,8 @@ 0 0 0 +3 +2 35 0x6093 0x72fd889c @@ -38774,6 +48468,8 @@ 1 1 0 +5 +2 98 0xffe8 0x3174cce4 @@ -38782,6 +48478,8 @@ 1 0 0 +1 +5 52 0x2ab7 0xb30ac4c9 @@ -38790,6 +48488,8 @@ 1 0 0 +2 +5 23 0x33dc 0xa86f9f33 @@ -38798,6 +48498,8 @@ 1 0 0 +5 +4 76 0x4f56 0xcf20d016 @@ -38806,6 +48508,8 @@ 0 0 0 +4 +4 69 0x7da1 0xd2045a2c @@ -38814,6 +48518,8 @@ 1 1 0 +1 +5 87 0xfbd6 0xb498a41d @@ -38822,6 +48528,8 @@ 0 0 0 +2 +3 13 0x66db 0x8273b811 @@ -38830,6 +48538,8 @@ 0 0 0 +1 +1 27 0xf2de 0x9fc627e6 @@ -38838,6 +48548,8 @@ 0 0 0 +3 +2 45 0x4908 0x8007309e @@ -38846,6 +48558,8 @@ 1 0 0 +2 +1 40 0xecca 0x756e7506 @@ -38854,6 +48568,8 @@ 1 0 0 +0 +2 70 0xbce0 0xc135fc41 @@ -38862,6 +48578,8 @@ 0 0 0 +2 +1 71 0x9dcc 0x467972d9 @@ -38870,6 +48588,8 @@ 0 0 0 +4 +2 32 0x35fa 0x720de698 @@ -38878,6 +48598,8 @@ 0 0 0 +5 +1 75 0x2476 0xe6941b46 @@ -38886,6 +48608,8 @@ 0 0 0 +2 +1 36 0x44dc 0xb6f8edb9 @@ -38894,6 +48618,8 @@ 0 0 0 +3 +0 73 0xb363 0x2e25b9ae @@ -38902,6 +48628,8 @@ 0 0 0 +4 +5 57 0xc8c1 0x26e508d2 @@ -38910,6 +48638,8 @@ 0 0 0 +0 +3 21 0xd1d1 0x8153c929 @@ -38918,6 +48648,8 @@ 0 0 0 +1 +5 14 0x3225 0x91652cb4 @@ -38926,6 +48658,8 @@ 0 0 0 +3 +2 41 0x6cb4 0x37e9947 @@ -38934,6 +48668,8 @@ 0 0 0 +0 +5 71 0x7b82 0x27312814 @@ -38942,6 +48678,8 @@ 0 0 0 +5 +1 58 0xbe85 0xfababe86 @@ -38950,6 +48688,8 @@ 0 0 0 +2 +1 28 0x9720 0x99643024 @@ -38958,6 +48698,8 @@ 0 0 0 +2 +1 88 0x392b 0x7254caa3 @@ -38966,6 +48708,8 @@ 0 0 0 +2 +2 19 0x1187 0x283e1095 @@ -38974,6 +48718,8 @@ 1 1 0 +2 +4 29 0x8e9a 0xbc2983c7 @@ -38982,6 +48728,8 @@ 0 0 0 +4 +4 38 0xd5d3 0x5fd3ac15 @@ -38990,6 +48738,8 @@ 0 0 0 +0 +5 87 0x2730 0xe8d2d7ba @@ -38998,6 +48748,8 @@ 0 0 0 +3 +0 57 0xfe98 0x896c3f1d @@ -39006,6 +48758,8 @@ 0 0 0 +1 +4 35 0x7205 0xb4ecfb6c @@ -39014,6 +48768,8 @@ 0 0 0 +1 +4 38 0x546f 0x3c193024 @@ -39022,6 +48778,8 @@ 1 0 0 +3 +3 78 0x9036 0x5b8d822 @@ -39030,6 +48788,8 @@ 1 1 0 +5 +2 22 0x8bdc 0x9c21f935 @@ -39038,6 +48798,8 @@ 1 1 0 +2 +4 88 0xaec1 0xbb248a97 @@ -39046,6 +48808,8 @@ 0 0 0 +4 +3 14 0xc59f 0x2afea044 @@ -39054,6 +48818,8 @@ 1 1 0 +1 +4 53 0x7b01 0x6e3cef7f @@ -39062,6 +48828,8 @@ 0 0 0 +4 +3 63 0x4caf 0xb665a6e9 @@ -39070,6 +48838,8 @@ 1 0 0 +5 +3 36 0x93f5 0xc8dec58a @@ -39078,6 +48848,8 @@ 0 0 0 +2 +3 74 0x39a7 0xb061dff9 @@ -39086,6 +48858,8 @@ 0 0 0 +3 +2 87 0xc86f 0x2e06227e @@ -39094,6 +48868,8 @@ 1 0 0 +3 +4 94 0x13ce 0x4c98ca44 @@ -39102,6 +48878,8 @@ 1 1 0 +4 +3 22 0xa306 0x701d9738 @@ -39110,6 +48888,8 @@ 0 0 0 +2 +1 36 0x43da 0xc6030e3e @@ -39118,6 +48898,8 @@ 0 0 0 +5 +3 87 0xa02c 0x8cbc8f43 @@ -39126,6 +48908,8 @@ 1 1 0 +3 +0 36 0x4161 0x6c191478 @@ -39134,6 +48918,8 @@ 0 0 0 +3 +2 44 0x4980 0x172d13c9 @@ -39142,6 +48928,8 @@ 0 0 0 +5 +3 73 0x4b3b 0x23425785 @@ -39150,6 +48938,8 @@ 0 0 0 +2 +4 20 0x9f10 0x1b3c6b2 @@ -39158,6 +48948,8 @@ 0 0 0 +1 +4 54 0x7e35 0xdc918209 @@ -39166,6 +48958,8 @@ 1 0 0 +5 +4 80 0xa39f 0x4e90762f @@ -39174,6 +48968,8 @@ 0 0 0 +0 +2 16 0x198b 0x7505d2d2 @@ -39182,6 +48978,8 @@ 0 0 0 +1 +2 79 0xd7a7 0xe9ea6994 @@ -39190,6 +48988,8 @@ 1 0 0 +0 +1 43 0x544a 0xa7b09e49 @@ -39198,6 +48998,8 @@ 0 0 0 +2 +5 14 0xa72e 0x55b0bd2 @@ -39206,6 +49008,8 @@ 1 1 0 +5 +0 46 0x24e7 0xb0a7202b @@ -39214,6 +49018,8 @@ 0 0 0 +2 +5 88 0x4257 0x5b8f0095 @@ -39222,6 +49028,8 @@ 1 1 0 +3 +5 17 0xa3db 0x9f714526 @@ -39230,6 +49038,8 @@ 1 1 0 +0 +5 88 0xb24c 0xfe1104b @@ -39238,6 +49048,8 @@ 1 1 0 +5 +0 92 0x8fe4 0x2f4844a6 @@ -39246,6 +49058,8 @@ 1 0 0 +3 +4 32 0x17b4 0x7a922075 @@ -39254,6 +49068,8 @@ 1 1 0 +1 +4 64 0xd373 0x63fd22b9 @@ -39262,6 +49078,8 @@ 0 0 0 +2 +4 31 0xca20 0x43fabb17 @@ -39270,6 +49088,8 @@ 1 0 0 +5 +0 37 0xd428 0x8f8dc362 @@ -39278,6 +49098,8 @@ 0 0 0 +5 +5 98 0x7416 0x1af123cf @@ -39286,6 +49108,8 @@ 1 1 0 +4 +2 48 0x7825 0x5e0ad075 @@ -39294,6 +49118,8 @@ 0 0 0 +1 +3 46 0x8179 0x80704687 @@ -39302,6 +49128,8 @@ 0 0 0 +5 +0 76 0x4c9b 0xb4c066c2 @@ -39310,6 +49138,8 @@ 1 0 0 +4 +4 95 0x350a 0x7ce11d25 @@ -39318,6 +49148,8 @@ 0 0 0 +0 +5 98 0xa584 0x7f44dce9 @@ -39326,6 +49158,8 @@ 1 0 0 +5 +5 79 0xe590 0x419eb472 @@ -39334,6 +49168,8 @@ 1 0 0 +2 +1 99 0x42fe 0x2c330de6 @@ -39342,6 +49178,8 @@ 0 0 0 +5 +4 63 0x969a 0xedb67c55 @@ -39350,6 +49188,8 @@ 1 1 0 +0 +5 43 0x5d91 0xf1e6d4f @@ -39358,6 +49198,8 @@ 1 0 0 +3 +2 75 0xd152 0xf68161d6 @@ -39366,6 +49208,8 @@ 0 0 0 +2 +4 65 0xe026 0xed230b4d @@ -39374,6 +49218,8 @@ 0 0 0 +2 +5 65 0x5f7c 0xee678fa6 @@ -39382,6 +49228,8 @@ 1 1 0 +1 +3 44 0x40e 0xa6945dfb @@ -39390,6 +49238,8 @@ 0 0 0 +1 +2 13 0x4e66 0xeeb5ecb9 @@ -39398,6 +49248,8 @@ 1 1 0 +4 +0 36 0x15c4 0x3a7e153d @@ -39406,6 +49258,8 @@ 1 1 0 +5 +5 22 0x4b27 0xe5ab3e73 @@ -39414,6 +49268,8 @@ 1 0 0 +5 +1 53 0x3343 0x5ffda4b2 @@ -39422,6 +49278,8 @@ 0 0 0 +1 +5 50 0x1abb 0x41a9bf10 @@ -39430,6 +49288,8 @@ 1 0 0 +0 +1 46 0x65b5 0xdc7f5e0d @@ -39438,6 +49298,8 @@ 1 1 0 +4 +3 79 0x504e 0x82bf3953 @@ -39446,6 +49308,8 @@ 0 0 0 +1 +1 31 0x7f59 0x4334a27 @@ -39454,6 +49318,8 @@ 0 0 0 +3 +3 20 0xaee 0xcb45c4a6 @@ -39462,6 +49328,8 @@ 0 0 0 +5 +1 54 0x984b 0x60c4d059 @@ -39470,6 +49338,8 @@ 1 1 0 +0 +4 66 0x40d5 0x3debbf57 @@ -39478,6 +49348,8 @@ 0 0 0 +1 +0 83 0xd587 0xdf6ca8b8 @@ -39486,6 +49358,8 @@ 0 0 0 +4 +4 28 0xfc4d 0xf3011638 @@ -39494,6 +49368,8 @@ 0 0 0 +3 +0 16 0x9abb 0x5f644e0e @@ -39502,6 +49378,8 @@ 0 0 0 +1 +1 50 0xb2f9 0x33965b6 @@ -39510,6 +49388,8 @@ 1 1 0 +1 +3 25 0x337a 0x5be712a4 @@ -39518,6 +49398,8 @@ 1 0 0 +2 +1 41 0x2d6f 0x5a0264bd @@ -39526,6 +49408,8 @@ 0 0 0 +5 +5 24 0xce36 0x26f16dd5 @@ -39534,6 +49418,8 @@ 1 0 0 +5 +5 38 0x492 0x4658a2e0 @@ -39542,6 +49428,8 @@ 1 0 0 +3 +0 36 0x39af 0x783eab36 @@ -39550,6 +49438,8 @@ 1 1 0 +0 +4 49 0xef41 0xf63864be @@ -39558,6 +49448,8 @@ 0 0 0 +2 +4 32 0x9ac9 0x225adab3 @@ -39566,6 +49458,8 @@ 1 0 0 +3 +1 95 0x3a3e 0x9b902812 @@ -39574,6 +49468,8 @@ 1 0 0 +3 +1 29 0xb148 0xb1aa156 @@ -39582,6 +49478,8 @@ 1 1 0 +0 +2 90 0x1803 0x3b7c341d @@ -39590,6 +49488,8 @@ 1 1 0 +3 +1 19 0x8c2c 0xc1c842ff @@ -39598,6 +49498,8 @@ 1 0 0 +2 +4 91 0xc5f 0x57bbf08 @@ -39606,6 +49508,8 @@ 0 0 0 +5 +4 65 0xf298 0x71b840a4 @@ -39614,6 +49518,8 @@ 0 0 0 +4 +1 20 0xdc44 0x70f5a686 @@ -39622,6 +49528,8 @@ 1 1 0 +5 +4 97 0x7f0e 0x7b24f4e4 @@ -39630,6 +49538,8 @@ 0 0 0 +4 +3 90 0xbc80 0xd3f659d8 @@ -39638,6 +49548,8 @@ 0 0 0 +1 +5 81 0x3116 0x717e858c @@ -39646,6 +49558,8 @@ 1 1 0 +3 +3 55 0x6d52 0xbdde336d @@ -39654,6 +49568,8 @@ 1 0 0 +4 +4 77 0x8ef5 0xc5377e46 @@ -39662,6 +49578,8 @@ 0 0 0 +1 +2 48 0x1cf 0x42da1d47 @@ -39670,6 +49588,8 @@ 0 0 0 +5 +3 37 0xed0f 0x86c6f0df @@ -39678,6 +49598,8 @@ 0 0 0 +1 +2 51 0x3d07 0xca994e60 @@ -39686,6 +49608,8 @@ 1 1 0 +1 +2 49 0x7b3c 0xc50046f0 @@ -39694,6 +49618,8 @@ 0 0 0 +5 +1 40 0x79e1 0x2f4b005c @@ -39702,6 +49628,8 @@ 1 0 0 +2 +1 40 0xb763 0x6c9dc496 @@ -39710,6 +49638,8 @@ 0 0 0 +1 +3 96 0x19d9 0x1348fd9c @@ -39718,6 +49648,8 @@ 1 1 0 +4 +3 26 0x4850 0xe857575f @@ -39726,6 +49658,8 @@ 0 0 0 +5 +4 61 0x5b06 0x2d613719 @@ -39734,6 +49668,8 @@ 1 0 0 +5 +5 13 0x8b5 0x54661506 @@ -39742,6 +49678,8 @@ 1 1 0 +0 +2 32 0x5344 0xb0cc6dcf @@ -39750,6 +49688,8 @@ 0 0 0 +2 +5 90 0xe32f 0xa35e37f4 @@ -39758,6 +49698,8 @@ 1 0 0 +1 +1 94 0x60b4 0x8ba22e29 @@ -39766,6 +49708,8 @@ 0 0 0 +5 +1 45 0xbbf4 0xb41e14e1 @@ -39774,6 +49718,8 @@ 0 0 0 +3 +5 60 0xda45 0x4420469e @@ -39782,6 +49728,8 @@ 0 0 0 +0 +5 27 0x8a90 0xb29de53c @@ -39790,6 +49738,8 @@ 1 1 0 +2 +4 79 0x8d6c 0x16038f9b @@ -39798,6 +49748,8 @@ 0 0 0 +0 +5 44 0xef10 0xf2f09adf @@ -39806,6 +49758,8 @@ 1 1 0 +2 +0 65 0x4b69 0x5f8969be @@ -39814,6 +49768,8 @@ 1 1 0 +4 +4 56 0x77f1 0xc9c2e6c1 @@ -39822,6 +49778,8 @@ 0 0 0 +0 +0 74 0x26a6 0xa35e84dc @@ -39830,6 +49788,8 @@ 0 0 0 +3 +2 40 0x4cf3 0xe24d68ce @@ -39838,6 +49798,8 @@ 1 0 0 +1 +5 59 0xdd01 0x435f07b2 @@ -39846,6 +49808,8 @@ 1 0 0 +3 +3 62 0xb586 0xb41cc519 @@ -39854,6 +49818,8 @@ 1 0 0 +4 +1 30 0x7fdf 0x4841d457 @@ -39862,6 +49828,8 @@ 0 0 0 +0 +3 39 0xce95 0xfc07f84c @@ -39870,6 +49838,8 @@ 0 0 0 +1 +0 52 0xd23f 0xccc3ceb7 @@ -39878,6 +49848,8 @@ 0 0 0 +5 +2 47 0xb1c4 0xa6f54dc7 @@ -39886,6 +49858,8 @@ 1 0 0 +0 +0 13 0x36ac 0x217f4cd2 @@ -39894,6 +49868,8 @@ 0 0 0 +0 +1 32 0xa048 0x1f010270 @@ -39902,6 +49878,8 @@ 0 0 0 +2 +0 17 0x51ab 0x69e60bb4 @@ -39910,6 +49888,8 @@ 0 0 0 +1 +0 67 0xa4ed 0x8b4af501 @@ -39918,6 +49898,8 @@ 0 0 0 +5 +4 23 0x75a 0x299c5988 @@ -39926,6 +49908,8 @@ 1 1 0 +3 +4 59 0x93fe 0xb8d02d5 @@ -39934,6 +49918,8 @@ 1 0 0 +0 +3 24 0x4357 0xde9ea640 @@ -39942,6 +49928,8 @@ 0 0 0 +2 +0 88 0xbc70 0xe40822bd @@ -39950,6 +49938,8 @@ 1 0 0 +5 +4 59 0x8c15 0x78698c04 @@ -39958,6 +49948,8 @@ 0 0 0 +2 +4 81 0xcc0 0xec73e48 @@ -39966,6 +49958,8 @@ 0 0 0 +3 +2 85 0x25cb 0xc6e5ce2c @@ -39974,6 +49968,8 @@ 1 1 0 +2 +1 94 0xcb00 0xd27a2434 @@ -39982,6 +49978,8 @@ 0 0 0 +1 +5 62 0x3e30 0x6fff8fd0 @@ -39990,6 +49988,8 @@ 0 0 0 +5 +2 74 0x49f7 0xa1773008 @@ -39998,6 +49998,8 @@ 0 0 0 +1 +2 98 0xcb74 0x60f4687e @@ -40006,6 +50008,8 @@ 0 0 0 +2 +5 12 0xbc70 0xd737fb4d @@ -40014,6 +50018,8 @@ 1 1 0 +4 +1 67 0xbd1d 0x10554713 @@ -40022,6 +50028,8 @@ 1 0 0 +3 +4 91 0xa06c 0xaa79cb38 @@ -40030,6 +50038,8 @@ 1 1 0 +0 +0 13 0x774e 0xcb8a4ad7 @@ -40038,6 +50048,8 @@ 0 0 0 +2 +1 27 0x63d0 0x64519e1 @@ -40046,6 +50058,8 @@ 0 0 0 +4 +3 72 0x5472 0xa5b6a77e @@ -40054,6 +50068,8 @@ 0 0 0 +0 +5 34 0xc1bc 0x7c00242e @@ -40062,6 +50078,8 @@ 1 1 0 +4 +3 79 0xc000 0xece3bcfa @@ -40070,6 +50088,8 @@ 1 0 0 +0 +5 33 0x6e7b 0x55e7e501 @@ -40078,6 +50098,8 @@ 1 1 0 +5 +1 94 0xd5b5 0xc471015e @@ -40086,6 +50108,8 @@ 1 1 0 +4 +5 47 0xdb41 0x4a1ce798 @@ -40094,6 +50118,8 @@ 0 0 0 +5 +3 48 0x78d1 0xd7925ff9 @@ -40102,6 +50128,8 @@ 1 1 0 +5 +5 99 0xf671 0xecc50b2d @@ -40110,6 +50138,8 @@ 1 1 0 +1 +0 54 0x4c06 0xcfe6ec79 @@ -40118,6 +50148,8 @@ 1 0 0 +5 +0 91 0xdaa0 0xe7b7dd7d @@ -40126,6 +50158,8 @@ 1 1 0 +3 +2 25 0x2bfe 0x4039314c @@ -40134,6 +50168,8 @@ 1 1 0 +5 +4 14 0xb3d1 0x91a09e9 @@ -40142,6 +50178,8 @@ 0 0 0 +5 +5 39 0x7a00 0x3653c131 @@ -40150,6 +50188,8 @@ 1 1 0 +2 +2 73 0x5efc 0xd6564098 @@ -40158,6 +50198,8 @@ 0 0 0 +1 +5 24 0xb34a 0x99611740 @@ -40166,6 +50208,8 @@ 1 1 0 +4 +1 97 0x1619 0xec86e49 @@ -40174,6 +50218,8 @@ 1 1 0 +1 +1 60 0xb1d5 0xe97efb62 @@ -40182,6 +50228,8 @@ 1 1 0 +4 +5 36 0x607f 0xff988368 @@ -40190,6 +50238,8 @@ 1 0 0 +4 +3 15 0xe54f 0x67a44b07 @@ -40198,6 +50248,8 @@ 0 0 0 +0 +2 24 0x5303 0x15b20e28 @@ -40206,6 +50258,8 @@ 1 1 0 +1 +3 92 0x5407 0x9bceb64c @@ -40214,6 +50268,8 @@ 1 1 0 +5 +5 69 0x11a8 0xbc5e6504 @@ -40222,6 +50278,8 @@ 1 1 0 +4 +5 87 0x7aba 0x9aa4a4ce @@ -40230,6 +50288,8 @@ 1 0 0 +4 +0 60 0xb49b 0x5c78947 @@ -40238,6 +50298,8 @@ 0 0 0 +4 +5 95 0x3864 0x75161960 @@ -40246,6 +50308,8 @@ 0 0 0 +5 +3 12 0x6dd6 0xcb41f092 @@ -40254,6 +50318,8 @@ 1 1 0 +5 +4 58 0x3e4 0x3b7f98c9 @@ -40262,6 +50328,8 @@ 0 0 0 +5 +5 18 0x902a 0x8a9c97c2 @@ -40270,6 +50338,8 @@ 0 0 0 +4 +3 81 0x41e7 0xa2dfeb8 @@ -40278,6 +50348,8 @@ 0 0 0 +5 +5 81 0x3f5a 0x77788d07 @@ -40286,6 +50358,8 @@ 1 1 0 +2 +5 95 0xf8d8 0x891939ae @@ -40294,6 +50368,8 @@ 1 1 0 +1 +0 28 0x428c 0xc08c385b @@ -40302,6 +50378,8 @@ 1 0 0 +1 +2 53 0x2937 0xa1d50c7a @@ -40310,6 +50388,8 @@ 1 1 0 +2 +4 93 0xbd5c 0xd5786db6 @@ -40318,6 +50398,8 @@ 1 1 0 +2 +5 45 0x617b 0x12a6974f @@ -40326,6 +50408,8 @@ 0 0 0 +0 +5 98 0x26fd 0x284e202b @@ -40334,6 +50418,8 @@ 0 0 0 +3 +2 26 0x483f 0x1cc150aa @@ -40342,6 +50428,8 @@ 0 0 0 +3 +1 11 0xcca 0xf5b985de @@ -40350,6 +50438,8 @@ 0 0 0 +2 +3 31 0x5bd1 0x38b04dee @@ -40358,6 +50448,8 @@ 1 1 0 +4 +2 20 0x3d28 0x60a79186 @@ -40366,6 +50458,8 @@ 0 0 0 +4 +1 61 0x7037 0x97630c0a @@ -40374,6 +50468,8 @@ 0 0 0 +4 +5 85 0xa9bb 0xad192127 @@ -40382,6 +50478,8 @@ 1 0 0 +1 +0 13 0x5f16 0xeda103e2 @@ -40390,6 +50488,8 @@ 0 0 0 +3 +5 65 0x4520 0x5e798aa8 @@ -40398,6 +50498,8 @@ 1 1 0 +2 +5 78 0x9230 0x1b5ab3e2 @@ -40406,6 +50508,8 @@ 1 1 0 +0 +4 61 0xd6c5 0x6a2f883b @@ -40414,6 +50518,8 @@ 0 0 0 +1 +4 32 0xcbe5 0xdf8bbba1 @@ -40422,6 +50528,8 @@ 0 0 0 +4 +1 39 0x8477 0x67943eeb @@ -40430,6 +50538,8 @@ 0 0 0 +2 +3 66 0x1c07 0x5a9c8496 @@ -40438,6 +50548,8 @@ 0 0 0 +3 +5 75 0x200c 0x25c2a02 @@ -40446,6 +50558,8 @@ 1 1 0 +0 +2 99 0x671e 0x779a6bf0 @@ -40454,6 +50568,8 @@ 1 1 0 +0 +0 95 0x2981 0xb2d80f01 @@ -40462,6 +50578,8 @@ 1 0 0 +0 +2 27 0xc813 0x2e92d4a3 @@ -40470,6 +50588,8 @@ 1 1 0 +4 +0 85 0x1e01 0x248c3880 @@ -40478,6 +50598,8 @@ 1 0 0 +2 +2 78 0xac8c 0xf01d21a9 @@ -40486,6 +50608,8 @@ 0 0 0 +4 +5 85 0x8521 0x277affce @@ -40494,6 +50618,8 @@ 1 0 0 +2 +3 61 0xf64e 0x1e87201 @@ -40502,6 +50628,8 @@ 0 0 0 +5 +4 87 0x89ae 0xe299bda4 @@ -40510,6 +50638,8 @@ 1 0 0 +1 +0 28 0x4638 0x4d85a634 @@ -40518,6 +50648,8 @@ 1 1 0 +3 +4 97 0xa4b5 0xe4d6cdae @@ -40526,6 +50658,8 @@ 0 0 0 +2 +4 69 0x7bb0 0xcac88a75 @@ -40534,6 +50668,8 @@ 0 0 0 +1 +4 42 0xed83 0x7eead1e8 @@ -40542,6 +50678,8 @@ 0 0 0 +5 +2 85 0x12d8 0xb48dac20 @@ -40550,6 +50688,8 @@ 0 0 0 +1 +5 33 0x5f3d 0x9df6cca @@ -40558,6 +50698,8 @@ 0 0 0 +2 +0 44 0xbe03 0xdbc31dd7 @@ -40566,6 +50708,8 @@ 0 0 0 +5 +1 57 0x4c36 0xee065554 @@ -40574,6 +50718,8 @@ 0 0 0 +5 +5 75 0x7e00 0xd62f8a32 @@ -40582,6 +50728,8 @@ 1 1 0 +5 +1 15 0xdd19 0x5e2ca0f0 @@ -40590,6 +50738,8 @@ 0 0 0 +3 +3 61 0x719a 0x1e3adc96 @@ -40598,6 +50748,8 @@ 1 1 0 +1 +4 14 0x68a4 0xfe043f49 @@ -40606,6 +50758,8 @@ 0 0 0 +5 +1 38 0x81a9 0xf6cc6e10 @@ -40614,6 +50768,8 @@ 0 0 0 +1 +4 66 0xe0fd 0xd55c11a8 @@ -40622,6 +50778,8 @@ 0 0 0 +0 +2 100 0x92f5 0x376d89e8 @@ -40630,6 +50788,8 @@ 0 0 0 +5 +3 11 0x6fc1 0xcc6cbd69 @@ -40638,6 +50798,8 @@ 0 0 0 +4 +0 17 0xf413 0xe7552488 @@ -40646,6 +50808,8 @@ 0 0 0 +4 +5 99 0xec49 0x51e0927f @@ -40654,6 +50818,8 @@ 0 0 0 +2 +5 15 0x91c 0x9f2e6375 @@ -40662,6 +50828,8 @@ 0 0 0 +5 +5 62 0xc5c5 0x3a838e11 @@ -40670,6 +50838,8 @@ 0 0 0 +3 +1 15 0xf4f7 0x2335acb4 @@ -40678,6 +50848,8 @@ 1 0 0 +0 +4 46 0x8a84 0xfc4b8070 @@ -40686,6 +50858,8 @@ 1 1 0 +1 +0 75 0x83e9 0xa62d325b @@ -40694,6 +50868,8 @@ 0 0 0 +4 +0 52 0xdcc9 0x4693fe85 @@ -40702,6 +50878,8 @@ 1 0 0 +5 +2 54 0x8177 0x144f5df0 @@ -40710,6 +50888,8 @@ 1 1 0 +0 +2 82 0x3b8d 0xafe1d520 @@ -40718,6 +50898,8 @@ 0 0 0 +3 +0 77 0x4424 0x4c09f338 @@ -40726,6 +50908,8 @@ 1 1 0 +0 +5 23 0xa5f 0x5999630f @@ -40734,6 +50918,8 @@ 1 1 0 +5 +0 67 0xb787 0x2343ae4f @@ -40742,6 +50928,8 @@ 0 0 0 +1 +1 74 0x2a88 0xa75c08d0 @@ -40750,6 +50938,8 @@ 1 0 0 +0 +2 17 0x5e8b 0x6479ab33 @@ -40758,6 +50948,8 @@ 0 0 0 +4 +4 31 0x5fff 0x8898645e @@ -40766,6 +50958,8 @@ 1 1 0 +5 +3 23 0x9607 0x4421264a @@ -40774,6 +50968,8 @@ 1 0 0 +1 +4 73 0x7949 0xf5192ed7 @@ -40782,6 +50978,8 @@ 0 0 0 +5 +1 36 0xeccb 0x8d97de60 @@ -40790,6 +50988,8 @@ 0 0 0 +0 +4 49 0x22eb 0x44b476d7 @@ -40798,6 +50998,8 @@ 0 0 0 +0 +0 26 0xdbca 0x803e7010 @@ -40806,6 +51008,8 @@ 1 1 0 +4 +1 46 0x16d8 0x1d2479d3 @@ -40814,6 +51018,8 @@ 1 0 0 +1 +3 26 0x309a 0x6791e437 @@ -40822,6 +51028,8 @@ 1 0 0 +0 +4 35 0xc85e 0x768910da @@ -40830,6 +51038,8 @@ 1 1 0 +2 +2 27 0x7c2c 0x35e32cd6 @@ -40838,6 +51048,8 @@ 0 0 0 +0 +1 99 0xc356 0xdfa0a3aa @@ -40846,6 +51058,8 @@ 1 1 0 +5 +4 30 0x8aca 0xa2b66821 @@ -40854,6 +51068,8 @@ 0 0 0 +1 +4 27 0x9a57 0xb32ac04f @@ -40862,6 +51078,8 @@ 0 0 0 +4 +0 55 0x6db7 0xe80e07ba @@ -40870,6 +51088,8 @@ 1 0 0 +0 +3 66 0x91c3 0x87b006a8 @@ -40878,6 +51098,8 @@ 1 0 0 +3 +5 98 0x4d54 0x6bd48834 @@ -40886,6 +51108,8 @@ 1 0 0 +0 +3 25 0xf25c 0x68e85f83 @@ -40894,6 +51118,8 @@ 0 0 0 +5 +1 52 0xf880 0x168322fc @@ -40902,6 +51128,8 @@ 0 0 0 +2 +5 42 0x5e4d 0xf60e2381 @@ -40910,6 +51138,8 @@ 1 1 0 +3 +1 44 0x3fc7 0xcb964ef1 @@ -40918,6 +51148,8 @@ 0 0 0 +1 +1 76 0x7608 0xaf873914 @@ -40926,6 +51158,8 @@ 0 0 0 +4 +3 74 0xd56b 0x110cde07 @@ -40934,6 +51168,8 @@ 0 0 0 +4 +4 51 0x7f80 0xfb63f5f3 @@ -40942,6 +51178,8 @@ 0 0 0 +0 +3 10 0x1c00 0x18d585a2 @@ -40950,6 +51188,8 @@ 1 1 0 +4 +2 100 0x4392 0xd506b15f @@ -40958,6 +51198,8 @@ 1 0 0 +5 +0 83 0xcfad 0x84f90dc6 @@ -40966,6 +51208,8 @@ 0 0 0 +3 +1 51 0xbaae 0x84804b53 @@ -40974,6 +51218,8 @@ 1 0 0 +4 +3 75 0x9601 0x982f80e7 @@ -40982,6 +51228,8 @@ 0 0 0 +1 +2 97 0x9b81 0xd85fffed @@ -40990,6 +51238,8 @@ 1 0 0 +3 +1 27 0x139e 0xf3cc7bcf @@ -40998,6 +51248,8 @@ 0 0 0 +3 +3 92 0x43e0 0x2ffa29e3 @@ -41006,6 +51258,8 @@ 1 1 0 +2 +1 28 0x1b73 0x374e5008 @@ -41014,6 +51268,8 @@ 1 0 0 +1 +2 32 0x6389 0xdfc2ff55 @@ -41022,6 +51278,8 @@ 0 0 0 +4 +2 45 0xb1d9 0xadaa07de @@ -41030,6 +51288,8 @@ 1 1 0 +1 +0 73 0xf1c5 0x31f98445 @@ -41038,6 +51298,8 @@ 0 0 0 +5 +1 73 0x135b 0x8df94f17 @@ -41046,6 +51308,8 @@ 1 1 0 +0 +4 78 0x67ab 0xafa04274 @@ -41054,6 +51318,8 @@ 0 0 0 +5 +1 40 0x6d6c 0xa584c295 @@ -41062,6 +51328,8 @@ 0 0 0 +5 +1 51 0x74d9 0xaf39cee0 @@ -41070,6 +51338,8 @@ 1 0 0 +1 +1 46 0xc8cf 0x9e81bed2 @@ -41078,6 +51348,8 @@ 0 0 0 +0 +0 96 0xc44e 0x1a879a86 @@ -41086,6 +51358,8 @@ 0 0 0 +3 +1 89 0x32a7 0xdca9c432 @@ -41094,6 +51368,8 @@ 0 0 0 +3 +4 15 0x2f78 0xf1401e5f @@ -41102,6 +51378,8 @@ 0 0 0 +2 +4 54 0xeecb 0xeea763a1 @@ -41110,6 +51388,8 @@ 0 0 0 +0 +1 52 0x4089 0x185e40fb @@ -41118,6 +51398,8 @@ 0 0 0 +1 +0 34 0xc661 0xf8806f72 @@ -41126,6 +51408,8 @@ 0 0 0 +2 +5 71 0x606f 0xfb250ed4 @@ -41134,6 +51418,8 @@ 1 0 0 +0 +5 54 0xfcd9 0xb6ed4afd @@ -41142,6 +51428,8 @@ 0 0 0 +0 +3 64 0xf314 0xf4c20853 @@ -41150,6 +51438,8 @@ 0 0 0 +2 +3 43 0xc74 0xb94e63b4 @@ -41158,6 +51448,8 @@ 0 0 0 +1 +4 59 0xa3ed 0xdefae78a @@ -41166,6 +51458,8 @@ 0 0 0 +1 +4 72 0xe2e9 0x7a0fb773 @@ -41174,6 +51468,8 @@ 0 0 0 +2 +5 15 0xd100 0x12c5d8c2 @@ -41182,6 +51478,8 @@ 1 1 0 +5 +0 83 0x2d57 0x290fe56 @@ -41190,6 +51488,8 @@ 1 1 0 +0 +3 70 0x436c 0x62af8f44 @@ -41198,6 +51498,8 @@ 1 0 0 +2 +0 51 0xbe6a 0xa9ba3692 @@ -41206,6 +51508,8 @@ 0 0 0 +2 +3 64 0xa0c8 0xf065aae2 @@ -41214,6 +51518,8 @@ 0 0 0 +4 +3 84 0xb4aa 0xe8c58ce9 @@ -41222,6 +51528,8 @@ 1 0 0 +5 +0 49 0x4b2d 0xb70473af @@ -41230,6 +51538,8 @@ 0 0 0 +5 +0 47 0x624c 0x399f99b5 @@ -41238,6 +51548,8 @@ 0 0 0 +0 +0 74 0x16be 0xad0ab13 @@ -41246,6 +51558,8 @@ 0 0 0 +1 +0 31 0xadd9 0x686f434a @@ -41254,6 +51568,8 @@ 1 0 0 +1 +0 29 0xf00a 0x3200fd51 @@ -41262,6 +51578,8 @@ 1 1 0 +2 +2 48 0xf7b8 0x8d9c9ced @@ -41270,6 +51588,8 @@ 0 0 0 +5 +0 62 0x56d3 0xb91fb627 @@ -41278,6 +51598,8 @@ 0 0 0 +4 +5 15 0x5111 0x88e883fa @@ -41286,6 +51608,8 @@ 0 0 0 +2 +5 43 0x87ed 0x2f46897a @@ -41294,6 +51618,8 @@ 0 0 0 +4 +1 27 0xf21d 0x4387aed0 @@ -41302,6 +51628,8 @@ 1 1 0 +3 +3 96 0x9a21 0xd50e0fec @@ -41310,6 +51638,8 @@ 0 0 0 +1 +3 59 0xdd58 0xf96dd6b9 @@ -41318,6 +51648,8 @@ 0 0 0 +4 +0 47 0x2c84 0x18e06981 @@ -41326,6 +51658,8 @@ 1 0 0 +4 +2 45 0xb8a8 0xd066aa9c @@ -41334,6 +51668,8 @@ 0 0 0 +4 +5 27 0xd87b 0xfd49d0e0 @@ -41342,6 +51678,8 @@ 0 0 0 +0 +1 77 0xea37 0x3bc6892e @@ -41350,6 +51688,8 @@ 1 1 0 +1 +0 62 0x7e90 0xcbf5d738 @@ -41358,6 +51698,8 @@ 1 0 0 +1 +3 25 0x412 0x2e9aeb7f @@ -41366,6 +51708,8 @@ 1 0 0 +5 +3 87 0xe20d 0xfc4133d2 @@ -41374,6 +51718,8 @@ 0 0 0 +5 +4 72 0xec57 0x11b6a371 @@ -41382,6 +51728,8 @@ 0 0 0 +2 +2 57 0xf104 0xcb240851 @@ -41390,6 +51738,8 @@ 0 0 0 +4 +3 23 0xc8b7 0x1a6c7d51 @@ -41398,6 +51748,8 @@ 1 1 0 +3 +4 30 0xb0e5 0xc39e7b32 @@ -41406,6 +51758,8 @@ 0 0 0 +4 +0 51 0x1700 0x5b1aec9e @@ -41414,6 +51768,8 @@ 1 1 0 +0 +2 50 0x1ae0 0x710148ac @@ -41422,6 +51778,8 @@ 1 0 0 +0 +1 93 0x85a6 0xede71096 @@ -41430,6 +51788,8 @@ 0 0 0 +2 +5 64 0x3ae7 0xc09fca85 @@ -41438,6 +51798,8 @@ 1 0 0 +4 +0 29 0x4ed6 0xa3aac7d6 @@ -41446,6 +51808,8 @@ 0 0 0 +3 +3 16 0xf475 0x58cefc36 @@ -41454,6 +51818,8 @@ 0 0 0 +4 +4 79 0xad06 0x499a9916 @@ -41462,6 +51828,8 @@ 0 0 0 +3 +2 64 0xf926 0x6f598ad4 @@ -41470,6 +51838,8 @@ 0 0 0 +4 +3 28 0x9c2e 0x6ae7b311 @@ -41478,6 +51848,8 @@ 1 1 0 +3 +2 87 0x5e24 0x89ea5f93 @@ -41486,6 +51858,8 @@ 1 0 0 +2 +1 18 0xcbaa 0xe9a329c @@ -41494,6 +51868,8 @@ 1 0 0 +5 +1 66 0x4e7e 0x8271c123 @@ -41502,6 +51878,8 @@ 1 0 0 +3 +0 33 0xf412 0xf5d75dca @@ -41510,6 +51888,8 @@ 1 0 0 +2 +4 88 0xb22b 0xcb2f2c85 @@ -41518,6 +51898,8 @@ 1 1 0 +4 +0 13 0x9412 0x48e5b241 @@ -41526,6 +51908,8 @@ 1 1 0 +5 +4 16 0xecca 0x7f05bdac @@ -41534,6 +51918,8 @@ 0 0 0 +0 +3 88 0x5125 0x52fc49c3 @@ -41542,6 +51928,8 @@ 0 0 0 +5 +2 54 0xeef7 0xb7e11c13 @@ -41550,6 +51938,8 @@ 1 0 0 +4 +0 56 0x86f8 0x6873c914 @@ -41558,6 +51948,8 @@ 0 0 0 +4 +4 26 0x4c2 0x7b592480 @@ -41566,6 +51958,8 @@ 0 0 0 +5 +5 14 0x2a5 0x1061e3e1 @@ -41574,6 +51968,8 @@ 0 0 0 +2 +2 42 0xb397 0x79682af2 @@ -41582,6 +51978,8 @@ 1 0 0 +3 +3 45 0x5a40 0x119b882e @@ -41590,6 +51988,8 @@ 0 0 0 +3 +0 66 0xb4d6 0xeb0cdeb1 @@ -41598,6 +51998,8 @@ 0 0 0 +2 +3 99 0xbdf8 0x3b07f809 @@ -41606,6 +52008,8 @@ 1 0 0 +0 +4 51 0x6d33 0xcbcf9d7b @@ -41614,6 +52018,8 @@ 1 1 0 +5 +2 30 0x86ed 0x61c51e9c @@ -41622,6 +52028,8 @@ 0 0 0 +5 +2 68 0x5e2e 0x4415df0f @@ -41630,6 +52038,8 @@ 1 1 0 +5 +2 66 0xfe6e 0xea50c0a8 @@ -41638,6 +52048,8 @@ 1 0 0 +5 +4 72 0x54c0 0xc2cae0ab @@ -41646,6 +52058,8 @@ 1 0 0 +5 +5 24 0xab2a 0x4ca696d7 @@ -41654,6 +52068,8 @@ 1 1 0 +0 +2 33 0x7ae3 0x38f30f08 @@ -41662,6 +52078,8 @@ 0 0 0 +5 +3 31 0x2992 0xeb679c95 @@ -41670,6 +52088,8 @@ 1 1 0 +3 +0 46 0xbbec 0xc7e561bc @@ -41678,6 +52098,8 @@ 0 0 0 +5 +3 97 0xefd3 0x6d96f43f @@ -41686,6 +52108,8 @@ 1 1 0 +1 +1 31 0xfc76 0x3efe7e7e @@ -41694,6 +52118,8 @@ 0 0 0 +1 +4 27 0x5fd1 0xf7d9a826 @@ -41702,6 +52128,8 @@ 1 1 0 +0 +1 64 0xcb98 0x39c47983 @@ -41710,6 +52138,8 @@ 1 0 0 +0 +4 38 0x9371 0xe1b7ca76 @@ -41718,6 +52148,8 @@ 0 0 0 +1 +4 33 0x7756 0x31be764a @@ -41726,6 +52158,8 @@ 0 0 0 +2 +5 17 0x29a8 0x8e74223a @@ -41734,6 +52168,8 @@ 1 1 0 +5 +0 39 0xcf2b 0x6a794134 @@ -41742,6 +52178,8 @@ 0 0 0 +2 +0 42 0xf999 0x6c938ae7 @@ -41750,6 +52188,8 @@ 0 0 0 +4 +2 81 0x1d33 0xf5549107 @@ -41758,6 +52198,8 @@ 1 0 0 +3 +4 27 0xc3ee 0x6c49dde2 @@ -41766,6 +52208,8 @@ 0 0 0 +4 +3 10 0xc4e8 0x447e1261 @@ -41774,6 +52218,8 @@ 0 0 0 +0 +4 25 0xf09d 0xd91f8e58 @@ -41782,6 +52228,8 @@ 1 0 0 +5 +3 12 0x8ac4 0x25e537ec @@ -41790,6 +52238,8 @@ 0 0 0 +2 +1 69 0x6dba 0xe2238fb5 @@ -41798,6 +52248,8 @@ 1 0 0 +0 +4 42 0xcccb 0x21d14bd3 @@ -41806,6 +52258,8 @@ 1 1 0 +5 +5 82 0x82ae 0xa30b1953 @@ -41814,6 +52268,8 @@ 1 0 0 +0 +0 63 0xcc20 0x85543f8a @@ -41822,6 +52278,8 @@ 0 0 0 +3 +1 31 0x92f6 0xf3d58af5 @@ -41830,6 +52288,8 @@ 1 1 0 +0 +5 10 0x6b68 0xea90bf06 @@ -41838,6 +52298,8 @@ 1 1 0 +4 +0 42 0xee4c 0x56018460 @@ -41846,6 +52308,8 @@ 0 0 0 +0 +3 55 0x113d 0x87630674 @@ -41854,6 +52318,8 @@ 0 0 0 +1 +3 94 0x84cb 0xe0d18cd3 @@ -41862,6 +52328,8 @@ 1 0 0 +3 +2 88 0xe2e5 0xe4f5d963 @@ -41870,6 +52338,8 @@ 1 1 0 +1 +0 16 0x2ee7 0xc4a3fc6d @@ -41878,6 +52348,8 @@ 0 0 0 +5 +0 88 0xff61 0xc24c26fc @@ -41886,6 +52358,8 @@ 0 0 0 +5 +4 84 0xa43b 0x6b34be54 @@ -41894,6 +52368,8 @@ 0 0 0 +4 +1 52 0x99b8 0xea5ec474 @@ -41902,6 +52378,8 @@ 1 1 0 +2 +2 49 0x8f7c 0x212c645c @@ -41910,6 +52388,8 @@ 1 1 0 +4 +1 28 0xab68 0x6bb8343a @@ -41918,6 +52398,8 @@ 1 1 0 +5 +3 55 0x1d89 0xd476bf51 @@ -41926,6 +52408,8 @@ 0 0 0 +5 +3 86 0x269 0xe75d82ec @@ -41934,6 +52418,8 @@ 1 1 0 +1 +1 38 0xb9c5 0xd0dda26a @@ -41942,6 +52428,8 @@ 1 1 0 +4 +2 38 0x7c2c 0x6865edb3 @@ -41950,6 +52438,8 @@ 1 1 0 +3 +1 29 0x9c5f 0xbaeac709 @@ -41958,6 +52448,8 @@ 0 0 0 +3 +2 71 0x43e4 0x943cde9 @@ -41966,6 +52458,8 @@ 0 0 0 +0 +1 56 0x7ad9 0xf5ee8cd @@ -41974,6 +52468,8 @@ 1 1 0 +5 +2 94 0x70d 0x446573f5 @@ -41982,6 +52478,8 @@ 0 0 0 +4 +2 68 0xcbd2 0xc9c3ef13 @@ -41990,6 +52488,8 @@ 1 1 0 +2 +4 26 0xb038 0x832091a7 @@ -41998,6 +52498,8 @@ 1 0 0 +3 +5 34 0x6eb4 0xec7f5287 @@ -42006,6 +52508,8 @@ 1 1 0 +1 +1 87 0xa5e1 0x14cb3f7f @@ -42014,6 +52518,8 @@ 0 0 0 +3 +1 10 0x394a 0x23ffec76 @@ -42022,6 +52528,8 @@ 1 1 0 +5 +4 21 0x6a76 0x58e3183 @@ -42030,6 +52538,8 @@ 1 0 0 +4 +5 36 0xe71c 0x75986b77 @@ -42038,6 +52548,8 @@ 0 0 0 +4 +1 82 0xffdf 0xe8562a12 @@ -42046,6 +52558,8 @@ 0 0 0 +4 +1 28 0x720b 0xda62868e @@ -42054,6 +52568,8 @@ 0 0 0 +3 +0 62 0xdf8c 0x3b165bd3 @@ -42062,6 +52578,8 @@ 0 0 0 +4 +1 69 0xf310 0xa31e6181 @@ -42070,6 +52588,8 @@ 1 0 0 +1 +1 98 0x7290 0x732ed34b @@ -42078,6 +52598,8 @@ 1 1 0 +2 +2 60 0xe48f 0x65e41590 @@ -42086,6 +52608,8 @@ 1 1 0 +3 +5 93 0x89fb 0xaf61b7bd @@ -42094,6 +52618,8 @@ 0 0 0 +1 +4 96 0x5031 0xb10060d1 @@ -42102,6 +52628,8 @@ 0 0 0 +1 +3 100 0xdfcc 0x752df1af @@ -42110,6 +52638,8 @@ 1 1 0 +5 +5 19 0xb241 0xbca8bf31 @@ -42118,6 +52648,8 @@ 0 0 0 +4 +4 45 0x8660 0x7dd6b971 @@ -42126,6 +52658,8 @@ 0 0 0 +5 +3 64 0xd75e 0x57e33b63 @@ -42134,6 +52668,8 @@ 0 0 0 +4 +0 93 0xb70a 0xa8cf27fb @@ -42142,6 +52678,8 @@ 0 0 0 +0 +0 30 0xda46 0x38ec5954 @@ -42150,6 +52688,8 @@ 1 1 0 +0 +2 20 0xba24 0x429afbf3 @@ -42158,6 +52698,8 @@ 0 0 0 +4 +1 70 0x958 0x401db1ea @@ -42166,6 +52708,8 @@ 1 1 0 +1 +4 41 0xf93f 0xc3d628b9 @@ -42174,6 +52718,8 @@ 0 0 0 +1 +5 75 0x2978 0x1e8fca88 @@ -42182,6 +52728,8 @@ 0 0 0 +1 +3 45 0x39ef 0xd5d89cac @@ -42190,6 +52738,8 @@ 0 0 0 +0 +2 49 0xb1c 0x22ba17e7 @@ -42198,6 +52748,8 @@ 0 0 0 +2 +3 33 0x5e4b 0xc0113872 @@ -42206,6 +52758,8 @@ 1 0 0 +0 +3 11 0x4b23 0x8093c007 @@ -42214,6 +52768,8 @@ 0 0 0 +1 +3 26 0x77d3 0xb755f449 @@ -42222,6 +52778,8 @@ 1 0 0 +0 +0 10 0xc222 0x998fbcb1 @@ -42230,6 +52788,8 @@ 1 0 0 +2 +5 50 0x89ac 0x6b1125e7 @@ -42238,6 +52798,8 @@ 0 0 0 +0 +1 27 0x110 0x1e0c3183 @@ -42246,6 +52808,8 @@ 1 1 0 +5 +5 39 0x14bb 0x5ccbebfb @@ -42254,6 +52818,8 @@ 0 0 0 +1 +5 35 0xef3a 0x9e527122 @@ -42262,6 +52828,8 @@ 0 0 0 +5 +0 85 0x3ad5 0xc0552232 @@ -42270,6 +52838,8 @@ 0 0 0 +2 +5 80 0x2a61 0xfa3f0f81 @@ -42278,6 +52848,8 @@ 0 0 0 +3 +1 51 0xfef4 0xc1a1f9c4 @@ -42286,6 +52858,8 @@ 1 0 0 +4 +1 26 0x88e2 0x229a7dba @@ -42294,6 +52868,8 @@ 0 0 0 +3 +3 52 0xbedf 0xbec316f2 @@ -42302,6 +52878,8 @@ 0 0 0 +2 +1 82 0xb055 0x4ead52f2 @@ -42310,6 +52888,8 @@ 1 1 0 +2 +4 32 0x1df7 0x7dd34b64 @@ -42318,6 +52898,8 @@ 1 0 0 +1 +2 39 0x6c1 0xf10934d7 @@ -42326,6 +52908,8 @@ 1 0 0 +5 +1 70 0xb845 0x235f69f2 @@ -42334,6 +52918,8 @@ 1 1 0 +4 +2 44 0x6b88 0x5d703d3e @@ -42342,6 +52928,8 @@ 1 0 0 +3 +5 76 0xff1e 0x242a78a7 @@ -42350,6 +52938,8 @@ 0 0 0 +0 +5 14 0xcc8 0x70cc6a5f @@ -42358,6 +52948,8 @@ 0 0 0 +3 +5 43 0xf9ba 0xab4973b3 @@ -42366,6 +52958,8 @@ 1 1 0 +3 +2 23 0xac9b 0x6990ffd3 @@ -42374,6 +52968,8 @@ 1 1 0 +5 +3 62 0xa3ab 0x88b0b323 @@ -42382,6 +52978,8 @@ 0 0 0 +4 +1 88 0xbebc 0x441dfeb8 @@ -42390,6 +52988,8 @@ 0 0 0 +4 +4 12 0xfe17 0xed884636 @@ -42398,6 +52998,8 @@ 0 0 0 +1 +4 64 0x888d 0xb9492aec @@ -42406,6 +53008,8 @@ 0 0 0 +4 +0 12 0xa987 0xc371bfea @@ -42414,6 +53018,8 @@ 1 1 0 +3 +5 65 0xc693 0x177b51f6 @@ -42422,6 +53028,8 @@ 0 0 0 +3 +2 85 0x1a1e 0x9fa0a309 @@ -42430,6 +53038,8 @@ 0 0 0 +4 +2 49 0xb51e 0x741086e3 @@ -42438,6 +53048,8 @@ 1 0 0 +1 +2 59 0xbd4b 0x17c48dd0 @@ -42446,6 +53058,8 @@ 0 0 0 +1 +0 70 0x38fb 0xec4296c6 @@ -42454,6 +53068,8 @@ 1 0 0 +2 +3 73 0xd865 0xbb8ba75b @@ -42462,6 +53078,8 @@ 1 0 0 +5 +3 42 0x13e5 0xa7b4b6c5 @@ -42470,6 +53088,8 @@ 1 1 0 +4 +4 12 0x6183 0xd148b5a @@ -42478,6 +53098,8 @@ 0 0 0 +1 +1 19 0xd592 0xae40014 @@ -42486,6 +53108,8 @@ 1 0 0 +1 +2 92 0x8e53 0xad6790cb @@ -42494,6 +53118,8 @@ 1 1 0 +1 +1 49 0xc1d6 0xbc227ab2 @@ -42502,6 +53128,8 @@ 0 0 0 +5 +3 31 0x1e68 0xf108ab83 @@ -42510,6 +53138,8 @@ 1 1 0 +5 +1 45 0x41ef 0x534dc632 @@ -42518,6 +53148,8 @@ 0 0 0 +3 +4 58 0xfa39 0xa8471124 @@ -42526,6 +53158,8 @@ 0 0 0 +2 +1 11 0xdab5 0xe8a8adee @@ -42534,6 +53168,8 @@ 0 0 0 +5 +1 29 0x1321 0x2176c368 @@ -42542,6 +53178,8 @@ 0 0 0 +0 +2 81 0x47a0 0xe6c11f2c @@ -42550,6 +53188,8 @@ 0 0 0 +0 +0 50 0xd6aa 0x8ac8285d @@ -42558,6 +53198,8 @@ 0 0 0 +2 +1 21 0xcfbc 0xa72afb7d @@ -42566,6 +53208,8 @@ 0 0 0 +3 +4 18 0xbc53 0xe3ff71fe @@ -42574,6 +53218,8 @@ 0 0 0 +5 +4 65 0x4613 0x2704e3c2 @@ -42582,6 +53228,8 @@ 0 0 0 +1 +4 61 0x29c3 0x19a32040 @@ -42590,6 +53238,8 @@ 1 1 0 +0 +5 18 0x1497 0x8788804e @@ -42598,6 +53248,8 @@ 0 0 0 +0 +4 40 0xbc43 0xabe3f1a7 @@ -42606,6 +53258,8 @@ 0 0 0 +5 +5 90 0x1444 0xa40c17a1 @@ -42614,6 +53268,8 @@ 0 0 0 +4 +0 63 0xb7ce 0x5c73756c @@ -42622,6 +53278,8 @@ 0 0 0 +2 +3 64 0xd4cc 0xa952fe88 @@ -42630,6 +53288,8 @@ 0 0 0 +0 +2 83 0x6e15 0x6042a126 @@ -42638,6 +53298,8 @@ 0 0 0 +2 +4 72 0x629b 0x5884cf53 @@ -42646,6 +53308,8 @@ 1 1 0 +1 +0 79 0x8774 0x1e0f70a0 @@ -42654,6 +53318,8 @@ 1 1 0 +3 +4 12 0xaaed 0x8e813026 @@ -42662,6 +53328,8 @@ 1 1 0 +3 +5 78 0x29e3 0x8a501dc7 @@ -42670,6 +53338,8 @@ 1 1 0 +4 +5 93 0x6560 0x120d01b4 @@ -42678,6 +53348,8 @@ 0 0 0 +2 +2 76 0xac6c 0x9230b79b @@ -42686,6 +53358,8 @@ 1 1 0 +2 +2 64 0x13a6 0x9d1a61fb @@ -42694,6 +53368,8 @@ 1 1 0 +3 +4 100 0x8a11 0xa1da0b18 @@ -42702,6 +53378,8 @@ 0 0 0 +5 +0 24 0x3150 0x882619d6 @@ -42710,6 +53388,8 @@ 0 0 0 +1 +1 11 0x5fbf 0x87246528 @@ -42718,6 +53398,8 @@ 0 0 0 +5 +5 75 0xaf12 0xc9722c92 @@ -42726,6 +53408,8 @@ 0 0 0 +4 +1 62 0x2271 0x20061ec1 @@ -42734,6 +53418,8 @@ 1 1 0 +4 +4 89 0xbef4 0xc1e88b47 @@ -42742,6 +53428,8 @@ 0 0 0 +5 +1 87 0x7ea7 0x49a4d4b @@ -42750,6 +53438,8 @@ 1 1 0 +4 +1 45 0x3796 0x410cd927 @@ -42758,6 +53448,8 @@ 1 0 0 +4 +4 25 0xe8e3 0xd5b6eeee @@ -42766,6 +53458,8 @@ 1 0 0 +2 +1 92 0xcf92 0x709537e0 @@ -42774,6 +53468,8 @@ 0 0 0 +2 +3 72 0x4af3 0x66098357 @@ -42782,6 +53478,8 @@ 0 0 0 +5 +5 17 0xdb55 0x1f45ffdf @@ -42790,6 +53488,8 @@ 1 1 0 +2 +5 42 0x7f9d 0x607aa6fc @@ -42798,6 +53498,8 @@ 0 0 0 +5 +0 23 0x507 0x6f023254 @@ -42806,6 +53508,8 @@ 1 1 0 +2 +0 11 0xe8d0 0x36abd294 @@ -42814,6 +53518,8 @@ 1 1 0 +3 +0 65 0x8017 0xfaa9cff9 @@ -42822,6 +53528,8 @@ 1 1 0 +3 +4 77 0xff3a 0x8b7fd099 @@ -42830,6 +53538,8 @@ 0 0 0 +4 +3 61 0xd4d0 0x7999d1e1 @@ -42838,6 +53548,8 @@ 1 0 0 +3 +2 68 0xdc0d 0x386cc241 @@ -42846,6 +53558,8 @@ 0 0 0 +3 +1 14 0xf616 0x6d8fc49d @@ -42854,6 +53568,8 @@ 0 0 0 +1 +3 97 0x6375 0x65ed89c6 @@ -42862,6 +53578,8 @@ 1 0 0 +4 +3 41 0x8e2b 0x189f9675 @@ -42870,6 +53588,8 @@ 0 0 0 +0 +1 46 0xfa83 0x43b7821f @@ -42878,6 +53598,8 @@ 0 0 0 +0 +5 67 0xea92 0x1cc460b7 @@ -42886,6 +53608,8 @@ 0 0 0 +2 +3 63 0x2adc 0xda649241 @@ -42894,6 +53618,8 @@ 1 1 0 +3 +1 88 0xa1cf 0x1ad04d68 @@ -42902,6 +53628,8 @@ 1 0 0 +4 +3 32 0x9794 0x86d8a40a @@ -42910,6 +53638,8 @@ 1 0 0 +3 +5 10 0x1634 0x57db8b8b @@ -42918,6 +53648,8 @@ 1 1 0 +0 +1 41 0x752 0x49fec65f @@ -42926,6 +53658,8 @@ 1 1 0 +3 +5 100 0x60c 0x94cac51b @@ -42934,6 +53668,8 @@ 0 0 0 +4 +1 67 0xf8d4 0x1682e66 @@ -42942,6 +53678,8 @@ 0 0 0 +2 +1 55 0x1c15 0x6f038d39 @@ -42950,6 +53688,8 @@ 0 0 0 +0 +1 30 0x855b 0x2fdddb91 @@ -42958,6 +53698,8 @@ 0 0 0 +0 +3 36 0x3da6 0xbdb75b70 @@ -42966,6 +53708,8 @@ 0 0 0 +1 +3 58 0xcb45 0x7966d009 @@ -42974,6 +53718,8 @@ 1 0 0 +2 +1 89 0xa7fa 0x3e31de0f @@ -42982,6 +53728,8 @@ 1 0 0 +1 +2 58 0xa5de 0xc30e7214 @@ -42990,6 +53738,8 @@ 0 0 0 +2 +4 51 0xfec2 0xd83e92b0 @@ -42998,6 +53748,8 @@ 0 0 0 +3 +4 42 0x5dfb 0x9fff14b0 @@ -43006,6 +53758,8 @@ 0 0 0 +5 +1 52 0xc1be 0xb2be0769 @@ -43014,6 +53768,8 @@ 0 0 0 +1 +4 19 0x2e7f 0x6dc8fa6c @@ -43022,6 +53778,8 @@ 0 0 0 +0 +5 68 0xc292 0x58f6cfbf @@ -43030,6 +53788,8 @@ 1 0 0 +0 +2 49 0xa36e 0x944fa05f @@ -43038,6 +53798,8 @@ 0 0 0 +1 +4 60 0x7e37 0xb6c2259c @@ -43046,6 +53808,8 @@ 1 1 0 +0 +4 87 0x13ae 0xf0994756 @@ -43054,6 +53818,8 @@ 1 1 0 +4 +5 84 0x1e77 0x126b886c @@ -43062,6 +53828,8 @@ 0 0 0 +2 +2 28 0x2279 0x53bbb564 @@ -43070,6 +53838,8 @@ 0 0 0 +3 +4 93 0xb67d 0xda9ba0e8 @@ -43078,6 +53848,8 @@ 0 0 0 +3 +0 47 0xa0ec 0x253a4bf3 @@ -43086,6 +53858,8 @@ 0 0 0 +2 +0 99 0x56ad 0xd3338b1 @@ -43094,6 +53868,8 @@ 1 0 0 +5 +0 98 0xccae 0x3aa2f2b1 @@ -43102,6 +53878,8 @@ 1 1 0 +3 +1 31 0xfc41 0x76bf3550 @@ -43110,6 +53888,8 @@ 0 0 0 +1 +4 77 0x9db2 0xbecedeee @@ -43118,6 +53898,8 @@ 1 0 0 +4 +0 71 0xe6e3 0xda20e00c @@ -43126,6 +53908,8 @@ 1 1 0 +0 +4 91 0x53af 0x7589934a @@ -43134,6 +53918,8 @@ 1 0 0 +3 +2 72 0x7d02 0x38b50aa9 @@ -43142,6 +53928,8 @@ 0 0 0 +5 +4 92 0x5abb 0xda3d05d0 @@ -43150,6 +53938,8 @@ 0 0 0 +2 +3 98 0x1f15 0xfc64b8e @@ -43158,6 +53948,8 @@ 0 0 0 +2 +3 75 0xb517 0x8f9e225b @@ -43166,6 +53958,8 @@ 0 0 0 +5 +1 72 0xa545 0x8fa13929 @@ -43174,6 +53968,8 @@ 1 1 0 +5 +2 17 0x7573 0xf8f856bd @@ -43182,6 +53978,8 @@ 0 0 0 +5 +1 62 0x8ebd 0x227cf415 @@ -43190,6 +53988,8 @@ 1 1 0 +2 +5 49 0xf377 0x93636afe @@ -43198,6 +53998,8 @@ 1 0 0 +4 +1 15 0x98aa 0xfe25d077 @@ -43206,6 +54008,8 @@ 1 0 0 +0 +4 94 0x8cca 0xc3d96beb @@ -43214,6 +54018,8 @@ 0 0 0 +0 +4 79 0x9b82 0x8b428e95 @@ -43222,6 +54028,8 @@ 1 1 0 +4 +3 26 0x56e6 0xd5f119de @@ -43230,6 +54038,8 @@ 1 0 0 +2 +4 55 0x6682 0xe569d76 @@ -43238,6 +54048,8 @@ 1 1 0 +5 +2 95 0x4a03 0xcaaa50e5 @@ -43246,6 +54058,8 @@ 0 0 0 +1 +3 29 0x3280 0x82c4f85c @@ -43254,6 +54068,8 @@ 0 0 0 +5 +1 88 0xbef3 0x8bd30b97 @@ -43262,6 +54078,8 @@ 0 0 0 +0 +5 13 0x330f 0xbf6d4b4 @@ -43270,6 +54088,8 @@ 1 1 0 +2 +4 35 0x9f25 0xdbbf2beb @@ -43278,6 +54098,8 @@ 0 0 0 +5 +0 73 0x3fc4 0xd905ebb7 @@ -43286,6 +54108,8 @@ 0 0 0 +3 +0 75 0xe12e 0x233fe114 @@ -43294,6 +54118,8 @@ 1 1 0 +3 +4 31 0x583 0xf12d597d @@ -43302,6 +54128,8 @@ 1 0 0 +3 +2 36 0xfc5d 0xc3302bf8 @@ -43310,6 +54138,8 @@ 1 0 0 +4 +1 99 0x1f66 0x114c190a @@ -43318,6 +54148,8 @@ 0 0 0 +1 +5 45 0x5ad5 0x67fe01ef @@ -43326,6 +54158,8 @@ 1 1 0 +2 +1 30 0xa566 0x90c31337 @@ -43334,6 +54168,8 @@ 1 1 0 +0 +4 75 0x2e72 0x85ae6169 @@ -43342,6 +54178,8 @@ 1 1 0 +4 +5 42 0xea47 0xee09a2c7 @@ -43350,6 +54188,8 @@ 0 0 0 +2 +1 89 0xb877 0xf7a538cb @@ -43358,6 +54198,8 @@ 1 1 0 +0 +3 93 0x4806 0x8cccd68c @@ -43366,6 +54208,8 @@ 1 1 0 +1 +3 81 0x2782 0x1e29f526 @@ -43374,6 +54218,8 @@ 0 0 0 +3 +4 88 0x1065 0xec4c18c3 @@ -43382,6 +54228,8 @@ 1 0 0 +0 +4 56 0xc691 0x66d55923 @@ -43390,6 +54238,8 @@ 1 1 0 +1 +5 79 0x17d9 0xc6b76d7f @@ -43398,6 +54248,8 @@ 0 0 0 +0 +5 78 0x443d 0x11832a05 @@ -43406,6 +54258,8 @@ 1 1 0 +3 +2 28 0x4da6 0x481ba3f7 @@ -43414,6 +54268,8 @@ 1 0 0 +1 +2 20 0xb166 0xabdfcccd @@ -43422,6 +54278,8 @@ 1 0 0 +4 +3 98 0xa7e1 0xdcc4b6b2 @@ -43430,6 +54288,8 @@ 0 0 0 +5 +1 40 0x57dc 0x181be87a @@ -43438,6 +54298,8 @@ 1 1 0 +4 +0 84 0x57b0 0x9a86723a @@ -43446,6 +54308,8 @@ 1 0 0 +4 +5 75 0xef93 0xfc52d1cb @@ -43454,6 +54318,8 @@ 1 1 0 +1 +5 37 0xe5cc 0xefba1639 @@ -43462,6 +54328,8 @@ 0 0 0 +4 +5 42 0x68df 0xe7f4c8b8 @@ -43470,6 +54338,8 @@ 1 0 0 +1 +2 58 0x78fc 0xc75fc2d3 @@ -43478,6 +54348,8 @@ 1 1 0 +4 +5 29 0x2211 0xdd0263c4 @@ -43486,6 +54358,8 @@ 0 0 0 +3 +5 27 0x6f4a 0xf48bda59 @@ -43494,6 +54368,8 @@ 0 0 0 +5 +0 36 0xc15d 0x191389a8 @@ -43502,6 +54378,8 @@ 1 0 0 +5 +2 75 0x9006 0x34eac69f @@ -43510,6 +54388,8 @@ 1 0 0 +5 +1 20 0x6e3a 0x703d2dab @@ -43518,6 +54398,8 @@ 0 0 0 +2 +1 87 0x95d9 0xb997939b @@ -43526,6 +54408,8 @@ 1 1 0 +3 +3 16 0x7eaa 0x8b5b8c95 @@ -43534,6 +54418,8 @@ 0 0 0 +2 +3 21 0x5426 0xb2c1de2 @@ -43542,6 +54428,8 @@ 1 1 0 +2 +1 51 0xfec8 0x8db256b0 @@ -43550,6 +54438,8 @@ 0 0 0 +2 +1 55 0xf575 0xa7f939c3 @@ -43558,6 +54448,8 @@ 1 0 0 +0 +1 23 0x872 0xccd9fbfb @@ -43566,6 +54458,8 @@ 1 1 0 +5 +5 78 0x263e 0xe6144b5a @@ -43574,6 +54468,8 @@ 0 0 0 +4 +5 93 0x1fb2 0xb6c235e5 @@ -43582,6 +54478,8 @@ 1 1 0 +5 +2 89 0xe2a6 0x596a7ab4 @@ -43590,6 +54488,8 @@ 1 0 0 +1 +4 72 0x4627 0xe9da5222 @@ -43598,6 +54498,8 @@ 0 0 0 +5 +1 90 0xe062 0x49e72804 @@ -43606,6 +54508,8 @@ 1 0 0 +0 +2 72 0xb131 0x724ea13a @@ -43614,6 +54518,8 @@ 0 0 0 +2 +0 61 0xa1ff 0xc34a3f7b @@ -43622,6 +54528,8 @@ 1 1 0 +4 +3 30 0xb649 0x291f1438 @@ -43630,6 +54538,8 @@ 0 0 0 +5 +2 58 0x790b 0x11068050 @@ -43638,6 +54548,8 @@ 1 1 0 +1 +1 55 0xa62c 0x1c36341a @@ -43646,6 +54558,8 @@ 0 0 0 +4 +2 66 0xfee7 0xf8cda0f5 @@ -43654,6 +54568,8 @@ 0 0 0 +3 +3 77 0xd2dc 0x44e5e169 @@ -43662,6 +54578,8 @@ 0 0 0 +0 +3 72 0xe3b1 0x44f6959d @@ -43670,6 +54588,8 @@ 0 0 0 +2 +2 22 0x356f 0x878846d @@ -43678,6 +54598,8 @@ 1 0 0 +4 +2 18 0x8630 0x190ca5b6 @@ -43686,6 +54608,8 @@ 0 0 0 +1 +4 87 0x3bd1 0xf4b572e7 @@ -43694,6 +54618,8 @@ 0 0 0 +1 +4 75 0x95c9 0xedb52f5a @@ -43702,6 +54628,8 @@ 1 0 0 +5 +4 10 0x93b0 0x120551e7 @@ -43710,6 +54638,8 @@ 1 0 0 +1 +5 95 0xe0bd 0x2ca9b0a3 @@ -43718,6 +54648,8 @@ 1 1 0 +0 +2 30 0xf557 0xa9ebd7da @@ -43726,6 +54658,8 @@ 1 0 0 +4 +3 87 0x17d6 0xc33c8080 @@ -43734,6 +54668,8 @@ 1 1 0 +3 +0 46 0xe064 0xab4f6622 @@ -43742,6 +54678,8 @@ 0 0 0 +1 +0 21 0x41af 0x313d3fba @@ -43750,6 +54688,8 @@ 0 0 0 +2 +2 19 0xfff6 0xd463c4cb @@ -43758,6 +54698,8 @@ 1 1 0 +5 +0 18 0x4b5 0xbd859749 @@ -43766,6 +54708,8 @@ 1 0 0 +4 +1 63 0xb409 0xc752f0b5 @@ -43774,6 +54718,8 @@ 1 0 0 +4 +4 61 0xce61 0x1b85e1f1 @@ -43782,6 +54728,8 @@ 1 1 0 +3 +1 30 0xa293 0x8b9d0a01 @@ -43790,6 +54738,8 @@ 1 1 0 +0 +5 84 0x7fda 0x9374077f @@ -43798,6 +54748,8 @@ 1 1 0 +1 +3 34 0x523c 0xea95435f @@ -43806,6 +54758,8 @@ 1 1 0 +0 +5 25 0x397c 0xe496517 @@ -43814,6 +54768,8 @@ 0 0 0 +4 +4 85 0xd8d9 0x55860ac4 @@ -43822,6 +54778,8 @@ 1 1 0 +5 +5 87 0x7db0 0x865580c4 @@ -43830,6 +54788,8 @@ 1 0 0 +3 +5 31 0x48e0 0x95296745 @@ -43838,6 +54798,8 @@ 0 0 0 +2 +0 70 0xee2d 0x86e51ed9 @@ -43846,6 +54808,8 @@ 1 1 0 +1 +4 82 0x6131 0xea22d92f @@ -43854,6 +54818,8 @@ 1 0 0 +2 +5 57 0xcd64 0xd5807cc2 @@ -43862,6 +54828,8 @@ 1 1 0 +2 +3 57 0xca0f 0xd63d06e1 @@ -43870,6 +54838,8 @@ 1 1 0 +5 +2 69 0xf0fc 0x1401b294 @@ -43878,6 +54848,8 @@ 1 0 0 +3 +5 14 0xad74 0x1421d34c @@ -43886,6 +54858,8 @@ 1 0 0 +1 +0 19 0xcb4b 0x682b4559 @@ -43894,6 +54868,8 @@ 0 0 0 +2 +0 21 0x960a 0x83cae247 @@ -43902,6 +54878,8 @@ 0 0 0 +3 +3 12 0x4fde 0x1a542ea2 @@ -43910,6 +54888,8 @@ 0 0 0 +0 +3 53 0x432f 0x1d1bdb9c @@ -43918,6 +54898,8 @@ 0 0 0 +4 +3 29 0x4d98 0x12e5ddc3 @@ -43926,6 +54908,8 @@ 1 1 0 +5 +0 99 0x4f6d 0x2c6f1bf4 @@ -43934,6 +54918,8 @@ 1 0 0 +1 +3 80 0x6f42 0x9c41b8c @@ -43942,6 +54928,8 @@ 0 0 0 +3 +5 38 0x2f6e 0x57ce3d4e @@ -43950,6 +54938,8 @@ 0 0 0 +1 +3 97 0x6d59 0x8b19db61 @@ -43958,6 +54948,8 @@ 0 0 0 +5 +4 75 0xa3c5 0x844b5e64 @@ -43966,6 +54958,8 @@ 0 0 0 +1 +3 21 0x9fe3 0x250ee5ef @@ -43974,6 +54968,8 @@ 0 0 0 +4 +0 53 0xbae 0xcea5be4b @@ -43982,6 +54978,8 @@ 1 0 0 +4 +3 77 0xa 0xa4081b07 @@ -43990,6 +54988,8 @@ 1 1 0 +1 +5 28 0xf10e 0x5f0142e9 @@ -43998,6 +54998,8 @@ 1 1 0 +3 +3 43 0x8066 0x444b1f02 @@ -44006,6 +55008,8 @@ 0 0 0 +2 +1 58 0xe593 0x9c75fcbd @@ -44014,6 +55018,8 @@ 0 0 0 +0 +4 85 0x7aff 0x4530470 @@ -44022,6 +55028,8 @@ 0 0 0 +4 +1 96 0x1c16 0x7ca53d21 @@ -44030,6 +55038,8 @@ 0 0 0 +0 +2 59 0x7a6a 0xf230d14 @@ -44038,6 +55048,8 @@ 1 0 0 +0 +1 73 0x8dbb 0xedd6ce34 @@ -44046,6 +55058,8 @@ 1 0 0 +4 +1 65 0xfd0e 0xd7e3aa83 @@ -44054,6 +55068,8 @@ 1 0 0 +5 +4 40 0xa2f 0x42413aee @@ -44062,6 +55078,8 @@ 0 0 0 +3 +3 21 0x7cfd 0xf9a2bad0 @@ -44070,6 +55088,8 @@ 0 0 0 +4 +0 83 0x250e 0x6646dbbd @@ -44078,6 +55098,8 @@ 1 1 0 +5 +3 96 0xa315 0x28922802 @@ -44086,6 +55108,8 @@ 0 0 0 +2 +4 37 0x57c 0x6158239c @@ -44094,6 +55118,8 @@ 0 0 0 +2 +3 98 0xbe16 0xf6baa8b4 @@ -44102,6 +55128,8 @@ 1 0 0 +3 +2 82 0x60ef 0x60ae0eb4 @@ -44110,6 +55138,8 @@ 1 0 0 +3 +3 100 0x359b 0xa3bbb122 @@ -44118,6 +55148,8 @@ 0 0 0 +1 +4 48 0x3667 0x45217af2 @@ -44126,6 +55158,8 @@ 0 0 0 +5 +2 33 0xd7df 0xd990183e @@ -44134,6 +55168,8 @@ 1 0 0 +1 +4 48 0x27a2 0x6b4bebca @@ -44142,6 +55178,8 @@ 0 0 0 +3 +1 72 0x768 0xd12413ad @@ -44150,6 +55188,8 @@ 1 1 0 +3 +1 82 0x3269 0x1e8cc838 @@ -44158,6 +55198,8 @@ 0 0 0 +1 +2 65 0xb935 0x1d289ceb @@ -44166,6 +55208,8 @@ 1 1 0 +4 +4 96 0x989e 0xde1162e0 @@ -44174,6 +55218,8 @@ 0 0 0 +1 +2 58 0xbc91 0xc37621fd @@ -44182,6 +55228,8 @@ 0 0 0 +1 +0 100 0x53d0 0x9ce93c89 @@ -44190,6 +55238,8 @@ 1 1 0 +5 +5 96 0x3d1d 0xebdbd55f @@ -44198,6 +55248,8 @@ 0 0 0 +3 +1 53 0x71bd 0x30912d96 @@ -44206,6 +55258,8 @@ 0 0 0 +2 +5 75 0xed9a 0x4fb69840 @@ -44214,6 +55268,8 @@ 0 0 0 +0 +5 59 0x8916 0x5ad1ffcb @@ -44222,6 +55278,8 @@ 0 0 0 +1 +4 85 0x48da 0x1aba68fe @@ -44230,6 +55288,8 @@ 1 1 0 +1 +4 87 0x43ee 0xdff8f9f3 @@ -44238,6 +55298,8 @@ 1 0 0 +2 +3 25 0x5c87 0xcf7aeddc @@ -44246,6 +55308,8 @@ 1 0 0 +1 +0 49 0x9bf8 0xe6948be7 @@ -44254,6 +55318,8 @@ 1 1 0 +0 +5 87 0x483 0x1edba8ee @@ -44262,6 +55328,8 @@ 0 0 0 +4 +4 15 0xac26 0xebf29611 @@ -44270,6 +55338,8 @@ 0 0 0 +2 +3 92 0x55b6 0xd6316eb4 @@ -44278,6 +55348,8 @@ 1 1 0 +4 +1 38 0x10f7 0x6ac6c5d6 @@ -44286,6 +55358,8 @@ 1 1 0 +1 +4 12 0x2274 0x61cf7448 @@ -44294,6 +55368,8 @@ 0 0 0 +4 +0 37 0x911e 0x48ce958d @@ -44302,6 +55378,8 @@ 1 0 0 +2 +5 42 0x9dbf 0xa8144c6e @@ -44310,6 +55388,8 @@ 0 0 0 +1 +2 78 0x56c9 0xa211b779 @@ -44318,6 +55398,8 @@ 0 0 0 +5 +4 37 0x172a 0x6861d2a3 @@ -44326,6 +55408,8 @@ 1 0 0 +3 +1 99 0xabba 0x758326b2 @@ -44334,6 +55418,8 @@ 1 1 0 +1 +2 46 0x4b7a 0xc168554 @@ -44342,6 +55428,8 @@ 0 0 0 +3 +3 91 0x16cf 0x8fda7e7e @@ -44350,6 +55438,8 @@ 1 0 0 +2 +5 24 0x8bc9 0xcf02d44e @@ -44358,6 +55448,8 @@ 1 0 0 +5 +5 37 0x8d3b 0xd5d60d9f @@ -44366,6 +55458,8 @@ 0 0 0 +4 +4 98 0xc9f6 0x9b3529f0 @@ -44374,6 +55468,8 @@ 1 1 0 +0 +5 98 0x6128 0xdd72c595 @@ -44382,6 +55478,8 @@ 0 0 0 +5 +0 50 0xbc01 0x5b3d7107 @@ -44390,6 +55488,8 @@ 1 1 0 +5 +1 13 0x9998 0xd9d7a6ac @@ -44398,6 +55498,8 @@ 0 0 0 +3 +3 48 0xb8bd 0x1dd32e71 @@ -44406,6 +55508,8 @@ 0 0 0 +4 +5 69 0x1b9 0x64f3f390 @@ -44414,6 +55518,8 @@ 1 0 0 +0 +3 99 0xb2ef 0x92968dff @@ -44422,6 +55528,8 @@ 1 1 0 +3 +5 69 0x398e 0xdd9919dd @@ -44430,6 +55538,8 @@ 0 0 0 +1 +0 49 0x52cd 0xe5683d7d @@ -44438,6 +55548,8 @@ 0 0 0 +5 +5 73 0x514 0xb8873566 @@ -44446,6 +55558,8 @@ 1 0 0 +5 +3 23 0x52c6 0x656a9da6 @@ -44454,6 +55568,8 @@ 0 0 0 +4 +3 60 0x6dc8 0x7341ba01 @@ -44462,6 +55578,8 @@ 1 0 0 +2 +3 39 0xf2b 0xade1175d @@ -44470,6 +55588,8 @@ 0 0 0 +1 +3 19 0xed1c 0x326fd4f6 @@ -44478,6 +55598,8 @@ 1 0 0 +0 +1 31 0x83bb 0x9704097b @@ -44486,6 +55608,8 @@ 0 0 0 +4 +3 49 0xdec7 0xa4ef8cd5 @@ -44494,6 +55618,8 @@ 0 0 0 +1 +3 35 0x161b 0x3ad44f0 @@ -44502,6 +55628,8 @@ 1 0 0 +1 +3 54 0x608e 0xf89e742c @@ -44510,6 +55638,8 @@ 1 1 0 +4 +2 18 0x1a65 0xed496ec2 @@ -44518,6 +55648,8 @@ 0 0 0 +1 +4 48 0xc1e3 0xd342a43e @@ -44526,6 +55658,8 @@ 1 1 0 +1 +2 89 0xfd94 0x9320331d @@ -44534,6 +55668,8 @@ 1 1 0 +4 +4 11 0x13c2 0x694317f1 @@ -44542,6 +55678,8 @@ 0 0 0 +3 +3 52 0xdab2 0x2f42d163 @@ -44550,6 +55688,8 @@ 0 0 0 +1 +5 93 0xa15a 0x20c3015a @@ -44558,6 +55698,8 @@ 1 0 0 +4 +1 91 0x8908 0xe2c93a83 @@ -44566,6 +55708,8 @@ 0 0 0 +1 +1 59 0x5f4e 0xfcd8f9c2 @@ -44574,6 +55718,8 @@ 1 1 0 +2 +1 32 0x82a7 0x339e7f28 @@ -44582,6 +55728,8 @@ 0 0 0 +3 +1 48 0x8c62 0xc296c475 @@ -44590,6 +55738,8 @@ 0 0 0 +0 +1 42 0xe1a4 0xbe29a6d6 @@ -44598,6 +55748,8 @@ 1 0 0 +5 +0 85 0x4989 0xa6cba200 @@ -44606,6 +55758,8 @@ 0 0 0 +2 +1 70 0x25f0 0xd00bd4b4 @@ -44614,6 +55768,8 @@ 1 1 0 +2 +2 46 0x4e8e 0xceb1c33f @@ -44622,6 +55778,8 @@ 1 1 0 +0 +2 78 0xc660 0xbd936128 @@ -44630,6 +55788,8 @@ 1 0 0 +1 +2 11 0x17c 0xb2f99289 @@ -44638,6 +55798,8 @@ 1 0 0 +1 +5 10 0x52b8 0xfd225160 @@ -44646,6 +55808,8 @@ 0 0 0 +3 +3 45 0xd271 0x5aeab93a @@ -44654,6 +55818,8 @@ 0 0 0 +5 +2 11 0x58b6 0x9c32387c @@ -44662,6 +55828,8 @@ 0 0 0 +5 +4 95 0x9c87 0x5233509b @@ -44670,6 +55838,8 @@ 1 0 0 +1 +0 11 0xe08d 0xa1067f0c @@ -44678,6 +55848,8 @@ 0 0 0 +4 +3 13 0x530d 0x479f9a13 @@ -44686,6 +55858,8 @@ 0 0 0 +0 +0 61 0xf4b3 0xd76afb6d @@ -44694,6 +55868,8 @@ 0 0 0 +5 +4 45 0x892c 0x391c8812 @@ -44702,6 +55878,8 @@ 1 0 0 +4 +2 60 0x2ffe 0xfce83946 @@ -44710,6 +55888,8 @@ 0 0 0 +4 +4 88 0x3343 0xe45cc49e @@ -44718,6 +55898,8 @@ 0 0 0 +3 +5 99 0x315f 0x38205d0f @@ -44726,6 +55908,8 @@ 1 0 0 +2 +5 14 0x8e27 0x5fd24b52 @@ -44734,6 +55918,8 @@ 0 0 0 +2 +2 56 0xcecd 0xaa425e6a @@ -44742,6 +55928,8 @@ 1 0 0 +4 +3 86 0x9560 0x23c8f975 @@ -44750,6 +55938,8 @@ 0 0 0 +2 +0 59 0xab62 0x1909f29b @@ -44758,6 +55948,8 @@ 1 1 0 +0 +4 90 0x7d2c 0xe2dfdaee @@ -44766,6 +55958,8 @@ 0 0 0 +2 +1 30 0x99ff 0x7ee0faa5 @@ -44774,6 +55968,8 @@ 1 0 0 +2 +5 96 0x9b34 0x7ffb4d15 @@ -44782,6 +55978,8 @@ 0 0 0 +0 +5 40 0x4b2 0xd64c67a6 @@ -44790,6 +55988,8 @@ 1 0 0 +0 +0 71 0xb452 0x399f0312 @@ -44798,6 +55998,8 @@ 1 1 0 +1 +4 26 0x11bb 0x2635e083 @@ -44806,6 +56008,8 @@ 0 0 0 +3 +0 99 0xb6c6 0x5928da1a @@ -44814,6 +56018,8 @@ 1 1 0 +0 +0 30 0x276b 0x4c323724 @@ -44822,6 +56028,8 @@ 0 0 0 +2 +3 92 0x9f0 0xdd32c104 @@ -44830,6 +56038,8 @@ 0 0 0 +0 +3 74 0xf4d2 0x4dd84b42 @@ -44838,6 +56048,8 @@ 0 0 0 +3 +4 85 0x4dbb 0xe789b519 @@ -44846,6 +56058,8 @@ 0 0 0 +5 +5 49 0x7895 0x88516a71 @@ -44854,6 +56068,8 @@ 0 0 0 +4 +0 87 0x7363 0xbc35e9ad @@ -44862,6 +56078,8 @@ 0 0 0 +4 +4 77 0x2cf0 0xe3613760 @@ -44870,6 +56088,8 @@ 1 1 0 +2 +1 53 0x6bee 0xb719ef14 @@ -44878,6 +56098,8 @@ 0 0 0 +5 +1 72 0x9755 0x9109a7a2 @@ -44886,6 +56108,8 @@ 1 0 0 +1 +1 67 0x980 0xb06dbec0 @@ -44894,6 +56118,8 @@ 0 0 0 +5 +3 84 0x74cf 0xd18539e4 @@ -44902,6 +56128,8 @@ 1 1 0 +0 +2 30 0xb827 0xc2511868 @@ -44910,6 +56138,8 @@ 0 0 0 +3 +3 65 0x628a 0xb47ac0e7 @@ -44918,6 +56148,8 @@ 1 0 0 +0 +4 52 0xe803 0x1342e33c @@ -44926,6 +56158,8 @@ 0 0 0 +2 +1 57 0x3af4 0xb6d4062 @@ -44934,6 +56168,8 @@ 0 0 0 +1 +2 10 0x4b30 0x63b2fa08 @@ -44942,6 +56178,8 @@ 1 1 0 +4 +0 87 0xd658 0x1abf53a8 @@ -44950,6 +56188,8 @@ 1 0 0 +2 +4 34 0xa1ec 0x5e092d62 @@ -44958,6 +56198,8 @@ 1 1 0 +2 +1 89 0x914e 0x6f0961da @@ -44966,6 +56208,8 @@ 1 1 0 +0 +3 61 0x76f1 0xffb480f6 @@ -44974,6 +56218,8 @@ 1 1 0 +1 +2 32 0xf48 0x773a45b2 @@ -44982,6 +56228,8 @@ 1 1 0 +2 +3 90 0x607a 0x24694fd @@ -44990,6 +56238,8 @@ 0 0 0 +0 +1 36 0xfc91 0x2f346c7d @@ -44998,6 +56248,8 @@ 1 1 0 +0 +4 91 0x1389 0xc904f217 @@ -45006,6 +56258,8 @@ 0 0 0 +2 +1 31 0xcc01 0xb00ee447 @@ -45014,6 +56268,8 @@ 0 0 0 +2 +2 44 0x1fec 0x5d4c6d36 @@ -45022,6 +56278,8 @@ 1 0 0 +2 +5 59 0xd246 0x3b72324c @@ -45030,6 +56288,8 @@ 0 0 0 +3 +4 28 0x84fc 0xba558aab @@ -45038,6 +56298,8 @@ 1 1 0 +2 +0 29 0x5e46 0xfa051085 @@ -45046,6 +56308,8 @@ 1 1 0 +0 +3 38 0x33b7 0x509552b0 @@ -45054,6 +56318,8 @@ 1 1 0 +3 +2 34 0xd231 0x11c0d793 @@ -45062,6 +56328,8 @@ 0 0 0 +1 +2 30 0xcf1a 0x2a68184b @@ -45070,6 +56338,8 @@ 1 1 0 +3 +2 33 0xb828 0x59e12d00 @@ -45078,6 +56348,8 @@ 1 1 0 +3 +5 31 0xaf55 0xdbdc924b @@ -45086,6 +56358,8 @@ 1 0 0 +2 +1 43 0x803a 0x6fa49a8f @@ -45094,6 +56368,8 @@ 1 0 0 +5 +5 31 0xf3cd 0x18a55292 @@ -45102,6 +56378,8 @@ 1 1 0 +3 +0 18 0x12ad 0x4d254aae @@ -45110,6 +56388,8 @@ 1 0 0 +4 +5 99 0xae65 0x4c1c5b3d @@ -45118,6 +56398,8 @@ 1 0 0 +3 +4 95 0x1ce9 0x2c6774ef @@ -45126,6 +56408,8 @@ 0 0 0 +0 +2 60 0x404a 0x38894cb @@ -45134,6 +56418,8 @@ 0 0 0 +2 +0 13 0x8ca2 0x3b7d33aa @@ -45142,6 +56428,8 @@ 0 0 0 +3 +0 95 0x8ba5 0x314ce66c @@ -45150,6 +56438,8 @@ 1 1 0 +0 +1 57 0x2379 0xc9c32377 @@ -45158,6 +56448,8 @@ 0 0 0 +3 +3 33 0x785 0x2e68b0c4 @@ -45166,6 +56458,8 @@ 0 0 0 +0 +5 76 0x5d5f 0x985ec889 @@ -45174,6 +56468,8 @@ 0 0 0 +4 +4 100 0x73b2 0x9dfa330f @@ -45182,6 +56478,8 @@ 1 0 0 +5 +2 33 0xf107 0xa8b5ff96 @@ -45190,6 +56488,8 @@ 1 1 0 +1 +1 99 0xebc8 0xff86915f @@ -45198,6 +56498,8 @@ 0 0 0 +3 +4 75 0x8636 0x4fbd9097 @@ -45206,6 +56508,8 @@ 0 0 0 +4 +2 58 0x456b 0x9ad03226 @@ -45214,6 +56518,8 @@ 0 0 0 +0 +2 50 0xda6f 0xaa37943c @@ -45222,6 +56528,8 @@ 0 0 0 +3 +5 90 0xc96d 0x2a3a51ce @@ -45230,6 +56538,8 @@ 0 0 0 +5 +0 18 0xf2ae 0x4e17c92d @@ -45238,6 +56548,8 @@ 0 0 0 +4 +0 99 0xdc1c 0xd49a7e16 @@ -45246,6 +56558,8 @@ 1 1 0 +4 +0 55 0x97e1 0x595409f7 @@ -45254,6 +56568,8 @@ 1 0 0 +2 +1 33 0x4ae6 0xc143a1bb @@ -45262,6 +56578,8 @@ 1 0 0 +1 +1 29 0x8127 0x67599725 @@ -45270,6 +56588,8 @@ 0 0 0 +0 +3 55 0x616b 0xe91daeac @@ -45278,6 +56598,8 @@ 1 0 0 +3 +2 53 0xb30 0xf8f0f578 @@ -45286,6 +56608,8 @@ 1 1 0 +4 +3 64 0x29db 0x715fe3ec @@ -45294,6 +56618,8 @@ 0 0 0 +0 +5 61 0x29d3 0x63adc75 @@ -45302,6 +56628,8 @@ 1 0 0 +5 +2 44 0xeb02 0x3190d605 @@ -45310,6 +56638,8 @@ 1 0 0 +1 +4 19 0x20c5 0xb61291a3 @@ -45318,6 +56648,8 @@ 0 0 0 +1 +4 100 0xf176 0x6aa77ca4 @@ -45326,6 +56658,8 @@ 1 1 0 +5 +4 58 0x51e0 0x5b2246ea @@ -45334,6 +56668,8 @@ 0 0 0 +1 +4 74 0xddba 0x540d48ee @@ -45342,6 +56678,8 @@ 0 0 0 +1 +4 65 0x976e 0x19f1a241 @@ -45350,6 +56688,8 @@ 0 0 0 +4 +0 29 0x5e63 0x1f835b45 @@ -45358,6 +56698,8 @@ 1 1 0 +0 +4 36 0x36f5 0x5c56f62f @@ -45366,6 +56708,8 @@ 0 0 0 +3 +4 100 0x546e 0xb7bb8a37 @@ -45374,6 +56718,8 @@ 0 0 0 +0 +3 74 0x12a8 0xcc61cfea @@ -45382,6 +56728,8 @@ 0 0 0 +1 +0 31 0x9f03 0xc749382c @@ -45390,6 +56738,8 @@ 0 0 0 +4 +5 75 0xb997 0xca7b72b5 @@ -45398,6 +56748,8 @@ 0 0 0 +2 +5 83 0xb860 0x59576444 @@ -45406,6 +56758,8 @@ 1 0 0 +0 +2 26 0xdd8b 0xdfdb5db9 @@ -45414,6 +56768,8 @@ 1 0 0 +5 +1 59 0x89b2 0x37a8b57a @@ -45422,6 +56778,8 @@ 1 1 0 +2 +3 48 0x68c3 0x343b290b @@ -45430,6 +56788,8 @@ 0 0 0 +4 +2 22 0xdb33 0xe28aad92 @@ -45438,6 +56798,8 @@ 0 0 0 +2 +3 21 0x3853 0x53ed6957 @@ -45446,6 +56808,8 @@ 0 0 0 +5 +4 89 0x993c 0x42f63aab @@ -45454,6 +56818,8 @@ 1 0 0 +5 +4 96 0x3bcf 0x855c0515 @@ -45462,6 +56828,8 @@ 0 0 0 +1 +1 15 0x9fe6 0xaabaebbc @@ -45470,6 +56838,8 @@ 1 0 0 +3 +4 94 0x5028 0xf44c4bf6 @@ -45478,6 +56848,8 @@ 0 0 0 +2 +2 23 0xbd2e 0x346664b @@ -45486,6 +56858,8 @@ 0 0 0 +0 +5 68 0xdb2d 0x37a620c7 @@ -45494,6 +56868,8 @@ 1 1 0 +0 +3 75 0xd1ec 0x374cbe55 @@ -45502,6 +56878,8 @@ 1 0 0 +0 +3 63 0xe41e 0x12aad85f @@ -45510,6 +56888,8 @@ 0 0 0 +5 +0 66 0xae2a 0x87b1ada7 @@ -45518,6 +56898,8 @@ 0 0 0 +1 +0 65 0x6649 0x2a953f5f @@ -45526,6 +56908,8 @@ 1 1 0 +3 +2 24 0x4ddb 0x2c515321 @@ -45534,6 +56918,8 @@ 1 1 0 +2 +0 84 0x737e 0xe4eefdf3 @@ -45542,6 +56928,8 @@ 0 0 0 +0 +0 33 0x895e 0x109f38ed @@ -45550,6 +56938,8 @@ 1 1 0 +1 +2 70 0x448e 0xd29db299 @@ -45558,6 +56948,8 @@ 0 0 0 +0 +0 52 0xa880 0xa88f72d8 @@ -45566,6 +56958,8 @@ 0 0 0 +5 +1 92 0x455 0x63315fce @@ -45574,6 +56968,8 @@ 1 0 0 +3 +1 11 0x5c57 0x5376a0e1 @@ -45582,6 +56978,8 @@ 0 0 0 +3 +5 50 0xfdd9 0xb08d3530 @@ -45590,6 +56988,8 @@ 1 1 0 +2 +0 28 0x3e94 0xf227be82 @@ -45598,6 +56998,8 @@ 1 0 0 +5 +3 26 0xa55d 0x2547b0e8 @@ -45606,6 +57008,8 @@ 1 0 0 +3 +2 24 0x8a25 0x6e76f7da @@ -45614,6 +57018,8 @@ 0 0 0 +2 +0 57 0x7bf7 0x5ac93b6b @@ -45622,6 +57028,8 @@ 1 1 0 +0 +0 43 0xece9 0x471436b2 @@ -45630,6 +57038,8 @@ 0 0 0 +3 +0 73 0xef1 0xc9ac4208 @@ -45638,6 +57048,8 @@ 1 0 0 +2 +2 24 0x39a1 0x8eb347f0 @@ -45646,6 +57058,8 @@ 0 0 0 +5 +2 14 0x48cd 0x844da0e6 @@ -45654,6 +57068,8 @@ 0 0 0 +3 +4 57 0xf847 0xdefe43ed @@ -45662,6 +57078,8 @@ 1 0 0 +0 +0 92 0x1208 0x5d2a86b6 @@ -45670,6 +57088,8 @@ 0 0 0 +3 +4 28 0xeb24 0xae77d277 @@ -45678,6 +57098,8 @@ 1 0 0 +0 +1 22 0x9130 0x2e22496e @@ -45686,6 +57108,8 @@ 1 1 0 +3 +4 29 0x1ede 0xe326da52 @@ -45694,6 +57118,8 @@ 1 1 0 +3 +0 61 0x43c1 0x89617e7 @@ -45702,6 +57128,8 @@ 1 1 0 +1 +1 74 0x4d18 0x31fb9e01 @@ -45710,6 +57138,8 @@ 0 0 0 +1 +3 63 0x842c 0x23723758 @@ -45718,6 +57148,8 @@ 0 0 0 +2 +4 45 0x7246 0x6db1f359 @@ -45726,6 +57158,8 @@ 0 0 0 +5 +0 50 0xcb02 0x4a5de4a0 @@ -45734,6 +57168,8 @@ 0 0 0 +2 +3 39 0xcd53 0x8973bb8f @@ -45742,6 +57178,8 @@ 0 0 0 +0 +3 44 0x758d 0xb07d6824 @@ -45750,6 +57188,8 @@ 1 0 0 +5 +4 85 0x1338 0xf3a9ef1d @@ -45758,6 +57198,8 @@ 1 0 0 +3 +5 76 0x6ccf 0x9db300cd @@ -45766,6 +57208,8 @@ 0 0 0 +1 +4 22 0xde2a 0xa34d73d8 @@ -45774,6 +57218,8 @@ 0 0 0 +1 +3 46 0xcc11 0x445b5e46 @@ -45782,6 +57228,8 @@ 0 0 0 +3 +0 45 0xe675 0x68981c9a @@ -45790,6 +57238,8 @@ 0 0 0 +4 +3 18 0xc563 0xc699122e @@ -45798,6 +57248,8 @@ 0 0 0 +5 +4 99 0xe935 0xc375502f @@ -45806,6 +57258,8 @@ 1 0 0 +5 +2 29 0x2c4 0x376fe628 @@ -45814,6 +57268,8 @@ 0 0 0 +0 +5 73 0xe81f 0x45abfa53 @@ -45822,6 +57278,8 @@ 0 0 0 +4 +4 84 0x198e 0xc7112a8c @@ -45830,6 +57288,8 @@ 1 0 0 +0 +0 29 0x1b04 0x88dec537 @@ -45838,6 +57298,8 @@ 0 0 0 +0 +1 51 0x4e59 0x1423b1ae @@ -45846,6 +57308,8 @@ 1 0 0 +3 +2 91 0x4139 0x6fbae168 @@ -45854,6 +57318,8 @@ 1 0 0 +1 +4 39 0x7b79 0x83ddddba @@ -45862,6 +57328,8 @@ 1 1 0 +0 +4 94 0xac7d 0x64f4705d @@ -45870,6 +57338,8 @@ 0 0 0 +2 +2 61 0x8df8 0xcbd3328f @@ -45878,6 +57348,8 @@ 1 0 0 +4 +3 17 0x365f 0x36b28bd8 @@ -45886,6 +57358,8 @@ 1 0 0 +0 +2 47 0x743c 0xe1beef84 @@ -45894,6 +57368,8 @@ 0 0 0 +3 +2 73 0xcf26 0x4d916445 @@ -45902,6 +57378,8 @@ 0 0 0 +4 +0 31 0x889b 0x2209373e @@ -45910,6 +57388,8 @@ 1 1 0 +3 +3 43 0x8734 0xb1a73b18 @@ -45918,6 +57398,8 @@ 0 0 0 +0 +5 19 0x5938 0xc767b89e @@ -45926,6 +57408,8 @@ 1 1 0 +4 +0 72 0x836c 0x7c7dcfd3 @@ -45934,6 +57418,8 @@ 1 0 0 +2 +2 100 0x6ec7 0xfe1a56d8 @@ -45942,6 +57428,8 @@ 0 0 0 +2 +5 89 0x4f2d 0xec446168 @@ -45950,6 +57438,8 @@ 1 1 0 +3 +5 44 0xe75e 0x4f648bfe @@ -45958,6 +57448,8 @@ 0 0 0 +5 +5 40 0xbc38 0x1c8bfb2a @@ -45966,6 +57458,8 @@ 1 1 0 +3 +0 72 0x9840 0x96712819 @@ -45974,6 +57468,8 @@ 1 0 0 +0 +2 33 0xe891 0x855c4515 @@ -45982,6 +57478,8 @@ 1 0 0 +4 +1 57 0x175d 0x45addd1c @@ -45990,6 +57488,8 @@ 1 1 0 +5 +5 38 0x468c 0x6ab58cc4 @@ -45998,6 +57498,8 @@ 1 1 0 +0 +0 86 0xb90b 0x89c4c294 @@ -46006,6 +57508,8 @@ 1 1 0 +4 +1 86 0x5830 0x77a4c0fc @@ -46014,6 +57518,8 @@ 1 0 0 +5 +2 94 0x6071 0x943fa6e3 @@ -46022,6 +57528,8 @@ 0 0 0 +5 +5 56 0xfa8d 0x96c27c2c @@ -46030,6 +57538,8 @@ 0 0 0 +1 +5 66 0x2e1 0xd79bf6d0 @@ -46038,6 +57548,8 @@ 0 0 0 +0 +1 57 0xe4c2 0x18f1745e @@ -46046,6 +57558,8 @@ 1 1 0 +0 +4 62 0x4f63 0xfe5474a4 @@ -46054,6 +57568,8 @@ 0 0 0 +0 +2 16 0xd380 0xcb941c89 @@ -46062,6 +57578,8 @@ 1 0 0 +4 +4 65 0xe069 0xf94700fc @@ -46070,6 +57588,8 @@ 1 1 0 +1 +4 43 0xcb80 0x8466fa6f @@ -46078,6 +57598,8 @@ 1 1 0 +1 +5 81 0x27ab 0x43cad2d9 @@ -46086,6 +57608,8 @@ 1 0 0 +4 +2 79 0x12ca 0x18e119ba @@ -46094,6 +57618,8 @@ 1 1 0 +0 +1 82 0x9881 0xbbf95c0 @@ -46102,6 +57628,8 @@ 0 0 0 +2 +0 74 0x28e 0x7b1223b9 @@ -46110,6 +57638,8 @@ 0 0 0 +1 +1 72 0x7b95 0x717cbb56 @@ -46118,6 +57648,8 @@ 0 0 0 +3 +3 43 0xfe53 0x16ec5dcc @@ -46126,6 +57658,8 @@ 0 0 0 +0 +0 49 0x3622 0x4e6df246 @@ -46134,6 +57668,8 @@ 0 0 0 +5 +3 15 0xd6d9 0xe0b8c03f @@ -46142,6 +57678,8 @@ 0 0 0 +1 +4 55 0x7028 0x704c21ad @@ -46150,6 +57688,8 @@ 0 0 0 +4 +0 16 0xbe25 0x57ba69d5 @@ -46158,6 +57698,8 @@ 1 1 0 +2 +0 94 0xdb3b 0xc736fa9 @@ -46166,6 +57708,8 @@ 1 0 0 +1 +3 28 0xf0d1 0x40a950e2 @@ -46174,6 +57718,8 @@ 1 1 0 +0 +5 41 0x7df6 0x4b6ca5e8 @@ -46182,6 +57728,8 @@ 1 1 0 +0 +0 28 0xa000 0x62f633f4 @@ -46190,6 +57738,8 @@ 0 0 0 +1 +4 85 0x82e6 0x9218fbc @@ -46198,6 +57748,8 @@ 0 0 0 +4 +1 76 0x471e 0xf2cf1f0f @@ -46206,6 +57758,8 @@ 0 0 0 +1 +1 20 0xb6bd 0x682ab06 @@ -46214,6 +57768,8 @@ 1 0 0 +5 +1 58 0x98e1 0x151215cd @@ -46222,6 +57778,8 @@ 0 0 0 +2 +1 27 0xa029 0x65301fb @@ -46230,6 +57788,8 @@ 1 1 0 +3 +1 16 0x3917 0x8d99ab2 @@ -46238,6 +57798,8 @@ 0 0 0 +5 +2 22 0x6405 0xb73bda9d @@ -46246,6 +57808,8 @@ 1 1 0 +5 +4 41 0x8873 0xb403ce25 @@ -46254,6 +57818,8 @@ 0 0 0 +3 +0 35 0xce4a 0x960fc3d6 @@ -46262,6 +57828,8 @@ 1 1 0 +2 +0 26 0x3911 0x519f00c @@ -46270,6 +57838,8 @@ 1 1 0 +0 +3 37 0x53b7 0xa1167c36 @@ -46278,6 +57848,8 @@ 1 1 0 +1 +1 36 0x6565 0xfb410e5a @@ -46286,6 +57858,8 @@ 0 0 0 +5 +1 16 0xe00b 0x391e9e96 @@ -46294,6 +57868,8 @@ 0 0 0 +1 +3 13 0xcf4 0xb03c8536 @@ -46302,6 +57878,8 @@ 1 0 0 +0 +5 28 0xff8b 0xceae3519 @@ -46310,6 +57888,8 @@ 1 1 0 +4 +3 60 0xe06 0x36c78d27 @@ -46318,6 +57898,8 @@ 1 0 0 +3 +4 45 0x680c 0x800940a4 @@ -46326,6 +57908,8 @@ 0 0 0 +2 +3 77 0x5c2f 0x6c9817b @@ -46334,6 +57918,8 @@ 1 1 0 +0 +3 21 0xbae2 0xb271f7b7 @@ -46342,6 +57928,8 @@ 0 0 0 +5 +3 43 0x6550 0x21ff0eee @@ -46350,6 +57938,8 @@ 1 1 0 +2 +3 57 0x9b80 0xf687617f @@ -46358,6 +57948,8 @@ 1 0 0 +0 +4 21 0xf0d7 0x7d6819ab @@ -46366,6 +57958,8 @@ 1 0 0 +4 +5 13 0xcc2c 0x97288ddc @@ -46374,6 +57968,8 @@ 1 0 0 +0 +2 33 0x193e 0x5c99cb27 @@ -46382,6 +57978,8 @@ 0 0 0 +0 +2 38 0xb27e 0x2002834a @@ -46390,6 +57988,8 @@ 1 0 0 +4 +5 36 0xe3f 0x51856b6f @@ -46398,6 +57998,8 @@ 0 0 0 +1 +5 29 0xae2 0x5090872e @@ -46406,6 +58008,8 @@ 0 0 0 +0 +3 68 0x48a2 0xa9aa7c2d @@ -46414,6 +58018,8 @@ 1 1 0 +0 +2 75 0x387d 0xe32c1c40 @@ -46422,6 +58028,8 @@ 1 0 0 +0 +4 54 0x7152 0xacd5aa31 @@ -46430,6 +58038,8 @@ 1 0 0 +2 +0 73 0xf415 0x4f3a7510 @@ -46438,6 +58048,8 @@ 0 0 0 +0 +0 49 0x99d9 0x36f2547f @@ -46446,6 +58058,8 @@ 0 0 0 +2 +3 27 0x1a6c 0x44797603 @@ -46454,6 +58068,8 @@ 1 0 0 +1 +5 24 0x235a 0x1ecc3010 @@ -46462,6 +58078,8 @@ 1 0 0 +1 +3 54 0x45d6 0x4ecd208e @@ -46470,6 +58088,8 @@ 1 1 0 +3 +3 32 0x6bec 0x5056525c @@ -46478,6 +58098,8 @@ 0 0 0 +1 +3 56 0xa4f9 0xda2d38b0 @@ -46486,6 +58108,8 @@ 1 0 0 +5 +5 70 0x127d 0xe2b56f46 @@ -46494,6 +58118,8 @@ 1 0 0 +2 +4 66 0x8ae1 0x1ef260e9 @@ -46502,6 +58128,8 @@ 1 0 0 +5 +4 88 0x1f77 0xa1a2b12a @@ -46510,6 +58138,8 @@ 1 1 0 +2 +4 12 0x1e38 0x4fd939fb @@ -46518,6 +58148,8 @@ 1 0 0 +4 +4 68 0x3f31 0x25f02ee4 @@ -46526,6 +58158,8 @@ 0 0 0 +3 +4 36 0x83d7 0x2f7918be @@ -46534,6 +58168,8 @@ 0 0 0 +1 +5 76 0xd4d1 0x4639c62e @@ -46542,6 +58178,8 @@ 0 0 0 +3 +4 27 0x9a7 0x3b98d41 @@ -46550,6 +58188,8 @@ 0 0 0 +2 +4 75 0xd351 0x25a0d012 @@ -46558,6 +58198,8 @@ 1 1 0 +2 +2 68 0x9232 0xb286a934 @@ -46566,6 +58208,8 @@ 1 1 0 +5 +3 81 0x8a3f 0xfb73ec8c @@ -46574,6 +58218,8 @@ 1 1 0 +4 +2 30 0x3f02 0x446a4ff6 @@ -46582,6 +58228,8 @@ 0 0 0 +1 +1 79 0x45a3 0x4450fad0 @@ -46590,6 +58238,8 @@ 1 1 0 +2 +2 34 0x81b2 0xc75f6e51 @@ -46598,6 +58248,8 @@ 0 0 0 +5 +2 44 0x3652 0xe04e584b @@ -46606,6 +58258,8 @@ 1 1 0 +5 +2 90 0x79fc 0x521c543f @@ -46614,6 +58268,8 @@ 0 0 0 +5 +0 30 0xa33c 0xabd26a31 @@ -46622,6 +58278,8 @@ 1 1 0 +1 +5 92 0xa136 0x307fdabb @@ -46630,6 +58288,8 @@ 1 1 0 +2 +4 64 0xebe3 0x8c4d3c26 @@ -46638,6 +58298,8 @@ 0 0 0 +5 +0 48 0xe094 0x7a88375 @@ -46646,6 +58308,8 @@ 1 1 0 +4 +3 58 0xa6df 0x28e5e6e4 @@ -46654,6 +58318,8 @@ 0 0 0 +1 +2 43 0xb2a 0x4dc2ac95 @@ -46662,6 +58328,8 @@ 0 0 0 +1 +1 55 0x7f78 0xcbc3ac15 @@ -46670,6 +58338,8 @@ 1 1 0 +5 +3 30 0xf7f2 0x69fb9807 @@ -46678,6 +58348,8 @@ 0 0 0 +1 +1 68 0x6ccc 0xa9c1431f @@ -46686,6 +58358,8 @@ 0 0 0 +1 +1 96 0xb453 0xdfd6c700 @@ -46694,6 +58368,8 @@ 0 0 0 +5 +2 90 0x7103 0x621879bd @@ -46702,6 +58378,8 @@ 1 0 0 +2 +3 68 0x7fd 0x2f3b1294 @@ -46710,6 +58388,8 @@ 0 0 0 +5 +2 29 0x4a6b 0x7abdd6dd @@ -46718,6 +58398,8 @@ 1 0 0 +5 +5 20 0x3173 0xa110247d @@ -46726,6 +58408,8 @@ 1 1 0 +1 +5 44 0x8229 0x7ec10f4b @@ -46734,6 +58418,8 @@ 1 0 0 +4 +0 65 0x25e 0xe7c8839f @@ -46742,6 +58428,8 @@ 1 1 0 +1 +4 38 0x64a5 0xc4ec4723 @@ -46750,6 +58438,8 @@ 1 0 0 +5 +4 13 0x8d62 0xb8c42498 @@ -46758,6 +58448,8 @@ 1 1 0 +2 +3 88 0x2590 0x5dd2bfb6 @@ -46766,6 +58458,8 @@ 1 1 0 +0 +1 78 0x75 0xe324a1f5 @@ -46774,6 +58468,8 @@ 0 0 0 +4 +3 17 0xe572 0x58830234 @@ -46782,6 +58478,8 @@ 0 0 0 +3 +2 14 0xf8f1 0xd1fb9714 @@ -46790,6 +58488,8 @@ 0 0 0 +4 +0 49 0x1463 0xf95e0d04 @@ -46798,6 +58498,8 @@ 0 0 0 +1 +3 29 0x5365 0x730dd324 @@ -46806,6 +58508,8 @@ 0 0 0 +2 +4 43 0x25ee 0xe498b090 @@ -46814,6 +58518,8 @@ 0 0 0 +5 +1 17 0x5039 0xe793650b @@ -46822,6 +58528,8 @@ 0 0 0 +0 +3 10 0xa62a 0xd5272727 @@ -46830,6 +58538,8 @@ 0 0 0 +4 +3 12 0x8f45 0xf660b598 @@ -46838,6 +58548,8 @@ 1 0 0 +3 +4 89 0xbb6a 0x20934b5f @@ -46846,6 +58558,8 @@ 0 0 0 +2 +1 31 0xad69 0xbd589f72 @@ -46854,6 +58568,8 @@ 0 0 0 +1 +2 26 0x4d53 0x9c3410bb @@ -46862,6 +58578,8 @@ 1 0 0 +4 +3 30 0xf886 0xf8e54c80 @@ -46870,6 +58588,8 @@ 1 0 0 +3 +3 54 0x6158 0x14f66a75 @@ -46878,6 +58598,8 @@ 0 0 0 +3 +4 56 0xdb2a 0x798f39c1 @@ -46886,6 +58608,8 @@ 0 0 0 +3 +0 74 0xcf5a 0x723ac77f @@ -46894,6 +58618,8 @@ 0 0 0 +0 +3 45 0x73d1 0x2666a094 @@ -46902,6 +58628,8 @@ 0 0 0 +2 +1 82 0xb6aa 0xaeccc8a7 @@ -46910,6 +58638,8 @@ 0 0 0 +2 +2 56 0x9d31 0xe48a7f0a @@ -46918,6 +58648,8 @@ 0 0 0 +1 +2 26 0x1c77 0xc82e4858 @@ -46926,6 +58658,8 @@ 1 0 0 +1 +1 95 0x633 0x7d07a63 @@ -46934,6 +58668,8 @@ 0 0 0 +3 +5 54 0xcd35 0x8b71385e @@ -46942,6 +58678,8 @@ 1 1 0 +4 +0 76 0xd288 0xaa5fc72d @@ -46950,6 +58688,8 @@ 1 0 0 +5 +3 39 0x8b6b 0xfe3736e1 @@ -46958,6 +58698,8 @@ 0 0 0 +0 +2 50 0x37bb 0xeb309b7a @@ -46966,6 +58708,8 @@ 1 0 0 +5 +1 40 0xa7cf 0x44a0beb1 @@ -46974,6 +58718,8 @@ 0 0 0 +2 +0 87 0xb54a 0x185f136a @@ -46982,6 +58728,8 @@ 0 0 0 +3 +3 76 0xb3f9 0x21551207 @@ -46990,6 +58738,8 @@ 0 0 0 +3 +3 40 0xc98d 0x2e9bb117 @@ -46998,6 +58748,8 @@ 0 0 0 +0 +5 25 0xec56 0xe2f6fe7 @@ -47006,6 +58758,8 @@ 0 0 0 +2 +2 28 0xef3e 0x57ec5075 @@ -47014,6 +58768,8 @@ 0 0 0 +5 +4 24 0xfbfb 0x2b34ee38 @@ -47022,6 +58778,8 @@ 0 0 0 +3 +3 99 0x44c9 0xf07ffc8 @@ -47030,6 +58788,8 @@ 0 0 0 +2 +5 87 0x8ac3 0x36dbd4e2 @@ -47038,6 +58798,8 @@ 0 0 0 +2 +2 13 0x3540 0xa0f05b1a @@ -47046,6 +58808,8 @@ 1 1 0 +5 +0 28 0xa454 0xf64edac3 @@ -47054,6 +58818,8 @@ 1 0 0 +2 +1 78 0x7219 0xd5dc8e96 @@ -47062,6 +58828,8 @@ 1 0 0 +0 +3 11 0xb04f 0x755bdd77 @@ -47070,6 +58838,8 @@ 0 0 0 +0 +0 100 0x14e 0xafac2417 @@ -47078,6 +58848,8 @@ 0 0 0 +0 +2 31 0x9b43 0x8433b30a @@ -47086,6 +58858,8 @@ 0 0 0 +3 +1 86 0x60b0 0x5dde1f25 @@ -47094,6 +58868,8 @@ 1 0 0 +3 +4 100 0x1f98 0x5f87b88b @@ -47102,6 +58878,8 @@ 0 0 0 +3 +5 65 0x4b9d 0x67e4003e @@ -47110,6 +58888,8 @@ 0 0 0 +5 +3 46 0x1c43 0x41ff1ec @@ -47118,6 +58898,8 @@ 1 0 0 +1 +0 41 0xdc87 0xcb6d2e03 @@ -47126,6 +58908,8 @@ 1 1 0 +5 +0 23 0xa9f2 0xffe8db32 @@ -47134,6 +58918,8 @@ 0 0 0 +2 +5 90 0x92fd 0xc257fb24 @@ -47142,6 +58928,8 @@ 0 0 0 +4 +2 34 0x5d71 0xa90eded8 @@ -47150,6 +58938,8 @@ 0 0 0 +2 +0 62 0x267e 0xc0800d36 @@ -47158,6 +58948,8 @@ 0 0 0 +3 +4 84 0xbc7 0xccf74914 @@ -47166,6 +58958,8 @@ 1 0 0 +0 +0 12 0x838f 0x7ebf6974 @@ -47174,6 +58968,8 @@ 0 0 0 +5 +4 100 0x6376 0x8fd770f7 @@ -47182,6 +58978,8 @@ 0 0 0 +1 +0 57 0x5186 0x2801f647 @@ -47190,6 +58988,8 @@ 0 0 0 +2 +5 43 0xa46c 0xc84952e3 @@ -47198,6 +58998,8 @@ 0 0 0 +3 +3 62 0xc344 0xbe21d2f2 @@ -47206,6 +59008,8 @@ 1 1 0 +2 +1 60 0xfee0 0xde8dfa75 @@ -47214,6 +59018,8 @@ 1 1 0 +4 +3 94 0x6f1 0x230dec95 @@ -47222,6 +59028,8 @@ 0 0 0 +0 +2 91 0xe66a 0xe74a34c0 @@ -47230,6 +59038,8 @@ 0 0 0 +4 +0 32 0x8803 0x8f7a2dbd @@ -47238,6 +59048,8 @@ 0 0 0 +4 +3 16 0xb67e 0x8eebc501 @@ -47246,6 +59058,8 @@ 1 1 0 +4 +1 24 0xa586 0x6c783115 @@ -47254,6 +59068,8 @@ 0 0 0 +2 +5 51 0x8687 0x9f837204 @@ -47262,6 +59078,8 @@ 0 0 0 +4 +5 34 0xe9ff 0x5f2d62f8 @@ -47270,6 +59088,8 @@ 0 0 0 +5 +2 79 0x84b3 0x1df0bc0 @@ -47278,6 +59098,8 @@ 1 0 0 +1 +0 91 0x2c65 0x1f349027 @@ -47286,6 +59108,8 @@ 0 0 0 +2 +3 38 0xe5f3 0x89cd72c9 @@ -47294,6 +59118,8 @@ 1 1 0 +2 +3 64 0x6d1d 0xb320f43a @@ -47302,6 +59128,8 @@ 1 0 0 +5 +3 97 0x3436 0x7de39ef2 @@ -47310,6 +59138,8 @@ 0 0 0 +5 +1 45 0xa2bd 0x82e3f037 @@ -47318,6 +59148,8 @@ 0 0 0 +3 +2 53 0x6ef4 0xe9fb5b65 @@ -47326,6 +59158,8 @@ 0 0 0 +4 +0 12 0x1daf 0xb63fa6d4 @@ -47334,6 +59168,8 @@ 1 1 0 +1 +5 68 0x7efd 0x246b029 @@ -47342,6 +59178,8 @@ 0 0 0 +2 +0 72 0x774a 0x5b8efb89 @@ -47350,6 +59188,8 @@ 1 0 0 +2 +0 19 0xb5ae 0x35370e3 @@ -47358,6 +59198,8 @@ 0 0 0 +0 +3 42 0x5d67 0x38d20df3 @@ -47366,6 +59208,8 @@ 1 1 0 +2 +3 96 0xa88a 0x15675c4b @@ -47374,6 +59218,8 @@ 0 0 0 +0 +1 45 0x6af9 0xa74dd964 @@ -47382,6 +59228,8 @@ 1 1 0 +0 +2 84 0x96e1 0xfd31cc00 @@ -47390,6 +59238,8 @@ 0 0 0 +5 +2 18 0xe8cd 0x93ae4238 @@ -47398,6 +59248,8 @@ 1 1 0 +0 +2 90 0x185e 0x4e10e573 @@ -47406,6 +59258,8 @@ 1 1 0 +5 +1 50 0x709d 0xbff7f730 @@ -47414,6 +59268,8 @@ 0 0 0 +2 +1 27 0xebb7 0x41ace2b3 @@ -47422,6 +59278,8 @@ 0 0 0 +5 +4 40 0xce26 0x28d24cc @@ -47430,6 +59288,8 @@ 0 0 0 +2 +0 91 0x83b1 0xde6e4cfe @@ -47438,6 +59298,8 @@ 1 0 0 +2 +4 41 0x5fdf 0xaf7b56f0 @@ -47446,6 +59308,8 @@ 0 0 0 +4 +1 59 0xce12 0x633b475e @@ -47454,6 +59318,8 @@ 1 0 0 +1 +4 97 0x8f51 0xf13a7b44 @@ -47462,6 +59328,8 @@ 0 0 0 +1 +3 48 0xfe23 0xc839ba2 @@ -47470,6 +59338,8 @@ 1 0 0 +1 +0 34 0x726 0x76a037f5 @@ -47478,6 +59348,8 @@ 1 1 0 +5 +2 32 0xc4a1 0xde837a4c @@ -47486,6 +59358,8 @@ 0 0 0 +4 +2 69 0x8928 0x58becfc5 @@ -47494,6 +59368,8 @@ 0 0 0 +3 +0 42 0x3a81 0x9e217256 @@ -47502,6 +59378,8 @@ 1 0 0 +5 +3 51 0xf107 0x9638d370 @@ -47510,6 +59388,8 @@ 0 0 0 +4 +5 73 0x775d 0xe7828c6a @@ -47518,6 +59398,8 @@ 0 0 0 +2 +0 95 0x9be6 0xb2aa5156 @@ -47526,6 +59408,8 @@ 0 0 0 +4 +5 25 0xc8e4 0x3b84f9b5 @@ -47534,6 +59418,8 @@ 1 1 0 +1 +5 96 0x76ab 0x422ecf3d @@ -47542,6 +59428,8 @@ 0 0 0 +1 +5 49 0x69eb 0x586d296 @@ -47550,6 +59438,8 @@ 1 1 0 +4 +1 78 0x1a24 0x4e14cf09 @@ -47558,6 +59448,8 @@ 0 0 0 +0 +1 46 0x459a 0x90e7006d @@ -47566,6 +59458,8 @@ 0 0 0 +3 +3 69 0xa641 0x4e2757d7 @@ -47574,6 +59468,8 @@ 1 0 0 +0 +3 87 0x4ba9 0xa4d7b23c @@ -47582,6 +59478,8 @@ 0 0 0 +4 +0 51 0x64c 0xe3cd45fd @@ -47590,6 +59488,8 @@ 1 1 0 +1 +4 10 0xe6e1 0xad769025 @@ -47598,6 +59498,8 @@ 0 0 0 +5 +1 100 0x6c36 0x9e55277f @@ -47606,6 +59508,8 @@ 0 0 0 +1 +4 33 0x7f57 0x81c38fef @@ -47614,6 +59518,8 @@ 1 0 0 +4 +3 29 0x6c 0x7cdb6492 @@ -47622,6 +59528,8 @@ 0 0 0 +3 +4 97 0xeca6 0xba631b44 @@ -47630,6 +59538,8 @@ 1 1 0 +4 +3 51 0xf84c 0xc42efc34 @@ -47638,6 +59548,8 @@ 1 1 0 +5 +5 73 0xa2d4 0x59b205f6 @@ -47646,6 +59558,8 @@ 0 0 0 +5 +3 62 0x274c 0x787b628f @@ -47654,6 +59568,8 @@ 1 1 0 +1 +4 39 0x29ce 0xdd32b81c @@ -47662,6 +59578,8 @@ 0 0 0 +1 +0 36 0xf054 0x937300a3 @@ -47670,6 +59588,8 @@ 0 0 0 +5 +2 96 0xf18 0x10078333 @@ -47678,6 +59598,8 @@ 1 1 0 +1 +3 46 0x5111 0x78607ea7 @@ -47686,6 +59608,8 @@ 0 0 0 +3 +2 52 0xc989 0x72354acb @@ -47694,6 +59618,8 @@ 0 0 0 +2 +2 41 0x922b 0x3b12c214 @@ -47702,6 +59628,8 @@ 0 0 0 +5 +1 92 0x775b 0x7cc36e61 @@ -47710,6 +59638,8 @@ 1 0 0 +0 +1 84 0x2fad 0x36a06909 @@ -47718,6 +59648,8 @@ 1 1 0 +4 +3 72 0x791 0xb851ece5 @@ -47726,6 +59658,8 @@ 0 0 0 +1 +0 23 0xe879 0x68b0951f @@ -47734,6 +59668,8 @@ 1 1 0 +3 +3 43 0xc6fd 0xcd962c87 @@ -47742,6 +59678,8 @@ 1 1 0 +3 +5 35 0xd39d 0xa459b5a9 @@ -47750,6 +59688,8 @@ 1 0 0 +1 +2 85 0x96d4 0x2bb1430d @@ -47758,6 +59698,8 @@ 1 1 0 +0 +4 81 0xcd5 0xc05e15b2 @@ -47766,6 +59708,8 @@ 1 0 0 +0 +0 36 0x2e08 0xf30ec501 @@ -47774,6 +59718,8 @@ 0 0 0 +0 +2 37 0xf9d9 0x1951cfbe @@ -47782,6 +59728,8 @@ 1 0 0 +3 +3 73 0x6473 0x5ead8381 @@ -47790,6 +59738,8 @@ 1 1 0 +1 +5 99 0x8eb9 0x8a0a1428 @@ -47798,6 +59748,8 @@ 0 0 0 +4 +2 87 0x6a79 0x12bc1b13 @@ -47806,6 +59758,8 @@ 1 0 0 +4 +0 64 0x1dbf 0x3e461d6b @@ -47814,6 +59768,8 @@ 1 0 0 +4 +4 80 0x47ea 0x49e6751c @@ -47822,6 +59778,8 @@ 0 0 0 +5 +5 41 0x59 0xb4667668 @@ -47830,6 +59788,8 @@ 0 0 0 +0 +5 22 0x8c75 0x28257a3c @@ -47838,6 +59798,8 @@ 0 0 0 +5 +1 48 0xc70 0x7f06438 @@ -47846,6 +59808,8 @@ 0 0 0 +4 +2 99 0x9ce 0x16c5f337 @@ -47854,6 +59818,8 @@ 0 0 0 +2 +0 20 0xfa47 0x8dcad981 @@ -47862,6 +59828,8 @@ 0 0 0 +5 +2 68 0xe058 0x9304a634 @@ -47870,6 +59838,8 @@ 0 0 0 +1 +5 59 0x9ad5 0x1bc99e97 @@ -47878,6 +59848,8 @@ 1 0 0 +1 +4 68 0xb77a 0x7ca3b6d0 @@ -47886,6 +59858,8 @@ 1 0 0 +5 +0 63 0xa2ee 0x1c83b74d @@ -47894,6 +59868,8 @@ 0 0 0 +2 +3 73 0x26dc 0x7b398b5a @@ -47902,6 +59878,8 @@ 0 0 0 +4 +0 12 0xfbe5 0x4a6457a4 @@ -47910,6 +59888,8 @@ 0 0 0 +4 +0 55 0xb38b 0xc0126734 @@ -47918,6 +59898,8 @@ 0 0 0 +4 +3 96 0x144a 0xcfbac59 @@ -47926,6 +59908,8 @@ 1 1 0 +0 +2 46 0xf6a 0x86011f96 @@ -47934,6 +59918,8 @@ 0 0 0 +0 +1 99 0x8a82 0xa86a2241 @@ -47942,6 +59928,8 @@ 0 0 0 +0 +2 36 0x9afd 0x7b888465 @@ -47950,6 +59938,8 @@ 1 0 0 +0 +1 64 0x1aec 0x87bd9f3 @@ -47958,6 +59948,8 @@ 1 1 0 +1 +0 92 0x1c06 0x9727891b @@ -47966,6 +59958,8 @@ 0 0 0 +2 +0 27 0x28ef 0xb82f23aa @@ -47974,6 +59968,8 @@ 0 0 0 +5 +4 52 0x9d05 0xff20f916 @@ -47982,6 +59978,8 @@ 1 0 0 +0 +1 46 0x9736 0xfc6783a1 @@ -47990,6 +59988,8 @@ 0 0 0 +4 +3 99 0x7c91 0x5667d0e @@ -47998,6 +59998,8 @@ 1 1 0 +3 +0 24 0x263c 0x53bb6557 @@ -48006,6 +60008,8 @@ 1 0 0 +5 +3 84 0xac6 0xeac56897 @@ -48014,6 +60018,8 @@ 0 0 0 +0 +2 40 0xeb12 0xcf5db656 @@ -48022,6 +60028,8 @@ 1 1 0 +0 +4 24 0x6b1 0x2d8b70ae @@ -48030,6 +60038,8 @@ 0 0 0 +2 +2 17 0xa6a3 0x44bf4a3e @@ -48038,6 +60048,8 @@ 0 0 0 +2 +5 61 0x8931 0x8fadc5f7 @@ -48046,6 +60058,8 @@ 1 0 0 +5 +1 14 0x981c 0x6ecb9c94 @@ -48054,6 +60068,8 @@ 1 1 0 +3 +4 25 0x6fc 0x4d2e06f6 @@ -48062,6 +60078,8 @@ 0 0 0 +3 +4 86 0x50b3 0x6186f7cc @@ -48070,6 +60088,8 @@ 1 0 0 +2 +0 23 0x58f1 0xc2a7a7a0 @@ -48078,6 +60098,8 @@ 1 1 0 +4 +1 65 0x8609 0xa91d3f92 @@ -48086,6 +60108,8 @@ 0 0 0 +3 +5 52 0xe51c 0xee5f2fcf @@ -48094,6 +60118,8 @@ 0 0 0 +0 +4 45 0xa799 0xb906badf @@ -48102,6 +60128,8 @@ 1 0 0 +3 +0 60 0xee37 0x76d34171 @@ -48110,6 +60138,8 @@ 0 0 0 +0 +0 24 0x7bfd 0x2188c303 @@ -48118,6 +60148,8 @@ 1 1 0 +2 +4 97 0xa137 0x7e854616 @@ -48126,6 +60158,8 @@ 0 0 0 +0 +4 61 0xf2f2 0xd5330e2c @@ -48134,6 +60168,8 @@ 1 0 0 +5 +1 34 0x4d22 0xba35ae88 @@ -48142,6 +60178,8 @@ 0 0 0 +3 +0 63 0x5fa9 0xc7c33482 @@ -48150,6 +60188,8 @@ 1 0 0 +3 +0 12 0x4ac0 0xab190318 @@ -48158,6 +60198,8 @@ 1 1 0 +2 +1 60 0x7d1 0xe3947fce @@ -48166,6 +60208,8 @@ 1 0 0 +3 +2 76 0x775d 0x6eddc3ac @@ -48174,6 +60218,8 @@ 0 0 0 +4 +5 17 0xbf10 0x2ed5d881 @@ -48182,6 +60228,8 @@ 1 1 0 +5 +0 57 0x12b 0xd002eb08 @@ -48190,6 +60238,8 @@ 1 1 0 +3 +3 97 0xf06e 0xce5b0bc8 @@ -48198,6 +60248,8 @@ 0 0 0 +5 +0 19 0xbc8c 0xe8e9780e @@ -48206,6 +60258,8 @@ 0 0 0 +5 +2 68 0xab79 0xa62e09cb @@ -48214,6 +60268,8 @@ 1 0 0 +5 +3 21 0xe6aa 0x431c5bb5 @@ -48222,6 +60278,8 @@ 0 0 0 +4 +5 48 0x7a05 0xdd46ba18 @@ -48230,6 +60288,8 @@ 0 0 0 +3 +1 37 0x5a52 0xbc5ff5fd @@ -48238,6 +60298,8 @@ 1 1 0 +5 +4 14 0x9949 0xd0e31be0 @@ -48246,6 +60308,8 @@ 1 0 0 +3 +5 29 0x3355 0x66d409e4 @@ -48254,6 +60318,8 @@ 0 0 0 +0 +1 49 0xf0e3 0x8f0c03d1 @@ -48262,6 +60328,8 @@ 0 0 0 +3 +2 70 0x3771 0xf3ea6a82 @@ -48270,6 +60338,8 @@ 1 1 0 +2 +1 70 0x65f8 0xa765afbe @@ -48278,6 +60348,8 @@ 1 1 0 +4 +0 23 0xb2c9 0x77cc154c @@ -48286,6 +60358,8 @@ 0 0 0 +2 +2 32 0x156b 0x12d53c60 @@ -48294,6 +60368,8 @@ 0 0 0 +1 +1 16 0xe62b 0x27a38ea8 @@ -48302,6 +60378,8 @@ 0 0 0 +1 +0 22 0xbeba 0xa456d74d @@ -48310,6 +60388,8 @@ 0 0 0 +5 +3 83 0xe8d7 0x2ef2b8fe @@ -48318,6 +60398,8 @@ 0 0 0 +2 +4 90 0xbf6 0x37ac92a3 @@ -48326,6 +60408,8 @@ 1 1 0 +5 +5 69 0x9cce 0x1344866b @@ -48334,6 +60418,8 @@ 0 0 0 +4 +1 56 0x8868 0x3a545bf6 @@ -48342,6 +60428,8 @@ 0 0 0 +0 +3 67 0x1399 0x1733c14d @@ -48350,6 +60438,8 @@ 0 0 0 +5 +0 93 0xef7a 0xc1304dd5 @@ -48358,6 +60448,8 @@ 1 1 0 +2 +5 19 0x2cb6 0x7f3db48d @@ -48366,6 +60458,8 @@ 0 0 0 +1 +0 92 0x9f55 0xfbb440fc @@ -48374,6 +60468,8 @@ 1 0 0 +0 +0 66 0xfa6 0x2ea99b2d @@ -48382,6 +60478,8 @@ 1 0 0 +4 +5 27 0xcec 0xef52265f @@ -48390,6 +60488,8 @@ 0 0 0 +2 +5 42 0x5c14 0x679ea53d @@ -48398,6 +60498,8 @@ 0 0 0 +0 +3 98 0x6ec1 0xd4d10a9c @@ -48406,6 +60508,8 @@ 1 1 0 +4 +2 42 0xbcda 0xeb20a866 @@ -48414,6 +60518,8 @@ 0 0 0 +3 +3 42 0xc74 0x961b66a8 @@ -48422,6 +60528,8 @@ 0 0 0 +3 +4 78 0x627 0x657bb755 @@ -48430,6 +60538,8 @@ 1 0 0 +4 +5 77 0xe088 0xab32b661 @@ -48438,6 +60548,8 @@ 0 0 0 +3 +3 82 0x6ab7 0xa32d7377 @@ -48446,6 +60558,8 @@ 0 0 0 +5 +4 17 0x6587 0xb60a8a1b @@ -48454,6 +60568,8 @@ 0 0 0 +4 +4 96 0x2844 0xd9341fa4 @@ -48462,6 +60578,8 @@ 0 0 0 +0 +0 83 0x57cf 0x67adf6b6 @@ -48470,6 +60588,8 @@ 0 0 0 +2 +0 26 0x220a 0xd6525d6a @@ -48478,6 +60598,8 @@ 0 0 0 +2 +0 41 0x346b 0xc229f4fa @@ -48486,6 +60608,8 @@ 1 0 0 +5 +4 64 0x89e5 0x6070775a @@ -48494,6 +60618,8 @@ 1 1 0 +2 +3 92 0x2369 0x19dda2b0 @@ -48502,6 +60628,8 @@ 0 0 0 +5 +5 68 0x8726 0xb8633709 @@ -48510,6 +60638,8 @@ 0 0 0 +4 +1 19 0xe106 0xf727c0dd @@ -48518,6 +60648,8 @@ 0 0 0 +4 +2 72 0x2c74 0x4df1eb1b @@ -48526,6 +60658,8 @@ 0 0 0 +1 +0 83 0xd6bb 0xffb1b1f8 @@ -48534,6 +60668,8 @@ 1 0 0 +5 +0 98 0x7d58 0xee9372c8 @@ -48542,6 +60678,8 @@ 0 0 0 +0 +5 68 0x41e 0x2ad11320 @@ -48550,6 +60688,8 @@ 0 0 0 +5 +0 14 0x652d 0x7abafc19 @@ -48558,6 +60698,8 @@ 1 0 0 +2 +3 17 0x38e7 0xd5c4b4a4 @@ -48566,6 +60708,8 @@ 1 0 0 +5 +4 45 0x9231 0xe85fb3f9 @@ -48574,6 +60718,8 @@ 0 0 0 +0 +2 35 0xdcb8 0xcc913354 @@ -48582,6 +60728,8 @@ 0 0 0 +2 +1 17 0xdef5 0x4c769a75 @@ -48590,6 +60738,8 @@ 1 1 0 +5 +0 96 0x51d6 0x35893804 @@ -48598,6 +60748,8 @@ 0 0 0 +2 +0 80 0x866b 0x80e3a68 @@ -48606,6 +60758,8 @@ 1 0 0 +3 +4 58 0xe2ba 0xb1d3d227 @@ -48614,6 +60768,8 @@ 1 0 0 +1 +4 52 0xddd9 0xd5cdc449 @@ -48622,6 +60778,8 @@ 0 0 0 +5 +3 42 0xa6fa 0x95f907e1 @@ -48630,6 +60788,8 @@ 0 0 0 +2 +2 29 0x9526 0x795e607a @@ -48638,6 +60798,8 @@ 1 1 0 +4 +3 33 0x5b34 0x6818a6eb @@ -48646,6 +60808,8 @@ 1 1 0 +3 +4 60 0x51b7 0xe7501228 @@ -48654,6 +60818,8 @@ 1 1 0 +5 +0 76 0xf969 0x4d5ba8c1 @@ -48662,6 +60828,8 @@ 1 1 0 +4 +3 37 0x345f 0x8550ad42 @@ -48670,6 +60838,8 @@ 0 0 0 +0 +5 72 0xd707 0x88185453 @@ -48678,6 +60848,8 @@ 1 0 0 +1 +5 16 0x4477 0x56fe8fe9 @@ -48686,6 +60858,8 @@ 0 0 0 +5 +3 76 0xec45 0xf22801df @@ -48694,6 +60868,8 @@ 0 0 0 +0 +1 79 0x1dbd 0xb5420d1b @@ -48702,6 +60878,8 @@ 0 0 0 +5 +4 86 0xf8c2 0xdd0db287 @@ -48710,6 +60888,8 @@ 0 0 0 +1 +1 71 0x90d7 0x5787aaa7 @@ -48718,6 +60898,8 @@ 1 1 0 +2 +4 20 0x1c80 0x6acbd331 @@ -48726,6 +60908,8 @@ 0 0 0 +1 +4 25 0xf112 0x4c225b31 @@ -48734,6 +60918,8 @@ 1 0 0 +5 +4 94 0xe0e2 0x87bfb6a5 @@ -48742,6 +60928,8 @@ 0 0 0 +1 +3 36 0xc630 0x43917c7b @@ -48750,6 +60938,8 @@ 0 0 0 +4 +0 32 0x9788 0x9c558fd6 @@ -48758,6 +60948,8 @@ 1 0 0 +3 +5 70 0x88f4 0x72bb1d23 @@ -48766,6 +60958,8 @@ 1 0 0 +1 +1 45 0x48b 0x3e316dba @@ -48774,6 +60968,8 @@ 0 0 0 +4 +3 48 0x4c62 0x7fb4a9eb @@ -48782,6 +60978,8 @@ 0 0 0 +3 +4 25 0x224a 0x2d3fb885 @@ -48790,6 +60988,8 @@ 1 0 0 +5 +5 70 0xfa75 0xf5c60577 @@ -48798,6 +60998,8 @@ 0 0 0 +5 +3 75 0xe231 0xf5c42a55 @@ -48806,6 +61008,8 @@ 0 0 0 +4 +5 88 0xf757 0x3da84da5 @@ -48814,6 +61018,8 @@ 0 0 0 +1 +4 23 0x2208 0x4e6851f1 @@ -48822,6 +61028,8 @@ 0 0 0 +2 +4 34 0xdadf 0xbede00fb @@ -48830,6 +61038,8 @@ 0 0 0 +1 +5 39 0x6ab5 0xa715a02e @@ -48838,6 +61048,8 @@ 0 0 0 +0 +1 81 0xd1ff 0xdbcbd797 @@ -48846,6 +61058,8 @@ 0 0 0 +1 +1 62 0x5d84 0x81203393 @@ -48854,6 +61068,8 @@ 0 0 0 +0 +4 26 0xeb19 0x3df79768 @@ -48862,6 +61078,8 @@ 0 0 0 +1 +2 11 0x741e 0xea0c7c9 @@ -48870,6 +61088,8 @@ 1 0 0 +0 +3 17 0x9b19 0x86de13c8 @@ -48878,6 +61098,8 @@ 0 0 0 +3 +4 50 0xfd6 0x5ffe8ca @@ -48886,6 +61108,8 @@ 0 0 0 +4 +1 82 0xdb7f 0x3e2c2ff9 @@ -48894,6 +61118,8 @@ 0 0 0 +0 +5 35 0x7730 0xa719d437 @@ -48902,6 +61128,8 @@ 1 0 0 +4 +5 62 0xfbd9 0x2d2cabcf @@ -48910,6 +61138,8 @@ 1 0 0 +4 +4 87 0xd82e 0x560b8873 @@ -48918,6 +61148,8 @@ 1 1 0 +1 +0 22 0xdd16 0xc07c12a6 @@ -48926,6 +61158,8 @@ 1 0 0 +0 +5 98 0x3d90 0xf5a390ac @@ -48934,6 +61168,8 @@ 0 0 0 +0 +1 53 0x452c 0x47bc62ab @@ -48942,6 +61178,8 @@ 1 0 0 +1 +4 25 0x2fb7 0x9fef4adc @@ -48950,6 +61188,8 @@ 1 1 0 +3 +1 46 0x44df 0x3f33ed68 @@ -48958,6 +61198,8 @@ 0 0 0 +1 +1 63 0x2 0x9510a760 @@ -48966,6 +61208,8 @@ 1 0 0 +1 +1 23 0xd191 0x8558591e @@ -48974,6 +61218,8 @@ 1 1 0 +0 +0 43 0x1cdb 0x5a155207 @@ -48982,6 +61228,8 @@ 0 0 0 +0 +0 73 0xb3bd 0x2f1d9c8c @@ -48990,6 +61238,8 @@ 0 0 0 +5 +2 53 0x92e3 0xe0c91b76 @@ -48998,6 +61248,8 @@ 0 0 0 +1 +0 41 0x63c4 0x4e8b776f @@ -49006,6 +61258,8 @@ 0 0 0 +0 +4 78 0xec63 0x8c2816ff @@ -49014,6 +61268,8 @@ 0 0 0 +4 +5 67 0x5f66 0xb7cf57c9 @@ -49022,6 +61278,8 @@ 1 0 0 +3 +4 10 0x9d69 0x76e5b570 @@ -49030,6 +61288,8 @@ 1 0 0 +2 +2 94 0x2a31 0xd42f5868 @@ -49038,6 +61298,8 @@ 0 0 0 +3 +3 14 0x9d8d 0xbdb90b24 @@ -49046,6 +61308,8 @@ 0 0 0 +2 +2 14 0xf9b2 0x3a0b47dc @@ -49054,6 +61318,8 @@ 0 0 0 +3 +3 76 0x6556 0x5a6d6e76 @@ -49062,6 +61328,8 @@ 1 0 0 +2 +4 39 0x8b81 0xe0a2649c @@ -49070,6 +61338,8 @@ 1 1 0 +4 +3 49 0xa318 0xdcc4b85d @@ -49078,6 +61348,8 @@ 1 1 0 +1 +2 68 0x3fd4 0x6cb513e1 @@ -49086,6 +61358,8 @@ 1 0 0 +4 +2 85 0x2520 0xb524e031 @@ -49094,6 +61368,8 @@ 1 1 0 +0 +5 13 0x3bbf 0x6aadf569 @@ -49102,6 +61378,8 @@ 1 0 0 +2 +5 76 0x5cac 0xded8a898 @@ -49110,6 +61388,8 @@ 0 0 0 +3 +4 43 0x2600 0x25c825dc @@ -49118,6 +61398,8 @@ 1 1 0 +3 +4 57 0x6644 0xdd9524b6 @@ -49126,6 +61408,8 @@ 0 0 0 +3 +0 15 0xa0d8 0x622c98a @@ -49134,6 +61418,8 @@ 0 0 0 +1 +5 32 0x157 0x12255b12 @@ -49142,6 +61428,8 @@ 0 0 0 +0 +3 38 0x4542 0xbc7f70c0 @@ -49150,6 +61438,8 @@ 0 0 0 +2 +0 74 0x291 0x91480dfa @@ -49158,6 +61448,8 @@ 0 0 0 +0 +0 27 0x1906 0xd89b63d6 @@ -49166,6 +61458,8 @@ 0 0 0 +1 +2 53 0x12d8 0x3285ea37 @@ -49174,6 +61468,8 @@ 1 1 0 +1 +1 78 0xf1ca 0x50613957 @@ -49182,6 +61478,8 @@ 0 0 0 +5 +4 26 0x38a2 0x5156a663 @@ -49190,6 +61488,8 @@ 1 0 0 +1 +3 41 0x723a 0xe2d0942d @@ -49198,6 +61498,8 @@ 1 1 0 +1 +0 85 0x3555 0x74ad466b @@ -49206,6 +61508,8 @@ 0 0 0 +1 +0 45 0x7224 0xe36eb782 @@ -49214,6 +61518,8 @@ 0 0 0 +4 +2 26 0x9c0b 0x97de2d20 @@ -49222,6 +61528,8 @@ 1 1 0 +5 +3 78 0x8fa1 0x14b2faa2 @@ -49230,6 +61538,8 @@ 0 0 0 +2 +0 34 0x678c 0x9cb6f2cd @@ -49238,6 +61548,8 @@ 0 0 0 +4 +0 81 0x4e25 0xae1779cd @@ -49246,6 +61558,8 @@ 1 1 0 +0 +0 100 0xcafc 0x1eadce49 @@ -49254,6 +61568,8 @@ 0 0 0 +2 +5 10 0x8e1f 0x1bd4b7b9 @@ -49262,6 +61578,8 @@ 1 1 0 +5 +3 44 0x73cb 0xdfd1f0b3 @@ -49270,6 +61588,8 @@ 1 0 0 +3 +1 87 0x2fd8 0xb8db434a @@ -49278,6 +61598,8 @@ 0 0 0 +4 +4 68 0xdf9c 0xbd9a6b8b @@ -49286,6 +61608,8 @@ 0 0 0 +5 +5 12 0x29fc 0x6a2c13b7 @@ -49294,6 +61618,8 @@ 1 1 0 +0 +5 17 0x3d1c 0x13725d26 @@ -49302,6 +61628,8 @@ 0 0 0 +1 +2 60 0xdc9 0x69570b05 @@ -49310,6 +61638,8 @@ 0 0 0 +4 +5 20 0x245e 0x748bcfc2 @@ -49318,6 +61648,8 @@ 1 0 0 +0 +0 97 0xdb63 0x3c33fcce @@ -49326,6 +61658,8 @@ 0 0 0 +3 +3 17 0xd0c8 0xcafa8a2 @@ -49334,6 +61668,8 @@ 1 0 0 +3 +1 34 0xaad8 0xbc309453 @@ -49342,6 +61678,8 @@ 0 0 0 +5 +0 64 0x2088 0xbff6c02e @@ -49350,6 +61688,8 @@ 1 1 0 +1 +0 86 0x83ba 0x5b7ced89 @@ -49358,6 +61698,8 @@ 1 0 0 +2 +3 66 0xd176 0xa9bd8d5f @@ -49366,6 +61708,8 @@ 0 0 0 +3 +4 28 0xaa3a 0xb124fa81 @@ -49374,6 +61718,8 @@ 0 0 0 +2 +5 22 0xc42d 0x94366199 @@ -49382,6 +61728,8 @@ 0 0 0 +2 +2 24 0x653 0xc13f5eb @@ -49390,6 +61738,8 @@ 0 0 0 +0 +2 24 0xbac7 0x522deece @@ -49398,6 +61748,8 @@ 0 0 0 +4 +0 23 0x152c 0xda301d05 @@ -49406,6 +61758,8 @@ 0 0 0 +1 +0 48 0xe6e7 0x3292ef64 @@ -49414,6 +61768,8 @@ 1 1 0 +2 +1 57 0x7727 0xaff9fd24 @@ -49422,6 +61778,8 @@ 1 0 0 +4 +0 58 0xa335 0x7308e535 @@ -49430,6 +61788,8 @@ 1 1 0 +4 +3 95 0x4a13 0xc0fbb90f @@ -49438,6 +61798,8 @@ 0 0 0 +3 +2 92 0x5a7f 0x829394a2 @@ -49446,6 +61808,8 @@ 1 1 0 +1 +1 56 0xffae 0x5e1a1c3c @@ -49454,6 +61818,8 @@ 1 0 0 +2 +2 45 0xb2b5 0x98e75656 @@ -49462,6 +61828,8 @@ 0 0 0 +4 +5 89 0xf261 0xbeac27c3 @@ -49470,6 +61838,8 @@ 0 0 0 +4 +5 74 0x1bcf 0x78ab0a9d @@ -49478,6 +61848,8 @@ 0 0 0 +1 +3 96 0xcf3b 0x8f51aa14 @@ -49486,6 +61858,8 @@ 0 0 0 +1 +1 47 0xfd3f 0xfc169a7c @@ -49494,6 +61868,8 @@ 1 1 0 +5 +3 85 0xc6bf 0x7a7cd25f @@ -49502,6 +61878,8 @@ 0 0 0 +1 +1 12 0x84fb 0x9015b663 @@ -49510,6 +61888,8 @@ 0 0 0 +0 +4 54 0x6095 0xee3a9f01 @@ -49518,6 +61898,8 @@ 1 0 0 +5 +4 39 0xb667 0xb92aa02b @@ -49526,6 +61908,8 @@ 0 0 0 +3 +5 72 0x20eb 0x1737d9a3 @@ -49534,6 +61918,8 @@ 0 0 0 +0 +4 83 0xa494 0x81a49b85 @@ -49542,6 +61928,8 @@ 0 0 0 +1 +4 49 0xe941 0x40ba8625 @@ -49550,6 +61938,8 @@ 0 0 0 +2 +1 28 0x9eb2 0x1d8a5e97 @@ -49558,6 +61948,8 @@ 1 1 0 +1 +4 64 0x3b93 0x9d5aabad @@ -49566,6 +61958,8 @@ 0 0 0 +4 +2 45 0x16a9 0xdceea8f2 @@ -49574,6 +61968,8 @@ 0 0 0 +3 +3 37 0x2f31 0x5c59930a @@ -49582,6 +61978,8 @@ 1 0 0 +5 +5 60 0xd6ea 0xf5113482 @@ -49590,6 +61988,8 @@ 0 0 0 +0 +1 69 0x17b5 0xc6dddb1f @@ -49598,6 +61998,8 @@ 0 0 0 +2 +0 25 0xadd4 0x12bf41d3 @@ -49606,6 +62008,8 @@ 1 1 0 +0 +4 32 0xf0f7 0x169579cc @@ -49614,6 +62018,8 @@ 1 0 0 +5 +1 23 0xcd2c 0x89ae8c0 @@ -49622,6 +62028,8 @@ 1 0 0 +2 +2 42 0x9e84 0xdc747a73 @@ -49630,6 +62038,8 @@ 1 0 0 +1 +1 36 0x667b 0x7b0f07f @@ -49638,6 +62048,8 @@ 0 0 0 +2 +1 66 0x9411 0x6e25032a @@ -49646,6 +62058,8 @@ 1 0 0 +5 +1 37 0x1d38 0x40a9c9d6 @@ -49654,6 +62068,8 @@ 1 1 0 +5 +3 14 0xd085 0x65a258a5 @@ -49662,6 +62078,8 @@ 1 1 0 +5 +1 78 0xa5d2 0xedb81fb @@ -49670,6 +62088,8 @@ 0 0 0 +4 +4 30 0xed69 0xab25fe08 @@ -49678,6 +62098,8 @@ 0 0 0 +0 +3 94 0x2430 0x22646711 @@ -49686,6 +62108,8 @@ 1 1 0 +4 +4 62 0x609b 0x3d0e5ed8 @@ -49694,6 +62118,8 @@ 0 0 0 +2 +0 86 0xf1e8 0x3d4b7b74 @@ -49702,6 +62128,8 @@ 1 1 0 +1 +3 85 0xa351 0x4ae69c08 @@ -49710,6 +62138,8 @@ 1 0 0 +2 +4 51 0x135b 0x5d1b34ec @@ -49718,6 +62148,8 @@ 0 0 0 +2 +1 22 0x2dfb 0xd6a9090c @@ -49726,6 +62158,8 @@ 1 0 0 +4 +2 21 0x4c87 0x36406e33 @@ -49734,6 +62168,8 @@ 1 1 0 +4 +3 31 0x5f97 0xad22f56b @@ -49742,6 +62178,8 @@ 0 0 0 +3 +1 10 0x51f7 0x7e173c3a @@ -49750,6 +62188,8 @@ 0 0 0 +2 +4 97 0x2e48 0x1335cfdd @@ -49758,6 +62198,8 @@ 0 0 0 +0 +3 82 0xd3a 0xab4987f0 @@ -49766,6 +62208,8 @@ 0 0 0 +3 +0 53 0xaa67 0x7eeb1475 @@ -49774,6 +62218,8 @@ 1 1 0 +5 +0 89 0x6170 0xde96e204 @@ -49782,6 +62228,8 @@ 1 1 0 +5 +0 38 0xefe 0x5994d252 @@ -49790,6 +62238,8 @@ 0 0 0 +5 +2 62 0x5382 0xd6c300a8 @@ -49798,6 +62248,8 @@ 1 1 0 +5 +1 72 0x83d0 0x92990447 @@ -49806,6 +62258,8 @@ 1 1 0 +1 +5 76 0xe12 0xe1e5dd0c @@ -49814,6 +62268,8 @@ 1 1 0 +5 +1 88 0x3387 0x5a094473 @@ -49822,6 +62278,8 @@ 1 1 0 +0 +4 66 0x1f51 0xcd89c53e @@ -49830,6 +62288,8 @@ 0 0 0 +2 +0 46 0xd824 0xc8d16e2a @@ -49838,6 +62298,8 @@ 0 0 0 +3 +5 65 0xff74 0x6bbd4380 @@ -49846,6 +62308,8 @@ 0 0 0 +2 +3 56 0xbc80 0xa2d312d9 @@ -49854,6 +62318,8 @@ 1 1 0 +0 +1 55 0x1755 0xe43b490a @@ -49862,6 +62328,8 @@ 0 0 0 +4 +0 45 0x6471 0x22005f5a @@ -49870,6 +62338,8 @@ 1 0 0 +4 +4 80 0xaa73 0x452daf5a @@ -49878,6 +62348,8 @@ 1 0 0 +0 +5 72 0xba48 0xd522c9ff @@ -49886,6 +62358,8 @@ 1 1 0 +2 +5 15 0xc3f7 0xe419f75 @@ -49894,6 +62368,8 @@ 1 0 0 +0 +0 67 0xccd3 0x2a22aa14 @@ -49902,6 +62378,8 @@ 0 0 0 +4 +5 38 0x6aee 0xc484090e @@ -49910,6 +62388,8 @@ 1 0 0 +1 +3 70 0xd70d 0x30cfa2c3 @@ -49918,6 +62398,8 @@ 0 0 0 +5 +3 43 0xd234 0x59f76289 @@ -49926,6 +62408,8 @@ 1 1 0 +2 +2 11 0xbf6a 0x2a40823f @@ -49934,6 +62418,8 @@ 1 1 0 +5 +1 21 0x2997 0xc4e3ab29 @@ -49942,6 +62428,8 @@ 0 0 0 +3 +2 92 0xc66e 0x7be01dd9 @@ -49950,6 +62438,8 @@ 0 0 0 +4 +1 51 0xe60a 0x5aaf421 @@ -49958,6 +62448,8 @@ 1 0 0 +5 +3 64 0xca0 0xd5efb42a @@ -49966,6 +62458,8 @@ 1 0 0 +2 +2 43 0xcd2b 0xe47f61ce @@ -49974,6 +62468,8 @@ 0 0 0 +3 +1 48 0x4d22 0x9b4b93d8 @@ -49982,6 +62478,8 @@ 0 0 0 +3 +1 54 0xc337 0xbcc0cbdb @@ -49990,6 +62488,8 @@ 0 0 0 +0 +3 65 0x7fdc 0x9db47b22 @@ -49998,6 +62498,8 @@ 0 0 0 +2 +4 50 0x7442 0x8ff83ca4 @@ -50006,6 +62508,8 @@ 1 0 0 +0 +5 47 0x8af2 0xd90928aa @@ -50014,6 +62518,8 @@ 1 1 0 +4 +2 39 0xe492 0xd170a8e2 @@ -50022,6 +62528,8 @@ 0 0 0 +1 +3 84 0x42f 0x5b96e855 @@ -50030,6 +62538,8 @@ 1 1 0 +3 +4 73 0xb436 0x3270da2b @@ -50038,6 +62548,8 @@ 1 0 0 +1 +2 83 0xb1fb 0x82373d0b @@ -50046,6 +62558,8 @@ 0 0 0 +0 +4 23 0xa6a5 0xcc82f083 @@ -50054,6 +62568,8 @@ 0 0 0 +1 +4 25 0x59f6 0xcae93b1 @@ -50062,6 +62578,8 @@ 1 0 0 +5 +5 63 0xb1f1 0x31a5d7c9 @@ -50070,6 +62588,8 @@ 0 0 0 +2 +2 67 0xcdee 0xfc89b115 @@ -50078,6 +62598,8 @@ 1 0 0 +0 +0 52 0x6ef1 0x86778613 @@ -50086,6 +62608,8 @@ 0 0 0 +0 +3 45 0xdb3e 0x5243dfb3 @@ -50094,6 +62618,8 @@ 1 1 0 +5 +3 81 0x73e 0xe6260170 @@ -50102,6 +62628,8 @@ 0 0 0 +2 +1 22 0xdd6 0xe25652f3 @@ -50110,6 +62638,8 @@ 1 1 0 +0 +0 59 0x9004 0xddccace0 @@ -50118,6 +62648,8 @@ 1 1 0 +2 +3 26 0xaa4f 0xb1364dda @@ -50126,6 +62658,8 @@ 1 1 0 +4 +3 48 0x41ca 0x818101eb @@ -50134,6 +62668,8 @@ 0 0 0 +5 +0 43 0x3944 0xbce6123f @@ -50142,6 +62678,8 @@ 0 0 0 +2 +2 85 0x8d12 0xa8159459 @@ -50150,6 +62688,8 @@ 0 0 0 +4 +5 60 0x8694 0x399392a7 @@ -50158,6 +62698,8 @@ 0 0 0 +1 +1 60 0x1c41 0xab4f9a56 @@ -50166,6 +62708,8 @@ 1 1 0 +2 +5 46 0x5f76 0x91ef60e5 @@ -50174,6 +62718,8 @@ 1 1 0 +4 +2 90 0x89b2 0xba1a2902 @@ -50182,6 +62728,8 @@ 1 0 0 +1 +4 49 0xa2db 0x14800835 @@ -50190,6 +62738,8 @@ 0 0 0 +1 +3 36 0xe76c 0x8115a64f @@ -50198,6 +62748,8 @@ 0 0 0 +1 +0 28 0x194d 0xa57412b4 @@ -50206,6 +62758,8 @@ 0 0 0 +3 +4 11 0xd190 0x839af53e @@ -50214,6 +62768,8 @@ 1 1 0 +2 +3 71 0x2241 0x7e578708 @@ -50222,6 +62778,8 @@ 1 1 0 +1 +5 61 0x2782 0xbcec4ee3 @@ -50230,6 +62788,8 @@ 0 0 0 +5 +2 76 0x354a 0x6ef2376b @@ -50238,6 +62798,8 @@ 0 0 0 +1 +3 95 0xd659 0xe22fcf5b @@ -50246,6 +62808,8 @@ 0 0 0 +4 +3 65 0xb59 0x773e1a39 @@ -50254,6 +62818,8 @@ 0 0 0 +2 +3 40 0x5f53 0xd667d613 @@ -50262,6 +62828,8 @@ 1 0 0 +4 +1 57 0x7501 0xe640f3b8 @@ -50270,6 +62838,8 @@ 0 0 0 +0 +1 10 0x3b6b 0xee6229ed @@ -50278,6 +62848,8 @@ 1 0 0 +4 +0 74 0x24f0 0x9bef1f63 @@ -50286,6 +62858,8 @@ 0 0 0 +5 +4 77 0x4281 0xf4df4cfd @@ -50294,6 +62868,8 @@ 1 0 0 +0 +1 27 0x5c0e 0xf4e57a5f @@ -50302,6 +62878,8 @@ 1 1 0 +5 +2 26 0x141a 0x935231b5 @@ -50310,6 +62888,8 @@ 0 0 0 +5 +0 14 0x954b 0xfb33a933 @@ -50318,6 +62898,8 @@ 0 0 0 +5 +0 55 0xe5f6 0x6597032a @@ -50326,6 +62908,8 @@ 1 0 0 +1 +4 14 0xa58b 0x4d4df3dc @@ -50334,6 +62918,8 @@ 1 1 0 +1 +2 66 0xfe0c 0x67e43be9 @@ -50342,6 +62928,8 @@ 1 1 0 +0 +2 93 0xe087 0x5ee109b4 @@ -50350,6 +62938,8 @@ 0 0 0 +1 +0 47 0xce96 0x14434d8b @@ -50358,6 +62948,8 @@ 0 0 0 +2 +5 57 0xdb45 0x2df0a22 @@ -50366,6 +62958,8 @@ 0 0 0 +0 +5 22 0xd6e2 0x339e2fbe @@ -50374,6 +62968,8 @@ 0 0 0 +3 +0 18 0xb1e4 0xe30cf0a3 @@ -50382,6 +62978,8 @@ 1 0 0 +4 +1 68 0xa636 0x4ac0522c @@ -50390,6 +62988,8 @@ 0 0 0 +5 +5 51 0xcb03 0x3a5559fe @@ -50398,6 +62998,8 @@ 0 0 0 +4 +0 85 0x241d 0xa63190c2 @@ -50406,6 +63008,8 @@ 1 1 0 +5 +3 48 0x2920 0xd17e35c7 @@ -50414,6 +63018,8 @@ 0 0 0 +3 +1 28 0x6385 0xd01c3cb2 @@ -50422,6 +63028,8 @@ 1 0 0 +4 +4 12 0xdef3 0xc1b266a0 @@ -50430,6 +63038,8 @@ 1 1 0 +4 +0 50 0x7a4c 0x276bb4d6 @@ -50438,6 +63048,8 @@ 1 0 0 +4 +1 56 0xb045 0xb62028c3 @@ -50446,6 +63058,8 @@ 1 0 0 +0 +1 92 0xf950 0xc099f902 @@ -50454,6 +63068,8 @@ 1 1 0 +1 +0 57 0xb39e 0x2abb3b96 @@ -50462,6 +63078,8 @@ 0 0 0 +1 +1 24 0x2ae9 0x685ceecb @@ -50470,6 +63088,8 @@ 1 0 0 +2 +5 99 0x4554 0xb78748a1 @@ -50478,6 +63098,8 @@ 0 0 0 +4 +5 52 0xca00 0x8770d57f @@ -50486,6 +63108,8 @@ 1 1 0 +5 +2 40 0x25c1 0x3d07efcd @@ -50494,6 +63118,8 @@ 0 0 0 +2 +0 93 0x9f18 0x4185fb72 @@ -50502,6 +63128,8 @@ 0 0 0 +1 +4 25 0x80f8 0x7b238b88 @@ -50510,6 +63138,8 @@ 1 1 0 +2 +3 45 0xd080 0x3332f47c @@ -50518,6 +63148,8 @@ 0 0 0 +0 +1 77 0x9cd1 0x50ada9a8 @@ -50526,6 +63158,8 @@ 0 0 0 +3 +4 28 0x3da6 0x585b7873 @@ -50534,6 +63168,8 @@ 0 0 0 +4 +4 55 0x78e6 0x2c79cc79 @@ -50542,6 +63178,8 @@ 0 0 0 +2 +2 57 0x854d 0xe82a46a7 @@ -50550,6 +63188,8 @@ 0 0 0 +5 +5 25 0x70c2 0x20a138fb @@ -50558,6 +63198,8 @@ 0 0 0 +5 +1 29 0x8b64 0x13429752 @@ -50566,6 +63208,8 @@ 0 0 0 +0 +3 37 0x9195 0xe08cf096 @@ -50574,6 +63218,8 @@ 1 0 0 +1 +3 12 0x226d 0xd86d22c8 @@ -50582,6 +63228,8 @@ 1 0 0 +3 +2 67 0x4110 0x379d96c0 @@ -50590,6 +63238,8 @@ 1 0 0 +1 +2 85 0x7d5 0x37f2b7b0 @@ -50598,6 +63248,8 @@ 1 1 0 +4 +1 31 0x3ed7 0x5ae08922 @@ -50606,6 +63258,8 @@ 0 0 0 +0 +4 91 0x59e1 0x2902fdbc @@ -50614,6 +63268,8 @@ 1 0 0 +3 +4 71 0x7afb 0x38e522ac @@ -50622,6 +63278,8 @@ 0 0 0 +0 +4 84 0x5afe 0x60baadd5 @@ -50630,6 +63288,8 @@ 1 0 0 +1 +2 19 0xaece 0xc5cee660 @@ -50638,6 +63298,8 @@ 1 1 0 +1 +2 90 0xe1c5 0x1e27c71a @@ -50646,6 +63308,8 @@ 1 1 0 +3 +4 73 0x5868 0x8db351ab @@ -50654,6 +63318,8 @@ 0 0 0 +4 +0 78 0x15ef 0x833f4e46 @@ -50662,6 +63328,8 @@ 1 1 0 +2 +3 82 0x86a8 0x4ce012ce @@ -50670,6 +63338,8 @@ 1 0 0 +4 +3 35 0xfe0 0xd9ffdc5a @@ -50678,6 +63348,8 @@ 1 0 0 +3 +0 18 0x9be 0x71e43fb3 @@ -50686,6 +63358,8 @@ 0 0 0 +0 +0 56 0xea37 0x7ca00e3e @@ -50694,6 +63368,8 @@ 1 0 0 +2 +3 50 0xd9c4 0x63723c62 @@ -50702,6 +63378,8 @@ 0 0 0 +3 +1 11 0x975e 0x42d7fa40 @@ -50710,6 +63388,8 @@ 0 0 0 +1 +4 21 0xe8b6 0x77d4f8ab @@ -50718,6 +63398,8 @@ 1 0 0 +1 +5 21 0x8a13 0x1c69570c @@ -50726,6 +63408,8 @@ 0 0 0 +5 +0 12 0xd49b 0x6ffc6a17 @@ -50734,6 +63418,8 @@ 0 0 0 +2 +5 70 0xefd2 0x68422f67 @@ -50742,6 +63428,8 @@ 1 1 0 +4 +1 42 0x9418 0x4e6f12c6 @@ -50750,6 +63438,8 @@ 1 0 0 +0 +4 20 0xc530 0x307d2771 @@ -50758,6 +63448,8 @@ 0 0 0 +0 +4 100 0xb351 0x2f0acb54 @@ -50766,6 +63458,8 @@ 0 0 0 +2 +2 94 0x6f49 0x13558d46 @@ -50774,6 +63468,8 @@ 0 0 0 +4 +4 14 0x30e3 0xa4b38551 @@ -50782,6 +63478,8 @@ 1 0 0 +2 +3 59 0x319f 0xfda94039 @@ -50790,6 +63488,8 @@ 0 0 0 +4 +3 18 0xa029 0xb8d769aa @@ -50798,6 +63498,8 @@ 0 0 0 +2 +0 90 0xec28 0xfa3fd8fe @@ -50806,6 +63508,8 @@ 1 0 0 +2 +3 49 0x980f 0x536abb2b @@ -50814,6 +63518,8 @@ 0 0 0 +2 +2 30 0xc8db 0xc47ad0cb @@ -50822,6 +63528,8 @@ 1 1 0 +1 +4 43 0x2807 0xdb8a0189 @@ -50830,6 +63538,8 @@ 1 1 0 +2 +1 77 0xa475 0x5e17551f @@ -50838,6 +63548,8 @@ 0 0 0 +5 +4 83 0x4efd 0x189c52d9 @@ -50846,6 +63558,8 @@ 1 0 0 +1 +1 66 0x5db1 0x4ed87c57 @@ -50854,6 +63568,8 @@ 1 1 0 +5 +1 52 0xd4d4 0x86fcc346 @@ -50862,6 +63578,8 @@ 1 0 0 +5 +4 80 0x7d19 0xc3c596ce @@ -50870,6 +63588,8 @@ 1 1 0 +5 +3 99 0x53dc 0x8fa8a61d @@ -50878,6 +63598,8 @@ 1 0 0 +1 +4 25 0xf57b 0x2262d796 @@ -50886,6 +63608,8 @@ 1 0 0 +2 +4 94 0x1a42 0x3f1e84d2 @@ -50894,6 +63618,8 @@ 1 0 0 +1 +0 10 0x825e 0x99669d0f @@ -50902,6 +63628,8 @@ 0 0 0 +1 +1 50 0xad6e 0xc4864f9 @@ -50910,6 +63638,8 @@ 1 1 0 +3 +1 20 0x522e 0xd1eb40ba @@ -50918,6 +63648,8 @@ 1 0 0 +4 +0 41 0x94c4 0x52d2c1e0 @@ -50926,6 +63658,8 @@ 0 0 0 +5 +3 36 0x5 0x167a21e3 @@ -50934,6 +63668,8 @@ 0 0 0 +0 +3 90 0x52c6 0x2d37f723 @@ -50942,6 +63678,8 @@ 0 0 0 +0 +2 76 0x36f1 0xacdbd914 @@ -50950,6 +63688,8 @@ 1 1 0 +0 +3 15 0x97e2 0x6980dab4 @@ -50958,6 +63698,8 @@ 0 0 0 +1 +4 68 0x52d 0x5a02b7e @@ -50966,6 +63708,8 @@ 0 0 0 +1 +3 68 0x116b 0xcae6b403 @@ -50974,6 +63718,8 @@ 1 0 0 +0 +4 13 0xe031 0x39cef7a @@ -50982,6 +63728,8 @@ 1 1 0 +5 +0 82 0xe3be 0x818d4874 @@ -50990,6 +63738,8 @@ 1 1 0 +1 +2 91 0x664a 0x534a5bd7 @@ -50998,6 +63748,8 @@ 1 0 0 +0 +1 24 0x89dd 0xfcc5725a @@ -51006,6 +63758,8 @@ 0 0 0 +3 +0 91 0x6c9e 0xadd9b119 @@ -51014,6 +63768,8 @@ 1 1 0 +3 +2 40 0x52e2 0x619d3180 @@ -51022,6 +63778,8 @@ 0 0 0 +1 +5 26 0xa95f 0xb868e82a @@ -51030,6 +63788,8 @@ 0 0 0 +5 +3 44 0x7f08 0x7bfa18ba @@ -51038,6 +63798,8 @@ 1 1 0 +5 +5 76 0x17c7 0xf441873 @@ -51046,6 +63808,8 @@ 0 0 0 +3 +3 92 0x91a3 0xfd6344e9 @@ -51054,6 +63818,8 @@ 1 0 0 +4 +2 33 0xf8f4 0x56807270 @@ -51062,6 +63828,8 @@ 0 0 0 +0 +2 94 0x9b54 0x35a299f1 @@ -51070,6 +63838,8 @@ 1 0 0 +1 +2 90 0x9dea 0xac0f9567 @@ -51078,6 +63848,8 @@ 0 0 0 +0 +3 82 0xd3ab 0x2158f8df @@ -51086,6 +63858,8 @@ 0 0 0 +5 +2 27 0xd665 0x5ff05f54 @@ -51094,6 +63868,8 @@ 0 0 0 +1 +1 61 0x38fd 0x36c53ba8 @@ -51102,6 +63878,8 @@ 1 1 0 +3 +5 73 0xf2af 0x524c81cf @@ -51110,6 +63888,8 @@ 0 0 0 +0 +5 88 0x3bda 0xb51b7143 @@ -51118,6 +63898,8 @@ 1 1 0 +5 +2 27 0xd1fd 0x31a3491e @@ -51126,6 +63908,8 @@ 1 0 0 +5 +4 62 0x73ce 0x296def6f @@ -51134,6 +63918,8 @@ 0 0 0 +2 +4 89 0x2816 0x260bb079 @@ -51142,6 +63928,8 @@ 0 0 0 +2 +4 61 0x24bd 0x86d68ef6 @@ -51150,6 +63938,8 @@ 0 0 0 +2 +0 67 0x431e 0x21d6b68 @@ -51158,6 +63948,8 @@ 0 0 0 +0 +4 25 0xb7ca 0xa334b27c @@ -51166,6 +63958,8 @@ 1 1 0 +5 +1 34 0x81d 0x7c5468e5 @@ -51174,6 +63968,8 @@ 0 0 0 +1 +4 44 0xf98c 0xb40cc4cf @@ -51182,6 +63978,8 @@ 1 1 0 +3 +3 86 0xc1ec 0xb5a4410c @@ -51190,6 +63988,8 @@ 1 0 0 +4 +4 83 0xeb4c 0x6a336e83 @@ -51198,6 +63998,8 @@ 0 0 0 +4 +2 16 0x4196 0x434c719f @@ -51206,6 +64008,8 @@ 1 0 0 +0 +2 26 0x4867 0x15335aec @@ -51214,6 +64018,8 @@ 1 0 0 +5 +0 98 0x5bd4 0x1e2cdabb @@ -51222,6 +64028,8 @@ 0 0 0 +5 +0 53 0x85fd 0x3d6e6e88 @@ -51230,6 +64038,8 @@ 0 0 0 +5 +2 38 0x4ca4 0x642be944 @@ -51238,6 +64048,8 @@ 1 0 0 +3 +2 95 0x62ec 0xd60fde71 @@ -51246,6 +64058,8 @@ 1 1 0 +0 +0 73 0x9f91 0x291b3164 @@ -51254,6 +64068,8 @@ 1 1 0 +5 +1 36 0xc7a4 0x1b26dece @@ -51262,6 +64078,8 @@ 0 0 0 +5 +5 83 0x3875 0x60834010 @@ -51270,6 +64088,8 @@ 0 0 0 +2 +4 29 0x28dc 0xb866476f @@ -51278,6 +64098,8 @@ 0 0 0 +0 +2 83 0xd370 0xa2840677 @@ -51286,6 +64108,8 @@ 0 0 0 +0 +1 17 0x2438 0x6a85e0fb @@ -51294,6 +64118,8 @@ 1 0 0 +0 +2 34 0xa6cf 0x381ef53 @@ -51302,6 +64128,8 @@ 0 0 0 +2 +2 57 0x21a2 0x69776918 @@ -51310,6 +64138,8 @@ 0 0 0 +0 +5 35 0x33bc 0x3b3081a9 @@ -51318,6 +64148,8 @@ 0 0 0 +0 +2 49 0x327e 0xc497ac2 @@ -51326,6 +64158,8 @@ 0 0 0 +4 +2 52 0xe386 0xe02aa15c @@ -51334,6 +64168,8 @@ 0 0 0 +4 +3 75 0xc976 0xf6b6c052 @@ -51342,6 +64178,8 @@ 1 0 0 +0 +2 15 0xe491 0xce856920 @@ -51350,6 +64188,8 @@ 0 0 0 +2 +2 79 0xa572 0xde5b27b2 @@ -51358,6 +64198,8 @@ 1 0 0 +3 +1 81 0xb9bb 0x2fcf218b @@ -51366,6 +64208,8 @@ 0 0 0 +1 +5 76 0x212a 0x30a26dd1 @@ -51374,6 +64218,8 @@ 1 1 0 +4 +4 44 0x6c7e 0xfd6955e0 @@ -51382,6 +64228,8 @@ 0 0 0 +2 +5 62 0x5064 0xd38e4a75 @@ -51390,6 +64238,8 @@ 0 0 0 +1 +1 19 0xc155 0xcdfc6207 @@ -51398,6 +64248,8 @@ 0 0 0 +0 +3 41 0x7424 0x69546fec @@ -51406,6 +64258,8 @@ 1 1 0 +0 +4 61 0x3dd7 0x854a47d @@ -51414,6 +64268,8 @@ 1 0 0 +5 +0 57 0x465d 0x29b2327 @@ -51422,6 +64278,8 @@ 1 1 0 +1 +3 42 0xaff9 0x746d2f79 @@ -51430,6 +64288,8 @@ 1 0 0 +1 +4 39 0x592e 0xa3afacfb @@ -51438,6 +64298,8 @@ 0 0 0 +0 +4 29 0x50fd 0x8a5416fc @@ -51446,6 +64308,8 @@ 1 0 0 +1 +0 100 0x61b6 0x678eb964 @@ -51454,6 +64318,8 @@ 0 0 0 +4 +5 26 0x1cd1 0xc3a7756b @@ -51462,6 +64328,8 @@ 1 1 0 +1 +4 21 0xa08a 0x66ef41a2 @@ -51470,6 +64338,8 @@ 0 0 0 +3 +0 58 0x34b0 0x14fec395 @@ -51478,6 +64348,8 @@ 1 1 0 +3 +2 57 0xc064 0xd6cc3d2d @@ -51486,6 +64358,8 @@ 1 0 0 +2 +4 42 0x846d 0xfc4d9af1 @@ -51494,6 +64368,8 @@ 1 1 0 +0 +1 76 0xe538 0xeb12baf5 @@ -51502,6 +64378,8 @@ 1 1 0 +4 +5 40 0x44c3 0x5026f8be @@ -51510,6 +64388,8 @@ 0 0 0 +0 +2 60 0x4c29 0x9ad7f735 @@ -51518,6 +64398,8 @@ 1 0 0 +4 +5 89 0xd4c7 0xc4dd2eda @@ -51526,6 +64408,8 @@ 0 0 0 +3 +5 35 0x5533 0x54e1af41 @@ -51534,6 +64418,8 @@ 1 0 0 +2 +0 17 0xb5c2 0x1a75348f @@ -51542,6 +64428,8 @@ 0 0 0 +1 +4 18 0x826e 0x69a2015b @@ -51550,6 +64438,8 @@ 1 1 0 +1 +3 87 0x7fff 0xa47d4ed9 @@ -51558,6 +64448,8 @@ 1 0 0 +1 +3 84 0x8911 0x61e85b31 @@ -51566,6 +64458,8 @@ 0 0 0 +3 +0 58 0xed5c 0x75b670c6 @@ -51574,6 +64468,8 @@ 0 0 0 +1 +5 92 0x90a8 0x328ba298 @@ -51582,6 +64478,8 @@ 0 0 0 +3 +3 68 0xb437 0x3858f6a0 @@ -51590,6 +64488,8 @@ 1 0 0 +4 +4 31 0xd166 0x747f0ec1 @@ -51598,6 +64498,8 @@ 1 0 0 +0 +1 24 0x7222 0xa9831a21 @@ -51606,6 +64508,8 @@ 0 0 0 +2 +1 30 0x291 0xd1004668 @@ -51614,6 +64518,8 @@ 0 0 0 +4 +4 25 0xf331 0x25836897 @@ -51622,6 +64528,8 @@ 1 1 0 +2 +5 14 0xc27f 0xcbbe2c61 @@ -51630,6 +64538,8 @@ 0 0 0 +0 +1 60 0xe233 0xd2167d5b @@ -51638,6 +64548,8 @@ 0 0 0 +4 +4 99 0x9620 0xc9acca41 @@ -51646,6 +64558,8 @@ 0 0 0 +0 +4 76 0xff4a 0x819fb7ad @@ -51654,6 +64568,8 @@ 1 0 0 +2 +3 69 0x1fa9 0xc0dc2ab0 @@ -51662,6 +64578,8 @@ 1 1 0 +2 +5 54 0x4b9 0xb341e49f @@ -51670,6 +64588,8 @@ 0 0 0 +2 +4 13 0x4eee 0x52c0fd47 @@ -51678,6 +64598,8 @@ 0 0 0 +2 +4 49 0x4ec1 0x3daf6adc @@ -51686,6 +64608,8 @@ 0 0 0 +0 +2 89 0x7be4 0xd52dceda @@ -51694,6 +64618,8 @@ 0 0 0 +1 +4 48 0xee7c 0xd3047364 @@ -51702,6 +64628,8 @@ 0 0 0 +5 +0 20 0x9f1c 0x6ded08b7 @@ -51710,6 +64638,8 @@ 0 0 0 +1 +0 100 0xeed 0x1fb82fea @@ -51718,6 +64648,8 @@ 0 0 0 +3 +5 62 0x9222 0xe6665a4c @@ -51726,6 +64658,8 @@ 0 0 0 +3 +0 24 0x3779 0x7bbbe73e @@ -51734,6 +64668,8 @@ 0 0 0 +5 +1 52 0xf8df 0xa4741faa @@ -51742,6 +64678,8 @@ 0 0 0 +2 +4 79 0x437d 0x1502464c @@ -51750,6 +64688,8 @@ 0 0 0 +5 +2 26 0x716f 0xc32b36ea @@ -51758,6 +64698,8 @@ 0 0 0 +1 +3 13 0xf6b5 0xbb4972c6 @@ -51766,6 +64708,8 @@ 1 1 0 +3 +2 61 0x8b33 0xe314165f @@ -51774,6 +64718,8 @@ 0 0 0 +3 +0 25 0x2403 0x2b78e836 @@ -51782,6 +64728,8 @@ 0 0 0 +4 +5 46 0x5bca 0xc444d429 @@ -51790,6 +64738,8 @@ 1 0 0 +2 +1 35 0xecce 0x52bb910c @@ -51798,6 +64748,8 @@ 0 0 0 +3 +1 53 0x6fb5 0xea1dc499 @@ -51806,6 +64758,8 @@ 0 0 0 +3 +5 36 0xaed7 0xd39ce811 @@ -51814,6 +64768,8 @@ 0 0 0 +3 +2 41 0xcc62 0xe5275fe4 @@ -51822,6 +64778,8 @@ 0 0 0 +4 +5 30 0xb256 0x807024ce @@ -51830,6 +64788,8 @@ 1 0 0 +0 +4 24 0x1d7e 0x4ba99677 @@ -51838,6 +64798,8 @@ 0 0 0 +4 +0 77 0xd92 0xe5636be @@ -51846,6 +64808,8 @@ 1 0 0 +1 +0 48 0xa12e 0x86185185 @@ -51854,6 +64818,8 @@ 1 0 0 +0 +3 24 0x31bc 0xc44b2c95 @@ -51862,6 +64828,8 @@ 0 0 0 +2 +5 83 0xd30f 0x70d1f418 @@ -51870,6 +64838,8 @@ 1 0 0 +5 +3 39 0xf91e 0xde9238fb @@ -51878,6 +64848,8 @@ 0 0 0 +3 +2 27 0xff50 0x4af1cf56 @@ -51886,6 +64858,8 @@ 0 0 0 +4 +0 91 0x4fe7 0x721266f6 @@ -51894,6 +64868,8 @@ 1 1 0 +3 +4 55 0x345e 0xf8983708 @@ -51902,6 +64878,8 @@ 1 1 0 +1 +3 32 0xeaab 0xbbdc20d6 @@ -51910,6 +64888,8 @@ 0 0 0 +1 +2 56 0x3c6b 0x6ec6901a @@ -51918,6 +64898,8 @@ 0 0 0 +5 +4 99 0x3164 0x23952c06 @@ -51926,6 +64908,8 @@ 0 0 0 +0 +2 23 0x5f07 0xb8afdfd9 @@ -51934,6 +64918,8 @@ 0 0 0 +1 +5 99 0xba6c 0x28172cb8 @@ -51942,6 +64928,8 @@ 1 0 0 +5 +3 37 0x4f76 0xdd9deb90 @@ -51950,6 +64938,8 @@ 1 0 0 +3 +3 78 0x1239 0xd1fbe65 @@ -51958,6 +64948,8 @@ 1 1 0 +3 +1 76 0xe3b1 0x87f3acf @@ -51966,6 +64958,8 @@ 0 0 0 +3 +1 15 0xce9f 0xf70ee6ef @@ -51974,6 +64968,8 @@ 1 1 0 +0 +4 66 0x3cb6 0x7876442a @@ -51982,6 +64978,8 @@ 1 0 0 +4 +4 44 0x530f 0x8e71ce98 @@ -51990,6 +64988,8 @@ 1 0 0 +1 +5 39 0xbf51 0x2c35be32 @@ -51998,6 +64998,8 @@ 0 0 0 +3 +0 87 0xfc12 0xa7c112c7 @@ -52006,6 +65008,8 @@ 0 0 0 +4 +3 44 0xd56e 0x1e1898e8 @@ -52014,6 +65018,8 @@ 1 1 0 +3 +5 25 0x8893 0x5e842ef1 @@ -52022,6 +65028,8 @@ 0 0 0 +4 +1 90 0x3cce 0x1c36640c @@ -52030,6 +65038,8 @@ 1 0 0 +1 +3 13 0x8952 0x1dcf96af @@ -52038,6 +65048,8 @@ 1 0 0 +3 +0 67 0xf790 0x8b09c858 @@ -52046,6 +65058,8 @@ 0 0 0 +1 +2 32 0x1332 0x6d581b43 @@ -52054,6 +65068,8 @@ 1 0 0 +2 +2 27 0x6994 0x5ce0579a @@ -52062,6 +65078,8 @@ 0 0 0 +1 +5 32 0x6814 0xd13fb30d @@ -52070,6 +65088,8 @@ 1 1 0 +5 +2 13 0x193b 0x14c99e14 @@ -52078,6 +65098,8 @@ 0 0 0 +2 +5 12 0x1a2e 0x7a755f4d @@ -52086,6 +65108,8 @@ 1 0 0 +0 +2 92 0x9258 0x802701c8 @@ -52094,6 +65118,8 @@ 0 0 0 +1 +5 15 0x467 0x28f2fc43 @@ -52102,6 +65128,8 @@ 0 0 0 +1 +1 51 0xd614 0xd93b0995 @@ -52110,6 +65138,8 @@ 0 0 0 +5 +2 35 0x2b5a 0x2dd3bee6 @@ -52118,6 +65148,8 @@ 0 0 0 +3 +1 49 0xaa8 0x6518507a @@ -52126,6 +65158,8 @@ 1 1 0 +4 +3 67 0xa7f3 0xe24331f9 @@ -52134,6 +65168,8 @@ 1 1 0 +0 +5 51 0xbd 0x5d1a0c8e @@ -52142,6 +65178,8 @@ 0 0 0 +2 +1 49 0xe3c9 0x579867cb @@ -52150,6 +65188,8 @@ 1 1 0 +3 +3 85 0x5a0c 0xfafbe688 @@ -52158,6 +65198,8 @@ 1 1 0 +2 +5 62 0x4a58 0xd8e7c7df @@ -52166,6 +65208,8 @@ 1 1 0 +3 +2 73 0x2451 0x644fe983 @@ -52174,6 +65218,8 @@ 0 0 0 +1 +2 32 0xec27 0x39ce473a @@ -52182,6 +65228,8 @@ 1 0 0 +0 +2 56 0xb320 0x6941fd34 @@ -52190,6 +65238,8 @@ 1 1 0 +1 +5 96 0xb744 0x2831f6f5 @@ -52198,6 +65248,8 @@ 1 0 0 +2 +1 21 0x6a85 0x21bee2e2 @@ -52206,6 +65258,8 @@ 1 1 0 +5 +0 53 0xf409 0x2cda2171 @@ -52214,6 +65268,8 @@ 1 1 0 +3 +0 67 0x134e 0x436d2d90 @@ -52222,6 +65278,8 @@ 0 0 0 +4 +5 20 0xb70e 0x76a323f5 @@ -52230,6 +65288,8 @@ 1 0 0 +0 +0 93 0xf6a2 0x3c425718 @@ -52238,6 +65298,8 @@ 0 0 0 +5 +5 27 0xbc4e 0x8a47e3d6 @@ -52246,6 +65308,8 @@ 0 0 0 +1 +4 54 0x755c 0xc2bd6526 @@ -52254,6 +65318,8 @@ 1 1 0 +0 +1 95 0x8f68 0x7cb4ce7e @@ -52262,6 +65328,8 @@ 1 1 0 +5 +4 60 0x3e74 0xb9654e81 @@ -52270,6 +65338,8 @@ 1 0 0 +5 +2 82 0x152 0x8cfcd0c7 @@ -52278,6 +65348,8 @@ 0 0 0 +4 +4 11 0xbdb2 0xa0adef4 @@ -52286,6 +65358,8 @@ 1 0 0 +4 +4 73 0xe53 0x6cd7e7b3 @@ -52294,6 +65368,8 @@ 0 0 0 +1 +2 45 0x14f5 0x682e645e @@ -52302,6 +65378,8 @@ 0 0 0 +5 +1 23 0x4ff4 0x9729bebb @@ -52310,6 +65388,8 @@ 0 0 0 +5 +3 59 0xf95c 0x565fb32b @@ -52318,6 +65398,8 @@ 1 1 0 +0 +1 42 0x8445 0x9863d268 @@ -52326,6 +65408,8 @@ 1 1 0 +4 +3 35 0xdeb 0xec742f2d @@ -52334,6 +65418,8 @@ 0 0 0 +2 +0 89 0x5134 0x2aa5466a @@ -52342,6 +65428,8 @@ 1 1 0 +5 +0 48 0x1427 0x3ea497ae @@ -52350,6 +65438,8 @@ 0 0 0 +5 +1 35 0x1688 0xb2e9dbee @@ -52358,6 +65448,8 @@ 0 0 0 +3 +1 83 0xfadc 0xaf98e6cd @@ -52366,6 +65458,8 @@ 1 1 0 +5 +2 50 0x8402 0xe2af2eb5 @@ -52374,6 +65468,8 @@ 0 0 0 +4 +0 16 0x4717 0x3427d7a4 @@ -52382,6 +65478,8 @@ 1 1 0 +4 +3 96 0xd14e 0x1f4b927d @@ -52390,6 +65488,8 @@ 1 0 0 +0 +1 10 0x2c9f 0xc23a9eba @@ -52398,6 +65498,8 @@ 1 1 0 +0 +1 43 0xbc6d 0x5f1e1138 @@ -52406,6 +65508,8 @@ 1 1 0 +1 +1 88 0xf40a 0xa74fa06a @@ -52414,6 +65518,8 @@ 1 1 0 +5 +1 16 0xd5ca 0xbe731477 @@ -52422,6 +65528,8 @@ 0 0 0 +3 +1 89 0x6027 0x1d87c604 @@ -52430,6 +65538,8 @@ 1 1 0 +5 +1 32 0x3845 0x9ecc6660 @@ -52438,6 +65548,8 @@ 1 0 0 +5 +5 79 0x5e8e 0xfc751e94 @@ -52446,6 +65558,8 @@ 1 1 0 +1 +4 32 0x6430 0xe9abb32c @@ -52454,6 +65568,8 @@ 0 0 0 +0 +5 56 0xabc3 0x2dd302b0 @@ -52462,6 +65578,8 @@ 0 0 0 +2 +2 64 0x63ed 0xc8684fce @@ -52470,6 +65588,8 @@ 1 0 0 +5 +2 18 0x5d00 0x3e273c0e @@ -52478,6 +65598,8 @@ 0 0 0 +2 +1 76 0x2853 0x84f42f8f @@ -52486,6 +65608,8 @@ 1 1 0 +3 +5 43 0x2dca 0x2e5ed864 @@ -52494,6 +65618,8 @@ 0 0 0 +2 +1 92 0x2b81 0x48509da @@ -52502,6 +65628,8 @@ 0 0 0 +2 +1 41 0xc169 0x8f7b86cc @@ -52510,6 +65638,8 @@ 1 0 0 +5 +5 84 0x793d 0x313c104a @@ -52518,6 +65648,8 @@ 1 0 0 +5 +1 18 0x1989 0x2ac6902c @@ -52526,6 +65658,8 @@ 1 0 0 +5 +3 27 0xdef5 0x80e815f6 @@ -52534,6 +65668,8 @@ 0 0 0 +3 +3 80 0x18b 0xccf1b6e7 @@ -52542,6 +65678,8 @@ 0 0 0 +0 +4 23 0x78bd 0x8f8b0c5e @@ -52550,6 +65688,8 @@ 0 0 0 +0 +2 93 0x913d 0xe354bef5 @@ -52558,6 +65698,8 @@ 0 0 0 +4 +4 91 0xf941 0xc20433a3 @@ -52566,6 +65708,8 @@ 1 1 0 +0 +1 99 0x83da 0x6b68dc15 @@ -52574,6 +65718,8 @@ 0 0 0 +1 +5 27 0xee79 0x71d51a2 @@ -52582,6 +65728,8 @@ 0 0 0 +5 +5 62 0xf616 0x543d2441 @@ -52590,6 +65738,8 @@ 0 0 0 +2 +4 62 0xe829 0xd5f275a6 @@ -52598,6 +65748,8 @@ 1 0 0 +1 +4 57 0x3dcf 0x8fe5c9b2 @@ -52606,6 +65758,8 @@ 0 0 0 +4 +4 74 0xff51 0x48c881ac @@ -52614,6 +65768,8 @@ 1 0 0 +0 +1 11 0x3c7e 0x55fe71a6 @@ -52622,6 +65778,8 @@ 0 0 0 +5 +2 36 0x9d98 0x168f0e52 @@ -52630,6 +65788,8 @@ 0 0 0 +2 +1 60 0x1135 0xeaefc997 @@ -52638,6 +65798,8 @@ 1 1 0 +4 +2 31 0x8f6f 0xee005471 @@ -52646,6 +65808,8 @@ 1 1 0 +2 +4 81 0x5fb0 0x1cd68d1a @@ -52654,6 +65818,8 @@ 0 0 0 +3 +3 23 0xcbfa 0x8cb8ea87 @@ -52662,6 +65828,8 @@ 0 0 0 +1 +4 25 0xc14a 0xfc7e2be2 @@ -52670,6 +65838,8 @@ 0 0 0 +3 +5 34 0x570f 0xa05f1d46 @@ -52678,6 +65848,8 @@ 1 0 0 +3 +2 30 0xa663 0xec5c6f0f @@ -52686,6 +65858,8 @@ 1 0 0 +0 +4 60 0x461f 0x5077ecef @@ -52694,6 +65868,8 @@ 1 1 0 +4 +5 90 0xcf30 0x59207e74 @@ -52702,6 +65878,8 @@ 1 0 0 +4 +4 30 0x9e5d 0x1af5be63 @@ -52710,6 +65888,8 @@ 0 0 0 +3 +0 78 0x1446 0xa6f7cdaa @@ -52718,6 +65898,8 @@ 1 0 0 +1 +5 52 0x81ca 0x38dc6d3f @@ -52726,6 +65908,8 @@ 0 0 0 +2 +4 63 0xb5bf 0x93e915e0 @@ -52734,6 +65918,8 @@ 1 0 0 +4 +0 72 0xc5ac 0x69b0e833 @@ -52742,6 +65928,8 @@ 0 0 0 +5 +3 78 0x7415 0x3edf15e8 @@ -52750,6 +65938,8 @@ 1 1 0 +4 +4 77 0x3fd8 0xfe5b1895 @@ -52758,6 +65948,8 @@ 0 0 0 +0 +3 78 0x80f0 0x3768693 @@ -52766,6 +65958,8 @@ 1 1 0 +2 +5 26 0x2e2a 0xc0fe8e67 @@ -52774,6 +65968,8 @@ 1 1 0 +5 +4 58 0x1d50 0x9f2521a5 @@ -52782,6 +65978,8 @@ 1 0 0 +5 +5 55 0xb6b9 0xf13c7430 @@ -52790,6 +65988,8 @@ 0 0 0 +1 +4 56 0xcf1 0x1f683413 @@ -52798,6 +65998,8 @@ 1 1 0 +4 +5 35 0x1b2e 0xc3342214 @@ -52806,6 +66008,8 @@ 1 0 0 +4 +5 78 0xdc63 0xe94db23c @@ -52814,6 +66018,8 @@ 1 0 0 +2 +5 84 0x93a8 0x2d2aa261 @@ -52822,6 +66028,8 @@ 1 0 0 +2 +5 35 0x3895 0x51e9d9d2 @@ -52830,6 +66038,8 @@ 1 0 0 +2 +5 31 0x9067 0x3fc1c81f @@ -52838,6 +66048,8 @@ 0 0 0 +2 +0 50 0xf817 0x51611689 @@ -52846,6 +66058,8 @@ 1 1 0 +1 +3 74 0x650f 0x405c8e44 @@ -52854,6 +66068,8 @@ 1 1 0 +2 +0 81 0xc8e1 0xebe04d3c @@ -52862,6 +66078,8 @@ 1 0 0 +1 +0 30 0xfc5e 0x4dd4ab2a @@ -52870,6 +66088,8 @@ 0 0 0 +0 +4 13 0xa29e 0x46395ada @@ -52878,6 +66098,8 @@ 1 1 0 +3 +4 45 0x1650 0xed435511 @@ -52886,6 +66108,8 @@ 0 0 0 +3 +5 63 0x7cfd 0xecb69c56 @@ -52894,6 +66118,8 @@ 0 0 0 +4 +0 12 0x81d1 0x592aa6ab @@ -52902,6 +66128,8 @@ 1 0 0 +1 +2 95 0xdd25 0x5cdb6fd4 @@ -52910,6 +66138,8 @@ 0 0 0 +2 +0 89 0xda6b 0xe24dcefb @@ -52918,6 +66148,8 @@ 1 0 0 +4 +0 47 0xd22d 0x4961eeef @@ -52926,6 +66158,8 @@ 0 0 0 +3 +4 36 0x52ae 0xa3a64073 @@ -52934,6 +66168,8 @@ 0 0 0 +1 +2 49 0x14e9 0x2e22b460 @@ -52942,6 +66178,8 @@ 1 1 0 +5 +3 57 0x4b4a 0xd8acbcf5 @@ -52950,6 +66188,8 @@ 0 0 0 +2 +0 83 0x240f 0x747b1e55 @@ -52958,6 +66198,8 @@ 1 1 0 +2 +4 83 0x4637 0xb8852ab1 @@ -52966,6 +66208,8 @@ 1 1 0 +0 +0 34 0xf0d2 0xb842ee0 @@ -52974,6 +66218,8 @@ 0 0 0 +2 +2 13 0xa6eb 0x2ad475d5 @@ -52982,6 +66228,8 @@ 0 0 0 +5 +3 26 0x27e4 0x54ef223 @@ -52990,6 +66238,8 @@ 0 0 0 +0 +4 30 0x9c07 0x8f37b074 @@ -52998,6 +66248,8 @@ 1 1 0 +2 +1 42 0x8408 0x8dba3b4e @@ -53006,6 +66258,8 @@ 0 0 0 +3 +4 99 0x8fe8 0xb6b12e2 @@ -53014,6 +66268,8 @@ 1 0 0 +3 +0 57 0xa2f4 0x8ac70fba @@ -53022,6 +66278,8 @@ 0 0 0 +4 +3 32 0x42fb 0xce2bf990 @@ -53030,6 +66288,8 @@ 0 0 0 +3 +3 30 0xb3c4 0xa6d2b26f @@ -53038,6 +66298,8 @@ 0 0 0 +5 +1 73 0x4713 0xc8b5a749 @@ -53046,6 +66308,8 @@ 1 1 0 +0 +1 30 0xe911 0xd07d8862 @@ -53054,6 +66318,8 @@ 0 0 0 +3 +0 35 0xae0d 0xa88634a2 @@ -53062,6 +66328,8 @@ 1 0 0 +2 +2 64 0xcff7 0x14b7d4f1 @@ -53070,6 +66338,8 @@ 1 1 0 +5 +3 79 0x94e 0x1614a597 @@ -53078,6 +66348,8 @@ 0 0 0 +4 +0 20 0x63c5 0x46c6f208 @@ -53086,6 +66358,8 @@ 1 1 0 +4 +1 86 0x8ea2 0xa41f6010 @@ -53094,6 +66368,8 @@ 0 0 0 +1 +0 96 0xb764 0xbf7648ea @@ -53102,6 +66378,8 @@ 1 1 0 +5 +5 77 0x99ac 0x5bf0291a @@ -53110,6 +66388,8 @@ 0 0 0 +2 +5 47 0xaf06 0x26416abc @@ -53118,6 +66398,8 @@ 1 0 0 +4 +5 91 0xfadf 0xa47b9ae @@ -53126,6 +66408,8 @@ 0 0 0 +0 +3 92 0x2aac 0xcbf59afa @@ -53134,6 +66418,8 @@ 1 1 0 +0 +4 67 0x5544 0x16292269 @@ -53142,6 +66428,8 @@ 0 0 0 +2 +4 13 0x6da1 0x46b34c61 @@ -53150,6 +66438,8 @@ 1 1 0 +4 +1 91 0x72b3 0x6f4bab9e @@ -53158,6 +66448,8 @@ 1 1 0 +0 +4 17 0x9658 0x350bf905 @@ -53166,6 +66458,8 @@ 1 0 0 +1 +2 38 0x6f7a 0xabff75f4 @@ -53174,6 +66468,8 @@ 0 0 0 +4 +4 64 0x3c41 0x19c4f2a5 @@ -53182,6 +66478,8 @@ 1 1 0 +2 +1 57 0xa696 0x850572e6 @@ -53190,6 +66488,8 @@ 0 0 0 +3 +3 51 0xe21e 0x33d7df7f @@ -53198,6 +66498,8 @@ 1 1 0 +4 +0 75 0x7982 0xbb03df3f @@ -53206,6 +66508,8 @@ 0 0 0 +2 +0 47 0xd355 0x5b704d26 @@ -53214,6 +66518,8 @@ 0 0 0 +5 +2 67 0x9e6a 0xded23ff5 @@ -53222,6 +66528,8 @@ 1 1 0 +3 +4 68 0x43fd 0x4eee27d8 @@ -53230,6 +66538,8 @@ 0 0 0 +0 +4 37 0xf9ac 0x658129bb @@ -53238,6 +66548,8 @@ 1 1 0 +1 +1 50 0x626c 0xa9a776ad @@ -53246,6 +66558,8 @@ 0 0 0 +3 +0 51 0x9bc2 0x6b02906a @@ -53254,6 +66568,8 @@ 0 0 0 +3 +0 14 0x46ec 0x718076f8 @@ -53262,6 +66578,8 @@ 1 1 0 +4 +1 41 0x1696 0xb2b47608 @@ -53270,6 +66588,8 @@ 0 0 0 +0 +2 82 0x3b1d 0xb69f597c @@ -53278,6 +66598,8 @@ 0 0 0 +0 +2 75 0x535c 0x559d59d1 @@ -53286,6 +66608,8 @@ 1 1 0 +1 +1 79 0xf233 0xe92ecef5 @@ -53294,6 +66618,8 @@ 0 0 0 +4 +1 37 0x69a8 0x5494508d @@ -53302,6 +66628,8 @@ 1 0 0 +0 +4 45 0xf361 0xbc73c1bc @@ -53310,6 +66638,8 @@ 0 0 0 +0 +0 62 0xfb4d 0xa8b84f7d @@ -53318,6 +66648,8 @@ 1 1 0 +2 +5 68 0x7a58 0x410d054b @@ -53326,6 +66658,8 @@ 0 0 0 +5 +2 26 0x471f 0x856ad0a0 @@ -53334,6 +66668,8 @@ 0 0 0 +0 +3 49 0x2b3a 0xe608dcca @@ -53342,6 +66678,8 @@ 1 0 0 +1 +2 13 0x47d4 0xa41f1fa2 @@ -53350,6 +66688,8 @@ 1 1 0 +2 +0 31 0x1726 0xd8470ad9 @@ -53358,6 +66698,8 @@ 1 0 0 +3 +2 38 0x1bd5 0x63d4f071 @@ -53366,6 +66708,8 @@ 1 0 0 +3 +3 83 0xe28 0x81b0c99e @@ -53374,6 +66718,8 @@ 0 0 0 +1 +3 86 0xd66a 0x5bd6908b @@ -53382,6 +66728,8 @@ 1 1 0 +3 +2 89 0xaa6d 0x65e1ebbc @@ -53390,6 +66738,8 @@ 1 1 0 +3 +2 26 0x5166 0x28f7e15e @@ -53398,6 +66748,8 @@ 1 0 0 +0 +1 26 0xa67c 0x569ba025 @@ -53406,6 +66758,8 @@ 1 0 0 +5 +3 93 0x11d3 0x8ee401b7 @@ -53414,6 +66768,8 @@ 1 0 0 +3 +0 40 0x45a0 0x18ca9f9f @@ -53422,6 +66778,8 @@ 0 0 0 +4 +2 84 0xa8bf 0xd84df92d @@ -53430,6 +66788,8 @@ 1 0 0 +3 +3 99 0x4241 0x61185568 @@ -53438,6 +66798,8 @@ 0 0 0 +1 +2 100 0x4282 0xdca41b2d @@ -53446,6 +66808,8 @@ 0 0 0 +4 +0 99 0x24c6 0x4ccf61a0 @@ -53454,6 +66818,8 @@ 1 0 0 +0 +3 51 0xa26f 0xa666312e @@ -53462,6 +66828,8 @@ 1 1 0 +2 +4 19 0x8f54 0x4b605efe @@ -53470,6 +66838,8 @@ 1 1 0 +5 +3 78 0x9a43 0xb7ded636 @@ -53478,6 +66848,8 @@ 1 1 0 +2 +5 89 0x7714 0x82096784 @@ -53486,6 +66858,8 @@ 0 0 0 +0 +4 11 0x7bb9 0x37cc1318 @@ -53494,6 +66868,8 @@ 1 0 0 +2 +4 36 0x95c2 0x5f70b96f @@ -53502,6 +66878,8 @@ 0 0 0 +0 +0 67 0xba26 0xaa5e3f4b @@ -53510,6 +66888,8 @@ 0 0 0 +1 +0 60 0xa555 0x71c25d1a @@ -53518,6 +66898,8 @@ 0 0 0 +5 +3 22 0x61fa 0x70b64729 @@ -53526,6 +66908,8 @@ 1 1 0 +0 +1 89 0x6a42 0x8f5786c7 @@ -53534,6 +66918,8 @@ 1 1 0 +1 +1 81 0x6079 0x9520fa40 @@ -53542,6 +66928,8 @@ 1 1 0 +3 +3 83 0x78c1 0xcfcfa2a9 @@ -53550,6 +66938,8 @@ 0 0 0 +3 +3 18 0xd400 0xcfaf8b35 @@ -53558,6 +66948,8 @@ 0 0 0 +0 +1 33 0xb367 0xb1e02027 @@ -53566,6 +66958,8 @@ 1 0 0 +3 +4 57 0x68b8 0x36c6c405 @@ -53574,6 +66968,8 @@ 0 0 0 +5 +0 39 0x1997 0x8818f34 @@ -53582,6 +66978,8 @@ 0 0 0 +5 +2 99 0x2a40 0x8de323fb @@ -53590,6 +66988,8 @@ 0 0 0 +5 +3 22 0x4ad4 0x86424f29 @@ -53598,6 +66998,8 @@ 1 0 0 +2 +3 66 0x7f38 0x76f517fe @@ -53606,6 +67008,8 @@ 1 0 0 +1 +0 32 0xd7ec 0x861d085b @@ -53614,6 +67018,8 @@ 0 0 0 +3 +5 19 0xbde8 0x9b5aa868 @@ -53622,6 +67028,8 @@ 0 0 0 +5 +5 94 0x9a55 0x81f5e912 @@ -53630,6 +67038,8 @@ 0 0 0 +3 +3 84 0x39c6 0x9bbfcadf @@ -53638,6 +67048,8 @@ 1 1 0 +1 +5 98 0x266f 0xb4bdcec8 @@ -53646,6 +67058,8 @@ 1 0 0 +5 +1 31 0xd63 0xf07f4ec4 @@ -53654,6 +67068,8 @@ 0 0 0 +2 +5 21 0xa53b 0xc7882a7f @@ -53662,6 +67078,8 @@ 0 0 0 +3 +5 68 0x390f 0xaff8c1af @@ -53670,6 +67088,8 @@ 0 0 0 +2 +3 36 0x5b95 0x4e5f2d3f @@ -53678,6 +67098,8 @@ 1 0 0 +0 +0 12 0x8408 0xdee01fc5 @@ -53686,6 +67108,8 @@ 0 0 0 +3 +5 70 0x1b75 0x7e623266 @@ -53694,6 +67118,8 @@ 1 0 0 +1 +5 95 0xfc66 0x589a6d0c @@ -53702,6 +67128,8 @@ 1 1 0 +2 +0 96 0x38e3 0x199a295c @@ -53710,6 +67138,8 @@ 0 0 0 +3 +4 43 0x5838 0xa06061ce @@ -53718,6 +67148,8 @@ 0 0 0 +3 +1 17 0x7a13 0x1d53e8af @@ -53726,6 +67158,8 @@ 0 0 0 +2 +3 60 0xf9d5 0x91d9380a @@ -53734,6 +67168,8 @@ 0 0 0 +2 +2 86 0x917b 0xd58be357 @@ -53742,6 +67178,8 @@ 0 0 0 +4 +2 96 0x783d 0xe9a80ca4 @@ -53750,6 +67188,8 @@ 1 0 0 +2 +2 11 0xd607 0x9587049c @@ -53758,6 +67198,8 @@ 0 0 0 +3 +1 55 0xaf35 0xde2a159a @@ -53766,6 +67208,8 @@ 0 0 0 +2 +5 10 0x3a6a 0xc253cc9c @@ -53774,6 +67218,8 @@ 1 1 0 +5 +4 79 0xc9d5 0xb268fd16 @@ -53782,6 +67228,8 @@ 1 1 0 +4 +5 89 0xe3e5 0x227449c7 @@ -53790,6 +67238,8 @@ 0 0 0 +3 +0 48 0xe964 0x39c99878 @@ -53798,6 +67248,8 @@ 0 0 0 +4 +3 43 0xe73 0x7bd3f75b @@ -53806,6 +67258,8 @@ 0 0 0 +1 +2 75 0xf04e 0x274251f7 @@ -53814,6 +67268,8 @@ 1 1 0 +5 +0 64 0xddad 0x275a4893 @@ -53822,6 +67278,8 @@ 0 0 0 +0 +3 68 0x1294 0x8f4cb8e @@ -53830,6 +67288,8 @@ 1 1 0 +3 +3 89 0xd51a 0xe8d208e2 @@ -53838,6 +67298,8 @@ 0 0 0 +4 +5 96 0x209c 0x5189d146 @@ -53846,6 +67308,8 @@ 1 0 0 +0 +2 86 0xcdba 0xcd153c6 @@ -53854,6 +67318,8 @@ 1 0 0 +2 +0 10 0xed0b 0xf98f6431 @@ -53862,6 +67328,8 @@ 1 1 0 +4 +4 71 0x36cc 0x5e7f6f59 @@ -53870,6 +67338,8 @@ 1 0 0 +2 +3 80 0xfaf 0x237073d7 @@ -53878,6 +67348,8 @@ 0 0 0 +1 +4 89 0xd083 0xa129fa0a @@ -53886,6 +67358,8 @@ 0 0 0 +1 +5 92 0x810b 0xb0b8cf06 @@ -53894,6 +67368,8 @@ 1 0 0 +4 +4 46 0x7bba 0xd86267da @@ -53902,6 +67378,8 @@ 1 1 0 +0 +1 64 0x9584 0x8cc5403a @@ -53910,6 +67388,8 @@ 1 0 0 +2 +2 13 0xa063 0xcf7eae38 @@ -53918,6 +67398,8 @@ 1 0 0 +4 +2 42 0x3188 0x9a55c2cc @@ -53926,6 +67408,8 @@ 0 0 0 +3 +5 68 0xac2f 0x6a054b90 @@ -53934,6 +67418,8 @@ 0 0 0 +2 +2 77 0xedf0 0xf2f5f150 @@ -53942,6 +67428,8 @@ 0 0 0 +2 +5 54 0xd5cd 0x6656211 @@ -53950,6 +67438,8 @@ 0 0 0 +5 +4 35 0xe497 0xc2e21e3b @@ -53958,6 +67448,8 @@ 1 0 0 +4 +0 22 0x66af 0x3add3415 @@ -53966,6 +67458,8 @@ 0 0 0 +2 +1 51 0xd8b4 0x28d5f723 @@ -53974,6 +67468,8 @@ 0 0 0 +4 +4 91 0x6d55 0x1de8bf50 @@ -53982,6 +67478,8 @@ 1 0 0 +1 +4 50 0xd459 0x6b4bc446 @@ -53990,6 +67488,8 @@ 1 0 0 +4 +0 52 0xcc60 0xcaebbb9b @@ -53998,6 +67498,8 @@ 0 0 0 +2 +0 78 0x7939 0x98770d1c @@ -54006,6 +67508,8 @@ 1 0 0 +4 +0 78 0x49c8 0x5a73f857 @@ -54014,6 +67518,8 @@ 1 0 0 +1 +4 17 0xcbd4 0x85cc06c3 @@ -54022,6 +67528,8 @@ 0 0 0 +3 +4 43 0x345d 0x912a8b46 @@ -54030,6 +67538,8 @@ 0 0 0 +4 +2 62 0x2a1e 0xfddead7e @@ -54038,6 +67548,8 @@ 1 0 0 +2 +2 76 0x8310 0x900c03a4 @@ -54046,6 +67558,8 @@ 0 0 0 +1 +0 32 0x8a1b 0x7e136b72 @@ -54054,6 +67568,8 @@ 1 0 0 +2 +5 90 0x8e54 0x5fedd6eb @@ -54062,6 +67578,8 @@ 1 0 0 +0 +1 59 0xa307 0x6b8f9267 @@ -54070,6 +67588,8 @@ 0 0 0 +2 +2 24 0x38b7 0x8ae9adfa @@ -54078,6 +67598,8 @@ 1 0 0 +2 +1 98 0xf68f 0xb3d1594f @@ -54086,6 +67608,8 @@ 1 1 0 +3 +3 54 0xa3e7 0x2a2cda10 @@ -54094,6 +67618,8 @@ 1 0 0 +3 +3 43 0x4925 0x62419c57 @@ -54102,6 +67628,8 @@ 0 0 0 +5 +3 58 0x6299 0xda00ceed @@ -54110,6 +67638,8 @@ 1 0 0 +0 +2 92 0xd3f7 0xb073cf2e @@ -54118,6 +67648,8 @@ 1 0 0 +3 +1 40 0x8a4d 0x9d20ad5d @@ -54126,6 +67658,8 @@ 1 0 0 +4 +0 58 0xe7b0 0x986b5510 @@ -54134,6 +67668,8 @@ 0 0 0 +4 +2 33 0x5ad 0x4f0e3946 @@ -54142,6 +67678,8 @@ 1 1 0 +5 +4 78 0x63aa 0xcc743974 @@ -54150,6 +67688,8 @@ 0 0 0 +1 +5 49 0x12c1 0x3f54cd2f @@ -54158,6 +67698,8 @@ 0 0 0 +2 +0 56 0x38e3 0x54d755d5 @@ -54166,6 +67708,8 @@ 1 1 0 +1 +2 46 0xaf3f 0x5e80749f @@ -54174,6 +67718,8 @@ 1 0 0 +5 +2 86 0x5b78 0x144c2b43 @@ -54182,6 +67728,8 @@ 0 0 0 +3 +1 42 0x66bc 0x2f6472d1 @@ -54190,6 +67738,8 @@ 0 0 0 +4 +1 37 0xdeb8 0x62d3004c @@ -54198,6 +67748,8 @@ 1 0 0 +2 +1 69 0x9911 0x3a12ff3c @@ -54206,6 +67758,8 @@ 0 0 0 +5 +4 45 0x64df 0xdc21dadc @@ -54214,6 +67768,8 @@ 1 1 0 +1 +2 89 0x197b 0xa39c30ca @@ -54222,6 +67778,8 @@ 1 1 0 +4 +1 61 0x2509 0x38ed1f09 @@ -54230,6 +67788,8 @@ 1 0 0 +1 +3 70 0x3486 0xcc7190bd @@ -54238,6 +67798,8 @@ 1 0 0 +4 +5 11 0xdab9 0xccf21b8e @@ -54246,6 +67808,8 @@ 0 0 0 +3 +3 93 0x324e 0xe99d3d4 @@ -54254,6 +67818,8 @@ 0 0 0 +4 +0 54 0x4c9b 0x1a2b46fe @@ -54262,6 +67828,8 @@ 0 0 0 +2 +5 95 0x717b 0x9b7f63f3 @@ -54270,6 +67838,8 @@ 1 1 0 +0 +2 81 0xdb0e 0xfdae935a @@ -54278,6 +67848,8 @@ 0 0 0 +2 +3 94 0x4d78 0x92c0c67a @@ -54286,6 +67858,8 @@ 0 0 0 +0 +3 95 0x7de7 0x768708e5 @@ -54294,6 +67868,8 @@ 0 0 0 +4 +1 10 0x559d 0xfa1569b0 @@ -54302,6 +67878,8 @@ 1 1 0 +0 +5 58 0x372d 0x57f8d69e @@ -54310,6 +67888,8 @@ 0 0 0 +4 +0 78 0xabdb 0xed90e399 @@ -54318,6 +67898,8 @@ 1 1 0 +4 +5 60 0xc776 0x15b1961d @@ -54326,6 +67908,8 @@ 0 0 0 +4 +4 71 0xa426 0xdbb1f85 @@ -54334,6 +67918,8 @@ 1 1 0 +2 +4 27 0xde3e 0xc4449c62 @@ -54342,6 +67928,8 @@ 1 0 0 +1 +4 14 0xfda3 0xe288d0e8 @@ -54350,6 +67938,8 @@ 0 0 0 +2 +0 32 0xe1a8 0xd58c137d @@ -54358,6 +67948,8 @@ 1 1 0 +1 +5 98 0x95f1 0xb862a53 @@ -54366,6 +67958,8 @@ 0 0 0 +0 +5 12 0xd1a 0x6b902a2 @@ -54374,6 +67968,8 @@ 1 1 0 +5 +4 37 0x6e35 0x9dd7b662 @@ -54382,6 +67978,8 @@ 1 1 0 +0 +3 50 0xb04a 0xe65a2366 @@ -54390,6 +67988,8 @@ 0 0 0 +4 +4 32 0x4d94 0x313506dc @@ -54398,6 +67998,8 @@ 1 1 0 +2 +2 17 0x63e8 0x9acdaa72 @@ -54406,6 +68008,8 @@ 0 0 0 +1 +4 74 0xd223 0xdd119959 @@ -54414,6 +68018,8 @@ 0 0 0 +2 +4 42 0xac31 0xb7f052d2 @@ -54422,6 +68028,8 @@ 0 0 0 +1 +1 36 0x5933 0xb367878f @@ -54430,6 +68038,8 @@ 1 0 0 +2 +2 71 0x43cd 0xfc69ca52 @@ -54438,6 +68048,8 @@ 0 0 0 +4 +2 50 0xc45e 0x9d353581 @@ -54446,6 +68058,8 @@ 0 0 0 +4 +4 88 0xae24 0xe22ef781 @@ -54454,6 +68068,8 @@ 1 1 0 +0 +0 54 0xc78e 0xe2c8e724 @@ -54462,6 +68078,8 @@ 0 0 0 +5 +1 65 0x865d 0x2866365 @@ -54470,6 +68088,8 @@ 1 0 0 +1 +4 82 0xf360 0x4ea82bcc @@ -54478,6 +68098,8 @@ 1 0 0 +3 +1 69 0x9360 0xfeb76fd4 @@ -54486,6 +68108,8 @@ 1 0 0 +4 +2 29 0xc83c 0x14ef8ac7 @@ -54494,6 +68118,8 @@ 0 0 0 +4 +3 31 0x436 0x240bc6c4 @@ -54502,6 +68128,8 @@ 0 0 0 +2 +0 56 0x7a5f 0x8b237e06 @@ -54510,6 +68138,8 @@ 1 0 0 +0 +3 88 0xa3e8 0x1e2e29b4 @@ -54518,6 +68148,8 @@ 1 0 0 +3 +1 47 0x3ce 0x7cbae88b @@ -54526,6 +68158,8 @@ 1 1 0 +1 +5 59 0x86f8 0xd3901364 @@ -54534,6 +68168,8 @@ 0 0 0 +2 +1 32 0x6fcf 0xde3998fb @@ -54542,6 +68178,8 @@ 1 1 0 +1 +1 33 0x2533 0xd2bd2117 @@ -54550,6 +68188,8 @@ 1 0 0 +3 +0 34 0xb6f7 0xd58c8370 @@ -54558,6 +68198,8 @@ 0 0 0 +5 +4 43 0x13a5 0x2073e6ff @@ -54566,6 +68208,8 @@ 0 0 0 +1 +5 19 0x6564 0xc7e61593 @@ -54574,6 +68218,8 @@ 1 0 0 +5 +0 18 0xa09b 0x9ea3ef88 @@ -54582,6 +68228,8 @@ 1 0 0 +4 +4 66 0xdca8 0x893b9fa @@ -54590,6 +68238,8 @@ 0 0 0 +3 +1 88 0xa10e 0x394e6b3b @@ -54598,6 +68248,8 @@ 0 0 0 +5 +2 80 0x9029 0x6be2a201 @@ -54606,6 +68258,8 @@ 1 0 0 +2 +3 55 0xd66e 0xdabea9ae @@ -54614,6 +68268,8 @@ 1 0 0 +1 +2 42 0x1983 0x653d46ce @@ -54622,6 +68278,8 @@ 1 1 0 +0 +1 92 0xddb7 0x7f081eef @@ -54630,6 +68288,8 @@ 0 0 0 +5 +3 25 0xcea8 0x9206777d @@ -54638,6 +68298,8 @@ 1 1 0 +0 +2 49 0x9b1b 0x5642dd6f @@ -54646,6 +68308,8 @@ 1 0 0 +4 +1 55 0x5c5d 0xcf23a2d7 @@ -54654,6 +68318,8 @@ 1 1 0 +5 +0 72 0x63dc 0xfd1d5912 @@ -54662,6 +68328,8 @@ 0 0 0 +0 +2 27 0xa181 0x518348fd @@ -54670,6 +68338,8 @@ 1 1 0 +3 +0 35 0x286 0x73f70e65 @@ -54678,6 +68348,8 @@ 0 0 0 +4 +3 76 0x7b86 0xd8f88757 @@ -54686,6 +68358,8 @@ 0 0 0 +0 +1 58 0x1def 0x3b4541a0 @@ -54694,6 +68368,8 @@ 1 0 0 +1 +4 54 0xd43d 0x95ca5d00 @@ -54702,6 +68378,8 @@ 1 1 0 +4 +4 34 0xf0e0 0x9afbb5c4 @@ -54710,6 +68388,8 @@ 0 0 0 +3 +4 96 0x6ca4 0xd64634e @@ -54718,6 +68398,8 @@ 0 0 0 +3 +0 90 0x9df8 0x5756789b @@ -54726,6 +68408,8 @@ 0 0 0 +0 +2 60 0xdcd7 0x3563664d @@ -54734,6 +68418,8 @@ 1 1 0 +0 +3 60 0x8403 0xa5614875 @@ -54742,6 +68428,8 @@ 0 0 0 +4 +0 91 0xb8fa 0xb9d97c86 @@ -54750,6 +68438,8 @@ 1 0 0 +2 +2 80 0xd7bf 0x9045c268 @@ -54758,6 +68448,8 @@ 0 0 0 +5 +4 72 0x44b0 0xd67f1739 @@ -54766,6 +68458,8 @@ 0 0 0 +4 +1 89 0x7bd8 0xfc41c11 @@ -54774,6 +68468,8 @@ 1 1 0 +5 +5 10 0x2f4f 0xf15d11e4 @@ -54782,6 +68478,8 @@ 1 0 0 +3 +4 87 0x3de8 0x91fc2714 @@ -54790,6 +68488,8 @@ 1 0 0 +2 +3 12 0xb325 0xef5425de @@ -54798,6 +68498,8 @@ 0 0 0 +0 +1 70 0xc0b0 0xded1d741 @@ -54806,6 +68508,8 @@ 1 0 0 +1 +4 56 0x6da0 0x7708a9c8 @@ -54814,6 +68518,8 @@ 0 0 0 +3 +3 33 0x4c99 0x1160d96d @@ -54822,6 +68528,8 @@ 1 1 0 +4 +0 87 0x6896 0xa0e5b9 @@ -54830,6 +68538,8 @@ 1 1 0 +2 +0 77 0x11e2 0x4dbb26fb @@ -54838,6 +68548,8 @@ 1 0 0 +2 +4 70 0x471e 0xab2f2875 @@ -54846,6 +68558,8 @@ 0 0 0 +2 +4 18 0x37f6 0xec4a5000 @@ -54854,6 +68568,8 @@ 1 1 0 +4 +1 93 0xa638 0x6e29a4ec @@ -54862,6 +68578,8 @@ 0 0 0 +4 +3 38 0x5d3 0xac7ee090 @@ -54870,6 +68588,8 @@ 1 0 0 +1 +3 64 0x805e 0x3a2ed455 @@ -54878,6 +68598,8 @@ 1 0 0 +0 +2 28 0x15c 0x853f942d @@ -54886,6 +68608,8 @@ 1 0 0 +2 +5 40 0xfa67 0xcc9c213e @@ -54894,6 +68618,8 @@ 1 1 0 +0 +1 24 0x79e6 0xfff9e6c @@ -54902,6 +68628,8 @@ 0 0 0 +0 +0 45 0xd866 0x1c4414a2 @@ -54910,6 +68638,8 @@ 0 0 0 +2 +5 37 0xe1c3 0x80260345 @@ -54918,6 +68648,8 @@ 1 1 0 +5 +0 31 0xc0f1 0xd43376df @@ -54926,6 +68658,8 @@ 1 1 0 +2 +2 23 0x1d26 0x2774249c @@ -54934,6 +68668,8 @@ 0 0 0 +0 +1 53 0xf98b 0xfd82b188 @@ -54942,6 +68678,8 @@ 0 0 0 +4 +4 42 0xdaba 0xbdeebed2 @@ -54950,6 +68688,8 @@ 1 1 0 +5 +1 12 0x20e0 0xc8da36bc @@ -54958,6 +68698,8 @@ 1 1 0 +5 +1 38 0x8afe 0xaef26cbb @@ -54966,6 +68708,8 @@ 1 0 0 +1 +2 24 0x325a 0xc519844d @@ -54974,6 +68718,8 @@ 1 1 0 +0 +2 46 0xf67a 0x4cf33e5c @@ -54982,6 +68728,8 @@ 0 0 0 +5 +2 14 0x9dc0 0x19118fa8 @@ -54990,6 +68738,8 @@ 1 1 0 +4 +3 33 0xe377 0x9e3c4d82 @@ -54998,6 +68748,8 @@ 0 0 0 +0 +5 67 0xc8cd 0x60f66c6e @@ -55006,6 +68758,8 @@ 1 0 0 +3 +1 32 0x6fb3 0x6a55f679 @@ -55014,6 +68768,8 @@ 1 0 0 +5 +5 27 0xa574 0xa40249a7 @@ -55022,6 +68778,8 @@ 0 0 0 +4 +0 88 0xcac9 0x36336e01 @@ -55030,6 +68788,8 @@ 1 1 0 +0 +0 88 0x59f7 0xcccd15a7 @@ -55038,6 +68798,8 @@ 0 0 0 +3 +5 84 0xdf4e 0xe41dda36 @@ -55046,6 +68808,8 @@ 0 0 0 +1 +2 61 0x5fbc 0x3bae73d7 @@ -55054,6 +68818,8 @@ 1 1 0 +0 +5 22 0x9a94 0x7b2d526a @@ -55062,6 +68828,8 @@ 0 0 0 +3 +5 77 0x2840 0x6e35844b @@ -55070,6 +68838,8 @@ 1 0 0 +3 +0 44 0xe32c 0x298fa907 @@ -55078,6 +68848,8 @@ 0 0 0 +1 +3 25 0x895f 0x76beee44 @@ -55086,6 +68858,8 @@ 1 0 0 +2 +4 53 0x1f8b 0x63367231 @@ -55094,6 +68868,8 @@ 1 1 0 +3 +5 92 0xc8be 0x67db260e @@ -55102,6 +68878,8 @@ 0 0 0 +4 +3 46 0xf0d9 0x19edef06 @@ -55110,6 +68888,8 @@ 0 0 0 +2 +5 30 0x489c 0xd3683c06 @@ -55118,6 +68898,8 @@ 1 0 0 +4 +4 97 0x68d1 0x2a08dcc5 @@ -55126,6 +68908,8 @@ 0 0 0 +1 +1 79 0x6b3f 0x7c1fce64 @@ -55134,6 +68918,8 @@ 1 0 0 +2 +5 96 0xdf24 0x8c3c8165 @@ -55142,6 +68928,8 @@ 0 0 0 +3 +3 14 0x642b 0x33ecc505 @@ -55150,6 +68938,8 @@ 0 0 0 +1 +3 64 0x2bd2 0xb7e70385 @@ -55158,6 +68948,8 @@ 0 0 0 +1 +0 30 0x5be6 0x9f706f93 @@ -55166,6 +68958,8 @@ 1 1 0 +2 +5 15 0x78ee 0x8c03a56a @@ -55174,6 +68968,8 @@ 0 0 0 +1 +5 30 0xf117 0xc2f44af7 @@ -55182,6 +68978,8 @@ 1 1 0 +5 +0 90 0xf073 0xaa676d73 @@ -55190,6 +68988,8 @@ 0 0 0 +0 +2 59 0xf8c2 0x821893f6 @@ -55198,6 +68998,8 @@ 0 0 0 +2 +4 28 0xc222 0x148ddb3e @@ -55206,6 +69008,8 @@ 1 0 0 +2 +1 92 0x37b0 0x6f6ef3e8 @@ -55214,6 +69018,8 @@ 0 0 0 +2 +5 35 0x3c68 0xc27f068e @@ -55222,6 +69028,8 @@ 1 1 0 +4 +2 87 0x182 0x9c8673e2 @@ -55230,6 +69038,8 @@ 1 1 0 +1 +0 31 0x2913 0x2ad647af @@ -55238,6 +69048,8 @@ 0 0 0 +3 +4 99 0x939a 0x307e038d @@ -55246,6 +69058,8 @@ 1 0 0 +2 +2 84 0xcbaf 0x6850da9c @@ -55254,6 +69068,8 @@ 0 0 0 +5 +2 91 0xf059 0x4c760a2 @@ -55262,6 +69078,8 @@ 1 0 0 +1 +4 69 0x2818 0x7781c1d9 @@ -55270,6 +69088,8 @@ 1 1 0 +3 +1 21 0x5545 0x74c195d @@ -55278,6 +69098,8 @@ 1 1 0 +5 +2 59 0xeb3b 0x9f73aa64 @@ -55286,6 +69108,8 @@ 0 0 0 +4 +3 40 0x1681 0x4684e741 @@ -55294,6 +69118,8 @@ 1 0 0 +2 +4 96 0x4fd6 0x1c754d9d @@ -55302,6 +69128,8 @@ 1 0 0 +5 +5 22 0x48c 0x81d1345 @@ -55310,6 +69138,8 @@ 0 0 0 +3 +1 80 0x6762 0x482f4f1d @@ -55318,6 +69148,8 @@ 0 0 0 +4 +5 62 0xfc02 0xb222720 @@ -55326,6 +69158,8 @@ 1 1 0 +0 +0 45 0x81c1 0xafa2777b @@ -55334,6 +69168,8 @@ 0 0 0 +2 +1 73 0xa649 0xa0aecbe9 @@ -55342,6 +69178,8 @@ 0 0 0 +3 +5 82 0x15a8 0x529d3aee @@ -55350,6 +69188,8 @@ 1 1 0 +1 +1 18 0xf9dd 0x5295c771 @@ -55358,6 +69198,8 @@ 0 0 0 +4 +5 95 0xa788 0x73bba8d5 @@ -55366,6 +69208,8 @@ 1 1 0 +4 +2 65 0x5bbb 0x2fda5e2d @@ -55374,6 +69218,8 @@ 1 1 0 +3 +4 60 0xf2a0 0xf006c00f @@ -55382,6 +69228,8 @@ 1 1 0 +1 +0 62 0x7104 0xa1be1fc @@ -55390,6 +69238,8 @@ 1 0 0 +2 +5 26 0xa1cf 0xfbc6bc81 @@ -55398,6 +69248,8 @@ 1 1 0 +2 +3 13 0xd648 0x9b7755d2 @@ -55406,6 +69258,8 @@ 1 1 0 +4 +0 52 0x8d72 0xb0451190 @@ -55414,6 +69268,8 @@ 0 0 0 +2 +4 96 0x358f 0x6b0254f1 @@ -55422,6 +69278,8 @@ 0 0 0 +5 +1 55 0x3be8 0x86ef73ff @@ -55430,6 +69288,8 @@ 0 0 0 +1 +4 27 0x2db7 0x475e9ded @@ -55438,6 +69298,8 @@ 1 0 0 +0 +4 25 0x1f51 0xc5409e51 @@ -55446,6 +69308,8 @@ 0 0 0 +1 +3 97 0x31ae 0xabf4c74 @@ -55454,6 +69318,8 @@ 0 0 0 +1 +4 72 0x2e4c 0x1fc6f4c9 @@ -55462,6 +69328,8 @@ 1 0 0 +5 +0 27 0x3b0a 0xdc6c3a9 @@ -55470,6 +69338,8 @@ 0 0 0 +4 +2 77 0x44ff 0x8a2ddbb0 @@ -55478,6 +69348,8 @@ 0 0 0 +0 +1 19 0x258b 0x4cefc7d @@ -55486,6 +69358,8 @@ 1 1 0 +4 +4 41 0x61f3 0x66c1376c @@ -55494,6 +69368,8 @@ 1 1 0 +4 +2 53 0x9ae9 0xb1732dbc @@ -55502,6 +69378,8 @@ 1 0 0 +0 +5 41 0xf179 0x9295718f @@ -55510,6 +69388,8 @@ 0 0 0 +0 +3 38 0xbdc6 0x67a83d1e @@ -55518,6 +69398,8 @@ 0 0 0 +3 +3 40 0x39f1 0x6d4fca85 @@ -55526,6 +69408,8 @@ 1 0 0 +1 +2 59 0x711c 0xe05da168 @@ -55534,6 +69418,8 @@ 1 1 0 +2 +3 44 0x3b5f 0xfb9d3df2 @@ -55542,6 +69428,8 @@ 0 0 0 +2 +2 31 0xd818 0x94ed0b66 @@ -55550,6 +69438,8 @@ 0 0 0 +0 +1 67 0x1744 0x843b224e @@ -55558,6 +69448,8 @@ 1 0 0 +5 +4 76 0x9dfa 0xeeeb36bd @@ -55566,6 +69458,8 @@ 1 1 0 +5 +3 18 0x690e 0x69a36a56 @@ -55574,6 +69468,8 @@ 1 0 0 +5 +0 54 0x12e9 0xb86a8176 @@ -55582,6 +69478,8 @@ 0 0 0 +4 +3 36 0x8a2f 0x679d189b @@ -55590,6 +69488,8 @@ 0 0 0 +2 +0 37 0x7bcb 0xa12358e9 @@ -55598,6 +69498,8 @@ 1 0 0 +0 +4 92 0x3cd2 0x13e36d3b @@ -55606,6 +69508,8 @@ 0 0 0 +4 +0 33 0x39c2 0x9f734e06 @@ -55614,6 +69518,8 @@ 0 0 0 +0 +4 72 0x8ab7 0x1a909c43 @@ -55622,6 +69528,8 @@ 1 0 0 +4 +1 58 0xabdb 0x9a33c739 @@ -55630,6 +69538,8 @@ 1 0 0 +2 +2 39 0xb59c 0x3e7f3f2 @@ -55638,6 +69548,8 @@ 1 1 0 +2 +1 30 0x8077 0x890bfe02 @@ -55646,6 +69558,8 @@ 0 0 0 +2 +1 92 0x85d2 0x14ba541e @@ -55654,6 +69568,8 @@ 0 0 0 +3 +1 44 0xe5c 0x97f2bc56 @@ -55662,6 +69578,8 @@ 0 0 0 +4 +3 13 0xe999 0x2cd9172f @@ -55670,6 +69588,8 @@ 0 0 0 +3 +4 64 0xaf9f 0xa71e599c @@ -55678,6 +69598,8 @@ 0 0 0 +3 +0 14 0x5090 0xfeb60345 @@ -55686,6 +69608,8 @@ 1 0 0 +0 +2 32 0xadfb 0x6bc5906c @@ -55694,6 +69618,8 @@ 0 0 0 +5 +1 41 0xa353 0x5e5857cf @@ -55702,6 +69628,8 @@ 0 0 0 +5 +3 95 0x7396 0x31c203fd @@ -55710,6 +69638,8 @@ 1 0 0 +3 +2 95 0xf410 0xd30c87f @@ -55718,6 +69648,8 @@ 0 0 0 +2 +1 83 0xcf48 0xa490d60b @@ -55726,6 +69658,8 @@ 1 0 0 +4 +2 77 0xb5b8 0xa21be700 @@ -55734,6 +69668,8 @@ 0 0 0 +0 +5 89 0xcf 0x9dea11d @@ -55742,6 +69678,8 @@ 1 1 0 +4 +1 100 0x1c98 0x5460f0f8 @@ -55750,6 +69688,8 @@ 0 0 0 +4 +0 88 0x88d0 0x2b308de5 @@ -55758,6 +69698,8 @@ 0 0 0 +2 +2 33 0xb727 0xdad11a5d @@ -55766,6 +69708,8 @@ 0 0 0 +3 +2 79 0xbcf3 0x645a661 @@ -55774,6 +69718,8 @@ 1 0 0 +0 +1 93 0x5e25 0xbca42923 @@ -55782,6 +69728,8 @@ 0 0 0 +0 +0 91 0x7d03 0xbebc39f6 @@ -55790,6 +69738,8 @@ 0 0 0 +5 +4 86 0x7612 0xde02bd28 @@ -55798,6 +69748,8 @@ 1 0 0 +2 +3 62 0x7a64 0x2ef4fd0a @@ -55806,6 +69758,8 @@ 1 1 0 +0 +5 58 0x185a 0x4ac9d02c @@ -55814,6 +69768,8 @@ 0 0 0 +5 +3 72 0x582 0xd4a64391 @@ -55822,6 +69778,8 @@ 1 1 0 +4 +3 49 0x356d 0x117fe399 @@ -55830,6 +69788,8 @@ 0 0 0 +4 +1 66 0x7b30 0x119ed7 @@ -55838,6 +69798,8 @@ 0 0 0 +2 +4 43 0xf99f 0x56c99a51 @@ -55846,6 +69808,8 @@ 1 1 0 +2 +2 81 0x4400 0xf2b62fdb @@ -55854,6 +69818,8 @@ 1 1 0 +0 +3 60 0xe684 0xbb7e84d9 @@ -55862,6 +69828,8 @@ 0 0 0 +0 +3 72 0x2a20 0x16182368 @@ -55870,6 +69838,8 @@ 1 1 0 +4 +1 41 0x3ef0 0xb9d65bb5 @@ -55878,6 +69848,8 @@ 0 0 0 +0 +0 69 0xb117 0x2c0918fd @@ -55886,6 +69858,8 @@ 0 0 0 +4 +0 15 0x85f1 0x2b55fa32 @@ -55894,6 +69868,8 @@ 1 1 0 +3 +0 48 0xf3a5 0xaa275d3c @@ -55902,6 +69878,8 @@ 0 0 0 +1 +1 99 0xd3c7 0x42945213 @@ -55910,6 +69888,8 @@ 0 0 0 +0 +0 54 0xea6b 0xc42a56d @@ -55918,6 +69898,8 @@ 0 0 0 +5 +1 91 0xf48 0x33311483 @@ -55926,6 +69908,8 @@ 0 0 0 +2 +5 12 0x2d10 0x571f1168 @@ -55934,6 +69918,8 @@ 0 0 0 +2 +5 45 0x1efb 0x63805248 @@ -55942,6 +69928,8 @@ 0 0 0 +0 +1 80 0xcd57 0x168120c4 @@ -55950,6 +69938,8 @@ 0 0 0 +5 +5 59 0xbc54 0x9d751e26 @@ -55958,6 +69948,8 @@ 1 0 0 +1 +2 30 0x7487 0xcc6529ff @@ -55966,6 +69958,8 @@ 0 0 0 +5 +0 26 0x550c 0x95efd3bd @@ -55974,6 +69968,8 @@ 1 1 0 +2 +5 18 0xcc65 0xb73d2b3a @@ -55982,6 +69978,8 @@ 1 1 0 +5 +2 29 0x1f0d 0xd1dd8921 @@ -55990,6 +69988,8 @@ 0 0 0 +4 +5 51 0xa5c0 0x3cc3f9a0 @@ -55998,6 +69998,8 @@ 0 0 0 +4 +5 62 0x86cc 0xaa3ad9f3 @@ -56006,6 +70008,8 @@ 1 1 0 +3 +5 27 0x7266 0xd44727fe @@ -56014,6 +70018,8 @@ 1 0 0 +5 +0 77 0x40c 0x5e159ffc @@ -56022,6 +70028,8 @@ 0 0 0 +1 +0 37 0x7e64 0xa2275dc3 @@ -56030,6 +70038,8 @@ 1 1 0 +3 +3 19 0x2049 0xb25ba35c @@ -56038,6 +70048,8 @@ 0 0 0 +4 +1 97 0xd12f 0xa2da9b99 @@ -56046,6 +70058,8 @@ 1 1 0 +4 +2 51 0x6d57 0x18b89c1 @@ -56054,6 +70068,8 @@ 0 0 0 +5 +1 94 0xc66 0x6eec9069 @@ -56062,6 +70078,8 @@ 1 1 0 +1 +2 49 0x7fe6 0x5e7394b3 @@ -56070,6 +70088,8 @@ 0 0 0 +1 +1 95 0x527a 0xaa3fd11d @@ -56078,6 +70098,8 @@ 0 0 0 +1 +0 36 0x6aa2 0x5d93e3dc @@ -56086,6 +70108,8 @@ 1 0 0 +1 +0 80 0x9d24 0x35705256 @@ -56094,6 +70118,8 @@ 0 0 0 +5 +4 51 0xccf0 0x10d3275e @@ -56102,6 +70128,8 @@ 0 0 0 +3 +3 46 0xda7 0x1cc11387 @@ -56110,6 +70138,8 @@ 0 0 0 +3 +1 54 0x7cfd 0x4fe46174 @@ -56118,6 +70148,8 @@ 1 0 0 +3 +1 69 0xdd84 0x4a847e59 @@ -56126,6 +70158,8 @@ 1 1 0 +5 +3 34 0xe9c5 0xa7938e40 @@ -56134,6 +70168,8 @@ 0 0 0 +3 +5 36 0x90fb 0xd51cebb8 @@ -56142,6 +70178,8 @@ 1 0 0 +0 +2 43 0x9c39 0x79f5ea06 @@ -56150,6 +70188,8 @@ 1 0 0 +1 +5 28 0x4609 0x78d1f22e @@ -56158,6 +70198,8 @@ 1 0 0 +3 +4 75 0xc20a 0xea614a9a @@ -56166,6 +70208,8 @@ 1 0 0 +2 +5 89 0x1730 0x5231d49b @@ -56174,6 +70218,8 @@ 1 0 0 +4 +5 51 0x664e 0xeb7aafdd @@ -56182,6 +70228,8 @@ 0 0 0 +2 +3 23 0x72bc 0x76cdf895 @@ -56190,6 +70238,8 @@ 0 0 0 +2 +4 75 0xc191 0x67caa8b4 @@ -56198,6 +70248,8 @@ 0 0 0 +0 +4 99 0x86 0x293329ff @@ -56206,6 +70258,8 @@ 0 0 0 +5 +4 38 0x42fa 0xbd0b7bbe @@ -56214,6 +70268,8 @@ 1 1 0 +1 +3 97 0x4542 0x28398d @@ -56222,6 +70278,8 @@ 0 0 0 +2 +2 98 0xc4e9 0x373fe6ba @@ -56230,6 +70288,8 @@ 1 0 0 +3 +3 73 0x8c0b 0x7596b101 @@ -56238,6 +70298,8 @@ 0 0 0 +1 +5 42 0xd875 0x8fe19bac @@ -56246,6 +70308,8 @@ 0 0 0 +2 +2 88 0x3779 0x155c3550 @@ -56254,6 +70318,8 @@ 0 0 0 +5 +5 65 0xd47d 0x9b9bf8a3 @@ -56262,6 +70328,8 @@ 0 0 0 +2 +5 33 0xa037 0xdb6c13ca @@ -56270,6 +70338,8 @@ 0 0 0 +2 +4 66 0x9aeb 0xb5ea9794 @@ -56278,6 +70348,8 @@ 0 0 0 +1 +0 49 0x250e 0xc278650f @@ -56286,6 +70358,8 @@ 0 0 0 +2 +1 83 0x29a8 0xa296bdce @@ -56294,6 +70368,8 @@ 1 0 0 +2 +2 25 0x4d65 0x5ca17445 @@ -56302,6 +70378,8 @@ 0 0 0 +1 +0 70 0x65dc 0x49fbb01 @@ -56310,6 +70388,8 @@ 0 0 0 +4 +3 63 0xbd30 0xc070a67e @@ -56318,6 +70398,8 @@ 1 1 0 +1 +5 25 0x8fe4 0xbec41578 @@ -56326,6 +70408,8 @@ 0 0 0 +1 +3 22 0x7460 0x79eaa27e @@ -56334,6 +70418,8 @@ 1 0 0 +1 +2 27 0xeb0b 0x27f447d3 @@ -56342,6 +70428,8 @@ 0 0 0 +2 +1 55 0x36ee 0x67323a01 @@ -56350,6 +70438,8 @@ 1 1 0 +5 +4 73 0x3541 0xc0acc5d4 @@ -56358,6 +70448,8 @@ 0 0 0 +1 +1 90 0x307c 0xbf8b7d96 @@ -56366,6 +70458,8 @@ 0 0 0 +0 +0 41 0x12fa 0xac891896 @@ -56374,6 +70468,8 @@ 0 0 0 +5 +0 78 0x76bb 0x396966aa @@ -56382,6 +70478,8 @@ 0 0 0 +3 +3 42 0x3c2e 0xcd42ba5 @@ -56390,6 +70488,8 @@ 0 0 0 +5 +1 20 0x4a7f 0x8ea00df6 @@ -56398,6 +70498,8 @@ 0 0 0 +1 +3 47 0xfdd3 0xe6426d9 @@ -56406,6 +70508,8 @@ 1 1 0 +3 +1 86 0x64b6 0xc8717fc3 @@ -56414,6 +70518,8 @@ 0 0 0 +1 +1 17 0xc346 0xd04c0e5e @@ -56422,6 +70528,8 @@ 1 1 0 +5 +1 12 0x1df3 0xc2669b8 @@ -56430,6 +70538,8 @@ 0 0 0 +5 +2 21 0x89f 0x693668d5 @@ -56438,6 +70548,8 @@ 1 0 0 +1 +1 15 0xeafd 0xb340bbf3 @@ -56446,6 +70558,8 @@ 1 0 0 +0 +4 11 0xb8a7 0xbf5fd8d4 @@ -56454,6 +70568,8 @@ 1 0 0 +1 +0 25 0x4cb7 0x5949802b @@ -56462,6 +70578,8 @@ 0 0 0 +0 +4 71 0x464e 0x84e18b47 @@ -56470,6 +70588,8 @@ 1 1 0 +5 +4 89 0xe506 0x8ce960ba @@ -56478,6 +70598,8 @@ 0 0 0 +3 +5 38 0x538a 0x950d6730 @@ -56486,6 +70608,8 @@ 1 0 0 +0 +2 74 0x4562 0xc4c4111b @@ -56494,6 +70618,8 @@ 0 0 0 +1 +4 26 0x3e74 0x9c3aab45 @@ -56502,6 +70628,8 @@ 1 0 0 +3 +5 20 0x6864 0x35f80903 @@ -56510,6 +70638,8 @@ 1 1 0 +4 +3 58 0x836e 0xfcabbe1e @@ -56518,6 +70648,8 @@ 1 0 0 +5 +1 89 0x97e8 0x1a600002 @@ -56526,6 +70658,8 @@ 0 0 0 +4 +4 100 0x5809 0xbf2646e7 @@ -56534,6 +70668,8 @@ 1 1 0 +1 +5 24 0xe35d 0x65968471 @@ -56542,6 +70678,8 @@ 1 1 0 +2 +0 39 0xabcf 0x81600b37 @@ -56550,6 +70688,8 @@ 0 0 0 +5 +3 78 0xd32d 0x9a143556 @@ -56558,6 +70698,8 @@ 0 0 0 +3 +0 27 0xada1 0x3abad93e @@ -56566,6 +70708,8 @@ 1 1 0 +5 +0 84 0xdf33 0x380a8f4d @@ -56574,6 +70718,8 @@ 0 0 0 +5 +5 45 0x72e5 0x1fffc08e @@ -56582,6 +70728,8 @@ 1 0 0 +4 +3 37 0x32cf 0x889ed878 @@ -56590,6 +70738,8 @@ 0 0 0 +4 +4 34 0x3627 0xf4d07a8c @@ -56598,6 +70748,8 @@ 0 0 0 +4 +0 23 0x796c 0xddaf7e9 @@ -56606,6 +70758,8 @@ 0 0 0 +1 +0 51 0x8c77 0x13d34953 @@ -56614,6 +70768,8 @@ 0 0 0 +0 +3 91 0xca14 0xcb3e91a1 @@ -56622,6 +70778,8 @@ 1 0 0 +4 +0 81 0x6279 0xf13646e0 @@ -56630,6 +70788,8 @@ 0 0 0 +0 +2 70 0xfbd0 0x9051bc84 @@ -56638,6 +70798,8 @@ 0 0 0 +5 +4 42 0xb2de 0xd4b5ac98 @@ -56646,6 +70808,8 @@ 0 0 0 +5 +2 85 0xba33 0xf1e6e10d @@ -56654,6 +70818,8 @@ 1 1 0 +4 +3 55 0x742d 0x23b78d61 @@ -56662,6 +70828,8 @@ 1 0 0 +3 +3 12 0x995f 0xe966dc77 @@ -56670,6 +70838,8 @@ 0 0 0 +0 +1 38 0xab15 0x97f91a4d @@ -56678,6 +70848,8 @@ 0 0 0 +3 +4 10 0xfe31 0xc58da205 @@ -56686,6 +70858,8 @@ 1 0 0 +3 +2 46 0x10a9 0xc39c6ab @@ -56694,6 +70868,8 @@ 1 0 0 +0 +3 79 0xcd72 0xbeb78eba @@ -56702,6 +70878,8 @@ 0 0 0 +1 +5 47 0x1e5a 0x4c678b06 @@ -56710,6 +70888,8 @@ 0 0 0 +3 +4 98 0x7996 0x4a3912b6 @@ -56718,6 +70898,8 @@ 0 0 0 +1 +0 43 0xf065 0x348241fc @@ -56726,6 +70908,8 @@ 1 1 0 +2 +0 81 0x6b37 0x2f7773d1 @@ -56734,6 +70918,8 @@ 1 1 0 +1 +3 46 0x5041 0x737f757e @@ -56742,6 +70928,8 @@ 0 0 0 +0 +1 95 0x67f4 0xd2972b1c @@ -56750,6 +70938,8 @@ 0 0 0 +3 +3 37 0x17d4 0x4e7a7a4a @@ -56758,6 +70948,8 @@ 0 0 0 +5 +0 71 0x5acc 0x135fc634 @@ -56766,6 +70958,8 @@ 1 0 0 +4 +1 28 0x925f 0x54e3e723 @@ -56774,6 +70968,8 @@ 0 0 0 +0 +1 81 0x44a3 0x99fc137e @@ -56782,6 +70978,8 @@ 1 1 0 +5 +5 59 0x1978 0x9ffb68ea @@ -56790,6 +70988,8 @@ 0 0 0 +2 +3 32 0x9a88 0x800f29d0 @@ -56798,6 +70998,8 @@ 1 0 0 +4 +2 71 0xf439 0xcd1c0edb @@ -56806,6 +71008,8 @@ 1 0 0 +5 +0 48 0x3a88 0x63bc2e5d @@ -56814,6 +71018,8 @@ 0 0 0 +5 +3 63 0x24b0 0xb3749a79 @@ -56822,6 +71028,8 @@ 0 0 0 +5 +5 98 0x704f 0x94c56bfc @@ -56830,6 +71038,8 @@ 0 0 0 +4 +0 78 0xd022 0x31054d2f @@ -56838,6 +71048,8 @@ 1 1 0 +4 +2 41 0xc292 0xd7dea19c @@ -56846,6 +71058,8 @@ 1 1 0 +3 +3 78 0x5057 0xddb44e6f @@ -56854,6 +71068,8 @@ 0 0 0 +1 +3 85 0x200 0x91d931bb @@ -56862,6 +71078,8 @@ 0 0 0 +0 +2 60 0x50c5 0x22459cfb @@ -56870,6 +71088,8 @@ 0 0 0 +5 +5 51 0x4d02 0x598e5098 @@ -56878,6 +71098,8 @@ 0 0 0 +2 +2 74 0xa705 0xd997fd98 @@ -56886,6 +71108,8 @@ 1 0 0 +3 +0 88 0xc617 0x74e4a73f @@ -56894,6 +71118,8 @@ 0 0 0 +5 +5 87 0xbd5a 0x8a2ba3b8 @@ -56902,6 +71128,8 @@ 0 0 0 +1 +5 35 0xdc5d 0x5791b785 @@ -56910,6 +71138,8 @@ 1 0 0 +2 +5 44 0x90aa 0xe58120f1 @@ -56918,6 +71148,8 @@ 0 0 0 +4 +3 44 0xc92 0x99425cba @@ -56926,6 +71158,8 @@ 1 1 0 +5 +4 54 0xa2ea 0x46d6752b @@ -56934,6 +71168,8 @@ 0 0 0 +0 +4 62 0xed09 0xe27241b4 @@ -56942,6 +71178,8 @@ 0 0 0 +1 +5 60 0x7653 0xb8c612fa @@ -56950,6 +71188,8 @@ 1 0 0 +5 +0 36 0x70b1 0x319a6da3 @@ -56958,6 +71198,8 @@ 1 0 0 +2 +3 69 0xbf82 0xcf19fe8c @@ -56966,6 +71208,8 @@ 1 1 0 +4 +0 47 0x388a 0x81983a31 @@ -56974,6 +71218,8 @@ 1 0 0 +4 +5 84 0x874c 0xc05bca05 @@ -56982,6 +71228,8 @@ 1 1 0 +1 +1 29 0x9be0 0xbd04f100 @@ -56990,6 +71238,8 @@ 1 0 0 +2 +4 35 0x83e9 0xfa4bd55d @@ -56998,6 +71248,8 @@ 0 0 0 +1 +5 15 0xef15 0xcd6faf0d @@ -57006,6 +71258,8 @@ 0 0 0 +0 +0 15 0xd5b3 0xdd38ed4e @@ -57014,6 +71268,8 @@ 1 0 0 +0 +1 55 0xee6f 0x2e5024dd @@ -57022,6 +71278,8 @@ 1 1 0 +0 +3 42 0x2c3a 0x8b82c9df @@ -57030,6 +71288,8 @@ 0 0 0 +2 +1 39 0xb5e9 0x18eb8f41 @@ -57038,6 +71298,8 @@ 0 0 0 +3 +3 10 0x67f5 0xbf916b9c @@ -57046,6 +71308,8 @@ 1 0 0 +0 +5 46 0x7dbf 0xdca90e9d @@ -57054,6 +71318,8 @@ 0 0 0 +3 +3 11 0xa2dc 0xcc86f881 @@ -57062,6 +71328,8 @@ 0 0 0 +3 +1 74 0x541a 0x929e9e53 @@ -57070,6 +71338,8 @@ 1 1 0 +2 +5 75 0xcfdc 0xbba4e858 @@ -57078,6 +71348,8 @@ 1 0 0 +4 +4 81 0x94 0x28d61b9 @@ -57086,6 +71358,8 @@ 1 1 0 +0 +3 98 0x6192 0xe66ed436 @@ -57094,6 +71368,8 @@ 1 1 0 +1 +2 52 0x56e6 0x2125b0fe @@ -57102,6 +71378,8 @@ 1 1 0 +0 +4 35 0xb4be 0xf0e65634 @@ -57110,6 +71388,8 @@ 1 0 0 +5 +2 24 0x5600 0x1769de @@ -57118,6 +71398,8 @@ 0 0 0 +0 +4 69 0xe99f 0x6e72e4f2 @@ -57126,6 +71408,8 @@ 1 1 0 +5 +4 61 0x5343 0x9e1e68fa @@ -57134,6 +71418,8 @@ 0 0 0 +1 +0 26 0x9f0b 0xf16800e2 @@ -57142,6 +71428,8 @@ 0 0 0 +3 +2 61 0x3cf8 0x7e201fe7 @@ -57150,6 +71438,8 @@ 1 1 0 +2 +3 47 0x450a 0x1c6954aa @@ -57158,6 +71448,8 @@ 1 1 0 +1 +2 84 0x216d 0x3c7f3299 @@ -57166,6 +71458,8 @@ 0 0 0 +3 +1 60 0x647a 0xe34d84bd @@ -57174,6 +71468,8 @@ 1 0 0 +4 +1 15 0x491a 0xe0f3b9e1 @@ -57182,6 +71478,8 @@ 0 0 0 +0 +0 26 0x6ef7 0xfc650d61 @@ -57190,6 +71488,8 @@ 0 0 0 +4 +1 42 0xa3d2 0xad431081 @@ -57198,6 +71498,8 @@ 1 0 0 +5 +0 69 0x7f0c 0xcfa64b93 @@ -57206,6 +71508,8 @@ 0 0 0 +2 +2 68 0xa1c6 0xaa49a129 @@ -57214,6 +71518,8 @@ 0 0 0 +2 +4 64 0xb9df 0x8241414b @@ -57222,6 +71528,8 @@ 0 0 0 +1 +2 48 0x97c9 0x7b17896d @@ -57230,6 +71538,8 @@ 0 0 0 +4 +0 36 0xe984 0x36d570be @@ -57238,6 +71548,8 @@ 0 0 0 +0 +5 53 0xa081 0xe30acde0 @@ -57246,6 +71558,8 @@ 1 1 0 +5 +1 32 0x70cd 0xb3d5d332 @@ -57254,6 +71568,8 @@ 1 1 0 +1 +0 96 0xf9ed 0xa7f782c2 @@ -57262,6 +71578,8 @@ 0 0 0 +3 +5 54 0x34d8 0xc273c787 @@ -57270,6 +71588,8 @@ 0 0 0 +4 +4 16 0x55ac 0x149be20c @@ -57278,6 +71598,8 @@ 0 0 0 +3 +4 94 0xa68d 0xf15c360b @@ -57286,6 +71608,8 @@ 0 0 0 +2 +5 78 0xe71a 0xffa15ed1 @@ -57294,6 +71618,8 @@ 0 0 0 +0 +4 54 0x51f1 0x9b8b6f22 @@ -57302,6 +71628,8 @@ 1 0 0 +1 +1 30 0xed5f 0x4a57ebbd @@ -57310,6 +71638,8 @@ 0 0 0 +0 +3 22 0x9ad1 0xeff93286 @@ -57318,6 +71648,8 @@ 1 1 0 +3 +5 77 0xe1d 0xad9b9377 @@ -57326,6 +71658,8 @@ 1 1 0 +0 +2 15 0xf10a 0x129fecb6 @@ -57334,6 +71668,8 @@ 1 1 0 +0 +5 86 0xcb4e 0x702ae1d6 @@ -57342,6 +71678,8 @@ 1 0 0 +0 +2 15 0xf8a6 0xe3885247 @@ -57350,6 +71688,8 @@ 1 1 0 +3 +0 97 0xc33d 0xa29a04c7 @@ -57358,6 +71698,8 @@ 1 0 0 +0 +0 84 0xb854 0x84e7a867 @@ -57366,6 +71708,8 @@ 1 1 0 +0 +1 29 0x6baf 0xdc3dfbd9 @@ -57374,6 +71718,8 @@ 0 0 0 +3 +0 18 0xd070 0x6b6b456a @@ -57382,6 +71728,8 @@ 0 0 0 +2 +2 97 0xb58e 0xa2450558 @@ -57390,6 +71738,8 @@ 1 0 0 +2 +0 58 0xe9d1 0x550f514 @@ -57398,6 +71748,8 @@ 1 1 0 +5 +0 20 0x1d37 0x53ddabe9 @@ -57406,6 +71758,8 @@ 0 0 0 +0 +4 97 0xdb18 0x72aac80c @@ -57414,6 +71768,8 @@ 0 0 0 +5 +5 50 0xc25f 0x4adfeca0 @@ -57422,6 +71778,8 @@ 0 0 0 +3 +3 48 0x3e6 0x85274e4e @@ -57430,6 +71788,8 @@ 0 0 0 +0 +4 31 0x18ac 0x1c4326b4 @@ -57438,6 +71798,8 @@ 1 1 0 +0 +2 18 0xb099 0x92bdbe09 @@ -57446,6 +71808,8 @@ 0 0 0 +1 +3 84 0x2c93 0x1e1cf903 @@ -57454,6 +71818,8 @@ 0 0 0 +5 +0 86 0xffae 0x1b990cf2 @@ -57462,6 +71828,8 @@ 1 1 0 +0 +3 58 0x295d 0xfa418b1a @@ -57470,6 +71838,8 @@ 1 0 0 +3 +0 71 0x9ac 0xf78d8dbc @@ -57478,6 +71848,8 @@ 0 0 0 +5 +4 57 0x7844 0x553d4881 @@ -57486,6 +71858,8 @@ 0 0 0 +3 +5 67 0x6c72 0x996a2718 @@ -57494,6 +71868,8 @@ 1 0 0 +0 +4 63 0xa9fb 0x7f54cee5 @@ -57502,6 +71878,8 @@ 0 0 0 +5 +3 33 0x792 0x949ba1bd @@ -57510,6 +71888,8 @@ 1 0 0 +4 +0 54 0xb33c 0xad3d92ea @@ -57518,6 +71898,8 @@ 0 0 0 +2 +0 87 0xcd78 0xfd02bc58 @@ -57526,6 +71908,8 @@ 1 0 0 +1 +5 80 0x3c37 0xeebc46e1 @@ -57534,6 +71918,8 @@ 1 0 0 +4 +4 76 0x789f 0x90f9160b @@ -57542,6 +71928,8 @@ 0 0 0 +5 +2 26 0xd88c 0x4fa0b0b6 @@ -57550,6 +71938,8 @@ 0 0 0 +4 +3 73 0x5977 0xd4c4c3bc @@ -57558,6 +71948,8 @@ 0 0 0 +4 +3 67 0xe3a6 0x3cd2f03c @@ -57566,6 +71958,8 @@ 0 0 0 +0 +0 54 0xb199 0xb0d8a714 @@ -57574,6 +71968,8 @@ 0 0 0 +3 +2 71 0x4303 0x9055ab45 @@ -57582,6 +71978,8 @@ 0 0 0 +1 +0 32 0x572e 0xa1c08b38 @@ -57590,6 +71988,8 @@ 1 1 0 +2 +3 42 0x437c 0x9540d29c @@ -57598,6 +71998,8 @@ 0 0 0 +5 +4 94 0x23f3 0x1e2b113 @@ -57606,6 +72008,8 @@ 0 0 0 +4 +1 14 0x98a0 0xe4f329b3 @@ -57614,6 +72018,8 @@ 0 0 0 +4 +5 37 0x6639 0x2c9c02f1 @@ -57622,6 +72028,8 @@ 0 0 0 +4 +3 55 0x64e6 0xb495b267 @@ -57630,6 +72038,8 @@ 1 0 0 +0 +5 62 0xdc0e 0x94ee562a @@ -57638,6 +72048,8 @@ 0 0 0 +4 +0 38 0x12db 0xc5aac758 @@ -57646,6 +72058,8 @@ 1 1 0 +2 +5 47 0xcfcf 0x3c95837e @@ -57654,6 +72068,8 @@ 0 0 0 +0 +0 66 0xa9e2 0x7d3312fc @@ -57662,6 +72078,8 @@ 1 1 0 +3 +2 73 0xa967 0xc4003e20 @@ -57670,6 +72088,8 @@ 1 0 0 +5 +3 99 0xa791 0xf39988a8 @@ -57678,6 +72098,8 @@ 0 0 0 +5 +3 12 0x54ad 0x3aec3b15 @@ -57686,6 +72108,8 @@ 0 0 0 +4 +5 41 0xb6a3 0xd2d56e07 @@ -57694,6 +72118,8 @@ 1 1 0 +4 +2 27 0xe55a 0x15e70642 @@ -57702,6 +72128,8 @@ 1 1 0 +4 +0 51 0x3851 0x4b090288 @@ -57710,6 +72138,8 @@ 1 1 0 +2 +5 98 0x1d5c 0x690d551d @@ -57718,6 +72148,8 @@ 0 0 0 +5 +5 85 0x763a 0x182d503e @@ -57726,6 +72158,8 @@ 1 1 0 +3 +2 97 0x47d2 0x72f124ef @@ -57734,6 +72168,8 @@ 0 0 0 +4 +0 70 0x88b9 0x75fb6559 @@ -57742,6 +72178,8 @@ 1 1 0 +3 +5 19 0xcad4 0x1c10e159 @@ -57750,6 +72188,8 @@ 0 0 0 +2 +4 58 0x46b4 0x36e55e @@ -57758,6 +72198,8 @@ 1 1 0 +0 +0 25 0x26af 0x64abab16 @@ -57766,6 +72208,8 @@ 0 0 0 +0 +1 26 0xd3a6 0x501799cf @@ -57774,6 +72218,8 @@ 1 1 0 +1 +3 54 0xfd20 0x6e003b61 @@ -57782,6 +72228,8 @@ 1 0 0 +1 +2 73 0x91a7 0xbf60d422 @@ -57790,6 +72238,8 @@ 0 0 0 +0 +1 61 0xc67c 0x166e178c @@ -57798,6 +72248,8 @@ 1 0 0 +0 +3 82 0xe7e8 0x785b0460 @@ -57806,6 +72258,8 @@ 0 0 0 +5 +3 60 0x7968 0xe53d127 @@ -57814,6 +72268,8 @@ 0 0 0 +4 +1 41 0x20b2 0xb33795a5 @@ -57822,6 +72278,8 @@ 1 0 0 +1 +4 42 0x787c 0x600c2183 @@ -57830,6 +72288,8 @@ 0 0 0 +3 +4 67 0x508b 0x3602830b @@ -57838,6 +72298,8 @@ 0 0 0 +2 +2 98 0x9a94 0x1a5cdfd @@ -57846,6 +72308,8 @@ 1 1 0 +5 +3 43 0x6ac9 0x61d8e435 @@ -57854,6 +72318,8 @@ 0 0 0 +5 +2 97 0xe33d 0xae0ef145 @@ -57862,6 +72328,8 @@ 1 0 0 +2 +4 64 0x64e1 0xa58d8ff4 @@ -57870,6 +72338,8 @@ 1 0 0 +3 +4 75 0xf3dc 0x7df54eee @@ -57878,6 +72348,8 @@ 1 0 0 +2 +5 81 0x28fb 0x46d98ab5 @@ -57886,6 +72358,8 @@ 0 0 0 +0 +2 15 0xd0b4 0x88621c64 @@ -57894,6 +72368,8 @@ 0 0 0 +3 +0 18 0x7d17 0xc4dda981 @@ -57902,6 +72378,8 @@ 1 0 0 +4 +4 54 0x12a 0x532e4d01 @@ -57910,6 +72388,8 @@ 1 1 0 +4 +3 90 0x1ead 0xd5208295 @@ -57918,6 +72398,8 @@ 0 0 0 +0 +5 55 0x1314 0x46fa4f6b @@ -57926,6 +72408,8 @@ 0 0 0 +2 +5 22 0x8579 0x30a4d431 @@ -57934,6 +72418,8 @@ 1 1 0 +2 +5 17 0xc259 0x2061bec0 @@ -57942,6 +72428,8 @@ 0 0 0 +0 +0 53 0x109d 0x176c37b6 @@ -57950,6 +72438,8 @@ 1 1 0 +4 +1 77 0x903b 0x9ca36621 @@ -57958,6 +72448,8 @@ 0 0 0 +1 +4 22 0x27a9 0xf6629758 @@ -57966,6 +72458,8 @@ 0 0 0 +0 +2 96 0xc1ac 0x9c31e7a4 @@ -57974,6 +72468,8 @@ 0 0 0 +1 +0 23 0x4695 0xa01454ec @@ -57982,6 +72478,8 @@ 1 1 0 +3 +4 43 0x4f8 0xa23b80ad @@ -57990,6 +72488,8 @@ 0 0 0 +4 +5 47 0x75f 0xdfbcead3 @@ -57998,6 +72498,8 @@ 1 0 0 +2 +2 63 0xf073 0xe1227df0 @@ -58006,6 +72508,8 @@ 0 0 0 +2 +0 84 0x4fbe 0x16aba380 @@ -58014,6 +72518,8 @@ 0 0 0 +0 +0 74 0x7446 0x71a51b2b @@ -58022,6 +72528,8 @@ 0 0 0 +3 +3 60 0x99cc 0xb2fb00c5 @@ -58030,6 +72538,8 @@ 0 0 0 +0 +2 23 0x139a 0xb6a8fb3 @@ -58038,6 +72548,8 @@ 0 0 0 +5 +2 41 0x6714 0xc1c2a45d @@ -58046,6 +72558,8 @@ 0 0 0 +3 +4 100 0x9311 0xb9850029 @@ -58054,6 +72568,8 @@ 0 0 0 +4 +0 43 0x279f 0xffa95f37 @@ -58062,6 +72578,8 @@ 0 0 0 +4 +1 13 0x6307 0xcc4d3d9 @@ -58070,6 +72588,8 @@ 0 0 0 +3 +2 90 0xd664 0xc21e4fc @@ -58078,6 +72598,8 @@ 0 0 0 +2 +1 92 0x7671 0x67a8aa1f @@ -58086,6 +72608,8 @@ 1 0 0 +4 +5 40 0x49e4 0xd085f9b0 @@ -58094,6 +72618,8 @@ 0 0 0 +4 +3 49 0x394d 0xd008b37d @@ -58102,6 +72628,8 @@ 0 0 0 +3 +0 93 0xb78b 0x20e65ec4 @@ -58110,6 +72638,8 @@ 0 0 0 +4 +4 16 0xfd75 0x49302e4d @@ -58118,6 +72648,8 @@ 0 0 0 +2 +2 69 0xd0ba 0x41dc8e4a @@ -58126,6 +72658,8 @@ 1 0 0 +5 +4 64 0xc57c 0x85544581 @@ -58134,6 +72668,8 @@ 1 1 0 +0 +3 32 0x2c93 0x572f472c @@ -58142,6 +72678,8 @@ 1 0 0 +0 +1 30 0x2934 0xdc5e4109 @@ -58150,6 +72688,8 @@ 1 1 0 +5 +0 18 0xc24e 0x5081ffa3 @@ -58158,6 +72698,8 @@ 1 0 0 +2 +0 22 0x2a77 0x25d066b4 @@ -58166,6 +72708,8 @@ 1 1 0 +5 +4 23 0xc1d1 0x87524e77 @@ -58174,6 +72718,8 @@ 0 0 0 +5 +1 80 0xf14c 0x992e8559 @@ -58182,6 +72728,8 @@ 1 0 0 +3 +3 55 0xd3b4 0xe95db77e @@ -58190,6 +72738,8 @@ 0 0 0 +4 +1 63 0xb300 0xd0cc577e @@ -58198,6 +72748,8 @@ 0 0 0 +3 +4 50 0xb8d3 0xaea6c3ad @@ -58206,6 +72758,8 @@ 0 0 0 +4 +0 60 0x5310 0xb549cd05 @@ -58214,6 +72768,8 @@ 0 0 0 +1 +2 19 0xfeef 0xad4d6b5f @@ -58222,6 +72778,8 @@ 1 1 0 +4 +0 41 0x151f 0xd5309c37 @@ -58230,6 +72788,8 @@ 1 1 0 +0 +3 58 0x4751 0xdf51795 @@ -58238,6 +72798,8 @@ 1 1 0 +1 +4 19 0xeda4 0x50ec4127 @@ -58246,6 +72808,8 @@ 1 1 0 +3 +4 86 0x7214 0xb666af29 @@ -58254,6 +72818,8 @@ 0 0 0 +3 +2 56 0xd848 0xb06299e4 @@ -58262,6 +72828,8 @@ 1 1 0 +2 +4 49 0xa07 0x12ab0e8e @@ -58270,6 +72838,8 @@ 1 1 0 +3 +4 99 0xc708 0x6543512e @@ -58278,6 +72848,8 @@ 0 0 0 +1 +1 42 0x562a 0x97159979 @@ -58286,6 +72858,8 @@ 1 1 0 +4 +3 48 0x5f1 0x67090d14 @@ -58294,6 +72868,8 @@ 1 1 0 +5 +5 82 0xe26a 0x5222894a @@ -58302,6 +72878,8 @@ 0 0 0 +1 +5 28 0xfa57 0xa0a47355 @@ -58310,6 +72888,8 @@ 1 0 0 +3 +0 49 0x8cc9 0xd8f2f68b @@ -58318,6 +72898,8 @@ 0 0 0 +3 +1 54 0x450d 0x86ad72fd @@ -58326,6 +72908,8 @@ 1 1 0 +3 +5 89 0xfe54 0xed9a0024 @@ -58334,6 +72918,8 @@ 0 0 0 +0 +1 97 0x8387 0xc9d515b8 @@ -58342,6 +72928,8 @@ 1 1 0 +5 +3 68 0x1b7d 0x9823003f @@ -58350,6 +72938,8 @@ 0 0 0 +3 +0 56 0x1031 0x72b6bb38 @@ -58358,6 +72948,8 @@ 1 1 0 +2 +4 96 0xbdad 0x131cfc5c @@ -58366,6 +72958,8 @@ 0 0 0 +4 +0 44 0x14c0 0x1f71b45f @@ -58374,6 +72968,8 @@ 1 0 0 +1 +1 69 0x5ec0 0x8f22e9cf @@ -58382,6 +72978,8 @@ 1 1 0 +3 +4 23 0xc184 0x88658533 @@ -58390,6 +72988,8 @@ 1 0 0 +4 +2 77 0x9269 0x80b40c72 @@ -58398,6 +72998,8 @@ 0 0 0 +3 +3 22 0x2da9 0x72c7ad68 @@ -58406,6 +73008,8 @@ 1 0 0 +4 +5 23 0xf9c7 0x37871264 @@ -58414,6 +73018,8 @@ 0 0 0 +3 +2 77 0x5ebb 0x533e1a6 @@ -58422,6 +73028,8 @@ 0 0 0 +5 +1 59 0x14fc 0xfb3751b3 @@ -58430,6 +73038,8 @@ 0 0 0 +3 +5 50 0x9035 0xf2d2d7a2 @@ -58438,6 +73048,8 @@ 0 0 0 +4 +4 92 0x2b56 0xe1a0feac @@ -58446,6 +73058,8 @@ 1 1 0 +5 +0 98 0x43e9 0xda661332 @@ -58454,6 +73068,8 @@ 0 0 0 +4 +5 32 0xa165 0x63c786dd @@ -58462,6 +73078,8 @@ 0 0 0 +2 +0 55 0xcd0a 0xb3e4384e @@ -58470,6 +73088,8 @@ 0 0 0 +3 +1 58 0x9003 0x42e5b4f3 @@ -58478,6 +73098,8 @@ 1 0 0 +4 +3 49 0xf0f9 0x4f1ba434 @@ -58486,6 +73108,8 @@ 0 0 0 +0 +3 91 0xbfec 0x48467956 @@ -58494,6 +73118,8 @@ 1 0 0 +3 +4 95 0xd0f 0x244b0044 @@ -58502,6 +73128,8 @@ 1 1 0 +4 +4 55 0x71a4 0x50349f10 @@ -58510,6 +73138,8 @@ 1 0 0 +5 +2 46 0x7193 0x4a4f5b78 @@ -58518,6 +73148,8 @@ 0 0 0 +3 +1 44 0x99fd 0x535b0a49 @@ -58526,6 +73158,8 @@ 0 0 0 +3 +5 96 0x5a22 0x22a31d5d @@ -58534,6 +73168,8 @@ 0 0 0 +0 +4 19 0xe78f 0x97d77041 @@ -58542,6 +73178,8 @@ 1 1 0 +1 +4 41 0xfe93 0x4b0bc42b @@ -58550,6 +73188,8 @@ 1 1 0 +1 +4 19 0xf63a 0xc980122d @@ -58558,6 +73198,8 @@ 0 0 0 +1 +2 37 0x6353 0x5e2951ad @@ -58566,6 +73208,8 @@ 0 0 0 +0 +4 37 0xcfa6 0x9dd78697 @@ -58574,6 +73218,8 @@ 0 0 0 +0 +3 75 0xa144 0x7ee26529 @@ -58582,6 +73228,8 @@ 0 0 0 +3 +2 30 0xd26b 0xba76ab28 @@ -58590,6 +73238,8 @@ 1 1 0 +4 +5 31 0x79fa 0x98f4618f @@ -58598,6 +73248,8 @@ 1 0 0 +1 +0 17 0x1195 0x14d03915 @@ -58606,6 +73258,8 @@ 0 0 0 +0 +2 51 0x16f6 0x706405aa @@ -58614,6 +73268,8 @@ 1 1 0 +2 +1 40 0x7da2 0x592ad59f @@ -58622,6 +73278,8 @@ 1 0 0 +0 +3 11 0x6180 0x4f37b70e @@ -58630,6 +73288,8 @@ 1 1 0 +3 +3 11 0x256 0xfa652eae @@ -58638,6 +73298,8 @@ 1 1 0 +5 +4 58 0x25de 0x203e5589 @@ -58646,6 +73308,8 @@ 0 0 0 +2 +3 96 0x4945 0x5f92439 @@ -58654,6 +73318,8 @@ 1 1 0 +0 +5 13 0x1600 0xec95578b @@ -58662,6 +73328,8 @@ 1 1 0 +2 +1 39 0x691b 0xcfc0096f @@ -58670,6 +73338,8 @@ 1 1 0 +3 +3 89 0x2a3d 0xb28704ad @@ -58678,6 +73348,8 @@ 1 1 0 +4 +2 14 0xb893 0x198e2cbf @@ -58686,6 +73358,8 @@ 1 1 0 +0 +3 46 0xb835 0xaa0b2345 @@ -58694,6 +73368,8 @@ 1 1 0 +1 +4 55 0xeb91 0x856bc916 @@ -58702,6 +73378,8 @@ 1 0 0 +0 +2 89 0xa73c 0xda9adca @@ -58710,6 +73388,8 @@ 1 0 0 +2 +5 66 0xc41 0x494fa5a1 @@ -58718,6 +73398,8 @@ 0 0 0 +3 +2 99 0x3f86 0x9bf1fa88 @@ -58726,6 +73408,8 @@ 0 0 0 +0 +5 52 0xd3d4 0x9a0a56ab @@ -58734,6 +73418,8 @@ 1 0 0 +5 +5 17 0x902b 0x256e9c4 @@ -58742,6 +73428,8 @@ 1 1 0 +2 +5 33 0x88b9 0x322f3321 @@ -58750,6 +73438,8 @@ 1 0 0 +2 +3 20 0xb42c 0x5266dab3 @@ -58758,6 +73448,8 @@ 1 0 0 +4 +2 97 0x9044 0x206439ad @@ -58766,6 +73458,8 @@ 0 0 0 +2 +3 50 0x761f 0x8d4b00c @@ -58774,6 +73468,8 @@ 1 0 0 +1 +1 69 0xe921 0x2921f344 @@ -58782,6 +73478,8 @@ 1 1 0 +2 +1 95 0xc20f 0xd06c00dc @@ -58790,6 +73488,8 @@ 0 0 0 +5 +2 34 0x9015 0xcfe9178 @@ -58798,6 +73498,8 @@ 1 0 0 +2 +5 66 0x12d2 0x9bf9d95e @@ -58806,6 +73508,8 @@ 1 1 0 +3 +3 56 0x7b76 0x70fc760e @@ -58814,6 +73518,8 @@ 1 1 0 +5 +5 91 0xb7c3 0xa4ac477d @@ -58822,6 +73528,8 @@ 1 1 0 +2 +1 36 0x2d1c 0xc42a1857 @@ -58830,6 +73538,8 @@ 0 0 0 +2 +3 79 0xb23c 0x6891dc37 @@ -58838,6 +73548,8 @@ 1 0 0 +0 +4 43 0xdb 0x9636f886 @@ -58846,6 +73558,8 @@ 0 0 0 +5 +5 50 0x6279 0x95c98ee @@ -58854,6 +73568,8 @@ 0 0 0 +5 +5 18 0x7eef 0x167500f5 @@ -58862,6 +73578,8 @@ 0 0 0 +5 +2 40 0x7271 0x620384ca @@ -58870,6 +73588,8 @@ 0 0 0 +3 +0 96 0x1022 0x5049df5a @@ -58878,6 +73598,8 @@ 1 1 0 +0 +2 58 0x6eda 0xc5787442 @@ -58886,6 +73608,8 @@ 1 0 0 +1 +4 89 0xedc5 0x6d1b85ff @@ -58894,6 +73618,8 @@ 0 0 0 +2 +2 37 0x6d26 0xd83204e1 @@ -58902,6 +73628,8 @@ 1 0 0 +0 +2 93 0x227b 0x38d684da @@ -58910,6 +73638,8 @@ 0 0 0 +4 +3 31 0x3a39 0xfe18defe @@ -58918,6 +73648,8 @@ 1 0 0 +2 +3 65 0xa24f 0xa2387119 @@ -58926,6 +73658,8 @@ 0 0 0 +3 +1 12 0x991d 0x9ebea559 @@ -58934,6 +73668,8 @@ 0 0 0 +4 +1 55 0x61eb 0x1b91a021 @@ -58942,6 +73678,8 @@ 1 1 0 +3 +4 84 0x7e0b 0x7b82d2af @@ -58950,6 +73688,8 @@ 1 0 0 +3 +2 91 0x5a8c 0xf6ac0ebd @@ -58958,6 +73698,8 @@ 1 1 0 +2 +4 36 0xb00 0x5c198214 @@ -58966,6 +73708,8 @@ 1 0 0 +3 +2 17 0x5a46 0x8653f9f3 @@ -58974,6 +73718,8 @@ 1 1 0 +0 +2 66 0x2daf 0x6aa463ba @@ -58982,6 +73728,8 @@ 1 0 0 +5 +5 65 0x1c7e 0x1eeb41ae @@ -58990,6 +73738,8 @@ 0 0 0 +2 +3 40 0x87c2 0x23757747 @@ -58998,6 +73748,8 @@ 1 0 0 +3 +0 75 0xb87c 0x5008f3d0 @@ -59006,6 +73758,8 @@ 0 0 0 +3 +1 73 0x5c29 0x4500d1ad @@ -59014,6 +73768,8 @@ 1 1 0 +2 +1 60 0x907d 0x4e3c8538 @@ -59022,6 +73778,8 @@ 1 0 0 +3 +4 52 0x60c8 0x7e264e24 @@ -59030,6 +73788,8 @@ 1 0 0 +3 +5 46 0x1a2d 0xd894361c @@ -59038,6 +73798,8 @@ 1 1 0 +3 +5 87 0x241 0x5aa1b66e @@ -59046,6 +73808,8 @@ 0 0 0 +2 +2 70 0xfcc5 0x494bfa90 @@ -59054,6 +73818,8 @@ 1 0 0 +0 +0 57 0x6a4d 0x35ff3d1 @@ -59062,6 +73828,8 @@ 0 0 0 +1 +3 48 0xa116 0x96fd02d4 @@ -59070,6 +73838,8 @@ 0 0 0 +4 +0 66 0x82cc 0x1bf9b28e @@ -59078,6 +73848,8 @@ 0 0 0 +1 +0 32 0x3234 0x8a735dbf @@ -59086,6 +73858,8 @@ 0 0 0 +3 +2 58 0x61f6 0x9a3bd100 @@ -59094,6 +73868,8 @@ 0 0 0 +4 +0 85 0x4d8d 0x6b1bb02b @@ -59102,6 +73878,8 @@ 0 0 0 +1 +0 75 0xbaa2 0xc47c7bcc @@ -59110,6 +73888,8 @@ 0 0 0 +1 +5 27 0x8125 0xe9a7301 @@ -59118,6 +73898,8 @@ 0 0 0 +2 +5 17 0xdc3c 0x382ab4f6 @@ -59126,6 +73908,8 @@ 1 0 0 +4 +2 84 0xe3c7 0x4b8ad26 @@ -59134,6 +73918,8 @@ 1 1 0 +2 +2 81 0x841c 0x3c73a21d @@ -59142,6 +73928,8 @@ 0 0 0 +4 +1 38 0x159d 0x828e592d @@ -59150,6 +73938,8 @@ 1 0 0 +3 +2 64 0x8463 0xa63fa3fb @@ -59158,6 +73948,8 @@ 0 0 0 +0 +2 97 0xda1f 0x65654b63 @@ -59166,6 +73958,8 @@ 0 0 0 +3 +3 79 0xebee 0x8ffa09d9 @@ -59174,6 +73968,8 @@ 0 0 0 +0 +5 47 0x9c86 0xbfa1745b @@ -59182,6 +73978,8 @@ 1 0 0 +0 +3 71 0x7f5a 0x701410c5 @@ -59190,6 +73988,8 @@ 0 0 0 +0 +2 57 0x93ed 0x39ff3004 @@ -59198,6 +73998,8 @@ 1 1 0 +3 +3 71 0xf424 0x5dc892e5 @@ -59206,6 +74008,8 @@ 1 1 0 +5 +3 25 0x5183 0x6a08cbaf @@ -59214,6 +74018,8 @@ 0 0 0 +3 +4 41 0xb9ed 0xe56b8d22 @@ -59222,6 +74028,8 @@ 0 0 0 +2 +0 60 0x5a49 0x5af8e190 @@ -59230,6 +74038,8 @@ 1 1 0 +1 +3 55 0xede6 0xb5ffaf41 @@ -59238,6 +74048,8 @@ 0 0 0 +2 +3 45 0x9e06 0x8d4fed82 @@ -59246,6 +74058,8 @@ 1 0 0 +0 +4 100 0xd178 0x1b4e2d8e @@ -59254,6 +74068,8 @@ 1 1 0 +3 +3 16 0x2cbd 0x8a0af83a @@ -59262,6 +74078,8 @@ 0 0 0 +3 +5 89 0xbce5 0x843e93a6 @@ -59270,6 +74088,8 @@ 1 1 0 +3 +2 97 0xf7b7 0xf3861d94 @@ -59278,6 +74098,8 @@ 1 1 0 +4 +5 79 0xeaff 0x11530724 @@ -59286,6 +74108,8 @@ 0 0 0 +4 +5 12 0xb15a 0xb6c9e6aa @@ -59294,6 +74118,8 @@ 1 1 0 +3 +4 81 0xb57c 0xbe83ee0a @@ -59302,6 +74128,8 @@ 1 0 0 +1 +1 14 0x4c65 0x8ac38fb5 @@ -59310,6 +74138,8 @@ 1 1 0 +3 +4 42 0xa955 0xd2166728 @@ -59318,6 +74148,8 @@ 1 0 0 +5 +0 26 0xd452 0x9f7d21a1 @@ -59326,6 +74158,8 @@ 1 0 0 +0 +1 49 0xeb92 0x8f50191c @@ -59334,6 +74168,8 @@ 1 0 0 +5 +2 32 0xd023 0x35403236 @@ -59342,6 +74178,8 @@ 0 0 0 +2 +0 91 0x18ad 0x9c0da5b2 @@ -59350,6 +74188,8 @@ 1 0 0 +4 +5 93 0x26e4 0xfa23275b @@ -59358,6 +74198,8 @@ 1 0 0 +2 +4 13 0x1872 0xbc4b5f6b @@ -59366,6 +74208,8 @@ 1 1 0 +5 +3 77 0x7a68 0xab4ecd2e @@ -59374,6 +74218,8 @@ 0 0 0 +4 +2 96 0x553b 0x776a8d5a @@ -59382,6 +74228,8 @@ 1 1 0 +4 +1 87 0x910c 0x5c204cd8 @@ -59390,6 +74238,8 @@ 1 1 0 +3 +3 12 0x8002 0x22432588 @@ -59398,6 +74248,8 @@ 1 1 0 +3 +3 82 0x1df4 0x27f2ec37 @@ -59406,6 +74258,8 @@ 1 0 0 +0 +2 87 0xed70 0x9eacf19b @@ -59414,6 +74268,8 @@ 1 0 0 +2 +0 33 0xe271 0xd0091571 @@ -59422,6 +74278,8 @@ 0 0 0 +3 +4 60 0x7dbf 0xe1f81256 @@ -59430,6 +74288,8 @@ 1 0 0 +1 +2 51 0x79c6 0x3f8e91f4 @@ -59438,6 +74298,8 @@ 1 0 0 +3 +3 11 0x27d1 0x96aeb216 @@ -59446,6 +74308,8 @@ 1 1 0 +2 +4 47 0xe3c3 0xfdb0012d @@ -59454,6 +74318,8 @@ 1 0 0 +3 +4 13 0xaecc 0x6cf19ee @@ -59462,6 +74328,8 @@ 0 0 0 +4 +0 19 0x828c 0x82be12d4 @@ -59470,6 +74338,8 @@ 0 0 0 +3 +4 70 0x1a12 0xf4b3a74b @@ -59478,6 +74348,8 @@ 0 0 0 +3 +3 31 0x7119 0x9467496c @@ -59486,6 +74358,8 @@ 1 1 0 +3 +3 88 0x6b1a 0xb20895c3 @@ -59494,6 +74368,8 @@ 0 0 0 +0 +0 61 0xe40e 0x58558117 @@ -59502,6 +74378,8 @@ 0 0 0 +0 +3 54 0xbaf0 0x2cc253d6 @@ -59510,6 +74388,8 @@ 1 1 0 +0 +2 55 0x5534 0xe06ae81d @@ -59518,6 +74398,8 @@ 0 0 0 +1 +0 83 0xe75 0xafc6e1ef @@ -59526,6 +74408,8 @@ 1 1 0 +2 +2 58 0x9f9 0x60f0d365 @@ -59534,6 +74418,8 @@ 1 1 0 +1 +1 73 0xde76 0xe496ed28 @@ -59542,6 +74428,8 @@ 1 0 0 +0 +4 24 0x6fb9 0xb128ed7c @@ -59550,6 +74438,8 @@ 1 0 0 +5 +3 26 0x29ef 0x9c7e093e @@ -59558,6 +74448,8 @@ 0 0 0 +5 +3 52 0x313b 0x88837b24 @@ -59566,6 +74458,8 @@ 1 0 0 +1 +3 63 0x918b 0xffc58128 @@ -59574,6 +74468,8 @@ 1 0 0 +0 +4 36 0x3294 0x8793c40 @@ -59582,6 +74478,8 @@ 0 0 0 +3 +5 21 0x8826 0x995455ca @@ -59590,6 +74488,8 @@ 1 1 0 +4 +1 96 0x5a52 0x2d3d0a42 @@ -59598,6 +74498,8 @@ 0 0 0 +3 +5 92 0xfd4d 0xcf1f2cf4 @@ -59606,6 +74508,8 @@ 0 0 0 +1 +1 81 0x8b 0x8b2bd5a6 @@ -59614,6 +74518,8 @@ 1 0 0 +2 +2 17 0xc1a8 0x4a2de299 @@ -59622,6 +74528,8 @@ 0 0 0 +2 +0 87 0x64a4 0xa79f394c @@ -59630,6 +74538,8 @@ 1 0 0 +0 +0 60 0x49ba 0x43fffae2 @@ -59638,6 +74548,8 @@ 1 1 0 +0 +0 85 0xb58d 0x74213e39 @@ -59646,6 +74558,8 @@ 1 1 0 +1 +2 97 0xee6d 0xaf5dce3d @@ -59654,6 +74568,8 @@ 1 0 0 +5 +5 45 0x2a64 0x52322fa8 @@ -59662,6 +74578,8 @@ 1 0 0 +0 +4 69 0x341b 0xff697511 @@ -59670,6 +74588,8 @@ 1 0 0 +0 +3 85 0x2a7b 0xceb0ba8f @@ -59678,6 +74598,8 @@ 1 1 0 +3 +0 40 0x39ef 0xbccc535f @@ -59686,6 +74608,8 @@ 0 0 0 +0 +4 80 0xb3b 0xf7d8a98c @@ -59694,6 +74618,8 @@ 1 1 0 +4 +0 90 0xe885 0x7bc75164 @@ -59702,6 +74628,8 @@ 0 0 0 +0 +5 61 0x3c98 0x34285341 @@ -59710,6 +74638,8 @@ 0 0 0 +1 +2 10 0xba8d 0x19de0e0b @@ -59718,6 +74648,8 @@ 1 0 0 +0 +4 69 0xa8a4 0xf000bc49 @@ -59726,6 +74658,8 @@ 0 0 0 +5 +5 66 0x44ea 0x24abd294 @@ -59734,6 +74668,8 @@ 1 1 0 +5 +2 81 0xdf74 0xb1213a87 @@ -59742,6 +74678,8 @@ 1 0 0 +5 +4 23 0xc792 0xd93b0505 @@ -59750,6 +74688,8 @@ 0 0 0 +4 +0 48 0x6f60 0x16f4343d @@ -59758,6 +74698,8 @@ 1 0 0 +5 +0 61 0xa66c 0x325c8b59 @@ -59766,6 +74708,8 @@ 0 0 0 +3 +0 66 0x4a2b 0x716e92c0 @@ -59774,6 +74718,8 @@ 0 0 0 +2 +3 76 0x3003 0x4232de6 @@ -59782,6 +74728,8 @@ 0 0 0 +2 +4 19 0x8bc9 0x4b02029b @@ -59790,6 +74738,8 @@ 1 0 0 +0 +0 49 0x6da1 0xe188842b @@ -59798,6 +74748,8 @@ 1 0 0 +0 +5 43 0xd5bd 0x97e6b63d @@ -59806,6 +74758,8 @@ 1 1 0 +5 +5 60 0x6538 0x9faeacef @@ -59814,6 +74768,8 @@ 1 1 0 +0 +5 76 0x3cd4 0xda2b5de3 @@ -59822,6 +74778,8 @@ 1 1 0 +0 +4 55 0x9b79 0xc3f0083d @@ -59830,6 +74788,8 @@ 0 0 0 +3 +4 96 0xafe1 0xf7db6b75 @@ -59838,6 +74798,8 @@ 0 0 0 +4 +4 36 0xf511 0xc67763df @@ -59846,6 +74808,8 @@ 1 1 0 +3 +4 75 0x3baa 0x3fcf7366 @@ -59854,6 +74818,8 @@ 0 0 0 +5 +3 85 0x1624 0xd34c8dfc @@ -59862,6 +74828,8 @@ 1 1 0 +3 +2 63 0xcf5a 0x2940e213 @@ -59870,6 +74838,8 @@ 0 0 0 +2 +1 59 0x5379 0xb986eaa @@ -59878,6 +74848,8 @@ 1 1 0 +2 +2 21 0x2813 0x5b0a9ec4 @@ -59886,6 +74858,8 @@ 0 0 0 +2 +0 19 0xb707 0x88c5974e @@ -59894,6 +74868,8 @@ 0 0 0 +2 +2 74 0x4308 0x429f5415 @@ -59902,6 +74878,8 @@ 1 0 0 +1 +4 54 0x18d3 0xfd2f3fe5 @@ -59910,6 +74888,8 @@ 0 0 0 +4 +0 38 0x2f0a 0x5cae3d62 @@ -59918,6 +74898,8 @@ 0 0 0 +2 +1 99 0x3408 0x3bee7e3d @@ -59926,6 +74908,8 @@ 0 0 0 +5 +5 48 0xb2b8 0x2bede3f6 @@ -59934,6 +74918,8 @@ 0 0 0 +4 +3 69 0xbd60 0x85653594 @@ -59942,6 +74928,8 @@ 0 0 0 +4 +4 13 0x8350 0x6367b962 @@ -59950,6 +74938,8 @@ 0 0 0 +0 +2 91 0x7758 0xb166242 @@ -59958,6 +74948,8 @@ 0 0 0 +4 +3 43 0x7362 0xb0fdfb14 @@ -59966,6 +74958,8 @@ 1 1 0 +1 +5 79 0x8be5 0xccb92dc @@ -59974,6 +74968,8 @@ 1 0 0 +0 +1 65 0x5a27 0x48c79fe1 @@ -59982,6 +74978,8 @@ 0 0 0 +5 +2 64 0x95b1 0xc4a23469 @@ -59990,6 +74988,8 @@ 1 0 0 +3 +1 85 0x2df9 0xb77e9b29 diff --git a/jobs/backend/man_mixed.txt b/jobs/backend/man_mixed.txt index 55bba9d5..61891ca8 100644 --- a/jobs/backend/man_mixed.txt +++ b/jobs/backend/man_mixed.txt @@ -1,3 +1,5 @@ +3 +4 32963 0x481 0xafb10a1c @@ -6,6 +8,8 @@ 0 0 0 +5 +5 20816 0x9e0e 0x6d3649fa @@ -14,6 +18,8 @@ 0 0 0 +2 +3 12333 0x3de0 0x2787b787 @@ -22,6 +28,8 @@ 0 0 0 +1 +4 12888 0x77e4 0xd741bf2e @@ -30,6 +38,8 @@ 1 0 0 +0 +5 13493 0x34ea 0xf38c95c4 @@ -38,6 +48,8 @@ 0 0 0 +2 +1 7491 0xf4d6 0xdc4c6d54 @@ -46,6 +58,8 @@ 0 0 0 +4 +0 8387 0x5654 0xfb4bf0c7 @@ -54,6 +68,8 @@ 1 0 0 +3 +1 24749 0x2331 0x36e7374f @@ -62,6 +78,8 @@ 1 1 0 +3 +3 22140 0x97ab 0x1290c703 @@ -70,6 +88,8 @@ 1 1 0 +4 +2 20109 0xf1bd 0xa7b21d69 @@ -78,6 +98,8 @@ 1 0 0 +4 +1 34858 0x56a2 0x26fe6730 @@ -86,6 +108,8 @@ 1 0 0 +4 +5 29027 0xc06e 0x99ddc154 @@ -94,6 +118,8 @@ 0 0 0 +5 +5 26197 0xc08e 0x5cdbac21 @@ -102,6 +128,8 @@ 0 0 0 +3 +1 18203 0xf2b8 0x34555b6c @@ -110,6 +138,8 @@ 1 0 0 +2 +4 22338 0x3f9f 0x50945ff5 @@ -118,6 +148,8 @@ 1 1 0 +0 +0 2638 0xcf69 0xb65f5d6d @@ -126,6 +158,8 @@ 0 0 0 +3 +4 2848 0xd854 0xd4f77230 @@ -134,6 +168,8 @@ 0 0 0 +3 +2 21402 0x52c2 0x7ac7005f @@ -142,6 +178,8 @@ 0 0 0 +1 +3 30441 0xe5be 0x2bf88dda @@ -150,6 +188,8 @@ 0 0 0 +4 +3 39498 0x3779 0x473b4de5 @@ -158,6 +198,8 @@ 1 0 0 +3 +1 13899 0xc35a 0x7037fb1d @@ -166,6 +208,8 @@ 1 1 0 +4 +4 20916 0xdaf6 0x83f99f77 @@ -174,6 +218,8 @@ 0 0 0 +3 +2 12635 0xa8f7 0xd43d8f8 @@ -182,6 +228,8 @@ 1 1 0 +3 +5 10093 0xeea6 0x9de4cb09 @@ -190,6 +238,8 @@ 0 0 0 +2 +5 25924 0xc1f7 0x859215f8 @@ -198,6 +248,8 @@ 0 0 0 +0 +5 38213 0x600d 0x9d43f1a1 @@ -206,6 +258,8 @@ 0 0 0 +0 +4 8072 0xdce6 0x91f7829b @@ -214,6 +268,8 @@ 1 0 0 +5 +5 10288 0x5c2e 0xdb3017ee @@ -222,6 +278,8 @@ 0 0 0 +5 +1 12559 0x1d44 0x8cb4051b @@ -230,6 +288,8 @@ 1 0 0 +4 +0 32950 0xd902 0x94649121 @@ -238,6 +298,8 @@ 1 1 0 +2 +5 30795 0x986 0x181e2efa @@ -246,6 +308,8 @@ 0 0 0 +4 +2 21801 0x8003 0xb087a54f @@ -254,6 +318,8 @@ 1 0 0 +5 +4 21661 0x9cd4 0x5511fd70 @@ -262,6 +328,8 @@ 1 0 0 +3 +5 29468 0xbaae 0xda0b8fed @@ -270,6 +338,8 @@ 1 0 0 +4 +0 4127 0xf6cd 0x856664dc @@ -278,6 +348,8 @@ 1 0 0 +5 +4 28848 0x4004 0x9e81fa62 @@ -286,6 +358,8 @@ 0 0 0 +4 +3 17209 0x71e7 0x7420e9eb @@ -294,6 +368,8 @@ 1 1 0 +5 +4 19461 0x427d 0xcb3b2e92 @@ -302,6 +378,8 @@ 0 0 0 +2 +1 9472 0x809c 0xde525591 @@ -310,6 +388,8 @@ 1 0 0 +2 +2 22117 0xb9af 0x3d2d47ec @@ -318,6 +398,8 @@ 1 1 0 +2 +4 17544 0x72ee 0xfcc0879f @@ -326,6 +408,8 @@ 0 0 0 +3 +0 24984 0xc082 0x5ee830ee @@ -334,6 +418,8 @@ 0 0 0 +3 +3 38464 0xde4e 0x740772d2 @@ -342,6 +428,8 @@ 1 0 0 +3 +4 38166 0xe482 0x47fcb45f @@ -350,6 +438,8 @@ 1 0 0 +3 +2 29289 0xfb7a 0x1bd656c0 @@ -358,6 +448,8 @@ 1 0 0 +2 +3 1769 0xbddc 0x63ef9342 @@ -366,6 +458,8 @@ 0 0 0 +0 +3 14501 0x307e 0xdbe8baee @@ -374,6 +468,8 @@ 1 0 0 +4 +2 21306 0xce78 0x66af8593 @@ -382,6 +478,8 @@ 1 0 0 +2 +0 8368 0x4e9e 0x45d80c9a @@ -390,6 +488,8 @@ 1 0 0 +5 +0 22657 0xea1b 0xdd6f520d @@ -398,6 +498,8 @@ 0 0 0 +2 +2 36705 0x6687 0x9c638ef3 @@ -406,6 +508,8 @@ 0 0 0 +0 +5 17723 0x9647 0x8bab27 @@ -414,6 +518,8 @@ 1 0 0 +2 +2 33497 0xa77c 0x3257cddf @@ -422,6 +528,8 @@ 0 0 0 +1 +1 18662 0xdebe 0x8bff5318 @@ -430,6 +538,8 @@ 1 1 0 +0 +4 34378 0x5c11 0xb040bd65 @@ -438,6 +548,8 @@ 1 0 0 +5 +4 38645 0x25f8 0x6ede5871 @@ -446,6 +558,8 @@ 0 0 0 +0 +0 2285 0x727b 0x82387400 @@ -454,6 +568,8 @@ 1 1 0 +1 +4 18143 0x7adf 0x74608e81 @@ -462,6 +578,8 @@ 0 0 0 +3 +1 27860 0x4752 0xada67ac @@ -470,6 +588,8 @@ 1 1 0 +4 +2 752 0x69ef 0x38405527 @@ -478,6 +598,8 @@ 1 1 0 +0 +1 24789 0x25a4 0x5266841c @@ -486,6 +608,8 @@ 0 0 0 +1 +1 35143 0x503e 0xc5274d8e @@ -494,6 +618,8 @@ 1 0 0 +0 +2 6196 0x32d3 0x34474c94 @@ -502,6 +628,8 @@ 1 0 0 +1 +1 779 0xce5e 0x276c7f2 @@ -510,6 +638,8 @@ 0 0 0 +2 +4 38496 0x9cdd 0x33e9a052 @@ -518,6 +648,8 @@ 0 0 0 +4 +0 24384 0x515 0x18904f82 @@ -526,6 +658,8 @@ 0 0 0 +4 +1 36206 0x190b 0xb83a3e33 @@ -534,6 +668,8 @@ 0 0 0 +4 +5 34949 0x37f6 0x92b811b4 @@ -542,6 +678,8 @@ 1 1 0 +4 +3 34901 0x7a12 0x291b1ab6 @@ -550,6 +688,8 @@ 0 0 0 +1 +1 16597 0xd43d 0x6258ae30 @@ -558,6 +698,8 @@ 1 0 0 +4 +1 7551 0x74b3 0x51f225e3 @@ -566,6 +708,8 @@ 1 1 0 +4 +4 19672 0x286d 0x4cbff62e @@ -574,6 +718,8 @@ 1 0 0 +2 +5 16567 0x80d7 0xfbf7dc2 @@ -582,6 +728,8 @@ 1 0 0 +0 +0 31135 0x7d37 0x115c5c58 @@ -590,6 +738,8 @@ 1 1 0 +2 +2 14132 0x7204 0x8a39fd4e @@ -598,6 +748,8 @@ 0 0 0 +4 +3 17506 0x10de 0xe85d934c @@ -606,6 +758,8 @@ 1 1 0 +0 +4 21670 0x11a7 0x9b830e06 @@ -614,6 +768,8 @@ 1 1 0 +2 +3 27169 0x8b4 0xe980c71d @@ -622,6 +778,8 @@ 0 0 0 +0 +2 8542 0x5a40 0x5cc7b0ec @@ -630,6 +788,8 @@ 0 0 0 +4 +5 8611 0xb84e 0x6dfbc2c4 @@ -638,6 +798,8 @@ 1 1 0 +3 +3 35033 0x61ec 0x3f50b3c7 @@ -646,6 +808,8 @@ 1 0 0 +5 +3 26117 0xc79f 0xf60b30e8 @@ -654,6 +818,8 @@ 0 0 0 +5 +1 8780 0xbc90 0x8ad356ac @@ -662,6 +828,8 @@ 0 0 0 +2 +3 31889 0x9cab 0x2033a02 @@ -670,6 +838,8 @@ 1 1 0 +3 +3 37707 0x3fbd 0xb8674019 @@ -678,6 +848,8 @@ 0 0 0 +1 +4 13933 0xdb34 0xf7d882ae @@ -686,6 +858,8 @@ 1 1 0 +2 +1 31421 0x9817 0xd51f71b0 @@ -694,6 +868,8 @@ 0 0 0 +3 +3 25640 0x98d 0x70d9b529 @@ -702,6 +878,8 @@ 1 0 0 +2 +1 17569 0x536b 0x7a65ecb8 @@ -710,6 +888,8 @@ 0 0 0 +1 +0 12640 0x22e3 0x409a9ff7 @@ -718,6 +898,8 @@ 1 0 0 +0 +0 30985 0xcc77 0xaaf3f37b @@ -726,6 +908,8 @@ 1 1 0 +1 +5 1081 0xc66 0x200c6ccf @@ -734,6 +918,8 @@ 1 0 0 +4 +3 39407 0x40e4 0x28919d64 @@ -742,6 +928,8 @@ 0 0 0 +3 +1 23681 0xde03 0x3e2987b0 @@ -750,6 +938,8 @@ 1 0 0 +1 +3 7088 0x7de8 0x8e36dc97 @@ -758,6 +948,8 @@ 1 1 0 +0 +5 10600 0x1666 0xd1f2a279 @@ -766,6 +958,8 @@ 1 0 0 +1 +0 25098 0xb413 0x234dc2df @@ -774,6 +968,8 @@ 0 0 0 +3 +1 690 0xf2d4 0x88d30302 @@ -782,6 +978,8 @@ 0 0 0 +0 +0 26712 0x17d9 0x277c2ace @@ -790,6 +988,8 @@ 1 0 0 +0 +1 11290 0x5daf 0x82a3168 @@ -798,6 +998,8 @@ 1 0 0 +5 +2 37896 0x8b91 0x5147a45b @@ -806,6 +1008,8 @@ 0 0 0 +2 +0 32209 0xd6b2 0xd50252a6 @@ -814,6 +1018,8 @@ 1 1 0 +5 +4 33710 0xf47a 0x2b0ef453 @@ -822,6 +1028,8 @@ 0 0 0 +2 +5 7374 0x4d59 0xa7d9f469 @@ -830,6 +1038,8 @@ 1 0 0 +1 +3 5088 0xc5e 0x52ec3dc5 @@ -838,6 +1048,8 @@ 1 1 0 +3 +1 35390 0xc47d 0xdb39571 @@ -846,6 +1058,8 @@ 0 0 0 +1 +4 13160 0x366c 0x53a6782a @@ -854,6 +1068,8 @@ 0 0 0 +5 +1 29273 0x7bed 0x2152b84 @@ -862,6 +1078,8 @@ 0 0 0 +4 +3 10029 0x421e 0x949b375a @@ -870,6 +1088,8 @@ 1 1 0 +1 +2 38821 0xfb44 0xb234e116 @@ -878,6 +1098,8 @@ 0 0 0 +4 +1 11850 0x275f 0x104fc910 @@ -886,6 +1108,8 @@ 1 1 0 +4 +4 699 0xf545 0xe0a50b58 @@ -894,6 +1118,8 @@ 0 0 0 +5 +0 17954 0x2b89 0x2996ee6 @@ -902,6 +1128,8 @@ 0 0 0 +0 +0 22199 0x75c3 0xe22c019a @@ -910,6 +1138,8 @@ 1 0 0 +4 +5 2781 0x7a7f 0xca30677b @@ -918,6 +1148,8 @@ 1 0 0 +3 +5 10072 0x3f2e 0x3f532254 @@ -926,6 +1158,8 @@ 1 0 0 +1 +3 12669 0x7887 0x8260325d @@ -934,6 +1168,8 @@ 0 0 0 +0 +5 4532 0x5a10 0x1b4a65de @@ -942,6 +1178,8 @@ 0 0 0 +2 +5 25789 0xf43 0xfd8c2f76 @@ -950,6 +1188,8 @@ 1 0 0 +0 +4 2328 0x1f8c 0x15c9f085 @@ -958,6 +1198,8 @@ 0 0 0 +5 +1 8324 0x1733 0x59c7ec2b @@ -966,6 +1208,8 @@ 1 0 0 +5 +4 18352 0x32d2 0x6902630c @@ -974,6 +1218,8 @@ 1 1 0 +0 +5 25651 0xd03c 0x9f814b11 @@ -982,6 +1228,8 @@ 0 0 0 +0 +4 106 0x8067 0x5f3bd120 @@ -990,6 +1238,8 @@ 1 0 0 +3 +4 27918 0xadb5 0xb093fb2d @@ -998,6 +1248,8 @@ 1 0 0 +3 +2 25047 0x67da 0xcfe8c827 @@ -1006,6 +1258,8 @@ 1 1 0 +0 +1 12408 0x5538 0x3152940 @@ -1014,6 +1268,8 @@ 0 0 0 +0 +0 19236 0x3969 0xade924a9 @@ -1022,6 +1278,8 @@ 0 0 0 +4 +2 5899 0xbf9e 0x41eea9d2 @@ -1030,6 +1288,8 @@ 0 0 0 +3 +2 34118 0x4f55 0xd50147f @@ -1038,6 +1298,8 @@ 0 0 0 +2 +3 13681 0x5e72 0x4155537c @@ -1046,6 +1308,8 @@ 0 0 0 +4 +4 21510 0x6dbe 0xdd37bb2c @@ -1054,6 +1318,8 @@ 0 0 0 +4 +5 32323 0xbce0 0x2f2e983c @@ -1062,6 +1328,8 @@ 1 1 0 +2 +2 10862 0x5b5c 0xc8248560 @@ -1070,6 +1338,8 @@ 1 0 0 +2 +4 758 0xf627 0x37a756a5 @@ -1078,6 +1348,8 @@ 0 0 0 +1 +2 39496 0x163d 0xee61107f @@ -1086,6 +1358,8 @@ 0 0 0 +5 +3 34821 0x2141 0xa4c7808f @@ -1094,6 +1368,8 @@ 0 0 0 +0 +5 23119 0xdfaf 0x9d46dc41 @@ -1102,6 +1378,8 @@ 0 0 0 +3 +3 32207 0x58d7 0xe7788931 @@ -1110,6 +1388,8 @@ 1 1 0 +0 +5 18619 0x2b95 0xdf88279d @@ -1118,6 +1398,8 @@ 0 0 0 +0 +5 32623 0xb26e 0xa5ccdddb @@ -1126,6 +1408,8 @@ 0 0 0 +0 +5 12909 0x126c 0x35165c12 @@ -1134,6 +1418,8 @@ 0 0 0 +0 +4 5594 0xb2b8 0xdd6e19b0 @@ -1142,6 +1428,8 @@ 1 1 0 +0 +3 19792 0xcefa 0x88a9af8a @@ -1150,6 +1438,8 @@ 0 0 0 +5 +3 16849 0xa4f6 0x386b51d5 @@ -1158,6 +1448,8 @@ 1 0 0 +1 +3 30349 0xe469 0xe6634c6b @@ -1166,6 +1458,8 @@ 1 0 0 +1 +3 1281 0x589e 0x66218a0b @@ -1174,6 +1468,8 @@ 0 0 0 +3 +3 37656 0xfcaf 0x1ca0d75a @@ -1182,6 +1478,8 @@ 0 0 0 +5 +1 10215 0x6d5a 0xba916fb1 @@ -1190,6 +1488,8 @@ 1 0 0 +5 +5 1666 0xe791 0x3084f861 @@ -1198,6 +1498,8 @@ 0 0 0 +2 +0 26638 0xceed 0x88934040 @@ -1206,6 +1508,8 @@ 1 0 0 +5 +0 15008 0x9454 0xd1194f65 @@ -1214,6 +1518,8 @@ 1 0 0 +2 +3 30120 0x3b41 0x4e127b32 @@ -1222,6 +1528,8 @@ 0 0 0 +0 +4 24816 0xa5af 0xb0e80923 @@ -1230,6 +1538,8 @@ 0 0 0 +3 +1 26091 0x698 0x8b099b34 @@ -1238,6 +1548,8 @@ 0 0 0 +0 +0 764 0x2043 0xf58e2a98 @@ -1246,6 +1558,8 @@ 0 0 0 +0 +3 28563 0x6a70 0xb09ab2ba @@ -1254,6 +1568,8 @@ 1 0 0 +2 +5 13694 0x1989 0xa2df6b6a @@ -1262,6 +1578,8 @@ 0 0 0 +1 +1 13618 0x5f8d 0xc27c248d @@ -1270,6 +1588,8 @@ 1 0 0 +2 +3 31497 0x290a 0x2b66f708 @@ -1278,6 +1598,8 @@ 0 0 0 +2 +1 1445 0xe96d 0xc0f4aec0 @@ -1286,6 +1608,8 @@ 1 1 0 +5 +0 32344 0xfb15 0xfd64ed60 @@ -1294,6 +1618,8 @@ 0 0 0 +5 +2 17617 0x3591 0x847dbaa4 @@ -1302,6 +1628,8 @@ 0 0 0 +1 +3 10175 0xf728 0x29aa2b59 @@ -1310,6 +1638,8 @@ 1 1 0 +2 +5 11186 0xe5de 0x1908c876 @@ -1318,6 +1648,8 @@ 1 0 0 +2 +2 291 0x2ca9 0x919f309d @@ -1326,6 +1658,8 @@ 0 0 0 +1 +5 17090 0xca2f 0xd73b7b0c @@ -1334,6 +1668,8 @@ 1 1 0 +1 +2 4755 0x69e1 0x47d50713 @@ -1342,6 +1678,8 @@ 1 1 0 +0 +5 2292 0x2c23 0x264d39a4 @@ -1350,6 +1688,8 @@ 1 1 0 +3 +4 19172 0xceb2 0x5c8fcb65 @@ -1358,6 +1698,8 @@ 1 0 0 +4 +2 9623 0x1169 0x7f12e424 @@ -1366,6 +1708,8 @@ 1 0 0 +1 +5 29702 0x2832 0x3949737a @@ -1374,6 +1718,8 @@ 1 1 0 +2 +2 23876 0x6226 0xe2a0eae3 @@ -1382,6 +1728,8 @@ 0 0 0 +3 +1 16048 0x7c40 0x7ce095d6 @@ -1390,6 +1738,8 @@ 0 0 0 +4 +4 39466 0xbd16 0x1b34fd9a @@ -1398,6 +1748,8 @@ 0 0 0 +1 +5 2023 0x5377 0xf0d15799 @@ -1406,6 +1758,8 @@ 1 0 0 +5 +5 30083 0xcc25 0x68c2b2e @@ -1414,6 +1768,8 @@ 1 0 0 +4 +0 26130 0xc694 0x68469fd8 @@ -1422,6 +1778,8 @@ 0 0 0 +1 +1 8522 0xb165 0x48dd3323 @@ -1430,6 +1788,8 @@ 0 0 0 +3 +3 34469 0xb4b0 0x1a347300 @@ -1438,6 +1798,8 @@ 1 0 0 +5 +4 7313 0x61e1 0x2fd4d085 @@ -1446,6 +1808,8 @@ 1 1 0 +2 +0 29223 0xc3f2 0xa7a0ffe @@ -1454,6 +1818,8 @@ 1 1 0 +5 +2 31881 0x4028 0xc4d3a481 @@ -1462,6 +1828,8 @@ 0 0 0 +3 +0 36507 0x1108 0x47d0d800 @@ -1470,6 +1838,8 @@ 1 1 0 +1 +1 5904 0x9e9 0x5ca3c11 @@ -1478,6 +1848,8 @@ 0 0 0 +4 +3 22050 0xf83b 0xb4a3db29 @@ -1486,6 +1858,8 @@ 0 0 0 +0 +3 13205 0x2ba9 0xf11b9990 @@ -1494,6 +1868,8 @@ 1 1 0 +2 +4 18983 0x2113 0x86f70a8d @@ -1502,6 +1878,8 @@ 1 0 0 +3 +3 36297 0x72ed 0x87f91aba @@ -1510,6 +1888,8 @@ 0 0 0 +3 +2 31657 0xfd90 0x1764b5c8 @@ -1518,6 +1898,8 @@ 0 0 0 +5 +0 1918 0x3328 0xad01ef78 @@ -1526,6 +1908,8 @@ 1 1 0 +5 +0 175 0x81a3 0xf9dd28bf @@ -1534,6 +1918,8 @@ 0 0 0 +5 +0 27572 0x2e11 0x59d9e83d @@ -1542,6 +1928,8 @@ 1 1 0 +4 +2 2368 0x2812 0x93e520b7 @@ -1550,6 +1938,8 @@ 1 1 0 +4 +1 25561 0xe140 0x712c9035 @@ -1558,6 +1948,8 @@ 0 0 0 +0 +4 13509 0xd5f4 0x295c3a4a @@ -1566,6 +1958,8 @@ 0 0 0 +1 +5 1286 0x26bf 0x5a73db9e @@ -1574,6 +1968,8 @@ 0 0 0 +3 +4 31338 0x4e3d 0x186d04d0 @@ -1582,6 +1978,8 @@ 0 0 0 +5 +0 36103 0x9441 0x5dd7c43 @@ -1590,6 +1988,8 @@ 1 1 0 +5 +3 5491 0x2835 0x713ee34e @@ -1598,6 +1998,8 @@ 1 0 0 +0 +2 18408 0x43a8 0xd4690e9c @@ -1606,6 +2008,8 @@ 1 1 0 +0 +1 32608 0x6210 0x445554a2 @@ -1614,6 +2018,8 @@ 1 1 0 +1 +5 38212 0x5d68 0xfa6a136 @@ -1622,6 +2028,8 @@ 1 1 0 +2 +4 13250 0xb825 0x7a8bc60 @@ -1630,6 +2038,8 @@ 0 0 0 +1 +5 37362 0x120e 0x25a67b8a @@ -1638,6 +2048,8 @@ 0 0 0 +0 +0 7243 0x37b3 0x68c69ef6 @@ -1646,6 +2058,8 @@ 1 1 0 +2 +2 24880 0x3c47 0xd9e7ebb7 @@ -1654,6 +2068,8 @@ 0 0 0 +3 +4 22674 0xef95 0x975fcbd0 @@ -1662,6 +2078,8 @@ 0 0 0 +1 +2 24884 0xfa7a 0x771e7dc7 @@ -1670,6 +2088,8 @@ 0 0 0 +4 +5 27449 0x86c6 0x961f2159 @@ -1678,6 +2098,8 @@ 0 0 0 +3 +3 17816 0x5ab0 0xd430c296 @@ -1686,6 +2108,8 @@ 0 0 0 +1 +0 28482 0x3dbf 0xa7ae18ce @@ -1694,6 +2118,8 @@ 1 1 0 +2 +4 30099 0xd664 0x82d201d3 @@ -1702,6 +2128,8 @@ 1 0 0 +5 +4 39327 0xc7b0 0x477b7b60 @@ -1710,6 +2138,8 @@ 0 0 0 +2 +0 14869 0xd5e1 0xcedec035 @@ -1718,6 +2148,8 @@ 1 0 0 +3 +4 31405 0xda13 0x7e914d27 @@ -1726,6 +2158,8 @@ 0 0 0 +0 +0 1803 0x4e75 0xe0c22daa @@ -1734,6 +2168,8 @@ 0 0 0 +3 +4 24432 0x603b 0x17c3eee1 @@ -1742,6 +2178,8 @@ 0 0 0 +0 +4 33214 0xdaa8 0x4a203016 @@ -1750,6 +2188,8 @@ 0 0 0 +0 +2 21528 0xd0c8 0x40f13ca1 @@ -1758,6 +2198,8 @@ 1 0 0 +5 +3 11560 0x4d35 0x8ba764b2 @@ -1766,6 +2208,8 @@ 0 0 0 +4 +4 34444 0xfa91 0x744cc1fa @@ -1774,6 +2218,8 @@ 0 0 0 +3 +5 29048 0x6d89 0xb3b5197e @@ -1782,6 +2228,8 @@ 1 1 0 +3 +1 5601 0x4a4e 0x276dc4c2 @@ -1790,6 +2238,8 @@ 1 1 0 +2 +3 27847 0x3771 0x722c5537 @@ -1798,6 +2248,8 @@ 1 0 0 +5 +1 561 0x5db2 0xd9a8958e @@ -1806,6 +2258,8 @@ 1 1 0 +4 +3 36081 0xb7b 0x9bfbabd3 @@ -1814,6 +2268,8 @@ 0 0 0 +2 +2 39835 0x8e47 0x22f03a77 @@ -1822,6 +2278,8 @@ 0 0 0 +0 +0 17581 0x306d 0xd9ace7ad @@ -1830,6 +2288,8 @@ 0 0 0 +1 +1 1782 0xf8df 0x8e972830 @@ -1838,6 +2298,8 @@ 0 0 0 +1 +1 22122 0x908a 0xd57355de @@ -1846,6 +2308,8 @@ 0 0 0 +5 +0 21610 0xcb51 0xeb0b29dd @@ -1854,6 +2318,8 @@ 0 0 0 +1 +4 34420 0x8492 0x2d749dd @@ -1862,6 +2328,8 @@ 0 0 0 +2 +3 8769 0xf01 0x252fee30 @@ -1870,6 +2338,8 @@ 0 0 0 +0 +4 12561 0x5b1d 0xd4cc8aa2 @@ -1878,6 +2348,8 @@ 1 1 0 +4 +3 21368 0xa8a 0x2ebbb1cd @@ -1886,6 +2358,8 @@ 1 1 0 +3 +3 31936 0x7a9f 0xee10ab2f @@ -1894,6 +2368,8 @@ 1 1 0 +5 +4 38660 0x1011 0xefe28524 @@ -1902,6 +2378,8 @@ 1 1 0 +5 +2 9830 0xc0a1 0x851e815b @@ -1910,6 +2388,8 @@ 0 0 0 +3 +1 11000 0x86d0 0x5b22c553 @@ -1918,6 +2398,8 @@ 0 0 0 +2 +4 15669 0x9e6f 0x6971c9e @@ -1926,6 +2408,8 @@ 1 0 0 +1 +3 2181 0x320c 0x9ae1dd83 @@ -1934,6 +2418,8 @@ 0 0 0 +2 +3 8392 0x9167 0xdb88027b @@ -1942,6 +2428,8 @@ 1 0 0 +5 +3 13808 0x5336 0xeae76c2a @@ -1950,6 +2438,8 @@ 0 0 0 +3 +1 2061 0xc31e 0xbdb4bd5c @@ -1958,6 +2448,8 @@ 0 0 0 +4 +5 11470 0xaab5 0x7af6a747 @@ -1966,6 +2458,8 @@ 0 0 0 +5 +5 33553 0x2c3e 0xc651df7e @@ -1974,6 +2468,8 @@ 1 1 0 +5 +5 32067 0x95d9 0xcaef3aec @@ -1982,6 +2478,8 @@ 1 0 0 +2 +3 22432 0x2f68 0x8b8b4909 @@ -1990,6 +2488,8 @@ 0 0 0 +2 +4 39509 0xb073 0xfce00851 @@ -1998,6 +2498,8 @@ 0 0 0 +1 +1 2391 0xb422 0xb0a3f2e3 @@ -2006,6 +2508,8 @@ 0 0 0 +0 +2 7362 0x4d90 0x8b706750 @@ -2014,6 +2518,8 @@ 1 0 0 +4 +4 16702 0x68a2 0x8a5a78a1 @@ -2022,6 +2528,8 @@ 0 0 0 +4 +5 35581 0x62b6 0xe8d3b009 @@ -2030,6 +2538,8 @@ 1 0 0 +4 +4 11820 0x13b5 0xc2043c50 @@ -2038,6 +2548,8 @@ 1 1 0 +0 +1 12650 0x6600 0x171aeb77 @@ -2046,6 +2558,8 @@ 1 1 0 +1 +5 11135 0x7f9f 0xb108afe5 @@ -2054,6 +2568,8 @@ 1 0 0 +5 +4 32252 0x227a 0x139e8840 @@ -2062,6 +2578,8 @@ 1 0 0 +1 +4 6073 0x9560 0xe76cd7dd @@ -2070,6 +2588,8 @@ 0 0 0 +4 +4 27404 0x184f 0x708fed19 @@ -2078,6 +2598,8 @@ 0 0 0 +2 +4 16371 0x9246 0x92371b36 @@ -2086,6 +2608,8 @@ 1 1 0 +2 +4 31031 0xbe49 0x84dec98e @@ -2094,6 +2618,8 @@ 0 0 0 +3 +0 32942 0xa2b1 0x1c0ae32 @@ -2102,6 +2628,8 @@ 1 0 0 +2 +1 33964 0xd06e 0x57a98279 @@ -2110,6 +2638,8 @@ 0 0 0 +5 +2 30319 0x3a3e 0xc9e4244a @@ -2118,6 +2648,8 @@ 0 0 0 +0 +4 12100 0xa528 0xf7a53930 @@ -2126,6 +2658,8 @@ 0 0 0 +3 +1 8298 0x6e08 0xaaea7159 @@ -2134,6 +2668,8 @@ 1 1 0 +1 +2 23266 0xe032 0xeb68dd57 @@ -2142,6 +2678,8 @@ 0 0 0 +4 +0 1511 0x1dc2 0xaa1d86a1 @@ -2150,6 +2688,8 @@ 1 1 0 +4 +3 10957 0x71e6 0x839842f9 @@ -2158,6 +2698,8 @@ 0 0 0 +2 +5 4382 0x84aa 0xa6361a30 @@ -2166,6 +2708,8 @@ 0 0 0 +5 +1 27330 0x2438 0xe017b79f @@ -2174,6 +2718,8 @@ 0 0 0 +4 +1 8007 0x9975 0xd7cfd816 @@ -2182,6 +2728,8 @@ 0 0 0 +0 +5 9663 0x8a02 0xf0ac6e1d @@ -2190,6 +2738,8 @@ 1 1 0 +1 +3 29851 0x32eb 0x61f8dcf4 @@ -2198,6 +2748,8 @@ 0 0 0 +3 +5 17476 0xc5ba 0x8e503326 @@ -2206,6 +2758,8 @@ 0 0 0 +4 +2 15853 0xfa02 0x5e74084b @@ -2214,6 +2768,8 @@ 1 1 0 +3 +5 37475 0x105e 0x99d66f08 @@ -2222,6 +2778,8 @@ 1 1 0 +3 +0 2492 0x95d6 0xe4650567 @@ -2230,6 +2788,8 @@ 0 0 0 +4 +0 4137 0xc675 0x22c80b37 @@ -2238,6 +2798,8 @@ 0 0 0 +1 +3 8422 0xf6e6 0xfaf03b0a @@ -2246,6 +2808,8 @@ 0 0 0 +4 +0 33272 0xbc6a 0xd7145331 @@ -2254,6 +2818,8 @@ 0 0 0 +4 +3 13181 0x25ef 0xc0617a3c @@ -2262,6 +2828,8 @@ 0 0 0 +4 +0 28849 0x6a1f 0x3463f9dd @@ -2270,6 +2838,8 @@ 1 0 0 +2 +2 3679 0x62f6 0xda749258 @@ -2278,6 +2848,8 @@ 1 0 0 +5 +0 3302 0x2f99 0x26bdbd6 @@ -2286,6 +2858,8 @@ 1 1 0 +5 +3 11590 0x3630 0xa978399b @@ -2294,6 +2868,8 @@ 1 1 0 +1 +0 21404 0xfd3e 0xa31e65de @@ -2302,6 +2878,8 @@ 0 0 0 +3 +5 36881 0xefab 0xb608d2d9 @@ -2310,6 +2888,8 @@ 1 0 0 +1 +4 33334 0x999d 0xbc97b443 @@ -2318,6 +2898,8 @@ 0 0 0 +2 +1 16692 0x90ae 0x9a2f0b16 @@ -2326,6 +2908,8 @@ 0 0 0 +2 +3 4428 0x6636 0xa1ae84ee @@ -2334,6 +2918,8 @@ 0 0 0 +5 +2 22915 0xb08f 0xeb5e735d @@ -2342,6 +2928,8 @@ 0 0 0 +1 +4 26694 0xc309 0x39ee4caa @@ -2350,6 +2938,8 @@ 0 0 0 +1 +0 29406 0x68a0 0x608d50e2 @@ -2358,6 +2948,8 @@ 0 0 0 +2 +2 33243 0x91f9 0x93453a93 @@ -2366,6 +2958,8 @@ 0 0 0 +3 +4 27880 0x6280 0x95c44ea7 @@ -2374,6 +2968,8 @@ 0 0 0 +4 +3 16493 0x15ea 0xb5dc4fc0 @@ -2382,6 +2978,8 @@ 0 0 0 +4 +5 16988 0x97e 0xca289db6 @@ -2390,6 +2988,8 @@ 0 0 0 +5 +4 13039 0xea53 0xdccb6f2e @@ -2398,6 +2998,8 @@ 1 1 0 +3 +3 28239 0x268f 0xb1bc7397 @@ -2406,6 +3008,8 @@ 1 1 0 +1 +2 35278 0x62e6 0x9fc6e176 @@ -2414,6 +3018,8 @@ 1 1 0 +2 +4 4957 0xd5cf 0x8cb1bce5 @@ -2422,6 +3028,8 @@ 0 0 0 +5 +0 24635 0x92e2 0x3afc7293 @@ -2430,6 +3038,8 @@ 0 0 0 +0 +1 7080 0xbc24 0x144b63c6 @@ -2438,6 +3048,8 @@ 1 1 0 +2 +4 9701 0x91e5 0x6d9da2b @@ -2446,6 +3058,8 @@ 0 0 0 +0 +0 29261 0x45d4 0xdff5a03e @@ -2454,6 +3068,8 @@ 0 0 0 +3 +3 38330 0x97e2 0x8870e12d @@ -2462,6 +3078,8 @@ 1 0 0 +2 +4 5242 0x97a1 0x9cc284f7 @@ -2470,6 +3088,8 @@ 1 0 0 +2 +0 23365 0x3d8b 0x7a0aea91 @@ -2478,6 +3098,8 @@ 1 1 0 +3 +4 20484 0x13ca 0x2fd435eb @@ -2486,6 +3108,8 @@ 1 1 0 +0 +2 20781 0x3360 0x134aa86b @@ -2494,6 +3118,8 @@ 0 0 0 +3 +1 12469 0x2297 0x445737b3 @@ -2502,6 +3128,8 @@ 0 0 0 +3 +1 29807 0x2ec1 0x817dd8f4 @@ -2510,6 +3138,8 @@ 1 0 0 +0 +1 16874 0x833c 0xb40a89dd @@ -2518,6 +3148,8 @@ 0 0 0 +3 +5 39472 0x57c9 0xbaa8d491 @@ -2526,6 +3158,8 @@ 0 0 0 +5 +0 13525 0x5ca7 0xb9889e0d @@ -2534,6 +3168,8 @@ 1 0 0 +0 +5 15086 0x394a 0xfaa4f333 @@ -2542,6 +3178,8 @@ 1 0 0 +0 +0 6269 0x9489 0xa2d1a2af @@ -2550,6 +3188,8 @@ 1 1 0 +1 +3 14971 0x6c67 0xd8182fb9 @@ -2558,6 +3198,8 @@ 0 0 0 +0 +3 32529 0x486c 0x519923d0 @@ -2566,6 +3208,8 @@ 1 0 0 +4 +1 36867 0x7f73 0x11fea838 @@ -2574,6 +3218,8 @@ 0 0 0 +5 +2 37208 0xab8e 0xd44c3a1e @@ -2582,6 +3228,8 @@ 0 0 0 +2 +3 24374 0x4e92 0x500d4736 @@ -2590,6 +3238,8 @@ 1 0 0 +3 +5 13958 0xccd3 0x1a293a09 @@ -2598,6 +3248,8 @@ 1 0 0 +1 +0 15392 0xf76f 0x5293d5a8 @@ -2606,6 +3258,8 @@ 1 1 0 +4 +0 14615 0x28c2 0x9ac68a8e @@ -2614,6 +3268,8 @@ 1 0 0 +5 +0 146 0x6b12 0x2339e56d @@ -2622,6 +3278,8 @@ 1 0 0 +3 +2 4856 0x8b7 0x398bf6a3 @@ -2630,6 +3288,8 @@ 0 0 0 +4 +4 476 0x183c 0x21541f72 @@ -2638,6 +3298,8 @@ 1 0 0 +4 +2 16916 0x7ca1 0x8ce89357 @@ -2646,6 +3308,8 @@ 1 1 0 +4 +2 22208 0xa 0xf9c0a716 @@ -2654,6 +3318,8 @@ 0 0 0 +4 +2 32025 0xa00e 0x44437d40 @@ -2662,6 +3328,8 @@ 1 1 0 +2 +2 22368 0x70d4 0x2a97e71e @@ -2670,6 +3338,8 @@ 1 1 0 +5 +1 10938 0x7800 0xd31ef7cf @@ -2678,6 +3348,8 @@ 1 0 0 +4 +5 24372 0xd785 0xbaf89dfb @@ -2686,6 +3358,8 @@ 0 0 0 +5 +2 4273 0xdeb6 0x96669017 @@ -2694,6 +3368,8 @@ 0 0 0 +5 +3 20412 0xdf04 0xaa6d207c @@ -2702,6 +3378,8 @@ 1 1 0 +5 +2 23297 0x77cd 0x6b869437 @@ -2710,6 +3388,8 @@ 1 0 0 +3 +5 8781 0x79fe 0xc42a41fd @@ -2718,6 +3398,8 @@ 1 1 0 +3 +1 38418 0xeff0 0x469dff35 @@ -2726,6 +3408,8 @@ 1 0 0 +4 +0 8624 0xd233 0x514db6fd @@ -2734,6 +3418,8 @@ 0 0 0 +5 +0 14352 0x631a 0xf183bda6 @@ -2742,6 +3428,8 @@ 1 1 0 +0 +4 25690 0x2cb5 0xfd266fd7 @@ -2750,6 +3438,8 @@ 0 0 0 +2 +4 30852 0xe382 0x582fddab @@ -2758,6 +3448,8 @@ 0 0 0 +0 +0 10183 0xb145 0x65502a08 @@ -2766,6 +3458,8 @@ 1 0 0 +2 +2 17183 0xc826 0xbc534780 @@ -2774,6 +3468,8 @@ 1 1 0 +5 +0 20507 0x5910 0x190b6ad9 @@ -2782,6 +3478,8 @@ 1 0 0 +1 +5 27802 0xaa4c 0x1017eee7 @@ -2790,6 +3488,8 @@ 1 0 0 +3 +3 28810 0x2a5f 0x24b767d2 @@ -2798,6 +3498,8 @@ 1 1 0 +3 +2 7615 0x3bb3 0x5d245ac9 @@ -2806,6 +3508,8 @@ 0 0 0 +5 +0 36951 0x74a6 0x31199b27 @@ -2814,6 +3518,8 @@ 1 1 0 +4 +2 39771 0x897c 0x271323d0 @@ -2822,6 +3528,8 @@ 1 1 0 +1 +4 16843 0x5b2d 0x298b32e @@ -2830,6 +3538,8 @@ 0 0 0 +0 +0 32685 0x3b2a 0xc5902f6b @@ -2838,6 +3548,8 @@ 0 0 0 +1 +1 7467 0x40fe 0x10c1b40b @@ -2846,6 +3558,8 @@ 0 0 0 +4 +0 12730 0xaf36 0x2097bf34 @@ -2854,6 +3568,8 @@ 0 0 0 +5 +5 9578 0x192b 0x3796da99 @@ -2862,6 +3578,8 @@ 0 0 0 +2 +4 13012 0x4ced 0xe43d69c1 @@ -2870,6 +3588,8 @@ 0 0 0 +1 +1 15912 0x694 0x331b5883 @@ -2878,6 +3598,8 @@ 1 0 0 +2 +2 30373 0x65b9 0xc7829bcf @@ -2886,6 +3608,8 @@ 1 1 0 +3 +0 23732 0xa5a 0x54249d9e @@ -2894,6 +3618,8 @@ 1 0 0 +1 +5 36812 0xdff6 0x64552b10 @@ -2902,6 +3628,8 @@ 0 0 0 +1 +0 37851 0xc496 0x271a148d @@ -2910,6 +3638,8 @@ 1 1 0 +4 +1 7680 0x9ea4 0xdd90a2fa @@ -2918,6 +3648,8 @@ 0 0 0 +5 +0 419 0x1725 0x51154003 @@ -2926,6 +3658,8 @@ 0 0 0 +5 +2 28729 0x5040 0xff956632 @@ -2934,6 +3668,8 @@ 0 0 0 +4 +1 32370 0xc18a 0x3670992a @@ -2942,6 +3678,8 @@ 0 0 0 +2 +0 3863 0x17dd 0x6ad11d61 @@ -2950,6 +3688,8 @@ 0 0 0 +0 +0 36921 0x546d 0x65c9e92d @@ -2958,6 +3698,8 @@ 0 0 0 +1 +1 6682 0x3ba6 0x9afa1569 @@ -2966,6 +3708,8 @@ 1 0 0 +5 +4 487 0x4ee6 0x5a9b6fbb @@ -2974,6 +3718,8 @@ 1 1 0 +0 +2 2274 0x88d2 0x9e6a298b @@ -2982,6 +3728,8 @@ 0 0 0 +2 +0 23731 0xa66f 0x2dc10a6b @@ -2990,6 +3738,8 @@ 1 1 0 +5 +3 11732 0xa044 0x4396d308 @@ -2998,6 +3748,8 @@ 1 1 0 +1 +4 20395 0x1613 0xd9f83b49 @@ -3006,6 +3758,8 @@ 0 0 0 +1 +0 12510 0x8048 0x77e08ffe @@ -3014,6 +3768,8 @@ 0 0 0 +2 +2 5658 0x1c4b 0x1878c6c3 @@ -3022,6 +3778,8 @@ 0 0 0 +3 +0 25557 0xf582 0x213b7b8e @@ -3030,6 +3788,8 @@ 1 0 0 +4 +0 20592 0xd020 0x399345bb @@ -3038,6 +3798,8 @@ 1 1 0 +5 +5 36740 0x844c 0x6606ccc1 @@ -3046,6 +3808,8 @@ 0 0 0 +1 +0 32456 0xcda6 0x7f76ddb9 @@ -3054,6 +3818,8 @@ 0 0 0 +2 +3 31942 0x4cf5 0xa33ffdd0 @@ -3062,6 +3828,8 @@ 0 0 0 +4 +2 5274 0x46f5 0x99297be2 @@ -3070,6 +3838,8 @@ 0 0 0 +3 +1 10419 0xebe5 0x5e42fdf5 @@ -3078,6 +3848,8 @@ 1 1 0 +2 +0 31824 0xdadf 0x92e5af94 @@ -3086,6 +3858,8 @@ 0 0 0 +1 +2 15263 0xcd0c 0xa3427a08 @@ -3094,6 +3868,8 @@ 0 0 0 +1 +2 20104 0x5b6b 0xfe40c87c @@ -3102,6 +3878,8 @@ 1 1 0 +5 +2 38082 0xc79a 0xedffaca @@ -3110,6 +3888,8 @@ 1 0 0 +3 +3 16515 0x4eb0 0x4e30806f @@ -3118,6 +3898,8 @@ 0 0 0 +4 +2 12336 0x27c9 0xed66edcb @@ -3126,6 +3908,8 @@ 1 0 0 +2 +5 5668 0x6bd8 0x1d937e35 @@ -3134,6 +3918,8 @@ 0 0 0 +0 +5 15942 0x5c8f 0x4f4fb0da @@ -3142,6 +3928,8 @@ 0 0 0 +0 +2 34235 0xea63 0xc7724803 @@ -3150,6 +3938,8 @@ 1 1 0 +4 +0 21558 0xe1d6 0xf5b94ee2 @@ -3158,6 +3948,8 @@ 1 0 0 +4 +3 34204 0x317b 0x799519b8 @@ -3166,6 +3958,8 @@ 1 0 0 +4 +3 30627 0x91cd 0x8563df29 @@ -3174,6 +3968,8 @@ 1 1 0 +5 +2 24642 0xb3e0 0xef23f4e5 @@ -3182,6 +3978,8 @@ 1 1 0 +0 +2 31247 0x2dd3 0xd08f94f3 @@ -3190,6 +3988,8 @@ 1 1 0 +4 +2 37432 0xa3ac 0x1a7fcf1b @@ -3198,6 +3998,8 @@ 1 1 0 +3 +2 35429 0xdde3 0x2ff76cd4 @@ -3206,6 +4008,8 @@ 1 1 0 +4 +3 24000 0x7b9f 0x202c60c5 @@ -3214,6 +4018,8 @@ 0 0 0 +0 +4 27560 0x84ab 0x9029fef2 @@ -3222,6 +4028,8 @@ 0 0 0 +4 +4 4895 0xb2aa 0x3e6362e9 @@ -3230,6 +4038,8 @@ 1 1 0 +3 +5 3875 0x42f4 0x9b576f0c @@ -3238,6 +4048,8 @@ 1 0 0 +1 +0 25955 0xf9e7 0x4426e732 @@ -3246,6 +4058,8 @@ 0 0 0 +0 +2 13442 0xed24 0x3c09fcc5 @@ -3254,6 +4068,8 @@ 0 0 0 +0 +2 27563 0x8753 0x1d9d9793 @@ -3262,6 +4078,8 @@ 1 0 0 +2 +2 35864 0x8285 0xd80ba9be @@ -3270,6 +4088,8 @@ 0 0 0 +2 +1 7321 0x2789 0x95b6047d @@ -3278,6 +4098,8 @@ 1 1 0 +5 +2 2203 0xc258 0x8c8a9a64 @@ -3286,6 +4108,8 @@ 1 1 0 +4 +4 8374 0x3318 0x11bc43a7 @@ -3294,6 +4118,8 @@ 1 0 0 +0 +4 27868 0x5ec1 0xe866de70 @@ -3302,6 +4128,8 @@ 1 0 0 +1 +3 17467 0xa83d 0xdb2a5047 @@ -3310,6 +4138,8 @@ 0 0 0 +0 +2 13940 0x68af 0xa1acf619 @@ -3318,6 +4148,8 @@ 1 0 0 +5 +1 13160 0x63fb 0x2f980a62 @@ -3326,6 +4158,8 @@ 0 0 0 +5 +1 18925 0x2c42 0xf4e11d9d @@ -3334,6 +4168,8 @@ 1 1 0 +3 +1 21454 0xc141 0x9bf0e3c @@ -3342,6 +4178,8 @@ 1 0 0 +2 +2 1300 0x1132 0xaf10beaa @@ -3350,6 +4188,8 @@ 0 0 0 +4 +0 29460 0x206b 0x3b67cf7e @@ -3358,6 +4198,8 @@ 1 1 0 +4 +3 24899 0xf4ee 0xa5b9786e @@ -3366,6 +4208,8 @@ 1 1 0 +0 +0 12731 0x77c4 0xd5770394 @@ -3374,6 +4218,8 @@ 1 1 0 +1 +3 2458 0x25b 0x3cc32c8b @@ -3382,6 +4228,8 @@ 0 0 0 +1 +0 33227 0x1e4 0xac4c0b9 @@ -3390,6 +4238,8 @@ 0 0 0 +0 +1 18711 0x8574 0x210026c8 @@ -3398,6 +4248,8 @@ 1 1 0 +0 +4 3106 0x6976 0x38cfb61d @@ -3406,6 +4258,8 @@ 1 0 0 +5 +1 15881 0x8ffc 0xe6e74162 @@ -3414,6 +4268,8 @@ 1 1 0 +2 +0 13527 0x3396 0xa8dd41d6 @@ -3422,6 +4278,8 @@ 1 1 0 +4 +1 39467 0x417b 0xf409c192 @@ -3430,6 +4288,8 @@ 1 1 0 +4 +2 17096 0x6771 0x2bd4f078 @@ -3438,6 +4298,8 @@ 1 1 0 +4 +1 20144 0x6c2b 0x6ebce05c @@ -3446,6 +4308,8 @@ 1 0 0 +5 +3 19493 0x7ba 0x2a973df0 @@ -3454,6 +4318,8 @@ 0 0 0 +2 +1 5203 0x151 0x16baf699 @@ -3462,6 +4328,8 @@ 0 0 0 +3 +5 25423 0x71ae 0x67bb535 @@ -3470,6 +4338,8 @@ 1 0 0 +1 +0 37347 0xcddb 0xd15baa0e @@ -3478,6 +4348,8 @@ 1 1 0 +1 +5 26376 0x131e 0x54107eaf @@ -3486,6 +4358,8 @@ 0 0 0 +5 +3 32040 0x6fe7 0x48f3ce82 @@ -3494,6 +4368,8 @@ 1 1 0 +0 +2 17767 0x1740 0x53747556 @@ -3502,6 +4378,8 @@ 0 0 0 +4 +4 5083 0xe71 0xb421e4c6 @@ -3510,6 +4388,8 @@ 1 1 0 +1 +4 1528 0xfe32 0x3af03db7 @@ -3518,6 +4398,8 @@ 0 0 0 +4 +5 32077 0xde55 0x27cb7cef @@ -3526,6 +4408,8 @@ 1 0 0 +5 +1 33837 0x4c8e 0xd841e6b3 @@ -3534,6 +4418,8 @@ 1 1 0 +0 +1 8274 0x2b27 0x243d3583 @@ -3542,6 +4428,8 @@ 0 0 0 +0 +2 20965 0x9e8a 0x877e57ec @@ -3550,6 +4438,8 @@ 0 0 0 +1 +1 14736 0x6b84 0xf3782d3e @@ -3558,6 +4448,8 @@ 1 0 0 +5 +4 28261 0x67fe 0xa7ae4e60 @@ -3566,6 +4458,8 @@ 0 0 0 +2 +4 6584 0x1ff3 0xa30066c2 @@ -3574,6 +4468,8 @@ 0 0 0 +4 +1 13861 0x2198 0x60a928c4 @@ -3582,6 +4478,8 @@ 1 1 0 +2 +5 10174 0xd4d0 0x148d9721 @@ -3590,6 +4488,8 @@ 0 0 0 +2 +1 712 0x5a6c 0x9024739 @@ -3598,6 +4498,8 @@ 0 0 0 +5 +2 30256 0x4145 0x9ec1fb66 @@ -3606,6 +4508,8 @@ 0 0 0 +1 +5 33917 0xd83 0x6f00ad11 @@ -3614,6 +4518,8 @@ 0 0 0 +5 +0 13919 0x9879 0x5e4d7fed @@ -3622,6 +4528,8 @@ 0 0 0 +2 +5 27997 0xd606 0xb2e62ffb @@ -3630,6 +4538,8 @@ 1 1 0 +2 +1 34971 0xf134 0x60c39ccc @@ -3638,6 +4548,8 @@ 1 0 0 +4 +1 27125 0x4edf 0x1d9ce0a3 @@ -3646,6 +4558,8 @@ 1 0 0 +1 +2 30791 0xcbaf 0x6a0ca0d6 @@ -3654,6 +4568,8 @@ 1 0 0 +4 +4 24027 0xe157 0x6c3f5f0 @@ -3662,6 +4578,8 @@ 1 0 0 +3 +0 17672 0x8065 0xd6a64926 @@ -3670,6 +4588,8 @@ 1 0 0 +4 +1 30615 0xbb4e 0xee6d8f5e @@ -3678,6 +4598,8 @@ 1 1 0 +5 +0 19171 0xdd5d 0x4c205c48 @@ -3686,6 +4608,8 @@ 0 0 0 +0 +3 39655 0x66d 0xde42428 @@ -3694,6 +4618,8 @@ 0 0 0 +2 +4 20491 0xa92 0x533b13b0 @@ -3702,6 +4628,8 @@ 0 0 0 +3 +0 20470 0x58de 0x81a535a9 @@ -3710,6 +4638,8 @@ 0 0 0 +0 +2 4923 0x78f2 0x34d1f10e @@ -3718,6 +4648,8 @@ 1 1 0 +4 +2 5422 0xfed9 0x1d39f33f @@ -3726,6 +4658,8 @@ 0 0 0 +4 +2 14809 0xc5f2 0x116693e @@ -3734,6 +4668,8 @@ 1 0 0 +1 +0 16247 0x8eaf 0xb6995836 @@ -3742,6 +4678,8 @@ 1 0 0 +4 +4 24729 0x1731 0xe54b601a @@ -3750,6 +4688,8 @@ 0 0 0 +3 +1 13498 0x4f70 0xdb6dff73 @@ -3758,6 +4698,8 @@ 1 0 0 +2 +3 17327 0x9fd8 0x9bd9bc62 @@ -3766,6 +4708,8 @@ 0 0 0 +5 +2 39474 0x82d4 0xf5d8c4f2 @@ -3774,6 +4718,8 @@ 0 0 0 +2 +5 27235 0x947a 0x20eb61c5 @@ -3782,6 +4728,8 @@ 1 0 0 +2 +1 597 0xcebe 0x97d181e5 @@ -3790,6 +4738,8 @@ 0 0 0 +3 +3 11426 0x6760 0xd5ecbb6a @@ -3798,6 +4748,8 @@ 1 1 0 +1 +0 38546 0xdf4d 0x52aad766 @@ -3806,6 +4758,8 @@ 1 0 0 +2 +4 37638 0x48b6 0xf233fbf0 @@ -3814,6 +4768,8 @@ 0 0 0 +1 +1 24356 0x49d4 0xfcbdf47d @@ -3822,6 +4778,8 @@ 1 0 0 +1 +1 26244 0x25d0 0x9f95e495 @@ -3830,6 +4788,8 @@ 1 0 0 +2 +5 36892 0x9bea 0xf4e19abc @@ -3838,6 +4798,8 @@ 0 0 0 +4 +2 13526 0x6b16 0xae3eb3ea @@ -3846,6 +4808,8 @@ 0 0 0 +0 +5 36394 0x3a1f 0x32cd990b @@ -3854,6 +4818,8 @@ 0 0 0 +2 +1 4748 0x1f84 0xaf4898b7 @@ -3862,6 +4828,8 @@ 1 1 0 +4 +0 23388 0xcfa4 0x48dc1680 @@ -3870,6 +4838,8 @@ 1 1 0 +2 +1 26864 0x3bd9 0x5249f6e0 @@ -3878,6 +4848,8 @@ 1 0 0 +0 +5 2727 0x9fd 0xa801135e @@ -3886,6 +4858,8 @@ 1 1 0 +3 +2 8927 0xf433 0x4e36c7e8 @@ -3894,6 +4868,8 @@ 0 0 0 +3 +2 11857 0xe181 0x5527695e @@ -3902,6 +4878,8 @@ 1 0 0 +0 +3 23284 0xc0d6 0x7dc76f90 @@ -3910,6 +4888,8 @@ 0 0 0 +2 +2 10951 0x4d57 0x29eba2d0 @@ -3918,6 +4898,8 @@ 0 0 0 +2 +1 12185 0x4a07 0x98a22b64 @@ -3926,6 +4908,8 @@ 1 0 0 +4 +1 35693 0x5440 0x575595fe @@ -3934,6 +4918,8 @@ 0 0 0 +2 +5 24687 0xdd3d 0xf771b853 @@ -3942,6 +4928,8 @@ 1 1 0 +1 +2 26275 0x6a7 0xd1b5b868 @@ -3950,6 +4938,8 @@ 0 0 0 +0 +5 25521 0x1c2e 0x8155207b @@ -3958,6 +4948,8 @@ 1 1 0 +0 +4 9515 0xb6dc 0xc5117138 @@ -3966,6 +4958,8 @@ 0 0 0 +0 +1 19754 0xdd3f 0x173e3154 @@ -3974,6 +4968,8 @@ 1 0 0 +2 +0 39148 0x7ee9 0x73f10b8e @@ -3982,6 +4978,8 @@ 1 0 0 +0 +0 30244 0xd4b6 0xea086d19 @@ -3990,6 +4988,8 @@ 0 0 0 +4 +4 23004 0x8419 0xceeb694f diff --git a/jobs/backend/man_same_dst_simple.txt b/jobs/backend/man_same_dst_simple.txt index 2cda889f..6fb8ebfc 100644 --- a/jobs/backend/man_same_dst_simple.txt +++ b/jobs/backend/man_same_dst_simple.txt @@ -1,3 +1,5 @@ +0 +0 20 0xab627764 0xd6e77ce5 @@ -6,6 +8,8 @@ 0 0 0 +5 +0 310 0x52a6ef2c 0xd6e77d19 @@ -14,6 +18,8 @@ 1 0 0 +4 +3 594 0xc1714202 0xd6e77dce @@ -22,6 +28,8 @@ 1 0 0 +3 +1 220 0x712046f0 0xd6e77dc1 @@ -30,6 +38,8 @@ 1 1 0 +0 +2 25 0x4c7e826c 0xd6e77e2f @@ -38,6 +48,8 @@ 1 1 0 +5 +4 1012 0x3658e84 0xd6e77c56 @@ -46,6 +58,8 @@ 0 0 0 +2 +4 925 0x7a2748f5 0xd6e780b4 @@ -54,6 +68,8 @@ 1 1 0 +2 +4 512 0x52f4bf8b 0xd6e78623 @@ -62,6 +78,8 @@ 1 1 0 +3 +4 75 0xac6ac8f5 0xd6e7867f @@ -70,6 +88,8 @@ 0 0 0 +3 +0 243 0xa370c383 0xd6e7863d @@ -78,6 +98,8 @@ 1 1 0 +4 +2 515 0x9b9189f1 0xd6e7881a @@ -86,6 +108,8 @@ 0 0 0 +5 +1 237 0xa8aab7f7 0xd6e78950 @@ -94,6 +118,8 @@ 0 0 0 +3 +0 968 0xf8171827 0xd6e78800 @@ -102,6 +128,8 @@ 0 0 0 +1 +2 4 0x7e1f386d 0xd6e78ba9 @@ -110,6 +138,8 @@ 0 0 0 +3 +4 494 0x5f398235 0xd6e78c61 @@ -118,6 +148,8 @@ 0 0 0 +3 +2 902 0xc2bdf5b1 0xd6e790d7 @@ -126,6 +158,8 @@ 0 0 0 +1 +4 534 0x3cff5a28 0xd6e78ec4 @@ -134,6 +168,8 @@ 1 1 0 +3 +0 203 0x2f53dffd 0xd6e78f2f @@ -142,6 +178,8 @@ 1 1 0 +0 +2 930 0x4e2d8ad1 0xd6e78d62 @@ -150,6 +188,8 @@ 0 0 0 +4 +1 324 0x33dde290 0xd6e78cdd @@ -158,6 +198,8 @@ 0 0 0 +0 +3 756 0x454c74ca 0xd6e78a8a @@ -166,6 +208,8 @@ 1 1 0 +1 +1 306 0x2534fd0c 0xd6e78c91 @@ -174,6 +218,8 @@ 0 0 0 +3 +3 662 0x4a456932 0xd6e79016 @@ -182,6 +228,8 @@ 0 0 0 +5 +4 884 0xdfff2bc3 0xd6e79488 @@ -190,6 +238,8 @@ 1 0 0 +0 +2 184 0x6a941413 0xd6e79622 @@ -198,6 +248,8 @@ 1 0 0 +0 +5 486 0x2f078106 0xd6e7948d @@ -206,6 +258,8 @@ 0 0 0 +2 +2 814 0xf74f53d3 0xd6e79541 @@ -214,6 +268,8 @@ 1 0 0 +4 +4 669 0x50774103 0xd6e79743 @@ -222,6 +278,8 @@ 0 0 0 +5 +5 902 0x95fba959 0xd6e794ab @@ -230,6 +288,8 @@ 1 1 0 +0 +5 743 0x90694767 0xd6e795b6 @@ -238,6 +298,8 @@ 1 0 0 +5 +1 488 0x74e13c18 0xd6e798a8 @@ -246,6 +308,8 @@ 1 0 0 +5 +5 929 0x5231fbbe 0xd6e79b6e @@ -254,6 +318,8 @@ 0 0 0 +3 +5 520 0xab0e7e96 0xd6e79a1e @@ -262,6 +328,8 @@ 1 0 0 +2 +5 270 0x72462b77 0xd6e79b1a @@ -270,6 +338,8 @@ 0 0 0 +3 +2 478 0x9579ebb6 0xd6e79ad7 @@ -278,6 +348,8 @@ 0 0 0 +5 +5 649 0x994d13 0xd6e79c0e @@ -286,6 +358,8 @@ 0 0 0 +2 +4 482 0xf0257c3 0xd6e79b44 @@ -294,6 +368,8 @@ 1 1 0 +1 +4 178 0x7aa43bd 0xd6e79c91 @@ -302,6 +378,8 @@ 0 0 0 +3 +5 205 0xb0f62cc9 0xd6e79d66 @@ -310,6 +388,8 @@ 0 0 0 +2 +1 82 0x935f34b4 0xd6e79e2e @@ -318,6 +398,8 @@ 1 1 0 +3 +4 262 0x60ee88f4 0xd6e79f62 @@ -326,6 +408,8 @@ 0 0 0 +1 +3 490 0x2f0965e 0xd6e7a0c5 @@ -334,6 +418,8 @@ 0 0 0 +3 +1 93 0xc0590ab1 0xd6e7a2c4 @@ -342,6 +428,8 @@ 1 1 0 +1 +5 241 0x6f1a022f 0xd6e7a2fc @@ -350,6 +438,8 @@ 1 1 0 +3 +1 537 0x517e425a 0xd6e7a371 @@ -358,6 +448,8 @@ 0 0 0 +2 +4 769 0xfceaf94a 0xd6e7a3f0 @@ -366,6 +458,8 @@ 0 0 0 +5 +0 182 0x935b08f1 0xd6e7a78e @@ -374,6 +468,8 @@ 1 1 0 +0 +1 892 0xa15916f7 0xd6e7a788 @@ -382,6 +478,8 @@ 0 0 0 +0 +2 1014 0xda0cc69c 0xd6e7a470 @@ -390,6 +488,8 @@ 0 0 0 +3 +3 105 0x1d25c7a4 0xd6e7a426 @@ -398,6 +498,8 @@ 1 0 0 +3 +3 108 0x60251471 0xd6e7a3e8 @@ -406,6 +508,8 @@ 0 0 0 +3 +2 638 0x4c276034 0xd6e7a3ad @@ -414,6 +518,8 @@ 1 1 0 +0 +0 522 0xf7107954 0xd6e7a54e @@ -422,6 +528,8 @@ 1 1 0 +2 +1 218 0xbc3b5d59 0xd6e7a76d @@ -430,6 +538,8 @@ 0 0 0 +1 +1 208 0x682ec1d9 0xd6e7a7a3 @@ -438,6 +548,8 @@ 0 0 0 +1 +3 563 0xa7121d53 0xd6e7a70e @@ -446,6 +558,8 @@ 1 1 0 +4 +4 61 0xfb6dd258 0xd6e7a8d8 @@ -454,6 +568,8 @@ 0 0 0 +2 +4 387 0x2d36f5de 0xd6e7a96d @@ -462,6 +578,8 @@ 1 1 0 +4 +4 927 0x8389563c 0xd6e7ac1f @@ -470,6 +588,8 @@ 1 0 0 +0 +4 594 0x4a781f33 0xd6e7ac9d @@ -478,6 +598,8 @@ 0 0 0 +0 +3 29 0x1536cb24 0xd6e7ae98 @@ -486,6 +608,8 @@ 0 0 0 +4 +1 502 0x283d23bc 0xd6e7ae32 @@ -494,6 +618,8 @@ 0 0 0 +2 +2 1013 0xb65f105b 0xd6e7b37e @@ -502,6 +628,8 @@ 0 0 0 +2 +0 454 0x2ad833aa 0xd6e7b453 @@ -510,6 +638,8 @@ 1 1 0 +3 +2 428 0xe89e1092 0xd6e7b61e @@ -518,6 +648,8 @@ 0 0 0 +4 +2 980 0x139f7ff2 0xd6e7b6a1 @@ -526,6 +658,8 @@ 0 0 0 +5 +3 709 0x95013a2c 0xd6e7b408 @@ -534,6 +668,8 @@ 1 1 0 +1 +4 504 0xadc2ecb7 0xd6e7b700 @@ -542,6 +678,8 @@ 1 0 0 +3 +5 983 0x1f6209ed 0xd6e7b8b2 @@ -550,6 +688,8 @@ 1 0 0 +0 +3 167 0xee273541 0xd6e7bbec @@ -558,6 +698,8 @@ 0 0 0 +3 +3 42 0x7775e17b 0xd6e7bc15 @@ -566,6 +708,8 @@ 1 0 0 +3 +2 772 0xdc53323 0xd6e7b938 @@ -574,6 +718,8 @@ 0 0 0 +3 +0 233 0x8577e228 0xd6e7b94a @@ -582,6 +728,8 @@ 1 1 0 +3 +0 762 0xbc866c5b 0xd6e7b720 @@ -590,6 +738,8 @@ 0 0 0 +4 +1 556 0xad8a6447 0xd6e7b8ed @@ -598,6 +748,8 @@ 1 0 0 +0 +1 705 0x4ed1d0d4 0xd6e7bb62 @@ -606,6 +758,8 @@ 0 0 0 +2 +3 611 0x29ed524b 0xd6e7bd25 @@ -614,6 +768,8 @@ 1 0 0 +5 +5 446 0xbbbd8a10 0xd6e7c131 @@ -622,6 +778,8 @@ 1 1 0 +1 +5 261 0xba58b983 0xd6e7c3a9 @@ -630,6 +788,8 @@ 1 0 0 +3 +0 220 0xe630564d 0xd6e7c4a4 @@ -638,6 +798,8 @@ 1 0 0 +5 +3 507 0x253141dd 0xd6e7c3c4 @@ -646,6 +808,8 @@ 1 0 0 +4 +1 583 0x4627f662 0xd6e7c676 @@ -654,6 +818,8 @@ 0 0 0 +2 +1 1004 0x9826c2a9 0xd6e7c8ba @@ -662,6 +828,8 @@ 1 1 0 +0 +0 284 0x22fa3d00 0xd6e7cc4a @@ -670,6 +838,8 @@ 1 0 0 +4 +3 133 0x334e53c8 0xd6e7cc88 @@ -678,6 +848,8 @@ 1 1 0 +1 +0 719 0x4556387a 0xd6e7cc87 @@ -686,6 +858,8 @@ 1 0 0 +0 +3 211 0xdbe7c8fa 0xd6e7cc40 @@ -694,6 +868,8 @@ 0 0 0 +1 +0 179 0xdf534d08 0xd6e7ccdc @@ -702,6 +878,8 @@ 0 0 0 +2 +3 163 0xf939fca6 0xd6e7cc53 @@ -710,6 +888,8 @@ 0 0 0 +4 +3 678 0xc190a258 0xd6e7ca2d @@ -718,6 +898,8 @@ 1 0 0 +5 +4 189 0xdee0489e 0xd6e7cc57 @@ -726,6 +908,8 @@ 0 0 0 +3 +3 358 0x82ea8ae9 0xd6e7cbd3 @@ -734,6 +918,8 @@ 1 0 0 +3 +3 412 0xcd80ec58 0xd6e7cc07 @@ -742,6 +928,8 @@ 1 1 0 +1 +0 839 0xfd6c3082 0xd6e7d065 @@ -750,6 +938,8 @@ 0 0 0 +1 +3 117 0x11f02d0 0xd6e7d2a6 @@ -758,6 +948,8 @@ 0 0 0 +2 +1 41 0xe5434e60 0xd6e7d32e @@ -766,6 +958,8 @@ 1 0 0 +2 +1 954 0x54880a11 0xd6e7d13e @@ -774,6 +968,8 @@ 1 1 0 +3 +4 780 0x52440847 0xd6e7d4b6 @@ -782,6 +978,8 @@ 1 0 0 +5 +4 372 0xf1adf83e 0xd6e7d5f2 @@ -790,6 +988,8 @@ 0 0 0 +2 +5 505 0x2a706331 0xd6e7d8ee @@ -798,6 +998,8 @@ 1 1 0 +4 +3 761 0x95604d48 0xd6e7d8f4 @@ -806,6 +1008,8 @@ 1 1 0 +2 +4 688 0xb7749118 0xd6e7d7f9 @@ -814,6 +1018,8 @@ 1 1 0 +4 +5 522 0x3b4a94c5 0xd6e7da92 @@ -822,6 +1028,8 @@ 1 1 0 +1 +3 577 0x16b03077 0xd6e7da79 @@ -830,6 +1038,8 @@ 0 0 0 +0 +0 469 0xad83edd7 0xd6e7dbfa @@ -838,6 +1048,8 @@ 1 1 0 +4 +5 827 0xaad9df5d 0xd6e7dfaf @@ -846,6 +1058,8 @@ 0 0 0 +4 +2 613 0xf08dbe0 0xd6e7e4c1 @@ -854,6 +1068,8 @@ 1 1 0 +1 +2 631 0x7f47b73b 0xd6e7e778 @@ -862,6 +1078,8 @@ 0 0 0 +1 +5 192 0xa48be595 0xd6e7e811 @@ -870,6 +1088,8 @@ 0 0 0 +1 +5 589 0xe5937caa 0xd6e7e869 @@ -878,6 +1098,8 @@ 1 1 0 +0 +4 333 0xfce0c503 0xd6e7e7d6 @@ -886,6 +1108,8 @@ 0 0 0 +2 +1 899 0x94789006 0xd6e7e979 @@ -894,6 +1118,8 @@ 1 0 0 +2 +4 23 0x2b1d8757 0xd6e7eaee @@ -902,6 +1128,8 @@ 0 0 0 +4 +2 777 0x81c3f782 0xd6e7eb0b @@ -910,6 +1138,8 @@ 1 0 0 +1 +2 152 0xc84976f8 0xd6e7ebe4 @@ -918,6 +1148,8 @@ 0 0 0 +4 +1 465 0x8664eb6d 0xd6e7ecc1 @@ -926,6 +1158,8 @@ 1 0 0 +2 +3 243 0x4bd65c03 0xd6e7ed48 @@ -934,6 +1168,8 @@ 0 0 0 +2 +1 675 0x13f62abb 0xd6e7eec0 @@ -942,6 +1178,8 @@ 1 0 0 +4 +1 561 0x803cd90f 0xd6e7eceb @@ -950,6 +1188,8 @@ 1 1 0 +3 +4 904 0x992a1d6d 0xd6e7ee78 @@ -958,6 +1198,8 @@ 0 0 0 +5 +2 474 0x16595fcd 0xd6e7ede8 @@ -966,6 +1208,8 @@ 1 1 0 +2 +2 620 0xe5d60d1e 0xd6e7ed8a @@ -974,6 +1218,8 @@ 0 0 0 +1 +3 975 0x15b549d5 0xd6e7f34b @@ -982,6 +1228,8 @@ 1 0 0 +1 +1 1003 0x9a08d3c8 0xd6e7f74d @@ -990,6 +1238,8 @@ 1 1 0 +3 +1 102 0x58924777 0xd6e7f9c0 @@ -998,6 +1248,8 @@ 1 0 0 +1 +0 392 0xd92842db 0xd6e7fb0d @@ -1006,6 +1258,8 @@ 0 0 0 +5 +5 836 0x179d7efb 0xd6e7fb44 @@ -1014,6 +1268,8 @@ 0 0 0 +4 +1 385 0x612746ad 0xd6e7f9e6 diff --git a/jobs/backend/man_simple.txt b/jobs/backend/man_simple.txt index 889f0152..31728661 100644 --- a/jobs/backend/man_simple.txt +++ b/jobs/backend/man_simple.txt @@ -1,4 +1,6 @@ 2 +3 +2 0x0 0x3ff 256 diff --git a/jobs/backend/man_small.txt b/jobs/backend/man_small.txt index feddc5e2..d8b55e74 100644 --- a/jobs/backend/man_small.txt +++ b/jobs/backend/man_small.txt @@ -1,4 +1,6 @@ 3 +0 +3 0xd0b3 0x1010f237 256 @@ -6,6 +8,8 @@ 0 0 0 +4 +1 2 0x1e44 0x2023712 @@ -14,6 +18,8 @@ 1 1 0 +4 +2 10 0x1c7e 0xbd8ea79d @@ -22,6 +28,8 @@ 0 0 0 +0 +3 9 0xeef 0x562e6a7d @@ -30,6 +38,8 @@ 0 0 0 +0 +2 2 0x78c8 0x740e9b62 @@ -38,6 +48,8 @@ 1 1 0 +4 +3 6 0x45c0 0x49e0d2b0 @@ -46,6 +58,8 @@ 0 0 0 +5 +3 6 0x4b88 0xded31a88 @@ -54,6 +68,8 @@ 0 0 0 +5 +3 6 0x6c45 0x51a84ce1 @@ -62,6 +78,8 @@ 1 0 0 +3 +1 2 0x70a8 0x63d9d15c @@ -70,6 +88,8 @@ 1 0 0 +0 +1 4 0xc20 0xb532ca5f @@ -78,6 +98,8 @@ 1 0 0 +0 +1 5 0xc4a0 0x37503ba7 @@ -86,6 +108,8 @@ 0 0 0 +0 +0 10 0x8d97 0xd1815b49 @@ -94,6 +118,8 @@ 1 1 0 +2 +4 6 0x2922 0x51f6719f @@ -102,6 +128,8 @@ 0 0 0 +4 +4 9 0xfdfd 0xd60ca036 @@ -110,6 +138,8 @@ 1 1 0 +0 +0 9 0xe79a 0x72ac3a34 @@ -118,6 +148,8 @@ 0 0 0 +2 +1 10 0x9b87 0x2a259b89 @@ -126,6 +158,8 @@ 0 0 0 +2 +3 9 0xb128 0x420e18ed @@ -134,6 +168,8 @@ 0 0 0 +3 +5 10 0x490a 0x3bb4b797 @@ -142,6 +178,8 @@ 0 0 0 +4 +4 3 0xe33d 0xa1417a0c @@ -150,6 +188,8 @@ 0 0 0 +1 +2 5 0x9709 0x8498760 @@ -159,6 +199,8 @@ 0 0 5 +0 +5 0xaef8 0xa75ba9bd 256 @@ -166,6 +208,8 @@ 1 0 0 +4 +0 1 0x4a67 0x173a0d22 @@ -174,6 +218,8 @@ 0 0 0 +4 +5 6 0xf7e9 0x5d6040a6 @@ -182,6 +228,8 @@ 1 0 0 +2 +2 9 0x5d24 0x42c62c4e @@ -190,6 +238,8 @@ 1 0 0 +2 +0 4 0x5b28 0xa55ecd6b @@ -198,6 +248,8 @@ 0 0 0 +4 +5 7 0x293d 0x92be647 @@ -206,6 +258,8 @@ 1 1 0 +0 +0 9 0xd53c 0xd4741618 @@ -214,6 +268,8 @@ 1 0 0 +3 +0 10 0xabd0 0x86f3e55e @@ -222,6 +278,8 @@ 1 0 0 +5 +1 1 0x2eb1 0xf9e5b5da @@ -230,6 +288,8 @@ 1 0 0 +1 +5 9 0xb82e 0x993df6d3 @@ -238,6 +298,8 @@ 0 0 0 +4 +5 10 0x8605 0xefa04d19 @@ -246,6 +308,8 @@ 1 1 0 +0 +5 10 0xfbc4 0x50f2c447 @@ -254,6 +318,8 @@ 0 0 0 +3 +2 1 0x5fe3 0xb6c0a5ea @@ -262,6 +328,8 @@ 0 0 0 +2 +1 10 0xe28c 0x43024247 @@ -270,6 +338,8 @@ 0 0 0 +5 +1 3 0x9426 0x27a4aefb @@ -278,6 +348,8 @@ 0 0 0 +3 +2 2 0xc69b 0x1c333457 @@ -286,6 +358,8 @@ 1 0 0 +0 +2 2 0x83b6 0xa8368ff7 @@ -294,6 +368,8 @@ 1 1 0 +5 +3 4 0xce18 0xc9299859 @@ -302,6 +378,8 @@ 0 0 0 +4 +1 6 0x86d1 0xd4cb18e @@ -310,6 +388,8 @@ 0 0 0 +4 +5 2 0xbd53 0x79e02b84 @@ -318,6 +398,8 @@ 0 0 0 +1 +3 3 0xb818 0x27140f57 @@ -327,6 +409,8 @@ 0 0 4 +0 +4 0x3781 0xc296db1b 256 @@ -334,6 +418,8 @@ 1 1 0 +0 +1 9 0xe396 0x95e316d6 @@ -342,6 +428,8 @@ 0 0 0 +0 +5 2 0x74d5 0x91aeb11a @@ -350,6 +438,8 @@ 0 0 0 +1 +3 2 0xd684 0x37034678 @@ -358,6 +448,8 @@ 0 0 0 +5 +3 8 0x2194 0xcccf8fdd @@ -366,6 +458,8 @@ 1 1 0 +2 +1 10 0x3073 0xdcb56e42 @@ -374,6 +468,8 @@ 1 0 0 +0 +1 8 0xc429 0xe4f855e0 @@ -383,6 +479,8 @@ 0 0 5 +1 +5 0x6f0e 0x295d5c31 256 @@ -390,6 +488,8 @@ 1 0 0 +5 +3 8 0xd50f 0x215b8fe8 @@ -398,6 +498,8 @@ 1 1 0 +4 +0 1 0x1e03 0x71a2df1e @@ -406,6 +508,8 @@ 0 0 0 +2 +0 3 0x3a6d 0x32fb385c @@ -414,6 +518,8 @@ 1 1 0 +2 +4 5 0xa3c1 0xeabc3f56 @@ -422,6 +528,8 @@ 0 0 0 +4 +2 7 0xbd98 0xd131f97b @@ -430,6 +538,8 @@ 0 0 0 +1 +4 5 0xd867 0x6fa2c4a5 @@ -438,6 +548,8 @@ 0 0 0 +1 +0 4 0x7962 0x3afbf760 @@ -446,6 +558,8 @@ 1 0 0 +4 +1 7 0x87af 0xa30404ee @@ -454,6 +568,8 @@ 0 0 0 +3 +1 4 0x7e8c 0x7616617 @@ -462,6 +578,8 @@ 0 0 0 +2 +2 6 0x7a53 0xd0844f1e @@ -470,6 +588,8 @@ 0 0 0 +3 +5 8 0x3816 0xc2d2d277 @@ -478,6 +598,8 @@ 1 0 0 +2 +1 9 0x41b6 0x2f353481 @@ -486,6 +608,8 @@ 1 0 0 +3 +2 5 0xa29d 0x338b0197 @@ -494,6 +618,8 @@ 1 0 0 +3 +5 4 0x9c8d 0x67c8ee48 @@ -502,6 +628,8 @@ 0 0 0 +5 +4 2 0x5206 0x26516ae9 @@ -510,6 +638,8 @@ 0 0 0 +3 +0 1 0xe75d 0x298508d2 @@ -518,6 +648,8 @@ 1 0 0 +4 +0 10 0x4256 0xaf654d27 @@ -526,6 +658,8 @@ 1 0 0 +1 +3 9 0x64cf 0xae57830f @@ -534,6 +668,8 @@ 1 0 0 +4 +1 2 0xc3de 0xfc460066 @@ -542,6 +678,8 @@ 1 1 0 +5 +5 1 0xc5a8 0xe1b928b9 @@ -550,6 +688,8 @@ 1 1 0 +0 +4 10 0xccd5 0xca185e8f @@ -558,6 +698,8 @@ 1 0 0 +0 +1 6 0xf3f4 0x9abd190f @@ -566,6 +708,8 @@ 1 0 0 +3 +2 2 0xcb73 0x5d7a3c11 @@ -574,6 +718,8 @@ 1 0 0 +4 +4 2 0xebb9 0xbc661429 @@ -582,6 +728,8 @@ 0 0 0 +2 +0 9 0xe9de 0x70fda6c7 @@ -590,6 +738,8 @@ 0 0 0 +3 +1 1 0xca8b 0xe4857bd5 @@ -598,6 +748,8 @@ 0 0 0 +3 +5 2 0xaba4 0x9f884607 @@ -606,6 +758,8 @@ 1 1 0 +1 +4 4 0x5b90 0x6f544cad @@ -614,6 +768,8 @@ 1 1 0 +1 +0 7 0x15a0 0xc02cabab @@ -622,6 +778,8 @@ 1 0 0 +1 +3 5 0x815f 0x31b033da @@ -630,6 +788,8 @@ 1 1 0 +5 +2 4 0xc89b 0x822f51e @@ -638,6 +798,8 @@ 0 0 0 +3 +4 6 0xe716 0xc89be566 @@ -646,6 +808,8 @@ 0 0 0 +4 +4 10 0xf3a3 0x58ecb34c @@ -654,6 +818,8 @@ 1 1 0 +3 +4 9 0xdff4 0x8c1f6279 @@ -662,6 +828,8 @@ 0 0 0 +1 +4 3 0xf690 0xc4636f30 @@ -670,6 +838,8 @@ 1 1 0 +5 +1 10 0x3f0a 0x10268a75 @@ -678,6 +848,8 @@ 0 0 0 +0 +2 2 0xeaa4 0x2a7e4884 @@ -686,6 +858,8 @@ 0 0 0 +0 +1 4 0xa0e8 0x3db5f771 @@ -694,6 +868,8 @@ 0 0 0 +3 +2 1 0x59f7 0x866e6725 @@ -702,6 +878,8 @@ 0 0 0 +0 +1 1 0x288f 0x702c275d @@ -710,6 +888,8 @@ 1 1 0 +4 +4 8 0xadfa 0xb7700843 @@ -718,6 +898,8 @@ 0 0 0 +2 +3 6 0xedb2 0xe40e6911 @@ -726,6 +908,8 @@ 1 0 0 +1 +1 8 0x45fc 0x4111c0f5 @@ -734,6 +918,8 @@ 0 0 0 +4 +2 9 0x31a4 0x71851789 @@ -742,6 +928,8 @@ 0 0 0 +4 +5 8 0xfa34 0x4e97b7c8 @@ -750,6 +938,8 @@ 0 0 0 +0 +3 6 0xf6f5 0x90ec9a11 @@ -758,6 +948,8 @@ 1 1 0 +2 +3 9 0x737 0x29ab0d7c @@ -766,6 +958,8 @@ 0 0 0 +5 +4 6 0x8b4 0xb3977e67 @@ -774,6 +968,8 @@ 0 0 0 +0 +2 1 0xbb3 0xcc46594a @@ -782,6 +978,8 @@ 0 0 0 +2 +1 9 0x894e 0xb9372bb2 @@ -790,6 +988,8 @@ 1 0 0 +3 +0 6 0xca25 0x44aecb20 @@ -799,6 +999,8 @@ 0 0 3 +4 +3 0x6450 0xb05f8e34 256 @@ -807,6 +1009,8 @@ 1 0 2 +3 +2 0xe5d 0x5d38fe54 256 @@ -814,6 +1018,8 @@ 1 0 0 +2 +5 3 0xa7e1 0x5289e902 @@ -822,6 +1028,8 @@ 1 0 0 +3 +1 7 0xb421 0xe7872e2a @@ -830,6 +1038,8 @@ 0 0 0 +2 +3 6 0x6fd0 0x92cf8980 @@ -838,6 +1048,8 @@ 0 0 0 +2 +3 5 0x8c6a 0x30bf3b16 @@ -846,6 +1058,8 @@ 0 0 0 +0 +2 1 0x6e0d 0x6d55ec36 @@ -854,6 +1068,8 @@ 0 0 0 +3 +4 2 0x57b4 0x55fa7a07 @@ -862,6 +1078,8 @@ 0 0 0 +4 +3 10 0x64fc 0x25065be4 @@ -870,6 +1088,8 @@ 0 0 0 +0 +3 5 0x61be 0x33f2f5ba @@ -878,6 +1098,8 @@ 0 0 0 +5 +4 1 0xf8b1 0x5564cf64 @@ -886,6 +1108,8 @@ 0 0 0 +2 +2 4 0x994f 0x75f2a942 @@ -894,6 +1118,8 @@ 1 1 0 +2 +1 6 0xc09d 0x1f99d06a @@ -902,6 +1128,8 @@ 0 0 0 +1 +2 10 0xf186 0x9b3c641c @@ -910,6 +1138,8 @@ 1 1 0 +3 +0 6 0xf772 0x21dc6946 @@ -918,6 +1148,8 @@ 0 0 0 +3 +1 6 0xaf50 0xa292f1b8 @@ -926,6 +1158,8 @@ 1 1 0 +1 +3 8 0x98ad 0xfeae0189 @@ -934,6 +1168,8 @@ 0 0 0 +1 +4 5 0x9785 0xa199248c @@ -942,6 +1178,8 @@ 1 1 0 +3 +3 8 0x494e 0xbca3a709 @@ -950,6 +1188,8 @@ 0 0 0 +5 +5 7 0x4e5d 0x21c7c019 @@ -958,6 +1198,8 @@ 0 0 0 +5 +1 8 0x960a 0x94705a99 @@ -966,6 +1208,8 @@ 0 0 0 +2 +5 6 0xd504 0xe1807b0b @@ -974,6 +1218,8 @@ 0 0 0 +2 +1 7 0x3744 0x7fe2d659 @@ -982,6 +1228,8 @@ 0 0 0 +4 +5 3 0x1ec 0x28e90594 @@ -990,6 +1238,8 @@ 1 1 0 +3 +4 4 0xb237 0xa823e22d @@ -998,6 +1248,8 @@ 0 0 0 +0 +2 4 0x2f16 0xac933e67 @@ -1007,6 +1259,8 @@ 0 0 5 +4 +5 0x1a19 0x67aea598 256 @@ -1014,6 +1268,8 @@ 1 1 0 +5 +2 4 0x4c6e 0x61bd2fc1 @@ -1023,6 +1279,8 @@ 0 0 1 +2 +1 0x41c0 0x88b6df3 256 @@ -1030,6 +1288,8 @@ 1 1 0 +5 +5 9 0x16ea 0xb694b1fa @@ -1038,6 +1298,8 @@ 1 0 0 +5 +2 2 0x3083 0x64d56b9a @@ -1046,6 +1308,8 @@ 1 0 0 +4 +4 5 0xc758 0xbab5a61f @@ -1054,6 +1318,8 @@ 0 0 0 +3 +0 1 0x5b18 0x5bd2a466 @@ -1062,6 +1328,8 @@ 0 0 0 +3 +3 4 0xed61 0xd2de3d58 @@ -1070,6 +1338,8 @@ 0 0 0 +3 +4 8 0xf077 0xb81a3475 @@ -1078,6 +1348,8 @@ 0 0 0 +1 +2 10 0x5c5d 0x6158c56 @@ -1086,6 +1358,8 @@ 1 0 0 +0 +2 5 0x9617 0x33614cf1 @@ -1094,6 +1368,8 @@ 0 0 0 +3 +2 1 0x2e76 0xdec3f080 @@ -1102,6 +1378,8 @@ 1 0 0 +3 +3 10 0x411b 0xe0ea9e7a @@ -1111,6 +1389,8 @@ 1 0 4 +0 +4 0x19 0x35f3641f 256 @@ -1118,6 +1398,8 @@ 1 0 0 +4 +5 3 0x83e8 0xc75a08cb @@ -1126,6 +1408,8 @@ 1 0 0 +0 +3 8 0x8f94 0xfe1ec734 @@ -1135,6 +1419,8 @@ 0 0 5 +4 +5 0x3efd 0x773a37e5 256 @@ -1143,6 +1429,8 @@ 0 0 5 +4 +5 0xb76d 0xe69224b0 256 @@ -1150,6 +1438,8 @@ 1 0 0 +4 +4 7 0x1ed6 0xcf1a07a9 @@ -1158,6 +1448,8 @@ 0 0 0 +2 +3 7 0xf10 0xc96bd762 @@ -1166,6 +1458,8 @@ 1 1 0 +1 +4 3 0x962 0x4c5ad6be @@ -1174,6 +1468,8 @@ 0 0 0 +0 +5 6 0xc4d4 0xa9a193e5 @@ -1182,6 +1478,8 @@ 0 0 0 +3 +4 10 0x2c12 0x605b199a @@ -1190,6 +1488,8 @@ 0 0 0 +2 +2 6 0x4ebe 0x5e8ed451 @@ -1199,6 +1499,8 @@ 0 0 1 +5 +1 0xc8f2 0x3baf6d60 256 @@ -1206,6 +1508,8 @@ 0 0 0 +0 +5 10 0x5ba7 0x72964c74 @@ -1214,6 +1518,8 @@ 0 0 0 +3 +0 10 0x1fd0 0xb150f759 @@ -1222,6 +1528,8 @@ 0 0 0 +1 +1 5 0xdc7d 0x2ec56bff @@ -1230,6 +1538,8 @@ 1 1 0 +3 +1 9 0x2205 0x3e32825a @@ -1239,6 +1549,8 @@ 1 0 5 +1 +5 0xeb82 0x22db3330 256 @@ -1246,6 +1558,8 @@ 0 0 0 +2 +4 8 0x56d1 0x1ab67b21 @@ -1254,6 +1568,8 @@ 1 0 0 +2 +1 7 0x6a5e 0x592342ac @@ -1262,6 +1578,8 @@ 1 0 0 +4 +4 6 0xc6ee 0x1dab5844 @@ -1270,6 +1588,8 @@ 1 1 0 +2 +1 1 0x1e0b 0x8d4dbed0 @@ -1278,6 +1598,8 @@ 1 1 0 +3 +5 2 0x9a6 0xd31abb73 @@ -1286,6 +1608,8 @@ 1 0 0 +4 +0 7 0xea73 0xaef3744f @@ -1294,6 +1618,8 @@ 1 0 0 +5 +0 4 0xc2a1 0xc3fb11db @@ -1302,6 +1628,8 @@ 0 0 0 +2 +2 3 0x7f01 0xd0dae765 @@ -1310,6 +1638,8 @@ 0 0 0 +0 +5 7 0x4230 0x8cf840e4 @@ -1318,6 +1648,8 @@ 0 0 0 +4 +5 9 0xedaa 0x69503082 @@ -1326,6 +1658,8 @@ 1 0 0 +4 +3 2 0xb325 0x9b4f2c1d @@ -1334,6 +1668,8 @@ 1 1 0 +1 +4 3 0x7571 0xe942ccf6 @@ -1342,6 +1678,8 @@ 1 1 0 +3 +5 2 0x2f88 0xa786bfba @@ -1350,6 +1688,8 @@ 0 0 0 +2 +3 1 0x5b5d 0xd0a5c73e @@ -1358,6 +1698,8 @@ 0 0 0 +4 +4 9 0x70c5 0x2b163996 @@ -1366,6 +1708,8 @@ 0 0 0 +3 +1 4 0x6080 0x6ad0e86 @@ -1374,6 +1718,8 @@ 0 0 0 +1 +1 9 0x7296 0x1f2c3e5a @@ -1382,6 +1728,8 @@ 1 1 0 +1 +5 4 0x2607 0x4656f6 @@ -1390,6 +1738,8 @@ 1 0 0 +2 +5 3 0xc21f 0xce317509 @@ -1398,6 +1748,8 @@ 0 0 0 +0 +5 4 0x990 0xef840165 @@ -1406,6 +1758,8 @@ 1 0 0 +1 +5 5 0x676c 0x70dff824 @@ -1414,6 +1768,8 @@ 0 0 0 +5 +3 10 0x3fbf 0x5368d88b @@ -1422,6 +1778,8 @@ 0 0 0 +5 +5 6 0xc45f 0xa83b9ccd @@ -1430,6 +1788,8 @@ 1 1 0 +1 +3 8 0x4ad0 0x17a6a86c @@ -1438,6 +1798,8 @@ 0 0 0 +2 +2 7 0x29ec 0x152d37c7 @@ -1446,6 +1808,8 @@ 1 0 0 +2 +4 3 0x39e8 0x169f428 @@ -1454,6 +1818,8 @@ 0 0 0 +2 +5 3 0x1bf 0x24c63bed @@ -1462,6 +1828,8 @@ 1 0 0 +1 +3 3 0x951f 0x7911c2c5 @@ -1470,6 +1838,8 @@ 0 0 0 +5 +1 1 0xc6e 0x9ceb5b99 @@ -1478,6 +1848,8 @@ 1 1 0 +1 +0 5 0xa7f0 0x31686190 @@ -1486,6 +1858,8 @@ 1 1 0 +5 +4 3 0xcd35 0x51136dcd @@ -1494,6 +1868,8 @@ 0 0 0 +5 +3 1 0x5a03 0x3ea68ac4 @@ -1502,6 +1878,8 @@ 0 0 0 +0 +1 7 0x9114 0xc6b38fed @@ -1510,6 +1888,8 @@ 1 1 0 +3 +2 7 0x272c 0x5cb9c3e2 @@ -1518,6 +1898,8 @@ 0 0 0 +4 +0 3 0xd8ac 0xfbad2496 @@ -1526,6 +1908,8 @@ 0 0 0 +5 +3 8 0x5d83 0x48135da9 @@ -1534,6 +1918,8 @@ 1 1 0 +4 +1 7 0x317a 0x837347c8 @@ -1543,6 +1929,8 @@ 1 0 5 +5 +5 0x4458 0x422d9c3f 256 @@ -1550,6 +1938,8 @@ 0 0 0 +1 +0 4 0x5af6 0x995910d9 @@ -1558,6 +1948,8 @@ 1 1 0 +0 +3 1 0x9011 0xe89bce83 @@ -1566,6 +1958,8 @@ 1 0 0 +0 +0 2 0x97e7 0xfaf2a116 @@ -1574,6 +1968,8 @@ 0 0 0 +3 +0 6 0x697e 0x30a9623f @@ -1582,6 +1978,8 @@ 1 0 0 +0 +5 4 0xa172 0x4bbb9806 @@ -1590,6 +1988,8 @@ 1 0 0 +1 +2 3 0x7a17 0x3cd877b0 @@ -1598,6 +1998,8 @@ 0 0 0 +0 +0 6 0xc2f9 0x39906955 @@ -1606,6 +2008,8 @@ 1 0 0 +2 +1 8 0x65fe 0x673fb759 @@ -1614,6 +2018,8 @@ 0 0 0 +0 +4 7 0xc7c1 0xe52b45cb @@ -1622,6 +2028,8 @@ 1 0 0 +5 +1 8 0x4d66 0x43a5a00f @@ -1630,6 +2038,8 @@ 1 1 0 +1 +5 4 0x5c04 0x1adfbf8f @@ -1638,6 +2048,8 @@ 0 0 0 +2 +1 10 0x7127 0xe666a549 @@ -1647,6 +2059,8 @@ 0 0 4 +1 +4 0x42dd 0x941a9324 256 @@ -1654,6 +2068,8 @@ 0 0 0 +4 +0 8 0xf705 0x70e8b0ff @@ -1662,6 +2078,8 @@ 0 0 0 +2 +1 1 0xc70d 0x4af876c4 @@ -1670,6 +2088,8 @@ 0 0 0 +2 +3 7 0x8c92 0xac055237 @@ -1678,6 +2098,8 @@ 1 1 0 +5 +5 3 0x83e0 0xc4a4d510 @@ -1686,6 +2108,8 @@ 0 0 0 +1 +1 2 0xb782 0xa9860f52 @@ -1694,6 +2118,8 @@ 0 0 0 +0 +4 4 0xf4a1 0x3cae0dc7 @@ -1702,6 +2128,8 @@ 1 1 0 +3 +2 2 0x4730 0xd37ac011 @@ -1711,6 +2139,8 @@ 0 0 2 +4 +2 0x84fa 0x45a62b83 256 @@ -1718,6 +2148,8 @@ 0 0 0 +0 +2 9 0x601e 0x9e4cc7c1 @@ -1726,6 +2158,8 @@ 1 1 0 +5 +0 6 0x74f7 0xd28a9456 @@ -1734,6 +2168,8 @@ 1 0 0 +5 +5 4 0x7e8a 0x2404b6a5 @@ -1742,6 +2178,8 @@ 0 0 0 +3 +3 4 0xc12 0x9ade9d57 @@ -1751,6 +2189,8 @@ 0 0 4 +0 +4 0xb541 0xf8887280 256 @@ -1758,6 +2198,8 @@ 0 0 0 +0 +3 3 0x8bb4 0xb1badb13 @@ -1767,6 +2209,8 @@ 0 0 5 +2 +5 0xe223 0x7b741a4b 256 @@ -1774,6 +2218,8 @@ 1 1 0 +3 +0 2 0x45d4 0x8b640ba @@ -1782,6 +2228,8 @@ 1 1 0 +5 +2 4 0xed97 0x7bc632df @@ -1790,6 +2238,8 @@ 0 0 0 +4 +1 1 0x41ff 0x6c0079a2 @@ -1798,6 +2248,8 @@ 1 0 0 +5 +3 2 0xb464 0x8c1fc8a0 @@ -1806,6 +2258,8 @@ 0 0 0 +0 +5 5 0x4979 0x27047277 @@ -1814,6 +2268,8 @@ 1 0 0 +3 +0 8 0x2db0 0x5e6cc9d2 @@ -1822,6 +2278,8 @@ 1 1 0 +5 +5 4 0xe8f 0xff7aed51 @@ -1830,6 +2288,8 @@ 0 0 0 +4 +5 10 0x1f51 0xfc0010f8 @@ -1838,6 +2298,8 @@ 0 0 0 +3 +1 6 0x1f73 0xf180ffaa @@ -1846,6 +2308,8 @@ 0 0 0 +3 +0 1 0x2063 0x707cb671 @@ -1854,6 +2318,8 @@ 0 0 0 +0 +2 1 0xd74b 0x1e9003f9 @@ -1863,6 +2329,8 @@ 0 0 2 +5 +2 0x3f84 0x41570c93 256 @@ -1870,6 +2338,8 @@ 1 0 0 +4 +5 10 0x8295 0x4299ce9d @@ -1878,6 +2348,8 @@ 0 0 0 +1 +0 6 0xaa83 0x829d0038 @@ -1886,6 +2358,8 @@ 0 0 0 +1 +3 7 0xb130 0xd56cbc @@ -1894,6 +2368,8 @@ 0 0 0 +1 +5 6 0x425d 0x3034fa45 @@ -1902,6 +2378,8 @@ 0 0 0 +0 +4 7 0x6eb9 0xaa95b586 @@ -1910,6 +2388,8 @@ 0 0 0 +4 +0 6 0x444e 0x3647a42f @@ -1918,6 +2398,8 @@ 1 1 0 +0 +5 2 0x6855 0x2129f4b5 @@ -1926,6 +2408,8 @@ 1 0 0 +0 +2 5 0xb896 0x11bc6c4c @@ -1934,6 +2418,8 @@ 1 1 0 +2 +3 4 0x1f9f 0xb2e85125 @@ -1942,6 +2428,8 @@ 0 0 0 +0 +1 7 0x2dd4 0x76668e3d @@ -1950,6 +2438,8 @@ 1 0 0 +0 +1 2 0xd5a6 0x791ee5bd @@ -1958,6 +2448,8 @@ 1 1 0 +5 +2 9 0x1242 0xa6fba5d @@ -1966,6 +2458,8 @@ 1 0 0 +0 +2 8 0x92f8 0x845cc23a @@ -1974,6 +2468,8 @@ 0 0 0 +4 +3 9 0xa246 0x68f8ca4c @@ -1982,6 +2478,8 @@ 0 0 0 +1 +3 7 0x8e00 0x4aab74cb @@ -1990,6 +2488,8 @@ 1 0 0 +2 +5 7 0x860b 0x825e3411 @@ -1998,6 +2498,8 @@ 1 0 0 +0 +0 10 0x88d7 0x3652a1fe @@ -2006,6 +2508,8 @@ 1 1 0 +1 +1 2 0x6237 0x6399baa0 @@ -2015,6 +2519,8 @@ 1 0 2 +3 +2 0x4993 0x7c493f8c 256 @@ -2022,6 +2528,8 @@ 1 0 0 +1 +0 6 0x5e37 0xb80421bf @@ -2030,6 +2538,8 @@ 0 0 0 +5 +0 7 0xfbd8 0x77aec49a @@ -2038,6 +2548,8 @@ 1 0 0 +2 +4 1 0x72b2 0x52ca26fa @@ -2046,6 +2558,8 @@ 1 0 0 +4 +2 2 0x1ff7 0xcca66b36 @@ -2054,6 +2568,8 @@ 1 0 0 +2 +0 5 0x2428 0x8a46c8d @@ -2062,6 +2578,8 @@ 1 0 0 +4 +1 10 0x27a3 0x20af9253 @@ -2070,6 +2588,8 @@ 0 0 0 +5 +4 4 0xeede 0xde6ef605 @@ -2078,6 +2598,8 @@ 1 1 0 +4 +5 2 0x7351 0xa248cbec @@ -2086,6 +2608,8 @@ 1 0 0 +5 +1 6 0xa60c 0xcfe9b6eb @@ -2094,6 +2618,8 @@ 0 0 0 +0 +1 5 0x104d 0x44c676b5 @@ -2103,6 +2629,8 @@ 0 0 3 +3 +3 0x4a3a 0x89cb453b 256 @@ -2110,6 +2638,8 @@ 1 0 0 +0 +3 9 0xb5a7 0xac629b4d @@ -2118,6 +2648,8 @@ 0 0 0 +2 +5 4 0x3f51 0xadc43e1d @@ -2126,6 +2658,8 @@ 0 0 0 +0 +2 4 0xd8b8 0xabe867b7 @@ -2135,6 +2669,8 @@ 0 0 4 +5 +4 0x1f48 0x6dbcf304 256 @@ -2142,6 +2678,8 @@ 0 0 0 +5 +2 3 0x9e5e 0x8643ac6b @@ -2150,6 +2688,8 @@ 0 0 0 +3 +5 4 0x1a90 0x52892083 @@ -2158,6 +2698,8 @@ 1 0 0 +3 +5 6 0xb5 0xb471cab1 @@ -2166,6 +2708,8 @@ 0 0 0 +4 +0 8 0x24ea 0x7bf875 @@ -2175,6 +2719,8 @@ 0 0 2 +1 +2 0xefc3 0xd60ad197 256 @@ -2182,6 +2728,8 @@ 0 0 0 +1 +5 5 0x2ccf 0x2bd95fa4 @@ -2190,6 +2738,8 @@ 1 0 0 +5 +0 1 0xcec5 0x56cd3e1e @@ -2198,6 +2748,8 @@ 0 0 0 +0 +3 6 0xaae4 0xc57695e1 @@ -2206,6 +2758,8 @@ 1 1 0 +1 +2 10 0x8737 0xa660386c @@ -2214,6 +2768,8 @@ 0 0 0 +3 +1 4 0xae2a 0x29e74e2b @@ -2222,6 +2778,8 @@ 0 0 0 +5 +1 10 0x3183 0x3876a90d @@ -2230,6 +2788,8 @@ 1 1 0 +0 +5 9 0x59ca 0x7c566680 @@ -2238,6 +2798,8 @@ 1 0 0 +3 +0 8 0x6aa4 0xfb103a3a @@ -2246,6 +2808,8 @@ 1 0 0 +4 +3 10 0x1d2 0x25a8293a @@ -2254,6 +2818,8 @@ 1 0 0 +1 +3 2 0x26 0x47d2189f @@ -2262,6 +2828,8 @@ 1 0 0 +2 +2 4 0x5088 0x8e4a53da @@ -2270,6 +2838,8 @@ 1 1 0 +1 +4 10 0xf31a 0x4192d46c @@ -2278,6 +2848,8 @@ 1 0 0 +2 +5 9 0xea76 0x615eb6a5 @@ -2286,6 +2858,8 @@ 1 1 0 +5 +5 9 0xa97f 0xc4f78dc6 @@ -2294,6 +2868,8 @@ 0 0 0 +2 +5 1 0xe458 0xd7abdd2 @@ -2302,6 +2878,8 @@ 0 0 0 +5 +1 2 0xca26 0x4b61cf5 @@ -2310,6 +2888,8 @@ 0 0 0 +1 +2 5 0xd1c8 0xb6dc1f95 @@ -2318,6 +2898,8 @@ 1 1 0 +4 +3 7 0x3bba 0x289b4135 @@ -2327,6 +2909,8 @@ 0 0 3 +3 +3 0xdb50 0xa97b4335 256 @@ -2334,6 +2918,8 @@ 1 1 0 +1 +0 5 0x6060 0xaa39783e @@ -2342,6 +2928,8 @@ 0 0 0 +2 +5 3 0x2fbe 0x78e0e754 @@ -2350,6 +2938,8 @@ 1 0 0 +0 +0 1 0x4e43 0x34d830af @@ -2358,6 +2948,8 @@ 1 0 0 +5 +4 4 0x8d9e 0xd090fe1c @@ -2366,6 +2958,8 @@ 0 0 0 +2 +2 4 0x152 0xbed62c23 @@ -2374,6 +2968,8 @@ 1 1 0 +2 +4 4 0x4908 0x139ce56d @@ -2382,6 +2978,8 @@ 0 0 0 +0 +0 9 0x65e 0xb7ea8b38 @@ -2390,6 +2988,8 @@ 1 0 0 +1 +5 3 0x8145 0xb957e0c4 @@ -2398,6 +2998,8 @@ 1 1 0 +5 +2 1 0xb416 0xf1f49a3 @@ -2406,6 +3008,8 @@ 0 0 0 +4 +0 6 0xbfb2 0x7abe6e5c @@ -2414,6 +3018,8 @@ 1 1 0 +1 +1 8 0xd5b8 0x6bb6ab27 @@ -2422,6 +3028,8 @@ 1 0 0 +1 +2 5 0x19a9 0x734d694 @@ -2430,6 +3038,8 @@ 0 0 0 +4 +1 3 0x5089 0x1ddb04ba @@ -2438,6 +3048,8 @@ 0 0 0 +5 +1 9 0x969c 0x3031ccd2 @@ -2446,6 +3058,8 @@ 0 0 0 +0 +4 7 0x57eb 0xe5843ee0 @@ -2454,6 +3068,8 @@ 1 1 0 +1 +2 9 0xcadd 0x28bda5e8 @@ -2462,6 +3078,8 @@ 1 0 0 +4 +2 5 0xdbb1 0xc7f16409 @@ -2470,6 +3088,8 @@ 1 0 0 +0 +0 10 0x971b 0x6791af2b @@ -2478,6 +3098,8 @@ 0 0 0 +3 +2 2 0x4ffc 0xc28e6 @@ -2486,6 +3108,8 @@ 0 0 0 +5 +2 1 0x65d7 0xaeaf0829 @@ -2494,6 +3118,8 @@ 0 0 0 +4 +2 8 0x5dd9 0x413d38a4 @@ -2502,6 +3128,8 @@ 1 0 0 +2 +3 7 0xcc06 0xb04c232b @@ -2510,6 +3138,8 @@ 0 0 0 +5 +4 8 0xf9a7 0x647e48a2 @@ -2518,6 +3148,8 @@ 1 1 0 +1 +5 9 0x261c 0xbd927026 @@ -2526,6 +3158,8 @@ 0 0 0 +0 +2 2 0x9d60 0xdd67d894 @@ -2534,6 +3168,8 @@ 0 0 0 +1 +3 7 0xfa9b 0x89c93cc4 @@ -2542,6 +3178,8 @@ 1 1 0 +2 +3 3 0x36b6 0xb63cee98 @@ -2550,6 +3188,8 @@ 1 0 0 +4 +4 10 0x1141 0x8027bde2 @@ -2558,6 +3198,8 @@ 1 0 0 +5 +3 7 0x8dd1 0xcb20ac67 @@ -2566,6 +3208,8 @@ 1 0 0 +5 +0 7 0x36b4 0xc0e50e9b @@ -2574,6 +3218,8 @@ 0 0 0 +5 +5 9 0x5a5c 0xb5489d74 @@ -2582,6 +3228,8 @@ 1 0 0 +3 +4 2 0x2665 0xeeb0f5ec @@ -2590,6 +3238,8 @@ 1 1 0 +3 +4 5 0xae22 0x2a66373 @@ -2598,6 +3248,8 @@ 0 0 0 +1 +0 2 0xf36a 0xcde33c90 @@ -2606,6 +3258,8 @@ 0 0 0 +5 +4 6 0xadca 0x1749c08a @@ -2615,6 +3269,8 @@ 0 0 5 +3 +5 0x3adc 0xc98d33fd 256 @@ -2622,6 +3278,8 @@ 0 0 0 +1 +1 4 0xdf57 0x9ce06cea @@ -2630,6 +3288,8 @@ 1 1 0 +4 +5 3 0x9b40 0x32f9ae1f @@ -2638,6 +3298,8 @@ 1 1 0 +5 +2 3 0xf73d 0x343b9cb5 @@ -2646,6 +3308,8 @@ 1 0 0 +3 +5 6 0xcf26 0x31c32541 @@ -2654,6 +3318,8 @@ 0 0 0 +3 +5 6 0x5a65 0xa02bb5f7 @@ -2662,6 +3328,8 @@ 1 0 0 +2 +1 4 0x9f47 0xfcdffcad @@ -2670,6 +3338,8 @@ 0 0 0 +1 +0 4 0xb456 0x8126b11a @@ -2679,6 +3349,8 @@ 0 0 3 +5 +3 0x9c20 0x5ebd34de 256 @@ -2686,6 +3358,8 @@ 0 0 0 +3 +3 5 0x45a8 0x5bd05cf3 @@ -2694,6 +3368,8 @@ 0 0 0 +0 +4 4 0x5971 0x95bcdd46 @@ -2702,6 +3378,8 @@ 1 0 0 +5 +5 2 0xe181 0xe415b069 @@ -2711,6 +3389,8 @@ 0 0 2 +1 +2 0xcc67 0xbe5b20a7 256 @@ -2718,6 +3398,8 @@ 1 0 0 +5 +3 6 0x1674 0x57e8b7db @@ -2726,6 +3408,8 @@ 1 0 0 +3 +1 1 0xdd9c 0x716b9435 @@ -2734,6 +3418,8 @@ 0 0 0 +4 +4 5 0xcdd9 0xcf7b7dd1 @@ -2742,6 +3428,8 @@ 1 1 0 +3 +2 1 0x8cc4 0x4f8d2bcd @@ -2750,6 +3438,8 @@ 0 0 0 +0 +1 6 0xcc0a 0x2fe6260a @@ -2758,6 +3448,8 @@ 0 0 0 +0 +5 8 0xc677 0xf3bc3e1c @@ -2767,6 +3459,8 @@ 1 0 4 +1 +4 0x837e 0x6d4f672 256 @@ -2775,6 +3469,8 @@ 0 0 5 +4 +5 0xf6ea 0xc8d0949e 256 @@ -2783,6 +3479,8 @@ 0 0 3 +1 +3 0x4f4f 0x79d8d923 256 @@ -2790,6 +3488,8 @@ 0 0 0 +3 +4 1 0x62a6 0x3efd0a67 @@ -2798,6 +3498,8 @@ 0 0 0 +2 +0 7 0xda1b 0xd35016a7 @@ -2806,6 +3508,8 @@ 1 1 0 +4 +1 9 0x7025 0x50e303c3 @@ -2814,6 +3518,8 @@ 0 0 0 +2 +0 10 0x620b 0x806da19b @@ -2822,6 +3528,8 @@ 1 0 0 +0 +2 7 0x3353 0x83ee7c30 @@ -2830,6 +3538,8 @@ 1 0 0 +2 +0 8 0xad9e 0x562974a @@ -2838,6 +3548,8 @@ 0 0 0 +2 +2 10 0xf90a 0x2d632921 @@ -2846,6 +3558,8 @@ 1 0 0 +0 +2 6 0x3a06 0xb3728001 @@ -2854,6 +3568,8 @@ 0 0 0 +2 +0 5 0x41c6 0xefdf6f67 @@ -2862,6 +3578,8 @@ 0 0 0 +2 +0 5 0xd564 0x3754843a @@ -2870,6 +3588,8 @@ 1 1 0 +3 +5 10 0xdc12 0xf962b8fe @@ -2878,6 +3598,8 @@ 1 0 0 +3 +3 7 0x56ab 0xd2549d74 @@ -2886,6 +3608,8 @@ 1 0 0 +4 +1 9 0x4b85 0x863477c9 @@ -2894,6 +3618,8 @@ 1 0 0 +0 +4 9 0x553f 0x7e432377 @@ -2902,6 +3628,8 @@ 0 0 0 +1 +4 4 0x21a7 0x96a6fb8a @@ -2910,6 +3638,8 @@ 1 1 0 +5 +0 6 0xef73 0xce028bb7 @@ -2918,6 +3648,8 @@ 0 0 0 +1 +0 2 0xdf07 0xe61be04 @@ -2926,6 +3658,8 @@ 1 0 0 +4 +4 6 0x1506 0x1456c116 @@ -2934,6 +3668,8 @@ 1 1 0 +4 +3 1 0x5e2f 0x1b2709a4 @@ -2942,6 +3678,8 @@ 1 1 0 +5 +2 8 0xd70b 0xec3b094d @@ -2950,6 +3688,8 @@ 0 0 0 +2 +0 4 0x61e9 0x937f8319 @@ -2958,6 +3698,8 @@ 0 0 0 +0 +5 7 0x5c47 0x4ca4ad96 @@ -2966,6 +3708,8 @@ 1 1 0 +5 +4 4 0x68ba 0x85607e4c @@ -2974,6 +3718,8 @@ 0 0 0 +1 +1 6 0x6d3c 0xe9ff9ee4 @@ -2982,6 +3728,8 @@ 1 0 0 +4 +4 2 0xecff 0x1e9bedad @@ -2990,6 +3738,8 @@ 0 0 0 +2 +1 9 0x89f5 0xebb203d7 @@ -2998,6 +3748,8 @@ 1 0 0 +3 +4 4 0xd780 0x65c31731 @@ -3007,6 +3759,8 @@ 1 0 5 +4 +5 0xe32e 0x7dc37e11 256 @@ -3014,6 +3768,8 @@ 1 0 0 +2 +1 7 0xec5a 0x8f59b4b9 @@ -3022,6 +3778,8 @@ 0 0 0 +1 +3 8 0x63cc 0x19c9dc07 @@ -3030,6 +3788,8 @@ 0 0 0 +2 +3 9 0xd744 0xf2337074 @@ -3038,6 +3798,8 @@ 1 1 0 +1 +1 5 0xc3f2 0x2785a95c @@ -3046,6 +3808,8 @@ 1 1 0 +0 +3 5 0x1a0f 0x6a180129 @@ -3054,6 +3818,8 @@ 1 1 0 +5 +4 4 0x5571 0x56d0b187 @@ -3062,6 +3828,8 @@ 1 1 0 +1 +0 7 0x8613 0xb1ed4524 @@ -3070,6 +3838,8 @@ 0 0 0 +5 +5 1 0x93ba 0x8ca2dcab @@ -3078,6 +3848,8 @@ 0 0 0 +1 +2 7 0xcbfc 0x881cecec @@ -3086,6 +3858,8 @@ 1 0 0 +1 +0 2 0xe6bc 0x41f0607f @@ -3094,6 +3868,8 @@ 0 0 0 +0 +4 2 0xb458 0xf6fa45d5 @@ -3102,6 +3878,8 @@ 0 0 0 +0 +3 5 0x518 0x9ea5180b @@ -3110,6 +3888,8 @@ 0 0 0 +5 +5 1 0x8fe1 0x8f164194 @@ -3119,6 +3899,8 @@ 0 0 1 +3 +1 0x22f 0xb939c855 256 @@ -3126,6 +3908,8 @@ 1 1 0 +1 +2 9 0xae8a 0x1a338238 @@ -3134,6 +3918,8 @@ 0 0 0 +0 +1 3 0xc545 0x64db59cc @@ -3142,6 +3928,8 @@ 1 1 0 +5 +4 6 0x228b 0x18e390a1 @@ -3150,6 +3938,8 @@ 1 1 0 +1 +5 10 0x90fd 0x595633ad @@ -3158,6 +3948,8 @@ 1 1 0 +1 +1 3 0x4dbf 0x73f0e6a8 @@ -3166,6 +3958,8 @@ 0 0 0 +4 +2 8 0xa929 0xb71fb442 @@ -3174,6 +3968,8 @@ 1 1 0 +0 +1 3 0x9096 0xd9127f02 @@ -3182,6 +3978,8 @@ 1 0 0 +4 +2 9 0xc6f3 0xeee55a45 @@ -3190,6 +3988,8 @@ 0 0 0 +4 +4 5 0x1cd7 0x71de937f @@ -3198,6 +3998,8 @@ 1 1 0 +5 +2 1 0x9039 0x4f2937b8 @@ -3206,6 +4008,8 @@ 0 0 0 +4 +0 3 0x6687 0x29784fbe @@ -3215,6 +4019,8 @@ 0 0 3 +2 +3 0x94da 0x880217da 256 @@ -3222,6 +4028,8 @@ 1 1 0 +3 +1 5 0xfbe8 0xf3adbfd9 @@ -3230,6 +4038,8 @@ 1 0 0 +4 +1 6 0x5486 0x3257da3f @@ -3239,6 +4049,8 @@ 0 0 5 +1 +5 0xb2a7 0xd4a418d0 256 @@ -3246,6 +4058,8 @@ 0 0 0 +0 +1 3 0x2ac1 0x9e2aea57 @@ -3254,6 +4068,8 @@ 1 1 0 +3 +1 2 0x489 0xf49132f8 @@ -3262,6 +4078,8 @@ 1 0 0 +3 +2 9 0xaf3 0x5a82cdfa @@ -3270,6 +4088,8 @@ 1 1 0 +3 +1 8 0x1c75 0x32739621 @@ -3278,6 +4098,8 @@ 1 0 0 +3 +3 8 0xadd5 0xee9de214 @@ -3286,6 +4108,8 @@ 1 0 0 +0 +3 9 0x7768 0x7af5994e @@ -3294,6 +4118,8 @@ 0 0 0 +2 +2 7 0xb3fd 0x1799fa10 @@ -3302,6 +4128,8 @@ 1 1 0 +3 +5 4 0x21e8 0x9e08af44 @@ -3310,6 +4138,8 @@ 0 0 0 +0 +3 8 0x141a 0xa35449fe @@ -3318,6 +4148,8 @@ 1 1 0 +5 +3 8 0x6714 0x4da970ff @@ -3326,6 +4158,8 @@ 0 0 0 +1 +0 5 0x9fd6 0x30f11f78 @@ -3334,6 +4168,8 @@ 1 1 0 +4 +4 6 0x6d80 0xc6b7fbd8 @@ -3342,6 +4178,8 @@ 0 0 0 +5 +4 1 0xfc21 0x89e4016b @@ -3350,6 +4188,8 @@ 1 0 0 +1 +1 3 0x27ad 0xa28242b9 @@ -3359,6 +4199,8 @@ 0 0 4 +3 +4 0xc1ca 0xcffd3115 256 @@ -3366,6 +4208,8 @@ 1 1 0 +1 +2 9 0xa29b 0x18f3fa5f @@ -3374,6 +4218,8 @@ 0 0 0 +1 +2 7 0xfdd6 0xf7df0015 @@ -3382,6 +4228,8 @@ 1 1 0 +1 +0 7 0x9c7c 0x2f00b7c1 @@ -3390,6 +4238,8 @@ 0 0 0 +5 +3 3 0x2624 0x3d9d72f2 @@ -3398,6 +4248,8 @@ 0 0 0 +4 +3 8 0x3fcc 0xf7a1127d @@ -3406,6 +4258,8 @@ 0 0 0 +5 +1 2 0x7fca 0xc895c2b2 @@ -3415,6 +4269,8 @@ 0 0 3 +1 +3 0xe934 0x2d4993b0 256 @@ -3422,6 +4278,8 @@ 1 1 0 +4 +5 3 0xf09a 0xfd009d24 @@ -3430,6 +4288,8 @@ 1 0 0 +3 +1 10 0x8740 0xf51de2e6 @@ -3438,6 +4298,8 @@ 0 0 0 +0 +3 4 0x633a 0x9287d389 @@ -3446,6 +4308,8 @@ 1 0 0 +0 +3 5 0x8a1 0xd6664d5d @@ -3454,6 +4318,8 @@ 1 1 0 +1 +2 8 0xdc25 0x615739c5 @@ -3462,6 +4328,8 @@ 1 1 0 +2 +3 3 0xb269 0xd9eb284d @@ -3471,6 +4339,8 @@ 0 0 4 +4 +4 0x9ecf 0xef0e93ba 256 @@ -3478,6 +4348,8 @@ 0 0 0 +5 +2 3 0xee9c 0xe12b8a9 @@ -3486,6 +4358,8 @@ 1 0 0 +5 +0 1 0x7fb4 0x2673e10e @@ -3494,6 +4368,8 @@ 0 0 0 +4 +4 7 0x5185 0x22cf81f7 @@ -3502,6 +4378,8 @@ 0 0 0 +5 +1 3 0x7d95 0x9b5acc9e @@ -3510,6 +4388,8 @@ 0 0 0 +2 +2 9 0x963e 0xde554f4d @@ -3518,6 +4398,8 @@ 1 0 0 +3 +4 4 0x1550 0xa37e5f46 @@ -3526,6 +4408,8 @@ 0 0 0 +0 +0 1 0x5c44 0x107677ec @@ -3534,6 +4418,8 @@ 1 0 0 +1 +0 10 0x462e 0x7c149ff0 @@ -3542,6 +4428,8 @@ 0 0 0 +5 +4 1 0xbafd 0x1743b80e @@ -3550,6 +4438,8 @@ 1 1 0 +0 +2 2 0xdb8e 0xeba9bb58 @@ -3558,6 +4448,8 @@ 0 0 0 +1 +2 8 0x48f7 0x314934d4 @@ -3566,6 +4458,8 @@ 0 0 0 +5 +0 8 0xaaaa 0x8d388575 @@ -3574,6 +4468,8 @@ 0 0 0 +5 +0 7 0xcc7d 0x1ad61913 @@ -3582,6 +4478,8 @@ 1 1 0 +0 +1 4 0x4947 0xa168a007 @@ -3590,6 +4488,8 @@ 0 0 0 +4 +4 2 0x255f 0xf81323f1 @@ -3598,6 +4498,8 @@ 1 0 0 +0 +0 6 0x12d7 0x31c0ce93 @@ -3606,6 +4508,8 @@ 0 0 0 +3 +3 4 0x6fb0 0x384733f3 @@ -3614,6 +4518,8 @@ 0 0 0 +1 +2 5 0xb55f 0xa21a0e7e @@ -3622,6 +4528,8 @@ 1 0 0 +2 +3 6 0xc765 0xd427b27a @@ -3630,6 +4538,8 @@ 0 0 0 +0 +4 6 0x6d7 0x7d9e1349 @@ -3638,6 +4548,8 @@ 0 0 0 +0 +2 2 0xf2d0 0x97bb8641 @@ -3646,6 +4558,8 @@ 0 0 0 +2 +3 8 0x40e1 0x795575eb @@ -3654,6 +4568,8 @@ 0 0 0 +3 +4 1 0x6e56 0x3ac7a198 @@ -3662,6 +4578,8 @@ 0 0 0 +0 +5 7 0x9b9f 0x829d576c @@ -3670,6 +4588,8 @@ 0 0 0 +2 +1 5 0xce8a 0xfc887fd6 @@ -3678,6 +4598,8 @@ 1 1 0 +0 +2 1 0xc3dc 0x4a1aaeb2 @@ -3686,6 +4608,8 @@ 1 0 0 +2 +1 7 0x413e 0x83db497e @@ -3694,6 +4618,8 @@ 0 0 0 +2 +2 7 0xf727 0xd908c82 @@ -3702,6 +4628,8 @@ 1 1 0 +0 +5 10 0xd7d5 0xf3084d62 @@ -3710,6 +4638,8 @@ 0 0 0 +2 +4 7 0x460d 0xcb9dcf49 @@ -3718,6 +4648,8 @@ 0 0 0 +0 +4 8 0x5ded 0xbe145d9a @@ -3726,6 +4658,8 @@ 1 0 0 +5 +2 7 0xaa49 0xa2f988a0 @@ -3734,6 +4668,8 @@ 1 1 0 +4 +4 5 0x4b61 0xe23c1b36 @@ -3742,6 +4678,8 @@ 1 1 0 +0 +4 3 0x9456 0xf338029b @@ -3750,6 +4688,8 @@ 0 0 0 +1 +0 4 0x7b79 0x6ca77307 @@ -3758,6 +4698,8 @@ 0 0 0 +0 +2 4 0x1a19 0x8c64aec5 @@ -3766,6 +4708,8 @@ 1 0 0 +2 +2 3 0xcb61 0xfd53bc8 @@ -3774,6 +4718,8 @@ 1 1 0 +4 +2 5 0xb258 0x142d674e @@ -3782,6 +4728,8 @@ 0 0 0 +0 +2 7 0xf47e 0xe543e8aa @@ -3790,6 +4738,8 @@ 1 0 0 +3 +5 1 0x42d2 0x932c55cf @@ -3798,6 +4748,8 @@ 0 0 0 +3 +3 10 0x9132 0x2dea84e7 @@ -3806,6 +4758,8 @@ 1 1 0 +0 +5 3 0xa806 0x9b526bf2 @@ -3814,6 +4768,8 @@ 0 0 0 +4 +1 10 0xe1d2 0xdf04d4d6 @@ -3823,6 +4779,8 @@ 0 0 2 +3 +2 0xc543 0xc8fb27df 256 @@ -3830,6 +4788,8 @@ 1 0 0 +5 +4 4 0x643b 0x73bb9db9 @@ -3838,6 +4798,8 @@ 0 0 0 +3 +3 5 0x5934 0xf621a638 @@ -3846,6 +4808,8 @@ 0 0 0 +4 +1 2 0x80cd 0x1fd37cc9 @@ -3855,6 +4819,8 @@ 1 0 1 +2 +1 0x6108 0x9057b128 256 @@ -3862,6 +4828,8 @@ 1 0 0 +4 +2 10 0xe375 0x7861ff76 @@ -3871,6 +4839,8 @@ 0 0 5 +2 +5 0xb4bf 0xfc87f7d4 256 @@ -3878,6 +4848,8 @@ 1 0 0 +4 +4 6 0x5a9e 0x5e96c277 @@ -3886,6 +4858,8 @@ 0 0 0 +3 +4 2 0x69fa 0x275023fa @@ -3894,6 +4868,8 @@ 1 0 0 +5 +4 6 0xa251 0x937fc958 @@ -3902,6 +4878,8 @@ 0 0 0 +5 +2 4 0xb0d 0xa97e50b @@ -3910,6 +4888,8 @@ 1 1 0 +5 +0 3 0xe7db 0xaa3d50bd @@ -3918,6 +4898,8 @@ 0 0 0 +3 +1 7 0x9663 0x8425783f @@ -3926,6 +4908,8 @@ 1 1 0 +3 +1 7 0xc4a5 0xf7f0763b @@ -3934,6 +4918,8 @@ 1 0 0 +3 +5 7 0x2921 0x9f985a99 @@ -3942,6 +4928,8 @@ 0 0 0 +0 +4 8 0xa6fe 0x878813ea @@ -3951,6 +4939,8 @@ 0 0 3 +2 +3 0x3018 0xb83f1d4a 256 @@ -3958,6 +4948,8 @@ 0 0 0 +4 +2 6 0x7d4e 0xfd66487c @@ -3966,6 +4958,8 @@ 0 0 0 +2 +1 10 0x5ac1 0xbb9abd8a @@ -3975,6 +4969,8 @@ 0 0 4 +2 +4 0xc458 0x754ea6e7 256 @@ -3982,6 +4978,8 @@ 0 0 0 +1 +0 4 0x124b 0x2107aa4f @@ -3990,6 +4988,8 @@ 0 0 0 +2 +3 3 0xb260 0x3e16ad4a @@ -3998,6 +4998,8 @@ 1 1 0 +3 +2 1 0xb408 0x3eb51734 @@ -4006,6 +5008,8 @@ 0 0 0 +4 +0 5 0xd153 0xae03b271 @@ -4014,6 +5018,8 @@ 0 0 0 +1 +3 2 0xce2e 0xbbd40f85 @@ -4022,6 +5028,8 @@ 1 1 0 +2 +2 5 0x2233 0xaefd8b1a @@ -4030,6 +5038,8 @@ 1 1 0 +2 +0 10 0xd8f 0x4b2acc20 @@ -4038,6 +5048,8 @@ 1 1 0 +4 +0 1 0x9fdb 0xebae9c6b @@ -4046,6 +5058,8 @@ 0 0 0 +4 +4 10 0x20d0 0xdf581979 @@ -4054,6 +5068,8 @@ 1 0 0 +0 +1 10 0xe61f 0x593c1adf @@ -4062,6 +5078,8 @@ 1 0 0 +0 +4 4 0xca37 0x7f45f87f @@ -4070,6 +5088,8 @@ 1 0 0 +1 +2 10 0x27a3 0xbe4b1034 @@ -4078,6 +5098,8 @@ 1 1 0 +1 +1 10 0xa9f2 0x65d21075 @@ -4086,6 +5108,8 @@ 1 1 0 +1 +2 8 0xc7b8 0xdc5b0581 @@ -4094,6 +5118,8 @@ 0 0 0 +3 +2 9 0x573f 0x44e3702d @@ -4102,6 +5128,8 @@ 0 0 0 +0 +4 6 0xc31 0xcdd0e44a @@ -4110,6 +5138,8 @@ 1 1 0 +2 +3 5 0xcc75 0x60651aa8 @@ -4118,6 +5148,8 @@ 0 0 0 +1 +0 2 0xf40c 0x6b3167bd @@ -4126,6 +5158,8 @@ 1 0 0 +2 +1 1 0xb629 0x6e8ba0c1 @@ -4134,6 +5168,8 @@ 0 0 0 +4 +3 9 0xff5b 0x9ec51bdc @@ -4142,6 +5178,8 @@ 1 0 0 +5 +0 4 0x896d 0x84c9d3cd @@ -4151,6 +5189,8 @@ 0 0 2 +1 +2 0xe138 0x4f38f74d 256 @@ -4158,6 +5198,8 @@ 1 0 0 +3 +4 6 0xf5fa 0xfc5dff59 @@ -4166,6 +5208,8 @@ 0 0 0 +2 +2 7 0x2839 0x5d29de9 @@ -4174,6 +5218,8 @@ 0 0 0 +4 +5 6 0xf09c 0x199cc595 @@ -4182,6 +5228,8 @@ 1 0 0 +0 +1 1 0x12e 0xdf5f1d67 @@ -4190,6 +5238,8 @@ 1 0 0 +3 +4 9 0x747c 0x6031bf1d @@ -4198,6 +5248,8 @@ 1 0 0 +3 +5 8 0xd478 0x48a89679 @@ -4206,6 +5258,8 @@ 1 1 0 +0 +4 8 0xaf5b 0xac4b6a8 @@ -4214,6 +5268,8 @@ 1 1 0 +5 +5 1 0x8e63 0xab43ddbc @@ -4222,6 +5278,8 @@ 1 0 0 +4 +5 9 0xf7b9 0x6cabbb5f @@ -4230,6 +5288,8 @@ 0 0 0 +1 +0 7 0x9039 0x9357348c @@ -4238,6 +5298,8 @@ 1 0 0 +1 +3 7 0x4159 0x3eb45481 @@ -4246,6 +5308,8 @@ 1 1 0 +0 +3 1 0xca6c 0x634b76e9 @@ -4254,6 +5318,8 @@ 1 0 0 +3 +1 9 0x7a3c 0xf2d1183a @@ -4262,6 +5328,8 @@ 0 0 0 +4 +5 9 0xe9c0 0x5291ac21 @@ -4270,6 +5338,8 @@ 0 0 0 +5 +2 2 0xe6ea 0xc7a3ddd5 @@ -4278,6 +5348,8 @@ 1 1 0 +2 +4 8 0xa55 0xb475c12f @@ -4286,6 +5358,8 @@ 1 0 0 +1 +2 8 0x7ee1 0x8ffdf6a4 @@ -4294,6 +5368,8 @@ 1 0 0 +3 +1 8 0x5f71 0x987b9bc5 @@ -4302,6 +5378,8 @@ 1 1 0 +5 +0 4 0xfa42 0x58b5b904 @@ -4310,6 +5388,8 @@ 1 0 0 +1 +1 3 0x82ae 0xb2eb0e54 @@ -4318,6 +5398,8 @@ 0 0 0 +1 +4 9 0x4164 0x941d6ec0 @@ -4326,6 +5408,8 @@ 0 0 0 +4 +2 10 0x249 0x8193f4b8 @@ -4334,6 +5418,8 @@ 0 0 0 +4 +4 2 0x5994 0x56916efd @@ -4342,6 +5428,8 @@ 1 0 0 +0 +4 3 0x50ac 0x822e0039 @@ -4350,6 +5438,8 @@ 1 1 0 +2 +5 5 0xb324 0xe7bc442f @@ -4358,6 +5448,8 @@ 1 0 0 +3 +3 8 0x6701 0x17009f55 @@ -4366,6 +5458,8 @@ 0 0 0 +4 +2 1 0xc53c 0x2c2f534f @@ -4374,6 +5468,8 @@ 1 0 0 +3 +4 5 0x68 0xa15392da @@ -4382,6 +5478,8 @@ 0 0 0 +1 +0 10 0xca73 0x16a8eab8 @@ -4390,6 +5488,8 @@ 0 0 0 +3 +3 6 0x6181 0xfa498493 @@ -4398,6 +5498,8 @@ 1 1 0 +3 +2 8 0x567 0x7f5cfcea @@ -4407,6 +5509,8 @@ 1 0 3 +4 +3 0x3ee9 0x16bbe8b6 256 @@ -4414,6 +5518,8 @@ 1 1 0 +2 +3 7 0xbf95 0x12b5180f @@ -4422,6 +5528,8 @@ 0 0 0 +5 +4 4 0xbed8 0x2688d0a2 @@ -4430,6 +5538,8 @@ 0 0 0 +4 +1 10 0x3e16 0xa6bc6a5c @@ -4438,6 +5548,8 @@ 1 1 0 +5 +1 3 0xef0c 0x3ff5b254 @@ -4446,6 +5558,8 @@ 0 0 0 +2 +3 4 0xc3ab 0xac53f3f8 @@ -4455,6 +5569,8 @@ 0 0 3 +5 +3 0x3403 0xacfc6dad 256 @@ -4462,6 +5578,8 @@ 0 0 0 +1 +4 6 0x1eab 0x6a27aad4 @@ -4470,6 +5588,8 @@ 0 0 0 +1 +0 5 0xf70d 0x53cbed1 @@ -4478,6 +5598,8 @@ 1 1 0 +5 +2 2 0x92a9 0xb5e35db6 @@ -4486,6 +5608,8 @@ 0 0 0 +0 +5 4 0x7aef 0x5846ffb8 @@ -4494,6 +5618,8 @@ 0 0 0 +2 +3 9 0xcba9 0x7f9691d5 @@ -4502,6 +5628,8 @@ 1 1 0 +2 +4 8 0xb89c 0xb91871b6 @@ -4510,6 +5638,8 @@ 1 0 0 +1 +0 4 0xfdda 0xd71ec5ee @@ -4518,6 +5648,8 @@ 0 0 0 +4 +2 7 0xc4c2 0xc68530ef @@ -4526,6 +5658,8 @@ 1 0 0 +4 +5 7 0x3a20 0xeed42c24 @@ -4534,6 +5668,8 @@ 1 1 0 +0 +4 4 0xbeae 0xcb9d7cd @@ -4542,6 +5678,8 @@ 0 0 0 +3 +2 1 0x5c4d 0x7b76f585 @@ -4550,6 +5688,8 @@ 0 0 0 +0 +4 8 0x62e 0x7bd0350b @@ -4558,6 +5698,8 @@ 0 0 0 +4 +0 8 0x3665 0x7c4b4595 @@ -4566,6 +5708,8 @@ 0 0 0 +5 +4 1 0xa74e 0xad28ccf7 @@ -4574,6 +5718,8 @@ 0 0 0 +4 +2 9 0x94c4 0x1b95c9d1 @@ -4582,6 +5728,8 @@ 0 0 0 +3 +0 6 0x3914 0x559ef218 @@ -4590,6 +5738,8 @@ 1 0 0 +2 +2 8 0x3b02 0x8b817166 @@ -4598,6 +5748,8 @@ 0 0 0 +1 +2 6 0xabda 0x73f489c @@ -4606,6 +5758,8 @@ 0 0 0 +4 +5 5 0xa44c 0xfc628c7 @@ -4614,6 +5768,8 @@ 1 0 0 +0 +4 7 0x3d9e 0xf626b54b @@ -4622,6 +5778,8 @@ 0 0 0 +5 +4 10 0xd59a 0x9c1e7450 @@ -4630,6 +5788,8 @@ 1 1 0 +5 +4 9 0xf49d 0x8cbeb253 @@ -4638,6 +5798,8 @@ 1 1 0 +0 +3 8 0x1a8c 0x99199a6e @@ -4646,6 +5808,8 @@ 1 1 0 +4 +3 2 0xf854 0x47fd74d2 @@ -4654,6 +5818,8 @@ 1 1 0 +1 +0 6 0xcba1 0xea3f26f7 @@ -4662,6 +5828,8 @@ 0 0 0 +1 +5 9 0xb6ca 0xacce2c74 @@ -4670,6 +5838,8 @@ 0 0 0 +1 +5 7 0x5f44 0xdf9056cd @@ -4678,6 +5848,8 @@ 1 0 0 +0 +4 5 0xcc54 0xfb8e7bdf @@ -4686,6 +5858,8 @@ 0 0 0 +0 +1 9 0xbda1 0xccb8d35a @@ -4694,6 +5868,8 @@ 0 0 0 +3 +4 6 0x4f78 0xa8c0a417 @@ -4702,6 +5878,8 @@ 1 1 0 +0 +5 9 0x67c3 0xb28cd9e4 @@ -4710,6 +5888,8 @@ 0 0 0 +0 +3 2 0xe6c0 0x28b7d04c @@ -4719,6 +5899,8 @@ 1 0 2 +1 +2 0x1180 0x6178fe3c 256 @@ -4726,6 +5908,8 @@ 1 1 0 +3 +1 5 0x33cb 0xb9c93591 @@ -4734,6 +5918,8 @@ 1 1 0 +1 +3 4 0x7273 0x9e582d33 @@ -4742,6 +5928,8 @@ 1 0 0 +1 +4 6 0x4865 0x882641b6 @@ -4750,6 +5938,8 @@ 0 0 0 +0 +4 9 0x4647 0xcce57f36 @@ -4759,6 +5949,8 @@ 1 0 2 +2 +2 0xe6aa 0x70f457fa 256 @@ -4767,6 +5959,8 @@ 0 0 1 +3 +1 0xcd6c 0x6fd8cc6c 256 @@ -4774,6 +5968,8 @@ 0 0 0 +3 +0 4 0xcadc 0xc1cacc3e @@ -4782,6 +5978,8 @@ 1 1 0 +5 +0 10 0xc41 0xbbbc83a9 @@ -4790,6 +5988,8 @@ 1 0 0 +5 +1 8 0x976e 0xe8b64385 @@ -4798,6 +5998,8 @@ 0 0 0 +2 +2 5 0xcfcf 0xb512badd @@ -4806,6 +6008,8 @@ 0 0 0 +3 +4 10 0x1e7f 0x83deed36 @@ -4814,6 +6018,8 @@ 0 0 0 +3 +1 4 0x42d4 0x4b51b6e7 @@ -4822,6 +6028,8 @@ 1 0 0 +3 +2 10 0x5f1e 0x1c32b7da @@ -4830,6 +6038,8 @@ 0 0 0 +0 +2 8 0x1a27 0xeb781bab @@ -4838,6 +6048,8 @@ 0 0 0 +0 +0 2 0x2a4a 0x870dfb8d @@ -4846,6 +6058,8 @@ 0 0 0 +5 +2 3 0xb599 0x860c40c9 @@ -4854,6 +6068,8 @@ 0 0 0 +5 +5 10 0x79b6 0x33b584d @@ -4862,6 +6078,8 @@ 0 0 0 +1 +2 8 0x156f 0xd9f5beb0 @@ -4870,6 +6088,8 @@ 1 1 0 +1 +5 7 0x595b 0xc2ffd9f5 @@ -4878,6 +6098,8 @@ 1 1 0 +5 +0 3 0xc7d3 0x671c38b9 @@ -4886,6 +6108,8 @@ 1 0 0 +2 +5 6 0xdf54 0xdebcc759 @@ -4894,6 +6118,8 @@ 1 1 0 +5 +2 4 0xbfda 0x76893779 @@ -4902,6 +6128,8 @@ 0 0 0 +2 +3 6 0x2bbe 0x1cd2c47c @@ -4910,6 +6138,8 @@ 0 0 0 +2 +5 8 0x5ce7 0xae964c26 @@ -4918,6 +6148,8 @@ 0 0 0 +0 +1 6 0x857e 0x1d278791 @@ -4926,6 +6158,8 @@ 1 1 0 +1 +4 2 0xf951 0xb31e5e16 @@ -4934,6 +6168,8 @@ 1 0 0 +0 +2 4 0xc64c 0xc305bd1f @@ -4942,6 +6178,8 @@ 1 0 0 +3 +2 9 0x960a 0x12cdcdb0 @@ -4950,6 +6188,8 @@ 0 0 0 +0 +1 5 0x9b11 0xfbcb083a @@ -4958,6 +6198,8 @@ 1 0 0 +5 +2 2 0x9ebd 0xa614bb43 @@ -4967,6 +6209,8 @@ 0 0 1 +4 +1 0xc30b 0x47b570fc 256 @@ -4974,6 +6218,8 @@ 1 0 0 +1 +2 8 0xae4c 0x9e7760ae @@ -4982,6 +6228,8 @@ 0 0 0 +4 +3 2 0xe578 0xabeaf0e3 @@ -4990,6 +6238,8 @@ 1 1 0 +4 +1 7 0x1c54 0x56385b71 @@ -4998,6 +6248,8 @@ 0 0 0 +2 +3 7 0x5243 0x2e04ca29 @@ -5006,6 +6258,8 @@ 1 0 0 +2 +5 7 0x6315 0x72b70c49 @@ -5014,6 +6268,8 @@ 0 0 0 +5 +1 2 0x8b29 0x24582d1b @@ -5022,6 +6278,8 @@ 1 0 0 +0 +2 10 0x8812 0x21f8ea56 @@ -5030,6 +6288,8 @@ 1 0 0 +3 +0 10 0xc975 0x2e3ee8a5 @@ -5038,6 +6298,8 @@ 1 0 0 +3 +0 6 0x480b 0x7462cc9f @@ -5046,6 +6308,8 @@ 1 0 0 +2 +5 3 0x749e 0xb0ebe781 @@ -5055,6 +6319,8 @@ 0 0 5 +0 +5 0xceda 0xcbc1a7b8 256 @@ -5062,6 +6328,8 @@ 1 0 0 +4 +3 3 0xadfe 0x97e6cb86 @@ -5070,6 +6338,8 @@ 0 0 0 +5 +0 4 0xf80c 0x86fc1d2 @@ -5078,6 +6348,8 @@ 0 0 0 +4 +3 3 0x48c8 0x23b1ca11 @@ -5086,6 +6358,8 @@ 1 1 0 +0 +0 4 0x1cfc 0x16b35484 @@ -5094,6 +6368,8 @@ 1 0 0 +3 +0 6 0xeebc 0x1d0ae67e @@ -5102,6 +6378,8 @@ 1 1 0 +2 +3 1 0x45d7 0x77bb25f5 @@ -5110,6 +6388,8 @@ 0 0 0 +3 +4 2 0xeb0d 0xbf92fa49 @@ -5118,6 +6398,8 @@ 0 0 0 +0 +5 4 0x557 0xd3df254d @@ -5126,6 +6408,8 @@ 0 0 0 +1 +2 3 0x9a3a 0x2ecd9825 @@ -5134,6 +6418,8 @@ 1 1 0 +4 +1 10 0x48ec 0xee21b233 @@ -5142,6 +6428,8 @@ 1 1 0 +2 +3 10 0x242a 0xfe7d1b2f @@ -5150,6 +6438,8 @@ 1 1 0 +1 +5 3 0xf859 0x186c919b @@ -5159,6 +6449,8 @@ 1 0 4 +3 +4 0x5367 0xfa8d6604 256 @@ -5166,6 +6458,8 @@ 0 0 0 +2 +4 6 0x4959 0x741db9cf @@ -5174,6 +6468,8 @@ 1 1 0 +0 +2 10 0xea4a 0xb22cb8d0 @@ -5182,6 +6478,8 @@ 0 0 0 +0 +3 2 0x731a 0x493285ce @@ -5190,6 +6488,8 @@ 1 0 0 +0 +0 5 0x488d 0x1d1da205 @@ -5198,6 +6498,8 @@ 1 1 0 +0 +0 2 0x1c9f 0x496a0044 @@ -5206,6 +6508,8 @@ 0 0 0 +0 +4 6 0x854e 0xddf13648 @@ -5214,6 +6518,8 @@ 0 0 0 +0 +5 9 0x8031 0x7ecd21e1 @@ -5222,6 +6528,8 @@ 0 0 0 +2 +5 9 0x1ce1 0xc3b72031 @@ -5230,6 +6538,8 @@ 1 0 0 +5 +2 9 0x5e37 0xed3986b3 @@ -5238,6 +6548,8 @@ 0 0 0 +1 +2 5 0x1e68 0x779f1b8d @@ -5246,6 +6558,8 @@ 0 0 0 +4 +5 6 0xc63d 0x51459747 @@ -5254,6 +6568,8 @@ 1 1 0 +4 +3 8 0x5397 0x152d6b59 @@ -5262,6 +6578,8 @@ 1 1 0 +1 +2 3 0x3935 0x668ae1b @@ -5270,6 +6588,8 @@ 0 0 0 +1 +1 4 0x44e6 0x8cac0a95 @@ -5278,6 +6598,8 @@ 0 0 0 +1 +5 7 0x9b38 0x2295f491 @@ -5286,6 +6608,8 @@ 0 0 0 +5 +3 2 0x18f7 0x473bfc24 @@ -5294,6 +6618,8 @@ 1 0 0 +1 +4 2 0x7057 0xb1696d76 @@ -5302,6 +6628,8 @@ 0 0 0 +4 +2 2 0xf8b5 0x30bc037e @@ -5310,6 +6638,8 @@ 0 0 0 +4 +4 9 0x1140 0x4f1078fe @@ -5318,6 +6648,8 @@ 0 0 0 +3 +2 7 0x2cf0 0xdaf1cd74 @@ -5326,6 +6658,8 @@ 0 0 0 +5 +1 3 0x9b0 0x36182318 @@ -5334,6 +6668,8 @@ 1 1 0 +2 +1 1 0xd595 0x490b93ab @@ -5342,6 +6678,8 @@ 1 0 0 +4 +3 3 0xa94f 0xbafb1c05 @@ -5350,6 +6688,8 @@ 0 0 0 +5 +2 7 0x4483 0x2feebbe0 @@ -5358,6 +6698,8 @@ 1 0 0 +5 +5 3 0x815f 0xd57cf62a @@ -5366,6 +6708,8 @@ 0 0 0 +2 +1 5 0xaba 0x7033f5db @@ -5374,6 +6718,8 @@ 0 0 0 +0 +2 6 0xa636 0x137bd0ea @@ -5382,6 +6728,8 @@ 1 0 0 +4 +2 1 0x91a4 0x14de2c93 @@ -5390,6 +6738,8 @@ 0 0 0 +3 +3 2 0xea05 0x1ce2214c @@ -5398,6 +6748,8 @@ 0 0 0 +4 +3 2 0x8c86 0xbc8b2101 @@ -5406,6 +6758,8 @@ 0 0 0 +1 +0 8 0x6707 0xe84d2af9 @@ -5414,6 +6768,8 @@ 1 1 0 +0 +1 6 0xe785 0x872bf343 @@ -5422,6 +6778,8 @@ 1 0 0 +2 +5 1 0x25aa 0x5e63ad7c @@ -5430,6 +6788,8 @@ 0 0 0 +5 +4 8 0x81a3 0xb6905e0e @@ -5438,6 +6798,8 @@ 1 0 0 +3 +1 5 0x1241 0xa29a2c41 @@ -5446,6 +6808,8 @@ 0 0 0 +2 +5 8 0x1e6a 0xa3cefda7 @@ -5454,6 +6818,8 @@ 0 0 0 +3 +4 1 0xc297 0x939c3eed @@ -5462,6 +6828,8 @@ 1 0 0 +3 +0 8 0xa661 0x70ecc027 @@ -5470,6 +6838,8 @@ 0 0 0 +5 +5 8 0x19a4 0xff5fbb @@ -5478,6 +6848,8 @@ 1 0 0 +2 +2 1 0x999e 0x9b0bfa70 @@ -5486,6 +6858,8 @@ 0 0 0 +2 +3 9 0x8e82 0x7bba7a6a @@ -5494,6 +6868,8 @@ 1 1 0 +0 +2 6 0x8852 0x1a76043e @@ -5502,6 +6878,8 @@ 0 0 0 +2 +2 10 0x8b20 0x5b1d68ab @@ -5510,6 +6888,8 @@ 0 0 0 +3 +4 5 0x67c3 0xc645d666 @@ -5518,6 +6898,8 @@ 1 1 0 +4 +0 7 0x30c4 0x5b4bf2bc @@ -5526,6 +6908,8 @@ 0 0 0 +5 +2 2 0x30dc 0x9fff1feb @@ -5534,6 +6918,8 @@ 0 0 0 +2 +2 3 0xafd0 0xe1d0aaae @@ -5542,6 +6928,8 @@ 1 1 0 +5 +2 6 0x489a 0x782fb85f @@ -5550,6 +6938,8 @@ 0 0 0 +5 +3 1 0x18fd 0x2d083de1 @@ -5558,6 +6948,8 @@ 0 0 0 +5 +5 1 0x261 0xa46795d5 @@ -5567,6 +6959,8 @@ 0 0 4 +4 +4 0xe2d7 0xc13da11a 256 @@ -5574,6 +6968,8 @@ 1 0 0 +0 +1 4 0x3738 0x4d59612d @@ -5582,6 +6978,8 @@ 1 1 0 +0 +2 10 0x85df 0x9e9d699f @@ -5590,6 +6988,8 @@ 0 0 0 +0 +4 5 0xec37 0x1f7535aa @@ -5598,6 +6998,8 @@ 1 0 0 +5 +0 7 0xdc56 0x4ddf1919 @@ -5606,6 +7008,8 @@ 0 0 0 +1 +3 2 0x338b 0xef89693b @@ -5614,6 +7018,8 @@ 1 0 0 +4 +1 2 0xe609 0xbe66788c @@ -5622,6 +7028,8 @@ 1 1 0 +4 +3 8 0xe95c 0xd2174c5f @@ -5630,6 +7038,8 @@ 1 1 0 +0 +0 4 0x52a5 0xbae616c9 @@ -5638,6 +7048,8 @@ 0 0 0 +4 +3 1 0xe1c2 0xae0db7bf @@ -5646,6 +7058,8 @@ 0 0 0 +0 +1 4 0xe970 0xf6feeb5d @@ -5654,6 +7068,8 @@ 1 0 0 +5 +1 8 0xab12 0x46b79b22 @@ -5662,6 +7078,8 @@ 0 0 0 +4 +4 2 0x305d 0xff9794aa @@ -5670,6 +7088,8 @@ 1 1 0 +3 +1 9 0x4616 0xddc19234 @@ -5678,6 +7098,8 @@ 1 0 0 +5 +3 3 0xfbd8 0x6db9275c @@ -5686,6 +7108,8 @@ 1 1 0 +0 +0 2 0xc6dd 0x153fc785 @@ -5694,6 +7118,8 @@ 0 0 0 +1 +2 6 0x81fe 0x835b93fd @@ -5702,6 +7128,8 @@ 0 0 0 +1 +1 2 0xaa4a 0x7928b8f5 @@ -5710,6 +7138,8 @@ 0 0 0 +5 +5 8 0xb635 0xd8fc75a2 @@ -5718,6 +7148,8 @@ 0 0 0 +1 +1 5 0xe130 0x633ea095 @@ -5726,6 +7158,8 @@ 0 0 0 +5 +1 1 0xebca 0x117aed26 @@ -5734,6 +7168,8 @@ 1 0 0 +1 +4 2 0x7461 0x4caee2e8 @@ -5742,6 +7178,8 @@ 0 0 0 +4 +1 5 0x3240 0x6f2b7e49 @@ -5750,6 +7188,8 @@ 1 1 0 +0 +1 1 0x6aa 0xcbaaa579 @@ -5758,6 +7198,8 @@ 0 0 0 +0 +2 2 0x9197 0x526eecde @@ -5766,6 +7208,8 @@ 0 0 0 +5 +3 2 0x711d 0x17dbf364 @@ -5774,6 +7218,8 @@ 0 0 0 +2 +0 8 0xecd1 0x4b4544aa @@ -5782,6 +7228,8 @@ 0 0 0 +4 +1 3 0x4616 0x9b3b1061 @@ -5790,6 +7238,8 @@ 0 0 0 +2 +4 8 0xc8e6 0xc8c8736d @@ -5798,6 +7248,8 @@ 0 0 0 +2 +3 7 0xa9cd 0x625437e9 @@ -5806,6 +7258,8 @@ 0 0 0 +1 +1 5 0x81c2 0x29c4fc92 @@ -5814,6 +7268,8 @@ 1 1 0 +5 +5 9 0xdd07 0x4c9c96a4 @@ -5822,6 +7278,8 @@ 1 1 0 +1 +0 8 0x9296 0x2a4dd541 @@ -5830,6 +7288,8 @@ 0 0 0 +3 +2 9 0x652e 0x10677aba @@ -5838,6 +7298,8 @@ 0 0 0 +2 +3 1 0x30ed 0x3ece7e97 @@ -5846,6 +7308,8 @@ 0 0 0 +0 +0 10 0x9e07 0x1e4557af @@ -5854,6 +7318,8 @@ 1 1 0 +5 +4 10 0x90cf 0x8df833b1 @@ -5862,6 +7328,8 @@ 1 0 0 +0 +0 7 0x225a 0x678be5c0 @@ -5870,6 +7338,8 @@ 0 0 0 +1 +2 10 0xa9c9 0x5fdba154 @@ -5878,6 +7348,8 @@ 0 0 0 +1 +0 3 0xc842 0x74691b4e @@ -5886,6 +7358,8 @@ 0 0 0 +2 +1 9 0x6560 0xebe32afd @@ -5895,6 +7369,8 @@ 0 0 4 +2 +4 0x116c 0x757be1b2 256 @@ -5902,6 +7378,8 @@ 0 0 0 +2 +1 9 0x6e7f 0x3d757bb8 @@ -5910,6 +7388,8 @@ 0 0 0 +2 +2 10 0x24c5 0xbab4c3ca @@ -5918,6 +7398,8 @@ 1 0 0 +5 +0 7 0xb13 0xfc5c54b4 @@ -5926,6 +7408,8 @@ 0 0 0 +3 +0 1 0x78b8 0xae41e65e @@ -5934,6 +7418,8 @@ 1 1 0 +3 +1 4 0xf73b 0xaf55af02 @@ -5942,6 +7428,8 @@ 0 0 0 +0 +2 6 0x1dde 0x17fedcd9 @@ -5950,6 +7438,8 @@ 0 0 0 +1 +0 6 0xe0bb 0xfa87bf9 @@ -5958,6 +7448,8 @@ 0 0 0 +4 +2 10 0xf368 0x1dab1bb @@ -5966,6 +7458,8 @@ 0 0 0 +4 +5 6 0xe128 0xb07d0297 @@ -5974,6 +7468,8 @@ 1 1 0 +3 +2 1 0xda92 0x6e334622 @@ -5982,6 +7478,8 @@ 0 0 0 +2 +3 9 0x8c6e 0xcf8ab83c @@ -5990,6 +7488,8 @@ 1 0 0 +2 +5 1 0x23b8 0xfa8df766 @@ -5998,6 +7498,8 @@ 0 0 0 +2 +5 7 0xd9c2 0x11abc66d @@ -6006,6 +7508,8 @@ 0 0 0 +5 +1 4 0x439 0x10965ba7 @@ -6014,6 +7518,8 @@ 1 0 0 +1 +5 6 0x4c1 0x4feed4eb @@ -6022,6 +7528,8 @@ 0 0 0 +3 +5 6 0x38df 0x577c7b75 @@ -6030,6 +7538,8 @@ 1 0 0 +2 +1 6 0x5173 0x73ed0dd6 @@ -6038,6 +7548,8 @@ 0 0 0 +0 +1 5 0xca22 0x46ec7d1 @@ -6046,6 +7558,8 @@ 0 0 0 +2 +3 6 0xcc48 0x938bfd29 @@ -6054,6 +7568,8 @@ 1 1 0 +2 +3 9 0xcc07 0xabf2a4c1 @@ -6062,6 +7578,8 @@ 0 0 0 +0 +4 9 0xec80 0xe0de833 @@ -6070,6 +7588,8 @@ 0 0 0 +5 +4 3 0xfa0d 0xc1b048d @@ -6078,6 +7598,8 @@ 1 0 0 +5 +3 3 0x252f 0x5f752422 @@ -6086,6 +7608,8 @@ 0 0 0 +2 +5 5 0xccf5 0x660071c4 @@ -6094,6 +7618,8 @@ 0 0 0 +1 +3 10 0x9b89 0x30512cad @@ -6102,6 +7628,8 @@ 0 0 0 +1 +4 9 0xe82a 0x8cdc6bc2 @@ -6111,6 +7639,8 @@ 0 0 4 +5 +4 0x1a8b 0x266fef86 256 @@ -6118,6 +7648,8 @@ 0 0 0 +3 +1 7 0x5363 0x4f268bb8 @@ -6126,6 +7658,8 @@ 0 0 0 +1 +2 4 0x3e93 0xf22ef5b8 @@ -6134,6 +7668,8 @@ 1 0 0 +2 +4 5 0x6ae5 0xffdc9bc6 @@ -6142,6 +7678,8 @@ 0 0 0 +4 +1 1 0x71d6 0x8f0ff72c @@ -6150,6 +7688,8 @@ 0 0 0 +2 +5 6 0xacd1 0xd2a5b7a7 @@ -6158,6 +7698,8 @@ 1 0 0 +0 +1 9 0x26a2 0xb30017ad @@ -6166,6 +7708,8 @@ 1 1 0 +0 +5 3 0x1187 0xab792765 @@ -6174,6 +7718,8 @@ 0 0 0 +2 +2 8 0x8ee9 0x1a8718d @@ -6182,6 +7728,8 @@ 0 0 0 +1 +0 7 0xf2b8 0x7e61bfba @@ -6190,6 +7738,8 @@ 1 1 0 +1 +3 5 0xbd24 0xb9f85271 @@ -6198,6 +7748,8 @@ 1 1 0 +4 +4 8 0xfcc9 0x67d9b5c1 @@ -6207,6 +7759,8 @@ 0 0 2 +0 +2 0x53ce 0x108aa089 256 @@ -6214,6 +7768,8 @@ 0 0 0 +0 +4 2 0x3d71 0x2ecca66f @@ -6222,6 +7778,8 @@ 0 0 0 +0 +5 6 0x9860 0x6708eecf @@ -6230,6 +7788,8 @@ 0 0 0 +4 +1 9 0x12af 0x6eaa1ac9 @@ -6239,6 +7799,8 @@ 0 0 3 +4 +3 0xb50d 0x8a78b3dc 256 @@ -6246,6 +7808,8 @@ 0 0 0 +1 +1 5 0xe912 0xe71f3c9d @@ -6254,6 +7818,8 @@ 1 0 0 +0 +5 2 0x3362 0xa79bc220 @@ -6262,6 +7828,8 @@ 1 1 0 +5 +0 9 0x2080 0x7fe13761 @@ -6270,6 +7838,8 @@ 0 0 0 +5 +5 9 0x5260 0xf014e441 @@ -6278,6 +7848,8 @@ 1 0 0 +5 +1 7 0x71ba 0x9a59d2c5 @@ -6286,6 +7858,8 @@ 1 0 0 +1 +1 3 0x7b91 0xbac842ae @@ -6294,6 +7868,8 @@ 1 0 0 +3 +5 1 0x5bbb 0x2a3a7a6b @@ -6302,6 +7878,8 @@ 0 0 0 +5 +0 9 0xddca 0x3b0b3eef @@ -6310,6 +7888,8 @@ 0 0 0 +5 +3 1 0x87bb 0xd94d9b0e @@ -6318,6 +7898,8 @@ 1 0 0 +5 +2 9 0x405d 0xc0c1898c @@ -6326,6 +7908,8 @@ 0 0 0 +4 +5 7 0xac13 0x55f828c1 @@ -6334,6 +7918,8 @@ 0 0 0 +5 +2 3 0x93b5 0x8de49ea5 @@ -6342,6 +7928,8 @@ 0 0 0 +3 +5 6 0xb8d3 0x25a8ad92 @@ -6350,6 +7938,8 @@ 1 1 0 +5 +4 1 0xc4e1 0x8669b570 @@ -6358,6 +7948,8 @@ 1 1 0 +1 +1 2 0x895b 0xe89153ea @@ -6366,6 +7958,8 @@ 1 1 0 +5 +4 2 0x2875 0xc1515ac7 @@ -6374,6 +7968,8 @@ 0 0 0 +3 +0 9 0xd463 0xa0f5348f @@ -6382,6 +7978,8 @@ 0 0 0 +4 +0 7 0x756f 0xed30c765 @@ -6390,6 +7988,8 @@ 1 1 0 +2 +0 6 0xba76 0x463de14d @@ -6399,6 +7999,8 @@ 0 0 4 +0 +4 0x2a7a 0xe97fd00c 256 @@ -6406,6 +8008,8 @@ 0 0 0 +0 +3 5 0xfa02 0x4e3cfe3 @@ -6414,6 +8018,8 @@ 1 0 0 +3 +0 10 0xe3f7 0x9823f288 @@ -6422,6 +8028,8 @@ 1 1 0 +3 +5 9 0xbc5d 0x93dce717 @@ -6430,6 +8038,8 @@ 0 0 0 +5 +3 3 0x60e3 0xc07d4ed @@ -6438,6 +8048,8 @@ 1 0 0 +1 +2 4 0x2509 0x84a47dec @@ -6446,6 +8058,8 @@ 0 0 0 +5 +3 2 0x5b7a 0xafbcee2e @@ -6454,6 +8068,8 @@ 1 1 0 +2 +3 3 0x6bca 0x4ddc0892 @@ -6463,6 +8079,8 @@ 1 0 2 +0 +2 0xd4c7 0xf5bce05e 256 @@ -6471,6 +8089,8 @@ 1 0 4 +0 +4 0xede2 0xee34023d 256 @@ -6479,6 +8099,8 @@ 0 0 1 +2 +1 0x88a9 0x4ec84dfd 256 @@ -6486,6 +8108,8 @@ 0 0 0 +4 +4 7 0x5e9c 0xbf39566a @@ -6494,6 +8118,8 @@ 1 0 0 +1 +5 5 0x501d 0xed2897dc @@ -6502,6 +8128,8 @@ 0 0 0 +0 +3 9 0x35d8 0xe1ea382d @@ -6510,6 +8138,8 @@ 0 0 0 +3 +5 2 0x28b3 0x1c69d4bd @@ -6518,6 +8148,8 @@ 1 1 0 +0 +3 3 0xd0fb 0xc992af8f @@ -6526,6 +8158,8 @@ 1 1 0 +0 +0 8 0x8d1a 0x13db6ef8 @@ -6534,6 +8168,8 @@ 0 0 0 +1 +3 4 0x4c5b 0x4291042f @@ -6542,6 +8178,8 @@ 1 1 0 +2 +1 3 0xc4a6 0x9088ec39 @@ -6550,6 +8188,8 @@ 0 0 0 +2 +0 6 0x84d9 0xc7134a63 @@ -6558,6 +8198,8 @@ 0 0 0 +1 +2 9 0xa462 0x6ba4e7b6 @@ -6566,6 +8208,8 @@ 1 0 0 +0 +1 4 0x2de2 0x92431c7a @@ -6574,6 +8218,8 @@ 1 0 0 +1 +0 9 0x5fcc 0x9c0402c4 @@ -6582,6 +8228,8 @@ 0 0 0 +0 +2 6 0xc144 0x4e7c4c63 @@ -6590,6 +8238,8 @@ 0 0 0 +0 +4 4 0xef69 0x9871370f @@ -6598,6 +8248,8 @@ 0 0 0 +0 +0 3 0x91da 0x7267d80a @@ -6606,6 +8258,8 @@ 0 0 0 +2 +3 10 0xd84a 0xd12ce0b2 @@ -6614,6 +8268,8 @@ 0 0 0 +2 +0 8 0x7c71 0x688d7058 @@ -6622,6 +8278,8 @@ 1 0 0 +1 +1 8 0x1670 0xb2bfdfa1 @@ -6630,6 +8288,8 @@ 1 1 0 +3 +5 10 0xb83a 0xa126429c @@ -6639,6 +8299,8 @@ 0 0 4 +4 +4 0x3b99 0x6450f55a 256 @@ -6646,6 +8308,8 @@ 0 0 0 +1 +3 5 0xbb74 0x5097b3d7 @@ -6654,6 +8318,8 @@ 0 0 0 +5 +5 8 0x1906 0x2e7e5a87 @@ -6662,6 +8328,8 @@ 1 1 0 +5 +0 8 0x63a7 0xa07782ca @@ -6670,6 +8338,8 @@ 1 0 0 +2 +0 7 0x48fb 0xfabced0 @@ -6678,6 +8348,8 @@ 1 1 0 +5 +1 10 0xec0 0xaa7d811d @@ -6686,6 +8358,8 @@ 0 0 0 +1 +2 5 0x6a0f 0x3544b200 @@ -6694,6 +8368,8 @@ 0 0 0 +0 +1 7 0x423 0xa63d4621 @@ -6702,6 +8378,8 @@ 1 1 0 +4 +3 9 0xd82a 0xd1897c53 @@ -6710,6 +8388,8 @@ 1 1 0 +4 +2 6 0xdaf2 0x531f0475 @@ -6718,6 +8398,8 @@ 1 0 0 +3 +5 1 0x37d5 0x56a605c @@ -6726,6 +8408,8 @@ 1 1 0 +0 +1 7 0x27a9 0xa4b0dcba @@ -6735,6 +8419,8 @@ 0 0 3 +3 +3 0x5293 0xb1b907ee 256 @@ -6742,6 +8428,8 @@ 0 0 0 +3 +2 10 0xe2cf 0x63d9d1c3 @@ -6750,6 +8438,8 @@ 1 0 0 +4 +2 5 0x1fea 0x671fa7d0 @@ -6758,6 +8448,8 @@ 1 1 0 +0 +0 10 0xc722 0xa8994e89 @@ -6766,6 +8458,8 @@ 1 0 0 +5 +4 1 0x8187 0x3cfe9e82 @@ -6774,6 +8468,8 @@ 1 0 0 +3 +3 7 0xe3b6 0x95e47218 @@ -6782,6 +8478,8 @@ 1 1 0 +4 +1 3 0x1117 0x500c7104 @@ -6791,6 +8489,8 @@ 0 0 1 +4 +1 0x936d 0x2fe99635 256 @@ -6798,6 +8498,8 @@ 1 1 0 +3 +3 7 0xd7ac 0xd1aff73a @@ -6806,6 +8508,8 @@ 0 0 0 +0 +4 6 0xe36c 0x7235420b @@ -6814,6 +8518,8 @@ 1 1 0 +5 +3 6 0x3ea9 0x58d40c2 @@ -6823,6 +8529,8 @@ 0 0 1 +5 +1 0xc4e6 0xc8bd0d54 256 @@ -6830,6 +8538,8 @@ 1 1 0 +4 +0 8 0xd05 0xb7c32451 @@ -6838,6 +8548,8 @@ 1 1 0 +2 +3 8 0x90b1 0x8b80bbb5 @@ -6846,6 +8558,8 @@ 1 1 0 +2 +5 8 0x1058 0xa9a06bb3 @@ -6854,6 +8568,8 @@ 1 1 0 +4 +5 1 0x2fb2 0xd8a2d9ba @@ -6862,6 +8578,8 @@ 0 0 0 +4 +5 7 0xeded 0xf8be1ef @@ -6870,6 +8588,8 @@ 1 1 0 +5 +5 7 0x52e2 0xd813664 @@ -6878,6 +8598,8 @@ 1 1 0 +3 +2 10 0x2e13 0x4f7beaa @@ -6886,6 +8608,8 @@ 1 1 0 +4 +2 10 0x742c 0x1a61bba1 @@ -6894,6 +8618,8 @@ 0 0 0 +4 +4 7 0xbfaa 0xebb70d7d @@ -6902,6 +8628,8 @@ 0 0 0 +3 +3 5 0x7599 0xe66b28c1 @@ -6910,6 +8638,8 @@ 0 0 0 +1 +5 4 0x4c33 0x20ba42da @@ -6919,6 +8649,8 @@ 0 0 4 +3 +4 0x933 0x206b9090 256 @@ -6926,6 +8658,8 @@ 1 0 0 +5 +2 9 0xcbd7 0xf4d2242a @@ -6934,6 +8668,8 @@ 0 0 0 +5 +5 9 0x4ff5 0x47e60d7c @@ -6942,6 +8678,8 @@ 1 1 0 +5 +5 9 0xa29f 0xd72642b9 @@ -6950,6 +8688,8 @@ 1 0 0 +3 +3 6 0x1645 0x9fdfd085 @@ -6958,6 +8698,8 @@ 0 0 0 +1 +5 4 0xdd99 0x9c0af4d0 @@ -6966,6 +8708,8 @@ 1 1 0 +0 +3 6 0x2434 0x327020d6 @@ -6974,6 +8718,8 @@ 0 0 0 +5 +3 2 0xed7b 0x61756a15 @@ -6983,6 +8729,8 @@ 0 0 4 +5 +4 0x86a9 0x94b6e1d4 256 @@ -6991,6 +8739,8 @@ 1 0 5 +5 +5 0x5bb5 0xb531b678 256 @@ -6998,6 +8748,8 @@ 0 0 0 +5 +5 10 0x4dd7 0x960b1a41 @@ -7006,6 +8758,8 @@ 0 0 0 +5 +3 3 0x3d42 0x704f25ae @@ -7014,6 +8768,8 @@ 1 1 0 +0 +5 2 0x93e5 0xab0898b5 @@ -7023,6 +8779,8 @@ 1 0 3 +5 +3 0xd908 0x592162e0 256 @@ -7030,6 +8788,8 @@ 1 1 0 +0 +2 1 0xbfc0 0xea837d05 @@ -7038,6 +8798,8 @@ 0 0 0 +5 +2 2 0xa98d 0x12ec7987 @@ -7046,6 +8808,8 @@ 0 0 0 +0 +2 1 0xbc41 0x24d4757a @@ -7054,6 +8818,8 @@ 0 0 0 +0 +1 5 0xb96b 0x54fdda36 @@ -7062,6 +8828,8 @@ 0 0 0 +3 +4 5 0xe460 0xe19c328f @@ -7070,6 +8838,8 @@ 1 0 0 +5 +1 3 0xf012 0x892d15e4 @@ -7078,6 +8848,8 @@ 0 0 0 +1 +0 6 0xb616 0xbdae7f30 @@ -7086,6 +8858,8 @@ 0 0 0 +4 +0 8 0x48c2 0x40a9f85b @@ -7094,6 +8868,8 @@ 1 1 0 +2 +5 5 0x1bd9 0xd2e0cada @@ -7102,6 +8878,8 @@ 0 0 0 +5 +0 1 0x434f 0x3422a549 @@ -7111,6 +8889,8 @@ 0 0 3 +2 +3 0x7baf 0x34128b7c 256 @@ -7118,6 +8898,8 @@ 0 0 0 +2 +3 6 0xee26 0xcfc6a3ec @@ -7126,6 +8908,8 @@ 0 0 0 +2 +3 4 0x6408 0x6ba942fd @@ -7134,6 +8918,8 @@ 0 0 0 +1 +4 3 0x9a75 0x46afe5f3 @@ -7142,6 +8928,8 @@ 1 0 0 +1 +4 10 0xad91 0x70eb794d @@ -7151,6 +8939,8 @@ 0 0 3 +1 +3 0x6ce3 0x7427aeb7 256 @@ -7158,6 +8948,8 @@ 0 0 0 +1 +0 5 0xd4b1 0x9c2ae6d7 @@ -7166,6 +8958,8 @@ 1 0 0 +2 +3 6 0x2e0 0xbb493c10 @@ -7174,6 +8968,8 @@ 1 1 0 +4 +0 6 0x1c1e 0xee98c454 @@ -7182,6 +8978,8 @@ 1 1 0 +4 +4 1 0xb4aa 0xa5eeb4f @@ -7191,6 +8989,8 @@ 0 0 4 +5 +4 0x3c31 0x4cf42441 256 @@ -7198,6 +8998,8 @@ 0 0 0 +0 +3 8 0x216e 0xb173014d @@ -7206,6 +9008,8 @@ 1 0 0 +2 +3 3 0xf8b3 0xc15e1fe6 @@ -7214,6 +9018,8 @@ 0 0 0 +3 +1 5 0xb8b0 0x72b90170 @@ -7222,6 +9028,8 @@ 1 1 0 +0 +4 2 0xf9ea 0x52c3dce0 @@ -7230,6 +9038,8 @@ 0 0 0 +3 +0 10 0x7f24 0x1a69ba @@ -7238,6 +9048,8 @@ 0 0 0 +0 +5 2 0xeae 0x8540ee83 @@ -7246,6 +9058,8 @@ 1 0 0 +4 +2 3 0xb099 0xdac173be @@ -7254,6 +9068,8 @@ 1 1 0 +2 +4 6 0x4b29 0x6661d3d0 @@ -7262,6 +9078,8 @@ 1 1 0 +3 +3 5 0xd0f9 0xef55ab6b @@ -7270,6 +9088,8 @@ 0 0 0 +3 +3 9 0x6a94 0x6e713a5c @@ -7278,6 +9098,8 @@ 0 0 0 +0 +3 2 0xfab5 0x1db14f3e @@ -7286,6 +9108,8 @@ 1 1 0 +4 +0 5 0xd117 0x4bb061d3 @@ -7294,6 +9118,8 @@ 1 1 0 +2 +2 1 0xd556 0x3818c70c @@ -7302,6 +9128,8 @@ 1 0 0 +3 +0 5 0xa3f1 0xdbd0cb00 @@ -7310,6 +9138,8 @@ 1 1 0 +0 +5 1 0x7a67 0x48c23095 @@ -7318,6 +9148,8 @@ 0 0 0 +1 +5 6 0x295e 0xa5e0723f @@ -7326,6 +9158,8 @@ 1 1 0 +3 +4 1 0xe517 0xde7c6c5 @@ -7334,6 +9168,8 @@ 0 0 0 +3 +1 6 0xf0fc 0xd33fcee1 @@ -7342,6 +9178,8 @@ 0 0 0 +2 +1 10 0xa959 0xc74a24d1 @@ -7350,6 +9188,8 @@ 1 1 0 +5 +0 9 0x803a 0xa48a5d49 @@ -7358,6 +9198,8 @@ 0 0 0 +2 +4 7 0xba59 0xa5feb354 @@ -7366,6 +9208,8 @@ 0 0 0 +4 +2 8 0x7c4 0x4ea055da @@ -7375,6 +9219,8 @@ 0 0 3 +5 +3 0x682d 0x93ae3100 256 @@ -7382,6 +9228,8 @@ 0 0 0 +3 +5 5 0xb287 0xd416d595 @@ -7390,6 +9238,8 @@ 0 0 0 +2 +4 5 0xe617 0x6ad0b67a @@ -7398,6 +9248,8 @@ 0 0 0 +0 +2 6 0xe47c 0xb9a849f4 @@ -7406,6 +9258,8 @@ 0 0 0 +4 +5 8 0x3673 0x6ad805a6 @@ -7415,6 +9269,8 @@ 0 0 1 +2 +1 0xb4f8 0xc3128e2c 256 @@ -7422,6 +9278,8 @@ 1 0 0 +1 +0 8 0xa856 0x634b17e3 @@ -7430,6 +9288,8 @@ 1 0 0 +3 +3 6 0x7878 0xa107235b @@ -7438,6 +9298,8 @@ 1 0 0 +3 +2 9 0x43 0x9f5af24c @@ -7446,6 +9308,8 @@ 1 0 0 +2 +0 3 0xb960 0xd711790c @@ -7454,6 +9318,8 @@ 0 0 0 +5 +4 4 0xf9f2 0x8481f21c @@ -7462,6 +9328,8 @@ 0 0 0 +1 +4 3 0xc23f 0x9f27fba8 @@ -7470,6 +9338,8 @@ 1 0 0 +0 +2 4 0x7bf4 0xce85bc6a @@ -7478,6 +9348,8 @@ 1 1 0 +5 +4 4 0xd636 0x4caeb8be @@ -7486,6 +9358,8 @@ 0 0 0 +0 +4 2 0x9401 0x97140998 @@ -7494,6 +9368,8 @@ 1 0 0 +3 +4 4 0xd452 0xc93bab29 @@ -7502,6 +9378,8 @@ 0 0 0 +4 +1 6 0xb827 0x6983042f @@ -7510,6 +9388,8 @@ 1 0 0 +0 +4 9 0xce0f 0x198dde88 @@ -7518,6 +9398,8 @@ 0 0 0 +0 +0 3 0x8605 0x37646193 @@ -7526,6 +9408,8 @@ 0 0 0 +5 +1 10 0x46d0 0xfa42cfaa @@ -7534,6 +9418,8 @@ 1 0 0 +2 +4 7 0xaf44 0x8d47affa @@ -7542,6 +9428,8 @@ 1 0 0 +0 +4 5 0x47c 0xc74f1c07 @@ -7550,6 +9438,8 @@ 1 1 0 +1 +4 9 0x46d7 0x9a9b8f7b @@ -7558,6 +9448,8 @@ 1 0 0 +2 +1 9 0xe939 0xd299404e @@ -7566,6 +9458,8 @@ 0 0 0 +4 +0 8 0x499a 0x35a4d23a @@ -7574,6 +9468,8 @@ 1 1 0 +0 +3 8 0x2b7c 0xdd4c39a0 @@ -7582,6 +9478,8 @@ 0 0 0 +1 +2 5 0x220a 0xde8c7627 @@ -7591,6 +9489,8 @@ 0 0 4 +1 +4 0xe2b0 0x45568cf1 256 @@ -7598,6 +9498,8 @@ 1 1 0 +5 +4 2 0x485a 0xb0c13eff @@ -7606,6 +9508,8 @@ 0 0 0 +2 +0 1 0x704 0xec4feea8 @@ -7614,6 +9518,8 @@ 0 0 0 +4 +2 7 0xad11 0x8cbeb3f3 @@ -7622,6 +9528,8 @@ 0 0 0 +3 +5 1 0xe42f 0xb48a1b9e @@ -7630,6 +9538,8 @@ 1 0 0 +1 +1 6 0xfe25 0x80005794 @@ -7638,6 +9548,8 @@ 0 0 0 +5 +1 9 0x56b8 0x14969abe @@ -7646,6 +9558,8 @@ 1 1 0 +5 +3 9 0x7cb4 0x8ca15b73 @@ -7654,6 +9568,8 @@ 1 0 0 +1 +0 2 0xe72e 0x315ac57f @@ -7663,6 +9579,8 @@ 0 0 5 +0 +5 0xe93 0xdc316323 256 @@ -7670,6 +9588,8 @@ 0 0 0 +1 +1 5 0xf00e 0x956729b6 @@ -7678,6 +9598,8 @@ 1 0 0 +0 +1 8 0xa3db 0x312d2b47 @@ -7686,6 +9608,8 @@ 0 0 0 +4 +1 10 0x3a3d 0xfe0c1959 @@ -7694,6 +9618,8 @@ 0 0 0 +2 +0 3 0xdf7b 0xa2890da3 @@ -7702,6 +9628,8 @@ 0 0 0 +1 +3 8 0x7149 0xf1ef580e @@ -7710,6 +9638,8 @@ 1 0 0 +3 +4 5 0xa0db 0x16784cc7 @@ -7718,6 +9648,8 @@ 0 0 0 +5 +4 3 0x9a65 0x90bf6583 @@ -7726,6 +9658,8 @@ 1 1 0 +4 +1 1 0x8cdc 0x7a74d03e @@ -7734,6 +9668,8 @@ 0 0 0 +2 +0 3 0xda83 0x8c7d0849 @@ -7742,6 +9678,8 @@ 1 0 0 +2 +1 7 0x7794 0xab6747d9 @@ -7750,6 +9688,8 @@ 1 1 0 +2 +4 3 0xf500 0x6ab0f8e1 @@ -7758,6 +9698,8 @@ 0 0 0 +4 +4 8 0x8c0c 0x2b3334de @@ -7766,6 +9708,8 @@ 1 1 0 +4 +4 5 0xdc71 0xb01fd9ee @@ -7774,6 +9718,8 @@ 1 1 0 +0 +3 6 0xd4bf 0x8d3365f8 @@ -7782,6 +9728,8 @@ 0 0 0 +4 +0 5 0xabef 0xc08b36f2 @@ -7790,6 +9738,8 @@ 0 0 0 +1 +3 8 0x81a0 0x5b62934b @@ -7798,6 +9748,8 @@ 0 0 0 +5 +5 3 0x2d 0xaecff3bf @@ -7806,6 +9758,8 @@ 0 0 0 +5 +1 6 0xc6d0 0x7b0ed136 @@ -7814,6 +9768,8 @@ 0 0 0 +4 +3 6 0x14b 0x7258695a @@ -7822,6 +9778,8 @@ 0 0 0 +2 +0 3 0xa9a2 0x9cf950c6 @@ -7830,6 +9788,8 @@ 0 0 0 +4 +4 9 0x6542 0xfdb8770d @@ -7838,6 +9798,8 @@ 1 0 0 +0 +5 8 0x3779 0xc96536b7 @@ -7846,6 +9808,8 @@ 0 0 0 +5 +4 8 0x2e2a 0xfd6b1e5e @@ -7854,6 +9818,8 @@ 0 0 0 +3 +2 6 0x4f7d 0x3449485c @@ -7862,6 +9828,8 @@ 0 0 0 +3 +2 10 0x2b21 0xa178b3b8 @@ -7870,6 +9838,8 @@ 0 0 0 +3 +5 8 0x6771 0xe6bbb53 @@ -7878,6 +9848,8 @@ 0 0 0 +3 +5 10 0xeab7 0xdfec4315 @@ -7886,6 +9858,8 @@ 0 0 0 +0 +0 3 0x13df 0xd84550eb @@ -7894,6 +9868,8 @@ 1 1 0 +5 +1 6 0x9421 0xef17db98 @@ -7902,6 +9878,8 @@ 1 1 0 +1 +1 10 0x98ad 0x4a24c19f @@ -7910,6 +9888,8 @@ 1 1 0 +4 +5 1 0x4689 0x5d21c62d @@ -7918,6 +9898,8 @@ 1 1 0 +0 +2 8 0x96be 0x58aeba57 @@ -7926,6 +9908,8 @@ 0 0 0 +3 +2 6 0xc4a6 0x3fa7ba9c @@ -7934,6 +9918,8 @@ 1 0 0 +4 +5 1 0x46ee 0x2e988772 @@ -7942,6 +9928,8 @@ 1 1 0 +2 +3 5 0x40af 0x4369bec5 @@ -7950,6 +9938,8 @@ 1 0 0 +3 +4 1 0xaa16 0xd78e655c @@ -7958,6 +9948,8 @@ 0 0 0 +2 +0 3 0x2e61 0x5995cb0d @@ -7966,6 +9958,8 @@ 1 1 0 +1 +4 3 0x49f6 0xc5812dca @@ -7974,6 +9968,8 @@ 1 1 0 +3 +0 5 0x4868 0x3678d1a @@ -7982,6 +9978,8 @@ 1 0 0 +3 +1 2 0xe8c4 0xebd71850 @@ -7990,6 +9988,8 @@ 0 0 0 +1 +5 8 0xb7fa 0xdedddd4f @@ -7999,6 +9999,8 @@ 0 0 4 +4 +4 0x3a97 0xa5f6968f 256 @@ -8007,6 +10009,8 @@ 0 0 5 +3 +5 0xeef3 0xc6a76575 256 @@ -8014,6 +10018,8 @@ 1 0 0 +2 +0 6 0xcc7d 0xc301befc @@ -8022,6 +10028,8 @@ 0 0 0 +2 +2 9 0x383e 0xd74b25a7 @@ -8030,6 +10038,8 @@ 1 0 0 +0 +5 4 0x1bce 0xbd9c55f5 @@ -8038,6 +10048,8 @@ 1 1 0 +3 +1 7 0x3339 0xc26d7f25 @@ -8046,6 +10058,8 @@ 0 0 0 +0 +5 6 0x139c 0xdcb67a0f @@ -8054,6 +10068,8 @@ 0 0 0 +3 +5 2 0xd3a8 0x636bfa0d @@ -8062,6 +10078,8 @@ 0 0 0 +0 +3 2 0x3d15 0xcec1120a @@ -8070,6 +10088,8 @@ 0 0 0 +5 +3 2 0x27d5 0x7c212590 @@ -8078,6 +10098,8 @@ 1 0 0 +4 +0 9 0x157c 0x29aaf5dd @@ -8086,6 +10108,8 @@ 0 0 0 +3 +1 4 0x5c47 0xc439913e @@ -8094,6 +10118,8 @@ 1 0 0 +1 +2 9 0x1fa4 0xaf64a672 @@ -8102,6 +10128,8 @@ 0 0 0 +3 +3 8 0xf054 0xaaf79189 @@ -8110,6 +10138,8 @@ 0 0 0 +1 +0 2 0xd790 0x6e3d03fd @@ -8118,6 +10148,8 @@ 1 0 0 +1 +2 3 0xddcb 0x316add33 @@ -8126,6 +10158,8 @@ 0 0 0 +4 +1 1 0x20a3 0x92d4f89 @@ -8134,6 +10168,8 @@ 1 0 0 +0 +4 6 0x1bde 0x169d890b @@ -8142,6 +10178,8 @@ 1 0 0 +0 +2 9 0xa2d1 0xed61fbea @@ -8150,6 +10188,8 @@ 0 0 0 +3 +4 10 0xb530 0x691c4d48 @@ -8158,6 +10198,8 @@ 0 0 0 +5 +4 7 0x454d 0x79010ef5 @@ -8166,6 +10208,8 @@ 1 1 0 +5 +4 9 0x96c6 0xc4f6e70f @@ -8174,6 +10218,8 @@ 0 0 0 +1 +3 2 0x9480 0x6e580b27 @@ -8182,6 +10228,8 @@ 0 0 0 +3 +4 8 0x6114 0x76ff20f7 @@ -8190,6 +10238,8 @@ 1 0 0 +2 +5 4 0xf817 0x44d659e8 @@ -8198,6 +10248,8 @@ 0 0 0 +5 +2 10 0x971f 0x23c6bd42 @@ -8206,6 +10258,8 @@ 0 0 0 +5 +2 8 0xc2c5 0x1414bfdf @@ -8214,6 +10268,8 @@ 1 0 0 +0 +1 2 0xfa42 0x870cef49 @@ -8222,6 +10278,8 @@ 0 0 0 +5 +0 7 0xe7c8 0xd6e6f8e2 @@ -8230,6 +10288,8 @@ 0 0 0 +5 +3 8 0x9349 0xb1584948 @@ -8238,6 +10298,8 @@ 1 0 0 +0 +0 10 0x34b9 0x9c05cf79 @@ -8246,6 +10308,8 @@ 0 0 0 +5 +2 6 0xaf1f 0xfa7fb978 @@ -8254,6 +10318,8 @@ 0 0 0 +2 +4 1 0x1558 0x1d9af285 @@ -8262,6 +10328,8 @@ 0 0 0 +5 +0 3 0x59e5 0x9aa74f7b @@ -8270,6 +10338,8 @@ 0 0 0 +4 +4 5 0x563 0x9ab7f0ce @@ -8279,6 +10349,8 @@ 1 0 3 +4 +3 0xc246 0x41190420 256 @@ -8286,6 +10358,8 @@ 1 1 0 +2 +3 1 0x1113 0x2dae286e @@ -8294,6 +10368,8 @@ 1 1 0 +1 +0 3 0x3f9 0x8dcd4fa8 @@ -8302,6 +10378,8 @@ 1 0 0 +5 +5 10 0xf7be 0x2a654d3a @@ -8310,6 +10388,8 @@ 1 0 0 +0 +0 8 0x783 0x2ea7dc01 @@ -8318,6 +10398,8 @@ 0 0 0 +3 +3 4 0x77c3 0xb5314224 @@ -8327,6 +10409,8 @@ 1 0 2 +2 +2 0x1839 0x474dc377 256 @@ -8334,6 +10418,8 @@ 0 0 0 +5 +2 7 0x99b5 0x1bead367 @@ -8342,6 +10428,8 @@ 0 0 0 +0 +4 6 0xeb15 0x6ba4c9e6 @@ -8350,6 +10438,8 @@ 0 0 0 +1 +5 3 0x3f7f 0x37cfafbf @@ -8358,6 +10448,8 @@ 0 0 0 +5 +0 8 0x1cec 0x356fafa4 @@ -8366,6 +10458,8 @@ 0 0 0 +5 +2 8 0xbcd1 0xa3669932 @@ -8374,6 +10468,8 @@ 1 0 0 +2 +5 9 0xbff8 0xdfaf79fd @@ -8382,6 +10478,8 @@ 1 1 0 +0 +1 3 0x19da 0x4acfb636 @@ -8390,6 +10488,8 @@ 1 1 0 +3 +0 4 0x54d5 0xc007e6db @@ -8398,6 +10498,8 @@ 0 0 0 +3 +0 4 0xfc76 0x13656619 @@ -8407,6 +10509,8 @@ 0 0 2 +2 +2 0xc06a 0xa6fad46c 256 @@ -8414,6 +10518,8 @@ 0 0 0 +1 +0 5 0x8a81 0xf6c9e159 @@ -8422,6 +10528,8 @@ 1 0 0 +5 +4 7 0x874d 0x92fb65b4 @@ -8431,6 +10539,8 @@ 0 0 5 +0 +5 0x9068 0x69ffc074 256 @@ -8438,6 +10548,8 @@ 1 0 0 +3 +4 4 0xce3d 0xd8a79dd7 @@ -8446,6 +10558,8 @@ 1 1 0 +3 +4 9 0x4254 0xff6c868f @@ -8454,6 +10568,8 @@ 0 0 0 +2 +0 3 0x12f7 0x5478cc71 @@ -8462,6 +10578,8 @@ 1 0 0 +1 +3 5 0x4e9e 0x4e024d04 @@ -8470,6 +10588,8 @@ 0 0 0 +1 +2 3 0xa4b6 0x9b5e8924 @@ -8478,6 +10598,8 @@ 1 1 0 +3 +1 10 0xf900 0xf4b0c206 @@ -8486,6 +10608,8 @@ 1 1 0 +0 +1 9 0x747c 0xd20097e @@ -8494,6 +10618,8 @@ 0 0 0 +5 +1 8 0xa7f3 0xcb78de2 @@ -8502,6 +10628,8 @@ 1 0 0 +4 +2 3 0xe513 0x8bc34b21 @@ -8510,6 +10638,8 @@ 0 0 0 +4 +4 5 0x9c8 0x116f974a @@ -8518,6 +10648,8 @@ 0 0 0 +4 +1 10 0x76c7 0x2d54bfde @@ -8526,6 +10658,8 @@ 1 1 0 +4 +1 3 0xe3e9 0x4103a484 @@ -8534,6 +10668,8 @@ 1 0 0 +1 +3 10 0x4fd8 0x9b7fecea @@ -8542,6 +10678,8 @@ 0 0 0 +4 +0 3 0xa9da 0x55b11ad9 @@ -8550,6 +10688,8 @@ 0 0 0 +4 +4 8 0xf21 0x6073dffb @@ -8558,6 +10698,8 @@ 0 0 0 +5 +1 2 0x788e 0xb1344f45 @@ -8566,6 +10708,8 @@ 1 0 0 +5 +4 1 0x5a7c 0xbb7b4eeb @@ -8574,6 +10718,8 @@ 0 0 0 +3 +5 4 0x995a 0x67124c38 @@ -8582,6 +10728,8 @@ 0 0 0 +1 +1 8 0x3ff6 0x9e66e89a @@ -8590,6 +10738,8 @@ 1 0 0 +0 +3 5 0x83ab 0x6c9f34b6 @@ -8598,6 +10748,8 @@ 1 1 0 +3 +3 9 0x7f45 0x2152a329 @@ -8606,6 +10758,8 @@ 1 0 0 +1 +4 7 0x39d 0x395ff65f @@ -8614,6 +10768,8 @@ 1 0 0 +1 +2 4 0x584e 0x65bee003 @@ -8622,6 +10778,8 @@ 0 0 0 +4 +0 5 0x96e0 0x24852215 @@ -8631,6 +10789,8 @@ 0 0 4 +1 +4 0xd44a 0x3a09369d 256 @@ -8638,6 +10798,8 @@ 1 0 0 +0 +3 3 0x74dc 0x38e5850 @@ -8646,6 +10808,8 @@ 1 1 0 +0 +2 1 0x89f7 0x57e44259 @@ -8654,6 +10818,8 @@ 1 1 0 +5 +3 2 0x3c45 0x19d51dc @@ -8662,6 +10828,8 @@ 1 0 0 +5 +2 6 0x3282 0x5ed3738a @@ -8670,6 +10838,8 @@ 1 0 0 +0 +4 4 0x4cba 0x6ce63c67 @@ -8678,6 +10848,8 @@ 1 0 0 +4 +4 10 0xec36 0x5f961f4a @@ -8686,6 +10858,8 @@ 1 1 0 +4 +5 3 0x2611 0x3225f70b @@ -8694,6 +10868,8 @@ 0 0 0 +1 +1 7 0x55ce 0x1c8ebd38 @@ -8702,6 +10878,8 @@ 0 0 0 +5 +5 3 0xc8e 0x8398c893 @@ -8710,6 +10888,8 @@ 1 0 0 +0 +1 5 0x9c39 0xf89d682e @@ -8718,6 +10898,8 @@ 1 1 0 +4 +3 5 0x515 0x3e38335b @@ -8726,6 +10908,8 @@ 1 1 0 +2 +4 6 0x71eb 0xd07426b0 @@ -8735,6 +10919,8 @@ 0 0 3 +3 +3 0xb48 0x8fa7cf40 256 @@ -8742,6 +10928,8 @@ 1 0 0 +5 +2 1 0x24f9 0xe0fa492c @@ -8750,6 +10938,8 @@ 1 1 0 +1 +0 2 0x7d36 0x4d7b293b @@ -8758,6 +10948,8 @@ 0 0 0 +0 +4 10 0x1d6c 0x25b8375 @@ -8766,6 +10958,8 @@ 1 1 0 +3 +4 6 0x394 0x420eab43 @@ -8774,6 +10968,8 @@ 0 0 0 +3 +2 7 0x169 0xfad18037 @@ -8782,6 +10978,8 @@ 0 0 0 +0 +4 1 0x8aa1 0xa8b120d2 @@ -8790,6 +10988,8 @@ 1 1 0 +2 +5 1 0xea2b 0xdfe3b356 @@ -8798,6 +10998,8 @@ 1 0 0 +3 +4 5 0x7d50 0x103f37c @@ -8806,6 +11008,8 @@ 0 0 0 +4 +0 3 0x4e1c 0xbe6e210b @@ -8814,6 +11018,8 @@ 0 0 0 +1 +0 4 0xa9f4 0x75662647 @@ -8822,6 +11028,8 @@ 0 0 0 +3 +1 5 0xab94 0x70f91b5 @@ -8830,6 +11038,8 @@ 1 1 0 +2 +3 10 0xfe6f 0x7520f62d @@ -8838,6 +11048,8 @@ 0 0 0 +0 +3 8 0x53ae 0x4cb56651 @@ -8847,6 +11059,8 @@ 0 0 5 +4 +5 0x8edd 0x9414bcf0 256 @@ -8855,6 +11069,8 @@ 1 0 4 +1 +4 0xf61b 0x2af725bf 256 @@ -8863,6 +11079,8 @@ 0 0 3 +4 +3 0x1af5 0x6d5f010f 256 @@ -8870,6 +11088,8 @@ 1 1 0 +4 +2 6 0x7b71 0x2d20407a @@ -8878,6 +11098,8 @@ 0 0 0 +5 +2 6 0x56d7 0x273ca181 @@ -8886,6 +11108,8 @@ 1 1 0 +5 +0 8 0xf763 0xbfc267cc @@ -8894,6 +11118,8 @@ 0 0 0 +2 +5 6 0xe659 0xe398ea92 @@ -8902,6 +11128,8 @@ 0 0 0 +0 +2 7 0x3773 0x475f48d7 @@ -8911,6 +11139,8 @@ 0 0 1 +1 +1 0x15b9 0x5aa71fbb 256 @@ -8918,6 +11148,8 @@ 0 0 0 +4 +2 5 0x6809 0xc64fd2c3 @@ -8926,6 +11158,8 @@ 1 0 0 +3 +1 8 0xf0d4 0xc657b9d8 @@ -8934,6 +11168,8 @@ 0 0 0 +2 +3 5 0xbe66 0xd4c31519 @@ -8942,6 +11178,8 @@ 0 0 0 +2 +5 4 0x96e5 0xd7bc6fe5 @@ -8950,6 +11188,8 @@ 0 0 0 +3 +4 2 0x7b41 0xd34d1f2f @@ -8958,6 +11198,8 @@ 1 0 0 +0 +5 10 0xf897 0x9b45c427 @@ -8966,6 +11208,8 @@ 0 0 0 +0 +4 1 0xa9d3 0xf80a25db @@ -8974,6 +11218,8 @@ 0 0 0 +3 +4 4 0xf325 0xc2404f60 @@ -8982,6 +11228,8 @@ 1 1 0 +5 +2 10 0x66a 0xda0ceff7 @@ -8990,6 +11238,8 @@ 0 0 0 +2 +4 10 0x7cb5 0xc1de1861 @@ -8998,6 +11248,8 @@ 1 1 0 +0 +0 2 0x1b80 0x23e0cb3e @@ -9006,6 +11258,8 @@ 0 0 0 +5 +5 6 0x6cc2 0x2d2ea583 @@ -9014,6 +11268,8 @@ 1 1 0 +3 +3 8 0x98d0 0xe2b05bf @@ -9022,6 +11278,8 @@ 0 0 0 +5 +5 9 0xbcd4 0x61f585bf @@ -9030,6 +11288,8 @@ 0 0 0 +0 +4 3 0x15a4 0xe7a6da27 @@ -9038,6 +11298,8 @@ 1 1 0 +4 +4 7 0xdd66 0xfb030b31 @@ -9046,6 +11308,8 @@ 1 0 0 +4 +5 3 0x14d4 0x51ffa596 @@ -9054,6 +11318,8 @@ 1 0 0 +3 +3 2 0x1bbb 0x5dabedc9 @@ -9062,6 +11328,8 @@ 0 0 0 +1 +4 7 0x1d1b 0x54c7ab4 @@ -9070,6 +11338,8 @@ 0 0 0 +1 +5 2 0xd13e 0x91b4e64b @@ -9078,6 +11348,8 @@ 1 1 0 +3 +3 6 0x1766 0x27c31b36 @@ -9086,6 +11358,8 @@ 1 0 0 +0 +2 7 0x76ee 0xfae9ce69 @@ -9094,6 +11368,8 @@ 1 1 0 +0 +1 7 0xd353 0xbd39a9a9 @@ -9102,6 +11378,8 @@ 1 1 0 +3 +0 1 0xa789 0x85188ad8 @@ -9110,6 +11388,8 @@ 1 0 0 +2 +3 4 0xbd7a 0xdad1b8ee @@ -9118,6 +11398,8 @@ 0 0 0 +2 +0 3 0xe9a1 0xe4b86492 @@ -9127,6 +11409,8 @@ 0 0 4 +5 +4 0x10ee 0xfde04fea 256 @@ -9134,6 +11418,8 @@ 0 0 0 +3 +2 7 0xcf13 0x87a0a6a2 @@ -9143,6 +11429,8 @@ 1 0 1 +2 +1 0xb3f7 0xb8670607 256 @@ -9150,6 +11438,8 @@ 1 0 0 +4 +4 6 0x17fb 0x787e18e9 @@ -9158,6 +11448,8 @@ 1 1 0 +5 +2 9 0x9a10 0x680bccbd @@ -9166,6 +11458,8 @@ 1 0 0 +0 +3 9 0x2bc4 0x9011db7 @@ -9174,6 +11468,8 @@ 0 0 0 +5 +3 2 0x91d 0x9bcd93e6 @@ -9182,6 +11478,8 @@ 0 0 0 +5 +1 7 0x9720 0x59874561 @@ -9190,6 +11488,8 @@ 1 1 0 +5 +3 1 0xdc06 0x1bae3a43 @@ -9198,6 +11498,8 @@ 1 0 0 +5 +4 8 0xbeee 0xe6e26df2 @@ -9206,6 +11508,8 @@ 0 0 0 +5 +1 9 0xf7ec 0x90045b40 @@ -9214,6 +11518,8 @@ 0 0 0 +0 +4 1 0x145e 0x4d08d603 @@ -9222,6 +11528,8 @@ 0 0 0 +5 +1 3 0x7be3 0xf17e74bc @@ -9230,6 +11538,8 @@ 1 0 0 +5 +0 8 0x3d04 0x119ea978 @@ -9238,6 +11548,8 @@ 0 0 0 +5 +0 8 0x4b12 0x98f0143e @@ -9246,6 +11558,8 @@ 1 0 0 +4 +0 5 0x9eca 0xf0faa526 @@ -9254,6 +11568,8 @@ 0 0 0 +0 +5 6 0x19b0 0x2d1ec8f5 @@ -9262,6 +11578,8 @@ 1 0 0 +2 +1 4 0x5d4c 0x5f030f6c @@ -9270,6 +11588,8 @@ 1 1 0 +3 +2 8 0xed99 0x1faa99db @@ -9278,6 +11598,8 @@ 1 1 0 +0 +4 8 0xc141 0x1d26418d @@ -9286,6 +11608,8 @@ 0 0 0 +3 +5 10 0x2dac 0x1f659db5 @@ -9294,6 +11618,8 @@ 0 0 0 +3 +3 7 0xd1df 0x681b8f33 @@ -9303,6 +11629,8 @@ 1 0 2 +5 +2 0x9e76 0xd54b6bc5 256 @@ -9310,6 +11638,8 @@ 1 0 0 +0 +1 1 0x6e01 0x4f42b49a @@ -9318,6 +11648,8 @@ 1 0 0 +5 +4 3 0xa447 0xcaf61f37 @@ -9326,6 +11658,8 @@ 1 0 0 +4 +2 2 0x797b 0x8d173c97 @@ -9334,6 +11668,8 @@ 0 0 0 +5 +1 3 0xc18e 0x2d831048 @@ -9342,6 +11678,8 @@ 0 0 0 +4 +0 10 0xee35 0xd963bf2f @@ -9350,6 +11688,8 @@ 1 0 0 +2 +2 9 0xe2e7 0x81af1703 @@ -9358,6 +11698,8 @@ 0 0 0 +3 +1 8 0x4dff 0xa6e22eca @@ -9366,6 +11708,8 @@ 1 0 0 +3 +5 9 0x4454 0x6c38ee31 @@ -9374,6 +11718,8 @@ 1 1 0 +5 +3 2 0xd6fb 0x4d0c1abd @@ -9382,6 +11728,8 @@ 0 0 0 +2 +2 7 0xcd17 0x309732b7 @@ -9390,6 +11738,8 @@ 0 0 0 +1 +2 5 0x63b7 0xb78b8399 @@ -9398,6 +11748,8 @@ 1 0 0 +5 +0 8 0x869c 0x87ea4cb2 @@ -9406,6 +11758,8 @@ 0 0 0 +5 +1 8 0x3bee 0x7d172dee @@ -9414,6 +11768,8 @@ 0 0 0 +4 +4 6 0x3cef 0xb1350329 @@ -9422,6 +11778,8 @@ 1 1 0 +4 +4 6 0x7dd4 0x3795fe3d @@ -9430,6 +11788,8 @@ 1 0 0 +5 +2 8 0x1b84 0x413255e9 @@ -9438,6 +11798,8 @@ 1 0 0 +1 +4 4 0x3135 0xbd64b626 @@ -9446,6 +11808,8 @@ 1 1 0 +3 +3 2 0xb465 0x7a236e99 @@ -9454,6 +11818,8 @@ 0 0 0 +3 +3 7 0xc1d7 0x4ccb7a22 @@ -9462,6 +11828,8 @@ 0 0 0 +5 +4 9 0x2451 0x8691483b @@ -9470,6 +11838,8 @@ 0 0 0 +1 +3 9 0xd85d 0xce15598f @@ -9478,6 +11848,8 @@ 1 0 0 +0 +0 9 0x15a0 0x27395ec0 @@ -9486,6 +11858,8 @@ 0 0 0 +5 +2 8 0xc8d 0xf8055de2 @@ -9495,6 +11869,8 @@ 0 0 1 +2 +1 0x177d 0x766936ce 256 @@ -9502,6 +11878,8 @@ 0 0 0 +3 +2 6 0xc4d5 0xa1304078 @@ -9510,6 +11888,8 @@ 1 1 0 +3 +4 4 0x217 0x2239d531 @@ -9518,6 +11898,8 @@ 0 0 0 +2 +4 5 0x1bd 0x3dbf956e @@ -9526,6 +11908,8 @@ 1 1 0 +1 +1 10 0xa678 0xaf38b75a @@ -9534,6 +11918,8 @@ 0 0 0 +3 +5 8 0xa13b 0xf0321ed2 @@ -9542,6 +11928,8 @@ 1 1 0 +0 +4 7 0xe312 0x6efd9984 @@ -9550,6 +11938,8 @@ 0 0 0 +4 +5 3 0x4194 0xfdb3a127 @@ -9558,6 +11948,8 @@ 1 1 0 +2 +5 3 0xce8e 0x1b287b54 @@ -9566,6 +11958,8 @@ 0 0 0 +3 +4 5 0x7b1 0xea85ca11 @@ -9574,6 +11968,8 @@ 1 0 0 +2 +3 7 0x1ca9 0x80f9442 @@ -9582,6 +11978,8 @@ 1 1 0 +0 +3 9 0x44df 0x9186df5a @@ -9590,6 +11988,8 @@ 0 0 0 +3 +3 4 0x9d2f 0xff6882de @@ -9598,6 +11998,8 @@ 0 0 0 +1 +5 7 0x6eb5 0x1fb0a43 @@ -9606,6 +12008,8 @@ 1 0 0 +4 +2 1 0x697 0x42aaeba1 @@ -9614,6 +12018,8 @@ 1 0 0 +3 +4 9 0xf081 0xbfb2b4c2 @@ -9622,6 +12028,8 @@ 1 0 0 +3 +5 5 0xd39d 0xffdc977c @@ -9631,6 +12039,8 @@ 0 0 1 +4 +1 0xcc33 0x34833550 256 @@ -9638,6 +12048,8 @@ 0 0 0 +0 +3 3 0x5c0a 0x76e41ba2 @@ -9646,6 +12058,8 @@ 1 0 0 +4 +0 2 0xdbf0 0x643130ac @@ -9654,6 +12068,8 @@ 1 0 0 +1 +3 10 0x8481 0x4e21227b @@ -9662,6 +12078,8 @@ 1 0 0 +1 +0 9 0xf4ca 0xe378e4b1 @@ -9670,6 +12088,8 @@ 1 0 0 +5 +2 4 0x1e5d 0xc2e1bdc7 @@ -9678,6 +12098,8 @@ 1 1 0 +1 +0 4 0xe28c 0x81d16ba @@ -9686,6 +12108,8 @@ 1 0 0 +1 +3 7 0x443f 0xa02f1a77 @@ -9694,6 +12118,8 @@ 0 0 0 +5 +0 3 0xa412 0x5035551c @@ -9702,6 +12128,8 @@ 1 0 0 +1 +0 5 0x63a1 0x69425bd5 @@ -9710,6 +12138,8 @@ 1 1 0 +1 +0 4 0x5dd0 0xf4478b5f @@ -9718,6 +12148,8 @@ 0 0 0 +5 +2 10 0xf6ba 0x559603ce @@ -9726,6 +12158,8 @@ 0 0 0 +0 +0 10 0x3af5 0xf9ded846 @@ -9734,6 +12168,8 @@ 1 0 0 +2 +5 6 0xfc9 0x331b32b7 @@ -9742,6 +12178,8 @@ 0 0 0 +0 +4 8 0xa49a 0x2fae7367 @@ -9750,6 +12188,8 @@ 1 0 0 +1 +3 8 0x35e6 0xd51a70a4 @@ -9758,6 +12198,8 @@ 0 0 0 +1 +5 8 0xdc32 0xd4fccf24 @@ -9766,6 +12208,8 @@ 0 0 0 +1 +0 8 0x1128 0x99003b3e @@ -9774,6 +12218,8 @@ 1 1 0 +5 +3 2 0x8545 0x601fc400 @@ -9782,6 +12228,8 @@ 0 0 0 +2 +4 4 0xd962 0x987dccab @@ -9790,6 +12238,8 @@ 0 0 0 +0 +3 3 0x7f69 0x73e48b16 @@ -9798,6 +12248,8 @@ 0 0 0 +2 +1 3 0x9102 0xa57724db @@ -9806,6 +12258,8 @@ 1 0 0 +1 +3 3 0x5ae8 0xd50b7972 @@ -9815,6 +12269,8 @@ 0 0 4 +0 +4 0xb611 0x4fbbd030 256 @@ -9822,6 +12278,8 @@ 1 1 0 +5 +1 4 0x9a74 0xc56c50c4 @@ -9830,6 +12288,8 @@ 1 1 0 +1 +5 10 0xcb49 0x68794c3 @@ -9838,6 +12298,8 @@ 0 0 0 +1 +1 3 0x5df7 0xd873c6ab @@ -9846,6 +12308,8 @@ 0 0 0 +3 +1 8 0xa6e3 0x96bd63de @@ -9854,6 +12318,8 @@ 0 0 0 +3 +5 4 0x70d2 0x63f5bff5 @@ -9862,6 +12328,8 @@ 1 0 0 +3 +5 7 0x54a 0xbef208f9 @@ -9870,6 +12338,8 @@ 1 0 0 +2 +3 3 0xb50a 0xc46274f0 @@ -9878,6 +12348,8 @@ 1 1 0 +1 +5 7 0xe0d 0x4c321edb @@ -9886,6 +12358,8 @@ 1 1 0 +3 +1 8 0x50fa 0xef0c3764 @@ -9894,6 +12368,8 @@ 1 1 0 +3 +1 6 0xf6ab 0xd779da7e @@ -9902,6 +12378,8 @@ 0 0 0 +0 +1 9 0xd1da 0x699abfb5 @@ -9910,6 +12388,8 @@ 1 1 0 +2 +4 10 0x7bf 0x34c123e5 @@ -9918,6 +12398,8 @@ 0 0 0 +4 +1 6 0x9fae 0x1d3e327c @@ -9926,6 +12408,8 @@ 0 0 0 +2 +0 1 0xaf62 0x94160a @@ -9935,6 +12419,8 @@ 0 0 4 +5 +4 0xf0bd 0x4398d2c4 256 @@ -9942,6 +12428,8 @@ 1 0 0 +5 +0 1 0x7e86 0x3516a443 @@ -9950,6 +12438,8 @@ 1 1 0 +4 +2 9 0xf1fc 0x10f4bd4a @@ -9958,6 +12448,8 @@ 1 1 0 +4 +0 10 0x7eb2 0x5de10cac @@ -9967,6 +12459,8 @@ 1 0 2 +3 +2 0x817d 0xf61246fb 256 @@ -9974,6 +12468,8 @@ 1 0 0 +1 +0 7 0xa635 0xd640ba9c @@ -9982,6 +12478,8 @@ 0 0 0 +2 +1 3 0xec2a 0x6087c055 @@ -9990,6 +12488,8 @@ 0 0 0 +4 +3 2 0xe9bc 0x733ca541 @@ -9998,6 +12498,8 @@ 0 0 0 +4 +5 7 0x87c8 0xcacbbdfe @@ -10006,6 +12508,8 @@ 0 0 0 +0 +2 6 0x5316 0xfc8b1786 @@ -10014,6 +12518,8 @@ 0 0 0 +1 +2 9 0xa39c 0x503cd28a @@ -10022,6 +12528,8 @@ 0 0 0 +3 +3 1 0x363b 0x5fc75eb2 @@ -10030,6 +12538,8 @@ 1 0 0 +0 +1 1 0xbc5e 0x60c9a82 @@ -10038,6 +12548,8 @@ 0 0 0 +1 +4 8 0xed71 0x5101e586 @@ -10046,6 +12558,8 @@ 0 0 0 +1 +1 3 0x4c52 0x54367a53 @@ -10054,6 +12568,8 @@ 0 0 0 +1 +5 7 0x6919 0xb230eb56 @@ -10062,6 +12578,8 @@ 0 0 0 +3 +5 10 0x2d8d 0xa861d8ea @@ -10070,6 +12588,8 @@ 0 0 0 +2 +0 8 0x432e 0x978b748b @@ -10078,6 +12598,8 @@ 0 0 0 +4 +4 8 0x6aa 0x30b9bba5 @@ -10086,6 +12608,8 @@ 1 1 0 +1 +2 2 0x2ad0 0xc9f70052 @@ -10094,6 +12618,8 @@ 0 0 0 +5 +3 9 0x7343 0xb7055e54 @@ -10102,6 +12628,8 @@ 1 1 0 +0 +2 2 0x471c 0x4d8dc4d5 @@ -10110,6 +12638,8 @@ 1 0 0 +5 +0 1 0x21b3 0xf03e9cb4 @@ -10118,6 +12648,8 @@ 1 1 0 +0 +2 7 0x971e 0x4182d807 @@ -10126,6 +12658,8 @@ 1 1 0 +5 +0 1 0x39cd 0xc323f08b @@ -10134,6 +12668,8 @@ 1 1 0 +4 +3 10 0xf436 0xfcc09ef3 @@ -10142,6 +12678,8 @@ 0 0 0 +2 +3 7 0xf1b6 0xb943a3bd @@ -10150,6 +12688,8 @@ 1 1 0 +0 +3 2 0x3469 0xf1b81e15 @@ -10159,6 +12699,8 @@ 0 0 4 +2 +4 0x93f6 0x10f252aa 256 @@ -10166,6 +12708,8 @@ 1 1 0 +5 +1 2 0x8288 0xf6641927 @@ -10174,6 +12718,8 @@ 0 0 0 +4 +0 10 0xb21d 0x153f51db @@ -10183,6 +12729,8 @@ 0 0 4 +5 +4 0x74af 0x9b44ca13 256 @@ -10190,6 +12738,8 @@ 1 1 0 +1 +0 5 0x2e0b 0x31378bc7 @@ -10198,6 +12748,8 @@ 0 0 0 +4 +4 6 0xee88 0xb19bf96e @@ -10206,6 +12758,8 @@ 1 0 0 +3 +4 2 0x35a2 0x829995fd @@ -10214,6 +12768,8 @@ 0 0 0 +1 +4 5 0x6efd 0x7f8829d2 @@ -10222,6 +12778,8 @@ 1 0 0 +5 +5 4 0x83a2 0x48d4b81f @@ -10230,6 +12788,8 @@ 0 0 0 +0 +5 3 0x1e4b 0x5d2269f @@ -10238,6 +12798,8 @@ 1 0 0 +5 +0 6 0x62e1 0xb4c37b19 @@ -10246,6 +12808,8 @@ 1 0 0 +5 +0 6 0xe7e5 0x2c68b686 @@ -10254,6 +12818,8 @@ 1 1 0 +0 +1 4 0xf728 0x14180b23 @@ -10262,6 +12828,8 @@ 1 0 0 +3 +0 6 0x9917 0x7d7db0d @@ -10270,6 +12838,8 @@ 0 0 0 +2 +4 1 0x5e9f 0xda86d389 @@ -10278,6 +12848,8 @@ 0 0 0 +5 +4 1 0xbbed 0xfeeca783 @@ -10286,6 +12858,8 @@ 1 0 0 +4 +2 8 0x4488 0x2cb94d3a @@ -10295,6 +12869,8 @@ 0 0 1 +4 +1 0x2e72 0x40291489 256 @@ -10302,6 +12878,8 @@ 0 0 0 +3 +3 10 0xdec6 0x6a2f8b7 @@ -10310,6 +12888,8 @@ 1 0 0 +2 +0 8 0x699 0x6461a7c @@ -10318,6 +12898,8 @@ 1 0 0 +3 +1 5 0xc4d0 0x5eb35c21 @@ -10326,6 +12908,8 @@ 0 0 0 +5 +2 6 0xd14a 0xc8eba6aa @@ -10334,6 +12918,8 @@ 0 0 0 +0 +4 1 0xe640 0xe6f5093b @@ -10343,6 +12929,8 @@ 1 0 4 +3 +4 0x9962 0x6ee6bbe0 256 @@ -10350,6 +12938,8 @@ 0 0 0 +1 +1 5 0xe6b2 0xff19df5c @@ -10358,6 +12948,8 @@ 1 0 0 +5 +5 3 0x6438 0x8b896c68 @@ -10367,6 +12959,8 @@ 0 0 5 +2 +5 0xb249 0x640e4420 256 @@ -10374,6 +12968,8 @@ 1 1 0 +5 +4 8 0xbd5 0x4b8edfef @@ -10382,6 +12978,8 @@ 0 0 0 +3 +0 10 0x7a9b 0xe79599a3 @@ -10390,6 +12988,8 @@ 1 0 0 +1 +2 9 0xe302 0x3bb0c65e @@ -10399,6 +12999,8 @@ 0 0 4 +4 +4 0xd1a6 0x74cc23c0 256 @@ -10406,6 +13008,8 @@ 0 0 0 +5 +0 6 0x486a 0x5d6b02b8 @@ -10414,6 +13018,8 @@ 0 0 0 +2 +0 4 0x41c9 0xd8c6d74b @@ -10422,6 +13028,8 @@ 0 0 0 +0 +2 4 0x5318 0x7f0c10bd @@ -10430,6 +13038,8 @@ 0 0 0 +0 +0 9 0x5657 0x45c97b95 @@ -10438,6 +13048,8 @@ 0 0 0 +4 +1 9 0x42c2 0x6f068554 @@ -10446,6 +13058,8 @@ 1 0 0 +2 +5 5 0x575f 0x8760dc11 @@ -10454,6 +13068,8 @@ 0 0 0 +5 +3 2 0xa661 0xec0e4ca4 @@ -10462,6 +13078,8 @@ 1 0 0 +1 +0 2 0xd6a0 0x6ff8a083 @@ -10471,6 +13089,8 @@ 1 0 3 +0 +3 0x39a0 0x3b31012d 256 @@ -10478,6 +13098,8 @@ 0 0 0 +0 +5 1 0x759a 0xd2cf7181 @@ -10486,6 +13108,8 @@ 0 0 0 +2 +4 10 0x4601 0xa9c205e8 @@ -10494,6 +13118,8 @@ 1 1 0 +4 +5 2 0x7ee8 0x4213a8f @@ -10502,6 +13128,8 @@ 1 1 0 +5 +2 3 0xa4f7 0x93491911 @@ -10510,6 +13138,8 @@ 0 0 0 +4 +1 2 0x2c34 0xaf5b41dd @@ -10518,6 +13148,8 @@ 0 0 0 +2 +4 7 0x961d 0x441bd53a @@ -10526,6 +13158,8 @@ 1 0 0 +2 +4 6 0xa 0x649a826b @@ -10534,6 +13168,8 @@ 0 0 0 +2 +2 10 0x203b 0xc2cfe735 @@ -10542,6 +13178,8 @@ 1 0 0 +0 +2 3 0x387 0x6ab93db6 @@ -10550,6 +13188,8 @@ 0 0 0 +2 +4 10 0x7e47 0xf60a3a52 @@ -10558,6 +13198,8 @@ 0 0 0 +5 +1 8 0x4c93 0xa4739897 @@ -10566,6 +13208,8 @@ 0 0 0 +1 +3 3 0x55c2 0x7c1ea07a @@ -10574,6 +13218,8 @@ 1 1 0 +1 +1 7 0x9743 0xd0f8080b @@ -10582,6 +13228,8 @@ 0 0 0 +0 +2 2 0xbb90 0x343962b1 @@ -10590,6 +13238,8 @@ 0 0 0 +5 +0 7 0x39fa 0x3fc7cba0 @@ -10598,6 +13248,8 @@ 0 0 0 +3 +0 10 0x94fd 0x2ada4749 @@ -10606,6 +13258,8 @@ 0 0 0 +4 +5 1 0x3c85 0xe57dc3f0 @@ -10614,6 +13268,8 @@ 0 0 0 +4 +2 9 0xe015 0x90632f68 @@ -10622,6 +13278,8 @@ 0 0 0 +5 +0 10 0xf54c 0x631cda52 @@ -10630,6 +13288,8 @@ 1 1 0 +0 +4 8 0xfeca 0xb9968f89 @@ -10638,6 +13298,8 @@ 1 0 0 +1 +4 2 0x2db3 0xc37179fd @@ -10646,6 +13308,8 @@ 0 0 0 +1 +1 6 0x73b4 0x1ee199a5 @@ -10654,6 +13318,8 @@ 1 0 0 +0 +4 3 0x3a66 0x9a8402b3 @@ -10662,6 +13328,8 @@ 1 1 0 +5 +4 7 0x9c1 0xb8097356 @@ -10670,6 +13338,8 @@ 0 0 0 +2 +0 9 0x7524 0x558ea23 @@ -10678,6 +13348,8 @@ 0 0 0 +5 +5 1 0x351f 0x467fce16 @@ -10686,6 +13358,8 @@ 0 0 0 +5 +4 10 0xc9a 0x3cb14df0 @@ -10694,6 +13368,8 @@ 1 0 0 +3 +3 10 0x64e1 0x581652db @@ -10703,6 +13379,8 @@ 0 0 1 +1 +1 0xf9bb 0xa4c670d 256 @@ -10710,6 +13388,8 @@ 0 0 0 +0 +4 2 0xfcc1 0xe2277a26 @@ -10719,6 +13399,8 @@ 0 0 3 +5 +3 0xf938 0xfd9f824 256 @@ -10726,6 +13408,8 @@ 1 0 0 +1 +5 10 0x4fb4 0x9313f45c @@ -10734,6 +13418,8 @@ 0 0 0 +4 +1 5 0x5461 0x8e700a8a @@ -10742,6 +13428,8 @@ 1 0 0 +5 +4 8 0x608c 0x8574dcbc @@ -10750,6 +13438,8 @@ 0 0 0 +5 +1 7 0xdfe1 0xeca2c0cf @@ -10758,6 +13448,8 @@ 1 0 0 +3 +2 10 0x357 0x80dd9283 @@ -10767,6 +13459,8 @@ 0 0 1 +0 +1 0x1658 0x25ae1a2e 256 @@ -10775,6 +13469,8 @@ 0 0 3 +2 +3 0xea81 0xe7a6977b 256 @@ -10782,6 +13478,8 @@ 1 1 0 +2 +1 6 0x2465 0x9cda4c14 @@ -10790,6 +13488,8 @@ 0 0 0 +1 +1 9 0xd411 0xd1f97dc8 @@ -10798,6 +13498,8 @@ 0 0 0 +4 +4 7 0x1133 0xac99cde5 @@ -10806,6 +13508,8 @@ 1 0 0 +1 +0 2 0xc8b2 0xf635723a @@ -10814,6 +13518,8 @@ 1 0 0 +0 +1 10 0x3ea 0xde66d9a6 @@ -10822,6 +13528,8 @@ 1 1 0 +0 +4 8 0x6d56 0xf4b14081 @@ -10830,6 +13538,8 @@ 0 0 0 +3 +1 4 0x2403 0x276ff217 @@ -10838,6 +13548,8 @@ 1 1 0 +4 +2 3 0xfd3f 0x4f906915 @@ -10846,6 +13558,8 @@ 0 0 0 +0 +0 4 0x773c 0x86b91f09 @@ -10854,6 +13568,8 @@ 1 0 0 +3 +2 2 0x9ff6 0xed398e35 @@ -10862,6 +13578,8 @@ 0 0 0 +0 +1 6 0xb267 0x93b0e9fe @@ -10870,6 +13588,8 @@ 1 0 0 +5 +5 4 0x6fbc 0x6e45a743 @@ -10878,6 +13598,8 @@ 1 0 0 +2 +2 10 0x229b 0xde6c545 @@ -10886,6 +13608,8 @@ 0 0 0 +1 +1 2 0x254c 0x3444089d @@ -10894,6 +13618,8 @@ 0 0 0 +2 +0 1 0xb471 0x9924815a @@ -10902,6 +13628,8 @@ 1 1 0 +1 +0 9 0x1f7a 0xfef2b2b9 @@ -10910,6 +13638,8 @@ 1 0 0 +0 +3 2 0xd64d 0xbe427e4b @@ -10918,6 +13648,8 @@ 1 0 0 +5 +2 6 0x77c9 0x2d764abe @@ -10926,6 +13658,8 @@ 1 1 0 +5 +0 10 0x1e18 0xd4f0ee5c @@ -10934,6 +13668,8 @@ 0 0 0 +3 +2 7 0x48e5 0x6316dcd9 @@ -10942,6 +13678,8 @@ 0 0 0 +5 +5 10 0xa92c 0x5ca02804 @@ -10950,6 +13688,8 @@ 1 1 0 +5 +3 8 0xc029 0x668decfa @@ -10958,6 +13698,8 @@ 1 1 0 +4 +2 8 0x3952 0x98152888 @@ -10966,6 +13708,8 @@ 1 1 0 +3 +4 10 0xcb07 0x7b526fd @@ -10974,6 +13718,8 @@ 1 1 0 +3 +3 4 0x4baf 0xe5fbb5d7 @@ -10982,6 +13728,8 @@ 0 0 0 +3 +5 2 0xb2af 0xd0a3d02b @@ -10990,6 +13738,8 @@ 0 0 0 +0 +4 9 0x83b2 0xf5309ce0 @@ -10998,6 +13748,8 @@ 1 1 0 +0 +4 2 0x6f42 0xf9a0341c @@ -11006,6 +13758,8 @@ 0 0 0 +1 +1 8 0xd3c3 0xe964bebe @@ -11015,6 +13769,8 @@ 0 0 5 +0 +5 0x1690 0x33d30c5 256 @@ -11022,6 +13778,8 @@ 0 0 0 +4 +0 1 0x14a3 0x7211d076 @@ -11030,6 +13788,8 @@ 1 1 0 +4 +2 7 0x6011 0xfcc2da81 @@ -11038,6 +13798,8 @@ 0 0 0 +1 +2 5 0xbb95 0x5b6a46c4 @@ -11046,6 +13808,8 @@ 1 1 0 +1 +1 10 0x230d 0x6cc76b44 @@ -11054,6 +13818,8 @@ 1 1 0 +4 +0 9 0xc835 0xde7a9f8f @@ -11062,6 +13828,8 @@ 1 1 0 +0 +2 1 0x542f 0x6909b192 @@ -11070,6 +13838,8 @@ 1 1 0 +3 +4 5 0xef2f 0x30dc69e4 @@ -11078,6 +13848,8 @@ 1 0 0 +4 +1 2 0x7b8e 0x39b6e018 @@ -11086,6 +13858,8 @@ 0 0 0 +1 +5 10 0xa63e 0xf3dd7bae @@ -11094,6 +13868,8 @@ 0 0 0 +4 +3 3 0x20a 0x3111a97d @@ -11102,6 +13878,8 @@ 1 0 0 +0 +5 5 0x9918 0x6c9970ca @@ -11110,6 +13888,8 @@ 1 0 0 +3 +0 7 0xfeed 0xd9af992a @@ -11119,6 +13899,8 @@ 0 0 3 +5 +3 0xa65d 0xf593a941 256 @@ -11126,6 +13908,8 @@ 0 0 0 +5 +4 1 0x3ff8 0x79c1741e @@ -11134,6 +13918,8 @@ 0 0 0 +0 +3 3 0x76e3 0x16563ed @@ -11142,6 +13928,8 @@ 0 0 0 +3 +1 9 0xc4a0 0x70c1111a @@ -11150,6 +13938,8 @@ 0 0 0 +1 +5 9 0x3332 0x5859649a @@ -11159,6 +13949,8 @@ 0 0 3 +3 +3 0xe786 0x697229e6 256 @@ -11166,6 +13958,8 @@ 1 1 0 +5 +4 7 0xbd4a 0x440dff1f @@ -11174,6 +13968,8 @@ 1 0 0 +3 +1 4 0x3590 0xfe0b2eef @@ -11182,6 +13978,8 @@ 1 1 0 +0 +5 3 0x6833 0x51b87a83 @@ -11191,6 +13989,8 @@ 0 0 1 +0 +1 0x33cd 0x739f589b 256 @@ -11198,6 +13998,8 @@ 0 0 0 +4 +4 10 0xd4df 0x3785e671 @@ -11206,6 +14008,8 @@ 0 0 0 +3 +3 4 0x1e4b 0x1a394f64 @@ -11214,6 +14018,8 @@ 0 0 0 +1 +4 8 0x942a 0x7e110a75 @@ -11222,6 +14028,8 @@ 1 0 0 +4 +0 5 0x2a0f 0x966a6841 @@ -11230,6 +14038,8 @@ 1 1 0 +4 +0 5 0x85ff 0x7d78bf72 @@ -11238,6 +14048,8 @@ 1 0 0 +2 +2 6 0xf5b9 0xa0a24807 @@ -11246,6 +14058,8 @@ 1 1 0 +5 +2 9 0x45ef 0xc15e411d @@ -11254,6 +14068,8 @@ 1 1 0 +0 +3 7 0x81ab 0x46c92e24 @@ -11262,6 +14078,8 @@ 0 0 0 +4 +0 9 0x6252 0x8588eef0 @@ -11270,6 +14088,8 @@ 1 1 0 +2 +1 1 0xc4e7 0x3d070bc0 @@ -11278,6 +14098,8 @@ 1 1 0 +1 +3 10 0xb522 0x17fae429 @@ -11286,6 +14108,8 @@ 1 1 0 +2 +2 7 0x9374 0x949f1edc @@ -11294,6 +14118,8 @@ 0 0 0 +1 +3 5 0xc831 0xd0780660 @@ -11302,6 +14128,8 @@ 1 0 0 +2 +0 1 0xf681 0x91a5356a @@ -11310,6 +14138,8 @@ 1 0 0 +2 +5 5 0x6667 0x8d9774e1 @@ -11318,6 +14148,8 @@ 1 0 0 +5 +3 4 0xce91 0xc545b113 @@ -11327,6 +14159,8 @@ 0 0 5 +5 +5 0x10af 0x2d773d6 256 @@ -11334,6 +14168,8 @@ 0 0 0 +5 +0 4 0x2e46 0x5b3a201b @@ -11342,6 +14178,8 @@ 1 1 0 +2 +5 5 0x9698 0x3f35cf5d @@ -11350,6 +14188,8 @@ 0 0 0 +3 +4 2 0x87bd 0xd42912e6 @@ -11358,6 +14198,8 @@ 0 0 0 +0 +0 7 0x8c18 0x6dfdf262 @@ -11366,6 +14208,8 @@ 0 0 0 +4 +4 7 0xddb6 0x665f3e21 @@ -11374,6 +14218,8 @@ 0 0 0 +0 +4 4 0x1755 0xb6cf8ea2 @@ -11383,6 +14229,8 @@ 0 0 3 +0 +3 0x4a94 0xd39d67f5 256 @@ -11390,6 +14238,8 @@ 0 0 0 +0 +0 1 0x8aca 0x1266226a @@ -11398,6 +14248,8 @@ 1 0 0 +1 +0 3 0x586a 0x1c81ec0d @@ -11406,6 +14258,8 @@ 0 0 0 +2 +4 8 0x7952 0x7554c944 @@ -11414,6 +14268,8 @@ 0 0 0 +0 +0 3 0x8893 0x7123e00d @@ -11422,6 +14278,8 @@ 1 1 0 +0 +0 10 0xa07 0xf65fc08d @@ -11430,6 +14288,8 @@ 0 0 0 +4 +1 3 0x7842 0xea5e1f5b @@ -11438,6 +14298,8 @@ 1 1 0 +5 +1 1 0xbfa 0xb64baaa5 @@ -11446,6 +14308,8 @@ 0 0 0 +4 +3 1 0x168e 0x9d6e511f @@ -11454,6 +14318,8 @@ 0 0 0 +5 +1 1 0x55b8 0x19da7583 @@ -11462,6 +14328,8 @@ 1 0 0 +5 +2 8 0xcbf4 0xb75531ea @@ -11470,6 +14338,8 @@ 0 0 0 +4 +4 1 0x1629 0x3302a9cc @@ -11478,6 +14348,8 @@ 1 1 0 +2 +5 7 0x9e05 0xca555d39 @@ -11486,6 +14358,8 @@ 0 0 0 +2 +0 5 0xea1a 0x3747798e @@ -11494,6 +14368,8 @@ 0 0 0 +5 +5 3 0xda36 0xc39990ff @@ -11502,6 +14378,8 @@ 1 0 0 +3 +5 8 0xa502 0x6fd1f034 @@ -11510,6 +14388,8 @@ 0 0 0 +4 +3 8 0x78f1 0x9cea8f19 @@ -11518,6 +14398,8 @@ 0 0 0 +4 +1 7 0x6df0 0x53476501 @@ -11526,6 +14408,8 @@ 1 1 0 +3 +4 4 0x6e17 0x676da7f @@ -11535,6 +14419,8 @@ 1 0 5 +5 +5 0x1077 0xc22cccd4 256 @@ -11542,6 +14428,8 @@ 1 0 0 +2 +3 4 0x49f2 0xf2e4d7b5 @@ -11550,6 +14438,8 @@ 0 0 0 +2 +2 5 0x524b 0x5376c326 @@ -11558,6 +14448,8 @@ 0 0 0 +3 +5 10 0x70b0 0xf81a57cd @@ -11566,6 +14458,8 @@ 1 0 0 +1 +1 7 0x4061 0x3d504deb @@ -11574,6 +14468,8 @@ 1 0 0 +5 +3 4 0x78a 0x19c06b1c @@ -11582,6 +14478,8 @@ 0 0 0 +0 +2 10 0x202a 0x7a209eb @@ -11590,6 +14488,8 @@ 0 0 0 +5 +2 4 0xca9b 0xd5e7a3e0 @@ -11598,6 +14498,8 @@ 1 1 0 +0 +5 6 0x1cb4 0x8d0da28d @@ -11606,6 +14508,8 @@ 1 0 0 +0 +4 1 0xe65f 0x6b1781ae @@ -11614,6 +14518,8 @@ 0 0 0 +4 +2 3 0x8852 0x12d42d3c @@ -11622,6 +14528,8 @@ 1 0 0 +4 +2 6 0x21ad 0xc7c297b2 @@ -11630,6 +14538,8 @@ 0 0 0 +1 +2 7 0xee29 0xe5e0c0 @@ -11638,6 +14548,8 @@ 0 0 0 +2 +2 4 0x972 0xeeed4820 @@ -11646,6 +14558,8 @@ 1 1 0 +5 +4 3 0xff34 0xe25a9266 @@ -11654,6 +14568,8 @@ 1 0 0 +0 +0 1 0x2ced 0x2584e5a6 @@ -11662,6 +14578,8 @@ 1 1 0 +3 +4 2 0x1cfe 0xd67a240d @@ -11670,6 +14588,8 @@ 1 0 0 +4 +4 5 0x41e4 0x26a6863 @@ -11678,6 +14598,8 @@ 0 0 0 +5 +2 6 0x3192 0xb52fcc91 @@ -11686,6 +14608,8 @@ 1 0 0 +2 +2 7 0x9f7c 0xd7d71bc3 @@ -11694,6 +14618,8 @@ 1 1 0 +2 +0 3 0xf341 0x3d30f4d @@ -11702,6 +14628,8 @@ 0 0 0 +2 +5 7 0xb6e6 0xbde44ef4 @@ -11710,6 +14638,8 @@ 0 0 0 +5 +5 6 0x65af 0x93b84d88 @@ -11719,6 +14649,8 @@ 1 0 1 +0 +1 0xc554 0xd32b3784 256 @@ -11726,6 +14658,8 @@ 1 1 0 +0 +4 10 0x9923 0x2a7f4eea @@ -11735,6 +14669,8 @@ 0 0 5 +3 +5 0x5e18 0x8ba06105 256 @@ -11742,6 +14678,8 @@ 0 0 0 +1 +1 4 0x46ac 0x792fa1db @@ -11750,6 +14688,8 @@ 1 0 0 +3 +1 10 0x461c 0xbfe4dd66 @@ -11758,6 +14698,8 @@ 0 0 0 +3 +1 6 0xf380 0xf5d1e8d6 @@ -11766,6 +14708,8 @@ 0 0 0 +2 +3 4 0x3b5 0x10533e4d @@ -11774,6 +14718,8 @@ 0 0 0 +1 +3 8 0xba5b 0xac6b758d @@ -11782,6 +14728,8 @@ 1 1 0 +3 +4 6 0x5afe 0xa7fa4f1f @@ -11790,6 +14738,8 @@ 0 0 0 +0 +1 4 0x6348 0x86aa7937 @@ -11798,6 +14748,8 @@ 0 0 0 +2 +2 5 0xd26c 0xa6643b8b @@ -11806,6 +14758,8 @@ 1 0 0 +0 +3 2 0x419 0x390c7a36 @@ -11815,6 +14769,8 @@ 0 0 3 +4 +3 0xec71 0xeb3a8568 256 @@ -11822,6 +14778,8 @@ 0 0 0 +3 +0 5 0x4e02 0x83eeb526 @@ -11830,6 +14788,8 @@ 0 0 0 +0 +2 8 0x9764 0x8321a30e @@ -11838,6 +14798,8 @@ 1 0 0 +2 +1 8 0x406 0xf208c16b @@ -11846,6 +14808,8 @@ 0 0 0 +3 +1 9 0xa32c 0x622e0135 @@ -11854,6 +14818,8 @@ 1 0 0 +5 +4 9 0xe928 0x7471aa3b @@ -11862,6 +14828,8 @@ 1 0 0 +0 +0 8 0xf14b 0x5b38dd00 @@ -11871,6 +14839,8 @@ 1 0 2 +3 +2 0xc975 0x53fbfa5c 256 @@ -11878,6 +14848,8 @@ 0 0 0 +3 +2 5 0xf064 0x5b3314ce @@ -11886,6 +14858,8 @@ 0 0 0 +5 +0 7 0x547a 0xeb1bcd95 @@ -11894,6 +14868,8 @@ 0 0 0 +0 +0 5 0x7985 0x14534f91 @@ -11902,6 +14878,8 @@ 0 0 0 +0 +2 2 0x68ac 0x6219d4a1 @@ -11910,6 +14888,8 @@ 1 0 0 +4 +5 3 0x87b3 0xf3d14470 @@ -11918,6 +14898,8 @@ 1 0 0 +5 +3 8 0xb533 0xea61c631 @@ -11926,6 +14908,8 @@ 1 1 0 +0 +3 9 0x8807 0xed49f9ad @@ -11934,6 +14918,8 @@ 0 0 0 +5 +4 1 0xb7f5 0x3d24be1a @@ -11942,6 +14928,8 @@ 1 1 0 +0 +1 10 0x53e5 0xcb4b1b3e @@ -11950,6 +14938,8 @@ 0 0 0 +4 +1 9 0x73b2 0xc5986cb7 @@ -11958,6 +14948,8 @@ 0 0 0 +2 +2 6 0xdb7d 0xb7e3563f @@ -11966,6 +14958,8 @@ 1 1 0 +4 +0 2 0xcb5c 0x1a892798 @@ -11974,6 +14968,8 @@ 1 1 0 +4 +3 7 0x90fe 0x79f5f781 @@ -11982,6 +14978,8 @@ 0 0 0 +5 +5 10 0x889 0xeae0465 @@ -11990,6 +14988,8 @@ 0 0 0 +0 +5 4 0x6dc1 0x3345c7a9 @@ -11998,6 +14998,8 @@ 1 1 0 +3 +3 10 0x59ae 0xa766bb72 @@ -12006,6 +15008,8 @@ 0 0 0 +1 +2 10 0xe80d 0x55e9c54 @@ -12014,6 +15018,8 @@ 0 0 0 +1 +0 6 0xfeb 0xc41d9762 @@ -12022,6 +15028,8 @@ 1 0 0 +5 +3 3 0xbc49 0xea1f7176 @@ -12030,6 +15038,8 @@ 1 0 0 +1 +0 2 0xf35a 0xb98274c0 @@ -12038,6 +15048,8 @@ 0 0 0 +2 +0 7 0x8248 0x36ab4929 @@ -12046,6 +15058,8 @@ 1 1 0 +1 +3 10 0x9f1 0xee89c8bf @@ -12054,6 +15068,8 @@ 1 0 0 +1 +2 10 0x3c6d 0xa4258aaa @@ -12062,6 +15078,8 @@ 1 0 0 +1 +3 8 0x1024 0xa19af5ff @@ -12070,6 +15088,8 @@ 1 1 0 +0 +0 8 0xd6a2 0xbdeb2580 @@ -12078,6 +15098,8 @@ 1 1 0 +2 +1 7 0xe579 0x4f86b11a @@ -12086,6 +15108,8 @@ 0 0 0 +1 +0 9 0x9e85 0xd90eca54 @@ -12094,6 +15118,8 @@ 1 0 0 +3 +1 7 0xc369 0x4dcb4d5c @@ -12102,6 +15128,8 @@ 1 0 0 +2 +3 1 0x9a65 0x5859fb97 @@ -12110,6 +15138,8 @@ 0 0 0 +1 +2 8 0x970c 0x8a5a6c9a @@ -12118,6 +15148,8 @@ 1 1 0 +2 +3 3 0xbf49 0xb2d5a994 @@ -12126,6 +15158,8 @@ 0 0 0 +5 +4 6 0xbaa3 0x9c6c0f66 @@ -12134,6 +15168,8 @@ 0 0 0 +5 +1 9 0x51c0 0x5fad4b1f @@ -12142,6 +15178,8 @@ 0 0 0 +5 +4 9 0x4363 0xc7e5827b @@ -12150,6 +15188,8 @@ 1 0 0 +5 +3 3 0x39c9 0x96f91986 @@ -12158,6 +15198,8 @@ 1 0 0 +2 +1 9 0x3009 0xae3efaf7 @@ -12166,6 +15208,8 @@ 0 0 0 +4 +2 2 0x68f5 0x5214ecd5 @@ -12175,6 +15219,8 @@ 0 0 2 +1 +2 0x5019 0xad6d0f05 256 @@ -12182,6 +15228,8 @@ 1 1 0 +0 +5 3 0x74da 0x7d2661f1 @@ -12190,6 +15238,8 @@ 0 0 0 +0 +3 6 0x77b7 0x8ccabba1 @@ -12198,6 +15248,8 @@ 1 0 0 +3 +0 5 0x36e7 0x6e08ff78 @@ -12206,6 +15258,8 @@ 0 0 0 +3 +2 2 0x8ef2 0x4506606e @@ -12214,6 +15268,8 @@ 0 0 0 +0 +2 3 0xcc1b 0x95cf41eb @@ -12222,6 +15278,8 @@ 1 1 0 +5 +2 4 0x9ed3 0xcecc0019 @@ -12230,6 +15288,8 @@ 0 0 0 +4 +0 5 0x38fe 0x79c06a56 @@ -12238,6 +15298,8 @@ 0 0 0 +1 +1 7 0x9978 0x1d891f35 @@ -12246,6 +15308,8 @@ 1 0 0 +3 +0 1 0x1d6b 0x2380d894 @@ -12254,6 +15318,8 @@ 0 0 0 +3 +4 1 0xa420 0x79776082 @@ -12262,6 +15328,8 @@ 1 1 0 +1 +1 2 0x65c9 0x227ba86c @@ -12270,6 +15338,8 @@ 1 0 0 +4 +1 9 0x8c36 0x9cd1f1bd @@ -12278,6 +15348,8 @@ 0 0 0 +5 +3 10 0xf08 0x1e0a4c15 @@ -12286,6 +15358,8 @@ 1 0 0 +1 +4 2 0x7ef1 0x79c8ddbe @@ -12294,6 +15368,8 @@ 0 0 0 +2 +0 8 0xd1ac 0x5e5b82e9 @@ -12302,6 +15378,8 @@ 0 0 0 +3 +1 4 0x41a4 0x331eed5b @@ -12310,6 +15388,8 @@ 0 0 0 +2 +0 5 0x3517 0x33e8d5d6 @@ -12318,6 +15398,8 @@ 0 0 0 +2 +5 8 0x6829 0xf5682474 @@ -12326,6 +15408,8 @@ 0 0 0 +4 +2 8 0x9f64 0x31a6a850 @@ -12334,6 +15418,8 @@ 1 0 0 +2 +4 9 0xaccf 0x22ece82d @@ -12342,6 +15428,8 @@ 1 1 0 +5 +4 2 0x2acf 0x9cb9f582 @@ -12351,6 +15439,8 @@ 0 0 4 +4 +4 0x1bf4 0xb8a8c53e 256 @@ -12358,6 +15448,8 @@ 1 0 0 +4 +2 6 0xcf82 0xb78e1686 @@ -12367,6 +15459,8 @@ 0 0 4 +3 +4 0xe1df 0xc32ac24d 256 @@ -12374,6 +15468,8 @@ 0 0 0 +5 +2 9 0xb56b 0xd300ca0e @@ -12382,6 +15478,8 @@ 1 0 0 +2 +3 4 0xddec 0x99f9c185 @@ -12390,6 +15488,8 @@ 0 0 0 +1 +5 9 0xf90c 0xe81a7d58 @@ -12398,6 +15498,8 @@ 1 0 0 +0 +4 5 0x1bd4 0x51db80c2 @@ -12406,6 +15508,8 @@ 1 0 0 +5 +4 1 0x4d20 0xc900fe6d @@ -12414,6 +15518,8 @@ 0 0 0 +4 +2 5 0x5c7 0xf181d90 @@ -12422,6 +15528,8 @@ 0 0 0 +3 +2 10 0x2227 0x6afa0a1e @@ -12430,6 +15538,8 @@ 1 1 0 +4 +3 9 0x4d83 0x281277f9 @@ -12438,6 +15548,8 @@ 1 0 0 +2 +2 1 0xf2af 0xd285d2e6 @@ -12446,6 +15558,8 @@ 1 1 0 +1 +2 9 0xaf1c 0xd0a31cf6 @@ -12454,6 +15568,8 @@ 1 0 0 +4 +4 7 0x9d1e 0x9043425b @@ -12462,6 +15578,8 @@ 0 0 0 +2 +0 5 0x21a5 0x3fa8f564 @@ -12470,6 +15588,8 @@ 1 0 0 +2 +2 4 0x69a4 0x7f3bb2cd @@ -12479,6 +15599,8 @@ 1 0 5 +3 +5 0x84a7 0x525de89b 256 @@ -12486,6 +15608,8 @@ 1 0 0 +3 +1 4 0xef86 0xead0efea @@ -12494,6 +15618,8 @@ 0 0 0 +0 +1 4 0xce6e 0x60551743 @@ -12503,6 +15629,8 @@ 0 0 4 +0 +4 0xd01a 0xa077e06 256 @@ -12510,6 +15638,8 @@ 0 0 0 +2 +3 10 0xb3ef 0x14cb91eb @@ -12518,6 +15648,8 @@ 1 1 0 +0 +5 9 0x9db9 0x116b08e4 @@ -12526,6 +15658,8 @@ 0 0 0 +4 +5 3 0x33b5 0x78bacfa9 @@ -12534,6 +15668,8 @@ 1 0 0 +1 +1 5 0x5254 0x952aae98 @@ -12542,6 +15678,8 @@ 1 1 0 +5 +3 2 0x9b1e 0xf160689a @@ -12550,6 +15688,8 @@ 0 0 0 +0 +0 6 0x9551 0x2a33ddce @@ -12558,6 +15698,8 @@ 0 0 0 +2 +5 9 0x98ff 0x34b7b086 @@ -12566,6 +15708,8 @@ 1 0 0 +1 +4 6 0x9601 0x5de93e11 @@ -12574,6 +15718,8 @@ 0 0 0 +4 +2 1 0xc9e7 0x236faec6 @@ -12582,6 +15728,8 @@ 0 0 0 +0 +4 8 0xcf52 0xe9d47a89 @@ -12590,6 +15738,8 @@ 0 0 0 +5 +2 2 0x234b 0xf8eb4bd2 @@ -12598,6 +15748,8 @@ 0 0 0 +5 +0 8 0x982 0xff40ac13 @@ -12606,6 +15758,8 @@ 1 0 0 +1 +4 2 0x9867 0x98b30881 @@ -12614,6 +15768,8 @@ 1 1 0 +1 +1 2 0x536e 0xbbb36faf @@ -12622,6 +15778,8 @@ 1 0 0 +2 +0 5 0xfabf 0xc09f2961 @@ -12630,6 +15788,8 @@ 1 1 0 +2 +1 3 0xa89b 0x44f61eaa @@ -12638,6 +15798,8 @@ 1 0 0 +1 +0 9 0x577a 0x28fdce8a @@ -12646,6 +15808,8 @@ 0 0 0 +1 +0 3 0xe561 0x1e23709c @@ -12654,6 +15818,8 @@ 1 0 0 +0 +0 10 0x66ea 0x24f7d95 @@ -12662,6 +15828,8 @@ 1 0 0 +2 +2 3 0xdbd3 0x71b4481f @@ -12670,6 +15838,8 @@ 1 1 0 +1 +3 5 0x9f95 0x9965f196 @@ -12678,6 +15848,8 @@ 1 0 0 +4 +5 8 0x472b 0xdef9d220 @@ -12686,6 +15858,8 @@ 0 0 0 +0 +1 5 0x9c35 0x4c38dca2 @@ -12694,6 +15868,8 @@ 0 0 0 +1 +1 7 0x912c 0x4045d568 @@ -12702,6 +15878,8 @@ 1 1 0 +3 +5 5 0x27bc 0xec1afef4 @@ -12710,6 +15888,8 @@ 1 0 0 +2 +2 9 0xf591 0xcdcb0d4d @@ -12718,6 +15898,8 @@ 1 1 0 +1 +2 8 0x2889 0xac09a53b @@ -12726,6 +15908,8 @@ 0 0 0 +2 +3 10 0x916e 0xfc5c9bf7 @@ -12734,6 +15918,8 @@ 0 0 0 +5 +4 8 0xbb23 0xc99d0d18 @@ -12742,6 +15928,8 @@ 1 0 0 +0 +4 8 0xf37f 0xa6b48789 @@ -12750,6 +15938,8 @@ 0 0 0 +2 +3 9 0xa0fb 0xaf9815a @@ -12758,6 +15948,8 @@ 0 0 0 +1 +0 4 0xc9c3 0x8272e0cc @@ -12766,6 +15958,8 @@ 1 1 0 +5 +1 4 0x3e18 0x4c912cf4 @@ -12774,6 +15968,8 @@ 1 1 0 +0 +3 4 0xe3f5 0x1bc50a58 @@ -12782,6 +15978,8 @@ 1 0 0 +2 +5 6 0x8ea2 0x9c2763bd @@ -12791,6 +15989,8 @@ 0 0 3 +5 +3 0x5051 0x6f1348c8 256 @@ -12798,6 +15998,8 @@ 0 0 0 +2 +3 10 0xdf0f 0xf829b78b @@ -12806,6 +16008,8 @@ 1 0 0 +0 +5 2 0x9e4a 0xf13afda9 @@ -12814,6 +16018,8 @@ 0 0 0 +1 +1 4 0xa7bb 0x9b11d6fb @@ -12822,6 +16028,8 @@ 0 0 0 +3 +0 6 0xa762 0x94c2457b @@ -12830,6 +16038,8 @@ 0 0 0 +0 +5 3 0x3f98 0x5dc80eb4 @@ -12838,6 +16048,8 @@ 1 0 0 +1 +4 8 0x8643 0x9efd1b03 @@ -12846,6 +16058,8 @@ 1 0 0 +0 +2 9 0x19bf 0x16618620 @@ -12854,6 +16068,8 @@ 1 1 0 +5 +4 7 0xb157 0x6f7af20c @@ -12862,6 +16078,8 @@ 1 0 0 +4 +2 5 0x876d 0x407e8b7b @@ -12870,6 +16088,8 @@ 1 0 0 +2 +2 9 0x52b1 0xcef30184 @@ -12878,6 +16098,8 @@ 1 1 0 +2 +5 10 0x5a5c 0x3e90894a @@ -12886,6 +16108,8 @@ 1 0 0 +5 +4 3 0xb9bb 0x7a108b29 @@ -12894,6 +16118,8 @@ 0 0 0 +5 +0 2 0xca36 0xe009f8e6 @@ -12902,6 +16128,8 @@ 0 0 0 +0 +0 8 0xbff9 0x8a9d62a5 @@ -12911,6 +16139,8 @@ 1 0 3 +4 +3 0x528b 0xcd881fe8 256 @@ -12918,6 +16148,8 @@ 1 0 0 +5 +4 9 0x3364 0x3723abd5 @@ -12926,6 +16158,8 @@ 1 0 0 +1 +4 6 0x7e09 0xdf30f400 @@ -12935,6 +16169,8 @@ 0 0 4 +3 +4 0x8edc 0xff412d2e 256 @@ -12942,6 +16178,8 @@ 1 0 0 +2 +4 8 0x2d6e 0x6203d586 @@ -12950,6 +16188,8 @@ 0 0 0 +2 +4 4 0xd71 0x9b610bf0 @@ -12958,6 +16198,8 @@ 1 1 0 +2 +2 5 0xc6bc 0xd92879b8 @@ -12967,6 +16209,8 @@ 1 0 5 +5 +5 0x701e 0xc9459db7 256 @@ -12974,6 +16218,8 @@ 0 0 0 +0 +2 8 0x8bf 0xb5e3df48 @@ -12982,6 +16228,8 @@ 1 1 0 +3 +4 7 0xf62c 0xddbc3f77 @@ -12991,6 +16239,8 @@ 0 0 5 +0 +5 0x87cc 0x80273c1f 256 @@ -12998,6 +16248,8 @@ 0 0 0 +2 +5 5 0x49ed 0xa91d2f3f @@ -13006,6 +16258,8 @@ 1 0 0 +5 +5 9 0xbfcf 0xc08b9a2a @@ -13014,6 +16268,8 @@ 0 0 0 +5 +0 9 0xae88 0xb28d46aa @@ -13022,6 +16278,8 @@ 0 0 0 +3 +1 6 0xf1d2 0xf1a8e495 @@ -13030,6 +16288,8 @@ 1 1 0 +1 +5 5 0x61a3 0xe1b0ffc @@ -13038,6 +16298,8 @@ 0 0 0 +1 +0 6 0xf3a0 0xf46d526e @@ -13046,6 +16308,8 @@ 1 0 0 +3 +0 9 0x35dd 0x16eca8bf @@ -13055,6 +16319,8 @@ 0 0 5 +5 +5 0x984e 0xb04d5207 256 @@ -13062,6 +16328,8 @@ 1 1 0 +4 +5 8 0xe00a 0x57d3dda @@ -13070,6 +16338,8 @@ 1 1 0 +4 +1 2 0x8294 0xe0fffdab @@ -13078,6 +16348,8 @@ 0 0 0 +4 +4 7 0xbef5 0xef95c4b6 @@ -13086,6 +16358,8 @@ 1 0 0 +1 +4 6 0x7ab4 0x2f89b42c @@ -13095,6 +16369,8 @@ 0 0 2 +4 +2 0x5338 0x73ae441b 256 @@ -13102,6 +16378,8 @@ 0 0 0 +3 +1 1 0x94d1 0x2ff42b58 @@ -13110,6 +16388,8 @@ 1 1 0 +4 +4 2 0x2f9d 0xeaf1dade @@ -13118,6 +16398,8 @@ 1 1 0 +4 +3 3 0x9c50 0x3f227267 @@ -13126,6 +16408,8 @@ 1 1 0 +0 +4 9 0x1743 0xa5f978e1 @@ -13134,6 +16418,8 @@ 1 1 0 +3 +1 10 0x793c 0x7bd3b06e @@ -13142,6 +16428,8 @@ 1 0 0 +1 +4 10 0x1f7e 0x273a7baa @@ -13150,6 +16438,8 @@ 0 0 0 +4 +4 5 0x7e9d 0x3a2468f5 @@ -13158,6 +16448,8 @@ 0 0 0 +2 +4 5 0xd63f 0x1d5861c7 @@ -13166,6 +16458,8 @@ 0 0 0 +5 +5 4 0xe75e 0x45f96f05 @@ -13174,6 +16468,8 @@ 0 0 0 +4 +1 7 0x6ab4 0xfb12ff0c @@ -13182,6 +16478,8 @@ 1 0 0 +3 +0 1 0xa35f 0x88799240 @@ -13190,6 +16488,8 @@ 1 0 0 +0 +4 10 0xb83a 0x41f0f761 @@ -13198,6 +16498,8 @@ 1 0 0 +2 +1 4 0x348a 0xf829b11d @@ -13206,6 +16508,8 @@ 0 0 0 +3 +3 1 0x1c 0xfe4e2392 @@ -13214,6 +16518,8 @@ 0 0 0 +5 +3 4 0xbbe2 0x3f282553 @@ -13222,6 +16528,8 @@ 0 0 0 +1 +2 8 0xe32b 0x3196e8eb @@ -13231,6 +16539,8 @@ 0 0 4 +2 +4 0xffd4 0x5cfd4ae8 256 @@ -13238,6 +16548,8 @@ 1 0 0 +0 +2 3 0xa3e9 0x258e952a @@ -13246,6 +16558,8 @@ 0 0 0 +0 +0 4 0x61ca 0xabc67d3b @@ -13254,6 +16568,8 @@ 0 0 0 +1 +2 3 0x9bfd 0x878c0f1b @@ -13262,6 +16578,8 @@ 0 0 0 +1 +1 8 0x2a0d 0xc0c99db @@ -13270,6 +16588,8 @@ 0 0 0 +0 +2 5 0x8223 0xf8353440 @@ -13278,6 +16598,8 @@ 1 0 0 +5 +0 8 0xb551 0x1c2bfa02 @@ -13286,6 +16608,8 @@ 0 0 0 +4 +1 2 0xc571 0xda544a7c @@ -13294,6 +16618,8 @@ 1 0 0 +0 +0 6 0xaa27 0xc40e24e2 @@ -13302,6 +16628,8 @@ 0 0 0 +3 +3 5 0x44c0 0xab4b2761 @@ -13310,6 +16638,8 @@ 0 0 0 +5 +0 6 0x7343 0x5d157751 @@ -13318,6 +16648,8 @@ 0 0 0 +1 +5 10 0x928 0x7f85d018 @@ -13326,6 +16658,8 @@ 1 0 0 +4 +0 1 0xaae9 0x9134426f @@ -13335,6 +16669,8 @@ 1 0 3 +3 +3 0xcca 0xb6cf0728 256 @@ -13342,6 +16678,8 @@ 0 0 0 +0 +3 1 0x2da 0xa100ee9a @@ -13350,6 +16688,8 @@ 1 0 0 +5 +4 9 0x6f85 0x94a0eca6 @@ -13358,6 +16698,8 @@ 1 1 0 +2 +4 4 0xc9c2 0xf69be53c @@ -13366,6 +16708,8 @@ 0 0 0 +4 +3 9 0xb4d8 0xbd3d439d @@ -13374,6 +16718,8 @@ 0 0 0 +3 +1 7 0x6460 0xda046b95 @@ -13382,6 +16728,8 @@ 0 0 0 +1 +2 5 0x9f99 0x8571123b @@ -13390,6 +16738,8 @@ 1 1 0 +0 +4 5 0x3bf7 0x207f0792 @@ -13398,6 +16748,8 @@ 0 0 0 +0 +3 9 0x8d1d 0xb63adb8c @@ -13406,6 +16758,8 @@ 0 0 0 +2 +4 10 0x8970 0xa5af9499 @@ -13414,6 +16768,8 @@ 1 1 0 +3 +2 5 0xddab 0xd70805 @@ -13422,6 +16778,8 @@ 1 0 0 +3 +5 4 0xe35f 0xc92cca84 @@ -13430,6 +16788,8 @@ 0 0 0 +1 +3 8 0x1c2c 0x7427e666 @@ -13438,6 +16798,8 @@ 0 0 0 +1 +3 6 0x9ef5 0x9ab703a4 @@ -13447,6 +16809,8 @@ 1 0 3 +3 +3 0xb572 0x3e5ee5d3 256 @@ -13454,6 +16818,8 @@ 0 0 0 +1 +3 5 0x2a77 0x76d1c5ba @@ -13462,6 +16828,8 @@ 1 0 0 +0 +0 1 0x2304 0xf9aba900 @@ -13470,6 +16838,8 @@ 0 0 0 +4 +2 6 0x4d4b 0x1db776f8 @@ -13478,6 +16848,8 @@ 0 0 0 +3 +0 5 0xb4a3 0x70a43332 @@ -13486,6 +16858,8 @@ 0 0 0 +3 +5 2 0xa294 0x129e45c @@ -13494,6 +16868,8 @@ 0 0 0 +0 +4 4 0xe0d7 0x70ab3132 @@ -13502,6 +16878,8 @@ 1 1 0 +0 +3 4 0x3f37 0x16650cb9 @@ -13510,6 +16888,8 @@ 1 0 0 +2 +5 7 0x3555 0x63a0cf5e @@ -13518,6 +16898,8 @@ 0 0 0 +0 +4 3 0xe214 0xe06af272 @@ -13526,6 +16908,8 @@ 1 1 0 +3 +1 4 0x7bc6 0x2ffbb161 @@ -13534,6 +16918,8 @@ 1 1 0 +2 +1 6 0xaa3f 0x1c5d3823 @@ -13542,6 +16928,8 @@ 0 0 0 +0 +3 6 0xcbf0 0x66f21528 @@ -13550,6 +16938,8 @@ 0 0 0 +3 +5 9 0xe218 0x269a9122 @@ -13558,6 +16948,8 @@ 0 0 0 +1 +3 5 0x7503 0x4ee37cbd @@ -13566,6 +16958,8 @@ 0 0 0 +4 +2 3 0x148c 0x6eae6dd1 @@ -13574,6 +16968,8 @@ 0 0 0 +3 +2 2 0xa1fe 0xc424c786 @@ -13582,6 +16978,8 @@ 1 1 0 +3 +4 1 0x3da2 0x77ffcf3f @@ -13590,6 +16988,8 @@ 1 0 0 +5 +5 7 0x3557 0x4317f465 @@ -13598,6 +16998,8 @@ 1 1 0 +3 +4 2 0x9bd8 0xeb199739 @@ -13606,6 +17008,8 @@ 1 1 0 +0 +1 1 0x46fc 0xf0175570 @@ -13614,6 +17018,8 @@ 0 0 0 +3 +0 1 0x88e2 0x8adc5602 @@ -13622,6 +17028,8 @@ 0 0 0 +2 +0 10 0x62d2 0xdf902227 @@ -13630,6 +17038,8 @@ 0 0 0 +5 +5 6 0xc7c4 0x28053eab @@ -13638,6 +17048,8 @@ 1 1 0 +1 +4 8 0x2410 0xc7a8e2c @@ -13646,6 +17058,8 @@ 1 0 0 +3 +4 6 0xce24 0x2b98f15c @@ -13654,6 +17068,8 @@ 0 0 0 +5 +0 6 0xe212 0x91e17028 @@ -13662,6 +17078,8 @@ 1 0 0 +0 +4 10 0x76bf 0xec1dbe64 @@ -13670,6 +17088,8 @@ 0 0 0 +0 +1 8 0xdd05 0x7382c338 @@ -13678,6 +17098,8 @@ 0 0 0 +4 +0 6 0xcbbc 0x8ea0408e @@ -13686,6 +17108,8 @@ 1 1 0 +4 +5 10 0x1d86 0x44b6dda4 @@ -13694,6 +17118,8 @@ 0 0 0 +3 +4 2 0xbc8e 0xc1253d2f @@ -13702,6 +17128,8 @@ 0 0 0 +2 +0 3 0xffa5 0x75f2da5 @@ -13710,6 +17138,8 @@ 0 0 0 +5 +5 10 0x8993 0xa9760fd0 @@ -13718,6 +17148,8 @@ 1 1 0 +4 +2 1 0x451a 0xd4c10720 @@ -13726,6 +17158,8 @@ 0 0 0 +0 +3 6 0x70d7 0x15c69ae5 @@ -13734,6 +17168,8 @@ 1 1 0 +0 +4 5 0xa9da 0x1e1e598d @@ -13742,6 +17178,8 @@ 0 0 0 +3 +1 8 0x3d51 0x6b730703 @@ -13750,6 +17188,8 @@ 0 0 0 +5 +0 10 0x1504 0x595ca10a @@ -13758,6 +17198,8 @@ 0 0 0 +1 +1 7 0xa16 0xe25c9a99 @@ -13766,6 +17208,8 @@ 0 0 0 +4 +1 5 0xbc25 0xcd121201 @@ -13774,6 +17218,8 @@ 0 0 0 +0 +3 9 0xdac3 0xbc283502 @@ -13782,6 +17228,8 @@ 1 0 0 +4 +2 3 0x77b9 0x55aabae8 @@ -13790,6 +17238,8 @@ 1 1 0 +5 +2 9 0x2df0 0x387a2609 @@ -13798,6 +17248,8 @@ 1 1 0 +1 +4 9 0xa641 0x97093a40 @@ -13806,6 +17258,8 @@ 1 1 0 +1 +3 9 0x4baf 0x2822236d @@ -13814,6 +17268,8 @@ 0 0 0 +0 +0 5 0x6ff3 0xff998bf7 @@ -13822,6 +17278,8 @@ 0 0 0 +1 +0 9 0xb685 0x283afd7a @@ -13830,6 +17288,8 @@ 0 0 0 +3 +3 2 0x485 0x733916be @@ -13838,6 +17298,8 @@ 0 0 0 +0 +4 10 0xd81 0xafd60a65 @@ -13846,6 +17308,8 @@ 0 0 0 +1 +0 5 0x4763 0xee6a6713 @@ -13854,6 +17318,8 @@ 1 0 0 +3 +3 7 0x7325 0x4319fae5 @@ -13862,6 +17328,8 @@ 0 0 0 +5 +3 8 0x1470 0x140be3e5 @@ -13870,6 +17338,8 @@ 1 0 0 +1 +3 7 0xae0d 0x575ee812 @@ -13878,6 +17348,8 @@ 0 0 0 +1 +3 5 0x4bd1 0xbf7e5614 @@ -13886,6 +17358,8 @@ 1 1 0 +0 +1 3 0xf984 0xe63e06f9 @@ -13894,6 +17368,8 @@ 0 0 0 +0 +0 10 0xccf8 0x760411b3 @@ -13902,6 +17378,8 @@ 0 0 0 +0 +3 4 0x222c 0xa559f212 @@ -13910,6 +17388,8 @@ 0 0 0 +4 +1 6 0xc6f2 0xb6dbc1a7 @@ -13918,6 +17398,8 @@ 0 0 0 +5 +3 10 0x217e 0x430334c7 @@ -13926,6 +17408,8 @@ 1 0 0 +0 +3 6 0x2fee 0x882e6068 @@ -13934,6 +17418,8 @@ 1 0 0 +2 +0 6 0xb95c 0xd67a046a @@ -13942,6 +17428,8 @@ 0 0 0 +1 +3 3 0x4c0b 0x47b172b @@ -13950,6 +17438,8 @@ 0 0 0 +1 +3 9 0xa27e 0x3b4ae696 @@ -13959,6 +17449,8 @@ 0 0 4 +1 +4 0xa907 0xf55a00be 256 @@ -13967,6 +17459,8 @@ 0 0 4 +0 +4 0xa3c5 0xa2ac2d0c 256 @@ -13974,6 +17468,8 @@ 0 0 0 +0 +5 7 0xc19e 0x229ff18 @@ -13982,6 +17478,8 @@ 1 1 0 +1 +3 6 0x198c 0x4cb42f3d @@ -13990,6 +17488,8 @@ 0 0 0 +1 +3 2 0xc9fe 0xe26c1608 @@ -13998,6 +17498,8 @@ 1 1 0 +5 +3 9 0x5e3a 0xbdcc70c0 @@ -14006,6 +17508,8 @@ 1 1 0 +1 +5 2 0x912 0x45f17582 @@ -14014,6 +17518,8 @@ 1 1 0 +3 +4 8 0x50ea 0xefa9e9e6 @@ -14022,6 +17528,8 @@ 0 0 0 +2 +2 4 0xefa3 0x4335ee7b @@ -14030,6 +17538,8 @@ 1 1 0 +4 +4 2 0xdff4 0xad52707a @@ -14038,6 +17548,8 @@ 1 1 0 +1 +5 2 0x258f 0xe80c7d7e @@ -14046,6 +17558,8 @@ 0 0 0 +5 +2 6 0x4a30 0x5e785e13 @@ -14054,6 +17568,8 @@ 1 0 0 +2 +0 3 0x302f 0xa4ead9e6 @@ -14063,6 +17579,8 @@ 0 0 2 +4 +2 0x5f11 0xbaeafd11 256 @@ -14070,6 +17588,8 @@ 1 1 0 +0 +4 4 0xd383 0x3b58af2 @@ -14078,6 +17598,8 @@ 1 0 0 +4 +1 1 0x45ba 0x140d512 @@ -14086,6 +17608,8 @@ 0 0 0 +0 +5 1 0x83e1 0x4225a904 @@ -14094,6 +17618,8 @@ 0 0 0 +2 +2 6 0xa0e2 0x245573af @@ -14102,6 +17628,8 @@ 0 0 0 +3 +3 2 0x523c 0x27f899af @@ -14111,6 +17639,8 @@ 0 0 1 +4 +1 0xec56 0xfa3b0897 256 @@ -14118,6 +17648,8 @@ 0 0 0 +5 +1 4 0xcb15 0x2bedf582 @@ -14126,6 +17658,8 @@ 0 0 0 +4 +2 9 0x7b31 0xdc56d879 @@ -14134,6 +17668,8 @@ 0 0 0 +0 +4 1 0x97d6 0x4f3128d3 @@ -14142,6 +17678,8 @@ 0 0 0 +3 +2 8 0xd394 0xf2e1bd45 @@ -14150,6 +17688,8 @@ 0 0 0 +1 +3 4 0x5690 0xf8e92e45 @@ -14158,6 +17698,8 @@ 1 1 0 +3 +3 8 0xa40c 0x9921deb5 @@ -14166,6 +17708,8 @@ 0 0 0 +1 +3 3 0x6551 0x6bcbc10d @@ -14174,6 +17718,8 @@ 1 1 0 +0 +1 2 0x5261 0xd6d44af5 @@ -14182,6 +17728,8 @@ 0 0 0 +4 +2 3 0xf134 0x348ec5b3 @@ -14190,6 +17738,8 @@ 1 0 0 +5 +4 6 0x62b8 0x9a32cc43 @@ -14198,6 +17748,8 @@ 1 0 0 +1 +3 5 0x5078 0xc4cc845b @@ -14206,6 +17758,8 @@ 0 0 0 +4 +4 7 0x2da 0x24f04222 @@ -14214,6 +17768,8 @@ 1 0 0 +2 +0 9 0xa225 0x6af97af6 @@ -14222,6 +17778,8 @@ 1 1 0 +0 +3 7 0xd5bb 0x1f9d1529 @@ -14230,6 +17788,8 @@ 0 0 0 +5 +5 7 0x9d1c 0xcb565f44 @@ -14238,6 +17798,8 @@ 0 0 0 +4 +2 7 0x3caf 0xf7e3e07 @@ -14247,6 +17809,8 @@ 0 0 4 +3 +4 0x1abe 0x968fce7a 256 @@ -14254,6 +17818,8 @@ 0 0 0 +2 +2 8 0x5f47 0x17531822 @@ -14262,6 +17828,8 @@ 1 0 0 +5 +3 10 0x6518 0x685cb978 @@ -14270,6 +17838,8 @@ 0 0 0 +0 +3 4 0xba15 0x8b4c5a6e @@ -14278,6 +17848,8 @@ 0 0 0 +1 +2 5 0x16f1 0xec840b11 @@ -14286,6 +17858,8 @@ 1 0 0 +0 +0 2 0xdb0 0xd4c977a4 @@ -14294,6 +17868,8 @@ 1 1 0 +5 +1 1 0xd201 0x9a1cd83e @@ -14302,6 +17878,8 @@ 1 0 0 +3 +5 7 0xfed1 0xbf72118c @@ -14310,6 +17888,8 @@ 0 0 0 +5 +4 2 0xb61e 0x7f056d32 @@ -14318,6 +17898,8 @@ 1 0 0 +0 +5 9 0x9845 0xf16b110d @@ -14326,6 +17908,8 @@ 1 0 0 +4 +4 5 0x456c 0x6b7785dd @@ -14334,6 +17918,8 @@ 0 0 0 +1 +1 5 0x2496 0x6f01b4a9 @@ -14342,6 +17928,8 @@ 1 1 0 +2 +4 6 0xce9e 0x6f381de4 @@ -14350,6 +17938,8 @@ 1 1 0 +4 +2 8 0x6d2b 0x38574cd9 @@ -14358,6 +17948,8 @@ 1 0 0 +2 +2 7 0xae53 0x5276bbb6 @@ -14366,6 +17958,8 @@ 1 0 0 +2 +3 4 0x783 0x8830026d @@ -14375,6 +17969,8 @@ 0 0 1 +2 +1 0x75be 0xe78e877f 256 @@ -14382,6 +17978,8 @@ 1 1 0 +0 +4 9 0xf755 0xf3555c1f @@ -14390,6 +17988,8 @@ 1 0 0 +0 +2 1 0x540c 0xe018c381 @@ -14398,6 +17998,8 @@ 1 1 0 +3 +3 9 0xc2b3 0x58ec6d01 @@ -14406,6 +18008,8 @@ 1 0 0 +1 +4 10 0x522a 0x4e8583d0 @@ -14414,6 +18018,8 @@ 1 1 0 +3 +1 9 0x11c3 0x3cc28f1e @@ -14422,6 +18028,8 @@ 1 1 0 +1 +0 4 0xb1a3 0x2e2c2bb2 @@ -14431,6 +18039,8 @@ 1 0 3 +3 +3 0xb1db 0x5953e678 256 @@ -14438,6 +18048,8 @@ 1 0 0 +4 +1 1 0x9236 0xa53685fa @@ -14446,6 +18058,8 @@ 1 0 0 +0 +2 6 0xe696 0xfa4bd825 @@ -14454,6 +18068,8 @@ 0 0 0 +1 +0 9 0x7b4b 0xe874b97f @@ -14462,6 +18078,8 @@ 0 0 0 +5 +3 4 0x1193 0x2f7f919 @@ -14470,6 +18088,8 @@ 0 0 0 +1 +1 6 0x76b 0x30f20a88 @@ -14478,6 +18098,8 @@ 1 0 0 +0 +4 7 0x8621 0x5fd108e7 @@ -14487,6 +18109,8 @@ 0 0 5 +2 +5 0xf62a 0xd64dd178 256 @@ -14494,6 +18118,8 @@ 0 0 0 +0 +1 5 0xc1e1 0x1c3984a0 @@ -14502,6 +18128,8 @@ 1 1 0 +4 +4 5 0xcd0b 0x95985f60 @@ -14510,6 +18138,8 @@ 0 0 0 +0 +4 6 0xc062 0xfe391399 @@ -14518,6 +18148,8 @@ 0 0 0 +2 +5 10 0xde63 0x6b5eaefc @@ -14526,6 +18158,8 @@ 0 0 0 +0 +1 3 0x45af 0x1f59d126 @@ -14534,6 +18168,8 @@ 1 1 0 +5 +5 7 0x520f 0x40a1fe02 @@ -14542,6 +18178,8 @@ 0 0 0 +4 +4 7 0xbb6a 0x119bc391 @@ -14551,6 +18189,8 @@ 0 0 2 +1 +2 0xfb6a 0xe7f8e4cf 256 @@ -14558,6 +18198,8 @@ 1 1 0 +5 +3 2 0xb259 0x864eb913 @@ -14567,6 +18209,8 @@ 0 0 5 +3 +5 0xc6b9 0x618b80e0 256 @@ -14574,6 +18218,8 @@ 0 0 0 +1 +0 5 0x70ef 0x13f1eec8 @@ -14583,6 +18229,8 @@ 0 0 2 +1 +2 0xeea6 0xdb8b17b2 256 @@ -14590,6 +18238,8 @@ 0 0 0 +1 +5 8 0x5b21 0x34618ea @@ -14598,6 +18248,8 @@ 0 0 0 +1 +2 4 0xe651 0xc9cd166b @@ -14606,6 +18258,8 @@ 0 0 0 +0 +5 4 0xbe2a 0x6e58a6b6 @@ -14614,6 +18268,8 @@ 1 0 0 +2 +4 8 0xbaaa 0x8f4480d7 @@ -14622,6 +18278,8 @@ 1 0 0 +4 +1 1 0x69c0 0x555fc8f9 @@ -14630,6 +18288,8 @@ 0 0 0 +0 +4 7 0x4173 0xb3945f8d @@ -14638,6 +18298,8 @@ 1 1 0 +3 +1 2 0x6b09 0x4f5dfa @@ -14646,6 +18308,8 @@ 1 1 0 +4 +1 10 0xed14 0x55ad98e3 @@ -14654,6 +18318,8 @@ 0 0 0 +4 +5 10 0xebcd 0x23421f54 @@ -14662,6 +18328,8 @@ 0 0 0 +0 +3 2 0xb6f1 0xefe41ed5 @@ -14670,6 +18338,8 @@ 1 1 0 +4 +4 7 0x9f24 0xf5c71f42 @@ -14678,6 +18348,8 @@ 1 0 0 +5 +0 6 0x6fe4 0x3bc64577 @@ -14686,6 +18358,8 @@ 1 1 0 +3 +5 2 0x8f58 0x132775b9 @@ -14695,6 +18369,8 @@ 0 0 5 +5 +5 0xe477 0x79a781a4 256 @@ -14702,6 +18378,8 @@ 0 0 0 +4 +3 8 0x5117 0x427e2b10 @@ -14710,6 +18388,8 @@ 0 0 0 +3 +0 1 0xf18 0x27d694ac @@ -14718,6 +18398,8 @@ 0 0 0 +2 +4 1 0x2c97 0x944ea24b @@ -14726,6 +18408,8 @@ 1 0 0 +2 +1 3 0x990c 0xefc01bee @@ -14734,6 +18418,8 @@ 0 0 0 +0 +1 8 0x94c0 0xeeaa27e3 @@ -14742,6 +18428,8 @@ 1 0 0 +0 +5 10 0x14a7 0x208f713 @@ -14750,6 +18438,8 @@ 0 0 0 +0 +1 4 0x9205 0x57f4d833 @@ -14758,6 +18448,8 @@ 1 1 0 +0 +3 7 0xf09a 0xb7d1444d @@ -14766,6 +18458,8 @@ 0 0 0 +0 +5 9 0x88ac 0xa337a4f3 @@ -14774,6 +18468,8 @@ 0 0 0 +4 +1 7 0xa478 0x5f4550f5 @@ -14783,6 +18479,8 @@ 0 0 5 +5 +5 0x8fbc 0x83f63019 256 @@ -14790,6 +18488,8 @@ 1 1 0 +0 +3 7 0xf168 0x2df5b5d3 @@ -14798,6 +18498,8 @@ 0 0 0 +5 +4 10 0xa2a9 0xccefb7b6 @@ -14806,6 +18508,8 @@ 1 1 0 +1 +4 5 0x74e 0xf62138a @@ -14814,6 +18518,8 @@ 0 0 0 +5 +1 2 0x65f2 0x7b510214 @@ -14822,6 +18528,8 @@ 0 0 0 +2 +4 8 0xc5d5 0x225e49db @@ -14830,6 +18538,8 @@ 0 0 0 +0 +1 6 0xae42 0x9b7c2d04 @@ -14838,6 +18548,8 @@ 0 0 0 +1 +1 10 0x6f45 0xaf4f2d43 @@ -14846,6 +18558,8 @@ 1 0 0 +3 +2 9 0xc1ea 0xc35c0274 @@ -14854,6 +18568,8 @@ 1 1 0 +4 +4 3 0xa995 0xb9a72795 @@ -14862,6 +18578,8 @@ 1 0 0 +0 +4 7 0xb40f 0xe53d7680 @@ -14870,6 +18588,8 @@ 1 1 0 +4 +3 3 0xd938 0xa18d5885 @@ -14879,6 +18599,8 @@ 0 0 1 +1 +1 0xb537 0xb0f9d5d2 256 @@ -14886,6 +18608,8 @@ 0 0 0 +2 +4 5 0x2741 0x708c2319 @@ -14894,6 +18618,8 @@ 1 1 0 +1 +3 7 0xfd07 0xec78a187 @@ -14902,6 +18628,8 @@ 1 1 0 +3 +4 7 0x2ed1 0x5fe7a9f7 @@ -14910,6 +18638,8 @@ 1 0 0 +3 +4 7 0x9577 0x1189b87 @@ -14919,6 +18649,8 @@ 0 0 3 +0 +3 0xef2e 0x32a82a36 256 @@ -14926,6 +18658,8 @@ 0 0 0 +1 +0 4 0x5b4d 0xe8cff94d @@ -14934,6 +18668,8 @@ 1 0 0 +5 +1 10 0x4fbf 0xefc25a3e @@ -14942,6 +18678,8 @@ 1 0 0 +4 +1 10 0x885f 0x96c619d4 @@ -14950,6 +18688,8 @@ 1 0 0 +1 +5 4 0x591 0xe581f183 @@ -14958,6 +18698,8 @@ 1 1 0 +0 +1 2 0x38c1 0x39edcd8f @@ -14966,6 +18708,8 @@ 1 1 0 +5 +2 1 0xf96f 0xd6bf346c @@ -14974,6 +18718,8 @@ 0 0 0 +1 +4 6 0x128c 0x70cce673 @@ -14982,6 +18728,8 @@ 0 0 0 +3 +1 6 0x5994 0xc216d669 @@ -14990,6 +18738,8 @@ 1 0 0 +1 +2 5 0x775b 0x4e96086b @@ -14998,6 +18748,8 @@ 0 0 0 +0 +0 7 0x338d 0x407ae0da @@ -15006,6 +18758,8 @@ 0 0 0 +4 +1 8 0x827c 0x4060050e @@ -15014,6 +18768,8 @@ 1 1 0 +1 +5 2 0x591d 0xa169ee7d @@ -15022,6 +18778,8 @@ 1 0 0 +3 +5 10 0x655d 0x7ec0d9e9 @@ -15030,6 +18788,8 @@ 0 0 0 +0 +1 4 0xddd8 0x995b88ec @@ -15038,6 +18798,8 @@ 0 0 0 +0 +4 8 0x8beb 0x47d64faf @@ -15046,6 +18808,8 @@ 1 0 0 +1 +4 3 0x99a9 0xa4d17ba2 @@ -15055,6 +18819,8 @@ 0 0 5 +3 +5 0x27be 0x5a450336 256 @@ -15062,6 +18828,8 @@ 1 1 0 +2 +2 9 0x405d 0xc77a926b @@ -15070,6 +18838,8 @@ 1 0 0 +1 +3 4 0x994b 0x4f994392 @@ -15078,6 +18848,8 @@ 1 1 0 +1 +4 5 0x6b38 0x77bae5b1 @@ -15086,6 +18858,8 @@ 0 0 0 +5 +0 7 0x5324 0x70d722cc @@ -15095,6 +18869,8 @@ 0 0 3 +2 +3 0x9a68 0x86f471a2 256 @@ -15102,6 +18878,8 @@ 0 0 0 +5 +5 3 0x3f26 0x2aeb1470 @@ -15111,6 +18889,8 @@ 0 0 4 +1 +4 0xe268 0x5264624 256 @@ -15118,6 +18898,8 @@ 0 0 0 +2 +3 10 0xdd20 0xc5f8808b @@ -15126,6 +18908,8 @@ 1 0 0 +5 +1 9 0xa67c 0x9b77f23e @@ -15134,6 +18918,8 @@ 1 0 0 +1 +5 7 0xae71 0x3ad0ea00 @@ -15142,6 +18928,8 @@ 0 0 0 +1 +0 3 0xb7db 0xb07a8e8d @@ -15150,6 +18938,8 @@ 1 1 0 +1 +5 3 0x6082 0xc8178307 @@ -15159,6 +18949,8 @@ 0 0 2 +0 +2 0xc5d3 0xbfc2e0de 256 @@ -15166,6 +18958,8 @@ 0 0 0 +4 +3 1 0x6890 0xc191659b @@ -15174,6 +18968,8 @@ 1 0 0 +3 +4 5 0x5bdc 0x201fcc47 @@ -15182,6 +18978,8 @@ 1 1 0 +5 +5 3 0x53d2 0xa4a0bcb0 @@ -15190,6 +18988,8 @@ 1 1 0 +4 +0 2 0x28e6 0xf80d313f @@ -15198,6 +18998,8 @@ 1 1 0 +1 +2 7 0x5d70 0x1758f184 @@ -15206,6 +19008,8 @@ 1 0 0 +1 +1 7 0xfcfe 0x6c3e04a5 @@ -15214,6 +19018,8 @@ 1 0 0 +2 +4 7 0x9d2c 0x508655a6 @@ -15222,6 +19028,8 @@ 1 0 0 +0 +5 10 0xe87c 0x25f7cc73 @@ -15230,6 +19038,8 @@ 0 0 0 +5 +2 3 0x4a33 0x2ceb2167 @@ -15238,6 +19048,8 @@ 1 1 0 +1 +0 2 0x7a90 0x3582476c @@ -15246,6 +19058,8 @@ 1 0 0 +2 +4 7 0x4f1d 0x82bc32de @@ -15254,6 +19068,8 @@ 1 0 0 +3 +2 4 0x5664 0xead1f530 @@ -15262,6 +19078,8 @@ 0 0 0 +4 +4 10 0x1c16 0x549bbf09 @@ -15270,6 +19088,8 @@ 0 0 0 +0 +3 7 0x12a7 0x1c775f44 @@ -15278,6 +19098,8 @@ 0 0 0 +3 +2 4 0xfca0 0xd34bd9a2 @@ -15286,6 +19108,8 @@ 0 0 0 +4 +0 2 0xd198 0xb5fd9c41 @@ -15294,6 +19118,8 @@ 1 0 0 +1 +2 6 0xe2b8 0x176d56d2 @@ -15302,6 +19128,8 @@ 0 0 0 +0 +4 9 0xb615 0x9cdcff4b @@ -15310,6 +19138,8 @@ 1 0 0 +1 +3 9 0xc736 0x355fcd49 @@ -15318,6 +19148,8 @@ 0 0 0 +0 +0 3 0x8591 0x8deb5398 @@ -15326,6 +19158,8 @@ 0 0 0 +3 +3 5 0xb26 0x3c4cd4d4 @@ -15335,6 +19169,8 @@ 0 0 5 +4 +5 0xbd5c 0x29551847 256 @@ -15343,6 +19179,8 @@ 0 0 3 +4 +3 0x54b1 0xbb29bf52 256 @@ -15351,6 +19189,8 @@ 0 0 2 +4 +2 0x7652 0xaa41d463 256 @@ -15358,6 +19198,8 @@ 1 1 0 +4 +0 1 0x907b 0x1a6edc5 @@ -15366,6 +19208,8 @@ 0 0 0 +1 +4 4 0x49a3 0x8e675d7d @@ -15374,6 +19218,8 @@ 1 0 0 +5 +4 1 0x4ba5 0x836e0faf @@ -15382,6 +19228,8 @@ 0 0 0 +2 +5 9 0xc24a 0x608d0619 @@ -15390,6 +19238,8 @@ 0 0 0 +5 +5 8 0x7ad7 0xd4728689 @@ -15398,6 +19248,8 @@ 0 0 0 +4 +4 6 0xdbcf 0xd9e4afd8 @@ -15406,6 +19258,8 @@ 0 0 0 +4 +3 9 0xa32b 0x1b2ee83e @@ -15414,6 +19268,8 @@ 1 1 0 +1 +0 9 0x428b 0xd1d52e68 @@ -15422,6 +19278,8 @@ 1 1 0 +4 +1 9 0x4c9 0x8b5af4ae @@ -15430,6 +19288,8 @@ 1 0 0 +0 +1 6 0x712b 0x9f25bbd9 @@ -15438,6 +19298,8 @@ 1 1 0 +0 +5 6 0x8008 0xd03943f @@ -15447,6 +19309,8 @@ 0 0 3 +1 +3 0x821d 0xead2be20 256 @@ -15454,6 +19318,8 @@ 0 0 0 +3 +0 5 0x2d9b 0x23a4737 @@ -15462,6 +19328,8 @@ 1 1 0 +3 +4 2 0x665a 0xf0ffe94d @@ -15470,6 +19338,8 @@ 0 0 0 +3 +0 4 0x7416 0x14e6cb13 @@ -15478,6 +19348,8 @@ 0 0 0 +4 +1 7 0xb034 0x6d451f89 @@ -15486,6 +19358,8 @@ 1 1 0 +5 +4 10 0xbd6b 0x5f3af8c7 @@ -15494,6 +19368,8 @@ 0 0 0 +4 +0 7 0x33c9 0xc71ea0b2 @@ -15502,6 +19378,8 @@ 0 0 0 +5 +5 4 0xd6f4 0x30a2218 @@ -15510,6 +19388,8 @@ 1 0 0 +2 +5 4 0x56bc 0xc99afdeb @@ -15518,6 +19398,8 @@ 1 1 0 +2 +3 3 0x372 0xc7beac2b @@ -15526,6 +19408,8 @@ 0 0 0 +0 +3 7 0xae05 0x2b6490e3 @@ -15534,6 +19418,8 @@ 1 1 0 +3 +3 7 0x5d7f 0xa8e18c92 @@ -15542,6 +19428,8 @@ 0 0 0 +4 +4 8 0xfee4 0xcc85681b @@ -15550,6 +19438,8 @@ 1 1 0 +0 +2 10 0xdfd3 0x430b90d8 @@ -15558,6 +19448,8 @@ 0 0 0 +0 +4 10 0x27d7 0x2e459518 @@ -15567,6 +19459,8 @@ 0 0 4 +1 +4 0xcb3d 0xe7d46d3 256 @@ -15574,6 +19468,8 @@ 0 0 0 +2 +5 7 0xdae5 0x174274a6 @@ -15582,6 +19478,8 @@ 0 0 0 +4 +3 3 0x77fc 0xe5163dec @@ -15590,6 +19488,8 @@ 0 0 0 +2 +2 1 0xf486 0xa9131e6a @@ -15598,6 +19498,8 @@ 1 1 0 +3 +2 7 0x3ce1 0xd40b8eb5 @@ -15606,6 +19508,8 @@ 1 0 0 +4 +4 3 0xc009 0x35134c7e @@ -15614,6 +19518,8 @@ 1 1 0 +5 +0 10 0x7e24 0x7734bc40 @@ -15622,6 +19528,8 @@ 1 0 0 +5 +2 1 0x1a96 0x774313aa @@ -15630,6 +19538,8 @@ 0 0 0 +4 +4 6 0x6e7a 0xeeb5a4a6 @@ -15638,6 +19548,8 @@ 0 0 0 +5 +5 4 0x3396 0x34a1c866 @@ -15646,6 +19558,8 @@ 1 0 0 +3 +0 7 0xcd8c 0x2b4fe2b3 @@ -15654,6 +19568,8 @@ 1 0 0 +3 +1 7 0x534b 0xd0ab4a49 @@ -15663,6 +19579,8 @@ 0 0 5 +0 +5 0xfcf 0x962c626e 256 @@ -15670,6 +19588,8 @@ 0 0 0 +1 +1 9 0xf633 0x4636cfe @@ -15678,6 +19598,8 @@ 1 1 0 +2 +5 1 0xec89 0xb5e576b4 @@ -15686,6 +19608,8 @@ 1 0 0 +0 +3 7 0xaf47 0xc95f8596 @@ -15694,6 +19618,8 @@ 1 1 0 +5 +2 7 0xa16f 0xd754a436 @@ -15702,6 +19628,8 @@ 1 1 0 +5 +4 10 0xe044 0x9a1852f9 @@ -15710,6 +19638,8 @@ 1 0 0 +1 +0 5 0x55f0 0xa18f2fa9 @@ -15718,6 +19648,8 @@ 0 0 0 +0 +1 5 0xb910 0x9c9e94b4 @@ -15726,6 +19658,8 @@ 0 0 0 +0 +0 5 0x59ea 0xda40c398 @@ -15734,6 +19668,8 @@ 1 0 0 +5 +1 4 0x8e83 0x2ccf64fa @@ -15742,6 +19678,8 @@ 0 0 0 +5 +3 7 0xb0be 0x37cb1d47 @@ -15750,6 +19688,8 @@ 1 1 0 +3 +0 7 0xc509 0xd556f35d @@ -15758,6 +19698,8 @@ 1 1 0 +5 +2 7 0x5dd8 0xe2bce66a @@ -15766,6 +19708,8 @@ 1 0 0 +4 +2 3 0x118a 0xbc3f00a4 @@ -15774,6 +19718,8 @@ 0 0 0 +5 +0 10 0x50a7 0x857b0a01 @@ -15782,6 +19728,8 @@ 0 0 0 +5 +0 6 0xf503 0x1881943a @@ -15790,6 +19738,8 @@ 1 0 0 +0 +5 4 0x6dbd 0x85bd5183 @@ -15798,6 +19748,8 @@ 0 0 0 +4 +1 6 0x7251 0xa5229689 @@ -15806,6 +19758,8 @@ 1 0 0 +3 +4 8 0xc11f 0xa4a0b14f @@ -15814,6 +19768,8 @@ 1 1 0 +1 +3 3 0x635e 0x65fa8ff9 @@ -15822,6 +19778,8 @@ 0 0 0 +1 +0 6 0xb5ec 0x7c1b00f6 @@ -15830,6 +19788,8 @@ 0 0 0 +3 +5 6 0x655f 0x912791fb @@ -15838,6 +19798,8 @@ 0 0 0 +3 +4 8 0xcad3 0x54d1efdc @@ -15846,6 +19808,8 @@ 1 0 0 +0 +1 2 0x30b6 0x89c5cc1c @@ -15854,6 +19818,8 @@ 1 0 0 +5 +5 9 0x7b21 0xd739f68c @@ -15862,6 +19828,8 @@ 1 0 0 +3 +0 9 0x5c24 0x8008b0c8 @@ -15870,6 +19838,8 @@ 1 0 0 +1 +0 4 0xe927 0xbf3ea126 @@ -15879,6 +19849,8 @@ 0 0 5 +0 +5 0x5916 0xcedc4551 256 @@ -15886,6 +19858,8 @@ 1 1 0 +0 +4 2 0xa3b7 0x8ac3a552 @@ -15894,6 +19868,8 @@ 1 0 0 +5 +1 3 0xe67d 0x4ec91c68 @@ -15903,6 +19879,8 @@ 0 0 3 +2 +3 0x5006 0xcde810a9 256 @@ -15910,6 +19888,8 @@ 1 0 0 +3 +2 1 0xe795 0x63c8ece1 @@ -15918,6 +19898,8 @@ 1 1 0 +4 +1 7 0x4f2a 0x29dd37f7 @@ -15926,6 +19908,8 @@ 0 0 0 +3 +0 6 0xc53b 0x549fef35 @@ -15934,6 +19918,8 @@ 0 0 0 +3 +0 9 0x1db7 0x363a7ae1 @@ -15942,6 +19928,8 @@ 1 0 0 +3 +5 10 0xf3f1 0x7ae84599 @@ -15950,6 +19938,8 @@ 0 0 0 +1 +3 10 0xbbc 0x7d679d40 @@ -15958,6 +19948,8 @@ 1 1 0 +0 +4 9 0x2fcc 0x8d493669 @@ -15966,6 +19958,8 @@ 1 0 0 +4 +2 5 0xbdc9 0x1d0a8fd6 @@ -15974,6 +19968,8 @@ 0 0 0 +5 +2 7 0x47d9 0x400ccb1e @@ -15982,6 +19978,8 @@ 1 1 0 +3 +2 6 0xf5bc 0x5c4bd04c @@ -15990,6 +19988,8 @@ 1 1 0 +3 +4 1 0x2919 0x8f78971 @@ -15998,6 +19998,8 @@ 1 0 0 +2 +3 1 0x5337 0x83d10ea7 @@ -16006,6 +20008,8 @@ 1 1 0 +2 +5 8 0x41ba 0x8f428306 @@ -16014,6 +20018,8 @@ 0 0 0 +4 +0 1 0xb1a6 0xa74bb606 @@ -16022,6 +20028,8 @@ 0 0 0 +1 +1 10 0x8afd 0x4701d62b @@ -16030,6 +20038,8 @@ 0 0 0 +4 +0 2 0x7d1d 0xaface3be @@ -16038,6 +20048,8 @@ 1 1 0 +5 +5 9 0xfe5f 0x6b3c3994 @@ -16046,6 +20058,8 @@ 0 0 0 +5 +5 1 0xcad 0x6e8bffec @@ -16054,6 +20068,8 @@ 1 1 0 +0 +3 1 0x96ea 0x8a69a789 @@ -16062,6 +20078,8 @@ 1 1 0 +1 +1 5 0x6be4 0xb0266e26 @@ -16070,6 +20088,8 @@ 0 0 0 +1 +1 10 0xca0c 0xb05d3ba2 @@ -16078,6 +20098,8 @@ 1 1 0 +2 +1 7 0x62ee 0x4145bd76 @@ -16086,6 +20108,8 @@ 0 0 0 +4 +0 10 0x32ac 0x2e3f6299 @@ -16094,6 +20118,8 @@ 0 0 0 +1 +0 6 0x2b1d 0xa2ba321c @@ -16102,6 +20128,8 @@ 1 0 0 +4 +3 8 0xeaa0 0x42d76cbd @@ -16110,6 +20138,8 @@ 0 0 0 +3 +3 10 0x74b 0xf7442b10 @@ -16118,6 +20148,8 @@ 0 0 0 +4 +4 2 0x874f 0x23f8dfbb @@ -16126,6 +20158,8 @@ 0 0 0 +4 +3 8 0x2762 0xf0c9fec3 @@ -16134,6 +20168,8 @@ 0 0 0 +2 +1 6 0x51be 0x6eb47f36 @@ -16142,6 +20178,8 @@ 0 0 0 +4 +2 3 0x9086 0x80bea068 @@ -16150,6 +20188,8 @@ 0 0 0 +0 +5 6 0xbee 0xc0796aba @@ -16159,6 +20199,8 @@ 0 0 5 +0 +5 0xc2cb 0x95a1074d 256 @@ -16166,6 +20208,8 @@ 1 0 0 +5 +4 2 0x427f 0x923640da @@ -16174,6 +20218,8 @@ 0 0 0 +3 +0 7 0x8735 0x38b8ac12 @@ -16182,6 +20228,8 @@ 0 0 0 +3 +4 6 0x5d7b 0xaacbe3b8 @@ -16190,6 +20238,8 @@ 1 1 0 +5 +5 10 0x597a 0x9b85bc9e @@ -16198,6 +20248,8 @@ 0 0 0 +4 +5 10 0xb9af 0xdade74a0 @@ -16206,6 +20258,8 @@ 0 0 0 +1 +1 5 0x4286 0xa9b9eb1d @@ -16214,6 +20268,8 @@ 1 0 0 +2 +1 1 0x6940 0x7b27a2dd @@ -16222,6 +20278,8 @@ 0 0 0 +0 +5 8 0x135 0xcebeb5db @@ -16230,6 +20288,8 @@ 1 0 0 +4 +4 5 0x61db 0xf8844378 @@ -16238,6 +20298,8 @@ 0 0 0 +1 +0 9 0x1d54 0xa637cb24 @@ -16246,6 +20308,8 @@ 0 0 0 +4 +5 6 0x25f6 0x5dd0e229 @@ -16254,6 +20318,8 @@ 1 0 0 +3 +5 1 0x112b 0x2d46c408 @@ -16263,6 +20329,8 @@ 0 0 2 +0 +2 0x86f3 0x6b6322d0 256 @@ -16270,6 +20338,8 @@ 0 0 0 +2 +1 6 0xf498 0x3c10fcb7 @@ -16278,6 +20348,8 @@ 1 0 0 +3 +5 4 0xc20e 0x740ad1d3 @@ -16286,6 +20358,8 @@ 0 0 0 +3 +3 1 0x9a28 0x78a5d3ed @@ -16294,6 +20368,8 @@ 0 0 0 +2 +5 6 0xf32d 0x7485b15 @@ -16302,6 +20378,8 @@ 1 1 0 +3 +5 6 0xbe21 0x9cea2661 @@ -16310,6 +20388,8 @@ 0 0 0 +0 +5 6 0xb0c1 0xed1ef92b @@ -16318,6 +20398,8 @@ 1 1 0 +2 +0 5 0xb7ad 0x34fe196d @@ -16326,6 +20408,8 @@ 1 0 0 +3 +4 2 0x50da 0x7f1570e3 @@ -16334,6 +20418,8 @@ 1 1 0 +3 +4 6 0xbcea 0xc5ab21fe @@ -16342,6 +20428,8 @@ 0 0 0 +4 +4 7 0xf904 0xd6cf1b19 @@ -16350,6 +20438,8 @@ 0 0 0 +5 +1 9 0xde8d 0xf2577ae3 @@ -16358,6 +20448,8 @@ 0 0 0 +2 +0 5 0x954d 0xe2519b68 @@ -16366,6 +20458,8 @@ 0 0 0 +2 +2 8 0xb414 0xcebdf45c @@ -16374,6 +20468,8 @@ 1 0 0 +2 +5 4 0x2f02 0x34fa710c @@ -16382,6 +20478,8 @@ 1 1 0 +0 +2 9 0x7b6d 0x67f81537 @@ -16390,6 +20488,8 @@ 0 0 0 +3 +5 6 0xa300 0x1a3dc2a2 @@ -16398,6 +20498,8 @@ 1 0 0 +0 +5 2 0x2a66 0xa4a0344b @@ -16406,6 +20508,8 @@ 0 0 0 +0 +4 6 0x857e 0xbf3e3eef @@ -16414,6 +20518,8 @@ 1 1 0 +5 +5 3 0x272c 0x88f7484f @@ -16422,6 +20528,8 @@ 1 1 0 +3 +4 9 0xa080 0x7ea20aa5 @@ -16430,6 +20538,8 @@ 1 1 0 +3 +0 10 0xd79a 0x7e0fc421 @@ -16438,6 +20548,8 @@ 1 0 0 +5 +2 1 0x7108 0xd52df97e @@ -16446,6 +20558,8 @@ 1 1 0 +4 +0 8 0xb120 0xc1fadcf2 @@ -16454,6 +20568,8 @@ 1 0 0 +0 +5 9 0xf14a 0xbd39b03d @@ -16462,6 +20578,8 @@ 0 0 0 +3 +1 8 0xdc0 0xac95ef7 @@ -16470,6 +20588,8 @@ 1 0 0 +5 +5 6 0x2cff 0xf0183a36 @@ -16478,6 +20598,8 @@ 1 1 0 +4 +2 1 0x1a8b 0x9af4f439 @@ -16486,6 +20608,8 @@ 0 0 0 +5 +3 9 0x729a 0xa73415ad @@ -16495,6 +20619,8 @@ 0 0 1 +0 +1 0x460b 0x183c5422 256 @@ -16502,6 +20628,8 @@ 0 0 0 +5 +4 3 0x5312 0xeeb791c @@ -16510,6 +20638,8 @@ 1 1 0 +2 +3 9 0x7ee 0x2a17bf68 @@ -16518,6 +20648,8 @@ 0 0 0 +4 +4 1 0x1efe 0x676c819e @@ -16526,6 +20658,8 @@ 0 0 0 +3 +4 9 0x61e9 0xb5d31cf7 @@ -16534,6 +20668,8 @@ 0 0 0 +2 +5 6 0x5615 0x8cda4f2d @@ -16542,6 +20678,8 @@ 0 0 0 +1 +0 10 0xb270 0x4ad7a772 @@ -16550,6 +20688,8 @@ 0 0 0 +1 +5 2 0x66e7 0x90c2e41a @@ -16558,6 +20698,8 @@ 0 0 0 +5 +1 9 0x994b 0x73f55501 @@ -16566,6 +20708,8 @@ 1 1 0 +5 +0 4 0xf17b 0xb9811515 @@ -16574,6 +20718,8 @@ 0 0 0 +1 +1 2 0xec95 0xd6adb6d2 @@ -16582,6 +20728,8 @@ 0 0 0 +4 +0 8 0x6f7d 0xd6a0fc7b @@ -16591,6 +20739,8 @@ 0 0 1 +2 +1 0x31e6 0x848d0e43 256 @@ -16598,6 +20748,8 @@ 0 0 0 +3 +4 9 0xc7d 0xd48b3a97 @@ -16606,6 +20758,8 @@ 0 0 0 +5 +4 10 0xac26 0x86781169 @@ -16614,6 +20768,8 @@ 0 0 0 +0 +4 2 0x4269 0x20ee50d5 @@ -16622,6 +20778,8 @@ 1 0 0 +5 +5 10 0x3c8d 0x80377755 @@ -16630,6 +20788,8 @@ 0 0 0 +0 +3 2 0xc074 0x951f32c @@ -16638,6 +20798,8 @@ 1 1 0 +1 +2 10 0x6ea9 0x9a14eb04 @@ -16646,6 +20808,8 @@ 0 0 0 +2 +5 5 0xe57a 0xc6838c02 @@ -16654,6 +20818,8 @@ 1 0 0 +3 +4 2 0x95ad 0xf557bb0d @@ -16662,6 +20828,8 @@ 0 0 0 +3 +5 1 0x6255 0x5176044f @@ -16670,6 +20838,8 @@ 1 1 0 +1 +4 8 0xbfa8 0xf27d9ff4 @@ -16678,6 +20848,8 @@ 0 0 0 +3 +2 8 0xd73a 0x56073a10 @@ -16686,6 +20858,8 @@ 1 1 0 +4 +4 3 0x1e24 0xc80c80ab @@ -16694,6 +20868,8 @@ 0 0 0 +1 +2 9 0x283b 0x9b1be5d7 @@ -16702,6 +20878,8 @@ 1 0 0 +1 +5 2 0xbf41 0xfe19aec @@ -16710,6 +20888,8 @@ 1 1 0 +5 +0 7 0xc652 0x7e1661f6 @@ -16718,6 +20898,8 @@ 1 0 0 +4 +4 5 0x588d 0x34cf8b9d @@ -16726,6 +20908,8 @@ 0 0 0 +4 +5 10 0xf7a7 0x3e3c19bc @@ -16734,6 +20918,8 @@ 1 1 0 +4 +2 8 0x3fff 0xf5a2db85 @@ -16742,6 +20928,8 @@ 0 0 0 +2 +5 5 0xaebe 0xc11796d0 @@ -16750,6 +20938,8 @@ 0 0 0 +4 +2 1 0x3e6d 0x4daae129 @@ -16758,6 +20948,8 @@ 0 0 0 +4 +4 6 0x3d4e 0x6c91298f @@ -16766,6 +20958,8 @@ 1 0 0 +5 +1 6 0x5b66 0x886c8827 @@ -16774,6 +20968,8 @@ 1 1 0 +1 +5 7 0x86b7 0x31cfaa95 @@ -16782,6 +20978,8 @@ 1 0 0 +2 +0 8 0x9cbc 0x164a9665 @@ -16791,6 +20989,8 @@ 0 0 2 +0 +2 0x68c6 0x8a94b26 256 @@ -16798,6 +20998,8 @@ 1 0 0 +2 +1 8 0xb4ab 0xbe02abb6 @@ -16806,6 +21008,8 @@ 1 0 0 +3 +3 1 0x8a86 0x95067135 @@ -16814,6 +21018,8 @@ 0 0 0 +5 +0 2 0x29c6 0x9c0077a9 @@ -16822,6 +21028,8 @@ 1 0 0 +3 +3 2 0x33d3 0x24d05204 @@ -16830,6 +21038,8 @@ 0 0 0 +2 +2 7 0x508e 0xa97c98e3 @@ -16838,6 +21048,8 @@ 0 0 0 +2 +0 9 0xf470 0xf1afc390 @@ -16846,6 +21058,8 @@ 0 0 0 +0 +4 10 0x23bc 0x104a519f @@ -16854,6 +21068,8 @@ 0 0 0 +2 +2 8 0x15d5 0xabe263de @@ -16862,6 +21078,8 @@ 0 0 0 +0 +3 2 0x3232 0xef7fc85 @@ -16870,6 +21088,8 @@ 0 0 0 +0 +0 3 0xdc9d 0xd7b6944 @@ -16878,6 +21098,8 @@ 1 1 0 +2 +5 4 0xc325 0xf08946fc @@ -16886,6 +21108,8 @@ 1 1 0 +5 +0 2 0xd3b9 0xcb594733 @@ -16894,6 +21118,8 @@ 1 0 0 +5 +4 8 0x1046 0xced51897 @@ -16902,6 +21128,8 @@ 1 1 0 +2 +4 9 0x8455 0xa55ed20c @@ -16910,6 +21138,8 @@ 1 0 0 +0 +1 8 0xcf5 0xeeb97a87 @@ -16918,6 +21148,8 @@ 1 0 0 +4 +2 1 0x14b 0xd14310e6 @@ -16926,6 +21158,8 @@ 0 0 0 +0 +5 6 0x9ce9 0x2e98505f @@ -16934,6 +21168,8 @@ 1 1 0 +4 +1 10 0x29a9 0x9335b803 @@ -16942,6 +21178,8 @@ 1 1 0 +3 +2 6 0x1712 0xb475ef0c @@ -16950,6 +21188,8 @@ 0 0 0 +2 +3 6 0x790e 0xca497cfe @@ -16958,6 +21198,8 @@ 1 0 0 +0 +0 7 0x23a 0x6bdeed34 @@ -16966,6 +21208,8 @@ 1 0 0 +0 +4 1 0xd721 0x9e933f80 @@ -16974,6 +21218,8 @@ 0 0 0 +1 +3 9 0xea7b 0x650bec71 @@ -16982,6 +21228,8 @@ 1 1 0 +2 +2 1 0xba28 0x97846bdc @@ -16990,6 +21238,8 @@ 0 0 0 +1 +3 10 0x5648 0x10300f46 @@ -16998,6 +21248,8 @@ 0 0 0 +5 +0 7 0xe26b 0x26ea670d @@ -17006,6 +21258,8 @@ 1 0 0 +0 +3 2 0x4120 0xa5f8f286 @@ -17014,6 +21268,8 @@ 1 0 0 +4 +2 3 0x2ae 0x5304c96d @@ -17022,6 +21278,8 @@ 1 1 0 +1 +5 8 0xff 0x7ee68c8d @@ -17030,6 +21288,8 @@ 1 0 0 +0 +3 9 0x58db 0x4c62ffb4 @@ -17038,6 +21298,8 @@ 1 0 0 +2 +4 8 0xd792 0xef262c3b @@ -17046,6 +21308,8 @@ 1 1 0 +4 +0 7 0xca42 0x80ed0b5c @@ -17054,6 +21318,8 @@ 1 0 0 +4 +2 3 0x9efd 0xdaa6d5b @@ -17062,6 +21328,8 @@ 0 0 0 +1 +4 4 0x9ae 0x81ba9ddc @@ -17070,6 +21338,8 @@ 0 0 0 +4 +5 6 0x93b 0xfd02193f @@ -17078,6 +21348,8 @@ 0 0 0 +3 +4 10 0x27be 0xd0ac4b18 @@ -17086,6 +21358,8 @@ 1 1 0 +3 +0 5 0x773d 0x9e42bb3a @@ -17094,6 +21368,8 @@ 0 0 0 +4 +3 6 0x728a 0x779d5611 @@ -17102,6 +21378,8 @@ 1 1 0 +4 +3 6 0xb5af 0xac3b554b @@ -17110,6 +21388,8 @@ 0 0 0 +2 +5 9 0xd7a8 0x49030f8b @@ -17119,6 +21399,8 @@ 1 0 2 +3 +2 0x570 0x37af96fb 256 @@ -17126,6 +21408,8 @@ 1 1 0 +0 +5 7 0xf507 0xb8d3ee17 @@ -17134,6 +21418,8 @@ 1 1 0 +2 +1 10 0x5eb0 0xd0688987 @@ -17142,6 +21428,8 @@ 1 1 0 +3 +2 7 0x8472 0x144c463c @@ -17150,6 +21438,8 @@ 0 0 0 +1 +2 8 0x91eb 0x38382a61 @@ -17158,6 +21448,8 @@ 1 1 0 +0 +2 7 0xd028 0xf90b0b44 @@ -17166,6 +21458,8 @@ 1 1 0 +1 +0 6 0x95a4 0xc8c00731 @@ -17174,6 +21468,8 @@ 1 0 0 +2 +3 7 0xb992 0xa008d2c2 @@ -17182,6 +21478,8 @@ 0 0 0 +0 +4 5 0xbebf 0xe4ac79bd @@ -17190,6 +21488,8 @@ 1 1 0 +3 +0 10 0xccda 0x1f799fce @@ -17198,6 +21498,8 @@ 0 0 0 +2 +4 5 0x758c 0x1a91a471 @@ -17206,6 +21508,8 @@ 1 0 0 +1 +0 3 0x75b5 0x45d5d60a @@ -17214,6 +21518,8 @@ 1 0 0 +0 +3 2 0xa221 0x893cc246 @@ -17222,6 +21528,8 @@ 0 0 0 +1 +0 9 0x456a 0xe4243053 @@ -17230,6 +21538,8 @@ 0 0 0 +5 +1 10 0xdd66 0x78212561 @@ -17238,6 +21548,8 @@ 1 1 0 +1 +5 6 0x5b25 0xd845504a @@ -17246,6 +21558,8 @@ 1 0 0 +2 +5 9 0x6d9e 0xe38d9b1e @@ -17254,6 +21568,8 @@ 1 1 0 +4 +0 3 0x78d9 0x6b2dd835 @@ -17262,6 +21578,8 @@ 0 0 0 +4 +5 8 0x2897 0x607c9dfa @@ -17271,6 +21589,8 @@ 1 0 4 +2 +4 0x5b67 0xc0f16de4 256 @@ -17278,6 +21598,8 @@ 0 0 0 +5 +4 8 0xb28c 0x31735b44 @@ -17286,6 +21608,8 @@ 1 1 0 +5 +3 6 0x403e 0x99678a24 @@ -17294,6 +21618,8 @@ 0 0 0 +5 +3 2 0xe591 0xfc76b2cd @@ -17302,6 +21628,8 @@ 0 0 0 +5 +5 9 0x5263 0xc2fd4fa0 @@ -17310,6 +21638,8 @@ 1 0 0 +5 +2 8 0xf43d 0x383af7f0 @@ -17318,6 +21648,8 @@ 1 0 0 +2 +0 8 0x35f3 0xaed1e51c @@ -17327,6 +21659,8 @@ 0 0 4 +3 +4 0x291f 0xfadee797 256 @@ -17334,6 +21668,8 @@ 0 0 0 +5 +4 8 0xa259 0xd7d87e02 @@ -17342,6 +21678,8 @@ 1 0 0 +2 +2 1 0x81de 0x7b2ff489 @@ -17350,6 +21688,8 @@ 0 0 0 +1 +3 4 0x3bad 0xe30ff0bc @@ -17358,6 +21698,8 @@ 0 0 0 +4 +1 2 0xb11c 0x98d0ca65 @@ -17366,6 +21708,8 @@ 1 0 0 +5 +5 4 0xa7a2 0xbcb1cf20 @@ -17375,6 +21719,8 @@ 1 0 5 +5 +5 0x1f3d 0x440bea46 256 @@ -17382,6 +21728,8 @@ 1 1 0 +5 +0 3 0xb498 0x9710fabf @@ -17390,6 +21738,8 @@ 1 0 0 +3 +5 7 0x32e3 0x8b9e6129 @@ -17398,6 +21748,8 @@ 1 1 0 +4 +5 5 0x8db5 0x3dc43725 @@ -17406,6 +21758,8 @@ 0 0 0 +2 +5 5 0x6d60 0x8cf0e031 @@ -17414,6 +21768,8 @@ 0 0 0 +3 +4 1 0xd882 0xc5013acd @@ -17422,6 +21778,8 @@ 1 0 0 +3 +2 5 0xfd63 0x2174b63b @@ -17430,6 +21788,8 @@ 1 1 0 +5 +5 8 0xe6ac 0xc3ae2ca1 @@ -17438,6 +21798,8 @@ 1 0 0 +5 +4 2 0x84e9 0x8a6e75e5 @@ -17446,6 +21808,8 @@ 1 1 0 +5 +3 2 0x290a 0x6a359baf @@ -17454,6 +21818,8 @@ 0 0 0 +5 +1 6 0x37c5 0xc650b3c1 @@ -17462,6 +21828,8 @@ 1 0 0 +5 +4 6 0xc2f5 0xa242265c @@ -17470,6 +21838,8 @@ 0 0 0 +0 +0 2 0xa68 0x93ed6ff4 @@ -17478,6 +21848,8 @@ 1 1 0 +0 +4 7 0x428f 0x7df146a7 @@ -17486,6 +21858,8 @@ 0 0 0 +1 +5 5 0xf795 0xe7c37075 @@ -17494,6 +21868,8 @@ 1 0 0 +2 +0 10 0x6035 0xab4a35e5 @@ -17502,6 +21878,8 @@ 1 1 0 +0 +3 1 0x491f 0x4defb9b3 @@ -17510,6 +21888,8 @@ 0 0 0 +0 +0 9 0x3bfa 0x9a54f7bb @@ -17518,6 +21898,8 @@ 1 1 0 +3 +2 7 0xb96c 0xc7766198 @@ -17526,6 +21908,8 @@ 0 0 0 +0 +4 5 0x2cef 0x14ccc850 @@ -17534,6 +21918,8 @@ 0 0 0 +2 +4 3 0x617e 0xd999e1ae @@ -17543,6 +21929,8 @@ 0 0 1 +4 +1 0x2f4f 0x97fc631c 256 @@ -17550,6 +21938,8 @@ 0 0 0 +0 +4 5 0xdea2 0x4c20f947 @@ -17558,6 +21948,8 @@ 1 0 0 +2 +4 9 0x69c2 0x9f724093 @@ -17566,6 +21958,8 @@ 1 0 0 +2 +5 6 0x81f7 0x27d253e7 @@ -17574,6 +21968,8 @@ 0 0 0 +3 +3 10 0x9dfe 0xc5c75377 @@ -17582,6 +21978,8 @@ 0 0 0 +0 +1 8 0x691 0x20bb8496 @@ -17591,6 +21989,8 @@ 0 0 5 +3 +5 0x9fd0 0x5e003d39 256 @@ -17598,6 +21998,8 @@ 1 0 0 +2 +5 9 0xe89c 0x2347b290 @@ -17606,6 +22008,8 @@ 0 0 0 +2 +5 8 0xb73e 0x60942d52 @@ -17615,6 +22019,8 @@ 1 0 3 +0 +3 0x8227 0x68b5daa8 256 @@ -17622,6 +22028,8 @@ 0 0 0 +2 +2 10 0x561e 0xd80f65ae @@ -17630,6 +22038,8 @@ 1 0 0 +1 +5 6 0xf053 0x474a0787 @@ -17638,6 +22048,8 @@ 0 0 0 +0 +0 2 0x615 0x8df6b1fe @@ -17646,6 +22058,8 @@ 1 1 0 +3 +2 2 0x7d07 0x6f53b3e0 @@ -17654,6 +22068,8 @@ 1 0 0 +3 +5 8 0x28dd 0xf20a207b @@ -17662,6 +22078,8 @@ 1 1 0 +3 +2 5 0x675e 0x8ddb0c8e @@ -17670,6 +22088,8 @@ 0 0 0 +4 +4 2 0xc14c 0x71508121 @@ -17678,6 +22098,8 @@ 1 1 0 +2 +5 7 0x8a14 0xf1986de9 @@ -17686,6 +22108,8 @@ 1 1 0 +2 +5 5 0xf55 0xa4ecf7c0 @@ -17694,6 +22118,8 @@ 0 0 0 +3 +2 4 0x7159 0x5c172408 @@ -17702,6 +22128,8 @@ 1 1 0 +3 +1 9 0xfbb7 0x9e163009 @@ -17710,6 +22138,8 @@ 0 0 0 +0 +4 1 0x3cd 0xb6fa10fb @@ -17718,6 +22148,8 @@ 1 0 0 +1 +3 3 0xc41 0x8d766e00 @@ -17726,6 +22158,8 @@ 0 0 0 +1 +2 7 0x66cf 0x48f3794e @@ -17735,6 +22169,8 @@ 1 0 2 +3 +2 0x189e 0xb752e682 256 @@ -17742,6 +22178,8 @@ 1 0 0 +1 +0 5 0x7208 0x43661b8e @@ -17750,6 +22188,8 @@ 1 0 0 +3 +5 8 0x2b3f 0x4e4ec7da @@ -17759,6 +22199,8 @@ 0 0 4 +4 +4 0xbc8b 0x1f579d8 256 @@ -17766,6 +22208,8 @@ 0 0 0 +2 +0 3 0xdcd 0xa0f987e6 @@ -17774,6 +22218,8 @@ 1 0 0 +3 +5 2 0xf029 0xa3425a53 @@ -17782,6 +22228,8 @@ 0 0 0 +5 +4 4 0x5805 0x109bc31e @@ -17790,6 +22238,8 @@ 0 0 0 +3 +2 2 0xc640 0xec150bc9 @@ -17798,6 +22248,8 @@ 0 0 0 +2 +0 10 0x8284 0x2e8ec8bd @@ -17806,6 +22258,8 @@ 1 1 0 +2 +4 3 0xa409 0xb26fd454 @@ -17814,6 +22268,8 @@ 1 1 0 +5 +1 3 0x79b7 0x7e4141e2 @@ -17822,6 +22278,8 @@ 1 0 0 +5 +2 7 0xc1d9 0xe389a8bf @@ -17830,6 +22288,8 @@ 1 1 0 +2 +2 7 0x62d0 0x4a7851c6 @@ -17838,6 +22298,8 @@ 1 1 0 +0 +4 3 0x132d 0xefc39875 @@ -17847,6 +22309,8 @@ 1 0 1 +1 +1 0xcc52 0x5a6334c8 256 @@ -17854,6 +22318,8 @@ 1 1 0 +4 +5 3 0x8d33 0xfb58d61f @@ -17862,6 +22328,8 @@ 1 0 0 +2 +0 7 0xc42f 0xde039986 @@ -17870,6 +22338,8 @@ 0 0 0 +3 +0 5 0x9216 0xf7a7e5bd @@ -17878,6 +22348,8 @@ 0 0 0 +0 +2 4 0x6e50 0xd83f814 @@ -17886,6 +22358,8 @@ 0 0 0 +0 +1 2 0xc7c7 0xc943039a @@ -17894,6 +22368,8 @@ 1 0 0 +0 +5 6 0xf1d4 0x95c15048 @@ -17902,6 +22378,8 @@ 1 1 0 +3 +1 5 0x18d9 0x9885162a @@ -17910,6 +22388,8 @@ 1 1 0 +4 +0 8 0x8bcf 0x427bf1c0 @@ -17918,6 +22398,8 @@ 1 1 0 +0 +3 5 0x3f45 0x56d54cac @@ -17926,6 +22408,8 @@ 1 1 0 +4 +5 3 0xadf5 0x61209d55 @@ -17935,6 +22419,8 @@ 0 0 1 +3 +1 0x8474 0x31e5057b 256 @@ -17942,6 +22428,8 @@ 0 0 0 +4 +4 6 0x297 0x3f1dc902 @@ -17950,6 +22438,8 @@ 0 0 0 +2 +5 4 0xf59a 0x9801531e @@ -17958,6 +22448,8 @@ 0 0 0 +2 +1 5 0x2136 0x92a107c0 @@ -17966,6 +22458,8 @@ 0 0 0 +1 +1 6 0xed9a 0xa53159cc @@ -17974,6 +22468,8 @@ 0 0 0 +4 +5 10 0xfff4 0x2e582972 @@ -17982,6 +22478,8 @@ 0 0 0 +0 +5 9 0xb3b2 0xa244f2 @@ -17990,6 +22488,8 @@ 1 1 0 +3 +0 2 0x928b 0x21c4f4b8 @@ -17998,6 +22498,8 @@ 1 0 0 +1 +2 2 0x31fc 0x3862306 @@ -18006,6 +22508,8 @@ 0 0 0 +1 +4 4 0x5b74 0xd795fd53 @@ -18014,6 +22518,8 @@ 1 1 0 +0 +0 6 0xfee9 0x6a9da47 @@ -18022,6 +22528,8 @@ 1 0 0 +1 +2 8 0xc239 0xea08aba9 @@ -18030,6 +22538,8 @@ 0 0 0 +1 +4 6 0x4ce1 0x9a361de4 @@ -18038,6 +22548,8 @@ 1 1 0 +2 +0 3 0x116a 0x403358ad @@ -18046,6 +22558,8 @@ 0 0 0 +4 +5 1 0x1f26 0x3972edea @@ -18055,6 +22569,8 @@ 0 0 1 +5 +1 0x8c 0xb2c6bc5c 256 @@ -18062,6 +22578,8 @@ 1 1 0 +1 +4 3 0xeb11 0x6d5fc5a7 @@ -18070,6 +22588,8 @@ 0 0 0 +3 +4 5 0x9cac 0xe0a444f5 @@ -18078,6 +22598,8 @@ 1 1 0 +1 +3 4 0x7156 0xb17fad83 @@ -18086,6 +22608,8 @@ 1 1 0 +4 +3 2 0xd826 0xab78e823 @@ -18094,6 +22618,8 @@ 0 0 0 +0 +4 3 0x83d6 0xbb26e6a4 @@ -18102,6 +22628,8 @@ 1 0 0 +2 +5 3 0x8edd 0xa181cb57 @@ -18110,6 +22638,8 @@ 1 0 0 +4 +2 3 0x150a 0x639853fe @@ -18118,6 +22648,8 @@ 0 0 0 +5 +3 4 0x7f48 0x7f000252 @@ -18126,6 +22658,8 @@ 0 0 0 +5 +0 9 0x44b2 0x9af151c @@ -18134,6 +22668,8 @@ 1 1 0 +1 +4 6 0x4f0d 0xd464208d @@ -18142,6 +22678,8 @@ 0 0 0 +4 +0 1 0x1244 0xaf60e1ed @@ -18150,6 +22688,8 @@ 1 1 0 +4 +3 1 0x7bbb 0xf60b444b @@ -18158,6 +22698,8 @@ 0 0 0 +0 +0 5 0x277a 0xb183446e @@ -18166,6 +22708,8 @@ 1 0 0 +3 +5 9 0xaa3b 0xae7c81e5 @@ -18174,6 +22718,8 @@ 0 0 0 +3 +1 1 0x3841 0x14a509f5 @@ -18182,6 +22728,8 @@ 0 0 0 +0 +0 10 0x5729 0xe12b0a8d @@ -18190,6 +22738,8 @@ 1 0 0 +2 +1 3 0x9b2c 0xc5ac3332 @@ -18198,6 +22748,8 @@ 1 1 0 +5 +2 7 0x4db4 0x4595bde1 @@ -18206,6 +22758,8 @@ 1 1 0 +1 +0 2 0x274a 0x4f9fbe32 @@ -18214,6 +22768,8 @@ 0 0 0 +4 +0 5 0xfc18 0x78c51104 @@ -18222,6 +22778,8 @@ 0 0 0 +0 +4 3 0xa5ed 0x1d70bd37 @@ -18230,6 +22788,8 @@ 1 1 0 +0 +4 6 0x943c 0x10cf423 @@ -18238,6 +22798,8 @@ 1 0 0 +1 +3 10 0xdcad 0xff3640a7 @@ -18246,6 +22808,8 @@ 0 0 0 +4 +5 10 0x926c 0x351d8972 @@ -18254,6 +22818,8 @@ 0 0 0 +4 +3 3 0x6725 0xf7440a45 @@ -18262,6 +22828,8 @@ 0 0 0 +2 +2 8 0xf318 0xe135abd1 @@ -18270,6 +22838,8 @@ 0 0 0 +2 +3 3 0xbb0f 0xfc765f3e @@ -18278,6 +22848,8 @@ 0 0 0 +5 +2 1 0xa110 0x15f84492 @@ -18286,6 +22858,8 @@ 1 0 0 +4 +3 6 0xdcfe 0xd098fb36 @@ -18294,6 +22868,8 @@ 1 1 0 +0 +5 1 0x894f 0x2aa422cd @@ -18302,6 +22878,8 @@ 0 0 0 +0 +1 3 0xbfff 0x97b084db @@ -18310,6 +22888,8 @@ 1 1 0 +0 +5 1 0x4b03 0x908d777a @@ -18318,6 +22898,8 @@ 0 0 0 +2 +4 1 0xccc 0xd6f422b6 @@ -18326,6 +22908,8 @@ 1 1 0 +2 +1 10 0x41bb 0xe79327a1 @@ -18334,6 +22918,8 @@ 0 0 0 +5 +1 6 0x7e08 0x2c35adbf @@ -18342,6 +22928,8 @@ 1 0 0 +3 +2 8 0xda12 0xc192ca7f @@ -18350,6 +22938,8 @@ 0 0 0 +5 +3 1 0x11fb 0x91cf7c64 @@ -18359,6 +22949,8 @@ 0 0 4 +2 +4 0xc1b 0x563976ba 256 @@ -18366,6 +22958,8 @@ 0 0 0 +3 +3 10 0xdbb1 0xc046b20c @@ -18375,6 +22969,8 @@ 0 0 5 +2 +5 0xd6c0 0xcd99529f 256 @@ -18382,6 +22978,8 @@ 1 0 0 +1 +5 6 0xb7b1 0x4e048ad9 @@ -18390,6 +22988,8 @@ 0 0 0 +1 +5 2 0xe172 0x740988ee @@ -18398,6 +22998,8 @@ 1 1 0 +2 +2 6 0xd136 0x20d95bdd @@ -18407,6 +23009,8 @@ 0 0 4 +5 +4 0xda7b 0x3582d9d1 256 @@ -18414,6 +23018,8 @@ 0 0 0 +3 +5 8 0x9230 0x4a010b1e @@ -18422,6 +23028,8 @@ 0 0 0 +4 +1 1 0xbeeb 0x52253937 @@ -18430,6 +23038,8 @@ 0 0 0 +1 +1 9 0x7fa7 0x812a97da @@ -18438,6 +23048,8 @@ 0 0 0 +1 +2 3 0x4ec2 0x2789477d @@ -18446,6 +23058,8 @@ 0 0 0 +5 +5 1 0xf853 0xc2155f2 @@ -18454,6 +23068,8 @@ 0 0 0 +0 +5 7 0x8502 0xccd654f4 @@ -18462,6 +23078,8 @@ 0 0 0 +2 +2 7 0x2fb7 0xbbd3bc11 @@ -18470,6 +23088,8 @@ 1 0 0 +2 +0 3 0x5f91 0xe80cddaf @@ -18479,6 +23099,8 @@ 0 0 2 +1 +2 0x2a9b 0x91347708 256 @@ -18486,6 +23108,8 @@ 1 0 0 +4 +2 10 0xa9b8 0x5816403a @@ -18494,6 +23118,8 @@ 0 0 0 +4 +5 2 0xd827 0x3d6a3bbb @@ -18502,6 +23128,8 @@ 1 0 0 +3 +1 9 0x7b7c 0xf0eae9e6 @@ -18510,6 +23138,8 @@ 1 1 0 +0 +0 2 0x4717 0xd4c46cb6 @@ -18518,6 +23148,8 @@ 1 1 0 +4 +0 9 0x7cec 0xfbbf4d4c @@ -18526,6 +23158,8 @@ 1 0 0 +3 +0 4 0xb977 0x11f18b92 @@ -18534,6 +23168,8 @@ 0 0 0 +3 +0 8 0x60a8 0x14f7737a @@ -18542,6 +23178,8 @@ 0 0 0 +3 +4 6 0x9b2f 0xd4d198ed @@ -18550,6 +23188,8 @@ 0 0 0 +5 +2 4 0x8ba9 0x75e98b97 @@ -18558,6 +23198,8 @@ 0 0 0 +2 +2 8 0x6b26 0x9efce036 @@ -18566,6 +23208,8 @@ 0 0 0 +4 +5 10 0x556e 0xe19e5360 @@ -18575,6 +23219,8 @@ 0 0 3 +1 +3 0x84dd 0xb6a0faa8 256 @@ -18582,6 +23228,8 @@ 1 1 0 +5 +2 9 0xaba2 0x8609d8e7 @@ -18590,6 +23238,8 @@ 0 0 0 +5 +0 1 0xa7ee 0x3fa7ec8 @@ -18598,6 +23248,8 @@ 0 0 0 +1 +5 4 0xc02a 0x92890f8d @@ -18606,6 +23258,8 @@ 0 0 0 +2 +4 7 0x302f 0x419fccee @@ -18615,6 +23269,8 @@ 1 0 5 +4 +5 0x42d 0xaabbef74 256 @@ -18622,6 +23278,8 @@ 1 0 0 +0 +5 10 0x6b5b 0x2b74f19f @@ -18630,6 +23288,8 @@ 0 0 0 +4 +5 6 0xdd10 0xb4f479fc @@ -18638,6 +23298,8 @@ 0 0 0 +0 +3 4 0xd90f 0xee4cd4eb @@ -18646,6 +23308,8 @@ 0 0 0 +1 +1 7 0xbc41 0xee4f5168 @@ -18654,6 +23318,8 @@ 0 0 0 +1 +2 9 0xc511 0x9168cb24 @@ -18663,6 +23329,8 @@ 0 0 3 +3 +3 0xbd3f 0xccb5a168 256 @@ -18670,6 +23338,8 @@ 1 0 0 +0 +5 4 0x585c 0xc9accb93 @@ -18679,6 +23349,8 @@ 0 0 3 +5 +3 0x7cc8 0x82b3dda0 256 @@ -18686,6 +23358,8 @@ 1 1 0 +2 +3 3 0x9f70 0x1cd644b2 @@ -18694,6 +23368,8 @@ 1 0 0 +5 +5 2 0x39a 0xeb7385cd @@ -18702,6 +23378,8 @@ 0 0 0 +2 +3 9 0xd049 0x687089cd @@ -18710,6 +23388,8 @@ 0 0 0 +5 +1 8 0x57fc 0x11aafff8 @@ -18719,6 +23399,8 @@ 0 0 2 +5 +2 0xc08 0x41a7f2a1 256 @@ -18727,6 +23409,8 @@ 0 0 3 +1 +3 0xa777 0xfe5ba96e 256 @@ -18734,6 +23418,8 @@ 0 0 0 +1 +1 2 0xce06 0x16df23dc @@ -18742,6 +23428,8 @@ 1 1 0 +0 +5 3 0x28e9 0x740dea30 @@ -18750,6 +23438,8 @@ 1 0 0 +5 +2 10 0x728b 0x433cae58 @@ -18758,6 +23448,8 @@ 0 0 0 +5 +3 2 0xd74f 0xd63e2360 @@ -18766,6 +23458,8 @@ 0 0 0 +0 +1 3 0xe6fc 0xcc8aefe @@ -18774,6 +23468,8 @@ 0 0 0 +5 +4 2 0x5d12 0xefac1df9 @@ -18783,6 +23479,8 @@ 1 0 4 +4 +4 0xbfaf 0x6ad54a8c 256 @@ -18790,6 +23488,8 @@ 0 0 0 +1 +4 7 0x8876 0x9affe14d @@ -18798,6 +23498,8 @@ 1 0 0 +4 +1 9 0x7c17 0xe2a26b0a @@ -18806,6 +23508,8 @@ 1 0 0 +4 +2 9 0x8886 0x437d4820 @@ -18814,6 +23518,8 @@ 1 1 0 +5 +4 3 0x3bb3 0x7b941731 @@ -18822,6 +23528,8 @@ 0 0 0 +4 +4 9 0x7739 0xbcc1c317 @@ -18830,6 +23538,8 @@ 0 0 0 +1 +0 8 0x3cd 0x20ebe759 @@ -18838,6 +23548,8 @@ 1 1 0 +2 +2 3 0x3d3c 0xa582ba80 @@ -18846,6 +23558,8 @@ 1 1 0 +2 +1 10 0xe2a5 0xeba17111 @@ -18854,6 +23568,8 @@ 0 0 0 +3 +4 1 0x9bda 0xcde81bd2 @@ -18862,6 +23578,8 @@ 1 0 0 +2 +3 8 0x727b 0x64d26389 @@ -18870,6 +23588,8 @@ 1 0 0 +2 +2 6 0x1aa 0x7c339243 @@ -18878,6 +23598,8 @@ 0 0 0 +2 +5 8 0x56cc 0xe9a3afd1 @@ -18886,6 +23608,8 @@ 0 0 0 +0 +4 10 0xb885 0xb07d97f1 @@ -18894,6 +23618,8 @@ 1 0 0 +1 +3 5 0x7d6c 0x48e2259 @@ -18902,6 +23628,8 @@ 1 1 0 +1 +3 5 0xd300 0x205689ef @@ -18910,6 +23638,8 @@ 1 1 0 +3 +0 5 0x8315 0x4b6ddb3d @@ -18919,6 +23649,8 @@ 0 0 4 +4 +4 0xd587 0x89aff229 256 @@ -18926,6 +23658,8 @@ 1 0 0 +3 +3 7 0xb6aa 0x2754941e @@ -18934,6 +23668,8 @@ 0 0 0 +0 +3 4 0xea82 0x22e80df0 @@ -18942,6 +23678,8 @@ 1 1 0 +0 +2 6 0x6111 0xe3ec9526 @@ -18950,6 +23688,8 @@ 1 0 0 +4 +1 3 0x26af 0xcd72789e @@ -18958,6 +23698,8 @@ 1 0 0 +1 +4 9 0x4fd6 0x8bcb88a5 @@ -18966,6 +23708,8 @@ 1 0 0 +3 +1 10 0xd83 0xaa274ed3 @@ -18974,6 +23718,8 @@ 0 0 0 +2 +1 8 0xa61e 0xb20f7816 @@ -18983,6 +23729,8 @@ 0 0 2 +5 +2 0x7a92 0xace2869b 256 @@ -18990,6 +23738,8 @@ 1 1 0 +5 +3 7 0xad36 0x15f59299 @@ -18998,6 +23748,8 @@ 1 1 0 +5 +2 4 0x112e 0xd6117ffd @@ -19006,6 +23758,8 @@ 0 0 0 +1 +0 3 0x8b9a 0xb58166f1 @@ -19014,6 +23768,8 @@ 1 0 0 +1 +3 4 0xd307 0x22cca638 @@ -19022,6 +23778,8 @@ 1 1 0 +3 +4 6 0x86f 0xb758d7c6 @@ -19030,6 +23788,8 @@ 0 0 0 +0 +5 2 0xf7c 0x6e06c278 @@ -19038,6 +23798,8 @@ 0 0 0 +5 +4 7 0xf889 0x4e9cb62c @@ -19046,6 +23808,8 @@ 1 0 0 +1 +2 3 0x76ef 0x32bf6504 @@ -19055,6 +23819,8 @@ 0 0 3 +0 +3 0x6bad 0xbdc9bbe9 256 @@ -19062,6 +23828,8 @@ 1 1 0 +4 +0 9 0x6127 0x6c7bd3c8 @@ -19070,6 +23838,8 @@ 0 0 0 +4 +3 9 0x732d 0x46e1079a @@ -19078,6 +23848,8 @@ 0 0 0 +5 +1 3 0xf796 0x72683eab @@ -19086,6 +23858,8 @@ 1 1 0 +3 +0 10 0x5308 0x3ee02240 @@ -19094,6 +23868,8 @@ 1 1 0 +2 +5 7 0x3117 0xfef0068c @@ -19102,6 +23878,8 @@ 1 1 0 +3 +1 10 0x3169 0xa780a9c7 @@ -19110,6 +23888,8 @@ 0 0 0 +2 +3 9 0xef58 0x937027c0 @@ -19118,6 +23898,8 @@ 1 0 0 +4 +2 5 0x54d2 0x97290bf1 @@ -19126,6 +23908,8 @@ 1 0 0 +1 +0 4 0x11fb 0xf500c407 @@ -19134,6 +23918,8 @@ 1 1 0 +0 +0 5 0x2c7b 0x9e902a98 @@ -19142,6 +23928,8 @@ 1 0 0 +3 +3 7 0xcadd 0xb68eb997 @@ -19150,6 +23938,8 @@ 0 0 0 +5 +1 9 0xb7dd 0x6cff6671 @@ -19158,6 +23948,8 @@ 1 1 0 +0 +1 9 0xec7d 0x7303198f @@ -19166,6 +23958,8 @@ 1 0 0 +3 +0 7 0x92c5 0x65fbd6a1 @@ -19174,6 +23968,8 @@ 1 1 0 +0 +4 5 0xa246 0x3206cf77 @@ -19182,6 +23978,8 @@ 1 0 0 +5 +4 7 0x7dd6 0x727afbb @@ -19190,6 +23988,8 @@ 0 0 0 +1 +5 6 0xcc7c 0x9595a9eb @@ -19198,6 +23998,8 @@ 0 0 0 +3 +3 7 0x79d9 0x5496c050 @@ -19206,6 +24008,8 @@ 0 0 0 +0 +4 1 0x2abf 0x7a7cd3ae @@ -19215,6 +24019,8 @@ 0 0 4 +0 +4 0x4c6e 0x4674f346 256 @@ -19222,6 +24028,8 @@ 0 0 0 +0 +0 7 0xdd97 0x6285ac4d @@ -19230,6 +24038,8 @@ 1 0 0 +1 +5 3 0x353e 0x9f50d7fa @@ -19238,6 +24048,8 @@ 0 0 0 +2 +0 1 0xdc1e 0x95eb7fcf @@ -19246,6 +24058,8 @@ 1 1 0 +5 +1 6 0xb172 0xd2b6b33c @@ -19254,6 +24068,8 @@ 1 0 0 +4 +3 5 0x503c 0x97656930 @@ -19262,6 +24078,8 @@ 0 0 0 +0 +2 1 0xdf2c 0x5a9c1aa3 @@ -19270,6 +24088,8 @@ 1 0 0 +5 +5 7 0xbda 0xc6ba5f20 @@ -19278,6 +24098,8 @@ 1 0 0 +3 +3 7 0x7470 0xd711ed8 @@ -19286,6 +24108,8 @@ 0 0 0 +4 +5 10 0xda15 0x428d78f @@ -19294,6 +24118,8 @@ 1 0 0 +3 +1 9 0x88b6 0x6a82f99d @@ -19302,6 +24128,8 @@ 1 1 0 +3 +3 6 0x462b 0x775400e7 @@ -19310,6 +24138,8 @@ 1 1 0 +0 +0 2 0xbd08 0xe6d1c0c1 @@ -19319,6 +24149,8 @@ 1 0 2 +4 +2 0x1e81 0xa44e38a5 256 @@ -19327,6 +24159,8 @@ 0 0 5 +1 +5 0xbc54 0xa88e8b69 256 @@ -19334,6 +24168,8 @@ 0 0 0 +0 +2 3 0x1e93 0xdc8785bf @@ -19342,6 +24178,8 @@ 0 0 0 +2 +4 9 0xb312 0xc2bd270c @@ -19350,6 +24188,8 @@ 1 1 0 +3 +5 1 0x14e1 0x899c7a4a @@ -19358,6 +24198,8 @@ 1 0 0 +3 +1 6 0x2ad6 0x4e566b @@ -19366,6 +24208,8 @@ 1 0 0 +2 +4 10 0x57db 0x316e8aa4 @@ -19374,6 +24218,8 @@ 0 0 0 +5 +5 8 0x2171 0x1501c1de @@ -19382,6 +24228,8 @@ 0 0 0 +3 +3 7 0xe237 0xbaf3847e @@ -19390,6 +24238,8 @@ 1 0 0 +5 +4 9 0xe7d4 0x72422a6d @@ -19398,6 +24248,8 @@ 1 1 0 +1 +5 7 0xe47f 0xf94ebff4 @@ -19407,6 +24259,8 @@ 1 0 1 +0 +1 0x3c25 0xa09de006 256 @@ -19414,6 +24268,8 @@ 0 0 0 +0 +1 3 0x1872 0x6f696289 @@ -19422,6 +24278,8 @@ 0 0 0 +4 +4 10 0xbb0a 0x722dfcdd @@ -19430,6 +24288,8 @@ 1 1 0 +2 +5 3 0x68d 0xc3aeaf40 @@ -19438,6 +24298,8 @@ 1 0 0 +4 +3 3 0x2d68 0x9d856d29 @@ -19446,6 +24308,8 @@ 0 0 0 +4 +1 5 0x8058 0x42de652f @@ -19454,6 +24318,8 @@ 0 0 0 +0 +1 6 0xa07c 0x8d571aaa @@ -19462,6 +24328,8 @@ 0 0 0 +3 +3 8 0x6dcb 0xf1a83efe @@ -19471,6 +24339,8 @@ 0 0 1 +4 +1 0x1ab9 0x3a3088d2 256 @@ -19478,6 +24348,8 @@ 1 0 0 +1 +1 8 0x8110 0x101d911c @@ -19487,6 +24359,8 @@ 0 0 5 +4 +5 0x9471 0x96c33e56 256 @@ -19494,6 +24368,8 @@ 1 1 0 +5 +5 3 0x5332 0x2e7ff420 @@ -19502,6 +24378,8 @@ 0 0 0 +4 +4 3 0x9973 0x87e4a52c @@ -19510,6 +24388,8 @@ 1 0 0 +5 +3 2 0x574d 0x402ed610 @@ -19518,6 +24398,8 @@ 1 0 0 +4 +0 6 0x9fa1 0xc011783d @@ -19526,6 +24408,8 @@ 1 1 0 +0 +4 7 0xc7f4 0xa856baa2 @@ -19534,6 +24418,8 @@ 0 0 0 +1 +2 8 0x1605 0xcc7dcde3 @@ -19542,6 +24428,8 @@ 1 0 0 +4 +0 5 0x6d12 0xeaf16fc7 @@ -19550,6 +24438,8 @@ 1 1 0 +0 +2 8 0x7eb3 0x6100134d @@ -19558,6 +24448,8 @@ 1 0 0 +5 +3 3 0xcdee 0x394a3fb8 @@ -19566,6 +24458,8 @@ 0 0 0 +4 +3 7 0x9f96 0x87ab536 @@ -19574,6 +24468,8 @@ 0 0 0 +3 +1 2 0x4876 0x8577ce @@ -19582,6 +24478,8 @@ 1 0 0 +3 +5 4 0x6cd8 0x34ff7b21 @@ -19590,6 +24488,8 @@ 0 0 0 +4 +0 5 0xd7fb 0xb6a967e1 @@ -19598,6 +24498,8 @@ 0 0 0 +1 +5 2 0x205c 0xf4c7ee26 @@ -19606,6 +24508,8 @@ 1 0 0 +5 +1 1 0xda94 0x8e754edd @@ -19614,6 +24518,8 @@ 1 1 0 +2 +3 8 0xd11c 0x60a3fa08 @@ -19622,6 +24528,8 @@ 0 0 0 +4 +0 6 0xe0bc 0x360a8118 @@ -19630,6 +24538,8 @@ 1 1 0 +2 +4 7 0xd31b 0x11e784f6 @@ -19638,6 +24548,8 @@ 1 1 0 +1 +3 9 0x5b8 0x6d265c79 @@ -19646,6 +24558,8 @@ 0 0 0 +1 +2 8 0xac71 0x300d6ef0 @@ -19654,6 +24568,8 @@ 0 0 0 +2 +5 7 0xedcf 0xeaad3eb @@ -19662,6 +24578,8 @@ 1 1 0 +1 +3 6 0xb3ef 0x3abdbc76 @@ -19670,6 +24588,8 @@ 0 0 0 +2 +0 3 0x532a 0x10f4450b @@ -19678,6 +24598,8 @@ 0 0 0 +3 +2 8 0x848a 0xe9dbae53 @@ -19686,6 +24608,8 @@ 0 0 0 +4 +5 3 0x2a4e 0x911687e7 @@ -19694,6 +24618,8 @@ 0 0 0 +0 +5 9 0xe1e0 0xc7ecb39e @@ -19702,6 +24628,8 @@ 0 0 0 +2 +4 8 0xec56 0x2f1cddd7 @@ -19710,6 +24638,8 @@ 0 0 0 +3 +2 4 0xdfeb 0xf26fe5e2 @@ -19718,6 +24648,8 @@ 1 0 0 +5 +0 9 0xed50 0x9190fb5a @@ -19726,6 +24658,8 @@ 0 0 0 +2 +5 10 0xbb7a 0x7480485a @@ -19734,6 +24668,8 @@ 1 1 0 +5 +0 1 0x6f01 0x3c311b22 @@ -19742,6 +24678,8 @@ 1 0 0 +0 +0 8 0x1425 0xf5551f6f @@ -19750,6 +24688,8 @@ 1 1 0 +5 +3 10 0xdea6 0x5188de03 @@ -19758,6 +24698,8 @@ 1 1 0 +0 +4 7 0x7e28 0x8ddbcffd @@ -19766,6 +24708,8 @@ 1 0 0 +5 +2 6 0x34e5 0xde91b956 @@ -19774,6 +24718,8 @@ 0 0 0 +3 +1 8 0x9796 0xcf9aa812 @@ -19782,6 +24728,8 @@ 0 0 0 +1 +5 5 0x63cf 0xd43f720f @@ -19790,6 +24738,8 @@ 0 0 0 +3 +1 5 0x20ad 0x3e427b2a @@ -19798,6 +24748,8 @@ 1 1 0 +0 +4 2 0x742c 0x1ea699e5 @@ -19806,6 +24758,8 @@ 0 0 0 +5 +2 10 0xf9e0 0xf09da3fd @@ -19815,6 +24769,8 @@ 0 0 2 +2 +2 0xd492 0xdf6724ee 256 @@ -19822,6 +24778,8 @@ 1 1 0 +0 +1 3 0xf97f 0x5e9445e4 @@ -19830,6 +24788,8 @@ 1 0 0 +1 +2 7 0x1efd 0x8aa3a5b5 @@ -19838,6 +24798,8 @@ 0 0 0 +2 +5 10 0x669c 0xc080bbf @@ -19846,6 +24808,8 @@ 0 0 0 +0 +4 9 0xd1c4 0x1d9a34bd @@ -19854,6 +24818,8 @@ 0 0 0 +1 +0 6 0xfd1c 0xf1dd7671 @@ -19862,6 +24828,8 @@ 0 0 0 +3 +3 10 0xfff2 0xf18b034d @@ -19870,6 +24838,8 @@ 1 1 0 +0 +1 3 0xe0d4 0x5b5c1e8c @@ -19878,6 +24848,8 @@ 0 0 0 +1 +3 3 0x1db8 0xb4d5fd33 @@ -19886,6 +24858,8 @@ 0 0 0 +2 +2 10 0x664a 0x689b514c @@ -19894,6 +24868,8 @@ 1 0 0 +4 +5 3 0xbf9 0xdc996203 @@ -19902,6 +24878,8 @@ 0 0 0 +2 +3 1 0x7e32 0xacfad22d @@ -19910,6 +24888,8 @@ 0 0 0 +4 +2 1 0x415a 0xea07f616 @@ -19918,6 +24898,8 @@ 1 0 0 +1 +1 2 0xbd0b 0x38e767ae @@ -19926,6 +24908,8 @@ 0 0 0 +1 +0 10 0x538f 0x28c9c5c5 @@ -19934,6 +24918,8 @@ 1 0 0 +1 +5 7 0x4c04 0x9c1ac430 @@ -19942,6 +24928,8 @@ 0 0 0 +4 +5 8 0x877b 0x2a7128b4 @@ -19950,6 +24938,8 @@ 0 0 0 +0 +4 3 0xf10f 0x7a1000c3 @@ -19959,6 +24949,8 @@ 0 0 1 +2 +1 0x2c81 0x6dfe5efb 256 @@ -19966,6 +24958,8 @@ 0 0 0 +4 +5 7 0x2f6b 0x41204c68 @@ -19974,6 +24968,8 @@ 1 0 0 +3 +4 1 0xdf83 0x46f88691 @@ -19982,6 +24978,8 @@ 0 0 0 +5 +2 7 0x225b 0x20fafcd2 @@ -19991,6 +24989,8 @@ 1 0 5 +1 +5 0xe874 0xb675a09e 256 @@ -19998,6 +24998,8 @@ 0 0 0 +0 +2 8 0xdf62 0x3e44673c @@ -20006,6 +25008,8 @@ 0 0 0 +0 +5 4 0x3f2 0xd5f321d4 @@ -20015,6 +25019,8 @@ 1 0 1 +5 +1 0xc2cf 0x9838c816 256 @@ -20022,6 +25028,8 @@ 1 1 0 +2 +1 7 0xb70a 0xb5b674ee @@ -20030,6 +25038,8 @@ 1 0 0 +4 +2 6 0xe94d 0xf6ebaf0d @@ -20038,6 +25048,8 @@ 1 0 0 +5 +5 7 0xdf72 0x2864bc4c @@ -20046,6 +25058,8 @@ 0 0 0 +5 +3 1 0x96c8 0x1b46e94e @@ -20054,6 +25068,8 @@ 0 0 0 +4 +3 8 0xf50e 0x345dbc88 @@ -20062,6 +25078,8 @@ 1 1 0 +0 +5 8 0x84c4 0xbeeb6b2f @@ -20070,6 +25088,8 @@ 0 0 0 +4 +5 7 0x2365 0xa225493c @@ -20078,6 +25098,8 @@ 0 0 0 +1 +0 8 0xda82 0x41f42570 @@ -20086,6 +25108,8 @@ 0 0 0 +1 +4 2 0xca40 0x6d08fccf @@ -20094,6 +25118,8 @@ 1 0 0 +1 +0 8 0x9e87 0x3c9217b4 @@ -20102,6 +25128,8 @@ 1 1 0 +4 +4 10 0x29e4 0xab7fdfd @@ -20110,6 +25138,8 @@ 0 0 0 +1 +0 8 0xd834 0xc0214171 @@ -20118,6 +25148,8 @@ 1 0 0 +4 +1 3 0xe89e 0xef58327e @@ -20126,6 +25158,8 @@ 0 0 0 +2 +1 7 0xc142 0x7ce459bd @@ -20134,6 +25168,8 @@ 1 0 0 +1 +0 8 0xda98 0x31ab6886 @@ -20142,6 +25178,8 @@ 0 0 0 +0 +0 4 0xc938 0x2710b0ff @@ -20150,6 +25188,8 @@ 0 0 0 +0 +1 6 0x42c 0x82fa296d @@ -20158,6 +25198,8 @@ 1 1 0 +4 +3 7 0xa054 0x5b4e1a49 @@ -20166,6 +25208,8 @@ 0 0 0 +3 +4 2 0xc03a 0x8a48d436 @@ -20174,6 +25218,8 @@ 0 0 0 +1 +3 3 0x2fa9 0x1cea4e1b @@ -20182,6 +25228,8 @@ 0 0 0 +0 +5 7 0x38dd 0x729b1c84 @@ -20190,6 +25238,8 @@ 0 0 0 +5 +1 9 0xf21d 0x6aad6b3d @@ -20199,6 +25249,8 @@ 0 0 5 +1 +5 0x6783 0xee3e1c04 256 @@ -20206,6 +25258,8 @@ 0 0 0 +3 +2 2 0xb13c 0x92d040e5 @@ -20214,6 +25268,8 @@ 1 1 0 +2 +2 6 0x9b52 0x9c168c8 @@ -20222,6 +25278,8 @@ 1 1 0 +2 +4 10 0xf1d6 0x1e8b15eb @@ -20230,6 +25288,8 @@ 0 0 0 +4 +4 10 0x4908 0x78dbfda2 @@ -20238,6 +25298,8 @@ 1 1 0 +0 +4 8 0x93b0 0xe7b4852f @@ -20246,6 +25308,8 @@ 0 0 0 +3 +5 9 0x2756 0xeca56d80 @@ -20254,6 +25318,8 @@ 1 0 0 +3 +3 8 0x83db 0x2c8dc3d5 @@ -20262,6 +25328,8 @@ 0 0 0 +0 +0 10 0xebc2 0x18679e7a @@ -20270,6 +25338,8 @@ 0 0 0 +4 +4 5 0xa90 0x6f5bc182 @@ -20278,6 +25348,8 @@ 1 1 0 +4 +2 5 0x4858 0x276a4b26 @@ -20286,6 +25358,8 @@ 1 1 0 +3 +1 1 0x5264 0x965d9469 @@ -20294,6 +25368,8 @@ 1 1 0 +1 +3 6 0x3ab7 0x1142e7ec @@ -20302,6 +25378,8 @@ 0 0 0 +1 +1 5 0x9039 0x923f2019 @@ -20310,6 +25388,8 @@ 1 0 0 +3 +2 1 0xa6d3 0xbd963d27 @@ -20318,6 +25398,8 @@ 0 0 0 +2 +3 6 0xdcd5 0x4198e88e @@ -20326,6 +25408,8 @@ 0 0 0 +1 +4 8 0xc417 0x29683d87 @@ -20334,6 +25418,8 @@ 0 0 0 +2 +5 3 0x5527 0x413f7443 @@ -20342,6 +25428,8 @@ 1 0 0 +3 +5 5 0x5cfb 0x6dc7941 @@ -20351,6 +25439,8 @@ 0 0 3 +2 +3 0xc09f 0x88e0f21b 256 @@ -20358,6 +25448,8 @@ 0 0 0 +5 +5 2 0x92f6 0x295b0713 @@ -20367,6 +25459,8 @@ 0 0 3 +5 +3 0xe9c9 0xaf33e9f9 256 @@ -20374,6 +25468,8 @@ 1 0 0 +5 +2 8 0x69d2 0x6cd3c729 @@ -20382,6 +25478,8 @@ 1 1 0 +1 +5 5 0x2a06 0xa9857eb3 @@ -20390,6 +25488,8 @@ 0 0 0 +0 +5 3 0x2344 0x24e7e654 @@ -20398,6 +25498,8 @@ 0 0 0 +4 +0 3 0x4a0 0x16e3f78b @@ -20406,6 +25508,8 @@ 0 0 0 +2 +5 5 0xb2ce 0x48c05be1 @@ -20414,6 +25518,8 @@ 0 0 0 +0 +5 4 0xad91 0x8c97a368 @@ -20422,6 +25528,8 @@ 1 0 0 +4 +3 5 0x9fb0 0x54339f4a @@ -20430,6 +25538,8 @@ 1 0 0 +3 +0 8 0xfadc 0xf7d7e4b4 @@ -20438,6 +25548,8 @@ 1 1 0 +2 +4 10 0xb74f 0x37d3285 @@ -20446,6 +25558,8 @@ 1 1 0 +0 +2 4 0xd771 0x83beb64c @@ -20454,6 +25568,8 @@ 0 0 0 +3 +5 6 0x446c 0x2030a7c9 @@ -20463,6 +25579,8 @@ 0 0 3 +3 +3 0xa0bd 0xb80899f9 256 @@ -20470,6 +25588,8 @@ 1 0 0 +5 +3 6 0xa3f4 0x3aaa243a @@ -20479,6 +25599,8 @@ 0 0 3 +5 +3 0xd984 0x3eda541a 256 @@ -20486,6 +25608,8 @@ 1 0 0 +2 +0 8 0x11f3 0x7fa7e61f @@ -20494,6 +25618,8 @@ 1 0 0 +0 +3 10 0x376c 0x8543b3f4 @@ -20502,6 +25628,8 @@ 0 0 0 +0 +4 4 0x7111 0x4ac3798a @@ -20510,6 +25638,8 @@ 1 1 0 +2 +0 10 0x6529 0x5993e217 @@ -20518,6 +25648,8 @@ 1 1 0 +3 +2 8 0x54d4 0x44220b34 @@ -20526,6 +25658,8 @@ 0 0 0 +2 +5 10 0x771a 0xe094ad3b @@ -20534,6 +25668,8 @@ 1 1 0 +4 +3 8 0xc6c0 0xa8459f1a @@ -20542,6 +25678,8 @@ 0 0 0 +5 +3 9 0x6a53 0x83f35800 @@ -20551,6 +25689,8 @@ 0 0 3 +0 +3 0x1595 0xd0d86857 256 @@ -20558,6 +25698,8 @@ 0 0 0 +1 +2 10 0x1350 0xf648a71a @@ -20566,6 +25708,8 @@ 1 1 0 +5 +5 4 0x5f6a 0x944dbf10 @@ -20574,6 +25718,8 @@ 1 0 0 +4 +4 8 0x26e9 0x439f6cb0 @@ -20582,6 +25728,8 @@ 1 1 0 +3 +2 7 0x3ad6 0xc687778c @@ -20590,6 +25738,8 @@ 1 0 0 +0 +2 7 0x349b 0x5b06890c @@ -20598,6 +25748,8 @@ 1 1 0 +3 +1 2 0x25f1 0x19675f34 @@ -20606,6 +25758,8 @@ 0 0 0 +1 +4 7 0x287 0x3ee48285 @@ -20614,6 +25768,8 @@ 0 0 0 +1 +5 3 0x2611 0x1c1d5a52 @@ -20622,6 +25778,8 @@ 0 0 0 +1 +5 2 0xa5b1 0x728162fb @@ -20630,6 +25788,8 @@ 0 0 0 +0 +2 7 0x5a60 0x6ed47c9a @@ -20638,6 +25798,8 @@ 1 0 0 +1 +0 9 0x7943 0xb97dfdd0 @@ -20646,6 +25808,8 @@ 1 0 0 +3 +5 10 0xa68f 0x63c31fea @@ -20654,6 +25818,8 @@ 1 0 0 +2 +3 7 0xff2 0xa0aa373c @@ -20662,6 +25828,8 @@ 1 0 0 +5 +3 2 0x2003 0xc07e4547 @@ -20670,6 +25838,8 @@ 0 0 0 +2 +3 6 0xcbae 0xba5bf985 @@ -20678,6 +25848,8 @@ 1 0 0 +4 +2 2 0x77da 0x4f3e2584 @@ -20686,6 +25858,8 @@ 0 0 0 +0 +3 7 0x8ddf 0xbb629898 @@ -20694,6 +25868,8 @@ 0 0 0 +5 +5 3 0x6a87 0x45250e81 @@ -20702,6 +25878,8 @@ 0 0 0 +2 +2 4 0x545b 0x59a9c825 @@ -20710,6 +25888,8 @@ 1 1 0 +5 +3 4 0xd854 0xeed23306 @@ -20718,6 +25898,8 @@ 0 0 0 +3 +0 4 0x547a 0xcb32be61 @@ -20726,6 +25908,8 @@ 1 1 0 +1 +0 5 0x936c 0xfd4e2543 @@ -20734,6 +25918,8 @@ 1 0 0 +2 +0 6 0x72ff 0x32d44c4c @@ -20742,6 +25928,8 @@ 0 0 0 +2 +2 3 0x1e58 0x7596cb42 @@ -20750,6 +25938,8 @@ 0 0 0 +2 +0 5 0x80aa 0x26288124 @@ -20758,6 +25948,8 @@ 0 0 0 +0 +5 6 0xd945 0xbf0f6d71 @@ -20766,6 +25958,8 @@ 0 0 0 +3 +2 5 0xa485 0x9065a41d @@ -20774,6 +25968,8 @@ 1 1 0 +4 +2 5 0x3ab7 0x2a54131e @@ -20782,6 +25978,8 @@ 0 0 0 +1 +5 4 0x4c0 0x3dba5f51 @@ -20790,6 +25988,8 @@ 0 0 0 +0 +3 6 0xdced 0x9ddf9f69 @@ -20799,6 +25999,8 @@ 0 0 4 +3 +4 0xd228 0x9adfbd9d 256 @@ -20806,6 +26008,8 @@ 1 1 0 +5 +2 3 0x7431 0x46a448f5 @@ -20814,6 +26018,8 @@ 0 0 0 +4 +0 7 0xfe47 0xa07bf6fa @@ -20822,6 +26028,8 @@ 1 1 0 +4 +4 7 0xb27d 0xfde53342 @@ -20831,6 +26039,8 @@ 0 0 1 +5 +1 0x11da 0xe3e4ac32 256 @@ -20838,6 +26048,8 @@ 1 1 0 +2 +2 6 0x9738 0x536cc870 @@ -20846,6 +26058,8 @@ 1 0 0 +5 +0 8 0x82ac 0xe63da09b @@ -20854,6 +26068,8 @@ 0 0 0 +5 +0 6 0xa640 0x5c60ccef @@ -20862,6 +26078,8 @@ 1 0 0 +0 +4 10 0x9840 0xb0bc5735 @@ -20870,6 +26088,8 @@ 0 0 0 +0 +3 9 0xe2f5 0x31cddf7c @@ -20878,6 +26098,8 @@ 0 0 0 +0 +2 7 0xc3eb 0x24a0e236 @@ -20886,6 +26108,8 @@ 1 0 0 +0 +2 8 0x7b93 0x91d9d60c @@ -20894,6 +26118,8 @@ 1 0 0 +0 +3 4 0xc171 0x45eb86f4 @@ -20902,6 +26128,8 @@ 1 1 0 +5 +0 9 0x9af4 0x9499bd61 @@ -20910,6 +26138,8 @@ 0 0 0 +5 +5 7 0x97f5 0xcd04bc00 @@ -20918,6 +26148,8 @@ 0 0 0 +0 +4 5 0x97c5 0x9029a67c @@ -20926,6 +26158,8 @@ 0 0 0 +2 +2 8 0xcbce 0x17ae8b4e @@ -20934,6 +26168,8 @@ 1 0 0 +0 +2 3 0xa2a2 0x4a491fdb @@ -20942,6 +26178,8 @@ 1 1 0 +5 +0 8 0x7561 0xaab909a3 @@ -20951,6 +26189,8 @@ 0 0 3 +3 +3 0x127 0xeb4ac2c8 256 @@ -20958,6 +26198,8 @@ 1 1 0 +4 +0 9 0x1852 0x82a686ec @@ -20966,6 +26208,8 @@ 0 0 0 +0 +2 5 0x59e8 0xf650aba0 @@ -20974,6 +26218,8 @@ 0 0 0 +1 +0 5 0x5343 0x7f208b5e @@ -20982,6 +26228,8 @@ 0 0 0 +1 +0 4 0xa97f 0x51a31780 @@ -20990,6 +26238,8 @@ 0 0 0 +0 +1 2 0x7f2e 0x114e7dde @@ -20998,6 +26248,8 @@ 0 0 0 +4 +0 1 0x47c1 0x23964213 @@ -21006,6 +26258,8 @@ 0 0 0 +3 +4 6 0xb778 0x5c45788f @@ -21014,6 +26268,8 @@ 0 0 0 +3 +1 9 0x8ac4 0x95d36ea6 @@ -21022,6 +26278,8 @@ 0 0 0 +0 +0 7 0x5e13 0x3f06886c @@ -21030,6 +26288,8 @@ 1 1 0 +4 +1 9 0xc302 0xaf1ff50b @@ -21038,6 +26298,8 @@ 0 0 0 +4 +2 7 0xcbbb 0xbf32ae64 @@ -21046,6 +26308,8 @@ 0 0 0 +1 +5 5 0xa510 0xfe200757 @@ -21054,6 +26318,8 @@ 1 0 0 +2 +1 3 0x67e4 0xb202012e @@ -21062,6 +26328,8 @@ 0 0 0 +0 +3 6 0xc8f7 0x6a109994 @@ -21070,6 +26338,8 @@ 1 0 0 +4 +0 6 0x4973 0x3c327d4b @@ -21078,6 +26348,8 @@ 0 0 0 +1 +5 6 0x5f44 0x3a3d336e @@ -21086,6 +26358,8 @@ 0 0 0 +4 +2 2 0xd76c 0xee33231f @@ -21094,6 +26368,8 @@ 1 1 0 +1 +1 5 0xee91 0x7c8465 @@ -21102,6 +26378,8 @@ 0 0 0 +5 +5 3 0x5be4 0x3af0f6bc @@ -21110,6 +26388,8 @@ 1 0 0 +0 +0 10 0x4d9a 0x1fb1899e @@ -21118,6 +26398,8 @@ 1 0 0 +3 +1 6 0x50bd 0x596dace5 @@ -21126,6 +26408,8 @@ 0 0 0 +2 +1 8 0x26b9 0x4222fcb9 @@ -21134,6 +26418,8 @@ 1 0 0 +3 +4 6 0x3bd9 0x48c7d540 @@ -21143,6 +26429,8 @@ 0 0 2 +1 +2 0xdee 0x7c2f630e 256 @@ -21150,6 +26438,8 @@ 0 0 0 +0 +3 5 0x891 0x7c3c632c @@ -21158,6 +26448,8 @@ 0 0 0 +1 +3 9 0x7e84 0x108f6421 @@ -21166,6 +26458,8 @@ 0 0 0 +4 +0 1 0x6caf 0x5f2eee92 @@ -21174,6 +26468,8 @@ 1 1 0 +5 +2 2 0x866c 0x3706bbea @@ -21182,6 +26478,8 @@ 1 0 0 +0 +5 6 0x5bad 0xad026eaa @@ -21190,6 +26488,8 @@ 0 0 0 +0 +1 2 0x8f19 0xd1019d7d @@ -21199,6 +26499,8 @@ 0 0 2 +1 +2 0x3005 0xa543fd2a 256 @@ -21206,6 +26508,8 @@ 0 0 0 +0 +5 10 0x465e 0xa19fe77 @@ -21214,6 +26518,8 @@ 0 0 0 +4 +2 1 0x3d6a 0xf9ed8559 @@ -21222,6 +26528,8 @@ 1 1 0 +0 +4 4 0x7bb4 0xdb28e9d @@ -21230,6 +26538,8 @@ 0 0 0 +5 +5 9 0x38a6 0xc9c402f1 @@ -21238,6 +26548,8 @@ 1 1 0 +3 +5 10 0xb26a 0xc6e29c7f @@ -21246,6 +26558,8 @@ 0 0 0 +2 +3 9 0xbd32 0xd1cd7ad6 @@ -21254,6 +26568,8 @@ 1 0 0 +4 +1 9 0xe7a8 0x27fe82fe @@ -21262,6 +26578,8 @@ 1 0 0 +5 +0 2 0x2c55 0x23fb3183 @@ -21271,6 +26589,8 @@ 1 0 2 +0 +2 0xc2b5 0xb1200b4b 256 @@ -21278,6 +26598,8 @@ 1 1 0 +0 +5 4 0x5384 0xd81c2341 @@ -21286,6 +26608,8 @@ 0 0 0 +2 +4 6 0x2c8 0xb081bc6a @@ -21294,6 +26618,8 @@ 1 1 0 +3 +5 9 0xd3e5 0x78219e03 @@ -21302,6 +26628,8 @@ 0 0 0 +3 +5 10 0x1835 0xb3eb890b @@ -21310,6 +26638,8 @@ 0 0 0 +4 +5 10 0xdcaa 0xae290f71 @@ -21319,6 +26649,8 @@ 1 0 4 +3 +4 0xddc6 0x63fd8f0a 256 @@ -21326,6 +26658,8 @@ 1 1 0 +1 +5 10 0x180e 0x1ad0d50c @@ -21334,6 +26668,8 @@ 1 1 0 +5 +1 7 0xab93 0xbafbc245 @@ -21342,6 +26678,8 @@ 0 0 0 +3 +4 8 0x16ae 0xbf34a4b4 @@ -21350,6 +26688,8 @@ 1 0 0 +4 +1 3 0x3bb 0xd421a184 @@ -21358,6 +26698,8 @@ 0 0 0 +2 +3 1 0x57ed 0x7aa620c8 @@ -21366,6 +26708,8 @@ 1 1 0 +2 +0 9 0x470c 0xaec98879 @@ -21374,6 +26718,8 @@ 0 0 0 +2 +4 7 0x999c 0x51a95595 @@ -21382,6 +26728,8 @@ 0 0 0 +3 +3 5 0xd34b 0x20f59848 @@ -21390,6 +26738,8 @@ 0 0 0 +5 +4 3 0xc9ab 0x281eb778 @@ -21398,6 +26748,8 @@ 0 0 0 +5 +5 9 0x2513 0x931d18d9 @@ -21406,6 +26758,8 @@ 0 0 0 +2 +1 4 0x2819 0x252216d7 @@ -21414,6 +26768,8 @@ 0 0 0 +5 +3 4 0x85ae 0x638bb3bd @@ -21422,6 +26778,8 @@ 0 0 0 +3 +1 10 0xa241 0x9cfcd2d8 @@ -21430,6 +26788,8 @@ 1 0 0 +3 +3 5 0x293f 0xfb6a7a9e @@ -21438,6 +26798,8 @@ 1 0 0 +3 +3 1 0x32ad 0xbb21817d @@ -21446,6 +26808,8 @@ 1 1 0 +3 +1 4 0x5106 0x5bbbde4c @@ -21454,6 +26818,8 @@ 0 0 0 +0 +0 6 0x27eb 0xec0a912 @@ -21462,6 +26828,8 @@ 0 0 0 +3 +4 10 0xfe0b 0xa83d6a41 @@ -21470,6 +26838,8 @@ 0 0 0 +4 +1 3 0xc135 0x1e455c6 @@ -21478,6 +26848,8 @@ 1 0 0 +0 +1 9 0xe7d7 0xaa9929ed @@ -21487,6 +26859,8 @@ 0 0 5 +2 +5 0x62d6 0x8f3ce613 256 @@ -21494,6 +26868,8 @@ 1 0 0 +1 +2 7 0xd9ef 0xad3fbade @@ -21502,6 +26878,8 @@ 1 0 0 +4 +0 1 0x2dda 0xd3f0a5e9 @@ -21511,6 +26889,8 @@ 0 0 5 +5 +5 0x74c3 0xfc53d956 256 @@ -21518,6 +26898,8 @@ 1 1 0 +5 +5 1 0x5a10 0x7badc55a @@ -21526,6 +26908,8 @@ 0 0 0 +5 +0 9 0x8dfa 0x38c6e6ea @@ -21534,6 +26918,8 @@ 1 0 0 +1 +4 6 0x632e 0xecd15d92 @@ -21542,6 +26928,8 @@ 1 1 0 +1 +5 5 0x3d3b 0x10869f8c @@ -21550,6 +26938,8 @@ 0 0 0 +3 +1 6 0xc688 0xbcebab7d @@ -21558,6 +26948,8 @@ 0 0 0 +3 +1 7 0x7487 0x88d4034e @@ -21566,6 +26958,8 @@ 0 0 0 +3 +4 10 0xe45d 0x6da12792 @@ -21574,6 +26968,8 @@ 0 0 0 +5 +5 6 0x8ae5 0xee0911ed @@ -21582,6 +26978,8 @@ 0 0 0 +4 +5 6 0xf4e7 0xb5fe9837 @@ -21590,6 +26988,8 @@ 0 0 0 +3 +2 7 0x1113 0x3e8084e4 @@ -21598,6 +26998,8 @@ 0 0 0 +3 +4 9 0x3db1 0x973b052a @@ -21606,6 +27008,8 @@ 1 0 0 +0 +5 8 0xb61 0x70166f62 @@ -21614,6 +27018,8 @@ 1 1 0 +2 +5 7 0x5cdf 0x27fdb4f1 @@ -21622,6 +27028,8 @@ 0 0 0 +2 +4 7 0x923b 0xb476700 @@ -21631,6 +27039,8 @@ 1 0 2 +3 +2 0xab28 0x4ce032a8 256 @@ -21638,6 +27048,8 @@ 1 0 0 +0 +0 3 0xcff2 0xf6955bce @@ -21646,6 +27058,8 @@ 0 0 0 +4 +3 10 0x616c 0xde7f3d20 @@ -21654,6 +27068,8 @@ 0 0 0 +1 +3 2 0x701a 0x70785ca8 @@ -21662,6 +27078,8 @@ 0 0 0 +4 +0 1 0xe337 0xb51c8dc0 @@ -21670,6 +27088,8 @@ 1 1 0 +3 +4 5 0x9b23 0xe88fc605 @@ -21678,6 +27098,8 @@ 0 0 0 +2 +4 8 0x8447 0x32bec080 @@ -21686,6 +27108,8 @@ 1 0 0 +3 +0 6 0xd156 0x3484b566 @@ -21694,6 +27118,8 @@ 0 0 0 +2 +1 6 0x4110 0x462fce7b @@ -21702,6 +27128,8 @@ 1 1 0 +3 +2 8 0xcc12 0x66ed837b @@ -21710,6 +27138,8 @@ 1 1 0 +5 +2 7 0x3131 0x8470cef9 @@ -21718,6 +27148,8 @@ 1 1 0 +0 +2 1 0x9945 0xb3df327f @@ -21727,6 +27159,8 @@ 0 0 4 +1 +4 0x65c4 0xe663c18 256 @@ -21734,6 +27168,8 @@ 1 1 0 +5 +3 8 0xb74a 0x2c09f293 @@ -21742,6 +27178,8 @@ 0 0 0 +1 +0 3 0x4579 0x23102143 @@ -21750,6 +27188,8 @@ 1 1 0 +4 +5 8 0x2531 0x41bf45b @@ -21758,6 +27198,8 @@ 0 0 0 +0 +0 4 0x1b6f 0x8d8d6b4e @@ -21766,6 +27208,8 @@ 0 0 0 +2 +3 7 0x1e1b 0x67903449 @@ -21774,6 +27218,8 @@ 1 0 0 +2 +2 6 0xb6a0 0x40b52c92 @@ -21782,6 +27228,8 @@ 0 0 0 +5 +4 8 0xe601 0xd6b30438 @@ -21790,6 +27238,8 @@ 0 0 0 +0 +0 8 0x1695 0xd1964915 @@ -21798,6 +27248,8 @@ 0 0 0 +4 +1 2 0xd5e4 0xd4f20650 @@ -21806,6 +27258,8 @@ 1 1 0 +4 +1 3 0xebfc 0xb08b0744 @@ -21814,6 +27268,8 @@ 0 0 0 +0 +3 10 0x2a17 0xbf11af00 @@ -21822,6 +27278,8 @@ 1 0 0 +5 +5 4 0xf982 0xff9ce860 @@ -21830,6 +27288,8 @@ 1 1 0 +3 +3 2 0xc5ad 0x7ec4a561 @@ -21838,6 +27298,8 @@ 0 0 0 +2 +0 5 0xe0da 0xbc73c9ee @@ -21846,6 +27308,8 @@ 1 1 0 +4 +0 7 0x5106 0xfd56059 @@ -21854,6 +27318,8 @@ 0 0 0 +1 +3 5 0xe1fd 0xda929944 @@ -21862,6 +27328,8 @@ 0 0 0 +3 +0 1 0xf12 0xc64b86aa @@ -21870,6 +27338,8 @@ 0 0 0 +4 +4 8 0x852d 0xdeac7aa2 @@ -21878,6 +27348,8 @@ 0 0 0 +0 +5 9 0xad19 0xe027bfbd @@ -21886,6 +27358,8 @@ 1 1 0 +3 +5 1 0xd50e 0x185fee5d @@ -21894,6 +27368,8 @@ 1 1 0 +0 +2 7 0xb833 0xc9669618 @@ -21902,6 +27378,8 @@ 1 1 0 +0 +0 1 0x6f32 0xa31bfe92 @@ -21910,6 +27388,8 @@ 0 0 0 +4 +2 2 0x96f6 0x36d28533 @@ -21918,6 +27398,8 @@ 1 0 0 +1 +2 7 0xecd3 0x33ab7cd1 @@ -21926,6 +27408,8 @@ 0 0 0 +4 +5 7 0xfd04 0x1e2d1fb @@ -21934,6 +27418,8 @@ 0 0 0 +1 +2 5 0xcd24 0xa8ff5dc0 @@ -21942,6 +27428,8 @@ 1 1 0 +4 +4 1 0x1c7 0x7048de68 @@ -21950,6 +27438,8 @@ 1 1 0 +1 +1 9 0x97eb 0x3c108242 @@ -21958,6 +27448,8 @@ 1 0 0 +1 +0 8 0x129a 0x41cfea63 @@ -21966,6 +27458,8 @@ 0 0 0 +1 +4 8 0xb0d7 0xdb37281e @@ -21974,6 +27468,8 @@ 1 1 0 +2 +2 8 0xe764 0x1412133a @@ -21982,6 +27478,8 @@ 0 0 0 +3 +4 8 0xb690 0x870ea812 @@ -21990,6 +27488,8 @@ 1 1 0 +2 +1 8 0xa9f2 0xf906861c @@ -21998,6 +27498,8 @@ 1 0 0 +3 +0 4 0x446d 0xc7515853 @@ -22007,6 +27509,8 @@ 1 0 4 +3 +4 0xb843 0xc0cd5361 256 @@ -22014,6 +27518,8 @@ 1 0 0 +3 +1 6 0x3d27 0x9898b6ac @@ -22022,6 +27528,8 @@ 0 0 0 +5 +0 7 0xd11d 0xbfb82b8c @@ -22030,6 +27538,8 @@ 1 0 0 +0 +1 2 0xed 0x2878f397 @@ -22038,6 +27548,8 @@ 0 0 0 +4 +5 6 0x6b4f 0x3e4147d8 @@ -22046,6 +27558,8 @@ 0 0 0 +2 +5 4 0x1e3b 0x2eeb3a1c @@ -22054,6 +27568,8 @@ 1 0 0 +0 +4 10 0xf62f 0xa84cebac @@ -22062,6 +27578,8 @@ 0 0 0 +5 +1 8 0x8f7a 0x933db2e5 @@ -22070,6 +27588,8 @@ 0 0 0 +3 +5 4 0x4f9b 0xb6cdd024 @@ -22078,6 +27598,8 @@ 1 1 0 +1 +4 2 0x1ed1 0xec3c157e @@ -22086,6 +27608,8 @@ 1 1 0 +2 +1 3 0xaee4 0x4d938f7 @@ -22094,6 +27618,8 @@ 0 0 0 +2 +2 6 0xf116 0x424f908 @@ -22102,6 +27628,8 @@ 0 0 0 +2 +0 1 0x4786 0xaf482554 @@ -22110,6 +27638,8 @@ 1 1 0 +3 +5 2 0x1df0 0xdf011bb3 @@ -22118,6 +27648,8 @@ 0 0 0 +1 +0 9 0xacd0 0x824e67f0 @@ -22127,6 +27659,8 @@ 0 0 1 +3 +1 0x86b6 0x958448c0 256 @@ -22134,6 +27668,8 @@ 1 1 0 +3 +0 7 0x2953 0xceac63db @@ -22142,6 +27678,8 @@ 1 1 0 +5 +5 4 0xa67 0xf0f96170 @@ -22150,6 +27688,8 @@ 0 0 0 +5 +1 2 0x7d04 0x36e28723 @@ -22158,6 +27698,8 @@ 0 0 0 +0 +1 2 0xfcdf 0xab7d84b5 @@ -22166,6 +27708,8 @@ 0 0 0 +3 +3 10 0x3e4a 0xb485f0e @@ -22174,6 +27718,8 @@ 0 0 0 +0 +4 9 0x2bdc 0xc7340d34 @@ -22182,6 +27728,8 @@ 1 1 0 +5 +3 9 0xfd32 0xc85f57c0 @@ -22190,6 +27738,8 @@ 1 0 0 +4 +1 5 0x719f 0x4471b384 @@ -22198,6 +27748,8 @@ 1 1 0 +4 +2 5 0xf466 0x3a12911 @@ -22206,6 +27758,8 @@ 1 0 0 +0 +2 2 0xb803 0x62e4eca1 @@ -22214,6 +27768,8 @@ 1 1 0 +1 +1 3 0x8508 0x48a26791 @@ -22222,6 +27778,8 @@ 1 1 0 +1 +0 9 0x1a0c 0x10da7e80 @@ -22230,6 +27788,8 @@ 1 0 0 +4 +4 1 0x7fff 0x798e7959 @@ -22238,6 +27798,8 @@ 1 0 0 +0 +4 7 0x61d2 0x7cb74ef0 @@ -22246,6 +27808,8 @@ 1 1 0 +5 +2 8 0xd18e 0x6c7c110f @@ -22255,6 +27819,8 @@ 0 0 3 +5 +3 0x989 0xe1731eda 256 @@ -22262,6 +27828,8 @@ 1 1 0 +5 +1 3 0x3aa5 0xec4eeb2d @@ -22270,6 +27838,8 @@ 0 0 0 +1 +1 5 0xca41 0x8edfacb @@ -22278,6 +27848,8 @@ 1 0 0 +1 +1 9 0x3a03 0xb0f6f20a @@ -22286,6 +27858,8 @@ 1 0 0 +3 +5 6 0x2a51 0xd7bb5e1f @@ -22294,6 +27868,8 @@ 0 0 0 +5 +3 1 0x589a 0x9741d590 @@ -22302,6 +27878,8 @@ 0 0 0 +2 +2 5 0x48db 0xe803347d @@ -22310,6 +27888,8 @@ 0 0 0 +3 +4 4 0x7578 0xf5fe826d @@ -22318,6 +27898,8 @@ 1 1 0 +2 +1 1 0x99e9 0xb0e10ec6 @@ -22326,6 +27908,8 @@ 0 0 0 +2 +2 9 0xe42b 0x5e7d4d91 @@ -22334,6 +27918,8 @@ 0 0 0 +5 +5 8 0x9be 0x8ff0d06c @@ -22342,6 +27928,8 @@ 0 0 0 +3 +4 5 0xaad4 0xf1845ded @@ -22350,6 +27938,8 @@ 1 0 0 +4 +2 7 0xd07 0x4fead8b0 @@ -22358,6 +27948,8 @@ 1 0 0 +0 +0 1 0x6447 0x9f4e7e91 @@ -22367,6 +27959,8 @@ 1 0 5 +5 +5 0xa73e 0xa71a510 256 @@ -22374,6 +27968,8 @@ 0 0 0 +4 +1 9 0x6130 0x562f5171 @@ -22382,6 +27978,8 @@ 1 1 0 +1 +3 8 0xfb1b 0xb993c81d @@ -22390,6 +27988,8 @@ 1 1 0 +4 +4 7 0xf086 0x4bc4302b @@ -22398,6 +27998,8 @@ 0 0 0 +4 +2 2 0x7e75 0x984718b9 @@ -22407,6 +28009,8 @@ 0 0 5 +3 +5 0xe8e9 0xd78bafc8 256 @@ -22415,6 +28019,8 @@ 0 0 5 +5 +5 0xe43b 0x1bdb6f3 256 @@ -22422,6 +28028,8 @@ 0 0 0 +2 +5 1 0xef85 0xd1e769a2 @@ -22430,6 +28038,8 @@ 1 1 0 +5 +1 2 0xb066 0xd094c1b4 @@ -22438,6 +28048,8 @@ 0 0 0 +0 +4 4 0x5013 0x85501214 @@ -22446,6 +28058,8 @@ 0 0 0 +2 +1 5 0x3377 0x2b499ae8 @@ -22454,6 +28068,8 @@ 0 0 0 +2 +1 3 0x5a92 0xe6d3191f @@ -22462,6 +28078,8 @@ 0 0 0 +5 +0 9 0x34d4 0x8bc69eae @@ -22470,6 +28088,8 @@ 1 1 0 +4 +3 10 0x9b88 0x9c40a1fe @@ -22478,6 +28098,8 @@ 1 0 0 +2 +0 4 0x1d1e 0x5da1281f @@ -22486,6 +28108,8 @@ 0 0 0 +2 +4 5 0xd5b4 0x643957cc @@ -22494,6 +28118,8 @@ 1 1 0 +0 +3 2 0x93e9 0x72e930b8 @@ -22502,6 +28128,8 @@ 0 0 0 +4 +0 3 0x2e77 0xc58d8cec @@ -22510,6 +28138,8 @@ 1 0 0 +5 +2 6 0xd072 0xb8cfe1ce @@ -22518,6 +28148,8 @@ 0 0 0 +1 +2 10 0x3154 0x18f6d84 @@ -22526,6 +28158,8 @@ 0 0 0 +4 +4 1 0xc9c 0x3fa7a621 @@ -22534,6 +28168,8 @@ 1 1 0 +5 +1 10 0xcb42 0x456c9710 @@ -22542,6 +28178,8 @@ 1 1 0 +3 +0 1 0xc59c 0xec0700d2 @@ -22550,6 +28188,8 @@ 1 1 0 +3 +0 8 0x32ed 0x5f9143a4 @@ -22558,6 +28198,8 @@ 1 1 0 +3 +2 10 0x608b 0x1159f177 @@ -22566,6 +28208,8 @@ 0 0 0 +2 +4 8 0xa010 0x75a106de @@ -22574,6 +28218,8 @@ 1 1 0 +3 +2 10 0x306c 0x48fd4516 @@ -22582,6 +28228,8 @@ 0 0 0 +3 +2 7 0x7548 0x5c804057 @@ -22590,6 +28238,8 @@ 0 0 0 +4 +2 3 0x1ec9 0xe4b33a7a @@ -22598,6 +28248,8 @@ 1 0 0 +5 +0 3 0xee32 0x5e471fad @@ -22606,6 +28258,8 @@ 0 0 0 +5 +1 10 0xe788 0xf7a7812f @@ -22614,6 +28268,8 @@ 0 0 0 +4 +1 2 0xc483 0x1dbd36e7 @@ -22622,6 +28278,8 @@ 0 0 0 +4 +0 1 0xe45f 0x41ce43d9 @@ -22630,6 +28288,8 @@ 0 0 0 +1 +1 3 0x2d4f 0x45110a3b @@ -22638,6 +28298,8 @@ 0 0 0 +3 +1 5 0xa3ba 0xd4d02f0e @@ -22646,6 +28308,8 @@ 0 0 0 +1 +2 8 0xb6e8 0x8506e0d6 @@ -22655,6 +28319,8 @@ 1 0 2 +2 +2 0xc306 0x6697a513 256 @@ -22662,6 +28328,8 @@ 0 0 0 +2 +2 1 0xcb24 0xf735665b @@ -22670,6 +28338,8 @@ 0 0 0 +2 +5 4 0xd238 0x9473d113 @@ -22678,6 +28348,8 @@ 0 0 0 +4 +5 2 0x1551 0xdae7957 @@ -22686,6 +28358,8 @@ 0 0 0 +2 +2 10 0x44a6 0x45005c6d @@ -22694,6 +28368,8 @@ 0 0 0 +3 +4 10 0xe87f 0xe50a6e3b @@ -22702,6 +28378,8 @@ 0 0 0 +4 +4 9 0x7196 0x62c95f5b @@ -22710,6 +28388,8 @@ 0 0 0 +1 +0 7 0x329a 0x43852d1f @@ -22718,6 +28398,8 @@ 1 1 0 +0 +5 5 0xa56f 0xd036421d @@ -22726,6 +28408,8 @@ 1 1 0 +5 +2 6 0x7b9f 0xdc24119c @@ -22734,6 +28418,8 @@ 0 0 0 +4 +4 10 0xee13 0xb9ebf95a @@ -22742,6 +28428,8 @@ 1 1 0 +5 +1 7 0x4b2b 0xb2c4e6e5 @@ -22750,6 +28438,8 @@ 0 0 0 +0 +2 8 0xff09 0x5687d2be @@ -22758,6 +28448,8 @@ 0 0 0 +1 +2 9 0xdfc4 0x930b4c5a @@ -22766,6 +28458,8 @@ 0 0 0 +3 +3 10 0xbb8e 0x24ea1ead @@ -22774,6 +28468,8 @@ 1 1 0 +1 +4 9 0x8cd1 0x200cf968 @@ -22782,6 +28478,8 @@ 0 0 0 +1 +2 9 0x71ad 0xdc02ff9d @@ -22790,6 +28488,8 @@ 0 0 0 +1 +3 3 0x8264 0x9ba7a02a @@ -22798,6 +28498,8 @@ 1 0 0 +3 +2 6 0x1aeb 0xb80a9ba8 @@ -22806,6 +28508,8 @@ 1 0 0 +4 +2 1 0x7eea 0x1977866f @@ -22814,6 +28518,8 @@ 0 0 0 +2 +2 6 0x5d01 0xbd3d7d8c @@ -22822,6 +28528,8 @@ 1 0 0 +4 +2 8 0x393a 0xad5ce8a0 @@ -22830,6 +28538,8 @@ 1 0 0 +2 +2 9 0x4a46 0x8842311f @@ -22838,6 +28548,8 @@ 1 0 0 +2 +1 7 0x871a 0x19a01490 @@ -22846,6 +28558,8 @@ 1 0 0 +0 +0 1 0xd81f 0x840f47c8 @@ -22854,6 +28568,8 @@ 1 1 0 +3 +2 5 0xac70 0x1cf4d1a2 @@ -22862,6 +28578,8 @@ 0 0 0 +4 +5 2 0x1666 0x222cb2ed @@ -22870,6 +28588,8 @@ 0 0 0 +0 +0 9 0x2af2 0xae5cbfcf @@ -22878,6 +28598,8 @@ 0 0 0 +2 +0 5 0x15ff 0xe90879f7 @@ -22886,6 +28608,8 @@ 0 0 0 +0 +2 10 0xeb0e 0xeebd138e @@ -22894,6 +28618,8 @@ 0 0 0 +2 +1 8 0x4fa6 0xfce45659 @@ -22902,6 +28628,8 @@ 0 0 0 +4 +2 9 0xa717 0x956a62a8 @@ -22910,6 +28638,8 @@ 1 1 0 +1 +4 6 0xa678 0xdf094b0b @@ -22918,6 +28648,8 @@ 1 0 0 +3 +5 4 0x3449 0x1c05ca @@ -22926,6 +28658,8 @@ 1 0 0 +1 +4 6 0x7f5c 0x69fdbeb2 @@ -22934,6 +28668,8 @@ 1 1 0 +3 +1 8 0x9f12 0xb190c435 @@ -22942,6 +28678,8 @@ 0 0 0 +5 +4 10 0x29f8 0xa1761df4 @@ -22950,6 +28688,8 @@ 0 0 0 +3 +0 7 0x6597 0xbb8854e8 @@ -22958,6 +28698,8 @@ 0 0 0 +1 +0 6 0x10b1 0xf5339b45 @@ -22966,6 +28708,8 @@ 0 0 0 +1 +1 8 0x32ef 0x34520daa @@ -22974,6 +28718,8 @@ 1 1 0 +5 +2 4 0x2679 0xe547fd66 @@ -22982,6 +28728,8 @@ 1 1 0 +4 +2 9 0x58da 0x2b3b62cb @@ -22990,6 +28738,8 @@ 1 0 0 +3 +0 6 0x3e40 0xf8e7b79a @@ -22998,6 +28748,8 @@ 0 0 0 +2 +2 1 0xa647 0x494c56f0 @@ -23006,6 +28758,8 @@ 1 0 0 +1 +3 10 0xa1ed 0x3506beb7 @@ -23014,6 +28768,8 @@ 0 0 0 +5 +3 3 0xa37f 0xa3afa2c5 @@ -23022,6 +28778,8 @@ 0 0 0 +3 +1 10 0xcede 0x419014b6 @@ -23030,6 +28788,8 @@ 1 1 0 +5 +3 1 0x9065 0x83349df0 @@ -23038,6 +28798,8 @@ 1 0 0 +5 +0 3 0x891 0xe0530ab3 @@ -23046,6 +28808,8 @@ 1 0 0 +4 +5 2 0xf5e7 0x6d3bf7c4 @@ -23054,6 +28818,8 @@ 1 0 0 +5 +3 4 0x4186 0x2b516e78 @@ -23062,6 +28828,8 @@ 0 0 0 +3 +3 8 0xc6ca 0xf1b993b8 @@ -23070,6 +28838,8 @@ 1 0 0 +3 +5 8 0x3e6e 0x44a89b37 @@ -23078,6 +28848,8 @@ 1 1 0 +3 +0 6 0x4019 0xab7d19ce @@ -23086,6 +28858,8 @@ 0 0 0 +4 +1 7 0xe7c 0xd394cd3a @@ -23094,6 +28868,8 @@ 1 1 0 +1 +4 5 0xdacb 0x1d648230 @@ -23102,6 +28878,8 @@ 1 1 0 +0 +0 1 0x3ad2 0x757c5d26 @@ -23110,6 +28888,8 @@ 1 1 0 +0 +2 8 0x77ad 0x9aa3f14d @@ -23118,6 +28898,8 @@ 1 1 0 +2 +1 5 0x4aca 0xced1d029 @@ -23126,6 +28908,8 @@ 0 0 0 +0 +0 5 0xc3c2 0xb9ed889a @@ -23134,6 +28918,8 @@ 0 0 0 +2 +5 3 0xd38d 0xa6b39518 @@ -23142,6 +28928,8 @@ 0 0 0 +0 +5 3 0x1967 0x5810a082 @@ -23150,6 +28938,8 @@ 0 0 0 +3 +2 1 0x4706 0xe6828c2a @@ -23158,6 +28948,8 @@ 0 0 0 +2 +1 9 0x3f2f 0xc604715a @@ -23166,6 +28958,8 @@ 1 1 0 +3 +5 5 0x800e 0x3427fa45 @@ -23174,6 +28968,8 @@ 1 0 0 +2 +2 8 0x23e 0xd83cc054 @@ -23183,6 +28979,8 @@ 0 0 2 +0 +2 0x2fcf 0xa8c9c4a4 256 @@ -23190,6 +28988,8 @@ 0 0 0 +5 +2 3 0x63a5 0xff1700c0 @@ -23198,6 +28998,8 @@ 0 0 0 +4 +4 2 0xf6a6 0x347c9c75 @@ -23206,6 +29008,8 @@ 0 0 0 +3 +0 5 0x7b32 0xcdaeb7b0 @@ -23214,6 +29018,8 @@ 0 0 0 +4 +3 1 0x52f8 0x4a9e098f @@ -23223,6 +29029,8 @@ 0 0 4 +0 +4 0x36a8 0x9fc63c7b 256 @@ -23230,6 +29038,8 @@ 1 1 0 +1 +1 7 0xde90 0x904a9e6f @@ -23238,6 +29048,8 @@ 0 0 0 +5 +0 1 0xfffc 0xb6597469 @@ -23246,6 +29058,8 @@ 0 0 0 +2 +1 6 0x5c25 0x12892f3b @@ -23254,6 +29068,8 @@ 0 0 0 +2 +0 8 0x714e 0x28ed93f7 @@ -23262,6 +29078,8 @@ 1 1 0 +3 +4 1 0x4d77 0xd3cbd74c @@ -23270,6 +29088,8 @@ 0 0 0 +5 +3 7 0xe670 0xd26598f8 @@ -23278,6 +29098,8 @@ 1 1 0 +5 +3 8 0x1de3 0xa73a2261 @@ -23286,6 +29108,8 @@ 1 1 0 +1 +2 7 0xf3ee 0xe8dfd3fd @@ -23294,6 +29118,8 @@ 0 0 0 +5 +0 2 0x588f 0x4f13105e @@ -23302,6 +29128,8 @@ 1 0 0 +3 +1 1 0xb35a 0xb29fa868 @@ -23310,6 +29138,8 @@ 0 0 0 +1 +4 10 0x2b25 0xb86562dd @@ -23318,6 +29148,8 @@ 1 0 0 +2 +5 3 0xebbb 0xa42d49e1 @@ -23326,6 +29158,8 @@ 0 0 0 +2 +2 4 0xa3e1 0xc5a5dc6a @@ -23334,6 +29168,8 @@ 1 0 0 +5 +0 6 0xca0f 0x2c3f910a @@ -23342,6 +29178,8 @@ 1 0 0 +0 +1 7 0x9bfe 0xd654eb58 @@ -23350,6 +29188,8 @@ 1 0 0 +1 +1 6 0x355c 0x3316196d @@ -23358,6 +29198,8 @@ 1 1 0 +1 +4 2 0xce4b 0x39a8b832 @@ -23366,6 +29208,8 @@ 0 0 0 +2 +0 7 0x8f66 0xb5ea4851 @@ -23374,6 +29218,8 @@ 0 0 0 +1 +1 9 0xa66 0x4e332bde @@ -23382,6 +29228,8 @@ 1 0 0 +2 +4 3 0xf222 0xc4bd09f5 @@ -23390,6 +29238,8 @@ 0 0 0 +2 +1 10 0xcec0 0x4887f52a @@ -23398,6 +29248,8 @@ 1 1 0 +5 +1 7 0x358d 0xd5eb4564 @@ -23406,6 +29258,8 @@ 1 0 0 +3 +1 2 0x48dd 0xc16909ef @@ -23414,6 +29268,8 @@ 0 0 0 +2 +5 1 0x5205 0x10c31908 @@ -23422,6 +29278,8 @@ 0 0 0 +3 +1 9 0xb0d1 0xf5dde11a @@ -23430,6 +29288,8 @@ 0 0 0 +4 +3 1 0x800e 0x5f8857d @@ -23438,6 +29298,8 @@ 1 1 0 +2 +0 7 0x9dd 0x944ff7a1 @@ -23446,6 +29308,8 @@ 0 0 0 +3 +5 10 0xaa06 0xc2c050ae @@ -23454,6 +29318,8 @@ 0 0 0 +1 +2 5 0xc977 0x4118b821 @@ -23462,6 +29328,8 @@ 0 0 0 +5 +1 3 0xfc79 0xc10554f6 @@ -23470,6 +29338,8 @@ 1 1 0 +3 +0 10 0xf332 0xe6ad6279 @@ -23478,6 +29348,8 @@ 0 0 0 +5 +0 10 0x6cf7 0x328690a6 @@ -23486,6 +29358,8 @@ 0 0 0 +3 +3 6 0x161a 0x66ae4ecf @@ -23494,6 +29368,8 @@ 1 0 0 +4 +0 2 0x3b61 0x8521be06 @@ -23502,6 +29378,8 @@ 0 0 0 +2 +3 5 0x60cb 0xaaece3e0 @@ -23510,6 +29388,8 @@ 0 0 0 +3 +4 1 0x8c34 0xeff5a139 @@ -23518,6 +29398,8 @@ 0 0 0 +2 +5 8 0x8ab9 0x9bd360e @@ -23526,6 +29408,8 @@ 1 1 0 +0 +0 2 0x3e5b 0x32035626 @@ -23534,6 +29418,8 @@ 0 0 0 +0 +4 10 0xd075 0x52039340 @@ -23542,6 +29428,8 @@ 1 0 0 +5 +3 1 0xfbf4 0x93827035 @@ -23550,6 +29438,8 @@ 0 0 0 +0 +3 7 0x84db 0x8a84e427 @@ -23558,6 +29448,8 @@ 0 0 0 +5 +5 4 0x91e1 0x3cb315cd @@ -23567,6 +29459,8 @@ 0 0 5 +3 +5 0x9b86 0x24907132 256 @@ -23574,6 +29468,8 @@ 0 0 0 +2 +0 8 0x7c45 0x727d9b68 @@ -23582,6 +29478,8 @@ 0 0 0 +4 +2 10 0xe8e3 0x9f31ac83 @@ -23590,6 +29488,8 @@ 1 0 0 +0 +1 5 0xeb9 0xab559932 @@ -23598,6 +29498,8 @@ 0 0 0 +1 +4 3 0x3415 0x8d4c0170 @@ -23606,6 +29508,8 @@ 0 0 0 +3 +4 7 0x9a4e 0xced81eed @@ -23614,6 +29518,8 @@ 0 0 0 +0 +0 2 0x4159 0x78954db6 @@ -23622,6 +29528,8 @@ 1 1 0 +3 +1 6 0x6934 0xa5f90803 @@ -23630,6 +29538,8 @@ 0 0 0 +5 +2 3 0x12d8 0x1fea0cca @@ -23638,6 +29548,8 @@ 0 0 0 +1 +4 8 0xd6c6 0xec57678d @@ -23646,6 +29558,8 @@ 0 0 0 +4 +2 3 0xda57 0x1e4de6f6 @@ -23654,6 +29568,8 @@ 0 0 0 +0 +1 8 0xb419 0xc7b1196f @@ -23663,6 +29579,8 @@ 1 0 2 +5 +2 0xc068 0x808414a9 256 @@ -23670,6 +29588,8 @@ 0 0 0 +2 +3 6 0xabe5 0x8ca3348 @@ -23678,6 +29598,8 @@ 0 0 0 +2 +3 4 0x1274 0xd215bd53 @@ -23686,6 +29608,8 @@ 1 0 0 +0 +5 2 0x6829 0xc3a87d75 @@ -23694,6 +29618,8 @@ 0 0 0 +5 +5 2 0xdf2c 0xfd37d43c @@ -23702,6 +29628,8 @@ 1 0 0 +1 +5 5 0x57b3 0x9b11f86b @@ -23710,6 +29638,8 @@ 1 0 0 +1 +5 9 0x1cf2 0xe072c443 @@ -23718,6 +29648,8 @@ 0 0 0 +2 +5 6 0x4a2f 0x85e948a1 @@ -23726,6 +29658,8 @@ 1 0 0 +5 +5 1 0x3d9c 0x4b4032ad @@ -23734,6 +29668,8 @@ 0 0 0 +5 +1 3 0x8f8f 0x6c1ae20b @@ -23742,6 +29678,8 @@ 1 1 0 +0 +1 7 0x822a 0xb436c443 @@ -23750,6 +29688,8 @@ 1 0 0 +3 +5 1 0x7ed9 0x90cb84ad @@ -23758,6 +29698,8 @@ 1 0 0 +4 +4 5 0x6584 0x66cc5f01 @@ -23766,6 +29708,8 @@ 0 0 0 +1 +5 5 0x5518 0xa0cdebf2 @@ -23774,6 +29718,8 @@ 1 1 0 +2 +0 4 0x8b30 0x3a942975 @@ -23782,6 +29728,8 @@ 0 0 0 +0 +3 5 0x6ac0 0x763fb732 @@ -23790,6 +29738,8 @@ 0 0 0 +2 +3 4 0xd203 0x18d43da1 @@ -23798,6 +29748,8 @@ 1 0 0 +4 +3 6 0x3438 0xf608f240 @@ -23806,6 +29758,8 @@ 1 1 0 +0 +3 5 0x48d1 0x9e1f4673 @@ -23814,6 +29768,8 @@ 0 0 0 +4 +1 5 0x2019 0xfd9c29f3 @@ -23822,6 +29778,8 @@ 1 0 0 +1 +2 9 0x3c4a 0xd7df0730 @@ -23830,6 +29788,8 @@ 1 0 0 +4 +1 6 0x9707 0xd2bb5532 @@ -23838,6 +29798,8 @@ 0 0 0 +5 +0 3 0x9259 0x44eb336a @@ -23846,6 +29808,8 @@ 0 0 0 +2 +5 4 0x66f5 0xfcb3bd92 @@ -23854,6 +29818,8 @@ 0 0 0 +4 +1 2 0x3776 0xd85a3c71 @@ -23862,6 +29828,8 @@ 1 0 0 +2 +4 4 0x274 0x30d4d65c @@ -23871,6 +29839,8 @@ 1 0 4 +0 +4 0x2d20 0x139b1ecd 256 @@ -23878,6 +29848,8 @@ 1 0 0 +4 +1 1 0x868b 0x45ed5d3 @@ -23886,6 +29858,8 @@ 1 0 0 +0 +1 1 0xcf52 0xd5e5efde @@ -23894,6 +29868,8 @@ 0 0 0 +3 +3 9 0x849 0x9f5f1b7b @@ -23902,6 +29878,8 @@ 1 0 0 +2 +3 4 0xdf9a 0x27337385 @@ -23910,6 +29888,8 @@ 0 0 0 +5 +2 2 0xec31 0x3c3334e0 @@ -23918,6 +29898,8 @@ 0 0 0 +5 +4 9 0x18ca 0x5441e4b2 @@ -23926,6 +29908,8 @@ 0 0 0 +3 +0 8 0x4c87 0x9f4cb86d @@ -23934,6 +29918,8 @@ 0 0 0 +3 +1 10 0x30b 0x37465de5 @@ -23942,6 +29928,8 @@ 1 0 0 +3 +4 2 0x5f1e 0xeb4cf035 @@ -23950,6 +29938,8 @@ 1 1 0 +0 +2 10 0x48f6 0x2cb18949 @@ -23958,6 +29948,8 @@ 0 0 0 +0 +4 9 0xaafc 0xdb2a97ee @@ -23966,6 +29958,8 @@ 1 0 0 +4 +5 2 0x7c90 0x107fc513 @@ -23974,6 +29968,8 @@ 0 0 0 +0 +5 3 0x6366 0x76947cbf @@ -23982,6 +29978,8 @@ 1 1 0 +0 +2 1 0x29e0 0x5d1979b @@ -23990,6 +29988,8 @@ 0 0 0 +5 +0 8 0xef58 0xc2defd4d @@ -23998,6 +29998,8 @@ 1 0 0 +5 +5 6 0xbb25 0x813cdfb0 @@ -24006,6 +30008,8 @@ 0 0 0 +2 +4 10 0x6c57 0x48f1306a @@ -24015,6 +30019,8 @@ 0 0 4 +3 +4 0x295c 0x1aeaed0d 256 @@ -24023,6 +30029,8 @@ 0 0 5 +0 +5 0xab9 0x86b6c908 256 @@ -24030,6 +30038,8 @@ 0 0 0 +0 +0 7 0x7eef 0xd2cf0137 @@ -24038,6 +30048,8 @@ 0 0 0 +4 +5 10 0x5d15 0x1e01a38a @@ -24046,6 +30058,8 @@ 1 1 0 +2 +3 6 0x7f94 0x8bacc854 @@ -24054,6 +30068,8 @@ 0 0 0 +4 +3 10 0xa28e 0x388cf212 @@ -24062,6 +30078,8 @@ 1 1 0 +1 +3 3 0x5e17 0x85b39852 @@ -24070,6 +30088,8 @@ 1 1 0 +1 +1 10 0x76f9 0x1c0fac21 @@ -24078,6 +30098,8 @@ 1 1 0 +1 +4 3 0xb180 0xf8b22cb9 @@ -24086,6 +30108,8 @@ 1 1 0 +0 +3 8 0xac49 0xc0096850 @@ -24094,6 +30118,8 @@ 0 0 0 +0 +2 9 0x1789 0x684e4ab2 @@ -24103,6 +30129,8 @@ 1 0 1 +5 +1 0x922c 0x6507332f 256 @@ -24110,6 +30138,8 @@ 1 0 0 +0 +0 8 0x21ef 0x690dd3fe @@ -24118,6 +30148,8 @@ 1 0 0 +0 +1 9 0xe6ac 0xc738d3b9 @@ -24126,6 +30158,8 @@ 1 1 0 +4 +0 2 0x8263 0x439e71d5 @@ -24135,6 +30169,8 @@ 0 0 3 +0 +3 0x8a94 0xb7035598 256 @@ -24142,6 +30178,8 @@ 1 1 0 +2 +0 7 0x71c2 0x25044538 @@ -24150,6 +30188,8 @@ 0 0 0 +1 +5 4 0xf6bc 0x9ab2ff2a @@ -24158,6 +30198,8 @@ 0 0 0 +2 +2 4 0x4221 0xf21ab13f @@ -24166,6 +30208,8 @@ 0 0 0 +4 +1 3 0x5db 0x39cceb34 @@ -24174,6 +30218,8 @@ 0 0 0 +5 +0 4 0x6fb1 0xae857a47 @@ -24182,6 +30228,8 @@ 1 1 0 +2 +0 1 0xfd86 0x249110b1 @@ -24191,6 +30239,8 @@ 0 0 5 +1 +5 0xc6d9 0x2efc44b2 256 @@ -24198,6 +30248,8 @@ 1 1 0 +0 +3 7 0xb54 0x78ba518e @@ -24206,6 +30258,8 @@ 1 0 0 +4 +3 7 0x8693 0xb4787530 @@ -24214,6 +30268,8 @@ 1 0 0 +2 +3 9 0xbbed 0xd245bd04 @@ -24222,6 +30278,8 @@ 0 0 0 +2 +3 7 0x79cb 0xc74ec53d @@ -24230,6 +30288,8 @@ 0 0 0 +4 +4 6 0xf5fc 0xea246da7 @@ -24238,6 +30298,8 @@ 1 1 0 +0 +1 9 0xbd5d 0x6e6b36f9 @@ -24246,6 +30308,8 @@ 1 0 0 +5 +4 10 0xfc9d 0x672321d1 @@ -24254,6 +30318,8 @@ 0 0 0 +4 +0 8 0x7db3 0x574c22a @@ -24262,6 +30328,8 @@ 0 0 0 +2 +1 7 0xad10 0xd562db9c @@ -24270,6 +30338,8 @@ 0 0 0 +2 +0 8 0x39d6 0xda1903c8 @@ -24278,6 +30348,8 @@ 1 0 0 +2 +3 6 0x9c1a 0x6493d46a @@ -24286,6 +30358,8 @@ 0 0 0 +4 +4 2 0xad93 0x92da2793 @@ -24294,6 +30368,8 @@ 1 1 0 +2 +0 6 0xba2d 0xe99ebd39 @@ -24303,6 +30379,8 @@ 0 0 1 +5 +1 0x1667 0xca880fb9 256 @@ -24310,6 +30388,8 @@ 0 0 0 +3 +0 6 0x9e09 0x270fae95 @@ -24318,6 +30398,8 @@ 0 0 0 +2 +1 3 0x9380 0x637f24ea @@ -24326,6 +30408,8 @@ 1 1 0 +2 +5 1 0xea6e 0xd8b7d3de @@ -24334,6 +30418,8 @@ 1 1 0 +3 +5 2 0xd11c 0x4c50d824 @@ -24342,6 +30428,8 @@ 0 0 0 +2 +3 6 0x858e 0x8f7edb @@ -24350,6 +30438,8 @@ 1 0 0 +5 +4 10 0x9fa1 0x528e1b82 @@ -24358,6 +30448,8 @@ 0 0 0 +2 +0 9 0x2f94 0x74b7f0be @@ -24366,6 +30458,8 @@ 1 0 0 +4 +1 9 0x99e 0xd98a9ca4 @@ -24374,6 +30468,8 @@ 0 0 0 +3 +3 1 0x5c38 0xbed5ebb2 @@ -24382,6 +30478,8 @@ 1 1 0 +3 +0 8 0xdb46 0xcd81d54e @@ -24390,6 +30488,8 @@ 1 1 0 +3 +1 8 0xdad5 0x719dcfd @@ -24398,6 +30498,8 @@ 0 0 0 +0 +4 3 0xceba 0xa646eefd @@ -24406,6 +30508,8 @@ 1 0 0 +2 +4 6 0xa7e2 0x8194469d @@ -24414,6 +30518,8 @@ 1 1 0 +1 +4 7 0x54c0 0xcd85b3e0 @@ -24422,6 +30528,8 @@ 0 0 0 +4 +4 5 0x97d 0x4be02ba1 @@ -24430,6 +30538,8 @@ 0 0 0 +5 +0 8 0xf05a 0xbff97651 @@ -24438,6 +30548,8 @@ 0 0 0 +4 +0 1 0x6522 0x7715ae05 @@ -24447,6 +30559,8 @@ 0 0 2 +0 +2 0x1fae 0xa57a0f6d 256 @@ -24454,6 +30568,8 @@ 1 1 0 +5 +4 1 0x4f8a 0xd1f53c8b @@ -24462,6 +30578,8 @@ 1 1 0 +0 +3 3 0xd443 0xe8a6dfcd @@ -24470,6 +30588,8 @@ 1 0 0 +0 +3 2 0x3aca 0xe200cf25 @@ -24478,6 +30598,8 @@ 0 0 0 +4 +4 8 0x5b71 0x6d431650 @@ -24486,6 +30608,8 @@ 1 1 0 +0 +3 9 0x8b81 0xc472efd0 @@ -24494,6 +30618,8 @@ 1 0 0 +1 +3 10 0x30d1 0x60da853f @@ -24502,6 +30628,8 @@ 1 0 0 +3 +3 10 0x1bab 0x5d8b5715 @@ -24510,6 +30638,8 @@ 1 1 0 +5 +0 10 0x8b6d 0x8a1cc1d8 @@ -24518,6 +30648,8 @@ 0 0 0 +2 +4 6 0xb7f8 0xb33ef9ea @@ -24526,6 +30658,8 @@ 1 1 0 +3 +5 5 0xc4fa 0xa6c9be8a @@ -24534,6 +30668,8 @@ 0 0 0 +2 +0 4 0x96e8 0xbd09db9c @@ -24542,6 +30678,8 @@ 1 0 0 +2 +4 9 0x51ab 0x388bcc82 @@ -24550,6 +30688,8 @@ 1 1 0 +5 +2 10 0xb25 0xd6c3da89 @@ -24559,6 +30699,8 @@ 0 0 2 +1 +2 0x1d8b 0x4fc2cab9 256 @@ -24566,6 +30708,8 @@ 1 0 0 +1 +4 10 0x2668 0x89b98167 @@ -24574,6 +30718,8 @@ 0 0 0 +2 +4 6 0x3b52 0x136beac9 @@ -24582,6 +30728,8 @@ 0 0 0 +0 +5 2 0xa7de 0x26613f33 @@ -24590,6 +30738,8 @@ 0 0 0 +2 +0 8 0x67ca 0xad25b36e @@ -24598,6 +30748,8 @@ 1 0 0 +5 +0 2 0xbde5 0xe669f97 @@ -24606,6 +30758,8 @@ 1 1 0 +4 +5 2 0x6aeb 0x76889447 @@ -24614,6 +30768,8 @@ 1 1 0 +1 +1 2 0x7a6 0x898ba8bd @@ -24622,6 +30778,8 @@ 1 1 0 +2 +5 9 0xfd28 0x74034f54 @@ -24630,6 +30788,8 @@ 1 0 0 +1 +2 2 0x2991 0xe29f9f17 @@ -24638,6 +30798,8 @@ 0 0 0 +0 +4 8 0x8e72 0xcfb7b443 @@ -24646,6 +30808,8 @@ 1 1 0 +1 +5 9 0xcaae 0x80388cd7 @@ -24654,6 +30818,8 @@ 1 1 0 +0 +5 1 0xbe90 0x3069a823 @@ -24662,6 +30828,8 @@ 1 0 0 +3 +4 6 0xbd00 0xad588e8d @@ -24670,6 +30838,8 @@ 0 0 0 +2 +5 8 0x4a96 0x931f68fb @@ -24678,6 +30848,8 @@ 0 0 0 +4 +2 5 0x9def 0xd59a70d6 @@ -24686,6 +30858,8 @@ 1 0 0 +5 +0 8 0x4afe 0x680b10f @@ -24694,6 +30868,8 @@ 1 0 0 +2 +3 8 0x2c0e 0xed42b29e @@ -24702,6 +30878,8 @@ 1 1 0 +5 +1 3 0x46f4 0x7861f5a4 @@ -24710,6 +30888,8 @@ 1 0 0 +5 +4 6 0xe63e 0xc3504b2 @@ -24718,6 +30898,8 @@ 0 0 0 +2 +4 10 0xb71b 0xacc21f03 @@ -24727,6 +30909,8 @@ 1 0 2 +2 +2 0xbabb 0x19f73213 256 @@ -24734,6 +30918,8 @@ 1 1 0 +1 +0 2 0xa226 0xa591d471 @@ -24742,6 +30928,8 @@ 0 0 0 +0 +2 7 0x2f5 0xb535d58e @@ -24750,6 +30938,8 @@ 0 0 0 +3 +3 10 0xd533 0xf32fd3df @@ -24758,6 +30948,8 @@ 0 0 0 +4 +2 7 0x5b5f 0xe4946af8 @@ -24766,6 +30958,8 @@ 1 0 0 +2 +2 4 0x79c9 0x13d6915f @@ -24774,6 +30968,8 @@ 1 1 0 +0 +4 5 0x4330 0xa03bef8a @@ -24782,6 +30978,8 @@ 1 1 0 +0 +5 7 0xf3d7 0xc89f6cf4 @@ -24790,6 +30988,8 @@ 0 0 0 +3 +5 5 0x5a2f 0x7464b228 @@ -24798,6 +30998,8 @@ 0 0 0 +0 +4 1 0x1d16 0x61669d8 @@ -24806,6 +31008,8 @@ 0 0 0 +4 +3 9 0x7773 0xa9a261e @@ -24814,6 +31018,8 @@ 0 0 0 +1 +1 10 0x221e 0x8acf8133 @@ -24822,6 +31028,8 @@ 0 0 0 +0 +2 9 0xb83b 0x6253e311 @@ -24830,6 +31038,8 @@ 1 1 0 +5 +4 6 0xd7b8 0x5eaf95c5 @@ -24839,6 +31049,8 @@ 0 0 4 +2 +4 0x6e9c 0x4d6c1f7e 256 @@ -24847,6 +31059,8 @@ 0 0 3 +2 +3 0xd0bc 0x2166ac36 256 @@ -24854,6 +31068,8 @@ 0 0 0 +5 +5 10 0xd50c 0x9749b4d6 @@ -24862,6 +31078,8 @@ 0 0 0 +5 +1 8 0xef7c 0x40cb53a @@ -24870,6 +31088,8 @@ 1 1 0 +5 +1 6 0xcb6b 0xaa3ad78f @@ -24878,6 +31098,8 @@ 0 0 0 +4 +0 8 0x1272 0x52ba9776 @@ -24886,6 +31108,8 @@ 0 0 0 +1 +1 3 0x14ed 0x5f0367c9 @@ -24894,6 +31118,8 @@ 0 0 0 +1 +3 10 0x1cc9 0xed0bc957 @@ -24902,6 +31128,8 @@ 1 1 0 +4 +1 3 0x7f1f 0xd51e5a7b @@ -24910,6 +31138,8 @@ 1 0 0 +4 +0 7 0xb283 0x3cbe356c @@ -24918,6 +31148,8 @@ 0 0 0 +4 +0 5 0x45f6 0x1ac4c14b @@ -24926,6 +31158,8 @@ 1 0 0 +4 +4 9 0x18fd 0x9bbb3343 @@ -24934,6 +31168,8 @@ 0 0 0 +0 +1 6 0x4ee4 0xe1ced57c @@ -24942,6 +31178,8 @@ 1 1 0 +2 +3 4 0x5442 0xe9f4a014 @@ -24950,6 +31188,8 @@ 1 1 0 +3 +2 1 0x9dfe 0x9985885f @@ -24958,6 +31198,8 @@ 0 0 0 +5 +5 8 0xb375 0x82726093 @@ -24966,6 +31208,8 @@ 0 0 0 +5 +2 10 0x715f 0x918b1793 @@ -24974,6 +31218,8 @@ 0 0 0 +4 +0 5 0xe186 0xc360301e @@ -24982,6 +31228,8 @@ 1 1 0 +1 +5 9 0xb760 0x20893f3d @@ -24990,6 +31238,8 @@ 1 0 0 +1 +1 9 0xcd50 0xc7d24db7 @@ -24998,6 +31248,8 @@ 0 0 0 +3 +3 6 0x9298 0x566501e7 @@ -25006,6 +31258,8 @@ 0 0 0 +2 +0 5 0xf0ca 0xe22cf0ef @@ -25014,6 +31268,8 @@ 0 0 0 +5 +3 4 0x644c 0xf5913f7c @@ -25022,6 +31278,8 @@ 1 1 0 +0 +0 8 0xebaf 0x9a1d6627 @@ -25030,6 +31288,8 @@ 0 0 0 +5 +0 6 0xa4cc 0xd204b518 @@ -25038,6 +31298,8 @@ 0 0 0 +5 +4 4 0x24e9 0x60d6a940 @@ -25046,6 +31308,8 @@ 1 1 0 +2 +4 7 0x73ec 0x4eb4d51f @@ -25054,6 +31318,8 @@ 0 0 0 +4 +0 8 0x1c95 0x6b9a324b @@ -25062,6 +31328,8 @@ 1 1 0 +3 +2 7 0xc1a6 0x1725324a @@ -25070,6 +31338,8 @@ 0 0 0 +0 +3 4 0xe317 0x3a330be1 @@ -25078,6 +31348,8 @@ 1 0 0 +4 +5 9 0xa11 0xdf0522eb @@ -25086,6 +31358,8 @@ 0 0 0 +4 +4 1 0x7b33 0x814832b8 @@ -25095,6 +31369,8 @@ 1 0 1 +1 +1 0x2b9 0x38e53d56 256 @@ -25102,6 +31378,8 @@ 0 0 0 +3 +5 6 0x7ecc 0xb62af4a0 @@ -25110,6 +31388,8 @@ 1 0 0 +0 +5 2 0xaa20 0x39562384 @@ -25118,6 +31398,8 @@ 1 0 0 +1 +5 10 0x507d 0x85173197 @@ -25126,6 +31408,8 @@ 0 0 0 +4 +2 3 0x4c8e 0x37cbf133 @@ -25134,6 +31418,8 @@ 0 0 0 +0 +4 9 0xe405 0xfa444e85 @@ -25142,6 +31428,8 @@ 0 0 0 +0 +4 8 0x5d26 0x71eddda4 @@ -25150,6 +31438,8 @@ 0 0 0 +2 +0 5 0x82e3 0xd8aba11e @@ -25158,6 +31448,8 @@ 0 0 0 +2 +0 7 0x8904 0x387d3751 @@ -25166,6 +31458,8 @@ 0 0 0 +3 +5 9 0x5a7a 0x64ed094a @@ -25174,6 +31468,8 @@ 1 0 0 +5 +3 10 0xbf1f 0x9f9cb902 @@ -25182,6 +31478,8 @@ 1 1 0 +0 +0 2 0x83ff 0x5fd7e4c6 @@ -25190,6 +31488,8 @@ 1 0 0 +4 +3 3 0x9116 0xbd73fbe6 @@ -25198,6 +31498,8 @@ 0 0 0 +4 +3 6 0xec16 0x74075fde @@ -25206,6 +31508,8 @@ 1 1 0 +5 +4 1 0xb6ce 0x8704c072 @@ -25214,6 +31518,8 @@ 1 0 0 +2 +4 8 0x3f48 0x73ff7fa2 @@ -25222,6 +31528,8 @@ 0 0 0 +1 +2 7 0x42c2 0x2534d4b3 @@ -25230,6 +31538,8 @@ 1 0 0 +3 +1 8 0x9e38 0x92bb8885 @@ -25238,6 +31548,8 @@ 1 0 0 +1 +0 4 0x5460 0xb469ab65 @@ -25246,6 +31558,8 @@ 0 0 0 +2 +1 8 0xcdf4 0xe75489e @@ -25254,6 +31568,8 @@ 1 0 0 +4 +3 5 0xe37 0xf699b71f @@ -25262,6 +31578,8 @@ 1 0 0 +2 +2 4 0x42a5 0x9129c424 @@ -25270,6 +31588,8 @@ 1 1 0 +4 +2 8 0x953d 0xde0b2d65 @@ -25278,6 +31598,8 @@ 0 0 0 +0 +2 1 0x70d5 0x729cab2b @@ -25286,6 +31608,8 @@ 1 0 0 +5 +0 10 0x5f45 0xf176bc4a @@ -25294,6 +31618,8 @@ 1 1 0 +5 +0 10 0x93da 0x65008627 @@ -25302,6 +31628,8 @@ 0 0 0 +4 +4 6 0x903c 0x15f91bf1 @@ -25310,6 +31638,8 @@ 1 0 0 +4 +1 8 0x548c 0x9c48dac8 @@ -25318,6 +31648,8 @@ 1 1 0 +5 +2 1 0xc6d6 0x562b6720 @@ -25326,6 +31658,8 @@ 1 1 0 +2 +0 6 0x6223 0x892d2aa0 @@ -25334,6 +31668,8 @@ 0 0 0 +5 +3 2 0x116e 0x504bd187 @@ -25342,6 +31678,8 @@ 1 1 0 +2 +5 3 0xf8bc 0xb9f623ea @@ -25350,6 +31688,8 @@ 1 1 0 +0 +0 7 0xe66c 0xace3437c @@ -25358,6 +31698,8 @@ 0 0 0 +2 +1 7 0x4a10 0x8e88c2fa @@ -25366,6 +31708,8 @@ 0 0 0 +4 +5 6 0xb2a9 0x81f05dab @@ -25374,6 +31718,8 @@ 1 1 0 +4 +4 3 0xd657 0x6a0ef2ef @@ -25382,6 +31728,8 @@ 1 0 0 +0 +3 2 0x1240 0xc4172919 @@ -25390,6 +31738,8 @@ 1 0 0 +3 +2 4 0xdc1 0x42a02ca4 @@ -25398,6 +31748,8 @@ 1 0 0 +3 +0 2 0x2d15 0xe8168fab @@ -25406,6 +31758,8 @@ 0 0 0 +4 +2 7 0x6853 0x6b14b9a6 @@ -25414,6 +31768,8 @@ 0 0 0 +3 +4 4 0x817d 0x274b7dfd @@ -25423,6 +31779,8 @@ 0 0 1 +3 +1 0x5237 0xb6662363 256 @@ -25430,6 +31788,8 @@ 0 0 0 +4 +4 1 0xe4b2 0x8e2924b1 @@ -25438,6 +31798,8 @@ 1 1 0 +2 +2 3 0xcc7c 0xed22c6ff @@ -25446,6 +31808,8 @@ 0 0 0 +1 +1 2 0xcf6b 0xe18ad669 @@ -25454,6 +31818,8 @@ 0 0 0 +3 +2 5 0x1d69 0xa2583170 @@ -25462,6 +31828,8 @@ 1 1 0 +5 +3 8 0x3add 0xa6b363cd @@ -25470,6 +31838,8 @@ 1 1 0 +3 +0 6 0x2e5d 0x16e12863 @@ -25479,6 +31849,8 @@ 0 0 4 +1 +4 0x2473 0xe002308e 256 @@ -25487,6 +31859,8 @@ 0 0 4 +0 +4 0x6c7f 0xe305e425 256 @@ -25494,6 +31868,8 @@ 1 1 0 +2 +2 9 0x8a19 0x1ff716b2 @@ -25502,6 +31878,8 @@ 0 0 0 +4 +0 10 0xbc80 0x8f24df05 @@ -25510,6 +31888,8 @@ 0 0 0 +1 +2 8 0x7c18 0xee4970df @@ -25518,6 +31898,8 @@ 0 0 0 +2 +3 3 0x254c 0x2995daa8 @@ -25527,6 +31909,8 @@ 1 0 5 +2 +5 0x793 0x45e8069a 256 @@ -25534,6 +31918,8 @@ 1 0 0 +3 +0 1 0xae78 0xef122cf @@ -25542,6 +31928,8 @@ 1 0 0 +0 +0 8 0x53f7 0xcccc9ce5 @@ -25550,6 +31938,8 @@ 1 0 0 +4 +1 7 0x7bfb 0x3cadba15 @@ -25558,6 +31948,8 @@ 1 1 0 +1 +5 2 0xe294 0xf0d10a9c @@ -25566,6 +31958,8 @@ 0 0 0 +1 +1 3 0x1648 0xbe65c039 @@ -25574,6 +31968,8 @@ 1 0 0 +4 +2 10 0xe3bd 0xb83f0876 @@ -25582,6 +31978,8 @@ 1 1 0 +3 +0 4 0x3635 0xa3a2104f @@ -25590,6 +31988,8 @@ 0 0 0 +3 +3 7 0x5624 0xe470c8b1 @@ -25599,6 +31999,8 @@ 1 0 5 +2 +5 0xb14b 0x17c29f 256 @@ -25606,6 +32008,8 @@ 0 0 0 +3 +1 1 0x7168 0x6254405b @@ -25614,6 +32018,8 @@ 0 0 0 +4 +0 2 0x484e 0x11d6ddb0 @@ -25623,6 +32029,8 @@ 1 0 4 +0 +4 0xa5b3 0x9c4e59f3 256 @@ -25630,6 +32038,8 @@ 0 0 0 +3 +5 1 0x46a 0xb2b54a59 @@ -25638,6 +32048,8 @@ 1 0 0 +2 +4 8 0x97a0 0x45641944 @@ -25646,6 +32058,8 @@ 1 1 0 +3 +0 4 0x70ac 0xde35c0f1 @@ -25654,6 +32068,8 @@ 1 0 0 +4 +4 2 0xe8a5 0xdaf70953 @@ -25662,6 +32078,8 @@ 1 1 0 +3 +3 2 0x5ed8 0x7fd22131 @@ -25670,6 +32088,8 @@ 1 1 0 +4 +5 2 0x815 0x22bd15d5 @@ -25678,6 +32098,8 @@ 0 0 0 +1 +2 9 0xe77d 0x640a70b8 @@ -25686,6 +32108,8 @@ 0 0 0 +0 +1 6 0x36a 0x9bba9b83 @@ -25694,6 +32118,8 @@ 1 0 0 +3 +3 6 0x619 0x5c5d825 @@ -25702,6 +32128,8 @@ 1 1 0 +4 +0 6 0x3fe9 0xead013e0 @@ -25710,6 +32138,8 @@ 0 0 0 +1 +1 9 0x3a15 0x71a5700b @@ -25718,6 +32148,8 @@ 1 1 0 +3 +0 6 0xc61a 0x6bd73892 @@ -25726,6 +32158,8 @@ 1 1 0 +0 +1 2 0x26ad 0xf4e6a153 @@ -25734,6 +32168,8 @@ 0 0 0 +4 +2 9 0x76c3 0x57856124 @@ -25742,6 +32178,8 @@ 0 0 0 +3 +1 6 0x55a7 0xe5160073 @@ -25750,6 +32188,8 @@ 0 0 0 +4 +0 7 0x293d 0xaf3d3da6 @@ -25758,6 +32198,8 @@ 0 0 0 +4 +4 7 0xf70f 0x7fb3804c @@ -25766,6 +32208,8 @@ 0 0 0 +5 +4 3 0xd6e 0xa1d41d1b @@ -25774,6 +32218,8 @@ 0 0 0 +1 +4 8 0x17cb 0x5c572bb3 @@ -25782,6 +32228,8 @@ 0 0 0 +2 +5 3 0x405d 0x2c44e42f @@ -25790,6 +32238,8 @@ 0 0 0 +2 +3 7 0xb651 0x1255df08 @@ -25798,6 +32248,8 @@ 1 0 0 +1 +3 3 0x36cd 0x170f3b88 @@ -25806,6 +32258,8 @@ 1 0 0 +5 +2 7 0x8350 0xe3ad539f @@ -25814,6 +32268,8 @@ 1 1 0 +3 +1 6 0x9cf2 0x317430d9 @@ -25822,6 +32278,8 @@ 0 0 0 +4 +3 1 0x8ea8 0xdc3d7c20 @@ -25830,6 +32288,8 @@ 1 1 0 +0 +0 8 0x91a2 0x159c1654 @@ -25838,6 +32298,8 @@ 0 0 0 +3 +2 7 0x4fcc 0xdaa30cd9 @@ -25846,6 +32308,8 @@ 0 0 0 +4 +3 7 0xfccd 0xade0d320 @@ -25854,6 +32318,8 @@ 0 0 0 +0 +0 2 0x8cb1 0xc80192bc @@ -25862,6 +32328,8 @@ 1 0 0 +3 +4 6 0x2a92 0x6ac96ae4 @@ -25870,6 +32338,8 @@ 0 0 0 +1 +5 6 0xf866 0x1abe775 @@ -25878,6 +32348,8 @@ 1 0 0 +2 +0 8 0x43ef 0x9a22c59b @@ -25886,6 +32358,8 @@ 0 0 0 +2 +5 3 0xf086 0x58283f9e @@ -25894,6 +32368,8 @@ 0 0 0 +1 +1 5 0x81db 0x38a4cadf @@ -25902,6 +32378,8 @@ 0 0 0 +5 +5 7 0xed34 0xea65952b @@ -25910,6 +32388,8 @@ 0 0 0 +4 +4 8 0xafec 0x2459443d @@ -25918,6 +32398,8 @@ 1 0 0 +5 +5 4 0x5eba 0xe895e37e @@ -25926,6 +32408,8 @@ 0 0 0 +2 +3 5 0x3274 0x6177516e @@ -25934,6 +32418,8 @@ 0 0 0 +2 +0 5 0xf344 0xdfd4165c @@ -25942,6 +32428,8 @@ 0 0 0 +2 +0 4 0x7fd8 0x9b115309 @@ -25950,6 +32438,8 @@ 0 0 0 +2 +0 5 0xab62 0x46fbee6 @@ -25958,6 +32448,8 @@ 0 0 0 +3 +1 6 0x1667 0x55976e1a @@ -25966,6 +32458,8 @@ 1 1 0 +1 +4 4 0xca87 0xef90f65b @@ -25975,6 +32469,8 @@ 0 0 5 +3 +5 0x682e 0x1d5eb587 256 @@ -25982,6 +32478,8 @@ 0 0 0 +3 +0 7 0x1553 0x1082f9f9 @@ -25990,6 +32488,8 @@ 1 0 0 +0 +1 8 0x857f 0x4f503b20 @@ -25999,6 +32499,8 @@ 0 0 5 +1 +5 0x8505 0xafed7f64 256 @@ -26006,6 +32508,8 @@ 1 0 0 +0 +1 3 0xad0 0x8d8091cb @@ -26015,6 +32519,8 @@ 0 0 1 +4 +1 0x30d8 0x8ac856f1 256 @@ -26022,6 +32528,8 @@ 0 0 0 +0 +3 1 0xe593 0xd0d0ebd4 @@ -26030,6 +32538,8 @@ 1 0 0 +3 +4 4 0xf1a0 0x1f5521e5 @@ -26038,6 +32548,8 @@ 0 0 0 +5 +5 7 0xf6db 0xac21239a @@ -26046,6 +32558,8 @@ 0 0 0 +0 +1 5 0xe653 0xf74086fb @@ -26054,6 +32568,8 @@ 1 1 0 +0 +4 7 0xbd2b 0xbc1d40fa @@ -26062,6 +32578,8 @@ 1 1 0 +0 +0 9 0x3da9 0x803b2d4 @@ -26070,6 +32588,8 @@ 0 0 0 +4 +5 7 0x72ad 0x4445ab03 @@ -26078,6 +32598,8 @@ 0 0 0 +4 +3 7 0x10aa 0x2e8dcc5f @@ -26087,6 +32609,8 @@ 0 0 2 +5 +2 0x4e07 0x743a855f 256 @@ -26094,6 +32618,8 @@ 1 0 0 +5 +0 9 0x8f71 0xb4b7643e @@ -26102,6 +32628,8 @@ 0 0 0 +2 +3 4 0xeb86 0x4a25c72b @@ -26110,6 +32638,8 @@ 0 0 0 +4 +5 3 0x6da2 0xcba3fdb5 @@ -26118,6 +32648,8 @@ 1 0 0 +0 +3 2 0xe09a 0x2b1d44de @@ -26126,6 +32658,8 @@ 0 0 0 +2 +0 8 0xf5e5 0x72561a77 @@ -26134,6 +32668,8 @@ 1 1 0 +4 +2 9 0x3f67 0x2073e911 @@ -26142,6 +32678,8 @@ 0 0 0 +1 +1 3 0xa071 0x1535beb5 @@ -26150,6 +32688,8 @@ 0 0 0 +5 +4 9 0x3576 0xb073304c @@ -26158,6 +32698,8 @@ 0 0 0 +2 +0 7 0x6e51 0x4554a3b5 @@ -26166,6 +32708,8 @@ 0 0 0 +5 +3 7 0x17f5 0x621b44f0 @@ -26174,6 +32718,8 @@ 1 0 0 +3 +5 7 0x2951 0x147e3f04 @@ -26182,6 +32728,8 @@ 0 0 0 +0 +0 3 0xcaf1 0x79688d7a @@ -26190,6 +32738,8 @@ 0 0 0 +1 +4 9 0x92b8 0x435880ff @@ -26198,6 +32748,8 @@ 0 0 0 +5 +0 3 0x7c83 0xcf3fe941 @@ -26206,6 +32758,8 @@ 1 1 0 +0 +4 6 0x4a4e 0x2dfc70a8 @@ -26214,6 +32768,8 @@ 0 0 0 +5 +1 2 0xadcc 0x3576d5f1 @@ -26222,6 +32778,8 @@ 1 1 0 +3 +1 1 0xe511 0x73420f57 @@ -26230,6 +32788,8 @@ 0 0 0 +4 +5 8 0x1a6f 0x3aa3588 @@ -26238,6 +32798,8 @@ 1 0 0 +0 +5 10 0xd3df 0xf30fcb20 @@ -26246,6 +32808,8 @@ 0 0 0 +4 +1 5 0xd4f4 0x8b1b951b @@ -26254,6 +32818,8 @@ 0 0 0 +1 +1 3 0xc435 0xf53449d0 @@ -26262,6 +32828,8 @@ 0 0 0 +2 +0 10 0x12f9 0xe632ebad @@ -26270,6 +32838,8 @@ 1 1 0 +1 +5 2 0x6d5b 0x896e01b6 @@ -26279,6 +32849,8 @@ 0 0 1 +2 +1 0xfa3c 0x996d50b2 256 @@ -26286,6 +32858,8 @@ 0 0 0 +1 +4 2 0xf92b 0xd5c0d594 @@ -26294,6 +32868,8 @@ 1 0 0 +5 +4 7 0x56df 0xda09968b @@ -26302,6 +32878,8 @@ 0 0 0 +0 +1 10 0x3e1e 0xc76ec9b2 @@ -26310,6 +32888,8 @@ 1 1 0 +0 +5 10 0x3a9a 0x848c1ca6 @@ -26318,6 +32898,8 @@ 0 0 0 +2 +2 3 0x2a16 0x32235772 @@ -26326,6 +32908,8 @@ 0 0 0 +1 +2 4 0x76c 0x8f6fef85 @@ -26334,6 +32918,8 @@ 1 0 0 +3 +2 10 0xe931 0x4e865420 @@ -26342,6 +32928,8 @@ 0 0 0 +2 +4 9 0xcace 0x71fccddb @@ -26350,6 +32938,8 @@ 1 1 0 +3 +2 9 0x18f3 0x1e833d8c @@ -26358,6 +32948,8 @@ 1 1 0 +0 +5 6 0xa7dd 0xc8f6ff18 @@ -26366,6 +32958,8 @@ 1 0 0 +4 +0 2 0xe6e1 0x5bc93c7c @@ -26374,6 +32968,8 @@ 0 0 0 +4 +5 9 0x51c2 0x7710019a @@ -26382,6 +32978,8 @@ 0 0 0 +3 +2 5 0xa0a8 0x152f05d0 @@ -26390,6 +32988,8 @@ 1 1 0 +5 +3 9 0x8b9c 0x177610dc @@ -26399,6 +32999,8 @@ 1 0 2 +2 +2 0xcfb3 0xceb9c448 256 @@ -26407,6 +33009,8 @@ 0 0 3 +4 +3 0x919e 0x56c61897 256 @@ -26414,6 +33018,8 @@ 0 0 0 +2 +4 1 0xecc6 0xbab96fb8 @@ -26422,6 +33028,8 @@ 1 0 0 +0 +4 2 0xc665 0xc3e9759 @@ -26430,6 +33038,8 @@ 1 1 0 +4 +5 2 0xb4f5 0x896daedb @@ -26438,6 +33048,8 @@ 1 0 0 +5 +1 7 0x8b43 0xf5796092 @@ -26446,6 +33058,8 @@ 0 0 0 +0 +4 3 0x58e6 0x148d82cd @@ -26454,6 +33068,8 @@ 1 0 0 +1 +5 3 0x73a6 0x2fdfa9cc @@ -26462,6 +33078,8 @@ 1 1 0 +1 +1 8 0x9444 0xaf849324 @@ -26470,6 +33088,8 @@ 1 0 0 +0 +3 6 0x6a9 0xaecbd5a5 @@ -26478,6 +33098,8 @@ 1 0 0 +4 +4 8 0xb2b4 0xae905c50 @@ -26486,6 +33108,8 @@ 1 1 0 +0 +4 10 0x3183 0xbd483c85 @@ -26495,6 +33119,8 @@ 0 0 2 +0 +2 0x56bc 0xe69d5880 256 @@ -26503,6 +33129,8 @@ 0 0 3 +2 +3 0x5e53 0xfcd0ec51 256 @@ -26510,6 +33138,8 @@ 1 1 0 +2 +1 3 0x1809 0x1e35feff @@ -26518,6 +33148,8 @@ 1 0 0 +0 +0 4 0x59e2 0x9f439351 @@ -26526,6 +33158,8 @@ 1 1 0 +4 +3 2 0xecc0 0xa2d6d7f9 @@ -26534,6 +33168,8 @@ 0 0 0 +2 +2 3 0xe1b8 0xdc50c437 @@ -26542,6 +33178,8 @@ 1 0 0 +1 +3 7 0x9f5c 0xd24b150b @@ -26550,6 +33188,8 @@ 1 0 0 +1 +5 8 0x759b 0x4eae49c4 @@ -26558,6 +33198,8 @@ 0 0 0 +2 +1 10 0x5b6a 0xc86b217b @@ -26566,6 +33208,8 @@ 1 1 0 +0 +5 7 0x1c48 0x14438da3 @@ -26574,6 +33218,8 @@ 1 1 0 +5 +1 1 0xf390 0x6093d53b @@ -26582,6 +33228,8 @@ 0 0 0 +0 +4 9 0x1112 0xd22f2eb2 @@ -26590,6 +33238,8 @@ 1 1 0 +3 +3 2 0xa6d0 0x9da1b280 @@ -26598,6 +33248,8 @@ 1 1 0 +1 +5 9 0xee6e 0x987eb9c0 @@ -26606,6 +33258,8 @@ 1 1 0 +0 +3 9 0x5a92 0x1adaeb84 @@ -26614,6 +33268,8 @@ 0 0 0 +0 +0 8 0x434b 0x9ee6170d @@ -26622,6 +33278,8 @@ 0 0 0 +2 +5 1 0x62e7 0x43921f27 @@ -26630,6 +33288,8 @@ 1 0 0 +5 +5 7 0xaf67 0xca907db2 @@ -26638,6 +33298,8 @@ 1 1 0 +4 +3 5 0x835d 0x4ae2d185 @@ -26646,6 +33308,8 @@ 1 0 0 +1 +4 2 0xe065 0xd670b54e @@ -26654,6 +33318,8 @@ 1 1 0 +5 +3 3 0xcab8 0xd643368a @@ -26662,6 +33328,8 @@ 1 1 0 +4 +0 8 0x6e26 0x5892dff9 @@ -26670,6 +33338,8 @@ 0 0 0 +5 +1 4 0xe89d 0x690724cf @@ -26678,6 +33348,8 @@ 1 0 0 +2 +5 4 0xfbef 0xeea82d10 @@ -26686,6 +33358,8 @@ 0 0 0 +3 +1 8 0xc4a2 0x9f0841e9 @@ -26694,6 +33368,8 @@ 0 0 0 +2 +1 1 0x6a0f 0xb6cb3bc4 @@ -26703,6 +33379,8 @@ 0 0 4 +2 +4 0x629e 0x87b3afec 256 @@ -26710,6 +33388,8 @@ 0 0 0 +3 +3 6 0x504c 0xca6cf4ce @@ -26718,6 +33398,8 @@ 0 0 0 +3 +3 9 0xced7 0x5ad2f1cc @@ -26726,6 +33408,8 @@ 1 0 0 +1 +4 5 0x37ce 0xdaf1a02d @@ -26735,6 +33419,8 @@ 0 0 1 +5 +1 0xb7a8 0xbecd6692 256 @@ -26742,6 +33428,8 @@ 1 0 0 +3 +1 6 0x1bfc 0x9272275e @@ -26750,6 +33438,8 @@ 1 0 0 +5 +3 4 0xe2ec 0x5e949ad4 @@ -26758,6 +33448,8 @@ 0 0 0 +2 +4 3 0xdb15 0xd72aa0aa @@ -26766,6 +33458,8 @@ 0 0 0 +0 +4 6 0xb843 0x8e0a9df1 @@ -26774,6 +33468,8 @@ 1 0 0 +4 +5 2 0xf45e 0xb2a58302 @@ -26782,6 +33478,8 @@ 0 0 0 +0 +3 1 0x9cb4 0x81d874d6 @@ -26790,6 +33488,8 @@ 0 0 0 +0 +0 1 0x5cf0 0x23592d13 @@ -26798,6 +33498,8 @@ 0 0 0 +0 +4 7 0x27d8 0x84fe1cd2 @@ -26806,6 +33508,8 @@ 0 0 0 +4 +4 5 0x489e 0x86c7195a @@ -26814,6 +33518,8 @@ 1 0 0 +4 +2 10 0x8bbd 0xa863b546 @@ -26822,6 +33528,8 @@ 0 0 0 +0 +2 7 0x1d49 0xf2d63ee2 @@ -26830,6 +33538,8 @@ 1 1 0 +5 +5 8 0x7324 0x65f00ad5 @@ -26838,6 +33548,8 @@ 0 0 0 +3 +0 8 0xbadf 0x1a6b50eb @@ -26846,6 +33558,8 @@ 1 1 0 +3 +2 7 0x4d12 0x70cfacaa @@ -26855,6 +33569,8 @@ 0 0 5 +5 +5 0xcc18 0xa7dbdf86 256 @@ -26863,6 +33579,8 @@ 0 0 1 +5 +1 0xa85c 0x3dff7101 256 @@ -26870,6 +33588,8 @@ 0 0 0 +4 +5 2 0x1455 0xa224181c @@ -26878,6 +33598,8 @@ 0 0 0 +5 +1 7 0xcee4 0x25d4ca67 @@ -26886,6 +33608,8 @@ 0 0 0 +5 +4 9 0xd287 0xe4f16f6c @@ -26894,6 +33618,8 @@ 1 0 0 +0 +0 9 0x315e 0x2fd20556 @@ -26902,6 +33628,8 @@ 0 0 0 +5 +5 8 0x3a6a 0x1b2d7424 @@ -26910,6 +33638,8 @@ 0 0 0 +3 +1 1 0x5ca1 0x998e9bbd @@ -26918,6 +33648,8 @@ 1 1 0 +0 +3 9 0x6c0a 0x780e2d24 @@ -26926,6 +33658,8 @@ 0 0 0 +2 +1 7 0xd73 0x7533f971 @@ -26934,6 +33668,8 @@ 1 1 0 +4 +2 10 0x307a 0x8d976159 @@ -26942,6 +33678,8 @@ 1 1 0 +4 +3 10 0x3da4 0x21693a1f @@ -26950,6 +33688,8 @@ 0 0 0 +0 +1 7 0x748c 0x44da363b @@ -26958,6 +33698,8 @@ 0 0 0 +1 +2 6 0x62b9 0xa17bb2fc @@ -26966,6 +33708,8 @@ 0 0 0 +1 +4 3 0x915b 0x39a42c06 @@ -26974,6 +33718,8 @@ 0 0 0 +2 +2 5 0x5bbe 0xf15db59a @@ -26982,6 +33728,8 @@ 1 1 0 +0 +3 7 0x1a01 0xd6ea5cbc @@ -26990,6 +33738,8 @@ 0 0 0 +3 +5 6 0xc98b 0x99f0a71b @@ -26998,6 +33748,8 @@ 1 0 0 +5 +2 4 0x762d 0xfe5c097f @@ -27006,6 +33758,8 @@ 0 0 0 +4 +2 7 0x49 0xd5f863cd @@ -27014,6 +33768,8 @@ 1 1 0 +4 +0 6 0xd679 0xe121a8ff @@ -27022,6 +33778,8 @@ 1 1 0 +0 +2 9 0x6c3f 0x2b851149 @@ -27030,6 +33788,8 @@ 0 0 0 +4 +0 5 0x5d33 0x4c829d22 @@ -27038,6 +33798,8 @@ 1 0 0 +4 +1 8 0x9bb 0xcf1d5a34 @@ -27046,6 +33808,8 @@ 1 1 0 +1 +4 4 0xc2f2 0x9351ecfe @@ -27054,6 +33818,8 @@ 1 1 0 +1 +1 3 0x10b3 0x5439ecd8 @@ -27062,6 +33828,8 @@ 1 1 0 +2 +1 5 0xab1b 0xfdbbed9e @@ -27070,6 +33838,8 @@ 0 0 0 +0 +2 3 0xba3 0xb587ed74 @@ -27078,6 +33848,8 @@ 1 1 0 +5 +1 3 0x1888 0xf0a95656 @@ -27086,6 +33858,8 @@ 0 0 0 +5 +4 3 0xe60c 0x9d3e3ff3 @@ -27094,6 +33868,8 @@ 1 0 0 +5 +0 3 0x1297 0x135ff87d @@ -27102,6 +33878,8 @@ 1 0 0 +3 +0 9 0x62cc 0x87a6ded9 @@ -27110,6 +33888,8 @@ 0 0 0 +3 +3 10 0x99b7 0xb94c7b4e @@ -27118,6 +33898,8 @@ 1 1 0 +1 +0 9 0x1c9f 0xdaa5a530 @@ -27126,6 +33908,8 @@ 1 1 0 +4 +3 7 0xe69c 0xe769b240 @@ -27134,6 +33918,8 @@ 1 0 0 +1 +3 5 0xd7e0 0x1d5146f1 @@ -27142,6 +33928,8 @@ 1 1 0 +0 +1 8 0x354d 0x83ac67d @@ -27150,6 +33938,8 @@ 1 0 0 +1 +2 5 0xa863 0x4154213d @@ -27158,6 +33948,8 @@ 0 0 0 +3 +2 10 0x8269 0xfbadda0 @@ -27166,6 +33958,8 @@ 1 1 0 +4 +4 1 0xd8d2 0xe6b59965 @@ -27174,6 +33968,8 @@ 1 0 0 +4 +5 7 0x562f 0xb5e20248 @@ -27183,6 +33979,8 @@ 0 0 5 +0 +5 0xbb41 0x85221e5c 256 @@ -27190,6 +33988,8 @@ 1 0 0 +1 +5 5 0xe709 0x6950eec8 @@ -27198,6 +33998,8 @@ 0 0 0 +3 +0 2 0xa842 0x891f1310 @@ -27206,6 +34008,8 @@ 0 0 0 +0 +2 1 0x92db 0xb7323f8c @@ -27214,6 +34018,8 @@ 0 0 0 +1 +3 10 0x350a 0xcc49e95d @@ -27222,6 +34028,8 @@ 0 0 0 +2 +1 6 0xb199 0x27916d8a @@ -27230,6 +34038,8 @@ 1 0 0 +0 +0 5 0x6743 0x5b265107 @@ -27238,6 +34048,8 @@ 0 0 0 +2 +5 3 0x465c 0x545e5a6e @@ -27246,6 +34058,8 @@ 0 0 0 +5 +0 8 0xdef5 0x14df3be1 @@ -27254,6 +34068,8 @@ 1 0 0 +3 +1 9 0xdc43 0x7b45ffde @@ -27262,6 +34078,8 @@ 1 0 0 +3 +1 9 0x6120 0xbbbafcb7 @@ -27270,6 +34088,8 @@ 0 0 0 +0 +0 3 0x410a 0xd340c297 @@ -27278,6 +34098,8 @@ 1 1 0 +4 +3 3 0x4ac4 0x41589274 @@ -27286,6 +34108,8 @@ 1 1 0 +0 +2 6 0x7c34 0xdd949ed1 @@ -27294,6 +34118,8 @@ 1 0 0 +2 +4 3 0x5c45 0x3d6b895d @@ -27302,6 +34128,8 @@ 1 1 0 +3 +2 10 0x6849 0x37ed07e5 @@ -27310,6 +34138,8 @@ 1 1 0 +0 +4 1 0x1bbc 0x9f9d4fb5 @@ -27318,6 +34148,8 @@ 1 1 0 +2 +2 3 0xeef7 0x46ba1887 @@ -27326,6 +34158,8 @@ 1 1 0 +2 +3 4 0x391c 0xc065b10f @@ -27334,6 +34168,8 @@ 0 0 0 +3 +2 4 0xe220 0x2a2d32bc @@ -27342,6 +34178,8 @@ 1 1 0 +1 +1 8 0x66f5 0xe008b9fa @@ -27350,6 +34188,8 @@ 0 0 0 +1 +2 8 0x2586 0x2e16be3b @@ -27358,6 +34198,8 @@ 0 0 0 +1 +3 6 0x164a 0x80a05dd7 @@ -27366,6 +34208,8 @@ 1 0 0 +1 +0 8 0xbc7e 0xce28c9f6 @@ -27374,6 +34218,8 @@ 1 1 0 +4 +1 1 0x3b4e 0x458f85de @@ -27382,6 +34228,8 @@ 1 1 0 +1 +3 2 0x444a 0x85c91f21 @@ -27390,6 +34238,8 @@ 1 0 0 +2 +1 8 0x5bf5 0xa5d33d26 @@ -27398,6 +34248,8 @@ 0 0 0 +1 +4 7 0x7798 0xdc96ace1 @@ -27406,6 +34258,8 @@ 1 0 0 +5 +4 6 0xdb2c 0x31510ec3 @@ -27414,6 +34268,8 @@ 0 0 0 +2 +5 5 0x497f 0x4d781530 @@ -27422,6 +34278,8 @@ 1 1 0 +2 +5 3 0xaf01 0xfb1dad04 @@ -27430,6 +34288,8 @@ 0 0 0 +3 +2 6 0xcff1 0x7eb8a90a @@ -27438,6 +34298,8 @@ 1 0 0 +2 +5 5 0xe0cb 0xa3decf63 @@ -27446,6 +34308,8 @@ 1 1 0 +4 +1 1 0xbdf4 0xad21a275 @@ -27454,6 +34318,8 @@ 0 0 0 +3 +5 9 0xd9af 0xe6af70bc @@ -27462,6 +34328,8 @@ 1 1 0 +1 +5 2 0xd51f 0x3ff5c71a @@ -27470,6 +34338,8 @@ 1 0 0 +5 +3 10 0xa88b 0x2fdabbb4 @@ -27478,6 +34348,8 @@ 1 1 0 +3 +1 8 0x35b2 0x9fd1547b @@ -27486,6 +34358,8 @@ 0 0 0 +3 +3 2 0xd331 0xb3473bf1 @@ -27494,6 +34368,8 @@ 1 0 0 +3 +5 1 0x9785 0x6ec00259 @@ -27502,6 +34378,8 @@ 0 0 0 +4 +2 10 0x8dbe 0x8e368adb @@ -27510,6 +34388,8 @@ 0 0 0 +2 +5 7 0xfaee 0xd2113694 @@ -27518,6 +34398,8 @@ 1 0 0 +0 +2 8 0x7c01 0xdef4d09a @@ -27526,6 +34408,8 @@ 1 1 0 +4 +5 9 0xbb94 0x3df7b32a @@ -27534,6 +34418,8 @@ 1 1 0 +0 +2 6 0x61d3 0x10a7957b @@ -27542,6 +34428,8 @@ 1 0 0 +4 +1 1 0xecde 0xac555549 @@ -27550,6 +34438,8 @@ 1 0 0 +0 +4 2 0xe741 0x92dc860a @@ -27558,6 +34448,8 @@ 1 0 0 +0 +2 4 0xb298 0xf8ffbb66 @@ -27566,6 +34458,8 @@ 1 0 0 +5 +3 10 0xa846 0xbb7e2ec8 @@ -27574,6 +34468,8 @@ 1 1 0 +1 +0 10 0x891 0x833ad2e4 @@ -27582,6 +34478,8 @@ 1 1 0 +1 +1 3 0x663c 0x3e26d11e @@ -27590,6 +34488,8 @@ 1 0 0 +3 +2 1 0x5e78 0xb86cc75c @@ -27598,6 +34498,8 @@ 1 0 0 +4 +2 3 0x919 0x5168cc98 @@ -27606,6 +34508,8 @@ 0 0 0 +4 +0 6 0xf992 0x88ddfb65 @@ -27614,6 +34518,8 @@ 1 1 0 +1 +3 6 0x92f 0xf0024c12 @@ -27622,6 +34528,8 @@ 1 0 0 +2 +4 1 0x987c 0xee371dfa @@ -27630,6 +34538,8 @@ 1 0 0 +2 +0 3 0xe063 0xa887095b @@ -27638,6 +34548,8 @@ 1 0 0 +5 +2 2 0x544 0x83fb5c86 @@ -27646,6 +34558,8 @@ 0 0 0 +4 +5 9 0xa963 0x3f16faa6 @@ -27654,6 +34568,8 @@ 0 0 0 +3 +0 2 0xf2e7 0x36240ba1 @@ -27662,6 +34578,8 @@ 1 1 0 +3 +1 5 0xbc4b 0xb2bff676 @@ -27670,6 +34588,8 @@ 0 0 0 +5 +3 6 0x83c9 0x4eea54f0 @@ -27678,6 +34598,8 @@ 0 0 0 +0 +0 3 0x560f 0x8d0f02fd @@ -27686,6 +34608,8 @@ 0 0 0 +3 +4 5 0x34fa 0x9c962612 @@ -27694,6 +34618,8 @@ 1 1 0 +1 +3 3 0x70ee 0xe78e7183 @@ -27702,6 +34628,8 @@ 0 0 0 +1 +3 2 0xc730 0x49b627e1 @@ -27710,6 +34638,8 @@ 1 1 0 +3 +4 7 0x766e 0xa1f95f39 @@ -27718,6 +34648,8 @@ 1 0 0 +3 +5 2 0xd2ef 0xa35c2b59 @@ -27726,6 +34658,8 @@ 0 0 0 +4 +2 2 0xd237 0x5036f34f @@ -27734,6 +34668,8 @@ 1 1 0 +4 +4 3 0x5b23 0x182c308f @@ -27742,6 +34678,8 @@ 0 0 0 +3 +5 2 0xcd25 0x3224283b @@ -27751,6 +34689,8 @@ 0 0 3 +0 +3 0x3925 0x88209fe9 256 @@ -27758,6 +34698,8 @@ 0 0 0 +0 +0 8 0xca49 0xa6680d8e @@ -27766,6 +34708,8 @@ 1 1 0 +3 +1 10 0xa1a8 0xcaef9588 @@ -27774,6 +34718,8 @@ 1 0 0 +4 +0 10 0xa697 0xbd7b0cde @@ -27782,6 +34728,8 @@ 0 0 0 +5 +1 7 0x689a 0x7de3bbb1 @@ -27790,6 +34738,8 @@ 0 0 0 +1 +3 8 0x5524 0x1e00b286 @@ -27799,6 +34749,8 @@ 0 0 3 +2 +3 0xd885 0x3f819669 256 @@ -27807,6 +34759,8 @@ 0 0 2 +2 +2 0xf1b6 0x35c68c36 256 @@ -27814,6 +34768,8 @@ 1 1 0 +4 +3 2 0x9d79 0x2d5d5e2d @@ -27823,6 +34779,8 @@ 0 0 3 +0 +3 0xc7af 0x1f21acca 256 @@ -27830,6 +34788,8 @@ 1 0 0 +3 +1 9 0xf79f 0x3176ca96 @@ -27838,6 +34798,8 @@ 0 0 0 +0 +0 10 0x517 0x271ae3d3 @@ -27846,6 +34808,8 @@ 0 0 0 +3 +3 2 0xe3bb 0x9b0e100 @@ -27854,6 +34818,8 @@ 1 1 0 +1 +5 4 0x10b5 0xb5e51a62 @@ -27862,6 +34828,8 @@ 0 0 0 +3 +4 4 0x9005 0xe8bc100a @@ -27870,6 +34838,8 @@ 0 0 0 +1 +4 9 0x853c 0x118419d9 @@ -27878,6 +34848,8 @@ 1 0 0 +5 +1 3 0x386f 0x5da0e412 @@ -27886,6 +34858,8 @@ 0 0 0 +1 +4 6 0xc93e 0x8dba4710 @@ -27894,6 +34868,8 @@ 1 0 0 +0 +2 1 0x499f 0xae839181 @@ -27902,6 +34878,8 @@ 0 0 0 +0 +2 10 0x2519 0x301679e5 @@ -27910,6 +34888,8 @@ 0 0 0 +1 +0 5 0x6fe4 0x767839f5 @@ -27918,6 +34898,8 @@ 1 0 0 +4 +5 10 0x6d 0xf2f62e84 @@ -27926,6 +34908,8 @@ 1 0 0 +2 +3 4 0xda9 0x97724aa8 @@ -27934,6 +34918,8 @@ 0 0 0 +4 +1 1 0x65d3 0xb41c15f8 @@ -27942,6 +34928,8 @@ 0 0 0 +3 +5 2 0x3046 0x38edfba9 @@ -27950,6 +34938,8 @@ 0 0 0 +2 +4 5 0x9f57 0x8abfaa25 @@ -27958,6 +34948,8 @@ 1 1 0 +5 +1 7 0xaab2 0xb7e6b978 @@ -27966,6 +34958,8 @@ 0 0 0 +4 +4 9 0xe802 0x9ae24bf5 @@ -27974,6 +34968,8 @@ 0 0 0 +3 +5 2 0x840a 0xe08dc6f6 @@ -27982,6 +34978,8 @@ 1 1 0 +5 +1 6 0x5108 0x8257ec0d @@ -27990,6 +34988,8 @@ 0 0 0 +0 +5 9 0xab12 0x974c4f5b @@ -27998,6 +34998,8 @@ 0 0 0 +5 +1 9 0xf3 0x9fe64e7f @@ -28006,6 +35008,8 @@ 0 0 0 +2 +5 5 0x920f 0xf986b3e6 @@ -28014,6 +35018,8 @@ 0 0 0 +0 +3 10 0x98a3 0x21766d13 @@ -28023,6 +35029,8 @@ 1 0 5 +3 +5 0x7875 0x3847c250 256 @@ -28030,6 +35038,8 @@ 1 1 0 +3 +4 9 0xe4ea 0x892439c7 @@ -28038,6 +35048,8 @@ 0 0 0 +1 +4 7 0x5d54 0x7a3f4691 @@ -28046,6 +35058,8 @@ 0 0 0 +5 +1 2 0x33ee 0xfa9aef52 @@ -28054,6 +35068,8 @@ 1 1 0 +1 +2 3 0xc6a2 0xa9a80380 @@ -28063,6 +35079,8 @@ 0 0 4 +3 +4 0x2654 0x23bf921f 256 @@ -28070,6 +35088,8 @@ 1 0 0 +1 +2 7 0x3c15 0xd19a5c5d @@ -28078,6 +35098,8 @@ 0 0 0 +1 +1 2 0xe464 0xd69382e2 @@ -28086,6 +35108,8 @@ 0 0 0 +4 +2 2 0x2fbd 0x83ecaefc @@ -28095,6 +35119,8 @@ 0 0 5 +4 +5 0x7b16 0xc55f9296 256 @@ -28102,6 +35128,8 @@ 0 0 0 +1 +3 5 0x925a 0xfdb4316a @@ -28110,6 +35138,8 @@ 0 0 0 +5 +3 2 0x4500 0xac6de286 @@ -28118,6 +35148,8 @@ 0 0 0 +5 +4 7 0x4660 0x58662a25 @@ -28126,6 +35158,8 @@ 1 0 0 +2 +2 1 0xa326 0x61850e23 @@ -28135,6 +35169,8 @@ 1 0 4 +0 +4 0x4c0 0xc8716fd6 256 @@ -28142,6 +35178,8 @@ 0 0 0 +0 +5 10 0x113f 0x87e4021e @@ -28150,6 +35188,8 @@ 0 0 0 +3 +3 5 0x5a82 0x56f6083f @@ -28158,6 +35198,8 @@ 0 0 0 +5 +1 9 0xb1bf 0x79aa1b44 @@ -28167,6 +35209,8 @@ 1 0 3 +4 +3 0xa2c 0x4e82cfa3 256 @@ -28174,6 +35218,8 @@ 1 1 0 +4 +1 2 0x3ff 0x74f83bdb @@ -28182,6 +35228,8 @@ 1 1 0 +1 +2 7 0x22fb 0x398ab20c @@ -28190,6 +35238,8 @@ 1 1 0 +1 +4 9 0x7e21 0x74a9fdfc @@ -28198,6 +35248,8 @@ 1 0 0 +1 +5 8 0x1456 0x78e48343 @@ -28206,6 +35258,8 @@ 0 0 0 +4 +5 5 0xe26d 0x773a93bc @@ -28214,6 +35268,8 @@ 0 0 0 +5 +4 9 0x976d 0x74fb4b11 @@ -28222,6 +35278,8 @@ 0 0 0 +3 +0 2 0xc11e 0x52a61420 @@ -28230,6 +35288,8 @@ 1 1 0 +2 +1 3 0x9103 0xa8a84537 @@ -28238,6 +35298,8 @@ 1 1 0 +5 +1 10 0x5e86 0xc512f22c @@ -28246,6 +35308,8 @@ 1 0 0 +0 +1 3 0x9ea7 0xc9cbf5db @@ -28254,6 +35318,8 @@ 1 0 0 +1 +0 5 0x49bf 0xb356ae3 @@ -28262,6 +35328,8 @@ 1 1 0 +3 +2 1 0xdc93 0x37f8cc4c @@ -28270,6 +35338,8 @@ 1 0 0 +5 +0 7 0x7237 0xac289841 @@ -28278,6 +35348,8 @@ 0 0 0 +1 +1 4 0xed9d 0xe7fb7508 @@ -28286,6 +35358,8 @@ 1 1 0 +1 +0 7 0x227 0xd162a651 @@ -28294,6 +35368,8 @@ 0 0 0 +2 +2 8 0xe80e 0xdda9012a @@ -28302,6 +35378,8 @@ 0 0 0 +0 +3 9 0x5a38 0x7e7b745a @@ -28311,6 +35389,8 @@ 0 0 1 +2 +1 0x75c3 0x2f48700e 256 @@ -28318,6 +35398,8 @@ 0 0 0 +3 +0 5 0x12c 0x5378a5af @@ -28327,6 +35409,8 @@ 0 0 1 +2 +1 0xf68c 0x11070a3d 256 @@ -28334,6 +35418,8 @@ 1 0 0 +5 +0 4 0xdd7f 0x6302d75d @@ -28343,6 +35429,8 @@ 0 0 5 +1 +5 0x813f 0xfb833fc9 256 @@ -28350,6 +35438,8 @@ 1 1 0 +1 +5 9 0x12 0x2889f62f @@ -28358,6 +35448,8 @@ 1 0 0 +3 +4 8 0x3898 0x94b9b235 @@ -28366,6 +35458,8 @@ 0 0 0 +1 +3 10 0x3ea9 0x44d05c83 @@ -28374,6 +35468,8 @@ 1 0 0 +3 +1 7 0x2e 0x2da2c06e @@ -28382,6 +35478,8 @@ 1 1 0 +3 +1 5 0x73a9 0x14006c29 @@ -28390,6 +35488,8 @@ 0 0 0 +0 +5 6 0xca6f 0xbc95ede7 @@ -28398,6 +35498,8 @@ 0 0 0 +3 +2 4 0xf545 0xaf116f71 @@ -28406,6 +35508,8 @@ 1 1 0 +5 +1 6 0x1c37 0xde8c1947 @@ -28414,6 +35518,8 @@ 1 0 0 +5 +3 6 0x48e8 0xdeea7c25 @@ -28422,6 +35528,8 @@ 0 0 0 +3 +3 7 0x744f 0x3175a962 @@ -28430,6 +35538,8 @@ 0 0 0 +5 +2 6 0xaf2 0x1b1444c5 @@ -28438,6 +35548,8 @@ 0 0 0 +1 +5 10 0xc15b 0x61aab867 @@ -28446,6 +35558,8 @@ 0 0 0 +2 +1 3 0xfde9 0xc338b630 @@ -28454,6 +35568,8 @@ 1 0 0 +3 +1 7 0x907d 0x1ce048 @@ -28462,6 +35578,8 @@ 0 0 0 +2 +1 4 0x72e4 0x73a02ba1 @@ -28470,6 +35588,8 @@ 1 1 0 +3 +0 7 0x9cb2 0xc13611ea @@ -28478,6 +35598,8 @@ 0 0 0 +2 +4 8 0x62de 0x4344b9ef @@ -28486,6 +35608,8 @@ 1 1 0 +3 +2 10 0xd89a 0xb0c635d5 @@ -28494,6 +35618,8 @@ 0 0 0 +1 +2 9 0xc404 0x75cdfaae @@ -28502,6 +35628,8 @@ 0 0 0 +2 +3 3 0x27a1 0xbd4c5e48 @@ -28510,6 +35638,8 @@ 1 0 0 +4 +2 2 0xb9c8 0x2d5a8d5b @@ -28518,6 +35648,8 @@ 1 1 0 +4 +0 9 0xfa0b 0x5373196 @@ -28526,6 +35658,8 @@ 0 0 0 +3 +0 7 0xc587 0x78954a70 @@ -28534,6 +35668,8 @@ 1 1 0 +5 +1 1 0xabb1 0x5f3674ee @@ -28542,6 +35678,8 @@ 1 1 0 +0 +0 3 0x41dc 0x18b5f6f2 @@ -28550,6 +35688,8 @@ 0 0 0 +1 +3 8 0x7369 0xb25d2402 @@ -28558,6 +35698,8 @@ 1 0 0 +4 +4 10 0xda93 0x76ba3a2a @@ -28566,6 +35708,8 @@ 1 1 0 +2 +2 3 0x538 0xec9635c8 @@ -28574,6 +35718,8 @@ 1 1 0 +0 +0 4 0x5611 0xe0a13fa2 @@ -28582,6 +35728,8 @@ 0 0 0 +0 +0 1 0x5a3 0xcd927616 @@ -28590,6 +35738,8 @@ 0 0 0 +4 +1 9 0xea95 0x159a36fa @@ -28598,6 +35748,8 @@ 0 0 0 +3 +3 7 0x3362 0xb32cee9 @@ -28606,6 +35758,8 @@ 0 0 0 +0 +4 2 0x2af6 0xfd94e975 @@ -28615,6 +35769,8 @@ 0 0 5 +5 +5 0x43e6 0x1936b1dd 256 @@ -28622,6 +35778,8 @@ 0 0 0 +3 +3 7 0x4344 0x6c0249c3 @@ -28630,6 +35788,8 @@ 0 0 0 +0 +5 6 0x3ffd 0x85180a3a @@ -28638,6 +35798,8 @@ 0 0 0 +4 +4 8 0x145b 0xdd4fcfb6 @@ -28646,6 +35808,8 @@ 0 0 0 +5 +4 10 0x12c5 0x8ce351ad @@ -28654,6 +35818,8 @@ 0 0 0 +4 +5 7 0x4774 0xe0295439 @@ -28662,6 +35828,8 @@ 1 0 0 +3 +2 4 0x1120 0xf14297a9 @@ -28670,6 +35838,8 @@ 1 1 0 +3 +1 1 0xcf37 0x460234f2 @@ -28678,6 +35848,8 @@ 0 0 0 +0 +2 7 0xe2ce 0x2565cb3b @@ -28687,6 +35859,8 @@ 0 0 3 +5 +3 0xd3a0 0xd4f89c86 256 @@ -28694,6 +35868,8 @@ 0 0 0 +3 +3 7 0x5c22 0xe490115f @@ -28702,6 +35878,8 @@ 0 0 0 +4 +5 5 0xebbc 0xa6395966 @@ -28710,6 +35888,8 @@ 1 1 0 +3 +3 2 0x2196 0xf858fc2c @@ -28718,6 +35898,8 @@ 0 0 0 +2 +1 1 0xc1c8 0x4650d409 @@ -28726,6 +35908,8 @@ 1 0 0 +2 +1 3 0xee64 0x24027ee1 @@ -28734,6 +35918,8 @@ 0 0 0 +5 +4 3 0xd470 0x82ef0de3 @@ -28742,6 +35928,8 @@ 0 0 0 +0 +1 9 0x6040 0x7a7b035b @@ -28750,6 +35938,8 @@ 1 1 0 +5 +1 4 0x29bf 0x6062bc31 @@ -28758,6 +35948,8 @@ 1 0 0 +4 +2 9 0x9ba1 0x71bf66cf @@ -28766,6 +35958,8 @@ 0 0 0 +4 +4 9 0xa54d 0xbd7f5117 @@ -28774,6 +35968,8 @@ 0 0 0 +2 +5 5 0xb624 0x4323e469 @@ -28782,6 +35978,8 @@ 1 1 0 +3 +0 8 0xebe5 0x6a2a10ff @@ -28790,6 +35988,8 @@ 1 1 0 +1 +5 9 0xc272 0xecb35d1d @@ -28798,6 +35998,8 @@ 1 0 0 +3 +5 2 0x1e4c 0x2cccf20a @@ -28806,6 +36008,8 @@ 1 1 0 +5 +0 3 0xcd72 0x9bc348a0 @@ -28814,6 +36018,8 @@ 1 1 0 +2 +3 10 0x89e0 0xc8dc70bf @@ -28822,6 +36028,8 @@ 0 0 0 +5 +2 9 0x913a 0xd8ef1de9 @@ -28830,6 +36038,8 @@ 1 1 0 +2 +3 7 0x6f74 0xd6534ac6 @@ -28838,6 +36048,8 @@ 0 0 0 +5 +0 10 0x1b08 0xb6035f24 @@ -28846,6 +36058,8 @@ 1 0 0 +2 +5 3 0x9b79 0x69f3a8b3 @@ -28854,6 +36068,8 @@ 1 1 0 +0 +4 2 0x49aa 0xa366d7cc @@ -28862,6 +36078,8 @@ 1 1 0 +2 +5 8 0x2dc1 0x7748555f @@ -28870,6 +36088,8 @@ 1 1 0 +1 +4 6 0x6d64 0xcd903b72 @@ -28878,6 +36098,8 @@ 0 0 0 +2 +2 3 0x34d5 0xf05bb2e @@ -28886,6 +36108,8 @@ 0 0 0 +0 +4 10 0xe0b 0x69663ec3 @@ -28894,6 +36118,8 @@ 0 0 0 +5 +1 2 0x1be4 0xce4d92df @@ -28902,6 +36128,8 @@ 0 0 0 +3 +4 8 0xf5ae 0x148bb27f @@ -28910,6 +36138,8 @@ 0 0 0 +5 +5 9 0x6d92 0x304e85af @@ -28918,6 +36148,8 @@ 1 0 0 +3 +1 1 0x796a 0x9ff25fee @@ -28926,6 +36158,8 @@ 0 0 0 +1 +5 8 0xad4 0x77ee843 @@ -28934,6 +36168,8 @@ 0 0 0 +2 +3 1 0xb4fb 0xf6a318e7 @@ -28942,6 +36178,8 @@ 1 0 0 +4 +3 2 0x6076 0xf0b382c8 @@ -28950,6 +36188,8 @@ 0 0 0 +4 +4 7 0xa5f0 0x3a18081f @@ -28958,6 +36198,8 @@ 1 1 0 +0 +0 9 0x5fdf 0x2f1d90be @@ -28966,6 +36208,8 @@ 0 0 0 +0 +4 9 0xc6b1 0x1721756d @@ -28974,6 +36218,8 @@ 1 1 0 +4 +0 8 0xb350 0xb789ef56 @@ -28982,6 +36228,8 @@ 0 0 0 +3 +1 5 0xf31e 0x90506744 @@ -28991,6 +36239,8 @@ 0 0 2 +0 +2 0x1a64 0xc41496ae 256 @@ -28998,6 +36248,8 @@ 1 0 0 +3 +1 8 0xfdc7 0x4003744e @@ -29006,6 +36258,8 @@ 0 0 0 +2 +4 9 0xa003 0x339c257f @@ -29014,6 +36268,8 @@ 0 0 0 +2 +3 9 0x8ea3 0xc676db91 @@ -29022,6 +36278,8 @@ 0 0 0 +0 +5 4 0x3afe 0x9d31b6d8 @@ -29031,6 +36289,8 @@ 0 0 3 +1 +3 0x1aa1 0x22d40300 256 @@ -29038,6 +36298,8 @@ 0 0 0 +2 +1 6 0xa527 0xf8f83877 @@ -29046,6 +36308,8 @@ 0 0 0 +4 +0 2 0xb58a 0xcaa5b003 @@ -29054,6 +36318,8 @@ 1 1 0 +3 +5 2 0x8c2b 0x7a190ae5 @@ -29062,6 +36328,8 @@ 1 0 0 +0 +4 8 0x4a0a 0xe3141da6 @@ -29070,6 +36338,8 @@ 0 0 0 +3 +1 9 0xa87f 0x686dad82 @@ -29079,6 +36349,8 @@ 0 0 1 +1 +1 0x8984 0xfc3c3cc5 256 @@ -29086,6 +36358,8 @@ 1 1 0 +2 +4 9 0x7a1a 0x880514dc @@ -29094,6 +36368,8 @@ 0 0 0 +0 +2 2 0x9df3 0xa9d13433 @@ -29102,6 +36378,8 @@ 0 0 0 +0 +2 9 0xb84c 0x1fa595cb @@ -29110,6 +36388,8 @@ 1 1 0 +3 +0 10 0x291a 0xbb1f56fa @@ -29118,6 +36398,8 @@ 0 0 0 +5 +0 1 0xffe4 0xdd3db037 @@ -29126,6 +36408,8 @@ 1 1 0 +4 +0 5 0x5755 0x7139cc47 @@ -29134,6 +36418,8 @@ 1 1 0 +3 +0 8 0xffd1 0xd6089877 @@ -29142,6 +36428,8 @@ 1 1 0 +3 +1 7 0x77fe 0xe319ae8a @@ -29150,6 +36438,8 @@ 1 1 0 +3 +2 1 0x30e 0xda7832ff @@ -29158,6 +36448,8 @@ 0 0 0 +5 +0 8 0xe53b 0x75a62efe @@ -29166,6 +36458,8 @@ 0 0 0 +1 +1 9 0xe53f 0x2a8d7b10 @@ -29175,6 +36469,8 @@ 0 0 3 +0 +3 0xec67 0x558bbc1a 256 @@ -29182,6 +36478,8 @@ 1 0 0 +1 +5 3 0x9271 0x52e24946 @@ -29191,6 +36489,8 @@ 1 0 4 +2 +4 0xa235 0x1a7f10a0 256 @@ -29198,6 +36498,8 @@ 0 0 0 +4 +1 8 0xb74a 0x8ce4cd95 @@ -29206,6 +36508,8 @@ 1 0 0 +1 +3 3 0x494b 0x9481977d @@ -29214,6 +36518,8 @@ 1 1 0 +0 +4 10 0xe62e 0x900a7736 @@ -29223,6 +36529,8 @@ 1 0 3 +2 +3 0xc3ce 0x9c56bc26 256 @@ -29230,6 +36538,8 @@ 1 0 0 +3 +1 10 0x7f40 0xcde3ccf5 @@ -29238,6 +36548,8 @@ 1 0 0 +5 +5 10 0x7d2d 0x229b3285 @@ -29246,6 +36558,8 @@ 0 0 0 +2 +3 3 0xcea 0xc29c046 @@ -29255,6 +36569,8 @@ 0 0 3 +4 +3 0xd33d 0xa5f10d31 256 @@ -29263,6 +36579,8 @@ 0 0 2 +2 +2 0x823c 0x3597da2d 256 @@ -29270,6 +36588,8 @@ 0 0 0 +2 +1 7 0xc475 0x75980b18 @@ -29278,6 +36598,8 @@ 1 0 0 +4 +4 8 0x7596 0xafaea162 @@ -29286,6 +36608,8 @@ 0 0 0 +4 +3 6 0x5693 0xc15431ff @@ -29294,6 +36618,8 @@ 1 1 0 +0 +0 8 0xd896 0x617f93cc @@ -29302,6 +36628,8 @@ 0 0 0 +0 +3 2 0xe5c2 0x99e7331a @@ -29310,6 +36638,8 @@ 0 0 0 +0 +5 4 0x4161 0x1215644 @@ -29318,6 +36648,8 @@ 1 0 0 +3 +4 1 0x7350 0xf2cacfde @@ -29326,6 +36658,8 @@ 0 0 0 +5 +3 2 0x7cab 0x2e43b2fb @@ -29334,6 +36668,8 @@ 1 1 0 +3 +2 10 0xa51b 0x529170b2 @@ -29342,6 +36678,8 @@ 1 1 0 +5 +1 1 0xdc95 0x145f769 @@ -29350,6 +36688,8 @@ 0 0 0 +3 +2 10 0x2e33 0x9cef9521 @@ -29358,6 +36698,8 @@ 0 0 0 +3 +0 8 0x20ff 0x464de2ae @@ -29366,6 +36708,8 @@ 0 0 0 +2 +0 1 0xfe1b 0x717f3153 @@ -29374,6 +36718,8 @@ 0 0 0 +3 +3 5 0x8e85 0xd2b0f4c1 @@ -29382,6 +36728,8 @@ 1 1 0 +4 +4 1 0xac97 0x16181df4 @@ -29390,6 +36738,8 @@ 1 1 0 +4 +2 8 0xae28 0x293cc66d @@ -29398,6 +36748,8 @@ 1 1 0 +5 +3 6 0x42cc 0x9bd5c257 @@ -29406,6 +36758,8 @@ 0 0 0 +1 +5 2 0xd8b1 0x2094b816 @@ -29414,6 +36768,8 @@ 1 0 0 +4 +5 3 0x8bdf 0x195b81f5 @@ -29422,6 +36778,8 @@ 1 1 0 +3 +0 6 0x732 0xacf84767 @@ -29430,6 +36788,8 @@ 1 0 0 +1 +4 3 0xac65 0x56e97df2 @@ -29438,6 +36798,8 @@ 1 1 0 +1 +1 2 0x4ca8 0xc0030e1b @@ -29446,6 +36808,8 @@ 1 0 0 +1 +5 3 0xe49 0x86b94f35 @@ -29454,6 +36818,8 @@ 1 0 0 +0 +5 7 0xc0d1 0x63710e66 @@ -29462,6 +36828,8 @@ 0 0 0 +1 +5 3 0xcac3 0xad0cd64b @@ -29470,6 +36838,8 @@ 1 0 0 +0 +3 9 0x1238 0x7c054a8c @@ -29478,6 +36848,8 @@ 0 0 0 +5 +1 2 0x29fc 0x6b505875 @@ -29486,6 +36858,8 @@ 0 0 0 +1 +0 6 0x9c3e 0x64d382bb @@ -29494,6 +36868,8 @@ 0 0 0 +0 +3 9 0xb7aa 0x68522f4a @@ -29503,6 +36879,8 @@ 0 0 5 +0 +5 0x9bb2 0x77dd4f03 256 @@ -29510,6 +36888,8 @@ 1 1 0 +1 +5 6 0xa58 0x4adc5b85 @@ -29518,6 +36898,8 @@ 1 1 0 +3 +1 8 0x8751 0x4b618d3f @@ -29526,6 +36908,8 @@ 0 0 0 +1 +1 4 0x2951 0x4be816c0 @@ -29534,6 +36918,8 @@ 0 0 0 +0 +4 4 0x624a 0xd516f63a @@ -29542,6 +36928,8 @@ 1 1 0 +2 +4 7 0x9d3b 0x907f891f @@ -29550,6 +36938,8 @@ 0 0 0 +1 +1 6 0x3fc3 0xfa725cd2 @@ -29558,6 +36948,8 @@ 1 1 0 +2 +0 1 0x77f2 0xdd8b0c12 @@ -29566,6 +36958,8 @@ 1 0 0 +5 +0 10 0x85bf 0x79a8dfc4 @@ -29574,6 +36968,8 @@ 0 0 0 +5 +5 6 0x8c51 0x454d4cd4 @@ -29582,6 +36978,8 @@ 1 1 0 +5 +2 9 0xfebf 0x8db5fc22 @@ -29590,6 +36988,8 @@ 0 0 0 +0 +2 5 0xbd4c 0x6e2cd19d @@ -29598,6 +36998,8 @@ 1 1 0 +5 +0 1 0x1f0a 0x2576d46f @@ -29607,6 +37009,8 @@ 0 0 5 +4 +5 0x19eb 0x303c952e 256 @@ -29614,6 +37018,8 @@ 0 0 0 +5 +0 6 0xadc8 0xf2d073d8 @@ -29623,6 +37029,8 @@ 0 0 5 +3 +5 0xb2ab 0x461a8e57 256 @@ -29630,6 +37038,8 @@ 0 0 0 +5 +2 6 0x5943 0xe95a7dcb @@ -29639,6 +37049,8 @@ 0 0 3 +1 +3 0x4872 0xd46e8747 256 @@ -29646,6 +37058,8 @@ 1 1 0 +1 +2 3 0xd2df 0xd7c7e4d5 @@ -29654,6 +37068,8 @@ 0 0 0 +2 +3 4 0x1976 0x21898cfe @@ -29662,6 +37078,8 @@ 1 0 0 +0 +0 4 0x9eb4 0xa8bb17af @@ -29670,6 +37088,8 @@ 1 0 0 +5 +1 9 0x8852 0xcbb54442 @@ -29678,6 +37098,8 @@ 1 1 0 +4 +4 3 0x9242 0x598dc73f @@ -29686,6 +37108,8 @@ 0 0 0 +1 +2 10 0xdac7 0x7406244c @@ -29694,6 +37118,8 @@ 1 0 0 +5 +3 1 0x32db 0x5e586690 @@ -29702,6 +37128,8 @@ 0 0 0 +0 +5 9 0xda68 0xc3ab5e91 @@ -29710,6 +37138,8 @@ 0 0 0 +4 +2 8 0x4851 0xd4e16d68 @@ -29718,6 +37148,8 @@ 1 1 0 +5 +0 10 0x1879 0xc4539c21 @@ -29726,6 +37158,8 @@ 0 0 0 +2 +4 6 0x7e33 0x44324cbe @@ -29734,6 +37168,8 @@ 0 0 0 +0 +1 6 0xbf65 0x14ec35d7 @@ -29742,6 +37178,8 @@ 0 0 0 +5 +1 2 0xebdd 0x3b2e3b33 @@ -29750,6 +37188,8 @@ 1 1 0 +4 +1 2 0x5661 0xe83896d9 @@ -29758,6 +37198,8 @@ 1 0 0 +1 +5 9 0xbaa6 0xd44e2f40 @@ -29766,6 +37208,8 @@ 1 1 0 +0 +5 5 0xc471 0x666999ca @@ -29774,6 +37218,8 @@ 0 0 0 +3 +3 4 0xe8c 0x5c7ba9ef @@ -29782,6 +37228,8 @@ 1 0 0 +3 +1 10 0x5824 0xcdc63c91 @@ -29790,6 +37238,8 @@ 1 0 0 +1 +0 2 0xa92d 0x80eb96c4 @@ -29798,6 +37248,8 @@ 0 0 0 +2 +5 1 0xde3 0xe6aef10d @@ -29806,6 +37258,8 @@ 0 0 0 +4 +1 1 0xb1d4 0x5ae49345 @@ -29814,6 +37268,8 @@ 0 0 0 +2 +4 5 0x53d7 0x89b3e17a @@ -29822,6 +37278,8 @@ 0 0 0 +3 +0 9 0x7ba6 0x6a6771da @@ -29830,6 +37288,8 @@ 0 0 0 +5 +0 6 0xfdc4 0x315b460 @@ -29838,6 +37298,8 @@ 0 0 0 +5 +2 8 0x4221 0x29c62458 @@ -29846,6 +37308,8 @@ 1 0 0 +5 +5 4 0x5588 0x1704c74d @@ -29854,6 +37318,8 @@ 0 0 0 +3 +0 9 0xd91f 0xc23260db @@ -29862,6 +37328,8 @@ 0 0 0 +5 +1 2 0xcc54 0xb943085c @@ -29870,6 +37338,8 @@ 0 0 0 +3 +5 5 0x7a5d 0x86e7eed0 @@ -29878,6 +37348,8 @@ 0 0 0 +0 +1 5 0xebbf 0x5411c96c @@ -29886,6 +37358,8 @@ 0 0 0 +0 +5 3 0xbd0b 0x137ff2cd @@ -29894,6 +37368,8 @@ 1 1 0 +3 +5 7 0x1f70 0x33a3e5f0 @@ -29902,6 +37378,8 @@ 1 1 0 +5 +1 3 0x4e81 0xe2f9b8cd @@ -29911,6 +37389,8 @@ 0 0 4 +0 +4 0x9dc8 0x727c11db 256 @@ -29918,6 +37398,8 @@ 1 0 0 +1 +3 3 0x5015 0x6c8ab63b @@ -29926,6 +37408,8 @@ 0 0 0 +1 +5 4 0x7f05 0x217f7f70 @@ -29935,6 +37419,8 @@ 0 0 3 +5 +3 0x7acb 0xb3eb8ff7 256 @@ -29942,6 +37428,8 @@ 1 1 0 +0 +2 8 0x4191 0x820d6ff9 @@ -29950,6 +37438,8 @@ 0 0 0 +0 +4 6 0xf4e0 0xc7fdcfe @@ -29958,6 +37448,8 @@ 1 1 0 +4 +2 10 0xe5a1 0xeba3771f @@ -29966,6 +37458,8 @@ 0 0 0 +4 +4 1 0x8022 0xea4694c0 @@ -29975,6 +37469,8 @@ 1 0 5 +5 +5 0xb3ec 0x37b63d9e 256 @@ -29982,6 +37478,8 @@ 1 1 0 +5 +2 10 0x500b 0x15693344 @@ -29990,6 +37488,8 @@ 0 0 0 +0 +1 1 0x775 0x9badd6ac @@ -29998,6 +37498,8 @@ 0 0 0 +1 +4 7 0x4090 0x75fc1bb @@ -30006,6 +37508,8 @@ 1 0 0 +4 +1 3 0x4775 0x2f56e5a4 @@ -30014,6 +37518,8 @@ 0 0 0 +1 +4 6 0xafa1 0x63d48334 @@ -30022,6 +37528,8 @@ 0 0 0 +0 +2 10 0x9bad 0x571e50f1 @@ -30030,6 +37538,8 @@ 0 0 0 +2 +1 1 0x9dd7 0x488f48a8 @@ -30038,6 +37548,8 @@ 0 0 0 +3 +3 6 0x49a8 0xb68022bf @@ -30046,6 +37558,8 @@ 0 0 0 +5 +0 3 0xdee4 0xce90d31a @@ -30054,6 +37568,8 @@ 1 1 0 +0 +4 10 0x40ee 0x7a8b6ac0 @@ -30062,6 +37578,8 @@ 1 0 0 +2 +3 9 0xf54d 0xffd30921 @@ -30070,6 +37588,8 @@ 0 0 0 +4 +2 9 0xdb42 0xf5df8050 @@ -30078,6 +37598,8 @@ 0 0 0 +3 +1 10 0x3a97 0x811e3ded @@ -30086,6 +37608,8 @@ 0 0 0 +4 +1 7 0x4230 0x7bb60278 @@ -30094,6 +37618,8 @@ 0 0 0 +2 +2 4 0x1030 0x1eb65c1 @@ -30102,6 +37628,8 @@ 1 1 0 +1 +3 5 0xcfd3 0x24bf4c1b @@ -30110,6 +37638,8 @@ 0 0 0 +4 +2 9 0x2401 0x40b5ee75 @@ -30118,6 +37648,8 @@ 0 0 0 +3 +3 5 0xdc4c 0x69b68102 @@ -30126,6 +37658,8 @@ 1 0 0 +4 +4 10 0x8800 0xe38d1e1c @@ -30134,6 +37668,8 @@ 1 0 0 +0 +4 9 0x185d 0x5eb8b2a @@ -30142,6 +37678,8 @@ 1 0 0 +5 +4 9 0x72c1 0xe3bd2073 @@ -30151,6 +37689,8 @@ 0 0 5 +5 +5 0xa89c 0x1cfcc400 256 @@ -30158,6 +37698,8 @@ 0 0 0 +2 +2 5 0xca15 0x6c7d0769 @@ -30166,6 +37708,8 @@ 1 1 0 +4 +1 3 0x6903 0xe12f8a48 @@ -30174,6 +37718,8 @@ 1 1 0 +5 +5 4 0xb360 0xfda7ab9c @@ -30183,6 +37729,8 @@ 0 0 1 +1 +1 0x89b5 0xb5e39d0a 256 @@ -30191,6 +37739,8 @@ 0 0 2 +5 +2 0x738 0xaeb6ae1a 256 @@ -30198,6 +37748,8 @@ 1 1 0 +3 +5 8 0x621e 0x9c80bdcd @@ -30206,6 +37758,8 @@ 1 0 0 +3 +1 9 0xaff6 0xd5a2c41c @@ -30214,6 +37768,8 @@ 1 1 0 +0 +4 10 0x35f5 0xef50be49 @@ -30222,6 +37778,8 @@ 1 1 0 +5 +1 4 0x6aa0 0x5f7ec9c4 @@ -30230,6 +37788,8 @@ 1 1 0 +3 +4 5 0xb63a 0x61f5906e @@ -30239,6 +37799,8 @@ 0 0 4 +5 +4 0x38f4 0x865d62ee 256 @@ -30246,6 +37808,8 @@ 1 0 0 +1 +4 8 0xb081 0x6bcf18b4 @@ -30254,6 +37818,8 @@ 0 0 0 +5 +2 9 0x38cb 0x3bf51716 @@ -30262,6 +37828,8 @@ 0 0 0 +1 +4 10 0x8b3 0x20aa719e @@ -30270,6 +37838,8 @@ 1 0 0 +3 +1 8 0xf313 0x37fd50de @@ -30278,6 +37848,8 @@ 0 0 0 +5 +5 7 0x273b 0x6494960a @@ -30286,6 +37858,8 @@ 1 0 0 +3 +2 2 0xf1b8 0x1b5334 @@ -30294,6 +37868,8 @@ 1 1 0 +2 +2 9 0x981d 0x6441d49d @@ -30302,6 +37878,8 @@ 0 0 0 +2 +3 8 0xaa3f 0x5a571f80 @@ -30311,6 +37889,8 @@ 1 0 4 +2 +4 0x5a1a 0x3714309d 256 @@ -30318,6 +37898,8 @@ 1 0 0 +3 +1 10 0xc783 0x32d42b31 @@ -30326,6 +37908,8 @@ 0 0 0 +5 +0 8 0xa014 0x90e5204 @@ -30334,6 +37918,8 @@ 0 0 0 +4 +0 2 0x9ad5 0x6a2fd573 @@ -30342,6 +37928,8 @@ 1 1 0 +1 +4 6 0x9583 0x5b2c0d18 @@ -30350,6 +37938,8 @@ 1 1 0 +3 +4 9 0xe4e8 0x3a066ce8 @@ -30358,6 +37948,8 @@ 1 0 0 +2 +4 8 0x9634 0x6a48f537 @@ -30366,6 +37958,8 @@ 0 0 0 +4 +0 10 0x5e99 0x9f0021de @@ -30374,6 +37968,8 @@ 1 0 0 +5 +3 3 0x73be 0x98cfeb41 @@ -30382,6 +37978,8 @@ 1 0 0 +1 +2 9 0x69a6 0x9329b607 @@ -30390,6 +37988,8 @@ 0 0 0 +4 +5 2 0xce96 0x1501e03d @@ -30398,6 +37998,8 @@ 1 1 0 +4 +2 10 0xc9e 0x35062e6a @@ -30406,6 +38008,8 @@ 0 0 0 +4 +5 3 0x15d4 0xc24c54ac @@ -30414,6 +38018,8 @@ 1 0 0 +0 +5 3 0x4770 0x9a912894 @@ -30422,6 +38028,8 @@ 1 1 0 +5 +0 9 0xa524 0x760a1dea @@ -30430,6 +38038,8 @@ 0 0 0 +0 +2 5 0x2d03 0x3ee46c89 @@ -30438,6 +38048,8 @@ 1 1 0 +0 +5 10 0x49b6 0x1047e0a5 @@ -30446,6 +38058,8 @@ 0 0 0 +0 +3 3 0x11af 0x294a4625 @@ -30454,6 +38068,8 @@ 0 0 0 +3 +2 5 0xb94d 0xe4274c69 @@ -30462,6 +38078,8 @@ 0 0 0 +5 +4 9 0x85b 0x9553c569 @@ -30470,6 +38088,8 @@ 1 0 0 +1 +1 8 0xf667 0xe18726de @@ -30478,6 +38098,8 @@ 0 0 0 +4 +0 1 0xcc7a 0xc5078f24 @@ -30486,6 +38108,8 @@ 1 0 0 +2 +3 5 0x2a32 0xa67b5931 @@ -30494,6 +38118,8 @@ 0 0 0 +3 +3 8 0xdb43 0x89abbc82 @@ -30502,6 +38128,8 @@ 0 0 0 +0 +1 2 0xf55c 0x5fc97ec5 @@ -30510,6 +38138,8 @@ 0 0 0 +0 +0 7 0x9e6f 0xcc8951e0 @@ -30518,6 +38148,8 @@ 0 0 0 +4 +0 7 0x8238 0x7f816105 @@ -30526,6 +38158,8 @@ 1 0 0 +1 +5 10 0x43e 0x553dfb9f @@ -30534,6 +38168,8 @@ 1 0 0 +0 +3 10 0x8889 0x14dbd11b @@ -30542,6 +38178,8 @@ 0 0 0 +2 +3 4 0xd4dc 0xc3bb60b9 @@ -30550,6 +38188,8 @@ 1 0 0 +5 +1 6 0xe366 0x24110d35 @@ -30558,6 +38198,8 @@ 0 0 0 +3 +5 8 0x9d57 0x25250777 @@ -30566,6 +38208,8 @@ 1 1 0 +5 +1 9 0xa14c 0x1559e61c @@ -30574,6 +38218,8 @@ 0 0 0 +4 +0 6 0x283 0xb2f24a1 @@ -30582,6 +38228,8 @@ 1 1 0 +0 +3 5 0xaaee 0x6da468e9 @@ -30590,6 +38238,8 @@ 0 0 0 +4 +4 3 0x3652 0x5b1e2c81 @@ -30598,6 +38248,8 @@ 0 0 0 +0 +0 8 0xa647 0xc436fd10 @@ -30606,6 +38258,8 @@ 0 0 0 +5 +3 6 0xbabe 0x7168ad16 @@ -30614,6 +38268,8 @@ 1 1 0 +2 +0 5 0x833 0x21bd2c45 @@ -30622,6 +38278,8 @@ 0 0 0 +0 +3 2 0xb305 0x2b41a339 @@ -30630,6 +38288,8 @@ 0 0 0 +5 +0 7 0xb8e2 0xcd04f79b @@ -30638,6 +38298,8 @@ 1 1 0 +5 +4 3 0xd019 0x83d3445a @@ -30646,6 +38308,8 @@ 1 1 0 +5 +0 10 0x1232 0xd891e619 @@ -30654,6 +38318,8 @@ 0 0 0 +0 +1 10 0x7620 0x9396f5b2 @@ -30662,6 +38328,8 @@ 0 0 0 +3 +1 4 0x28e5 0xcac47c37 @@ -30670,6 +38338,8 @@ 0 0 0 +4 +3 8 0xf9bf 0xca7b0d21 @@ -30678,6 +38348,8 @@ 1 0 0 +4 +4 10 0x8f3 0x91b206dc @@ -30686,6 +38358,8 @@ 0 0 0 +5 +4 1 0x1b83 0x90390dfb @@ -30695,6 +38369,8 @@ 1 0 1 +1 +1 0x4268 0x2a5b02ed 256 @@ -30703,6 +38379,8 @@ 0 0 1 +4 +1 0xc300 0xed1d0130 256 @@ -30710,6 +38388,8 @@ 1 0 0 +1 +4 8 0x4dcd 0x49c3e155 @@ -30718,6 +38398,8 @@ 1 0 0 +1 +5 5 0x1e69 0x74fbfe9d @@ -30727,6 +38409,8 @@ 0 0 4 +4 +4 0xb7a0 0x3ffc9e22 256 @@ -30734,6 +38418,8 @@ 0 0 0 +3 +4 1 0x920b 0xa1c1d31 @@ -30742,6 +38428,8 @@ 0 0 0 +3 +5 4 0x7d66 0x58857e36 @@ -30750,6 +38438,8 @@ 0 0 0 +4 +4 3 0xb010 0xc8fb8ca1 @@ -30758,6 +38448,8 @@ 1 0 0 +3 +4 7 0x5b12 0xcf889239 @@ -30766,6 +38458,8 @@ 1 0 0 +4 +2 3 0x5039 0xcf9a13dd @@ -30774,6 +38468,8 @@ 1 1 0 +5 +1 9 0x59f0 0x4760b5c0 @@ -30782,6 +38478,8 @@ 0 0 0 +5 +0 8 0x5990 0x1c8f3e98 @@ -30790,6 +38488,8 @@ 0 0 0 +1 +5 3 0xfa7d 0xe6ce5844 @@ -30798,6 +38498,8 @@ 1 0 0 +0 +5 8 0x4f3a 0x93c0da9d @@ -30806,6 +38508,8 @@ 0 0 0 +2 +0 6 0xd3c2 0x524c24 @@ -30814,6 +38518,8 @@ 1 0 0 +0 +4 8 0x76b9 0x29a3f4d @@ -30822,6 +38528,8 @@ 0 0 0 +3 +0 8 0xd92 0xb89300a7 @@ -30830,6 +38538,8 @@ 1 0 0 +1 +2 10 0x6e32 0x3db54bcf @@ -30838,6 +38548,8 @@ 1 0 0 +4 +4 2 0xb3bd 0xeed292f9 @@ -30846,6 +38558,8 @@ 1 0 0 +3 +1 6 0x1ccd 0x80b258db @@ -30854,6 +38568,8 @@ 1 1 0 +1 +2 2 0xefaa 0x84f3fb62 @@ -30862,6 +38578,8 @@ 0 0 0 +5 +2 9 0x670b 0x5c2216a7 @@ -30870,6 +38588,8 @@ 1 0 0 +5 +2 7 0x20df 0xbd00fefb @@ -30878,6 +38598,8 @@ 0 0 0 +2 +4 10 0x4cbe 0x3c1fb6a9 @@ -30886,6 +38608,8 @@ 0 0 0 +2 +2 7 0xaddc 0xe0dac210 @@ -30894,6 +38618,8 @@ 1 1 0 +2 +4 5 0xa548 0x10ba8dc5 @@ -30902,6 +38628,8 @@ 1 0 0 +3 +5 8 0xa47a 0xe31336cb @@ -30910,6 +38638,8 @@ 0 0 0 +0 +5 9 0x5ede 0x1654e833 @@ -30918,6 +38648,8 @@ 0 0 0 +3 +3 9 0x18f7 0x2bfc555c @@ -30926,6 +38658,8 @@ 0 0 0 +3 +3 5 0x1d7e 0xc26a2d5a @@ -30934,6 +38668,8 @@ 1 1 0 +4 +3 6 0xc3e1 0xd6e51002 @@ -30942,6 +38678,8 @@ 0 0 0 +5 +1 6 0x9e12 0xf01d773d @@ -30950,6 +38688,8 @@ 0 0 0 +5 +5 9 0x13f4 0x725e6fd0 @@ -30958,6 +38698,8 @@ 0 0 0 +0 +4 1 0x4b00 0xa00a86b2 @@ -30966,6 +38708,8 @@ 1 0 0 +5 +0 3 0x1bc5 0x83747361 @@ -30974,6 +38718,8 @@ 0 0 0 +4 +0 6 0xb5c9 0xd0852dd5 @@ -30982,6 +38728,8 @@ 1 0 0 +4 +1 9 0x9714 0x3e6e6d1a @@ -30990,6 +38738,8 @@ 1 0 0 +4 +2 3 0x1d5b 0xe44e992b @@ -30998,6 +38748,8 @@ 1 1 0 +0 +0 6 0xc7b5 0xdaeb05de @@ -31006,6 +38758,8 @@ 0 0 0 +4 +3 6 0x9b1 0x1bd9e295 @@ -31014,6 +38768,8 @@ 0 0 0 +0 +0 5 0xd90a 0xdeb275d6 @@ -31022,6 +38778,8 @@ 0 0 0 +5 +4 7 0x7280 0xc8858a2e @@ -31030,6 +38788,8 @@ 1 1 0 +2 +4 3 0x2101 0x2412d138 @@ -31038,6 +38798,8 @@ 0 0 0 +1 +1 9 0xa0b7 0xda717b1a @@ -31046,6 +38808,8 @@ 1 0 0 +2 +3 8 0x6b14 0x5f6983e5 @@ -31054,6 +38818,8 @@ 0 0 0 +2 +4 3 0xde86 0x3b671454 @@ -31062,6 +38828,8 @@ 1 0 0 +2 +3 6 0x664 0x1a6a8de3 @@ -31070,6 +38838,8 @@ 1 1 0 +5 +3 4 0x5020 0x4945c55a @@ -31078,6 +38848,8 @@ 0 0 0 +1 +1 4 0x6a74 0x8a7bff2f @@ -31086,6 +38858,8 @@ 1 0 0 +3 +0 9 0xc1bf 0xb0edc694 @@ -31094,6 +38868,8 @@ 0 0 0 +0 +0 7 0x971b 0x216e7a03 @@ -31102,6 +38878,8 @@ 1 0 0 +0 +0 3 0x2284 0x8c905d63 @@ -31110,6 +38888,8 @@ 0 0 0 +1 +4 6 0x2cf7 0x844ff379 @@ -31118,6 +38898,8 @@ 1 0 0 +1 +3 8 0x12df 0x1dfdace9 @@ -31126,6 +38908,8 @@ 0 0 0 +0 +4 1 0x7838 0xbe776af7 @@ -31134,6 +38918,8 @@ 0 0 0 +2 +2 6 0x85c3 0x59ed922c @@ -31143,6 +38929,8 @@ 0 0 1 +2 +1 0x9d40 0x17850533 256 @@ -31150,6 +38938,8 @@ 1 0 0 +4 +4 3 0x1f99 0x65550904 @@ -31158,6 +38948,8 @@ 0 0 0 +3 +5 7 0x421c 0x152e5a39 @@ -31166,6 +38958,8 @@ 1 0 0 +5 +3 6 0x7ab8 0xd3c20652 @@ -31174,6 +38968,8 @@ 0 0 0 +2 +4 9 0x5b32 0x1e1639eb @@ -31182,6 +38978,8 @@ 0 0 0 +0 +3 10 0x3c92 0x2b3e3014 @@ -31190,6 +38988,8 @@ 0 0 0 +0 +5 6 0x259 0x903e416c @@ -31198,6 +38998,8 @@ 0 0 0 +2 +3 6 0x969b 0xa8bd68f5 @@ -31206,6 +39008,8 @@ 1 0 0 +5 +3 6 0x7bf3 0xaa3f354c @@ -31214,6 +39018,8 @@ 1 1 0 +5 +5 10 0xd645 0x4bb0aabe @@ -31222,6 +39028,8 @@ 1 0 0 +4 +0 5 0xfc7d 0x3677d497 @@ -31230,6 +39038,8 @@ 1 0 0 +0 +2 6 0x7a58 0x17168d34 @@ -31238,6 +39048,8 @@ 0 0 0 +0 +1 3 0x50c5 0x79103e63 @@ -31246,6 +39058,8 @@ 0 0 0 +4 +2 9 0xec3c 0x60212371 @@ -31254,6 +39068,8 @@ 1 0 0 +0 +5 4 0x6ab6 0x77e6d054 @@ -31262,6 +39078,8 @@ 1 0 0 +4 +5 2 0xb898 0x4f043dbb @@ -31270,6 +39088,8 @@ 0 0 0 +4 +1 8 0x8fb2 0xd8945023 @@ -31278,6 +39098,8 @@ 0 0 0 +1 +5 6 0x9b19 0xbf5974ad @@ -31286,6 +39108,8 @@ 1 1 0 +4 +3 7 0x3c9 0x770f5f54 @@ -31294,6 +39118,8 @@ 1 1 0 +3 +4 7 0x8703 0x520b0a57 @@ -31302,6 +39128,8 @@ 0 0 0 +0 +4 4 0x882e 0xdb827f99 @@ -31310,6 +39138,8 @@ 1 0 0 +5 +4 8 0xa3fc 0xb724e51a @@ -31318,6 +39148,8 @@ 0 0 0 +1 +3 2 0x3714 0x4fce38bc @@ -31326,6 +39158,8 @@ 0 0 0 +0 +4 2 0xda6d 0x838fc6c6 @@ -31334,6 +39168,8 @@ 1 1 0 +4 +4 6 0x6a7d 0xf40e000d @@ -31342,6 +39178,8 @@ 0 0 0 +1 +5 9 0xcdad 0x845229c6 @@ -31350,6 +39188,8 @@ 1 0 0 +0 +4 4 0xc60a 0x8848111a @@ -31358,6 +39198,8 @@ 0 0 0 +0 +2 7 0x11bd 0x8a2d37bb @@ -31367,6 +39209,8 @@ 1 0 4 +2 +4 0xa724 0x481bb1dc 256 @@ -31375,6 +39219,8 @@ 0 0 2 +4 +2 0x3ad2 0xd239594f 256 @@ -31382,6 +39228,8 @@ 1 1 0 +1 +5 8 0x7bf5 0xe9c0dbc1 @@ -31390,6 +39238,8 @@ 1 1 0 +5 +0 8 0x6cb4 0xc9511700 @@ -31398,6 +39248,8 @@ 0 0 0 +5 +4 7 0x4d95 0x95f3068a @@ -31406,6 +39258,8 @@ 0 0 0 +3 +1 1 0xab86 0x33608028 @@ -31414,6 +39268,8 @@ 0 0 0 +5 +0 9 0xa8be 0xb84ce4e6 @@ -31422,6 +39278,8 @@ 0 0 0 +3 +1 4 0xc239 0x29a3476 @@ -31430,6 +39288,8 @@ 1 0 0 +4 +4 6 0x82bb 0x5c637a45 @@ -31438,6 +39298,8 @@ 1 1 0 +5 +2 7 0xd48f 0xdfc3b969 @@ -31446,6 +39308,8 @@ 1 1 0 +2 +1 4 0x8aaf 0xe8ddafb5 @@ -31454,6 +39318,8 @@ 0 0 0 +2 +2 6 0xc7cf 0xea002b3d @@ -31462,6 +39328,8 @@ 0 0 0 +0 +5 7 0x9dbb 0x93678675 @@ -31470,6 +39338,8 @@ 1 0 0 +4 +1 7 0xd39b 0x48b1da38 @@ -31478,6 +39348,8 @@ 1 1 0 +1 +3 9 0xb40 0x690864fa @@ -31486,6 +39358,8 @@ 1 1 0 +0 +3 4 0x62a 0x96ffd206 @@ -31494,6 +39368,8 @@ 1 0 0 +0 +0 5 0x18d9 0x4a712e0a @@ -31502,6 +39378,8 @@ 0 0 0 +1 +3 6 0x8ec2 0x7cf6f9a4 @@ -31510,6 +39388,8 @@ 1 0 0 +1 +4 2 0x3ba 0x325df1df @@ -31519,6 +39399,8 @@ 0 0 3 +2 +3 0x753a 0x74c8e31e 256 @@ -31527,6 +39409,8 @@ 0 0 1 +2 +1 0x3deb 0xc8f757b 256 @@ -31534,6 +39418,8 @@ 0 0 0 +0 +1 6 0x76d7 0xb6f76bef @@ -31542,6 +39428,8 @@ 1 1 0 +2 +4 10 0x4834 0x15619a22 @@ -31550,6 +39438,8 @@ 1 0 0 +2 +1 7 0xf6d7 0x90146b68 @@ -31559,6 +39449,8 @@ 0 0 1 +3 +1 0x9577 0xe765b23a 256 @@ -31566,6 +39458,8 @@ 0 0 0 +5 +2 10 0x355e 0xca76e6aa @@ -31574,6 +39468,8 @@ 1 0 0 +0 +4 8 0x1655 0x19b8a195 @@ -31582,6 +39478,8 @@ 1 0 0 +5 +1 8 0xb61b 0xa10b3690 @@ -31590,6 +39488,8 @@ 0 0 0 +0 +0 1 0xd11d 0x1fe4113f @@ -31598,6 +39498,8 @@ 1 0 0 +3 +3 9 0xff63 0xd85ebb2 @@ -31606,6 +39508,8 @@ 0 0 0 +4 +5 7 0x2039 0x433dc935 @@ -31614,6 +39518,8 @@ 1 0 0 +3 +0 4 0xe36c 0x929cc726 @@ -31622,6 +39528,8 @@ 0 0 0 +0 +4 1 0xbb74 0xe8fc4d3c @@ -31630,6 +39538,8 @@ 0 0 0 +2 +1 5 0x8cb0 0x14907468 @@ -31638,6 +39548,8 @@ 0 0 0 +0 +1 8 0xc37f 0x66875395 @@ -31646,6 +39558,8 @@ 0 0 0 +3 +1 2 0xb899 0x35f12f2d @@ -31654,6 +39568,8 @@ 1 1 0 +3 +1 6 0xcbf4 0x4913e60d @@ -31662,6 +39578,8 @@ 0 0 0 +2 +4 9 0x7a3 0x69e2c638 @@ -31670,6 +39588,8 @@ 1 0 0 +4 +1 2 0x4963 0xf1f7c5c9 @@ -31678,6 +39598,8 @@ 1 0 0 +1 +1 9 0x3f2e 0xa2446792 @@ -31686,6 +39608,8 @@ 0 0 0 +3 +3 2 0x98e7 0x663b020f @@ -31694,6 +39618,8 @@ 0 0 0 +0 +0 9 0xb162 0xc807c7cc @@ -31703,6 +39629,8 @@ 0 0 1 +1 +1 0x41e6 0x42a8cc5e 256 @@ -31710,6 +39638,8 @@ 1 0 0 +4 +1 3 0x41a9 0x93db4aef @@ -31718,6 +39648,8 @@ 1 0 0 +4 +0 2 0x1e3 0x7670a54a @@ -31726,6 +39658,8 @@ 1 1 0 +4 +4 6 0x8ee4 0xdf7e9283 @@ -31734,6 +39668,8 @@ 1 0 0 +1 +1 4 0xd70a 0x80230ffa @@ -31742,6 +39678,8 @@ 1 1 0 +5 +0 4 0xd028 0xdd9e1cbc @@ -31750,6 +39688,8 @@ 0 0 0 +1 +0 5 0x4a4b 0xcbd1dd30 @@ -31758,6 +39698,8 @@ 1 1 0 +1 +2 10 0xe4fb 0xbd424709 @@ -31766,6 +39708,8 @@ 0 0 0 +1 +4 3 0x1e7e 0x9b1dd023 @@ -31774,6 +39718,8 @@ 1 0 0 +5 +2 7 0x22f8 0xf7481c62 @@ -31782,6 +39728,8 @@ 0 0 0 +4 +2 5 0x7a15 0xe795124a @@ -31790,6 +39738,8 @@ 0 0 0 +1 +2 2 0x9bb7 0xe99f0c42 @@ -31798,6 +39748,8 @@ 0 0 0 +4 +3 3 0x5cb3 0x596d396d @@ -31806,6 +39758,8 @@ 0 0 0 +0 +4 6 0x9a2 0xe367f81 @@ -31814,6 +39768,8 @@ 1 0 0 +0 +4 9 0xd406 0xe54bbf9c @@ -31822,6 +39778,8 @@ 1 0 0 +1 +4 2 0xd4d9 0x128d0f1b @@ -31830,6 +39788,8 @@ 0 0 0 +4 +1 2 0x41b4 0xd0ad1bb5 @@ -31838,6 +39798,8 @@ 1 1 0 +0 +4 8 0xc955 0x8e6541a6 @@ -31846,6 +39808,8 @@ 0 0 0 +3 +2 2 0xe4a7 0x1934ed @@ -31854,6 +39818,8 @@ 0 0 0 +0 +5 4 0xd98b 0x22ba5e21 @@ -31862,6 +39828,8 @@ 0 0 0 +5 +4 6 0x78f 0x78e9f730 @@ -31870,6 +39838,8 @@ 0 0 0 +2 +0 5 0x9072 0x2dfba426 @@ -31878,6 +39848,8 @@ 0 0 0 +5 +0 8 0xf3b 0x171f3ffc @@ -31886,6 +39858,8 @@ 1 1 0 +1 +1 8 0x74f 0xe341dcb0 @@ -31894,6 +39868,8 @@ 0 0 0 +0 +3 9 0x478 0x86a52f97 @@ -31902,6 +39878,8 @@ 0 0 0 +3 +2 10 0x58c8 0xfd78fba6 @@ -31910,6 +39888,8 @@ 0 0 0 +2 +5 5 0x9cb1 0xaacc0906 @@ -31918,6 +39898,8 @@ 0 0 0 +2 +2 9 0x35b1 0x174d5eb1 @@ -31927,6 +39909,8 @@ 1 0 4 +0 +4 0x9309 0x306823b 256 @@ -31935,6 +39919,8 @@ 1 0 5 +4 +5 0xdb85 0xdebce419 256 @@ -31942,6 +39928,8 @@ 0 0 0 +4 +5 1 0xf31c 0xaeef415e @@ -31950,6 +39938,8 @@ 0 0 0 +2 +3 3 0x50f6 0x2f3aa588 @@ -31958,6 +39948,8 @@ 1 0 0 +5 +3 2 0x7f8c 0xd9ea61e7 @@ -31966,6 +39958,8 @@ 0 0 0 +0 +4 2 0xb73f 0xc0fa9623 @@ -31974,6 +39968,8 @@ 1 0 0 +1 +1 3 0xed0f 0x78b8f138 @@ -31982,6 +39978,8 @@ 1 0 0 +5 +3 9 0xb6f6 0xc384f7cf @@ -31990,6 +39988,8 @@ 1 0 0 +4 +3 9 0x6b07 0x8db0d592 @@ -31998,6 +39998,8 @@ 0 0 0 +4 +3 5 0xd296 0x53975333 @@ -32006,6 +40008,8 @@ 1 0 0 +0 +1 8 0x8805 0xb20e04bf @@ -32014,6 +40018,8 @@ 0 0 0 +0 +0 5 0x5bf0 0x2b579de4 @@ -32022,6 +40028,8 @@ 0 0 0 +2 +4 3 0x8408 0x73e39d56 @@ -32030,6 +40038,8 @@ 1 0 0 +2 +1 6 0x31b9 0xf142adbd @@ -32038,6 +40048,8 @@ 0 0 0 +1 +5 7 0x9dbc 0x26bcaef1 @@ -32046,6 +40058,8 @@ 0 0 0 +2 +2 5 0x5012 0xf10d0f0f @@ -32054,6 +40068,8 @@ 0 0 0 +0 +1 6 0x1e13 0xc930b4da @@ -32063,6 +40079,8 @@ 1 0 5 +2 +5 0xa805 0x180958d4 256 @@ -32070,6 +40088,8 @@ 0 0 0 +0 +1 9 0x5dbf 0x1dcf6a32 @@ -32078,6 +40098,8 @@ 0 0 0 +3 +3 8 0x9e8 0x63fc9f89 @@ -32086,6 +40108,8 @@ 1 0 0 +2 +0 10 0xde3f 0x3924ad6a @@ -32094,6 +40118,8 @@ 0 0 0 +3 +2 6 0x4f79 0x688009a9 @@ -32102,6 +40128,8 @@ 1 1 0 +0 +0 4 0xff0f 0x1faa5bd4 @@ -32110,6 +40138,8 @@ 1 0 0 +4 +3 1 0x9d3a 0x4cccbf39 @@ -32118,6 +40148,8 @@ 0 0 0 +3 +3 7 0x272f 0x8ed3ad6a @@ -32126,6 +40158,8 @@ 1 1 0 +4 +2 6 0x1119 0xc654248c @@ -32134,6 +40168,8 @@ 0 0 0 +2 +1 7 0x7ff3 0x9a5efbe @@ -32142,6 +40178,8 @@ 1 1 0 +3 +5 6 0x8c2f 0x9283b3a2 @@ -32150,6 +40188,8 @@ 1 0 0 +4 +0 7 0x290b 0x50312b31 @@ -32158,6 +40198,8 @@ 1 1 0 +5 +5 10 0x6448 0xc18b3d7b @@ -32166,6 +40208,8 @@ 0 0 0 +5 +2 9 0xd1da 0x30f0df3a @@ -32174,6 +40218,8 @@ 0 0 0 +3 +4 4 0x7e6d 0xa1caa2c6 @@ -32182,6 +40228,8 @@ 0 0 0 +3 +2 10 0x4a34 0xa80cd60e @@ -32190,6 +40238,8 @@ 0 0 0 +3 +2 1 0xbb6c 0x3bdad96e @@ -32198,6 +40248,8 @@ 1 0 0 +3 +0 7 0x1ad2 0x6b7f1d59 @@ -32206,6 +40258,8 @@ 0 0 0 +5 +0 6 0xdf6d 0x9f27216 @@ -32214,6 +40268,8 @@ 0 0 0 +3 +0 2 0x368f 0x4400fbe1 @@ -32222,6 +40278,8 @@ 1 0 0 +2 +4 6 0xd56b 0xa0c62ebc @@ -32230,6 +40288,8 @@ 0 0 0 +2 +4 4 0xfe1 0x95db5d4c @@ -32238,6 +40298,8 @@ 0 0 0 +5 +5 7 0x7739 0xf666af56 @@ -32246,6 +40308,8 @@ 0 0 0 +3 +2 7 0xae61 0x9dbd830d @@ -32254,6 +40318,8 @@ 1 1 0 +3 +2 9 0x7e93 0xc27572ff @@ -32262,6 +40328,8 @@ 1 0 0 +0 +4 8 0xecb1 0xe4e6d16d @@ -32270,6 +40338,8 @@ 0 0 0 +0 +3 8 0x9c81 0x89c4f8cd @@ -32278,6 +40348,8 @@ 0 0 0 +5 +0 2 0x68e9 0x8105d15f @@ -32287,6 +40359,8 @@ 0 0 1 +4 +1 0x819e 0xa76aca0b 256 @@ -32294,6 +40368,8 @@ 0 0 0 +1 +3 10 0xc8c7 0xca765b70 @@ -32302,6 +40378,8 @@ 1 0 0 +2 +2 8 0x63c5 0x21b6864a @@ -32310,6 +40388,8 @@ 1 0 0 +1 +5 4 0xe6d 0xc23b29c8 @@ -32319,6 +40399,8 @@ 0 0 4 +3 +4 0x672c 0x6115698f 256 @@ -32326,6 +40408,8 @@ 0 0 0 +5 +2 2 0x803d 0x7ee89c6b @@ -32335,6 +40419,8 @@ 1 0 1 +0 +1 0xb75c 0x98547048 256 @@ -32342,6 +40428,8 @@ 1 1 0 +3 +4 9 0xd844 0xfbecd623 @@ -32350,6 +40438,8 @@ 0 0 0 +3 +0 9 0x3a74 0x5d4b678c @@ -32358,6 +40448,8 @@ 0 0 0 +4 +0 2 0x10000 0x583a18b3 @@ -32366,6 +40458,8 @@ 0 0 0 +4 +4 3 0x40a2 0xde39bc @@ -32374,6 +40468,8 @@ 0 0 0 +2 +4 8 0x9d9 0xd7e98077 @@ -32382,6 +40478,8 @@ 0 0 0 +1 +5 4 0x6175 0xbefdd373 @@ -32390,6 +40488,8 @@ 1 0 0 +3 +3 7 0x6aea 0x2ae17016 @@ -32398,6 +40498,8 @@ 1 0 0 +0 +3 3 0xf165 0x6cfb4816 @@ -32407,6 +40509,8 @@ 0 0 1 +4 +1 0xdaac 0xec21b642 256 @@ -32414,6 +40518,8 @@ 1 1 0 +2 +0 8 0x4348 0x3ab0c420 @@ -32422,6 +40528,8 @@ 0 0 0 +3 +2 10 0x9638 0xbdba632 @@ -32430,6 +40538,8 @@ 0 0 0 +2 +1 8 0xcb8e 0xfc7176e0 @@ -32438,6 +40548,8 @@ 1 1 0 +0 +0 5 0x431b 0x655f6596 @@ -32446,6 +40558,8 @@ 1 1 0 +2 +0 10 0x5696 0xe8a8a55 @@ -32454,6 +40568,8 @@ 0 0 0 +3 +0 5 0x1905 0xaffdca08 @@ -32462,6 +40578,8 @@ 0 0 0 +2 +3 10 0xc60e 0x81600c76 @@ -32471,6 +40589,8 @@ 0 0 4 +3 +4 0x347b 0x4b61ba27 256 @@ -32478,6 +40598,8 @@ 0 0 0 +3 +2 8 0x8dc 0x423a5bc2 @@ -32486,6 +40608,8 @@ 1 0 0 +0 +0 10 0xf57c 0xb076800d @@ -32494,6 +40618,8 @@ 0 0 0 +2 +5 1 0x808c 0x9c05d546 @@ -32502,6 +40628,8 @@ 0 0 0 +0 +0 8 0xd99b 0xe4fe0f61 @@ -32510,6 +40638,8 @@ 1 0 0 +5 +4 3 0xb40 0x4b42658c @@ -32518,6 +40648,8 @@ 1 1 0 +4 +0 5 0x4272 0x2d185da7 @@ -32526,6 +40658,8 @@ 0 0 0 +5 +1 6 0x76f9 0x2fbc1fd7 @@ -32534,6 +40668,8 @@ 0 0 0 +1 +2 8 0x5c30 0x21525740 @@ -32542,6 +40678,8 @@ 1 0 0 +3 +1 2 0x4c56 0x7ba79201 @@ -32550,6 +40688,8 @@ 0 0 0 +0 +5 3 0xc928 0x9aaec4d9 @@ -32558,6 +40698,8 @@ 0 0 0 +3 +3 5 0xb41e 0x82143417 @@ -32566,6 +40708,8 @@ 1 0 0 +4 +1 1 0x8eb8 0x473dc6af @@ -32574,6 +40718,8 @@ 1 0 0 +5 +4 2 0xd28a 0x3bd2583b @@ -32582,6 +40728,8 @@ 1 0 0 +3 +4 4 0xd141 0x7986959f @@ -32590,6 +40738,8 @@ 1 1 0 +1 +4 9 0x10b8 0x4fa0a793 @@ -32598,6 +40748,8 @@ 1 0 0 +3 +2 7 0xe33e 0x8d2b9623 @@ -32607,6 +40759,8 @@ 0 0 4 +1 +4 0x594e 0x84e9f692 256 @@ -32614,6 +40768,8 @@ 0 0 0 +0 +3 10 0x1c17 0x827ce927 @@ -32622,6 +40778,8 @@ 1 0 0 +1 +1 8 0x695c 0x2954f7d9 @@ -32630,6 +40788,8 @@ 1 0 0 +5 +5 3 0x67d0 0x642a28e4 @@ -32638,6 +40798,8 @@ 0 0 0 +5 +5 1 0x9024 0x93ea214 @@ -32646,6 +40808,8 @@ 1 0 0 +3 +0 7 0xd36e 0x991b360 @@ -32654,6 +40818,8 @@ 0 0 0 +3 +4 2 0x4344 0xbe1bbec @@ -32662,6 +40828,8 @@ 0 0 0 +4 +3 9 0x8a36 0x2de23ff7 @@ -32670,6 +40838,8 @@ 0 0 0 +2 +1 5 0x8eca 0xdce8cc19 @@ -32678,6 +40848,8 @@ 0 0 0 +0 +5 8 0xaeb4 0x16f5f4d8 @@ -32686,6 +40858,8 @@ 0 0 0 +3 +1 5 0xa665 0x913bf618 @@ -32694,6 +40868,8 @@ 1 0 0 +4 +0 7 0xcfe0 0xa0a34dbe @@ -32702,6 +40878,8 @@ 0 0 0 +5 +3 6 0x859a 0xd10040ca @@ -32710,6 +40888,8 @@ 0 0 0 +0 +0 4 0x6f03 0x9124b534 @@ -32718,6 +40898,8 @@ 0 0 0 +2 +2 6 0xb5fa 0xdbcb308a @@ -32727,6 +40909,8 @@ 0 0 2 +0 +2 0x5e9 0x7611381b 256 @@ -32734,6 +40918,8 @@ 1 0 0 +0 +4 3 0xc029 0x864be34b @@ -32742,6 +40928,8 @@ 0 0 0 +0 +4 6 0xe1ab 0x672cb821 @@ -32750,6 +40938,8 @@ 1 0 0 +5 +4 10 0xe200 0x7398c541 @@ -32758,6 +40948,8 @@ 0 0 0 +2 +4 8 0xf1ee 0xa6063a47 @@ -32766,6 +40958,8 @@ 0 0 0 +2 +0 6 0x49b5 0xb1f89378 @@ -32774,6 +40968,8 @@ 1 0 0 +3 +4 10 0x1d84 0x661ab490 @@ -32782,6 +40978,8 @@ 1 0 0 +0 +5 8 0x643c 0x2987273e @@ -32790,6 +40988,8 @@ 0 0 0 +0 +3 10 0x74b4 0xf7aea984 @@ -32798,6 +40998,8 @@ 0 0 0 +1 +4 4 0x9e2d 0xd0c1f159 @@ -32806,6 +41008,8 @@ 1 0 0 +4 +5 5 0x24a4 0x1c3b5c0 @@ -32814,6 +41018,8 @@ 1 0 0 +2 +0 9 0xe5f7 0xf566fd20 @@ -32822,6 +41028,8 @@ 0 0 0 +0 +4 4 0xe1ac 0x42c2d3f9 @@ -32830,6 +41038,8 @@ 0 0 0 +5 +4 1 0x7aff 0x227c9f3b @@ -32838,6 +41048,8 @@ 1 1 0 +0 +1 10 0x6727 0xfbd33bae @@ -32846,6 +41058,8 @@ 0 0 0 +5 +4 7 0xa387 0xc2c6a19f @@ -32854,6 +41068,8 @@ 1 0 0 +3 +2 5 0xe7e7 0x3d127950 @@ -32862,6 +41078,8 @@ 1 1 0 +4 +1 6 0xd57e 0x34475682 @@ -32870,6 +41088,8 @@ 1 1 0 +4 +1 9 0x502a 0xbd258e0c @@ -32878,6 +41098,8 @@ 1 0 0 +0 +3 10 0x7042 0xcc538e56 @@ -32886,6 +41108,8 @@ 1 0 0 +4 +0 7 0x5fff 0xcff43790 @@ -32894,6 +41118,8 @@ 1 0 0 +4 +0 2 0x27dd 0x2f46d99 @@ -32902,6 +41128,8 @@ 0 0 0 +5 +3 10 0x8cec 0x5dbe19d7 @@ -32910,6 +41138,8 @@ 1 0 0 +0 +2 8 0x45bb 0xe5210ab9 @@ -32918,6 +41148,8 @@ 0 0 0 +2 +2 10 0x987d 0x9bebacbb @@ -32926,6 +41158,8 @@ 0 0 0 +3 +4 6 0x6eca 0xff18696 @@ -32934,6 +41168,8 @@ 1 0 0 +4 +3 9 0xab97 0x8b604900 @@ -32942,6 +41178,8 @@ 0 0 0 +4 +0 3 0xf5f9 0xfcaa2185 @@ -32951,6 +41189,8 @@ 0 0 4 +4 +4 0x319e 0x2a0b7718 256 @@ -32958,6 +41198,8 @@ 1 1 0 +0 +2 6 0x9dc5 0xd40728b6 @@ -32966,6 +41208,8 @@ 1 1 0 +0 +3 10 0xfde6 0x44f38baa @@ -32974,6 +41218,8 @@ 0 0 0 +0 +5 8 0x726d 0x783b9623 @@ -32983,6 +41229,8 @@ 0 0 2 +2 +2 0x6889 0xa65be24a 256 @@ -32990,6 +41238,8 @@ 0 0 0 +2 +1 9 0x641b 0x835570ee @@ -32998,6 +41248,8 @@ 0 0 0 +4 +3 7 0xf6df 0x8ade23de @@ -33006,6 +41258,8 @@ 0 0 0 +4 +1 7 0xf0c6 0xe344458d @@ -33014,6 +41268,8 @@ 1 0 0 +2 +3 7 0x9d4 0xd6a3673e @@ -33022,6 +41278,8 @@ 0 0 0 +5 +4 8 0x8a80 0x2a7a6008 @@ -33030,6 +41288,8 @@ 1 0 0 +3 +5 5 0xb50d 0x3e19d73b @@ -33038,6 +41298,8 @@ 1 0 0 +3 +4 5 0x6b5e 0x3a35b4a7 @@ -33046,6 +41308,8 @@ 0 0 0 +3 +3 9 0x9449 0x7b8f6bb6 @@ -33054,6 +41318,8 @@ 0 0 0 +3 +0 8 0xef97 0x9ca67c9e @@ -33062,6 +41328,8 @@ 1 1 0 +5 +0 8 0x1426 0x9f0687e @@ -33070,6 +41338,8 @@ 1 1 0 +5 +0 1 0x4d62 0x7a9045e6 @@ -33078,6 +41348,8 @@ 0 0 0 +4 +5 2 0xaf5a 0x4670a279 @@ -33086,6 +41358,8 @@ 1 0 0 +1 +0 9 0x3ff6 0xf7c6d7b4 @@ -33094,6 +41368,8 @@ 0 0 0 +2 +4 6 0x2bec 0xb7100c5 @@ -33102,6 +41378,8 @@ 0 0 0 +3 +1 6 0xca98 0x9e9cf963 @@ -33110,6 +41388,8 @@ 0 0 0 +5 +5 4 0x56c4 0xfd9cb4bf @@ -33118,6 +41398,8 @@ 1 1 0 +0 +4 3 0x8553 0xad0e773b @@ -33127,6 +41409,8 @@ 1 0 4 +3 +4 0x7d3 0xb09135ed 256 @@ -33134,6 +41418,8 @@ 0 0 0 +1 +5 8 0x9367 0x8f3c090e @@ -33142,6 +41428,8 @@ 0 0 0 +1 +2 9 0x448f 0xea4bb801 @@ -33150,6 +41438,8 @@ 1 0 0 +5 +4 3 0xef23 0xa931b0f3 @@ -33158,6 +41448,8 @@ 0 0 0 +0 +0 7 0xe909 0x1c6e4ef4 @@ -33166,6 +41458,8 @@ 1 1 0 +3 +3 6 0x3b4f 0xe06764cf @@ -33174,6 +41468,8 @@ 0 0 0 +2 +0 7 0xd531 0x385c97a9 @@ -33182,6 +41478,8 @@ 1 1 0 +4 +0 1 0x2b12 0x94050aa9 @@ -33190,6 +41488,8 @@ 1 1 0 +1 +3 9 0xa3a9 0x60b8cb10 @@ -33198,6 +41498,8 @@ 1 0 0 +3 +0 9 0xf47b 0xdb67ec70 @@ -33206,6 +41508,8 @@ 0 0 0 +4 +2 6 0x9a06 0xfd74b001 @@ -33214,6 +41518,8 @@ 0 0 0 +3 +4 4 0xc977 0x1973ac96 @@ -33222,6 +41528,8 @@ 1 1 0 +4 +1 10 0x15a2 0xf7b26b12 @@ -33230,6 +41538,8 @@ 1 1 0 +1 +3 7 0xe902 0xe39526ad @@ -33239,6 +41549,8 @@ 0 0 1 +4 +1 0xc24d 0xdc3f1884 256 @@ -33246,6 +41558,8 @@ 1 0 0 +1 +2 10 0x35fa 0xc8c551f7 @@ -33254,6 +41568,8 @@ 1 1 0 +5 +1 10 0x8be3 0x419058c1 @@ -33262,6 +41578,8 @@ 1 1 0 +1 +3 8 0x3c85 0x72f9cb50 @@ -33270,6 +41588,8 @@ 1 1 0 +0 +5 4 0xc374 0x1ca84a03 @@ -33278,6 +41598,8 @@ 1 1 0 +1 +0 8 0x5c1e 0x5582da1f @@ -33286,6 +41608,8 @@ 0 0 0 +1 +1 7 0xabd 0xa6ff3ad3 @@ -33294,6 +41618,8 @@ 0 0 0 +5 +1 1 0x48b 0x5a7f3681 @@ -33302,6 +41628,8 @@ 1 1 0 +1 +0 2 0x115b 0x1007f405 @@ -33310,6 +41638,8 @@ 1 1 0 +3 +4 7 0x239e 0xb7c21458 @@ -33319,6 +41649,8 @@ 0 0 2 +2 +2 0x2a6e 0xc60e9eec 256 @@ -33326,6 +41658,8 @@ 1 0 0 +2 +2 4 0xa022 0x4c1e7bdb @@ -33334,6 +41668,8 @@ 0 0 0 +3 +0 6 0xcfe9 0x4df661eb @@ -33342,6 +41678,8 @@ 1 0 0 +2 +3 6 0x84fb 0x66773533 @@ -33350,6 +41688,8 @@ 1 0 0 +1 +2 7 0x2a9b 0xe3662be1 @@ -33358,6 +41698,8 @@ 0 0 0 +0 +0 7 0x76fe 0xda939c93 @@ -33366,6 +41708,8 @@ 1 1 0 +1 +5 8 0xe6bf 0x10145661 @@ -33374,6 +41718,8 @@ 1 1 0 +0 +4 10 0x1ea6 0x892a068c @@ -33382,6 +41728,8 @@ 1 1 0 +1 +5 10 0xac7a 0x4f132d4e @@ -33390,6 +41738,8 @@ 0 0 0 +4 +2 9 0x2aa6 0xe0d7f592 @@ -33399,6 +41749,8 @@ 1 0 2 +5 +2 0x2a8b 0x4a700042 256 @@ -33406,6 +41758,8 @@ 1 1 0 +3 +0 2 0x6cf 0x60beafe8 @@ -33414,6 +41768,8 @@ 0 0 0 +3 +1 2 0x90fa 0xb93b8a9f @@ -33422,6 +41778,8 @@ 1 0 0 +3 +5 4 0x170e 0x16f00457 @@ -33430,6 +41788,8 @@ 0 0 0 +1 +1 7 0x8ef 0x7af8fa7a @@ -33438,6 +41798,8 @@ 0 0 0 +4 +1 1 0xa797 0xb90c24fc @@ -33446,6 +41808,8 @@ 1 1 0 +2 +0 4 0x8e53 0xff35e54c @@ -33454,6 +41818,8 @@ 0 0 0 +5 +1 3 0x8ae9 0x9acc13e9 @@ -33462,6 +41828,8 @@ 1 0 0 +0 +0 3 0x6286 0xfb3dd840 @@ -33470,6 +41838,8 @@ 1 1 0 +5 +1 2 0x51c5 0xa5fdcf78 @@ -33478,6 +41848,8 @@ 0 0 0 +4 +4 1 0xef7f 0xff8d879 @@ -33486,6 +41858,8 @@ 1 0 0 +4 +5 8 0x8c9a 0x74b90b34 @@ -33494,6 +41868,8 @@ 0 0 0 +5 +3 6 0xb44e 0x399c0d23 @@ -33502,6 +41878,8 @@ 1 1 0 +1 +1 8 0xc811 0xdaadcae8 @@ -33510,6 +41888,8 @@ 1 1 0 +4 +1 10 0x3bb7 0x214b3409 @@ -33518,6 +41898,8 @@ 0 0 0 +3 +5 1 0xea92 0x9c3664e3 @@ -33526,6 +41908,8 @@ 0 0 0 +4 +0 5 0x5b55 0xe009b143 @@ -33534,6 +41918,8 @@ 1 1 0 +2 +0 7 0x9b22 0xec278b54 @@ -33542,6 +41928,8 @@ 1 1 0 +2 +2 6 0x4122 0x5bfeffe2 @@ -33550,6 +41938,8 @@ 1 0 0 +1 +3 2 0x65f8 0x21186ce9 @@ -33558,6 +41948,8 @@ 1 0 0 +0 +2 8 0x93af 0xd428480a @@ -33566,6 +41958,8 @@ 0 0 0 +2 +3 10 0xb217 0x2ea11a30 @@ -33574,6 +41968,8 @@ 0 0 0 +3 +5 5 0x3ef9 0x61875f00 @@ -33582,6 +41978,8 @@ 0 0 0 +4 +0 2 0xb754 0xc6cd08b2 @@ -33590,6 +41988,8 @@ 1 0 0 +0 +0 2 0xb85c 0xa3dc743d @@ -33598,6 +41998,8 @@ 0 0 0 +5 +5 6 0x86a3 0x8405d513 @@ -33606,6 +42008,8 @@ 1 1 0 +3 +0 7 0x89ac 0xcc0f5892 @@ -33614,6 +42018,8 @@ 1 0 0 +1 +1 8 0x9bfd 0xb6cccc8b @@ -33623,6 +42029,8 @@ 1 0 5 +0 +5 0x7c40 0xf284d3a2 256 @@ -33630,6 +42038,8 @@ 0 0 0 +1 +4 5 0x83b7 0x7851a6a6 @@ -33638,6 +42048,8 @@ 0 0 0 +4 +5 1 0xe330 0xbbae7822 @@ -33646,6 +42058,8 @@ 1 0 0 +0 +2 1 0x93ed 0x5cdd03e5 @@ -33654,6 +42068,8 @@ 0 0 0 +4 +3 2 0x67a0 0xe841d4e5 @@ -33662,6 +42078,8 @@ 0 0 0 +1 +5 4 0x27f7 0x36a09d71 @@ -33670,6 +42088,8 @@ 1 1 0 +4 +5 5 0xbaa0 0xef717014 @@ -33678,6 +42098,8 @@ 0 0 0 +4 +4 5 0x6011 0xd28a8a1b @@ -33686,6 +42108,8 @@ 0 0 0 +3 +5 4 0x1056 0x15e109d6 @@ -33694,6 +42118,8 @@ 0 0 0 +0 +1 4 0xb50 0xa51cd5f0 @@ -33702,6 +42128,8 @@ 0 0 0 +0 +5 5 0x940a 0xf2978dba @@ -33711,6 +42139,8 @@ 0 0 1 +0 +1 0x35bf 0xe743552c 256 @@ -33718,6 +42148,8 @@ 1 0 0 +0 +1 7 0xdbb3 0x19369240 @@ -33726,6 +42158,8 @@ 1 0 0 +4 +0 10 0xcd7a 0x392105ac @@ -33734,6 +42168,8 @@ 0 0 0 +5 +4 8 0xb873 0xc6c2c949 @@ -33742,6 +42178,8 @@ 1 0 0 +0 +0 9 0x9015 0x38bbc8b0 @@ -33750,6 +42188,8 @@ 0 0 0 +3 +1 8 0x9943 0xff71a801 @@ -33758,6 +42198,8 @@ 0 0 0 +4 +3 2 0xe228 0xed42beff @@ -33766,6 +42208,8 @@ 1 0 0 +4 +0 3 0xbeb5 0xcd51cb8d @@ -33775,6 +42219,8 @@ 1 0 1 +4 +1 0x68f9 0xb6a96d84 256 @@ -33782,6 +42228,8 @@ 1 0 0 +3 +3 9 0x6f81 0x4354e747 @@ -33790,6 +42238,8 @@ 1 1 0 +2 +5 4 0x57d8 0xfc8a818e @@ -33799,6 +42249,8 @@ 0 0 4 +3 +4 0x6ea7 0x512d151c 256 @@ -33806,6 +42258,8 @@ 0 0 0 +5 +0 6 0xd32c 0xedc3bdee @@ -33815,6 +42269,8 @@ 0 0 4 +0 +4 0x4995 0xb9382a67 256 @@ -33822,6 +42278,8 @@ 0 0 0 +4 +3 7 0xff1b 0xdc56b4ea @@ -33830,6 +42288,8 @@ 0 0 0 +2 +1 4 0x7e80 0xef95a287 @@ -33838,6 +42298,8 @@ 1 0 0 +1 +3 4 0x53ed 0x61b5ce0 @@ -33846,6 +42308,8 @@ 1 1 0 +4 +0 1 0x3d50 0x3089557b @@ -33855,6 +42319,8 @@ 0 0 3 +5 +3 0x919c 0xdb8f14f6 256 @@ -33862,6 +42328,8 @@ 1 1 0 +2 +4 10 0xa3bd 0x5f43c00f @@ -33870,6 +42338,8 @@ 1 0 0 +4 +1 1 0xe94b 0x7c2a93fe @@ -33878,6 +42348,8 @@ 0 0 0 +0 +0 4 0x7140 0xa9bea42a @@ -33886,6 +42358,8 @@ 1 1 0 +1 +3 8 0x4f8f 0xe5295141 @@ -33894,6 +42368,8 @@ 1 1 0 +2 +4 4 0x9390 0xc6ee2a20 @@ -33902,6 +42378,8 @@ 0 0 0 +3 +1 5 0x6174 0xd9781a2c @@ -33910,6 +42388,8 @@ 1 1 0 +5 +4 7 0xfb25 0xf8171e65 @@ -33918,6 +42398,8 @@ 0 0 0 +5 +2 4 0x7506 0xa05931c0 @@ -33926,6 +42408,8 @@ 1 0 0 +4 +3 5 0xd77f 0x30e24ba1 @@ -33934,6 +42418,8 @@ 1 1 0 +2 +4 3 0x109e 0x2e5f5d17 @@ -33942,6 +42428,8 @@ 0 0 0 +5 +3 9 0x2c41 0xd809dd2a @@ -33950,6 +42438,8 @@ 1 1 0 +4 +4 8 0xc6c3 0x53cadbc5 @@ -33958,6 +42448,8 @@ 0 0 0 +4 +3 9 0x3465 0x8aea64fb @@ -33966,6 +42458,8 @@ 1 1 0 +4 +0 6 0x7ca 0xdfa62bc8 @@ -33975,6 +42469,8 @@ 0 0 4 +3 +4 0x6b40 0x136e89f7 256 @@ -33982,6 +42478,8 @@ 0 0 0 +3 +3 1 0x5e3f 0x6c469c0b @@ -33990,6 +42488,8 @@ 0 0 0 +4 +0 7 0x789c 0x57268e2c @@ -33998,6 +42498,8 @@ 1 1 0 +1 +2 5 0xb913 0xb00d1fa9 @@ -34006,6 +42508,8 @@ 0 0 0 +0 +2 7 0x8224 0xbeb6c95 @@ -34014,6 +42518,8 @@ 1 0 0 +0 +1 5 0xf9d7 0x688d49d2 @@ -34023,6 +42529,8 @@ 1 0 2 +2 +2 0xea15 0xa6425e1e 256 @@ -34030,6 +42538,8 @@ 1 0 0 +5 +5 2 0x1312 0x18e850a6 @@ -34038,6 +42548,8 @@ 0 0 0 +2 +4 10 0x6891 0xf8f85b0b @@ -34046,6 +42558,8 @@ 1 0 0 +2 +5 10 0x581 0xbee661df @@ -34054,6 +42568,8 @@ 1 1 0 +4 +0 3 0xf192 0xf88aa7b1 @@ -34062,6 +42578,8 @@ 1 1 0 +3 +3 2 0x6549 0xe0a36762 @@ -34070,6 +42588,8 @@ 0 0 0 +4 +1 8 0xe54a 0xae3ca36e @@ -34078,6 +42598,8 @@ 0 0 0 +5 +2 8 0x80d 0xf1eb9365 @@ -34086,6 +42608,8 @@ 1 1 0 +0 +0 1 0x99fa 0x59eee0d6 @@ -34094,6 +42618,8 @@ 1 1 0 +2 +5 6 0xd97 0x92ff9450 @@ -34102,6 +42628,8 @@ 1 1 0 +0 +2 3 0xe011 0x816d5d3a @@ -34110,6 +42638,8 @@ 0 0 0 +4 +1 9 0xec12 0x15d118fa @@ -34118,6 +42648,8 @@ 0 0 0 +2 +4 9 0x7553 0x4b439913 @@ -34126,6 +42658,8 @@ 1 0 0 +5 +1 6 0x28ea 0x96bfcce3 @@ -34134,6 +42668,8 @@ 0 0 0 +5 +4 3 0x8da3 0x68a83bb8 @@ -34142,6 +42678,8 @@ 1 0 0 +1 +3 5 0x7f1e 0xde3b7da8 @@ -34150,6 +42688,8 @@ 1 0 0 +3 +0 2 0x7fcd 0x7cee30b8 @@ -34158,6 +42698,8 @@ 1 0 0 +2 +0 3 0x46cd 0x48638c03 @@ -34166,6 +42708,8 @@ 0 0 0 +3 +2 10 0xe024 0x430cb73f @@ -34174,6 +42718,8 @@ 1 0 0 +1 +3 2 0xff1 0x76ad5832 @@ -34182,6 +42728,8 @@ 1 1 0 +4 +4 10 0x9e86 0x9c4d852 @@ -34190,6 +42738,8 @@ 1 1 0 +1 +2 3 0xea8 0x373532df @@ -34198,6 +42748,8 @@ 1 1 0 +0 +2 4 0x931d 0x1f25a0cf @@ -34206,6 +42758,8 @@ 0 0 0 +2 +2 10 0x11e7 0x5b594c16 @@ -34214,6 +42768,8 @@ 0 0 0 +3 +1 10 0xda1c 0x110b1927 @@ -34222,6 +42778,8 @@ 0 0 0 +0 +2 2 0xcddb 0x8a84b1bd @@ -34230,6 +42788,8 @@ 1 1 0 +3 +0 8 0x3f1a 0x7ff8773a @@ -34238,6 +42798,8 @@ 1 1 0 +4 +1 6 0xc133 0x366ed1bd @@ -34246,6 +42808,8 @@ 0 0 0 +3 +2 7 0xf107 0xb4769f0 @@ -34254,6 +42818,8 @@ 0 0 0 +0 +1 5 0x7b85 0x70856bae @@ -34262,6 +42828,8 @@ 1 0 0 +3 +5 7 0x1f98 0xf04c6831 @@ -34270,6 +42838,8 @@ 0 0 0 +1 +2 8 0x7d5f 0xbc02d4e1 @@ -34278,6 +42848,8 @@ 1 1 0 +0 +4 5 0xe3af 0xe49fe519 @@ -34286,6 +42858,8 @@ 1 1 0 +3 +2 2 0x3115 0x91a6ec68 @@ -34294,6 +42868,8 @@ 0 0 0 +2 +1 5 0xa7d8 0x917035e @@ -34302,6 +42878,8 @@ 0 0 0 +0 +3 4 0x3245 0xdbc1e154 @@ -34310,6 +42888,8 @@ 0 0 0 +3 +2 9 0x1b9d 0x1ae39501 @@ -34318,6 +42898,8 @@ 0 0 0 +2 +1 4 0x5674 0x9949165e @@ -34326,6 +42908,8 @@ 1 0 0 +4 +2 6 0xf6e9 0x1a8a007c @@ -34334,6 +42918,8 @@ 0 0 0 +0 +0 1 0x751c 0x6f5f4f8d @@ -34342,6 +42928,8 @@ 0 0 0 +5 +4 8 0x3692 0xf72c9ab1 @@ -34350,6 +42938,8 @@ 0 0 0 +5 +5 9 0x4bab 0x22acbdda @@ -34358,6 +42948,8 @@ 0 0 0 +0 +0 5 0x83d9 0x68df14b @@ -34366,6 +42958,8 @@ 1 0 0 +0 +5 9 0x22a3 0xc3e0c698 @@ -34374,6 +42968,8 @@ 0 0 0 +5 +2 7 0x2752 0xc28ea834 @@ -34382,6 +42978,8 @@ 0 0 0 +4 +1 8 0x9ad1 0xa1afa063 @@ -34391,6 +42989,8 @@ 1 0 3 +5 +3 0xd230 0x306bb1a1 256 @@ -34398,6 +42998,8 @@ 0 0 0 +1 +1 3 0x627f 0x11e2d090 @@ -34406,6 +43008,8 @@ 0 0 0 +3 +5 2 0x6a5b 0x71fee774 @@ -34414,6 +43018,8 @@ 0 0 0 +4 +5 1 0x25b4 0x330b7c5d @@ -34422,6 +43028,8 @@ 1 1 0 +0 +3 4 0x61e0 0x7cad7c24 @@ -34430,6 +43038,8 @@ 1 1 0 +3 +1 7 0x8c5c 0xe4a71884 @@ -34438,6 +43048,8 @@ 1 0 0 +1 +1 9 0xc79a 0x18aa65e1 @@ -34446,6 +43058,8 @@ 1 0 0 +2 +5 7 0x3209 0x54655692 @@ -34454,6 +43068,8 @@ 1 0 0 +1 +0 6 0xf576 0x363bc58d @@ -34462,6 +43078,8 @@ 0 0 0 +2 +2 9 0xdaad 0x967b9668 @@ -34470,6 +43088,8 @@ 0 0 0 +2 +0 9 0x276b 0x3d454db2 @@ -34478,6 +43098,8 @@ 0 0 0 +5 +2 2 0x1907 0x43e2b44d @@ -34486,6 +43108,8 @@ 1 0 0 +5 +3 7 0x2b35 0x891676d4 @@ -34494,6 +43118,8 @@ 1 1 0 +4 +4 1 0x2647 0x97a5b78 @@ -34503,6 +43129,8 @@ 1 0 3 +1 +3 0xcd91 0x7fb075db 256 @@ -34510,6 +43138,8 @@ 0 0 0 +2 +3 4 0x153b 0x6302c732 @@ -34518,6 +43148,8 @@ 0 0 0 +4 +4 2 0xff8d 0x35e35dae @@ -34526,6 +43158,8 @@ 0 0 0 +5 +2 2 0x87f7 0x995847ba @@ -34534,6 +43168,8 @@ 1 1 0 +1 +3 2 0xffb1 0xdd2e23f8 @@ -34542,6 +43178,8 @@ 0 0 0 +5 +4 4 0x41d4 0x28ff6396 @@ -34550,6 +43188,8 @@ 0 0 0 +3 +0 6 0xc522 0x354fec01 @@ -34558,6 +43198,8 @@ 1 1 0 +2 +2 6 0x8e60 0xd480d924 @@ -34566,6 +43208,8 @@ 1 0 0 +4 +4 9 0x3a59 0x692ac42b @@ -34574,6 +43218,8 @@ 0 0 0 +0 +4 8 0xb68c 0xcd91a1ce @@ -34582,6 +43228,8 @@ 0 0 0 +5 +3 4 0x4d27 0x3b9e45ae @@ -34590,6 +43238,8 @@ 0 0 0 +5 +1 7 0xe9d3 0xf3ed6b1e @@ -34598,6 +43248,8 @@ 0 0 0 +1 +0 3 0xc0d5 0xa6788081 @@ -34606,6 +43258,8 @@ 1 0 0 +1 +5 5 0xf0ad 0xc1af3044 @@ -34615,6 +43269,8 @@ 0 0 5 +1 +5 0xa98a 0x4a1f84cf 256 @@ -34622,6 +43278,8 @@ 1 0 0 +0 +5 5 0x7e27 0xeb9ba1a7 @@ -34630,6 +43288,8 @@ 0 0 0 +4 +3 9 0x4f7e 0xde082769 @@ -34639,6 +43299,8 @@ 0 0 5 +2 +5 0x8bd8 0xa8cd125b 256 @@ -34646,6 +43308,8 @@ 0 0 0 +2 +2 10 0x645d 0x5e062054 @@ -34654,6 +43318,8 @@ 1 0 0 +4 +5 8 0x8c76 0x296e38cc @@ -34662,6 +43328,8 @@ 1 0 0 +0 +5 1 0x57c 0x6612f5d9 @@ -34670,6 +43338,8 @@ 1 1 0 +2 +2 9 0x3e33 0x1206b1f3 @@ -34678,6 +43348,8 @@ 0 0 0 +5 +4 6 0x8e81 0xc069cd1c @@ -34686,6 +43358,8 @@ 0 0 0 +5 +1 3 0xfdad 0x62d13039 @@ -34694,6 +43368,8 @@ 1 0 0 +2 +2 8 0x2d53 0xe1282335 @@ -34702,6 +43378,8 @@ 1 0 0 +1 +2 4 0x2b40 0x9add8af @@ -34710,6 +43388,8 @@ 0 0 0 +0 +0 6 0x7431 0xefaa6341 @@ -34718,6 +43398,8 @@ 0 0 0 +2 +4 7 0x5858 0x71a1009f @@ -34726,6 +43408,8 @@ 0 0 0 +5 +4 9 0xee50 0x39b2b947 @@ -34734,6 +43418,8 @@ 0 0 0 +0 +4 5 0x3a52 0x3e195150 @@ -34742,6 +43428,8 @@ 1 0 0 +5 +2 10 0xa4e8 0x64ebb2d @@ -34750,6 +43438,8 @@ 1 0 0 +4 +1 1 0xbbe0 0x20a1efe1 @@ -34758,6 +43448,8 @@ 0 0 0 +3 +2 2 0xce95 0xf2f998f8 @@ -34766,6 +43458,8 @@ 0 0 0 +3 +3 1 0x99ca 0x66e0799f @@ -34774,6 +43468,8 @@ 1 1 0 +2 +4 9 0x4772 0xbe83f9e6 @@ -34782,6 +43478,8 @@ 1 0 0 +0 +3 8 0x8459 0x66f32ae8 @@ -34790,6 +43488,8 @@ 1 0 0 +2 +3 10 0xf7af 0xd60d3b30 @@ -34798,6 +43498,8 @@ 0 0 0 +3 +1 7 0x16af 0x95708a13 @@ -34806,6 +43508,8 @@ 0 0 0 +5 +2 4 0x68b8 0x8d5c728b @@ -34814,6 +43518,8 @@ 1 1 0 +0 +1 10 0x8a83 0xcd783449 @@ -34822,6 +43528,8 @@ 0 0 0 +5 +3 6 0xad38 0xbdade46c @@ -34830,6 +43538,8 @@ 0 0 0 +2 +5 4 0x3eeb 0x522988cb @@ -34839,6 +43549,8 @@ 0 0 4 +0 +4 0x4f7f 0x211b78c8 256 @@ -34846,6 +43558,8 @@ 1 1 0 +5 +2 1 0x6f40 0x121b265b @@ -34854,6 +43568,8 @@ 0 0 0 +1 +0 10 0x1aba 0xdee6cea3 @@ -34862,6 +43578,8 @@ 1 0 0 +0 +1 3 0x3fa7 0x30942aa7 @@ -34870,6 +43588,8 @@ 1 1 0 +1 +5 10 0x176a 0x5d4b9af0 @@ -34878,6 +43598,8 @@ 0 0 0 +2 +5 6 0xada0 0x32196489 @@ -34886,6 +43608,8 @@ 1 0 0 +5 +1 10 0xa652 0xb3b52d10 @@ -34894,6 +43618,8 @@ 0 0 0 +5 +4 10 0x2e53 0x6f838545 @@ -34902,6 +43628,8 @@ 1 1 0 +4 +3 5 0xefc6 0x2b8c6667 @@ -34910,6 +43638,8 @@ 1 1 0 +2 +2 4 0xc502 0xf81ecf84 @@ -34918,6 +43648,8 @@ 0 0 0 +3 +4 2 0x5599 0x730fbade @@ -34926,6 +43658,8 @@ 0 0 0 +0 +5 2 0x884 0x42a73244 @@ -34934,6 +43668,8 @@ 0 0 0 +1 +2 2 0x2d9 0x9c322177 @@ -34943,6 +43679,8 @@ 1 0 3 +4 +3 0x579b 0x702cf0ae 256 @@ -34950,6 +43688,8 @@ 1 0 0 +5 +4 9 0xaf04 0x9a12cd64 @@ -34958,6 +43698,8 @@ 1 1 0 +3 +1 10 0xffb 0x4e7ac41 @@ -34967,6 +43709,8 @@ 0 0 3 +3 +3 0x5777 0xcc66ab7 256 @@ -34974,6 +43718,8 @@ 1 1 0 +4 +2 3 0x456b 0x677cc624 @@ -34982,6 +43728,8 @@ 1 1 0 +0 +2 5 0x79c3 0x7ae1330c @@ -34990,6 +43738,8 @@ 0 0 0 +1 +2 3 0x7b7f 0x8472d5c @@ -34998,6 +43748,8 @@ 1 0 0 +0 +1 4 0x1637 0x7265d68b @@ -35006,6 +43758,8 @@ 1 1 0 +4 +2 7 0x785c 0x45a23f60 @@ -35014,6 +43768,8 @@ 0 0 0 +1 +2 7 0x6952 0x5b9b907b @@ -35022,6 +43778,8 @@ 1 1 0 +2 +4 1 0x732c 0xf2468d17 @@ -35030,6 +43788,8 @@ 0 0 0 +2 +4 1 0xefa9 0x17c84bf0 @@ -35038,6 +43798,8 @@ 0 0 0 +4 +0 1 0xdbcc 0x171ffae8 @@ -35046,6 +43808,8 @@ 0 0 0 +2 +1 5 0x8d9f 0xcb450caf @@ -35055,6 +43819,8 @@ 0 0 1 +3 +1 0x4826 0xfe2c52bc 256 @@ -35062,6 +43828,8 @@ 1 1 0 +1 +2 4 0x1acc 0x5e6805a @@ -35070,6 +43838,8 @@ 0 0 0 +5 +3 3 0x893d 0x8b066750 @@ -35078,6 +43848,8 @@ 0 0 0 +0 +1 1 0x3d71 0x5b371f8d @@ -35086,6 +43858,8 @@ 1 0 0 +3 +0 7 0xdfa9 0x7f802060 @@ -35094,6 +43868,8 @@ 0 0 0 +0 +3 3 0x895c 0x71b72825 @@ -35102,6 +43878,8 @@ 1 0 0 +5 +4 4 0x94e7 0x7c90cdca @@ -35110,6 +43888,8 @@ 1 1 0 +3 +4 9 0xafbf 0x2a24d7f2 @@ -35118,6 +43898,8 @@ 0 0 0 +0 +1 1 0xe2f7 0x8da793e2 @@ -35126,6 +43908,8 @@ 1 0 0 +1 +4 7 0x50ff 0x5cb5d09b @@ -35135,6 +43919,8 @@ 0 0 4 +1 +4 0x8a5b 0xfb24c98b 256 @@ -35142,6 +43928,8 @@ 0 0 0 +3 +2 5 0x55ae 0x433877f2 @@ -35150,6 +43938,8 @@ 1 0 0 +1 +2 8 0x3034 0x5a8eb20e @@ -35159,6 +43949,8 @@ 0 0 2 +1 +2 0x51e3 0xf5920817 256 @@ -35166,6 +43958,8 @@ 1 1 0 +2 +4 10 0x5c6e 0x2e1b4d66 @@ -35174,6 +43968,8 @@ 1 1 0 +5 +2 3 0x6b87 0x6ea19725 @@ -35182,6 +43978,8 @@ 0 0 0 +0 +3 4 0xb7f4 0x22b2294f @@ -35190,6 +43988,8 @@ 0 0 0 +3 +5 10 0x8a 0x868b5242 @@ -35198,6 +43998,8 @@ 0 0 0 +1 +5 8 0x9779 0xeb5ad110 @@ -35206,6 +44008,8 @@ 0 0 0 +2 +0 7 0x497d 0x673ae0cc @@ -35214,6 +44018,8 @@ 1 1 0 +0 +2 2 0x1998 0xbbfca890 @@ -35222,6 +44028,8 @@ 0 0 0 +0 +4 9 0x2139 0x70946657 @@ -35230,6 +44038,8 @@ 0 0 0 +0 +4 4 0x4bb7 0x8b90a802 @@ -35238,6 +44048,8 @@ 0 0 0 +5 +0 8 0x7265 0x9ff66ee9 @@ -35246,6 +44058,8 @@ 1 1 0 +0 +4 4 0x882c 0xf9de78a8 @@ -35254,6 +44068,8 @@ 0 0 0 +4 +2 1 0x5056 0x34ae6cc9 @@ -35262,6 +44078,8 @@ 1 1 0 +1 +5 2 0x85ff 0x3f4f9f19 @@ -35270,6 +44088,8 @@ 1 0 0 +3 +5 5 0xf628 0xc988808a @@ -35278,6 +44098,8 @@ 0 0 0 +1 +3 4 0xc1d4 0xb61352b9 @@ -35286,6 +44108,8 @@ 0 0 0 +4 +2 8 0x2efa 0x7295503 @@ -35294,6 +44118,8 @@ 0 0 0 +3 +0 6 0x3ba6 0x89b42924 @@ -35302,6 +44128,8 @@ 1 1 0 +3 +5 2 0x56ca 0x8d034a72 @@ -35310,6 +44138,8 @@ 1 0 0 +4 +3 2 0xabe2 0xe8942ee9 @@ -35318,6 +44148,8 @@ 0 0 0 +5 +2 1 0x8249 0x1254a2ac @@ -35326,6 +44158,8 @@ 1 0 0 +4 +3 3 0xd268 0x74402506 @@ -35334,6 +44168,8 @@ 1 1 0 +5 +5 7 0x509f 0xd1f327ea @@ -35342,6 +44178,8 @@ 1 1 0 +0 +4 9 0xd69c 0x1a7c0efb @@ -35350,6 +44188,8 @@ 0 0 0 +1 +2 8 0xdff0 0xfec01f3f @@ -35358,6 +44198,8 @@ 1 0 0 +3 +0 9 0x2341 0x54bb59a1 @@ -35367,6 +44209,8 @@ 0 0 3 +5 +3 0x1561 0xdbaa4d98 256 @@ -35374,6 +44218,8 @@ 1 1 0 +4 +3 7 0x2aee 0x46791dd9 @@ -35382,6 +44228,8 @@ 0 0 0 +5 +0 8 0xeafb 0x638ac040 @@ -35390,6 +44238,8 @@ 1 1 0 +2 +1 1 0x7f7f 0x73120506 @@ -35398,6 +44248,8 @@ 1 1 0 +2 +5 7 0x3de0 0xd226cb0f @@ -35406,6 +44258,8 @@ 1 1 0 +5 +0 3 0x1e81 0xfb6b5c3b @@ -35415,6 +44269,8 @@ 1 0 1 +5 +1 0xf374 0x3606f01b 256 @@ -35422,6 +44278,8 @@ 1 1 0 +2 +1 9 0x5d7a 0x9b17dcc3 @@ -35430,6 +44288,8 @@ 1 0 0 +1 +2 4 0x7145 0x379b6403 @@ -35438,6 +44298,8 @@ 1 0 0 +5 +2 3 0x6d2f 0x9db66f04 @@ -35447,6 +44309,8 @@ 0 0 4 +0 +4 0xc510 0xd4a535e6 256 @@ -35454,6 +44318,8 @@ 0 0 0 +0 +4 10 0xb291 0x18ca637c @@ -35462,6 +44328,8 @@ 1 1 0 +2 +4 3 0x2c4 0x4cef897c @@ -35470,6 +44338,8 @@ 1 0 0 +5 +4 7 0x4cc0 0xda1cc62b @@ -35478,6 +44348,8 @@ 1 1 0 +5 +0 6 0xa5c 0x864e1fb2 @@ -35486,6 +44358,8 @@ 1 1 0 +4 +0 5 0x5ea1 0x7e8612af @@ -35494,6 +44368,8 @@ 0 0 0 +5 +0 4 0x1719 0xbd4d0328 @@ -35502,6 +44378,8 @@ 0 0 0 +5 +4 4 0x7c 0xb7181759 @@ -35510,6 +44388,8 @@ 1 0 0 +0 +1 10 0xe5fc 0xb215d390 @@ -35519,6 +44399,8 @@ 0 0 4 +3 +4 0xd38 0xeb70f891 256 @@ -35526,6 +44408,8 @@ 1 0 0 +5 +4 10 0x68a5 0xe137e974 @@ -35534,6 +44418,8 @@ 1 0 0 +3 +4 8 0x96f7 0x2450df06 @@ -35542,6 +44428,8 @@ 1 0 0 +2 +3 4 0x91b8 0x763db19d @@ -35550,6 +44438,8 @@ 0 0 0 +1 +2 9 0x9947 0x24c0230a @@ -35558,6 +44448,8 @@ 0 0 0 +5 +3 8 0x3e26 0xa9eb35a6 @@ -35567,6 +44459,8 @@ 0 0 2 +1 +2 0x716c 0xaaf32392 256 @@ -35574,6 +44468,8 @@ 1 0 0 +5 +0 4 0xcd96 0xc369c3d8 @@ -35582,6 +44478,8 @@ 1 0 0 +2 +2 6 0x3bcd 0x800ddc42 @@ -35590,6 +44488,8 @@ 0 0 0 +1 +1 3 0x70d4 0xd95ccaad @@ -35598,6 +44498,8 @@ 1 1 0 +3 +1 1 0xf5d4 0x5ac5e8ff @@ -35606,6 +44508,8 @@ 0 0 0 +1 +4 6 0xea41 0xa6b5cb4b @@ -35614,6 +44518,8 @@ 1 1 0 +0 +1 1 0xa8e4 0xa87bfaa5 @@ -35622,6 +44528,8 @@ 1 0 0 +0 +3 10 0x884b 0xc71be625 @@ -35630,6 +44538,8 @@ 1 1 0 +3 +5 9 0x8401 0x95f1b341 @@ -35638,6 +44548,8 @@ 0 0 0 +3 +3 9 0x2889 0x827d92fc @@ -35647,6 +44559,8 @@ 0 0 4 +2 +4 0x2651 0x18e2ae76 256 @@ -35654,6 +44568,8 @@ 1 0 0 +5 +2 10 0x38e 0x8104b3fb @@ -35662,6 +44578,8 @@ 1 1 0 +5 +5 1 0x20d 0x1a2a7a22 @@ -35670,6 +44588,8 @@ 1 0 0 +3 +5 1 0x944d 0xae3ba6e1 @@ -35678,6 +44598,8 @@ 0 0 0 +1 +1 6 0x58a4 0xdd06973 @@ -35686,6 +44608,8 @@ 0 0 0 +3 +4 7 0x896e 0x6014a23e @@ -35694,6 +44618,8 @@ 0 0 0 +1 +0 10 0x8c02 0x7950c7db @@ -35702,6 +44628,8 @@ 0 0 0 +1 +2 3 0xf0e5 0x82fa29d @@ -35710,6 +44638,8 @@ 1 1 0 +3 +5 1 0x1914 0x297ed981 @@ -35718,6 +44648,8 @@ 0 0 0 +1 +5 3 0xa0fd 0x7c0f0a68 @@ -35726,6 +44658,8 @@ 0 0 0 +5 +2 2 0xf5e0 0x4ca170b @@ -35734,6 +44668,8 @@ 1 1 0 +5 +0 10 0x2965 0x513e49cc @@ -35742,6 +44678,8 @@ 0 0 0 +4 +5 2 0x80c7 0xf011233 @@ -35750,6 +44688,8 @@ 1 1 0 +4 +3 5 0xd520 0xf161fc54 @@ -35758,6 +44698,8 @@ 1 1 0 +0 +3 10 0xd5cd 0xee2d995 @@ -35766,6 +44708,8 @@ 0 0 0 +4 +5 3 0x15e1 0x23a99f43 @@ -35774,6 +44718,8 @@ 1 1 0 +3 +0 9 0x91d0 0xf4503bef @@ -35782,6 +44728,8 @@ 1 1 0 +3 +0 5 0x1702 0xe5c474c9 @@ -35790,6 +44738,8 @@ 0 0 0 +0 +5 3 0x31f1 0x1bb6bd0a @@ -35798,6 +44748,8 @@ 0 0 0 +5 +5 1 0x7986 0x65a12b1f @@ -35806,6 +44758,8 @@ 1 0 0 +0 +5 2 0xbc63 0x1ab5b121 @@ -35814,6 +44768,8 @@ 1 0 0 +0 +4 8 0xe61d 0x1d8c0d89 @@ -35822,6 +44778,8 @@ 0 0 0 +4 +4 2 0x6d21 0x5e7b7d25 @@ -35830,6 +44788,8 @@ 0 0 0 +2 +5 7 0x7362 0xc131de3d @@ -35838,6 +44798,8 @@ 1 0 0 +5 +4 2 0x5c27 0xbd55816c @@ -35846,6 +44808,8 @@ 0 0 0 +5 +1 8 0xfa37 0xfaa3fd5f @@ -35854,6 +44818,8 @@ 0 0 0 +2 +4 6 0x3a4b 0xa2b3fc32 @@ -35862,6 +44828,8 @@ 1 0 0 +5 +2 8 0x68d1 0xc5859123 @@ -35870,6 +44838,8 @@ 0 0 0 +3 +3 6 0x1628 0xc76f09d6 @@ -35878,6 +44848,8 @@ 0 0 0 +4 +1 10 0x3afa 0xb2ad3231 @@ -35886,6 +44858,8 @@ 1 0 0 +5 +3 10 0x566f 0x25eca561 @@ -35894,6 +44868,8 @@ 1 0 0 +1 +4 9 0x9215 0x2b79765 @@ -35902,6 +44878,8 @@ 1 0 0 +0 +2 9 0x4536 0x359c693d @@ -35911,6 +44889,8 @@ 0 0 1 +3 +1 0x6fad 0x1c594311 256 @@ -35918,6 +44898,8 @@ 1 1 0 +2 +5 5 0xd24 0xde801c59 @@ -35926,6 +44908,8 @@ 1 0 0 +2 +4 8 0xef93 0x88b9120f @@ -35934,6 +44918,8 @@ 1 0 0 +4 +3 1 0xe768 0xea935ad7 @@ -35942,6 +44928,8 @@ 1 0 0 +5 +5 3 0x9dee 0xf2800483 @@ -35950,6 +44938,8 @@ 0 0 0 +4 +4 3 0xe44b 0x3142ed64 @@ -35958,6 +44948,8 @@ 1 1 0 +3 +1 2 0x23f3 0xce6f22d1 @@ -35966,6 +44958,8 @@ 0 0 0 +4 +1 9 0x72c6 0xeefd47b0 @@ -35974,6 +44968,8 @@ 1 1 0 +5 +2 6 0x689c 0x646b4602 @@ -35982,6 +44978,8 @@ 1 0 0 +0 +2 9 0x23d3 0xad742bdc @@ -35990,6 +44988,8 @@ 0 0 0 +4 +3 1 0x1cca 0x565a6000 @@ -35998,6 +44998,8 @@ 0 0 0 +3 +3 8 0x98ff 0x9831e2dc @@ -36006,6 +45008,8 @@ 0 0 0 +2 +0 8 0xc3d 0x886a212c @@ -36014,6 +45018,8 @@ 1 1 0 +0 +3 10 0x1e04 0xcbffd9f @@ -36022,6 +45028,8 @@ 0 0 0 +1 +4 9 0xdd5e 0x299c28f4 @@ -36030,6 +45038,8 @@ 0 0 0 +2 +2 8 0xc68b 0x278e6dec @@ -36038,6 +45048,8 @@ 0 0 0 +2 +5 7 0xe07f 0x5119cf1a @@ -36046,6 +45058,8 @@ 0 0 0 +0 +0 7 0xf989 0x4eae9089 @@ -36054,6 +45068,8 @@ 1 0 0 +5 +2 6 0xfd3 0x36c74137 @@ -36062,6 +45078,8 @@ 0 0 0 +3 +3 7 0x9b4f 0x86e95dea @@ -36070,6 +45088,8 @@ 1 1 0 +4 +3 6 0xde68 0xdecbefda @@ -36078,6 +45098,8 @@ 0 0 0 +5 +2 2 0x3bdf 0xe1c138eb @@ -36087,6 +45109,8 @@ 1 0 3 +1 +3 0x9f5a 0xac17246a 256 @@ -36094,6 +45118,8 @@ 1 1 0 +3 +4 4 0x718c 0x24f7716a @@ -36102,6 +45128,8 @@ 0 0 0 +5 +0 2 0xf93 0xb6ef908a @@ -36110,6 +45138,8 @@ 1 1 0 +2 +3 6 0xa4b2 0x2a249664 @@ -36118,6 +45148,8 @@ 1 1 0 +3 +2 6 0x5c8c 0x67c32414 @@ -36126,6 +45158,8 @@ 1 0 0 +2 +4 5 0x68ff 0xc27a4c44 @@ -36134,6 +45168,8 @@ 1 1 0 +1 +3 7 0xb965 0x62f84571 @@ -36142,6 +45178,8 @@ 0 0 0 +3 +4 4 0x4689 0xf035aa64 @@ -36150,6 +45188,8 @@ 1 1 0 +0 +1 9 0x629c 0xccfb4980 @@ -36158,6 +45198,8 @@ 1 1 0 +1 +4 6 0x1698 0x3f3ce04c @@ -36166,6 +45208,8 @@ 0 0 0 +2 +3 6 0x3269 0xdc2c50bd @@ -36174,6 +45218,8 @@ 1 0 0 +1 +2 8 0xbc1e 0x6823e4b4 @@ -36182,6 +45228,8 @@ 0 0 0 +0 +0 5 0x5968 0x7bd138e8 @@ -36190,6 +45238,8 @@ 0 0 0 +3 +1 9 0x641a 0xeb70fc4c @@ -36198,6 +45248,8 @@ 1 1 0 +3 +1 8 0x80be 0x14470aa0 @@ -36206,6 +45258,8 @@ 1 1 0 +4 +1 7 0x6275 0x2da216b2 @@ -36214,6 +45268,8 @@ 0 0 0 +4 +0 3 0xbd04 0x1a197be6 @@ -36222,6 +45278,8 @@ 1 1 0 +1 +3 5 0xd866 0xc7279b06 @@ -36230,6 +45288,8 @@ 0 0 0 +1 +1 9 0x3024 0xa1c0d19c @@ -36238,6 +45298,8 @@ 1 1 0 +2 +4 1 0xc313 0x8af29eda @@ -36246,6 +45308,8 @@ 1 1 0 +0 +3 3 0x5324 0xd947941 @@ -36254,6 +45318,8 @@ 1 1 0 +4 +4 7 0x7f65 0x3dfb59e3 @@ -36262,6 +45328,8 @@ 1 0 0 +5 +2 7 0x9180 0xadde922b @@ -36270,6 +45338,8 @@ 1 1 0 +1 +1 2 0xfc83 0x1d642253 @@ -36278,6 +45348,8 @@ 0 0 0 +0 +5 1 0xe8d7 0x1a293cff @@ -36286,6 +45358,8 @@ 0 0 0 +1 +4 4 0x4a 0x3e459dbc @@ -36294,6 +45368,8 @@ 1 0 0 +1 +0 8 0xbe4a 0xd038a01a @@ -36302,6 +45378,8 @@ 0 0 0 +2 +5 5 0x222d 0xcffcc87 @@ -36310,6 +45388,8 @@ 1 0 0 +4 +5 3 0xde93 0x90c04b17 @@ -36318,6 +45398,8 @@ 0 0 0 +3 +0 9 0xb547 0x1e4a82ca @@ -36326,6 +45408,8 @@ 0 0 0 +1 +3 8 0xd64b 0xff15adab @@ -36334,6 +45418,8 @@ 1 0 0 +5 +1 4 0x48f7 0x7a043b5a @@ -36342,6 +45428,8 @@ 1 0 0 +3 +5 8 0xff39 0xaa4efe2e @@ -36350,6 +45438,8 @@ 0 0 0 +2 +0 7 0x8bcf 0xa543be05 @@ -36358,6 +45448,8 @@ 1 1 0 +0 +2 10 0x32c1 0x353191bc @@ -36366,6 +45458,8 @@ 1 1 0 +2 +5 8 0xdc8d 0xf754c9e1 @@ -36374,6 +45468,8 @@ 0 0 0 +3 +5 8 0x3ac6 0xa73b0f06 @@ -36382,6 +45478,8 @@ 1 1 0 +3 +3 9 0x74f9 0xf2af225 @@ -36390,6 +45488,8 @@ 0 0 0 +3 +5 10 0xc0b7 0x231cfd6e @@ -36398,6 +45498,8 @@ 1 1 0 +4 +1 10 0x5ff8 0x53cd4b2c @@ -36406,6 +45508,8 @@ 0 0 0 +3 +4 10 0xa83d 0x5377c408 @@ -36414,6 +45518,8 @@ 0 0 0 +3 +1 2 0xf4cc 0xa073aaaf @@ -36422,6 +45528,8 @@ 1 1 0 +5 +3 6 0x7615 0xa3e609a @@ -36430,6 +45538,8 @@ 0 0 0 +4 +4 6 0x12d7 0x687dd7ab @@ -36438,6 +45548,8 @@ 0 0 0 +0 +2 10 0x3955 0xc408966b @@ -36446,6 +45558,8 @@ 1 0 0 +4 +0 10 0x3598 0x4b56e523 @@ -36454,6 +45568,8 @@ 0 0 0 +4 +3 8 0xb86 0xe1c60b70 @@ -36462,6 +45578,8 @@ 1 1 0 +3 +4 10 0xd4f7 0x9396afe @@ -36470,6 +45588,8 @@ 0 0 0 +2 +5 10 0x576 0xdda61a6 @@ -36478,6 +45598,8 @@ 1 0 0 +1 +4 3 0x2a61 0xa712bba1 @@ -36486,6 +45608,8 @@ 0 0 0 +0 +0 6 0xbe3b 0xf2ef41cf @@ -36494,6 +45618,8 @@ 1 1 0 +1 +1 9 0x812c 0x113b10d8 @@ -36502,6 +45628,8 @@ 1 1 0 +3 +2 4 0xf0a8 0xaaf90b74 @@ -36510,6 +45638,8 @@ 0 0 0 +4 +2 2 0x812a 0xe5a37699 @@ -36518,6 +45648,8 @@ 1 1 0 +5 +0 2 0x9b9e 0xc561c87e @@ -36526,6 +45658,8 @@ 0 0 0 +0 +4 9 0x117a 0xfffd0bff @@ -36535,6 +45669,8 @@ 1 0 5 +4 +5 0x8316 0x318ce8fc 256 @@ -36542,6 +45678,8 @@ 1 1 0 +4 +1 9 0x314d 0x3684fbfb @@ -36550,6 +45688,8 @@ 1 0 0 +4 +1 2 0xb686 0xa6eaaf78 @@ -36558,6 +45698,8 @@ 1 1 0 +0 +0 4 0x54de 0x775ac1fb @@ -36567,6 +45709,8 @@ 0 0 3 +3 +3 0xe1ad 0xd9644e45 256 @@ -36574,6 +45718,8 @@ 1 0 0 +5 +1 8 0x5e25 0x8c279c77 @@ -36582,6 +45728,8 @@ 0 0 0 +1 +4 3 0x4f3e 0xcc15db6a @@ -36590,6 +45738,8 @@ 1 0 0 +5 +5 8 0x368b 0x47af072 @@ -36598,6 +45748,8 @@ 0 0 0 +0 +2 1 0xe90a 0x766c900c @@ -36606,6 +45758,8 @@ 0 0 0 +5 +3 7 0xf091 0x3d3a454 @@ -36614,6 +45768,8 @@ 0 0 0 +5 +1 6 0xa411 0x5b404a31 @@ -36622,6 +45778,8 @@ 0 0 0 +4 +3 1 0x2944 0x45635e3a @@ -36630,6 +45788,8 @@ 0 0 0 +5 +2 4 0x8108 0x32b6a8ec @@ -36638,6 +45798,8 @@ 1 1 0 +1 +4 10 0x14f9 0xc1c31d27 @@ -36647,6 +45809,8 @@ 0 0 2 +0 +2 0x128d 0xb2afdd81 256 @@ -36654,6 +45818,8 @@ 0 0 0 +0 +0 3 0x903c 0xac15d328 @@ -36662,6 +45828,8 @@ 0 0 0 +5 +5 10 0xe86e 0x6076963d @@ -36670,6 +45838,8 @@ 0 0 0 +2 +4 8 0xc1b7 0x39ced270 @@ -36678,6 +45848,8 @@ 0 0 0 +0 +3 6 0xe694 0xe40f7aac @@ -36687,6 +45859,8 @@ 0 0 1 +1 +1 0x4545 0x42d4e215 256 @@ -36694,6 +45868,8 @@ 0 0 0 +5 +5 7 0x1f1f 0xf600b455 @@ -36702,6 +45878,8 @@ 1 1 0 +4 +3 1 0x3a8 0xa9369b53 @@ -36710,6 +45888,8 @@ 0 0 0 +1 +4 3 0x6250 0x86215b66 @@ -36718,6 +45898,8 @@ 1 1 0 +3 +4 6 0x6ede 0x9b492732 @@ -36727,6 +45909,8 @@ 0 0 3 +1 +3 0x12a4 0x71945236 256 @@ -36734,6 +45918,8 @@ 1 1 0 +4 +4 2 0x69fa 0x1700d954 @@ -36743,6 +45929,8 @@ 0 0 4 +1 +4 0x874f 0xd3876ffd 256 @@ -36750,6 +45938,8 @@ 1 0 0 +4 +4 1 0x4912 0xbe766971 @@ -36758,6 +45948,8 @@ 1 1 0 +3 +1 5 0x8992 0x8a7621e @@ -36766,6 +45958,8 @@ 0 0 0 +0 +5 3 0xae9e 0xb33cdc6 @@ -36774,6 +45968,8 @@ 1 1 0 +3 +2 8 0x6115 0x1645dab2 @@ -36782,6 +45978,8 @@ 0 0 0 +2 +0 8 0x74b 0xf2951e57 @@ -36790,6 +45988,8 @@ 1 1 0 +5 +0 3 0xdc8c 0xe0f69a67 @@ -36798,6 +45998,8 @@ 1 0 0 +1 +4 8 0x3d79 0xe7a2f9ab @@ -36806,6 +46008,8 @@ 1 1 0 +4 +0 8 0xd1f5 0xf2846a6b @@ -36814,6 +46018,8 @@ 1 0 0 +1 +4 6 0xa309 0xc06e5e3d @@ -36822,6 +46028,8 @@ 0 0 0 +2 +0 6 0x9a70 0xb330b59 @@ -36830,6 +46038,8 @@ 1 1 0 +5 +5 4 0xe9 0x5542eb49 @@ -36838,6 +46048,8 @@ 0 0 0 +3 +3 4 0x7fb6 0xc8e02861 @@ -36846,6 +46058,8 @@ 1 1 0 +1 +0 7 0x6ae6 0x48295d7c @@ -36854,6 +46068,8 @@ 1 0 0 +1 +5 4 0x6e9e 0xf86d21ae @@ -36862,6 +46078,8 @@ 0 0 0 +4 +4 7 0x97ca 0xd70e84db @@ -36870,6 +46088,8 @@ 1 0 0 +5 +4 1 0x54b6 0x733646c6 @@ -36878,6 +46098,8 @@ 1 1 0 +0 +0 1 0xbffa 0x8f4bec16 @@ -36886,6 +46108,8 @@ 0 0 0 +2 +1 5 0x418 0x234b02d @@ -36894,6 +46118,8 @@ 1 0 0 +3 +5 10 0xdf05 0x8a2e7e7b @@ -36902,6 +46128,8 @@ 0 0 0 +4 +0 9 0xd9f4 0x5bd277d7 @@ -36910,6 +46138,8 @@ 1 0 0 +3 +1 5 0x176e 0x45cf41ca @@ -36918,6 +46148,8 @@ 1 1 0 +3 +3 1 0x340e 0x1e159932 @@ -36926,6 +46158,8 @@ 1 1 0 +0 +4 3 0x9154 0x3261a002 @@ -36934,6 +46168,8 @@ 1 0 0 +0 +0 10 0xae39 0xed59d839 @@ -36942,6 +46178,8 @@ 0 0 0 +0 +1 1 0x7c75 0xbe33e6e9 @@ -36950,6 +46188,8 @@ 1 1 0 +2 +1 9 0xe813 0xb4b9f3ea @@ -36958,6 +46198,8 @@ 1 1 0 +0 +4 5 0xdf1e 0x9e5b78c2 @@ -36966,6 +46208,8 @@ 0 0 0 +5 +2 10 0x5625 0xc7ca094b @@ -36974,6 +46218,8 @@ 1 0 0 +5 +1 7 0x7676 0xc8f26406 @@ -36982,6 +46228,8 @@ 1 0 0 +1 +4 3 0xf6dc 0xa2e2b48a @@ -36990,6 +46238,8 @@ 0 0 0 +1 +2 2 0x52d1 0x19929920 @@ -36998,6 +46248,8 @@ 1 1 0 +4 +5 3 0xcec2 0x72321e3a @@ -37006,6 +46258,8 @@ 0 0 0 +3 +5 6 0x6cf0 0xc0711ffb @@ -37014,6 +46268,8 @@ 1 0 0 +3 +5 8 0x5973 0xa32e1366 @@ -37022,6 +46278,8 @@ 1 1 0 +5 +5 3 0x60e0 0xddb7385c @@ -37030,6 +46288,8 @@ 1 0 0 +4 +2 3 0x5647 0xdc2f3fe1 @@ -37038,6 +46298,8 @@ 1 1 0 +2 +5 1 0x66a3 0x3480aa1a @@ -37046,6 +46308,8 @@ 1 0 0 +0 +1 1 0xcf06 0x936c4741 @@ -37054,6 +46318,8 @@ 0 0 0 +1 +5 4 0xc506 0xf129134a @@ -37062,6 +46328,8 @@ 1 1 0 +5 +5 7 0x189b 0xd0d8e38b @@ -37070,6 +46338,8 @@ 0 0 0 +3 +4 8 0x8e5d 0xe709787f @@ -37078,6 +46348,8 @@ 1 1 0 +3 +5 2 0x79ca 0x867df153 @@ -37086,6 +46358,8 @@ 1 1 0 +5 +0 1 0x6c9f 0xfb84d275 @@ -37094,6 +46368,8 @@ 0 0 0 +2 +2 6 0x2205 0x621d5375 @@ -37102,6 +46378,8 @@ 1 1 0 +0 +1 2 0x6b4a 0x4cb909c1 @@ -37110,6 +46388,8 @@ 1 1 0 +1 +4 3 0x99a3 0x9340baa5 @@ -37118,6 +46398,8 @@ 0 0 0 +5 +4 9 0xc4f7 0xe65ee1ab @@ -37126,6 +46408,8 @@ 1 0 0 +1 +0 5 0xdbe6 0x6c40c5a @@ -37134,6 +46418,8 @@ 0 0 0 +0 +4 6 0xeb3 0xbac08f8a @@ -37142,6 +46428,8 @@ 1 1 0 +1 +1 8 0xd15a 0xa4a5242a @@ -37150,6 +46438,8 @@ 1 0 0 +5 +1 4 0x1495 0x23094846 @@ -37158,6 +46448,8 @@ 1 1 0 +5 +1 1 0xdb46 0x402e01ae @@ -37166,6 +46458,8 @@ 0 0 0 +4 +4 3 0x8e41 0x544b080a @@ -37174,6 +46468,8 @@ 0 0 0 +2 +0 5 0xad90 0xde6a98eb @@ -37182,6 +46478,8 @@ 0 0 0 +3 +0 1 0x26ce 0x6f34640a @@ -37190,6 +46488,8 @@ 0 0 0 +2 +1 7 0xa070 0xfd4c4271 @@ -37198,6 +46498,8 @@ 0 0 0 +1 +2 2 0x8ac0 0x5999c4fc @@ -37206,6 +46508,8 @@ 1 1 0 +3 +0 5 0x519f 0xe891de0b @@ -37214,6 +46518,8 @@ 1 1 0 +2 +3 4 0xd356 0xf1d12fee @@ -37223,6 +46529,8 @@ 0 0 3 +0 +3 0xabe2 0x4eb1416e 256 @@ -37230,6 +46538,8 @@ 0 0 0 +2 +5 7 0x6609 0x393bbedb @@ -37238,6 +46548,8 @@ 1 1 0 +5 +2 2 0xd2e7 0xc7a40a09 @@ -37246,6 +46558,8 @@ 0 0 0 +5 +1 4 0xc852 0xb7ce4978 @@ -37254,6 +46568,8 @@ 0 0 0 +5 +5 10 0x90e 0xe46158a2 @@ -37262,6 +46578,8 @@ 1 0 0 +4 +2 3 0x7c61 0x525bf52d @@ -37270,6 +46588,8 @@ 0 0 0 +5 +0 6 0x8c28 0x2779606c @@ -37278,6 +46598,8 @@ 1 1 0 +0 +3 7 0x8f3 0x76a88da9 @@ -37286,6 +46608,8 @@ 1 1 0 +4 +3 2 0x6980 0x85ceb232 @@ -37294,6 +46618,8 @@ 0 0 0 +0 +5 4 0x77ba 0x8238665f @@ -37302,6 +46628,8 @@ 0 0 0 +3 +4 8 0x6033 0x83a6c052 @@ -37310,6 +46638,8 @@ 1 1 0 +0 +3 10 0xac6b 0xfded7144 @@ -37318,6 +46648,8 @@ 0 0 0 +5 +2 8 0x9197 0xebcad8eb @@ -37326,6 +46658,8 @@ 0 0 0 +3 +0 7 0x73fb 0xb7636d87 @@ -37334,6 +46668,8 @@ 1 1 0 +1 +3 7 0xb7d 0x7a305919 @@ -37342,6 +46678,8 @@ 0 0 0 +3 +4 4 0x5bb4 0xa71f3725 @@ -37350,6 +46688,8 @@ 0 0 0 +3 +2 8 0x2b06 0xdbba7 @@ -37359,6 +46699,8 @@ 0 0 3 +5 +3 0xf0c4 0xefe6558b 256 @@ -37366,6 +46708,8 @@ 1 1 0 +4 +0 5 0x545c 0xbf42c99f @@ -37374,6 +46718,8 @@ 0 0 0 +5 +2 4 0xd513 0xd2caf986 @@ -37382,6 +46728,8 @@ 1 1 0 +3 +0 8 0xc4fd 0xbdc62d30 @@ -37390,6 +46738,8 @@ 0 0 0 +1 +3 5 0x4ae7 0x7d8b14ec @@ -37398,6 +46748,8 @@ 0 0 0 +0 +0 6 0x5826 0xba60205e @@ -37406,6 +46758,8 @@ 0 0 0 +5 +3 6 0x2af5 0xd00bc434 @@ -37414,6 +46768,8 @@ 0 0 0 +4 +4 5 0xc10f 0xb9781394 @@ -37422,6 +46778,8 @@ 1 0 0 +5 +0 2 0x6a63 0x78a3e437 @@ -37430,6 +46788,8 @@ 0 0 0 +3 +0 8 0x82be 0xbb911794 @@ -37438,6 +46798,8 @@ 0 0 0 +0 +2 6 0xc7b4 0x9de4ec20 @@ -37446,6 +46808,8 @@ 1 1 0 +3 +2 6 0x7f2 0x77053ac1 @@ -37454,6 +46818,8 @@ 0 0 0 +4 +4 10 0xe490 0x9613103c @@ -37463,6 +46829,8 @@ 1 0 5 +4 +5 0xa4 0x6baa1be7 256 @@ -37470,6 +46838,8 @@ 0 0 0 +3 +3 9 0x777e 0xe7057420 @@ -37478,6 +46848,8 @@ 0 0 0 +5 +4 4 0xeccd 0xfdec075b @@ -37486,6 +46858,8 @@ 0 0 0 +1 +0 5 0xa2c7 0x9ae9ccb8 @@ -37494,6 +46868,8 @@ 0 0 0 +0 +4 8 0xe9c9 0xaae82bad @@ -37502,6 +46878,8 @@ 0 0 0 +5 +2 1 0xac31 0x3eddcf3f @@ -37510,6 +46888,8 @@ 0 0 0 +3 +4 5 0xf1eb 0xfbb21c51 @@ -37518,6 +46898,8 @@ 0 0 0 +3 +0 10 0x5a88 0xc14b5dac @@ -37526,6 +46908,8 @@ 1 0 0 +4 +2 7 0x5805 0xaa9070a6 @@ -37534,6 +46918,8 @@ 0 0 0 +1 +4 2 0x96c5 0x5e6e1722 @@ -37542,6 +46928,8 @@ 0 0 0 +2 +5 3 0x6dd1 0x1a26c12 @@ -37550,6 +46938,8 @@ 1 1 0 +0 +2 5 0xaaf8 0x6ee6ebf7 @@ -37558,6 +46948,8 @@ 0 0 0 +5 +5 6 0x9629 0x66d2d6f1 @@ -37566,6 +46958,8 @@ 1 0 0 +4 +0 8 0xcca 0x17472b09 @@ -37574,6 +46968,8 @@ 1 0 0 +4 +2 6 0x615b 0x7e99d19 @@ -37582,6 +46978,8 @@ 0 0 0 +0 +4 7 0x4482 0x4f0bb975 @@ -37590,6 +46988,8 @@ 1 1 0 +2 +0 5 0xed44 0xe1263945 @@ -37598,6 +46998,8 @@ 0 0 0 +1 +2 5 0x94df 0xdbc25469 @@ -37606,6 +47008,8 @@ 1 0 0 +5 +5 9 0x1839 0x51ddde35 @@ -37614,6 +47018,8 @@ 1 1 0 +0 +3 10 0x1cad 0x9d274e2a @@ -37622,6 +47028,8 @@ 1 1 0 +5 +2 4 0xf3b6 0x9734cc1a @@ -37630,6 +47038,8 @@ 1 1 0 +1 +4 7 0x3a18 0xf678eb37 @@ -37638,6 +47048,8 @@ 0 0 0 +0 +4 5 0x829e 0x11b3a0de @@ -37646,6 +47058,8 @@ 0 0 0 +3 +4 4 0x26f0 0x2ad86d63 @@ -37654,6 +47068,8 @@ 0 0 0 +5 +0 1 0xef52 0x71c03b27 @@ -37662,6 +47078,8 @@ 1 0 0 +2 +0 3 0x6337 0xb8835529 @@ -37670,6 +47088,8 @@ 0 0 0 +0 +5 9 0xb94d 0xdeb5a189 @@ -37678,6 +47098,8 @@ 0 0 0 +4 +3 5 0x3d3 0x884f1277 @@ -37686,6 +47108,8 @@ 0 0 0 +5 +5 1 0xf0aa 0x81f113a4 @@ -37694,6 +47118,8 @@ 1 1 0 +3 +5 4 0x8bd6 0x89ab66cc @@ -37702,6 +47128,8 @@ 0 0 0 +1 +4 3 0xe61b 0xac94a785 @@ -37710,6 +47138,8 @@ 1 0 0 +5 +3 4 0xdbe1 0x55830ed @@ -37718,6 +47148,8 @@ 1 0 0 +3 +1 8 0x55ae 0x1a5c6dfe @@ -37726,6 +47158,8 @@ 1 1 0 +5 +3 3 0xe4e4 0x45bb7abf @@ -37734,6 +47168,8 @@ 1 0 0 +0 +2 10 0x1f16 0x8fe67b8f @@ -37742,6 +47178,8 @@ 0 0 0 +5 +0 7 0x190c 0x8b56b83 @@ -37750,6 +47188,8 @@ 0 0 0 +2 +4 3 0xda13 0x6e4ddaa1 @@ -37758,6 +47198,8 @@ 1 0 0 +0 +4 4 0x43df 0x45e231a8 @@ -37766,6 +47208,8 @@ 1 0 0 +4 +3 7 0x10ad 0x9269a541 @@ -37774,6 +47218,8 @@ 1 1 0 +5 +3 6 0xcd15 0x89d84833 @@ -37782,6 +47228,8 @@ 1 1 0 +5 +3 1 0x32d4 0x8fb932e4 @@ -37790,6 +47238,8 @@ 0 0 0 +1 +0 7 0xc047 0x6ce14b11 @@ -37798,6 +47248,8 @@ 1 1 0 +3 +4 1 0x4956 0x60999907 @@ -37806,6 +47258,8 @@ 0 0 0 +0 +3 5 0x563e 0xf9a7c114 @@ -37814,6 +47268,8 @@ 1 1 0 +3 +1 4 0xe66f 0x6ad7cf3 @@ -37822,6 +47278,8 @@ 0 0 0 +0 +1 8 0xa785 0x309cc950 @@ -37830,6 +47288,8 @@ 0 0 0 +3 +3 9 0x74bb 0x726f81fa @@ -37838,6 +47298,8 @@ 1 1 0 +5 +1 10 0x88d7 0xebd92b4d @@ -37846,6 +47308,8 @@ 0 0 0 +1 +0 4 0xca3 0xe41edc8 @@ -37854,6 +47318,8 @@ 1 1 0 +3 +4 5 0x69f4 0x4c8bc354 @@ -37862,6 +47328,8 @@ 1 0 0 +3 +0 9 0x32db 0x73a740a4 @@ -37870,6 +47338,8 @@ 0 0 0 +1 +4 8 0x60db 0x17ddab99 @@ -37878,6 +47348,8 @@ 0 0 0 +2 +1 5 0x1f25 0xed44d64d @@ -37886,6 +47358,8 @@ 1 1 0 +2 +0 10 0x50e9 0x5f2f22b5 @@ -37894,6 +47368,8 @@ 0 0 0 +0 +5 6 0x44d0 0xe8cf5f7 @@ -37902,6 +47378,8 @@ 0 0 0 +0 +1 5 0x1cfb 0xaa76fb0 @@ -37910,6 +47388,8 @@ 0 0 0 +5 +0 10 0x2a7b 0x3b062d11 @@ -37918,6 +47398,8 @@ 0 0 0 +4 +5 8 0x40f0 0xdbe25a28 @@ -37926,6 +47408,8 @@ 0 0 0 +5 +0 8 0xe51d 0xa7c0ef55 @@ -37934,6 +47418,8 @@ 0 0 0 +0 +3 8 0x3ebb 0x99e3657a @@ -37942,6 +47428,8 @@ 1 1 0 +4 +4 5 0x3c41 0x7d96cd2f @@ -37951,6 +47439,8 @@ 0 0 1 +1 +1 0xb317 0x72833e35 256 @@ -37958,6 +47448,8 @@ 1 1 0 +4 +2 2 0x62cb 0xb5fe7d5c @@ -37966,6 +47458,8 @@ 1 1 0 +0 +2 5 0x63a9 0x412d3256 @@ -37974,6 +47468,8 @@ 0 0 0 +4 +0 6 0xaeaf 0xe6c25322 @@ -37982,6 +47478,8 @@ 1 1 0 +3 +4 5 0xf242 0xbf2dfc0f @@ -37990,6 +47488,8 @@ 0 0 0 +1 +3 6 0xbaf5 0x8c04ea99 @@ -37998,6 +47498,8 @@ 0 0 0 +0 +2 3 0x6cdc 0xf1a9c31 @@ -38006,6 +47508,8 @@ 0 0 0 +0 +4 4 0x7daf 0xd24d2c9d @@ -38015,6 +47519,8 @@ 0 0 5 +2 +5 0xdc56 0x91e4e786 256 @@ -38022,6 +47528,8 @@ 0 0 0 +2 +5 1 0x97b5 0x87a1b3a9 @@ -38030,6 +47538,8 @@ 1 1 0 +1 +5 3 0xf359 0x466f47da @@ -38038,6 +47548,8 @@ 0 0 0 +3 +0 4 0x88c6 0x14b1cecf @@ -38047,6 +47559,8 @@ 0 0 2 +3 +2 0x1fe9 0x41c9b9b 256 @@ -38054,6 +47568,8 @@ 0 0 0 +0 +3 8 0xf03c 0x1db1226a @@ -38062,6 +47578,8 @@ 1 1 0 +2 +0 4 0x5933 0xe91e821c @@ -38070,6 +47588,8 @@ 0 0 0 +5 +2 2 0x9f77 0xd31372fa @@ -38078,6 +47598,8 @@ 0 0 0 +4 +2 3 0x7563 0xa1d6d140 @@ -38087,6 +47609,8 @@ 0 0 2 +4 +2 0x2873 0x6494c780 256 @@ -38094,6 +47618,8 @@ 1 1 0 +0 +3 6 0x74c4 0x861bea3b @@ -38102,6 +47628,8 @@ 0 0 0 +5 +3 7 0x8057 0xdf72da89 @@ -38110,6 +47638,8 @@ 1 0 0 +5 +1 4 0x6201 0x41719a2e @@ -38118,6 +47648,8 @@ 1 1 0 +3 +3 6 0xf97 0xbfd5e56b @@ -38126,6 +47658,8 @@ 0 0 0 +2 +3 3 0x11bd 0x9049982e @@ -38134,6 +47668,8 @@ 0 0 0 +4 +3 8 0xf1ac 0xa62447c4 @@ -38142,6 +47678,8 @@ 0 0 0 +4 +3 7 0x3d0f 0xa5daf692 @@ -38150,6 +47688,8 @@ 0 0 0 +5 +0 2 0xb0e 0xfae5c2d5 @@ -38158,6 +47698,8 @@ 1 0 0 +0 +1 7 0xc4b3 0xf5e97844 @@ -38166,6 +47708,8 @@ 1 0 0 +4 +5 2 0x4f5b 0x660541a7 @@ -38174,6 +47718,8 @@ 0 0 0 +1 +0 9 0xa6e3 0x3c3136e6 @@ -38182,6 +47728,8 @@ 1 0 0 +1 +3 3 0xcd4e 0x47cc6df @@ -38190,6 +47738,8 @@ 0 0 0 +5 +3 9 0xfd5c 0x9ca3729e @@ -38198,6 +47748,8 @@ 0 0 0 +0 +2 8 0x4bd8 0x46b5447d @@ -38206,6 +47758,8 @@ 1 1 0 +1 +0 4 0x9dec 0x53e55fd7 @@ -38214,6 +47768,8 @@ 0 0 0 +1 +0 6 0xb39c 0x68da3743 @@ -38222,6 +47778,8 @@ 0 0 0 +4 +0 2 0xdd6a 0xac36c87b @@ -38230,6 +47788,8 @@ 1 1 0 +0 +3 6 0xc341 0x1e460ea5 @@ -38238,6 +47798,8 @@ 0 0 0 +1 +0 8 0x3fd8 0x8356a805 @@ -38246,6 +47808,8 @@ 1 1 0 +2 +0 7 0x3f22 0x6370df49 @@ -38254,6 +47818,8 @@ 1 0 0 +0 +0 8 0xf14e 0xdab537ae @@ -38262,6 +47828,8 @@ 0 0 0 +0 +1 2 0x9452 0x749ca6db @@ -38270,6 +47838,8 @@ 1 1 0 +2 +2 3 0xf5f7 0xa7a967d4 @@ -38278,6 +47848,8 @@ 1 1 0 +0 +0 4 0x1e5 0xe1d0927f @@ -38286,6 +47858,8 @@ 1 0 0 +5 +1 4 0x52be 0xe19d7e4b @@ -38294,6 +47868,8 @@ 0 0 0 +0 +4 3 0xe82b 0x71461c4a @@ -38302,6 +47878,8 @@ 0 0 0 +3 +0 8 0x6261 0xc5ef1646 @@ -38310,6 +47888,8 @@ 0 0 0 +0 +2 3 0x28a9 0x6bfe0da2 @@ -38318,6 +47898,8 @@ 1 0 0 +5 +1 7 0x72ae 0x6a0615fa @@ -38326,6 +47908,8 @@ 0 0 0 +1 +3 7 0x37b6 0x6b21ca47 @@ -38335,6 +47919,8 @@ 0 0 4 +1 +4 0x6d12 0xe23b277f 256 @@ -38342,6 +47928,8 @@ 1 1 0 +5 +5 8 0xb2e4 0xbfe67da4 @@ -38350,6 +47938,8 @@ 0 0 0 +5 +4 2 0x9111 0x9948d2 @@ -38358,6 +47948,8 @@ 1 1 0 +3 +2 2 0x8e51 0xd17842e @@ -38366,6 +47958,8 @@ 1 1 0 +4 +1 9 0x8c42 0xa029406a @@ -38374,6 +47968,8 @@ 1 0 0 +0 +4 6 0x497e 0x9b4bf0c5 @@ -38382,6 +47978,8 @@ 1 1 0 +1 +3 3 0x80b2 0x1fcb9ade @@ -38390,6 +47988,8 @@ 0 0 0 +5 +2 1 0xc8fa 0x6fe9dfd5 @@ -38398,6 +47998,8 @@ 0 0 0 +3 +0 6 0xb004 0xa7e7e1ce @@ -38406,6 +48008,8 @@ 1 1 0 +4 +1 7 0xe506 0xc5a833b8 @@ -38414,6 +48018,8 @@ 0 0 0 +5 +0 2 0x2649 0xa0bfe346 @@ -38422,6 +48028,8 @@ 1 1 0 +0 +1 2 0x7322 0xbe03f222 @@ -38430,6 +48038,8 @@ 0 0 0 +0 +3 9 0x46da 0x13085e86 @@ -38438,6 +48048,8 @@ 1 1 0 +3 +2 8 0x59f 0x5195f614 @@ -38446,6 +48058,8 @@ 1 0 0 +3 +3 2 0x9c4f 0x3aaca234 @@ -38454,6 +48068,8 @@ 0 0 0 +1 +4 9 0x4f75 0xb52747f8 @@ -38462,6 +48078,8 @@ 0 0 0 +2 +4 1 0x3c86 0x93b77fb4 @@ -38470,6 +48088,8 @@ 0 0 0 +3 +5 9 0x993c 0xd671bb5a @@ -38478,6 +48098,8 @@ 0 0 0 +2 +1 10 0xd895 0xa7bfd1e2 @@ -38486,6 +48108,8 @@ 0 0 0 +4 +3 2 0x98b2 0x26f6005e @@ -38495,6 +48119,8 @@ 1 0 4 +0 +4 0x96f3 0xb497cf69 256 @@ -38502,6 +48128,8 @@ 0 0 0 +1 +2 10 0x30ec 0x2a7d386d @@ -38511,6 +48139,8 @@ 1 0 5 +3 +5 0xaf4d 0x155da0d3 256 @@ -38518,6 +48148,8 @@ 0 0 0 +2 +5 7 0xc1d1 0x22a05dd @@ -38526,6 +48158,8 @@ 0 0 0 +2 +5 10 0xe512 0x24042dbd @@ -38534,6 +48168,8 @@ 0 0 0 +4 +1 2 0xf6e8 0x9ff19064 @@ -38543,6 +48179,8 @@ 1 0 4 +2 +4 0x594d 0xbf2550af 256 @@ -38550,6 +48188,8 @@ 0 0 0 +4 +5 2 0x72ee 0x19a0049f @@ -38558,6 +48198,8 @@ 0 0 0 +1 +0 7 0x23a4 0x7438dd16 @@ -38566,6 +48208,8 @@ 0 0 0 +4 +3 7 0xe228 0x2af6de7a @@ -38574,6 +48218,8 @@ 1 1 0 +5 +4 8 0x971e 0xe9d779aa @@ -38582,6 +48228,8 @@ 0 0 0 +4 +2 3 0x6f4b 0x26822014 @@ -38590,6 +48238,8 @@ 1 1 0 +0 +2 10 0x8da7 0x24789ae7 @@ -38598,6 +48248,8 @@ 0 0 0 +1 +5 4 0xe77d 0x50dc3532 @@ -38606,6 +48258,8 @@ 0 0 0 +2 +0 3 0xbaec 0x72f8a5d6 @@ -38614,6 +48268,8 @@ 1 1 0 +5 +5 10 0x293f 0x2357e0b1 @@ -38622,6 +48278,8 @@ 0 0 0 +5 +0 7 0x3b3d 0xcc579ff6 @@ -38630,6 +48288,8 @@ 1 0 0 +2 +0 10 0xbc0a 0xb2a3757a @@ -38638,6 +48298,8 @@ 0 0 0 +1 +2 6 0x369 0x8b18ce35 @@ -38647,6 +48309,8 @@ 1 0 4 +2 +4 0xbf1f 0x107a02ac 256 @@ -38654,6 +48318,8 @@ 1 1 0 +5 +1 3 0xf6c0 0xfda648c2 @@ -38662,6 +48328,8 @@ 1 1 0 +0 +3 7 0x3522 0x13ff990a @@ -38670,6 +48338,8 @@ 0 0 0 +4 +1 9 0x4a00 0x8541cecf @@ -38679,6 +48349,8 @@ 1 0 4 +5 +4 0x5e80 0xe45c0421 256 @@ -38686,6 +48358,8 @@ 1 1 0 +5 +5 1 0xa14e 0x1c0ec12a @@ -38694,6 +48368,8 @@ 1 1 0 +3 +3 2 0xfc91 0xfe852fca @@ -38702,6 +48378,8 @@ 0 0 0 +5 +1 2 0xd919 0x7f45aa07 @@ -38710,6 +48388,8 @@ 0 0 0 +2 +0 1 0x7ccb 0x84fe8232 @@ -38718,6 +48398,8 @@ 0 0 0 +0 +3 10 0x1b8c 0x7258c7a0 @@ -38726,6 +48408,8 @@ 1 0 0 +2 +5 8 0xaa12 0xe01bd0e3 @@ -38734,6 +48418,8 @@ 0 0 0 +0 +0 1 0x2a01 0x1a002607 @@ -38742,6 +48428,8 @@ 0 0 0 +5 +1 8 0x3cb7 0x347ede19 @@ -38750,6 +48438,8 @@ 0 0 0 +3 +4 4 0xa701 0x7db3d252 @@ -38759,6 +48449,8 @@ 0 0 2 +0 +2 0xc725 0xb558a94c 256 @@ -38766,6 +48458,8 @@ 0 0 0 +1 +2 8 0xffa0 0x8b684df3 @@ -38774,6 +48468,8 @@ 1 0 0 +2 +4 7 0xff49 0xac938203 @@ -38782,6 +48478,8 @@ 0 0 0 +1 +0 5 0x2996 0x477db81a @@ -38790,6 +48488,8 @@ 1 0 0 +0 +2 3 0x3500 0xb1f0725a @@ -38798,6 +48498,8 @@ 1 1 0 +5 +0 6 0x900d 0x99944d22 @@ -38806,6 +48508,8 @@ 1 1 0 +0 +4 1 0xca66 0xb01c07ae @@ -38814,6 +48518,8 @@ 0 0 0 +5 +2 10 0x45f0 0xa4dd31a1 @@ -38823,6 +48529,8 @@ 0 0 3 +1 +3 0x5c05 0xdd857815 256 @@ -38830,6 +48538,8 @@ 0 0 0 +5 +2 6 0x6a47 0xbce6ec5d @@ -38838,6 +48548,8 @@ 0 0 0 +1 +4 10 0x62bb 0x2a63d83a @@ -38846,6 +48558,8 @@ 1 0 0 +1 +4 4 0x56cf 0x914ec459 @@ -38854,6 +48568,8 @@ 1 1 0 +3 +3 5 0xfd65 0xfd966231 @@ -38862,6 +48578,8 @@ 0 0 0 +1 +0 5 0x7526 0x6a41bda0 @@ -38870,6 +48588,8 @@ 0 0 0 +0 +2 1 0x1fac 0x2070a385 @@ -38878,6 +48598,8 @@ 0 0 0 +4 +1 6 0x6103 0x5a1b84c2 @@ -38886,6 +48608,8 @@ 0 0 0 +2 +1 3 0x4089 0x76a2d0bd @@ -38894,6 +48618,8 @@ 0 0 0 +5 +0 7 0x6b06 0x2fcae796 @@ -38902,6 +48628,8 @@ 1 0 0 +2 +2 4 0x4cfc 0x7e038c8c @@ -38910,6 +48638,8 @@ 1 0 0 +3 +2 7 0xcf87 0xa1bdc98 @@ -38918,6 +48648,8 @@ 1 1 0 +0 +5 4 0xf7c1 0xe47c285f @@ -38926,6 +48658,8 @@ 1 1 0 +0 +4 10 0xae7b 0xd2b0488a @@ -38934,6 +48668,8 @@ 1 1 0 +2 +3 6 0xa56b 0x85e6daea @@ -38943,6 +48679,8 @@ 1 0 1 +4 +1 0x8196 0x1f7d41fa 256 @@ -38950,6 +48688,8 @@ 1 0 0 +3 +5 7 0x36b1 0xccd40eb9 @@ -38958,6 +48698,8 @@ 1 0 0 +5 +5 4 0x6338 0x62f9f7df @@ -38966,6 +48708,8 @@ 1 1 0 +2 +3 8 0xedaa 0xc8f79ca9 @@ -38974,6 +48718,8 @@ 1 0 0 +5 +0 1 0xc347 0x79e881f1 @@ -38982,6 +48728,8 @@ 1 0 0 +1 +2 8 0x8615 0xf6ad76fb @@ -38990,6 +48738,8 @@ 0 0 0 +1 +3 9 0x5c53 0x4a58c69e @@ -38998,6 +48748,8 @@ 0 0 0 +2 +3 10 0xccb6 0x7164eb02 @@ -39006,6 +48758,8 @@ 0 0 0 +0 +1 9 0x4180 0x5c47ace0 @@ -39014,6 +48768,8 @@ 1 1 0 +1 +2 6 0x45f9 0xf11d5465 @@ -39022,6 +48778,8 @@ 1 0 0 +4 +0 3 0x6ffc 0xeb3a8832 @@ -39030,6 +48788,8 @@ 0 0 0 +1 +2 2 0xbc51 0x345ef6b7 @@ -39038,6 +48798,8 @@ 0 0 0 +5 +2 3 0x8757 0xf581237 @@ -39046,6 +48808,8 @@ 0 0 0 +1 +5 8 0xa4aa 0x3fe914eb @@ -39054,6 +48818,8 @@ 1 0 0 +4 +3 1 0x372e 0xd4d57819 @@ -39062,6 +48828,8 @@ 0 0 0 +5 +2 7 0x2131 0x86cb4524 @@ -39071,6 +48839,8 @@ 0 0 4 +0 +4 0xd38c 0x3d2d7c1c 256 @@ -39078,6 +48848,8 @@ 1 1 0 +5 +1 8 0xc7c3 0xe82b584a @@ -39086,6 +48858,8 @@ 1 1 0 +2 +4 4 0x31d8 0xc490d17e @@ -39094,6 +48868,8 @@ 1 0 0 +1 +1 9 0xa4f5 0xb899f74e @@ -39102,6 +48878,8 @@ 0 0 0 +3 +4 2 0x452c 0xd247cab3 @@ -39110,6 +48888,8 @@ 0 0 0 +1 +5 5 0x8dca 0x78b90acc @@ -39118,6 +48898,8 @@ 1 0 0 +5 +5 3 0x7b62 0xbe077846 @@ -39126,6 +48908,8 @@ 1 0 0 +4 +0 9 0x6ba0 0x7948f75e @@ -39135,6 +48919,8 @@ 0 0 4 +3 +4 0xe2 0xb8a54572 256 @@ -39142,6 +48928,8 @@ 1 0 0 +1 +2 4 0xa22c 0xba62027b @@ -39150,6 +48938,8 @@ 1 0 0 +1 +3 8 0x3f7b 0xb4ac36af @@ -39158,6 +48948,8 @@ 0 0 0 +5 +0 4 0x65de 0x422c765 @@ -39166,6 +48958,8 @@ 1 0 0 +3 +5 7 0xa476 0xdc990b0d @@ -39174,6 +48968,8 @@ 0 0 0 +5 +4 3 0x9329 0xc943f073 @@ -39182,6 +48978,8 @@ 1 0 0 +0 +1 5 0xb59 0x9307309d @@ -39190,6 +48988,8 @@ 0 0 0 +2 +0 9 0xfe6d 0x385bb08 @@ -39198,6 +48998,8 @@ 0 0 0 +3 +5 7 0x7358 0x4cbacfe9 @@ -39206,6 +49008,8 @@ 0 0 0 +2 +4 7 0x61c9 0x5a19e200 @@ -39215,6 +49019,8 @@ 0 0 3 +1 +3 0xe88e 0x378f5e5c 256 @@ -39222,6 +49028,8 @@ 0 0 0 +4 +5 9 0xa4bf 0xe48b8fe @@ -39230,6 +49038,8 @@ 1 0 0 +2 +0 6 0xe6bd 0xf0482c4b @@ -39238,6 +49048,8 @@ 1 0 0 +2 +5 3 0xf009 0xdec17996 @@ -39246,6 +49058,8 @@ 0 0 0 +3 +3 7 0x6a9e 0x66b31071 @@ -39254,6 +49068,8 @@ 0 0 0 +5 +0 1 0xc6f5 0x96eaafbf @@ -39262,6 +49078,8 @@ 0 0 0 +2 +5 1 0x48b0 0x79723289 @@ -39270,6 +49088,8 @@ 0 0 0 +4 +1 9 0x543c 0xd5ac1608 @@ -39278,6 +49098,8 @@ 0 0 0 +5 +3 3 0x8c1 0x13a7357c @@ -39286,6 +49108,8 @@ 1 1 0 +4 +1 7 0x27c5 0xa03b5124 @@ -39294,6 +49118,8 @@ 1 0 0 +5 +5 10 0x5ae0 0xe316d92f @@ -39302,6 +49128,8 @@ 0 0 0 +4 +0 5 0x10ee 0xac5c0261 @@ -39310,6 +49138,8 @@ 0 0 0 +0 +0 4 0xc281 0x1f47f094 @@ -39318,6 +49148,8 @@ 1 1 0 +3 +3 1 0xe88 0x968666a6 @@ -39327,6 +49159,8 @@ 0 0 1 +1 +1 0x73ea 0xf17e5b38 256 @@ -39334,6 +49168,8 @@ 1 1 0 +0 +4 10 0x21e2 0xc97f8317 @@ -39342,6 +49178,8 @@ 0 0 0 +3 +0 5 0x782b 0x8971184a @@ -39350,6 +49188,8 @@ 1 0 0 +4 +1 5 0x1ac4 0x7e1acd2d @@ -39358,6 +49198,8 @@ 0 0 0 +3 +3 7 0x94f3 0x60cd73a6 @@ -39366,6 +49208,8 @@ 0 0 0 +2 +5 8 0x529 0xeb332fe7 @@ -39374,6 +49218,8 @@ 1 0 0 +1 +1 4 0x6237 0x6da1f45e @@ -39382,6 +49228,8 @@ 0 0 0 +3 +4 1 0xd3f1 0xb9ad649d @@ -39390,6 +49238,8 @@ 1 1 0 +1 +1 6 0x3181 0xfae2dc5d @@ -39398,6 +49248,8 @@ 0 0 0 +5 +1 1 0x17f6 0x75453bc @@ -39406,6 +49258,8 @@ 0 0 0 +3 +3 2 0xc752 0x6b77432 @@ -39414,6 +49268,8 @@ 0 0 0 +0 +0 6 0x4688 0x7c0bb4f9 @@ -39422,6 +49278,8 @@ 1 1 0 +0 +4 1 0x6d3b 0x22e037e5 @@ -39430,6 +49288,8 @@ 0 0 0 +3 +2 1 0x1f6b 0xa3032464 @@ -39438,6 +49298,8 @@ 0 0 0 +5 +2 3 0x89e6 0xf7fc8f4b @@ -39446,6 +49308,8 @@ 1 1 0 +2 +3 4 0x176b 0x8ddbbd87 @@ -39454,6 +49318,8 @@ 1 1 0 +2 +0 8 0x243b 0x602d7828 @@ -39462,6 +49328,8 @@ 1 1 0 +5 +2 3 0x6e92 0x82bf40ce @@ -39470,6 +49338,8 @@ 1 0 0 +3 +3 7 0x1cd2 0x81a0a608 @@ -39478,6 +49348,8 @@ 0 0 0 +1 +0 4 0x2289 0xb7778e6d @@ -39486,6 +49358,8 @@ 0 0 0 +0 +1 3 0xe0 0x324c9c4c @@ -39494,6 +49368,8 @@ 1 1 0 +3 +2 2 0xc1cb 0x46df63dc @@ -39502,6 +49378,8 @@ 0 0 0 +2 +5 9 0x415b 0x34da3e40 @@ -39510,6 +49388,8 @@ 1 0 0 +4 +4 3 0x1a6f 0x2a77c6e5 @@ -39518,6 +49398,8 @@ 1 1 0 +3 +2 2 0x7661 0xb8d84676 @@ -39526,6 +49408,8 @@ 0 0 0 +3 +0 10 0x8aad 0x555f45db @@ -39534,6 +49418,8 @@ 1 0 0 +3 +0 8 0xd4a4 0xec39ded4 @@ -39542,6 +49428,8 @@ 1 1 0 +1 +3 3 0x9eb4 0xe12dc0b @@ -39550,6 +49438,8 @@ 0 0 0 +1 +5 6 0x3de2 0x5820159a @@ -39558,6 +49448,8 @@ 0 0 0 +3 +1 1 0x85d4 0x705495f4 @@ -39566,6 +49458,8 @@ 0 0 0 +5 +0 9 0xaa39 0x50063535 @@ -39574,6 +49468,8 @@ 0 0 0 +1 +3 6 0x2707 0x8fefd0b1 @@ -39582,6 +49478,8 @@ 0 0 0 +1 +0 3 0x38a9 0xb8fb216c @@ -39590,6 +49488,8 @@ 1 1 0 +4 +2 3 0x5cd7 0xc6a6adac @@ -39598,6 +49498,8 @@ 0 0 0 +1 +5 7 0xcc1a 0x53584cb7 @@ -39606,6 +49508,8 @@ 0 0 0 +4 +1 7 0x54ff 0x11664d1c @@ -39615,6 +49519,8 @@ 1 0 4 +4 +4 0x5b73 0x38ee359d 256 @@ -39622,6 +49528,8 @@ 1 0 0 +4 +3 8 0x547c 0xb25d783b @@ -39630,6 +49538,8 @@ 1 1 0 +2 +0 6 0x3173 0x2f5ebf33 @@ -39638,6 +49548,8 @@ 0 0 0 +5 +3 8 0xe9a2 0x8fda36c4 @@ -39646,6 +49558,8 @@ 0 0 0 +3 +0 7 0x4f70 0xd1448aa2 @@ -39654,6 +49568,8 @@ 1 1 0 +3 +1 6 0xb27c 0x35720422 @@ -39662,6 +49578,8 @@ 0 0 0 +2 +5 9 0x6104 0x557b7421 @@ -39670,6 +49588,8 @@ 1 1 0 +1 +5 7 0x9770 0x73e59ae0 @@ -39679,6 +49599,8 @@ 1 0 1 +5 +1 0x91c6 0x97f1bbd7 256 @@ -39686,6 +49608,8 @@ 0 0 0 +0 +5 5 0x772c 0x84746d26 @@ -39695,6 +49619,8 @@ 0 0 5 +0 +5 0x3c12 0x6408f640 256 @@ -39702,6 +49628,8 @@ 0 0 0 +5 +3 10 0xe75d 0x53e28e20 @@ -39710,6 +49638,8 @@ 1 1 0 +3 +1 6 0xe2ea 0x2dc7109b @@ -39718,6 +49648,8 @@ 1 0 0 +1 +1 10 0x8b68 0xad45bb26 @@ -39726,6 +49658,8 @@ 1 1 0 +5 +2 3 0x8028 0x299e710c @@ -39734,6 +49668,8 @@ 0 0 0 +3 +3 2 0x3e7d 0x8690e6e3 @@ -39742,6 +49678,8 @@ 1 0 0 +4 +4 9 0x8aca 0xfd05fbcb @@ -39750,6 +49688,8 @@ 1 0 0 +5 +4 10 0x7809 0xe9bbaf9e @@ -39758,6 +49698,8 @@ 0 0 0 +3 +5 9 0x47d5 0xca298fd1 @@ -39766,6 +49708,8 @@ 1 1 0 +0 +1 4 0x8f4e 0xc00cc4b4 @@ -39775,6 +49719,8 @@ 0 0 1 +2 +1 0xf4ff 0x323f8ae7 256 @@ -39782,6 +49728,8 @@ 0 0 0 +0 +0 3 0x5679 0xaff893a6 @@ -39790,6 +49738,8 @@ 0 0 0 +2 +4 3 0x1855 0x57af78fc @@ -39798,6 +49748,8 @@ 0 0 0 +3 +5 10 0x76d 0x220ed9ce @@ -39806,6 +49758,8 @@ 1 1 0 +5 +5 3 0x6155 0xab61bb36 @@ -39814,6 +49768,8 @@ 1 0 0 +5 +3 9 0x2d79 0x5e6bd726 @@ -39822,6 +49778,8 @@ 0 0 0 +4 +1 8 0x9b5b 0x9eb45ad7 @@ -39830,6 +49788,8 @@ 1 1 0 +1 +1 4 0x9c43 0xb4b169a @@ -39838,6 +49798,8 @@ 1 1 0 +3 +5 7 0x3aad 0x28b343a4 @@ -39846,6 +49808,8 @@ 0 0 0 +1 +5 10 0x88ed 0x31ecf926 @@ -39854,6 +49818,8 @@ 0 0 0 +0 +4 6 0xd0bc 0x51916013 @@ -39863,6 +49829,8 @@ 0 0 2 +4 +2 0xf20c 0xb4d08e4d 256 @@ -39870,6 +49838,8 @@ 0 0 0 +1 +1 3 0x18a3 0x9aff93d1 @@ -39878,6 +49848,8 @@ 1 1 0 +4 +4 7 0x7d 0xd0b7d27e @@ -39886,6 +49858,8 @@ 0 0 0 +3 +5 5 0x9183 0x1b6efb1b @@ -39894,6 +49868,8 @@ 1 0 0 +4 +5 3 0x8152 0xa8761442 @@ -39902,6 +49878,8 @@ 1 0 0 +5 +4 1 0xf32e 0x531802f9 @@ -39911,6 +49889,8 @@ 0 0 1 +2 +1 0x4712 0x15b8b1ca 256 @@ -39918,6 +49898,8 @@ 0 0 0 +3 +0 2 0x720d 0x442409d6 @@ -39926,6 +49908,8 @@ 1 1 0 +3 +0 4 0x6e57 0x72d5a94a @@ -39934,6 +49918,8 @@ 1 1 0 +3 +0 5 0xe852 0xadc9bdf5 @@ -39943,6 +49929,8 @@ 1 0 3 +5 +3 0x8f56 0xae6f5ebc 256 @@ -39950,6 +49938,8 @@ 0 0 0 +4 +4 8 0x8956 0xfb4d2e3d @@ -39958,6 +49948,8 @@ 0 0 0 +0 +1 4 0x2bc3 0x5ecdcfef @@ -39966,6 +49958,8 @@ 0 0 0 +2 +0 4 0x38a1 0x2ef883f1 @@ -39974,6 +49968,8 @@ 0 0 0 +3 +1 4 0xb356 0x42bd2d32 @@ -39982,6 +49978,8 @@ 0 0 0 +3 +5 6 0xd542 0xb20871c0 @@ -39990,6 +49988,8 @@ 1 1 0 +3 +2 7 0x6901 0xf0de853a @@ -39998,6 +49998,8 @@ 1 0 0 +3 +2 8 0xcfc9 0xfedfe6e7 @@ -40006,6 +50008,8 @@ 1 1 0 +1 +2 7 0xe3c2 0xac0143c2 @@ -40014,6 +50018,8 @@ 1 1 0 +4 +4 6 0x61a3 0x85ba2b24 @@ -40022,6 +50028,8 @@ 0 0 0 +4 +2 1 0xb4f0 0xc93a7f90 @@ -40030,6 +50038,8 @@ 1 1 0 +4 +2 2 0xbcb6 0x15e3a8a8 @@ -40039,6 +50049,8 @@ 1 0 3 +0 +3 0x18e9 0x933359d2 256 @@ -40047,6 +50059,8 @@ 0 0 5 +4 +5 0xbe3d 0xbde28a56 256 @@ -40054,6 +50068,8 @@ 1 1 0 +2 +4 3 0xb67f 0xf9c278a3 @@ -40062,6 +50078,8 @@ 0 0 0 +0 +0 10 0x5dde 0xefd8f5d7 @@ -40070,6 +50088,8 @@ 1 1 0 +0 +4 9 0x19f1 0x616ad9a5 @@ -40078,6 +50098,8 @@ 0 0 0 +0 +5 2 0xa32e 0x8dd200c5 @@ -40086,6 +50108,8 @@ 1 0 0 +4 +3 8 0x3e3b 0x51e87ece @@ -40094,6 +50118,8 @@ 0 0 0 +5 +1 3 0xc786 0x523f00ea @@ -40102,6 +50128,8 @@ 0 0 0 +1 +3 2 0xec3f 0x1400f107 @@ -40110,6 +50138,8 @@ 1 0 0 +3 +4 2 0x370a 0x4888e717 @@ -40118,6 +50148,8 @@ 0 0 0 +2 +1 10 0x4b11 0xeeedbe6d @@ -40127,6 +50159,8 @@ 0 0 3 +1 +3 0x63cd 0xe5a35840 256 @@ -40134,6 +50168,8 @@ 0 0 0 +4 +4 1 0x2f49 0xd343f17f @@ -40142,6 +50178,8 @@ 0 0 0 +0 +4 1 0xc0f7 0xaa4c7639 @@ -40150,6 +50188,8 @@ 1 0 0 +4 +4 3 0xb582 0x9f754e2d @@ -40158,6 +50198,8 @@ 1 0 0 +2 +3 7 0xc7f1 0x6483e3c6 @@ -40166,6 +50208,8 @@ 1 1 0 +1 +0 6 0x8eb6 0x5d400c40 @@ -40174,6 +50218,8 @@ 1 1 0 +4 +0 8 0x15ef 0x85203906 @@ -40182,6 +50228,8 @@ 0 0 0 +5 +0 7 0x50c7 0xd5bc6a85 @@ -40190,6 +50238,8 @@ 0 0 0 +1 +2 5 0xbd72 0xc1f59aae @@ -40198,6 +50248,8 @@ 1 0 0 +1 +2 2 0x30a0 0x735e7f9 @@ -40206,6 +50258,8 @@ 1 1 0 +4 +1 9 0x999a 0x9981a54b @@ -40214,6 +50268,8 @@ 1 1 0 +3 +4 8 0xcbf4 0x80379d25 @@ -40222,6 +50278,8 @@ 0 0 0 +5 +1 2 0x5f15 0xd1710822 @@ -40231,6 +50289,8 @@ 0 0 3 +3 +3 0x5b38 0x7e0999b6 256 @@ -40238,6 +50298,8 @@ 1 0 0 +4 +2 6 0xaf6d 0x7f816b00 @@ -40246,6 +50308,8 @@ 1 0 0 +1 +5 5 0x9726 0xfe05c06c @@ -40254,6 +50318,8 @@ 0 0 0 +0 +0 9 0x11dd 0x89529eb @@ -40262,6 +50328,8 @@ 1 1 0 +4 +3 7 0x74e9 0xfb58a3cc @@ -40270,6 +50338,8 @@ 0 0 0 +4 +3 1 0x2ed4 0x5d78ba27 @@ -40278,6 +50348,8 @@ 1 0 0 +4 +5 9 0xbd29 0xe2f3a139 @@ -40286,6 +50358,8 @@ 0 0 0 +4 +0 10 0xee81 0x4f2cf88a @@ -40294,6 +50368,8 @@ 1 1 0 +3 +1 1 0x1acf 0x15768507 @@ -40302,6 +50378,8 @@ 0 0 0 +3 +0 10 0xced 0xe37494d6 @@ -40310,6 +50388,8 @@ 0 0 0 +4 +2 8 0x6516 0x84604d42 @@ -40318,6 +50398,8 @@ 0 0 0 +5 +5 6 0x7725 0x29037e2d @@ -40326,6 +50408,8 @@ 1 0 0 +1 +5 7 0x2cbe 0x2c503fbd @@ -40334,6 +50418,8 @@ 0 0 0 +2 +3 6 0xa7fd 0x77ad6799 @@ -40342,6 +50428,8 @@ 1 1 0 +1 +0 4 0xade7 0x4b12eeee @@ -40350,6 +50438,8 @@ 1 0 0 +3 +0 9 0xa721 0x4ad8104b @@ -40358,6 +50448,8 @@ 1 1 0 +1 +0 10 0x51ff 0xf3fb95ee @@ -40366,6 +50458,8 @@ 0 0 0 +4 +4 5 0x30d9 0xd8872ad2 @@ -40374,6 +50468,8 @@ 0 0 0 +1 +5 4 0xb7ed 0xe091e3ae @@ -40382,6 +50478,8 @@ 0 0 0 +0 +0 3 0xed87 0x78a83db2 @@ -40390,6 +50488,8 @@ 1 0 0 +5 +0 8 0xf636 0x4d2cf47a @@ -40398,6 +50498,8 @@ 0 0 0 +3 +1 2 0xb71d 0x4ceb101e @@ -40407,6 +50509,8 @@ 1 0 5 +3 +5 0x4aca 0x89b4588a 256 @@ -40415,6 +50519,8 @@ 0 0 2 +2 +2 0x6ce6 0x610bfe82 256 @@ -40422,6 +50528,8 @@ 1 0 0 +1 +3 9 0x6e01 0x1ddf6e05 @@ -40430,6 +50538,8 @@ 1 1 0 +3 +5 5 0x851 0x723e0260 @@ -40438,6 +50548,8 @@ 0 0 0 +3 +0 9 0x7d2 0xf83705ad @@ -40446,6 +50558,8 @@ 0 0 0 +0 +1 7 0xde5b 0x728d5baf @@ -40454,6 +50568,8 @@ 0 0 0 +0 +3 10 0x90c4 0x7e058b32 @@ -40462,6 +50578,8 @@ 0 0 0 +1 +5 5 0x1aa9 0x136693c4 @@ -40470,6 +50588,8 @@ 0 0 0 +2 +0 3 0xa293 0xbdef8e2e @@ -40478,6 +50598,8 @@ 0 0 0 +4 +1 5 0xfd75 0x6e1d9172 @@ -40486,6 +50608,8 @@ 1 0 0 +3 +0 5 0x3966 0x724fc2aa @@ -40494,6 +50618,8 @@ 0 0 0 +0 +4 8 0x8394 0x3ba1fd4c @@ -40502,6 +50628,8 @@ 1 0 0 +5 +2 10 0x40db 0xf6b9ceb8 @@ -40510,6 +50638,8 @@ 0 0 0 +5 +0 1 0xd61 0xf646c1f0 @@ -40518,6 +50648,8 @@ 0 0 0 +0 +0 10 0x2f32 0x2aad8448 @@ -40526,6 +50658,8 @@ 1 1 0 +0 +0 10 0xac95 0xcf773850 @@ -40534,6 +50668,8 @@ 1 1 0 +0 +5 1 0x6a7d 0x6bcd6b51 @@ -40542,6 +50678,8 @@ 1 1 0 +2 +0 3 0x737d 0xdb412860 @@ -40550,6 +50688,8 @@ 1 0 0 +0 +0 6 0x4764 0xfaa3588 @@ -40558,6 +50698,8 @@ 1 0 0 +1 +0 7 0x540f 0xc7733f48 @@ -40566,6 +50708,8 @@ 0 0 0 +2 +1 7 0x4637 0x7ce6eeb @@ -40574,6 +50718,8 @@ 0 0 0 +4 +3 5 0xc2f9 0x75eed098 @@ -40582,6 +50728,8 @@ 1 0 0 +0 +2 5 0x9aa2 0xb83a358c @@ -40590,6 +50738,8 @@ 1 1 0 +4 +4 9 0xa2f9 0x4d4746 @@ -40598,6 +50748,8 @@ 1 1 0 +3 +3 1 0x8283 0x74c7c991 @@ -40606,6 +50758,8 @@ 1 1 0 +0 +3 4 0x20f1 0xad09a275 @@ -40614,6 +50768,8 @@ 1 1 0 +1 +0 9 0x47be 0xc8e99f4c @@ -40622,6 +50778,8 @@ 1 0 0 +2 +1 5 0xb51b 0xfc86801b @@ -40631,6 +50789,8 @@ 0 0 5 +3 +5 0xe7ef 0x38ce14f1 256 @@ -40638,6 +50798,8 @@ 0 0 0 +3 +2 10 0x1399 0xd3c3693d @@ -40647,6 +50809,8 @@ 0 0 4 +1 +4 0xe02b 0x515a8b80 256 @@ -40654,6 +50818,8 @@ 1 1 0 +1 +5 3 0xcf2b 0x35470220 @@ -40663,6 +50829,8 @@ 0 0 3 +4 +3 0x280 0xdb99443f 256 @@ -40670,6 +50838,8 @@ 0 0 0 +3 +3 6 0x1261 0xa6f641bd @@ -40678,6 +50848,8 @@ 1 0 0 +3 +1 10 0x11e 0x19b34373 @@ -40687,6 +50859,8 @@ 1 0 1 +4 +1 0xb0ae 0x6d3d3a56 256 @@ -40694,6 +50868,8 @@ 1 0 0 +0 +3 9 0x7815 0xaae48af2 @@ -40702,6 +50878,8 @@ 1 1 0 +1 +1 8 0x17c5 0x2cb530c8 @@ -40710,6 +50888,8 @@ 1 0 0 +4 +1 5 0xa195 0x236dcc93 @@ -40718,6 +50898,8 @@ 0 0 0 +1 +5 8 0x3307 0xc74ceb10 @@ -40726,6 +50908,8 @@ 1 1 0 +5 +1 6 0xbb66 0x5eb53b30 @@ -40735,6 +50919,8 @@ 0 0 4 +3 +4 0x879c 0xa8bed61e 256 @@ -40742,6 +50928,8 @@ 0 0 0 +3 +0 6 0xdfdc 0xa48e0b09 @@ -40750,6 +50938,8 @@ 0 0 0 +0 +1 7 0xfd4e 0xfefe2dbb @@ -40758,6 +50948,8 @@ 0 0 0 +4 +1 1 0xbf12 0x69475e85 @@ -40766,6 +50958,8 @@ 1 0 0 +0 +3 8 0x4f57 0x38b80b18 @@ -40774,6 +50968,8 @@ 0 0 0 +4 +0 3 0x522 0xf7f0ce4d @@ -40782,6 +50978,8 @@ 0 0 0 +0 +3 6 0x7d2b 0x203c2a03 @@ -40790,6 +50988,8 @@ 0 0 0 +0 +4 1 0x45f1 0xe7c06788 @@ -40798,6 +50998,8 @@ 1 0 0 +1 +5 3 0xf1b6 0x1009aa7e @@ -40806,6 +51008,8 @@ 0 0 0 +4 +5 10 0xa50f 0x6940aebb @@ -40814,6 +51018,8 @@ 1 0 0 +1 +5 9 0x5b4a 0xba2bd61b @@ -40822,6 +51028,8 @@ 0 0 0 +0 +1 3 0x3bc4 0x68b92b87 @@ -40830,6 +51038,8 @@ 1 0 0 +1 +1 7 0x222f 0x9c7b699c @@ -40838,6 +51048,8 @@ 0 0 0 +3 +0 6 0x45c3 0x9694ca27 @@ -40846,6 +51058,8 @@ 0 0 0 +1 +0 10 0xa655 0xa43f411a @@ -40855,6 +51069,8 @@ 0 0 4 +4 +4 0xc35a 0x57bb5c7c 256 @@ -40863,6 +51079,8 @@ 1 0 1 +2 +1 0x37eb 0x126be3fe 256 @@ -40870,6 +51088,8 @@ 1 1 0 +5 +0 7 0x34ea 0xcaae7707 @@ -40878,6 +51098,8 @@ 1 0 0 +1 +2 2 0xf549 0x9a99f923 @@ -40886,6 +51108,8 @@ 1 0 0 +0 +4 3 0x4fa 0x3f3974b9 @@ -40894,6 +51118,8 @@ 1 0 0 +5 +4 10 0xc7aa 0x3a7e1d5e @@ -40902,6 +51128,8 @@ 0 0 0 +2 +3 7 0xf581 0x8e19fb5b @@ -40910,6 +51138,8 @@ 0 0 0 +4 +3 6 0xfbed 0x26a8069a @@ -40918,6 +51148,8 @@ 1 1 0 +0 +3 7 0xd4b2 0x29b19804 @@ -40926,6 +51158,8 @@ 0 0 0 +2 +2 1 0x797 0xc80555c @@ -40935,6 +51169,8 @@ 0 0 3 +0 +3 0x7609 0xacb452ed 256 @@ -40942,6 +51178,8 @@ 0 0 0 +1 +1 3 0x4027 0x3953ef57 @@ -40951,6 +51189,8 @@ 1 0 1 +2 +1 0x42b6 0x139713f2 256 @@ -40958,6 +51198,8 @@ 0 0 0 +5 +0 9 0xb2d7 0xed3f8005 @@ -40967,6 +51209,8 @@ 0 0 5 +2 +5 0x1266 0xaf4b2708 256 @@ -40974,6 +51218,8 @@ 1 0 0 +5 +0 3 0xee35 0x1a8aea35 @@ -40982,6 +51228,8 @@ 1 0 0 +1 +0 6 0xf10d 0xc5f2f4e9 @@ -40990,6 +51238,8 @@ 0 0 0 +3 +1 9 0x7df3 0xab0c1f03 @@ -40998,6 +51248,8 @@ 0 0 0 +5 +4 4 0xf288 0x5387ae92 @@ -41006,6 +51258,8 @@ 0 0 0 +5 +4 10 0xa457 0x58728f32 @@ -41014,6 +51268,8 @@ 0 0 0 +5 +1 6 0xf530 0x236a788b @@ -41022,6 +51278,8 @@ 1 1 0 +1 +4 6 0x7224 0x3067b46 @@ -41030,6 +51288,8 @@ 0 0 0 +0 +5 7 0x3061 0xb15c0b18 @@ -41038,6 +51298,8 @@ 1 0 0 +3 +1 9 0x655f 0x4bac8ad1 @@ -41046,6 +51308,8 @@ 1 0 0 +0 +0 8 0x4d2a 0x3e54a107 @@ -41054,6 +51318,8 @@ 1 1 0 +0 +5 4 0x2b4d 0xe19807f4 @@ -41062,6 +51328,8 @@ 0 0 0 +2 +1 7 0x6d62 0xbbdb8436 @@ -41070,6 +51338,8 @@ 0 0 0 +0 +4 10 0xae57 0x3894b541 @@ -41078,6 +51348,8 @@ 1 0 0 +4 +1 2 0xee4d 0xb64c5824 @@ -41086,6 +51358,8 @@ 0 0 0 +1 +5 4 0x5271 0xdfa17847 @@ -41095,6 +51369,8 @@ 0 0 4 +1 +4 0xf3da 0x5d9f9d12 256 @@ -41102,6 +51378,8 @@ 0 0 0 +3 +5 10 0x9c67 0x9d2fe0cd @@ -41110,6 +51388,8 @@ 0 0 0 +4 +2 5 0x46c3 0xfdf7aa3b @@ -41118,6 +51398,8 @@ 1 1 0 +2 +0 3 0x321a 0x364100e9 @@ -41126,6 +51408,8 @@ 1 0 0 +5 +5 9 0x4ecd 0xacecdfe4 @@ -41134,6 +51418,8 @@ 0 0 0 +2 +4 8 0xa40d 0x483f6803 @@ -41142,6 +51428,8 @@ 1 0 0 +0 +4 8 0x591d 0x42ff4376 @@ -41150,6 +51438,8 @@ 0 0 0 +1 +5 8 0x2a97 0xbfbb502b @@ -41158,6 +51448,8 @@ 0 0 0 +5 +5 2 0xfe1b 0x63463eff @@ -41166,6 +51458,8 @@ 0 0 0 +4 +1 5 0xd7a3 0x189489c2 @@ -41174,6 +51468,8 @@ 1 1 0 +4 +5 3 0x6427 0xfa48a31 @@ -41183,6 +51479,8 @@ 0 0 2 +2 +2 0x71d7 0xa92d19c7 256 @@ -41191,6 +51489,8 @@ 0 0 5 +5 +5 0x25cb 0x59584a79 256 @@ -41198,6 +51498,8 @@ 1 1 0 +5 +1 8 0xe3dd 0x3284e88f @@ -41207,6 +51509,8 @@ 0 0 1 +0 +1 0x95cd 0x56173e06 256 @@ -41214,6 +51518,8 @@ 0 0 0 +0 +4 6 0x564d 0x81029c73 @@ -41222,6 +51528,8 @@ 0 0 0 +3 +2 7 0xed16 0x43a2772d @@ -41230,6 +51538,8 @@ 0 0 0 +4 +1 2 0xfe8e 0x3ffc7f96 @@ -41238,6 +51548,8 @@ 0 0 0 +1 +4 4 0xc724 0x3ee503ed @@ -41246,6 +51558,8 @@ 1 1 0 +3 +5 6 0xa7ec 0xe54245e2 @@ -41254,6 +51568,8 @@ 0 0 0 +1 +5 8 0x57bc 0x1b21456d @@ -41262,6 +51578,8 @@ 1 1 0 +0 +2 3 0x4d03 0x5acdcf40 @@ -41270,6 +51588,8 @@ 0 0 0 +3 +2 4 0x64b9 0x2942998b @@ -41278,6 +51598,8 @@ 0 0 0 +5 +3 2 0xd13a 0x32d10595 @@ -41286,6 +51608,8 @@ 1 1 0 +1 +1 10 0x9e74 0x638f11eb @@ -41294,6 +51618,8 @@ 1 0 0 +2 +0 5 0x6cda 0x25d986d2 @@ -41302,6 +51628,8 @@ 1 1 0 +3 +4 7 0xfb4f 0x16c2eec4 @@ -41310,6 +51638,8 @@ 0 0 0 +4 +3 6 0xd792 0xbea82af6 @@ -41318,6 +51648,8 @@ 0 0 0 +2 +2 3 0xb55a 0xc678eb33 @@ -41326,6 +51658,8 @@ 0 0 0 +4 +1 6 0x45d9 0x5ed5e8f6 @@ -41334,6 +51668,8 @@ 0 0 0 +0 +5 3 0x1a03 0x2cced635 @@ -41342,6 +51678,8 @@ 0 0 0 +2 +2 7 0xa8da 0x40fc82d7 @@ -41350,6 +51688,8 @@ 0 0 0 +2 +2 3 0x4196 0xd136cef2 @@ -41358,6 +51698,8 @@ 0 0 0 +5 +0 3 0x8ca6 0x33d67c92 @@ -41366,6 +51708,8 @@ 0 0 0 +4 +1 5 0x176 0x6cfebbd2 @@ -41374,6 +51718,8 @@ 1 0 0 +0 +5 9 0x1cbf 0x38a8ee2f @@ -41382,6 +51728,8 @@ 1 1 0 +1 +5 8 0x664b 0xfb716527 @@ -41390,6 +51738,8 @@ 1 0 0 +0 +2 7 0xd4e6 0x51c1eb5a @@ -41398,6 +51748,8 @@ 0 0 0 +2 +0 10 0x2663 0xae88fec7 @@ -41406,6 +51758,8 @@ 1 0 0 +3 +2 1 0x8bd6 0xbd87576f @@ -41414,6 +51768,8 @@ 0 0 0 +4 +4 6 0xcf77 0xe7cd34f1 @@ -41422,6 +51778,8 @@ 1 1 0 +2 +2 10 0xf506 0xe4473ed @@ -41430,6 +51788,8 @@ 0 0 0 +5 +0 9 0x964d 0x2ee8a3ba @@ -41439,6 +51799,8 @@ 1 0 3 +3 +3 0x2bbb 0x1f3e2566 256 @@ -41446,6 +51808,8 @@ 1 0 0 +2 +3 6 0xba0d 0xb09da123 @@ -41454,6 +51818,8 @@ 1 1 0 +2 +4 9 0xcd3f 0xffa1179e @@ -41462,6 +51828,8 @@ 1 0 0 +1 +3 3 0x87ad 0x8ed86582 @@ -41470,6 +51838,8 @@ 0 0 0 +1 +5 4 0x4e9e 0x4a427f1b @@ -41478,6 +51848,8 @@ 1 0 0 +3 +4 8 0x16a 0xc40ca7e7 @@ -41486,6 +51858,8 @@ 1 0 0 +4 +4 6 0x3573 0x8311245f @@ -41494,6 +51868,8 @@ 0 0 0 +1 +4 5 0xc487 0xf8c1dad9 @@ -41502,6 +51878,8 @@ 0 0 0 +0 +1 6 0x28e 0x77e2f08b @@ -41510,6 +51888,8 @@ 1 1 0 +2 +5 7 0x505e 0x652e388f @@ -41518,6 +51898,8 @@ 1 0 0 +3 +4 9 0x462 0x85f6afe7 @@ -41526,6 +51908,8 @@ 0 0 0 +0 +5 10 0x6ed3 0x647dd9f3 @@ -41534,6 +51918,8 @@ 0 0 0 +2 +0 8 0xc18f 0xb4d69961 @@ -41542,6 +51928,8 @@ 0 0 0 +1 +3 7 0xe5b1 0xddb565d @@ -41550,6 +51938,8 @@ 1 0 0 +0 +3 1 0xf2a6 0xbdd3b34e @@ -41559,6 +51949,8 @@ 0 0 1 +4 +1 0x338d 0x5cebf837 256 @@ -41566,6 +51958,8 @@ 1 0 0 +3 +5 6 0x41fa 0x4d2ffd91 @@ -41574,6 +51968,8 @@ 0 0 0 +3 +4 8 0xfbef 0x671ea729 @@ -41582,6 +51978,8 @@ 0 0 0 +5 +5 4 0xab06 0x11514690 @@ -41590,6 +51988,8 @@ 1 1 0 +4 +5 8 0x3fab 0xf9f2e949 @@ -41598,6 +51998,8 @@ 1 0 0 +2 +3 4 0xc509 0x33a3c1ac @@ -41606,6 +52008,8 @@ 1 1 0 +0 +3 2 0xc908 0xd4eec000 @@ -41614,6 +52018,8 @@ 1 0 0 +5 +3 7 0x31bc 0x616a8707 @@ -41622,6 +52028,8 @@ 1 1 0 +0 +1 10 0xb8d3 0x63b30c68 @@ -41630,6 +52038,8 @@ 1 1 0 +2 +2 7 0x2d60 0x682c134e @@ -41638,6 +52048,8 @@ 1 0 0 +2 +3 4 0x328b 0x972220db @@ -41646,6 +52058,8 @@ 1 1 0 +5 +5 10 0x361f 0xa1b81844 @@ -41654,6 +52068,8 @@ 0 0 0 +1 +1 7 0x8ae 0xbc474794 @@ -41662,6 +52078,8 @@ 1 1 0 +3 +2 4 0x4271 0x770d9379 @@ -41670,6 +52088,8 @@ 0 0 0 +5 +5 8 0x202d 0xc51032cf @@ -41678,6 +52098,8 @@ 0 0 0 +2 +2 6 0xf00b 0xb5d7109c @@ -41686,6 +52108,8 @@ 0 0 0 +1 +0 5 0x24d6 0xa7cccc5f @@ -41694,6 +52118,8 @@ 0 0 0 +5 +3 8 0x257d 0xa1ff70ec @@ -41702,6 +52128,8 @@ 0 0 0 +5 +0 6 0xbc9b 0x7f6cdb4c @@ -41710,6 +52138,8 @@ 1 0 0 +0 +3 9 0x9cba 0xe6a577c2 @@ -41718,6 +52148,8 @@ 0 0 0 +0 +0 8 0x267f 0xf6d508fd @@ -41726,6 +52158,8 @@ 1 1 0 +2 +0 1 0x456f 0x4b5605c2 @@ -41734,6 +52168,8 @@ 1 1 0 +2 +3 4 0x390f 0x3a8d4001 @@ -41742,6 +52178,8 @@ 1 1 0 +4 +3 10 0xa098 0x17392371 @@ -41750,6 +52188,8 @@ 1 0 0 +1 +5 2 0x38ab 0x2ec6c776 @@ -41758,6 +52198,8 @@ 0 0 0 +3 +0 4 0x7c50 0x302e9ea2 @@ -41766,6 +52208,8 @@ 1 0 0 +1 +1 6 0x3cc5 0xeed48a1e @@ -41775,6 +52219,8 @@ 0 0 3 +0 +3 0x4241 0x1b5811eb 256 @@ -41782,6 +52228,8 @@ 1 1 0 +5 +1 7 0xf314 0x5a43420a @@ -41790,6 +52238,8 @@ 1 1 0 +0 +2 2 0x6834 0x5a1414dd @@ -41798,6 +52248,8 @@ 0 0 0 +2 +1 9 0xa694 0x97f84c14 @@ -41806,6 +52258,8 @@ 0 0 0 +4 +1 5 0x8d22 0x94d26b7d @@ -41814,6 +52268,8 @@ 0 0 0 +4 +3 9 0xcc08 0xeaa4fd6d @@ -41822,6 +52278,8 @@ 0 0 0 +0 +0 9 0x497d 0x29d3fdfd @@ -41830,6 +52288,8 @@ 1 0 0 +4 +3 3 0xe424 0xfea52152 @@ -41838,6 +52298,8 @@ 1 1 0 +2 +3 1 0xe7aa 0xb2d60a1c @@ -41846,6 +52308,8 @@ 1 1 0 +3 +1 5 0x61b6 0x490dc36a @@ -41854,6 +52318,8 @@ 1 1 0 +1 +5 9 0x7348 0x86743fcd @@ -41862,6 +52328,8 @@ 1 0 0 +4 +2 6 0x2d8e 0x61bfd0e6 @@ -41870,6 +52338,8 @@ 0 0 0 +3 +0 4 0x2570 0xec479f78 @@ -41878,6 +52348,8 @@ 0 0 0 +3 +2 5 0x36e8 0xbd1a58b2 @@ -41886,6 +52358,8 @@ 1 1 0 +2 +1 7 0x63da 0x99b3321d @@ -41894,6 +52368,8 @@ 0 0 0 +2 +5 3 0x2590 0x240e6693 @@ -41902,6 +52378,8 @@ 1 1 0 +4 +5 3 0xfd2e 0x3dd6ea6d @@ -41910,6 +52388,8 @@ 1 1 0 +5 +1 6 0xb5ef 0xf330d02a @@ -41918,6 +52398,8 @@ 0 0 0 +1 +2 8 0xea90 0x51f791b9 @@ -41926,6 +52408,8 @@ 0 0 0 +4 +2 9 0x876c 0xc0f8be30 @@ -41935,6 +52419,8 @@ 0 0 5 +4 +5 0x4444 0x10c9ca64 256 @@ -41942,6 +52428,8 @@ 1 1 0 +2 +3 3 0xf9f7 0x775c9c13 @@ -41951,6 +52439,8 @@ 0 0 3 +3 +3 0x4d72 0x8e616d3b 256 @@ -41958,6 +52448,8 @@ 0 0 0 +2 +0 1 0x902c 0x1499d6b6 @@ -41966,6 +52458,8 @@ 0 0 0 +5 +2 10 0xa7f1 0xb2f1965b @@ -41974,6 +52468,8 @@ 0 0 0 +5 +5 9 0x6ab7 0xcb5511ab @@ -41982,6 +52478,8 @@ 0 0 0 +0 +1 9 0x6296 0x93f48ca9 @@ -41990,6 +52488,8 @@ 0 0 0 +2 +2 1 0xb21 0x64dad0e4 @@ -41998,6 +52498,8 @@ 1 1 0 +4 +3 3 0x511d 0x4a12a9c4 @@ -42006,6 +52508,8 @@ 1 0 0 +0 +1 10 0x2f1 0x5f406df1 @@ -42014,6 +52518,8 @@ 0 0 0 +2 +3 9 0x2e67 0xaf179a8a @@ -42022,6 +52528,8 @@ 0 0 0 +0 +4 1 0x7305 0xeaada2fa @@ -42030,6 +52538,8 @@ 1 1 0 +5 +2 8 0xb96d 0x9c3e0210 @@ -42038,6 +52548,8 @@ 0 0 0 +4 +2 6 0xc2f5 0xbbc44bd7 @@ -42047,6 +52559,8 @@ 0 0 2 +5 +2 0xd05d 0x5d40c02e 256 @@ -42054,6 +52568,8 @@ 1 1 0 +0 +1 8 0xac7d 0xeb9d3c09 @@ -42062,6 +52578,8 @@ 1 0 0 +1 +4 9 0x9a98 0x279f3794 @@ -42070,6 +52588,8 @@ 1 1 0 +0 +5 2 0x5e4 0x1d0376d1 @@ -42078,6 +52598,8 @@ 0 0 0 +2 +2 4 0xe50e 0x303298e1 @@ -42086,6 +52608,8 @@ 1 0 0 +0 +2 6 0x478e 0xf8568379 @@ -42094,6 +52618,8 @@ 1 1 0 +4 +5 7 0x2423 0x7ef715a4 @@ -42102,6 +52628,8 @@ 0 0 0 +1 +1 3 0x8a96 0x7e7c1a60 @@ -42110,6 +52638,8 @@ 0 0 0 +4 +5 8 0xb0d7 0x652aae1 @@ -42118,6 +52648,8 @@ 1 0 0 +0 +1 5 0x64cd 0xf6be1726 @@ -42126,6 +52658,8 @@ 0 0 0 +2 +3 5 0x3201 0x45a7e5fb @@ -42134,6 +52668,8 @@ 1 1 0 +4 +5 10 0xbf46 0x3f793ddd @@ -42142,6 +52678,8 @@ 1 1 0 +3 +1 9 0xa9dc 0xe1fe670 @@ -42150,6 +52688,8 @@ 1 0 0 +1 +0 9 0x223b 0x4ef9c1df @@ -42158,6 +52698,8 @@ 1 1 0 +5 +2 8 0x7c6b 0x9a563a6b @@ -42166,6 +52708,8 @@ 0 0 0 +4 +0 10 0xcf40 0x98b7a5d9 @@ -42174,6 +52718,8 @@ 1 0 0 +1 +5 9 0xf553 0x40084b5e @@ -42182,6 +52728,8 @@ 0 0 0 +2 +1 7 0x5233 0xa570bffd @@ -42190,6 +52738,8 @@ 0 0 0 +0 +1 6 0xbd6d 0xbb1a70e3 @@ -42198,6 +52748,8 @@ 0 0 0 +5 +1 8 0xef6e 0x138d03fa @@ -42207,6 +52759,8 @@ 0 0 1 +4 +1 0xbb17 0xcd5bfceb 256 @@ -42214,6 +52768,8 @@ 1 1 0 +2 +3 5 0x659b 0xaf27f1f2 @@ -42222,6 +52778,8 @@ 1 1 0 +1 +4 8 0x7047 0x559f936f @@ -42230,6 +52788,8 @@ 0 0 0 +4 +4 1 0x7814 0xcc99705a @@ -42238,6 +52798,8 @@ 1 1 0 +2 +0 9 0x6d80 0x333873f8 @@ -42246,6 +52808,8 @@ 0 0 0 +0 +5 8 0xe571 0x6c28d192 @@ -42254,6 +52818,8 @@ 0 0 0 +2 +3 5 0xd3e7 0xd449a779 @@ -42263,6 +52829,8 @@ 0 0 3 +1 +3 0xae32 0x7b468bc4 256 @@ -42270,6 +52838,8 @@ 1 1 0 +1 +2 3 0x18a1 0xe660aee @@ -42278,6 +52848,8 @@ 0 0 0 +3 +3 8 0xabd7 0xa7466bde @@ -42286,6 +52858,8 @@ 1 1 0 +2 +5 4 0x875d 0x7bce7093 @@ -42294,6 +52868,8 @@ 0 0 0 +1 +2 8 0xbaf 0x6745103e @@ -42302,6 +52878,8 @@ 1 0 0 +3 +1 6 0x35f4 0x600fb5a8 @@ -42310,6 +52888,8 @@ 1 1 0 +0 +5 2 0x288b 0x87ec7faf @@ -42318,6 +52898,8 @@ 0 0 0 +4 +0 6 0x2f5a 0xb16527d3 @@ -42326,6 +52908,8 @@ 0 0 0 +2 +3 7 0xcc23 0xfc036ae5 @@ -42334,6 +52918,8 @@ 1 1 0 +1 +5 3 0xd1ec 0xf9dde845 @@ -42342,6 +52928,8 @@ 1 1 0 +2 +4 8 0x893 0x4c7f9a41 @@ -42350,6 +52938,8 @@ 0 0 0 +0 +0 4 0x894d 0x5eab07d4 @@ -42358,6 +52948,8 @@ 1 0 0 +2 +3 3 0x206c 0x1967246f @@ -42366,6 +52958,8 @@ 1 0 0 +0 +2 3 0xf1d8 0x62a9edc4 @@ -42374,6 +52968,8 @@ 0 0 0 +1 +4 5 0xf7d1 0xd23f089c @@ -42382,6 +52978,8 @@ 0 0 0 +4 +4 10 0x5f31 0x631e475c @@ -42390,6 +52988,8 @@ 1 1 0 +5 +4 2 0xb3bb 0xef85470 @@ -42398,6 +52998,8 @@ 1 1 0 +3 +5 1 0xb888 0x7f2d2663 @@ -42406,6 +53008,8 @@ 1 1 0 +4 +2 1 0x3a7c 0x2c50d85e @@ -42414,6 +53018,8 @@ 0 0 0 +3 +5 1 0x570a 0xa8fe6223 @@ -42422,6 +53028,8 @@ 0 0 0 +4 +1 7 0x88fb 0xcad5d893 @@ -42430,6 +53038,8 @@ 0 0 0 +0 +4 6 0x500a 0x8b59e200 @@ -42438,6 +53048,8 @@ 0 0 0 +5 +1 10 0x5e70 0x5d49906c @@ -42446,6 +53058,8 @@ 0 0 0 +4 +3 3 0x992c 0xec0549df @@ -42454,6 +53068,8 @@ 0 0 0 +5 +5 3 0xbd12 0x7905a473 @@ -42462,6 +53078,8 @@ 1 1 0 +2 +4 7 0xa36d 0x1c5823a0 @@ -42470,6 +53088,8 @@ 0 0 0 +5 +0 8 0x1bb3 0x2565415c @@ -42478,6 +53098,8 @@ 1 0 0 +0 +0 10 0xd269 0x558a5495 @@ -42486,6 +53108,8 @@ 1 0 0 +4 +5 7 0x3db6 0x39f82acf @@ -42494,6 +53118,8 @@ 0 0 0 +0 +5 6 0x997b 0x22fd49fd @@ -42502,6 +53128,8 @@ 0 0 0 +1 +0 3 0xb0a0 0xeb9535f8 @@ -42510,6 +53138,8 @@ 1 0 0 +3 +1 7 0x7c43 0x850bc9fe @@ -42518,6 +53148,8 @@ 1 1 0 +0 +2 4 0xa114 0x6b85e32a @@ -42526,6 +53158,8 @@ 0 0 0 +4 +3 10 0x2287 0xc91c1c13 @@ -42534,6 +53168,8 @@ 0 0 0 +1 +1 2 0x8caa 0xda87c014 @@ -42542,6 +53178,8 @@ 1 1 0 +5 +1 1 0x9f8a 0x5770cf69 @@ -42550,6 +53188,8 @@ 0 0 0 +1 +1 5 0x1058 0x62d6ced8 @@ -42558,6 +53198,8 @@ 1 0 0 +2 +5 3 0xeddd 0xd8b7c5d7 @@ -42566,6 +53208,8 @@ 1 1 0 +2 +5 3 0x1373 0xf5c47a4 @@ -42574,6 +53218,8 @@ 1 1 0 +3 +1 4 0x9a8e 0xe4da90f5 @@ -42582,6 +53228,8 @@ 1 1 0 +2 +3 4 0x4458 0xa193827f @@ -42590,6 +53238,8 @@ 1 0 0 +1 +5 9 0x2e76 0x31e6c67a @@ -42598,6 +53248,8 @@ 0 0 0 +3 +5 4 0x8143 0x243d1f0f @@ -42606,6 +53258,8 @@ 0 0 0 +0 +5 6 0x636 0x35343c68 @@ -42614,6 +53268,8 @@ 1 1 0 +5 +4 4 0x51be 0x52055322 @@ -42622,6 +53278,8 @@ 0 0 0 +4 +4 5 0xa309 0x71b36260 @@ -42630,6 +53288,8 @@ 1 0 0 +3 +1 2 0x4958 0x22982459 @@ -42638,6 +53298,8 @@ 1 1 0 +3 +3 4 0x6e6 0xdc22271e @@ -42646,6 +53308,8 @@ 1 1 0 +5 +1 4 0xc4e4 0x10a0774d @@ -42654,6 +53318,8 @@ 1 0 0 +5 +0 6 0xfc19 0x7580a73f @@ -42662,6 +53328,8 @@ 1 1 0 +2 +0 3 0x580c 0xcb1d0f08 @@ -42670,6 +53338,8 @@ 0 0 0 +3 +0 10 0x2153 0xdd9ae3ad @@ -42678,6 +53348,8 @@ 0 0 0 +2 +5 6 0x6af7 0x3a53c600 @@ -42686,6 +53358,8 @@ 1 0 0 +5 +2 6 0x939c 0x2a5421c4 @@ -42694,6 +53368,8 @@ 1 1 0 +2 +0 8 0x5b8d 0xd01d5299 @@ -42702,6 +53378,8 @@ 1 1 0 +3 +2 7 0xac67 0x4e2462be @@ -42710,6 +53388,8 @@ 0 0 0 +5 +3 9 0xbda3 0x1435c5cf @@ -42718,6 +53398,8 @@ 0 0 0 +2 +2 8 0x4b94 0xebb3ad2d @@ -42726,6 +53408,8 @@ 0 0 0 +1 +3 3 0x7cb0 0xc68f339f @@ -42734,6 +53418,8 @@ 1 1 0 +4 +0 8 0x2508 0xf392c25e @@ -42742,6 +53428,8 @@ 0 0 0 +3 +0 2 0x2ddd 0x5abce73f @@ -42750,6 +53438,8 @@ 0 0 0 +0 +5 8 0x188e 0xbdbbb5e2 @@ -42758,6 +53448,8 @@ 0 0 0 +4 +0 8 0xcf1a 0x8e0434f6 @@ -42766,6 +53458,8 @@ 0 0 0 +0 +3 3 0x562a 0x24571897 @@ -42774,6 +53468,8 @@ 0 0 0 +1 +3 10 0x4996 0x25146096 @@ -42782,6 +53478,8 @@ 0 0 0 +5 +4 9 0xe954 0xa0d7da04 @@ -42790,6 +53488,8 @@ 0 0 0 +1 +0 2 0x9d2d 0x8f7f4665 @@ -42798,6 +53498,8 @@ 0 0 0 +3 +5 1 0x8055 0x346a455d @@ -42806,6 +53508,8 @@ 1 1 0 +0 +3 5 0xb0f5 0x7f5f0a5e @@ -42814,6 +53518,8 @@ 0 0 0 +0 +5 1 0xef27 0x4f8be277 @@ -42822,6 +53528,8 @@ 1 1 0 +4 +0 5 0xf6c 0x2d362cf4 @@ -42830,6 +53538,8 @@ 1 0 0 +4 +5 5 0x14e7 0x1411cf68 @@ -42838,6 +53548,8 @@ 1 0 0 +1 +4 3 0x82df 0xea97fcd1 @@ -42846,6 +53558,8 @@ 1 0 0 +4 +1 6 0xd8f1 0x132dcfca @@ -42854,6 +53568,8 @@ 1 1 0 +2 +0 6 0xc5c9 0x8a4c381c @@ -42862,6 +53578,8 @@ 0 0 0 +0 +2 3 0xdfc1 0xb09902e2 @@ -42870,6 +53588,8 @@ 0 0 0 +4 +3 8 0x86f1 0xcf80a7d8 @@ -42878,6 +53598,8 @@ 0 0 0 +4 +4 1 0xed99 0xcb607c04 @@ -42886,6 +53608,8 @@ 1 1 0 +0 +5 10 0xb751 0x313b8f29 @@ -42895,6 +53619,8 @@ 0 0 1 +0 +1 0x2151 0x8291aef1 256 @@ -42902,6 +53628,8 @@ 0 0 0 +5 +3 6 0x18b1 0x17712ddf @@ -42910,6 +53638,8 @@ 0 0 0 +2 +0 7 0x2186 0xf93f9840 @@ -42918,6 +53648,8 @@ 1 1 0 +4 +5 1 0xad3 0x304e6c4e @@ -42926,6 +53658,8 @@ 0 0 0 +1 +0 6 0x9af1 0x87d56760 @@ -42934,6 +53668,8 @@ 0 0 0 +4 +1 7 0x4ea 0x9b560330 @@ -42943,6 +53679,8 @@ 0 0 2 +4 +2 0x9ca4 0x1366ed37 256 @@ -42950,6 +53688,8 @@ 0 0 0 +2 +1 8 0x2516 0xba13650a @@ -42958,6 +53698,8 @@ 0 0 0 +5 +0 10 0xef42 0x6a802671 @@ -42966,6 +53708,8 @@ 1 0 0 +1 +5 6 0xf129 0xd87d2cab @@ -42974,6 +53718,8 @@ 1 0 0 +3 +2 7 0x6ec 0xa6827161 @@ -42982,6 +53728,8 @@ 1 0 0 +0 +2 6 0xb7ab 0x3965a41c @@ -42990,6 +53738,8 @@ 1 1 0 +0 +2 3 0x8ca4 0xdf7929aa @@ -42998,6 +53748,8 @@ 1 0 0 +2 +3 10 0xd3db 0xe7475890 @@ -43006,6 +53758,8 @@ 0 0 0 +2 +5 3 0x450e 0x8f4df573 @@ -43014,6 +53768,8 @@ 1 1 0 +0 +1 8 0x2043 0x2a0f9afc @@ -43022,6 +53778,8 @@ 0 0 0 +3 +5 7 0x8298 0xd40406d2 @@ -43030,6 +53788,8 @@ 1 0 0 +3 +2 6 0x429e 0x8a5b7a61 @@ -43038,6 +53798,8 @@ 0 0 0 +2 +2 6 0xa0c4 0x48b5fc8c @@ -43046,6 +53808,8 @@ 1 1 0 +1 +4 2 0x78da 0x137afc0a @@ -43054,6 +53818,8 @@ 1 1 0 +3 +5 4 0x3823 0xa86581a3 @@ -43063,6 +53829,8 @@ 0 0 1 +4 +1 0x26c 0x605119ac 256 @@ -43070,6 +53838,8 @@ 1 1 0 +3 +2 7 0xe5ea 0x9d1c2dd4 @@ -43078,6 +53848,8 @@ 1 1 0 +3 +2 2 0x234 0x66c0b45c @@ -43087,6 +53859,8 @@ 0 0 2 +1 +2 0x58e4 0x5a8335be 256 @@ -43094,6 +53868,8 @@ 0 0 0 +0 +0 7 0x3093 0x714096e3 @@ -43102,6 +53878,8 @@ 1 1 0 +5 +3 8 0x9dd9 0xab41070d @@ -43110,6 +53888,8 @@ 1 0 0 +3 +3 5 0xe56f 0xf9fcd997 @@ -43118,6 +53898,8 @@ 0 0 0 +3 +3 4 0xbed8 0x87edd989 @@ -43127,6 +53909,8 @@ 0 0 4 +5 +4 0x7418 0xbc0f27d0 256 @@ -43134,6 +53918,8 @@ 0 0 0 +1 +0 4 0x7e3c 0x701a8383 @@ -43142,6 +53928,8 @@ 0 0 0 +5 +5 10 0xc14c 0xd6817b8 @@ -43150,6 +53938,8 @@ 0 0 0 +3 +1 7 0xc51a 0xf0a9ab3d @@ -43158,6 +53948,8 @@ 0 0 0 +2 +4 7 0x6afb 0xcdd926ab @@ -43166,6 +53958,8 @@ 1 1 0 +4 +1 3 0x61ec 0xfe00622b @@ -43174,6 +53968,8 @@ 0 0 0 +0 +1 10 0x52cf 0x20330dec @@ -43182,6 +53978,8 @@ 0 0 0 +4 +3 2 0x70d8 0xbf9d3248 @@ -43190,6 +53988,8 @@ 0 0 0 +3 +3 5 0x62c 0x20ec7855 @@ -43198,6 +53998,8 @@ 0 0 0 +5 +1 9 0x3aae 0x4a2e8f61 @@ -43206,6 +54008,8 @@ 1 1 0 +0 +3 9 0x8d1d 0x91945043 @@ -43214,6 +54018,8 @@ 0 0 0 +3 +1 1 0xf510 0xac601f5e @@ -43222,6 +54028,8 @@ 1 0 0 +0 +2 5 0x8a47 0x9315aef6 @@ -43230,6 +54038,8 @@ 0 0 0 +3 +3 10 0xd49d 0x25e2cbe7 @@ -43238,6 +54048,8 @@ 1 0 0 +3 +1 7 0xda9d 0x6e441bba @@ -43246,6 +54058,8 @@ 1 0 0 +0 +3 7 0xd6dc 0x153e48db @@ -43254,6 +54068,8 @@ 1 1 0 +5 +4 2 0xc0c6 0xa2bc8f90 @@ -43262,6 +54078,8 @@ 0 0 0 +2 +1 4 0x9fe9 0x28c5824d @@ -43270,6 +54088,8 @@ 0 0 0 +3 +3 1 0x57b5 0x865cfa5c @@ -43278,6 +54098,8 @@ 1 0 0 +0 +4 9 0x1b10 0x32a8bbec @@ -43286,6 +54108,8 @@ 1 0 0 +5 +5 4 0x88b5 0xc39626c1 @@ -43294,6 +54118,8 @@ 1 1 0 +5 +0 6 0xd57 0xbdb12c83 @@ -43302,6 +54128,8 @@ 0 0 0 +0 +2 7 0x2c5f 0x4022c1ad @@ -43310,6 +54138,8 @@ 1 0 0 +1 +3 7 0xc486 0x45b83740 @@ -43318,6 +54148,8 @@ 0 0 0 +1 +2 7 0x66ec 0xc3feda9c @@ -43326,6 +54158,8 @@ 1 0 0 +3 +0 7 0xdcc 0x6a7bed2f @@ -43334,6 +54168,8 @@ 0 0 0 +1 +1 7 0x2c8d 0x38386555 @@ -43342,6 +54178,8 @@ 1 0 0 +4 +3 9 0x67f3 0xbbb16863 @@ -43350,6 +54188,8 @@ 1 0 0 +1 +4 10 0xcc82 0xc04c8994 @@ -43358,6 +54198,8 @@ 1 1 0 +5 +3 4 0x4b95 0x2cfc8f77 @@ -43366,6 +54208,8 @@ 0 0 0 +5 +5 2 0x3dfb 0xce51715c @@ -43374,6 +54218,8 @@ 1 0 0 +3 +0 5 0xe39d 0x9526be87 @@ -43382,6 +54228,8 @@ 1 0 0 +1 +3 8 0x729b 0x1ed23274 @@ -43390,6 +54238,8 @@ 0 0 0 +3 +5 7 0x4ac7 0xd5fe6a1c @@ -43398,6 +54248,8 @@ 0 0 0 +4 +4 10 0x26d2 0x8c632601 @@ -43406,6 +54258,8 @@ 1 1 0 +2 +0 8 0x6a33 0xef972ac2 @@ -43414,6 +54268,8 @@ 1 1 0 +3 +0 5 0xb6ae 0x79fdbc52 @@ -43422,6 +54278,8 @@ 0 0 0 +3 +3 8 0xcd8b 0xfb078726 @@ -43430,6 +54288,8 @@ 0 0 0 +2 +1 8 0x733 0x31e4fd83 @@ -43438,6 +54298,8 @@ 0 0 0 +3 +3 7 0x3307 0x9086f10b @@ -43446,6 +54308,8 @@ 1 1 0 +0 +0 3 0x6df8 0xda027633 @@ -43454,6 +54318,8 @@ 1 1 0 +5 +1 7 0x2cfd 0xb8e78810 @@ -43463,6 +54329,8 @@ 0 0 1 +2 +1 0xdc01 0x167eada6 256 @@ -43470,6 +54338,8 @@ 0 0 0 +3 +5 2 0x2278 0xbdf70bd8 @@ -43478,6 +54348,8 @@ 0 0 0 +1 +3 4 0x2d18 0xdb4162bc @@ -43486,6 +54358,8 @@ 1 0 0 +0 +5 4 0x1fa0 0x23307c5b @@ -43494,6 +54368,8 @@ 0 0 0 +3 +2 6 0x917 0x84d72eb3 @@ -43502,6 +54378,8 @@ 1 0 0 +4 +5 5 0x21ed 0x254a543c @@ -43510,6 +54388,8 @@ 1 1 0 +5 +2 9 0x79b 0x21b4bded @@ -43518,6 +54398,8 @@ 0 0 0 +5 +5 4 0x8a2 0xc7cae81f @@ -43526,6 +54408,8 @@ 0 0 0 +5 +4 7 0x191e 0xcbab08e9 @@ -43534,6 +54418,8 @@ 0 0 0 +4 +2 6 0x7659 0xef86b203 @@ -43542,6 +54428,8 @@ 0 0 0 +4 +0 2 0xddfe 0x6a5f06dc @@ -43550,6 +54438,8 @@ 0 0 0 +1 +5 9 0x2282 0xe286d041 @@ -43559,6 +54449,8 @@ 0 0 2 +0 +2 0x7a3 0xc446ae4f 256 @@ -43567,6 +54459,8 @@ 0 0 5 +2 +5 0x3c15 0xf444fd0e 256 @@ -43574,6 +54468,8 @@ 0 0 0 +2 +2 4 0xfb10 0xd38bf38b @@ -43582,6 +54478,8 @@ 1 1 0 +5 +2 2 0xd21d 0xb46f1226 @@ -43590,6 +54488,8 @@ 1 0 0 +0 +2 10 0xbff2 0x80300965 @@ -43598,6 +54498,8 @@ 1 0 0 +5 +0 10 0xc7cf 0x7aa12da2 @@ -43606,6 +54508,8 @@ 0 0 0 +0 +4 3 0x24ac 0xd7ca87d4 @@ -43614,6 +54518,8 @@ 1 0 0 +4 +0 10 0xfa82 0x83be38db @@ -43622,6 +54528,8 @@ 1 0 0 +4 +3 7 0x631c 0xb9cd42a @@ -43630,6 +54538,8 @@ 0 0 0 +2 +1 8 0x83bd 0x66a705c9 @@ -43638,6 +54548,8 @@ 0 0 0 +1 +1 10 0x3ea1 0x401d6a74 @@ -43646,6 +54558,8 @@ 1 0 0 +0 +0 3 0x4147 0x6043b814 @@ -43654,6 +54568,8 @@ 0 0 0 +2 +1 10 0xa68f 0xe122175d @@ -43662,6 +54578,8 @@ 1 1 0 +0 +2 3 0x19f3 0xd61c8f98 @@ -43670,6 +54588,8 @@ 1 1 0 +0 +2 6 0x20ad 0xbe27bb0d @@ -43678,6 +54598,8 @@ 0 0 0 +2 +0 6 0x463 0x8e89b6be @@ -43686,6 +54608,8 @@ 0 0 0 +0 +1 8 0xbb5f 0x9e39bb6 @@ -43694,6 +54618,8 @@ 1 1 0 +5 +0 3 0xfbf5 0x2b44ad27 @@ -43702,6 +54628,8 @@ 0 0 0 +1 +1 2 0x2eec 0x36e7c9b5 @@ -43710,6 +54638,8 @@ 0 0 0 +4 +3 2 0xdc95 0x34e44576 @@ -43718,6 +54648,8 @@ 1 1 0 +2 +2 10 0x5a1c 0x668153a @@ -43726,6 +54658,8 @@ 1 0 0 +0 +1 6 0x8766 0x4b006c66 @@ -43734,6 +54668,8 @@ 0 0 0 +0 +0 7 0xb6fa 0xfed47726 @@ -43742,6 +54678,8 @@ 0 0 0 +1 +5 7 0xe3f7 0xf7a078b0 @@ -43750,6 +54688,8 @@ 0 0 0 +3 +3 9 0xfdad 0x51ec4449 @@ -43758,6 +54698,8 @@ 0 0 0 +0 +5 9 0x2d23 0xbca8f2f2 @@ -43766,6 +54708,8 @@ 0 0 0 +5 +4 6 0x8ca4 0xc992b434 @@ -43774,6 +54718,8 @@ 0 0 0 +3 +3 4 0x3ce 0xe63483ee @@ -43782,6 +54728,8 @@ 1 1 0 +5 +5 3 0xfca5 0x425698a6 @@ -43790,6 +54738,8 @@ 1 0 0 +2 +1 9 0x9db1 0x908e237d @@ -43798,6 +54748,8 @@ 1 1 0 +5 +4 9 0x812f 0x4b83fdc7 @@ -43806,6 +54758,8 @@ 0 0 0 +0 +5 9 0x9bbc 0x4481b150 @@ -43814,6 +54768,8 @@ 0 0 0 +2 +1 7 0xfdda 0xcde5a70 @@ -43822,6 +54778,8 @@ 0 0 0 +5 +3 10 0xc4fb 0x17760253 @@ -43830,6 +54788,8 @@ 1 1 0 +1 +5 10 0xccb0 0xaeabcd36 @@ -43838,6 +54798,8 @@ 1 1 0 +1 +3 9 0xbc2a 0xef752ba6 @@ -43847,6 +54809,8 @@ 0 0 3 +2 +3 0x2cc5 0x31cd41e2 256 @@ -43854,6 +54818,8 @@ 1 0 0 +5 +4 3 0xe2d7 0xff73872a @@ -43862,6 +54828,8 @@ 1 1 0 +1 +5 5 0xf833 0xcd7ca1fc @@ -43870,6 +54838,8 @@ 0 0 0 +0 +5 4 0xed3c 0x352a2023 @@ -43878,6 +54848,8 @@ 0 0 0 +2 +3 3 0x9f46 0x6d6605ac @@ -43886,6 +54858,8 @@ 1 1 0 +2 +3 10 0xcb48 0x7a9683c6 @@ -43894,6 +54868,8 @@ 1 0 0 +5 +0 6 0xf72 0x5429ad96 @@ -43902,6 +54878,8 @@ 0 0 0 +1 +5 2 0x5f9b 0x77c4a02 @@ -43910,6 +54888,8 @@ 1 0 0 +1 +0 6 0xaffd 0x24f81699 @@ -43918,6 +54898,8 @@ 1 1 0 +2 +0 1 0x68ee 0xe92aee67 @@ -43926,6 +54908,8 @@ 0 0 0 +4 +0 3 0x429d 0xe82eb0c8 @@ -43934,6 +54918,8 @@ 1 1 0 +0 +1 7 0xb95a 0x3db1bd3e @@ -43942,6 +54928,8 @@ 0 0 0 +1 +2 4 0x395 0x2b04a8ae @@ -43950,6 +54938,8 @@ 1 0 0 +0 +3 9 0x92db 0x5ec8d86d @@ -43958,6 +54948,8 @@ 1 0 0 +5 +3 2 0xf3ee 0xab4f0a2c @@ -43966,6 +54958,8 @@ 0 0 0 +3 +5 9 0x533d 0x8576cd21 @@ -43974,6 +54968,8 @@ 1 0 0 +1 +3 7 0xbcb3 0x3ce9836c @@ -43982,6 +54978,8 @@ 1 1 0 +2 +4 4 0xbf10 0xac474477 @@ -43990,6 +54988,8 @@ 1 0 0 +2 +2 1 0x90bc 0x544e1f57 @@ -43998,6 +54998,8 @@ 0 0 0 +1 +0 7 0xb749 0x10f01266 @@ -44006,6 +55008,8 @@ 0 0 0 +1 +4 10 0x3902 0xd064a1f2 @@ -44014,6 +55018,8 @@ 0 0 0 +0 +0 1 0xdde6 0xa3c95bd3 @@ -44023,6 +55029,8 @@ 0 0 4 +0 +4 0x78e3 0x4dce7089 256 @@ -44030,6 +55038,8 @@ 1 1 0 +1 +4 10 0xba6c 0x642e05f6 @@ -44038,6 +55048,8 @@ 1 0 0 +5 +1 4 0x554e 0x2c6e7df7 @@ -44046,6 +55058,8 @@ 1 0 0 +3 +3 2 0xf713 0xc175460d @@ -44054,6 +55068,8 @@ 1 1 0 +4 +3 9 0x52d 0x945eb7f0 @@ -44063,6 +55079,8 @@ 0 0 2 +4 +2 0xb5c6 0xbc8854fa 256 @@ -44070,6 +55088,8 @@ 0 0 0 +1 +3 4 0xcc89 0xd7c57d49 @@ -44078,6 +55098,8 @@ 1 0 0 +3 +3 9 0xde3a 0xdb68f58 @@ -44086,6 +55108,8 @@ 1 1 0 +0 +3 10 0xc353 0xfabb2255 @@ -44094,6 +55118,8 @@ 0 0 0 +4 +3 5 0x5328 0xb6f51312 @@ -44102,6 +55128,8 @@ 1 0 0 +5 +0 4 0xd8a1 0xff44f6ae @@ -44110,6 +55138,8 @@ 1 1 0 +5 +0 10 0xb6a 0x2a4ca808 @@ -44118,6 +55148,8 @@ 1 0 0 +5 +0 6 0x555c 0xc2ec932b @@ -44126,6 +55158,8 @@ 0 0 0 +2 +0 4 0xb6cc 0x78c688ca @@ -44135,6 +55169,8 @@ 0 0 3 +1 +3 0x90bb 0x2a9e1c0b 256 @@ -44142,6 +55178,8 @@ 0 0 0 +4 +3 9 0xa4a7 0x674f01fc @@ -44150,6 +55188,8 @@ 0 0 0 +5 +1 10 0x3fb6 0x25b19a8a @@ -44158,6 +55198,8 @@ 1 0 0 +2 +4 6 0x9ba6 0xcc9f18f2 @@ -44166,6 +55208,8 @@ 1 1 0 +1 +2 8 0xdbc0 0x57632875 @@ -44174,6 +55218,8 @@ 0 0 0 +1 +2 10 0x34fa 0x11114913 @@ -44182,6 +55228,8 @@ 0 0 0 +4 +3 2 0xfb66 0xe8160dd1 @@ -44190,6 +55238,8 @@ 0 0 0 +5 +3 3 0xe52 0x9db2d0b5 @@ -44198,6 +55248,8 @@ 1 0 0 +3 +0 4 0x7fbb 0x9d4aa8ae @@ -44206,6 +55258,8 @@ 1 1 0 +3 +4 10 0x4774 0x12b7dd30 @@ -44214,6 +55268,8 @@ 1 1 0 +4 +2 9 0xe70b 0x22151e2 @@ -44222,6 +55278,8 @@ 1 0 0 +2 +0 9 0x92f7 0xb2eea192 @@ -44230,6 +55288,8 @@ 1 0 0 +1 +1 3 0xb2f2 0x40043afb @@ -44238,6 +55298,8 @@ 0 0 0 +1 +1 9 0xefaa 0xcbc0894c @@ -44246,6 +55308,8 @@ 1 1 0 +1 +5 2 0x71ac 0x130bd780 @@ -44254,6 +55318,8 @@ 1 0 0 +3 +0 5 0x3d98 0x210c9cba @@ -44262,6 +55328,8 @@ 1 0 0 +3 +5 9 0xf03b 0x16025ccc @@ -44270,6 +55338,8 @@ 0 0 0 +2 +3 10 0xef0e 0x7276be8b @@ -44278,6 +55348,8 @@ 1 0 0 +1 +2 4 0xf1d0 0x9becf613 @@ -44286,6 +55358,8 @@ 0 0 0 +3 +5 8 0x121f 0x9f8c0f80 @@ -44294,6 +55368,8 @@ 0 0 0 +1 +2 3 0x5226 0x4b946b07 @@ -44302,6 +55378,8 @@ 0 0 0 +3 +2 1 0xd7b0 0xcd160075 @@ -44310,6 +55388,8 @@ 0 0 0 +5 +0 2 0xd39e 0x93162bb @@ -44318,6 +55398,8 @@ 0 0 0 +3 +5 9 0x4be2 0x505d5d7 @@ -44326,6 +55408,8 @@ 0 0 0 +3 +5 5 0x84e4 0x737e896b @@ -44334,6 +55418,8 @@ 1 0 0 +0 +5 1 0xeabd 0x9420cc78 @@ -44342,6 +55428,8 @@ 1 0 0 +0 +1 10 0x8e6d 0xe439c5e1 @@ -44350,6 +55438,8 @@ 1 1 0 +4 +3 2 0x82ea 0xddb3db84 @@ -44358,6 +55448,8 @@ 0 0 0 +2 +0 5 0x9172 0xe33fed25 @@ -44366,6 +55458,8 @@ 0 0 0 +5 +2 3 0x88e2 0xd9a87af8 @@ -44374,6 +55468,8 @@ 0 0 0 +2 +3 10 0x4af0 0x841be513 @@ -44382,6 +55478,8 @@ 0 0 0 +2 +0 9 0x3113 0x8d6cff15 @@ -44390,6 +55488,8 @@ 0 0 0 +5 +3 1 0x3b58 0x9d32bd5d @@ -44398,6 +55498,8 @@ 1 1 0 +0 +4 5 0xc118 0x42b2546f @@ -44406,6 +55508,8 @@ 0 0 0 +1 +2 10 0x571d 0xd2d76d9c @@ -44414,6 +55518,8 @@ 0 0 0 +1 +0 4 0x5124 0xa538fe81 @@ -44422,6 +55528,8 @@ 0 0 0 +0 +1 5 0x9810 0x96cd2e2a @@ -44430,6 +55538,8 @@ 1 0 0 +2 +4 10 0x9232 0x1c672204 @@ -44438,6 +55548,8 @@ 1 0 0 +2 +3 1 0xb08 0xee8ed2c6 @@ -44446,6 +55558,8 @@ 1 0 0 +0 +3 6 0xa7ce 0x19af3191 @@ -44454,6 +55568,8 @@ 1 1 0 +2 +0 3 0x5d7e 0x9621e60b @@ -44462,6 +55578,8 @@ 1 0 0 +1 +2 8 0x253f 0xace7cd5b @@ -44470,6 +55588,8 @@ 0 0 0 +5 +4 3 0xedb0 0x9faba4d1 @@ -44478,6 +55598,8 @@ 1 0 0 +4 +2 10 0x8f97 0xcabe559e @@ -44486,6 +55608,8 @@ 1 0 0 +5 +5 3 0x36ef 0x3f81b62f @@ -44494,6 +55618,8 @@ 0 0 0 +0 +2 2 0x1acc 0x51d55664 @@ -44502,6 +55628,8 @@ 1 1 0 +2 +1 10 0x24d1 0xac0f9db7 @@ -44510,6 +55638,8 @@ 1 1 0 +4 +4 6 0x6462 0xa4c6c901 @@ -44518,6 +55648,8 @@ 0 0 0 +1 +1 3 0xe38a 0x23e3e853 @@ -44526,6 +55658,8 @@ 0 0 0 +0 +0 5 0x2545 0xefa597fa @@ -44535,6 +55669,8 @@ 0 0 5 +2 +5 0x5780 0xc6af6127 256 @@ -44542,6 +55678,8 @@ 1 1 0 +2 +3 7 0xb9ac 0x939c97b2 @@ -44550,6 +55688,8 @@ 0 0 0 +5 +3 9 0xf3f0 0x1f273f3c @@ -44558,6 +55698,8 @@ 0 0 0 +0 +4 6 0xdfaf 0xf1ac5f96 @@ -44566,6 +55708,8 @@ 1 1 0 +5 +2 9 0xee95 0xaa4d0c7d @@ -44574,6 +55718,8 @@ 0 0 0 +0 +4 8 0x36b5 0x143f3bce @@ -44582,6 +55728,8 @@ 0 0 0 +5 +2 2 0x4da9 0x7f7a14a9 @@ -44590,6 +55738,8 @@ 1 0 0 +0 +3 1 0xc026 0xaf188e42 @@ -44599,6 +55749,8 @@ 1 0 1 +0 +1 0xfe1c 0x86385223 256 @@ -44606,6 +55758,8 @@ 0 0 0 +3 +3 1 0x864 0x1d9836ec @@ -44614,6 +55768,8 @@ 0 0 0 +5 +0 4 0x476c 0xed15686d @@ -44622,6 +55778,8 @@ 0 0 0 +0 +2 10 0xfec4 0xfa95984f @@ -44630,6 +55788,8 @@ 1 1 0 +4 +1 10 0x5c40 0x851275d6 @@ -44638,6 +55798,8 @@ 1 1 0 +5 +5 4 0x9dd8 0xa47db516 @@ -44646,6 +55808,8 @@ 0 0 0 +1 +2 10 0x7c97 0x6494c897 @@ -44654,6 +55818,8 @@ 1 1 0 +0 +3 7 0x2ab2 0x781cca27 @@ -44662,6 +55828,8 @@ 0 0 0 +3 +1 5 0xf602 0x1122e6e7 @@ -44670,6 +55838,8 @@ 1 0 0 +4 +4 9 0x2f08 0x713d7d9b @@ -44678,6 +55848,8 @@ 1 1 0 +0 +3 1 0xff85 0x60ba0500 @@ -44686,6 +55858,8 @@ 1 0 0 +5 +5 1 0x162f 0xbe4cd3e8 @@ -44694,6 +55868,8 @@ 1 1 0 +4 +2 10 0xe7ec 0xebfe3dc2 @@ -44702,6 +55878,8 @@ 1 1 0 +3 +0 1 0xfcd1 0x3fa3efc4 @@ -44710,6 +55888,8 @@ 0 0 0 +0 +2 2 0x9359 0xfd8a010f @@ -44718,6 +55898,8 @@ 0 0 0 +1 +0 5 0x91a4 0x28103d38 @@ -44726,6 +55908,8 @@ 0 0 0 +3 +1 10 0xdb9e 0xf83b614d @@ -44734,6 +55918,8 @@ 0 0 0 +2 +0 6 0xbb74 0x1d46f7b0 @@ -44742,6 +55928,8 @@ 1 0 0 +2 +3 7 0xdeba 0x530627e3 @@ -44750,6 +55938,8 @@ 0 0 0 +5 +1 4 0x4999 0x4743663b @@ -44758,6 +55948,8 @@ 1 1 0 +5 +5 3 0xf15a 0x80073d10 @@ -44766,6 +55958,8 @@ 1 0 0 +4 +1 2 0x4623 0x4adf4251 @@ -44774,6 +55968,8 @@ 0 0 0 +0 +0 4 0xeed2 0xd1e7df57 @@ -44782,6 +55978,8 @@ 1 1 0 +4 +3 5 0x7703 0x7199b7e @@ -44790,6 +55988,8 @@ 1 0 0 +2 +5 5 0x81ec 0x2c511ec1 @@ -44798,6 +55998,8 @@ 0 0 0 +0 +5 9 0x55be 0x760b0118 @@ -44806,6 +56008,8 @@ 1 1 0 +0 +4 8 0x410a 0x57ceef47 @@ -44814,6 +56018,8 @@ 1 0 0 +0 +4 9 0xfa1a 0x75beeff @@ -44822,6 +56028,8 @@ 0 0 0 +3 +4 5 0x6061 0xccdc446e @@ -44830,6 +56038,8 @@ 0 0 0 +4 +0 9 0x932b 0xe69e2ec4 @@ -44838,6 +56048,8 @@ 0 0 0 +0 +5 7 0x64e4 0x9bb0b5ea @@ -44846,6 +56058,8 @@ 1 1 0 +1 +0 9 0x190 0xa3447550 @@ -44854,6 +56068,8 @@ 0 0 0 +3 +2 7 0x9123 0x3bd4937c @@ -44862,6 +56078,8 @@ 1 0 0 +3 +4 4 0xa57c 0xff440062 @@ -44870,6 +56088,8 @@ 0 0 0 +5 +0 8 0xf4d6 0x7509c582 @@ -44878,6 +56098,8 @@ 1 0 0 +1 +0 2 0xab0c 0xf053ed4d @@ -44887,6 +56109,8 @@ 0 0 5 +1 +5 0x671e 0xc73160cc 256 @@ -44894,6 +56118,8 @@ 0 0 0 +5 +4 9 0xe1b9 0x6d5df7f0 @@ -44902,6 +56128,8 @@ 0 0 0 +1 +1 9 0x16d7 0x5a5ea5b6 @@ -44910,6 +56138,8 @@ 0 0 0 +3 +2 8 0xc1ed 0x3419d4c7 @@ -44918,6 +56148,8 @@ 0 0 0 +5 +2 4 0xeb6b 0xccfec53 @@ -44926,6 +56158,8 @@ 0 0 0 +0 +2 2 0xf24e 0xf77bc4cd @@ -44934,6 +56168,8 @@ 0 0 0 +0 +1 3 0xb295 0x25d62e56 @@ -44942,6 +56178,8 @@ 1 1 0 +3 +3 4 0xbf68 0x97f6fbd @@ -44950,6 +56188,8 @@ 1 0 0 +5 +1 4 0x7009 0xea26fb39 @@ -44959,6 +56199,8 @@ 1 0 2 +1 +2 0xa18b 0xd707b4f6 256 @@ -44966,6 +56208,8 @@ 0 0 0 +1 +1 8 0xc550 0xeda9aedd @@ -44974,6 +56218,8 @@ 0 0 0 +1 +2 9 0x111a 0x873becc5 @@ -44982,6 +56228,8 @@ 0 0 0 +3 +1 1 0x32f4 0x5a08d006 @@ -44990,6 +56238,8 @@ 0 0 0 +2 +4 9 0x23a 0x1e33b463 @@ -44998,6 +56248,8 @@ 1 1 0 +4 +2 2 0xecf1 0xb13a9d26 @@ -45006,6 +56258,8 @@ 1 0 0 +0 +1 6 0xffb1 0xc518563 @@ -45014,6 +56268,8 @@ 0 0 0 +3 +2 4 0x75e3 0x6182f78 @@ -45022,6 +56278,8 @@ 0 0 0 +4 +4 9 0x5b15 0xeb10a32c @@ -45030,6 +56288,8 @@ 0 0 0 +0 +2 3 0x2df7 0xfcc980be @@ -45038,6 +56298,8 @@ 0 0 0 +5 +0 7 0xa928 0xaed24a11 @@ -45046,6 +56308,8 @@ 0 0 0 +4 +5 9 0xe4df 0x99349c51 @@ -45054,6 +56318,8 @@ 1 0 0 +5 +0 6 0x877b 0x8eef0553 @@ -45062,6 +56328,8 @@ 0 0 0 +4 +2 6 0x3ec7 0x135a913d @@ -45070,6 +56338,8 @@ 0 0 0 +1 +4 10 0xf894 0x37708598 @@ -45078,6 +56348,8 @@ 0 0 0 +4 +2 10 0xbcd9 0x861aadf0 @@ -45086,6 +56358,8 @@ 0 0 0 +4 +0 6 0x76e8 0xa6f8d672 @@ -45094,6 +56368,8 @@ 1 0 0 +2 +0 3 0xe0a5 0xbcd65a68 @@ -45102,6 +56378,8 @@ 1 1 0 +1 +5 2 0xf573 0xf0eea31f @@ -45110,6 +56388,8 @@ 1 0 0 +5 +5 3 0xa2aa 0x4464b2ce @@ -45118,6 +56398,8 @@ 0 0 0 +1 +4 3 0x34b 0xcb68b8d7 @@ -45126,6 +56408,8 @@ 0 0 0 +3 +5 9 0x1e64 0x11c4bdb9 @@ -45134,6 +56418,8 @@ 1 1 0 +3 +0 9 0xb4fe 0x5d6cf7bc @@ -45143,6 +56429,8 @@ 0 0 4 +4 +4 0x7f08 0x51c8c096 256 @@ -45150,6 +56438,8 @@ 1 1 0 +5 +2 8 0x4897 0xb5e7ae01 @@ -45158,6 +56448,8 @@ 0 0 0 +0 +4 6 0x9d7c 0x68cde4c4 @@ -45166,6 +56458,8 @@ 1 0 0 +2 +5 6 0xa2b5 0x4e14bf85 @@ -45174,6 +56468,8 @@ 1 0 0 +4 +0 2 0x3584 0xaee1cc14 @@ -45183,6 +56479,8 @@ 1 0 2 +4 +2 0x584d 0xc18ca008 256 @@ -45190,6 +56488,8 @@ 0 0 0 +4 +5 3 0xd54d 0xf43f4aef @@ -45198,6 +56498,8 @@ 1 0 0 +1 +1 9 0xcac8 0xfd1edc6b @@ -45206,6 +56508,8 @@ 0 0 0 +0 +2 9 0x490e 0x40c2728 @@ -45214,6 +56518,8 @@ 1 0 0 +2 +2 7 0x196 0x3a76131b @@ -45222,6 +56528,8 @@ 0 0 0 +3 +2 4 0x457f 0x69c57a8e @@ -45230,6 +56538,8 @@ 1 1 0 +1 +2 9 0xc020 0x82cbeb17 @@ -45238,6 +56548,8 @@ 1 0 0 +3 +4 7 0x9a96 0x48983cb4 @@ -45246,6 +56558,8 @@ 1 0 0 +4 +1 8 0x32d 0x44bb1f4e @@ -45254,6 +56568,8 @@ 1 1 0 +1 +4 3 0x7af5 0x24482d8f @@ -45262,6 +56578,8 @@ 1 0 0 +0 +0 3 0x475e 0xbfbbf993 @@ -45270,6 +56588,8 @@ 0 0 0 +3 +2 4 0x5689 0x884717f8 @@ -45278,6 +56598,8 @@ 1 1 0 +3 +0 8 0xebcf 0x57446128 @@ -45286,6 +56608,8 @@ 0 0 0 +3 +1 7 0xb078 0xfe4765dc @@ -45295,6 +56619,8 @@ 1 0 4 +2 +4 0xb52f 0x156a2603 256 @@ -45302,6 +56628,8 @@ 0 0 0 +2 +4 8 0x2bf 0x3de1ac17 @@ -45310,6 +56638,8 @@ 1 0 0 +4 +5 5 0x7559 0x19f843b4 @@ -45318,6 +56648,8 @@ 1 0 0 +2 +4 1 0x8837 0x35b6e63 @@ -45326,6 +56658,8 @@ 0 0 0 +3 +1 7 0xf532 0xc75d4684 @@ -45334,6 +56668,8 @@ 1 1 0 +3 +4 9 0xdd78 0xd61d90e3 @@ -45342,6 +56678,8 @@ 0 0 0 +0 +2 3 0x99f5 0x83dbdc0 @@ -45350,6 +56688,8 @@ 1 1 0 +2 +2 3 0x7415 0x499102b4 @@ -45358,6 +56698,8 @@ 1 0 0 +1 +3 4 0x74e1 0x162dd8c1 @@ -45366,6 +56708,8 @@ 0 0 0 +5 +4 7 0x6774 0x679c2b24 @@ -45374,6 +56718,8 @@ 0 0 0 +0 +5 8 0x147e 0x44e3ddcb @@ -45382,6 +56728,8 @@ 1 1 0 +5 +4 9 0xf099 0xad5237d9 @@ -45390,6 +56738,8 @@ 1 1 0 +2 +1 5 0xfb6f 0x475e1b3a @@ -45398,6 +56748,8 @@ 1 1 0 +2 +3 5 0xe1f4 0x438b0040 @@ -45406,6 +56758,8 @@ 1 1 0 +1 +4 8 0xc661 0xe4405326 @@ -45414,6 +56768,8 @@ 0 0 0 +1 +4 7 0x3d30 0x1fde0e65 @@ -45422,6 +56778,8 @@ 1 0 0 +0 +4 3 0x28f 0xfb9628dd @@ -45430,6 +56788,8 @@ 0 0 0 +5 +4 7 0x1288 0x3a087a7a @@ -45438,6 +56798,8 @@ 0 0 0 +5 +1 9 0xe95d 0x390668f9 @@ -45446,6 +56808,8 @@ 0 0 0 +4 +2 9 0xa8c2 0xe1c35b7e @@ -45455,6 +56819,8 @@ 0 0 5 +2 +5 0x5bab 0xdb66373e 256 @@ -45462,6 +56828,8 @@ 1 1 0 +0 +0 3 0xc39f 0x678615b6 @@ -45470,6 +56838,8 @@ 0 0 0 +3 +0 4 0xa43c 0xae5e6140 @@ -45478,6 +56848,8 @@ 0 0 0 +5 +3 10 0x7f9a 0x561754f5 @@ -45486,6 +56858,8 @@ 0 0 0 +0 +5 9 0xda56 0x186050a4 @@ -45494,6 +56868,8 @@ 0 0 0 +0 +4 1 0x1407 0xc9613889 @@ -45502,6 +56878,8 @@ 0 0 0 +5 +4 2 0xd154 0xf5315d92 @@ -45511,6 +56889,8 @@ 0 0 5 +3 +5 0x323 0x52aa91e3 256 @@ -45518,6 +56898,8 @@ 1 0 0 +3 +1 2 0x82ee 0xa36ca2ac @@ -45526,6 +56908,8 @@ 1 1 0 +0 +4 9 0xb21a 0xc2412052 @@ -45534,6 +56918,8 @@ 0 0 0 +4 +3 10 0x2a59 0x596c22d1 @@ -45542,6 +56928,8 @@ 1 0 0 +4 +2 6 0x601f 0xb51b12fc @@ -45550,6 +56938,8 @@ 1 0 0 +4 +2 9 0xa173 0x65dbe403 @@ -45558,6 +56948,8 @@ 1 1 0 +4 +4 1 0xda81 0xb5a6d30 @@ -45566,6 +56958,8 @@ 1 1 0 +3 +3 2 0x6fe 0xf9bb499f @@ -45574,6 +56968,8 @@ 1 1 0 +2 +3 1 0x4b11 0x254c394a @@ -45582,6 +56978,8 @@ 1 1 0 +0 +2 8 0xebed 0x1801be64 @@ -45590,6 +56988,8 @@ 0 0 0 +2 +3 5 0x375 0xbee9e300 @@ -45598,6 +56998,8 @@ 1 1 0 +0 +5 6 0x9e1b 0x5a375f97 @@ -45606,6 +57008,8 @@ 0 0 0 +3 +5 9 0x6c42 0xdf5205ab @@ -45615,6 +57019,8 @@ 1 0 3 +5 +3 0x7e5a 0x9090f75e 256 @@ -45622,6 +57028,8 @@ 0 0 0 +0 +0 9 0xd554 0x65dd499 @@ -45630,6 +57038,8 @@ 1 0 0 +0 +2 8 0xba0b 0xdc86024f @@ -45638,6 +57048,8 @@ 0 0 0 +2 +0 10 0xa70c 0x9acc07dc @@ -45646,6 +57058,8 @@ 0 0 0 +4 +1 2 0xd0f8 0xae248e77 @@ -45654,6 +57068,8 @@ 0 0 0 +4 +4 6 0x7ad 0x97958ff8 @@ -45662,6 +57078,8 @@ 1 1 0 +3 +3 8 0xdffb 0x75e5f38 @@ -45671,6 +57089,8 @@ 0 0 2 +2 +2 0xe1ab 0x5c90f1d0 256 @@ -45678,6 +57098,8 @@ 1 0 0 +3 +2 10 0x6bb2 0x3e688e83 @@ -45686,6 +57108,8 @@ 1 0 0 +1 +3 2 0xbb82 0x332a61c6 @@ -45694,6 +57118,8 @@ 1 0 0 +3 +1 8 0xbc0c 0x5766ae29 @@ -45702,6 +57128,8 @@ 1 1 0 +3 +4 4 0xdddc 0x1ffd4c9d @@ -45710,6 +57138,8 @@ 0 0 0 +0 +0 9 0x680e 0x6141b17f @@ -45718,6 +57148,8 @@ 1 1 0 +5 +2 7 0x2b1 0x696e8044 @@ -45726,6 +57158,8 @@ 0 0 0 +1 +5 10 0x1873 0x284361db @@ -45734,6 +57168,8 @@ 1 1 0 +4 +4 3 0xa8cf 0x46aa4cbf @@ -45742,6 +57178,8 @@ 1 1 0 +2 +0 4 0x4a7 0xf0e4ff35 @@ -45750,6 +57188,8 @@ 1 1 0 +0 +2 4 0x1c96 0xf7941a1d @@ -45759,6 +57199,8 @@ 0 0 2 +2 +2 0x5658 0x838b71e6 256 @@ -45767,6 +57209,8 @@ 0 0 5 +4 +5 0x7944 0x1d9f2bee 256 @@ -45774,6 +57218,8 @@ 1 1 0 +2 +0 3 0x1db8 0x4a741642 @@ -45782,6 +57228,8 @@ 1 0 0 +0 +2 6 0x9dde 0x2c9e0d45 @@ -45790,6 +57238,8 @@ 0 0 0 +4 +0 5 0x59fd 0x13911a3b @@ -45798,6 +57248,8 @@ 1 1 0 +2 +0 7 0xb7da 0x94041ce2 @@ -45806,6 +57258,8 @@ 0 0 0 +2 +3 8 0x4fc1 0xd4eed52a @@ -45814,6 +57268,8 @@ 0 0 0 +0 +3 8 0xf98d 0xcf03a4cb @@ -45822,6 +57278,8 @@ 0 0 0 +2 +0 1 0xe32e 0x97c4b1a3 @@ -45830,6 +57288,8 @@ 1 1 0 +5 +1 9 0xffa8 0xc71971bc @@ -45838,6 +57298,8 @@ 0 0 0 +1 +1 2 0xdf97 0xcd885dfe @@ -45846,6 +57308,8 @@ 0 0 0 +2 +5 4 0x1379 0xdb57521e @@ -45854,6 +57318,8 @@ 0 0 0 +5 +4 4 0x8f60 0x9b7ecdb2 @@ -45862,6 +57328,8 @@ 1 0 0 +0 +5 5 0xf20d 0xf665a420 @@ -45870,6 +57338,8 @@ 0 0 0 +3 +0 7 0x65b7 0x1b4a8839 @@ -45878,6 +57348,8 @@ 0 0 0 +4 +1 2 0x6e12 0x67f55800 @@ -45887,6 +57359,8 @@ 0 0 3 +3 +3 0xc55f 0x58555399 256 @@ -45894,6 +57368,8 @@ 0 0 0 +3 +4 6 0xd9dd 0xc609fe28 @@ -45902,6 +57378,8 @@ 0 0 0 +2 +5 7 0xa740 0x40e986cd @@ -45910,6 +57388,8 @@ 0 0 0 +4 +1 3 0x9c6c 0xebbec498 @@ -45918,6 +57398,8 @@ 0 0 0 +2 +3 8 0x2a0e 0xf4f4f371 @@ -45926,6 +57408,8 @@ 0 0 0 +3 +5 4 0x56db 0x6568e033 @@ -45934,6 +57418,8 @@ 1 1 0 +2 +2 3 0x230 0xe911f580 @@ -45942,6 +57428,8 @@ 0 0 0 +4 +3 6 0xb5d9 0xb6c8ddf1 @@ -45950,6 +57438,8 @@ 0 0 0 +2 +5 5 0xa6dc 0x72b36403 @@ -45958,6 +57448,8 @@ 1 0 0 +3 +5 9 0x2783 0x46780ef @@ -45966,6 +57458,8 @@ 0 0 0 +2 +0 3 0x1f26 0x6db760c8 @@ -45974,6 +57468,8 @@ 0 0 0 +4 +4 6 0xd378 0xc18fed9b @@ -45982,6 +57478,8 @@ 0 0 0 +3 +4 2 0xbb64 0xaca9005f @@ -45990,6 +57488,8 @@ 0 0 0 +3 +5 9 0x9152 0xb098cbec @@ -45998,6 +57498,8 @@ 0 0 0 +0 +2 6 0xc5aa 0x8e4e4722 @@ -46006,6 +57508,8 @@ 1 0 0 +1 +0 6 0x867b 0x6e0810ae @@ -46014,6 +57518,8 @@ 1 0 0 +4 +0 9 0x5241 0xfa69c276 @@ -46022,6 +57528,8 @@ 0 0 0 +5 +0 1 0x8388 0xc5ff9128 @@ -46030,6 +57538,8 @@ 1 1 0 +3 +1 4 0xdc46 0x840986a3 @@ -46039,6 +57549,8 @@ 1 0 3 +5 +3 0xfc1e 0xb12c15e 256 @@ -46046,6 +57558,8 @@ 0 0 0 +0 +4 5 0x6ed8 0xff0fd321 @@ -46054,6 +57568,8 @@ 0 0 0 +5 +1 7 0x896d 0x41959f7c @@ -46062,6 +57578,8 @@ 0 0 0 +5 +5 7 0x160a 0x7b24a745 @@ -46070,6 +57588,8 @@ 1 1 0 +5 +2 9 0x8057 0xe204962f @@ -46079,6 +57599,8 @@ 0 0 3 +4 +3 0x8ed8 0x84b8820c 256 @@ -46086,6 +57608,8 @@ 1 1 0 +0 +5 8 0x71b4 0x4c75eac7 @@ -46094,6 +57618,8 @@ 1 1 0 +4 +1 8 0x9b8d 0x27af677b @@ -46102,6 +57628,8 @@ 0 0 0 +5 +0 1 0xf5ac 0x346c6587 @@ -46111,6 +57639,8 @@ 0 0 4 +0 +4 0x5cee 0x64cd26dc 256 @@ -46118,6 +57648,8 @@ 0 0 0 +0 +1 6 0x68f1 0xc36e325d @@ -46126,6 +57658,8 @@ 1 0 0 +0 +1 4 0xd630 0x174c6bda @@ -46134,6 +57668,8 @@ 0 0 0 +5 +2 8 0xa474 0x6cc5c053 @@ -46142,6 +57678,8 @@ 0 0 0 +1 +3 10 0xf4ca 0x22a2c61d @@ -46150,6 +57688,8 @@ 0 0 0 +0 +3 2 0x9c91 0x8aa3eeee @@ -46158,6 +57698,8 @@ 0 0 0 +2 +0 4 0xa324 0xe2074a1a @@ -46167,6 +57709,8 @@ 0 0 1 +2 +1 0x61ba 0x8e935876 256 @@ -46174,6 +57718,8 @@ 0 0 0 +5 +5 10 0xd4b7 0x810fb823 @@ -46183,6 +57729,8 @@ 1 0 3 +1 +3 0x940d 0xa94aa864 256 @@ -46190,6 +57738,8 @@ 0 0 0 +3 +3 5 0xb07c 0x750db78d @@ -46198,6 +57748,8 @@ 0 0 0 +5 +5 10 0x9e63 0xf8225b74 @@ -46206,6 +57758,8 @@ 0 0 0 +3 +2 9 0xd884 0xca899702 @@ -46214,6 +57768,8 @@ 0 0 0 +5 +5 6 0x55a5 0x80b6052 @@ -46222,6 +57778,8 @@ 0 0 0 +0 +3 10 0xfcd9 0xa6450563 @@ -46230,6 +57788,8 @@ 1 1 0 +0 +5 1 0x18f9 0x2944bfba @@ -46238,6 +57798,8 @@ 1 0 0 +5 +4 1 0xea2b 0x8b8efad8 @@ -46246,6 +57808,8 @@ 1 1 0 +3 +3 8 0xe8b4 0xa12747f4 @@ -46254,6 +57818,8 @@ 1 0 0 +0 +5 7 0xebb0 0x7843316b @@ -46262,6 +57828,8 @@ 1 1 0 +3 +1 9 0xacc8 0x46fab0a6 @@ -46270,6 +57838,8 @@ 1 0 0 +1 +3 5 0xcf28 0xf35702a3 @@ -46278,6 +57848,8 @@ 0 0 0 +0 +2 2 0xdc03 0x5a4dff57 @@ -46286,6 +57858,8 @@ 0 0 0 +3 +2 7 0x8fd9 0x18ed3dcb @@ -46294,6 +57868,8 @@ 0 0 0 +3 +3 8 0x386d 0x3509f180 @@ -46302,6 +57878,8 @@ 1 1 0 +2 +1 1 0x4e5f 0x5cff3672 @@ -46310,6 +57888,8 @@ 1 0 0 +2 +4 4 0xdf98 0x4d481b71 @@ -46318,6 +57898,8 @@ 0 0 0 +4 +0 2 0xffb1 0x1bc847fc @@ -46326,6 +57908,8 @@ 1 1 0 +2 +2 7 0x4128 0x5c20e125 @@ -46334,6 +57918,8 @@ 1 1 0 +1 +0 5 0x7e4d 0xe7cfac8f @@ -46342,6 +57928,8 @@ 0 0 0 +0 +3 4 0xe210 0x1672e832 @@ -46350,6 +57938,8 @@ 1 1 0 +1 +0 8 0xde6d 0x738e8e3a @@ -46358,6 +57948,8 @@ 1 0 0 +3 +4 8 0x1608 0x469733c2 @@ -46366,6 +57958,8 @@ 1 1 0 +3 +5 8 0x1af 0xb5ae62f8 @@ -46374,6 +57968,8 @@ 1 0 0 +0 +0 5 0x7885 0x67fefd @@ -46382,6 +57978,8 @@ 0 0 0 +2 +4 8 0x462 0xbacc6a1e @@ -46390,6 +57988,8 @@ 0 0 0 +0 +3 1 0xc545 0x4f431915 @@ -46398,6 +57998,8 @@ 0 0 0 +3 +3 8 0xd869 0x406af4a8 @@ -46406,6 +58008,8 @@ 0 0 0 +4 +2 10 0xcf7c 0x4cffa733 @@ -46414,6 +58018,8 @@ 0 0 0 +5 +3 9 0x4b4e 0x2e1ee416 @@ -46422,6 +58028,8 @@ 0 0 0 +4 +1 3 0x8d1a 0xfaa16e65 @@ -46430,6 +58038,8 @@ 1 1 0 +5 +2 3 0x122a 0x1f699c1e @@ -46439,6 +58049,8 @@ 1 0 1 +4 +1 0x196c 0x835fa550 256 @@ -46446,6 +58058,8 @@ 0 0 0 +4 +1 2 0x4db4 0xdfe8b0ca @@ -46454,6 +58068,8 @@ 1 1 0 +2 +1 9 0x90e2 0x29a4b5b4 @@ -46462,6 +58078,8 @@ 0 0 0 +0 +3 10 0xaaba 0x314dc550 @@ -46470,6 +58088,8 @@ 1 1 0 +0 +4 1 0x3dae 0x9b096cd3 @@ -46478,6 +58098,8 @@ 0 0 0 +1 +3 10 0x9e7a 0x70379d04 @@ -46486,6 +58108,8 @@ 0 0 0 +2 +1 5 0x7865 0xdd01a723 @@ -46495,6 +58119,8 @@ 1 0 4 +4 +4 0x4cec 0x905b7e06 256 @@ -46502,6 +58128,8 @@ 0 0 0 +1 +1 3 0x3042 0xfe21408b @@ -46510,6 +58138,8 @@ 0 0 0 +3 +1 1 0x680a 0xe9fba8da @@ -46518,6 +58148,8 @@ 0 0 0 +2 +5 5 0x3d63 0x7ebd7a29 @@ -46526,6 +58158,8 @@ 0 0 0 +0 +2 1 0x5ec9 0xc184df77 @@ -46534,6 +58168,8 @@ 1 1 0 +3 +4 1 0xe370 0x10264445 @@ -46542,6 +58178,8 @@ 1 1 0 +3 +4 1 0xf3cc 0xdc3e2cd8 @@ -46550,6 +58188,8 @@ 0 0 0 +1 +1 8 0xf5c9 0xa37279ee @@ -46558,6 +58198,8 @@ 1 1 0 +5 +3 10 0x5ecc 0x48187316 @@ -46566,6 +58208,8 @@ 0 0 0 +4 +4 7 0x2972 0x8216cc87 @@ -46574,6 +58218,8 @@ 0 0 0 +0 +1 4 0xedd5 0x230db40f @@ -46582,6 +58228,8 @@ 0 0 0 +3 +5 7 0xca7c 0xb86441f9 @@ -46590,6 +58238,8 @@ 0 0 0 +3 +5 6 0x347f 0x702dff58 @@ -46598,6 +58248,8 @@ 1 0 0 +3 +1 1 0x1b67 0x9072bf0d @@ -46606,6 +58258,8 @@ 1 1 0 +4 +3 3 0x8897 0xb433398e @@ -46614,6 +58268,8 @@ 0 0 0 +4 +5 5 0xd0ac 0x2255530 @@ -46622,6 +58278,8 @@ 1 1 0 +2 +3 9 0x3674 0x5378e22c @@ -46630,6 +58288,8 @@ 1 1 0 +5 +1 1 0xe767 0x5c80e245 @@ -46638,6 +58298,8 @@ 1 1 0 +2 +2 8 0x47e2 0x13151b30 @@ -46647,6 +58309,8 @@ 0 0 3 +2 +3 0xc5f6 0x4051476c 256 @@ -46654,6 +58318,8 @@ 0 0 0 +2 +5 5 0x8eb5 0x8bb4f671 @@ -46662,6 +58328,8 @@ 0 0 0 +1 +0 8 0xc791 0xc7047166 @@ -46671,6 +58339,8 @@ 0 0 2 +3 +2 0x66ce 0x8568139b 256 @@ -46678,6 +58348,8 @@ 0 0 0 +0 +4 7 0x371f 0xf9e1a583 @@ -46686,6 +58358,8 @@ 0 0 0 +5 +5 7 0x1541 0x54a9371d @@ -46694,6 +58368,8 @@ 1 1 0 +0 +0 7 0xd209 0x1e0deae6 @@ -46702,6 +58378,8 @@ 0 0 0 +3 +1 9 0xf169 0xab8caf5a @@ -46711,6 +58389,8 @@ 1 0 1 +0 +1 0x7de6 0xa24b4d0a 256 @@ -46718,6 +58398,8 @@ 1 0 0 +0 +2 9 0x98eb 0x5b495c69 @@ -46726,6 +58408,8 @@ 1 0 0 +2 +3 7 0x3533 0x927e688e @@ -46734,6 +58418,8 @@ 0 0 0 +3 +0 1 0x6e1f 0xa7576e71 @@ -46742,6 +58428,8 @@ 0 0 0 +4 +0 2 0xd5ae 0xbe99e955 @@ -46750,6 +58438,8 @@ 0 0 0 +3 +0 10 0xfae5 0x77a85088 @@ -46758,6 +58448,8 @@ 0 0 0 +5 +5 10 0xbbe5 0x8f9ced3f @@ -46767,6 +58459,8 @@ 0 0 1 +2 +1 0x5b7c 0xa5e71218 256 @@ -46775,6 +58469,8 @@ 0 0 1 +0 +1 0x61c0 0x99d51d 256 @@ -46782,6 +58478,8 @@ 1 1 0 +0 +5 10 0x3a03 0xd44440bb @@ -46790,6 +58488,8 @@ 0 0 0 +4 +5 5 0x87c0 0xbe97aa68 @@ -46799,6 +58499,8 @@ 0 0 2 +0 +2 0x69b8 0x454b614d 256 @@ -46806,6 +58508,8 @@ 0 0 0 +5 +5 6 0x3b1e 0x2cddd07f @@ -46814,6 +58518,8 @@ 0 0 0 +1 +2 5 0xeee2 0xdc6e6fe3 @@ -46822,6 +58528,8 @@ 1 1 0 +3 +3 9 0xacc9 0x8bd9a0dc @@ -46830,6 +58538,8 @@ 0 0 0 +1 +4 6 0xe41e 0x5a592a06 @@ -46838,6 +58548,8 @@ 1 0 0 +4 +1 5 0xa5aa 0xe3c5213 @@ -46847,6 +58559,8 @@ 0 0 4 +4 +4 0x2cd2 0x22544295 256 @@ -46854,6 +58568,8 @@ 1 0 0 +3 +0 7 0xfae7 0x3d4d3efa @@ -46863,6 +58579,8 @@ 0 0 3 +3 +3 0x97b1 0x27aac7b4 256 @@ -46870,6 +58588,8 @@ 1 1 0 +1 +1 6 0xb94f 0x7bf1acd @@ -46878,6 +58598,8 @@ 0 0 0 +2 +1 3 0x6399 0x1810ccf4 @@ -46886,6 +58608,8 @@ 1 1 0 +1 +1 8 0xe1cf 0xdb6e52a2 @@ -46894,6 +58618,8 @@ 0 0 0 +5 +1 7 0x11f6 0x63dc64ac @@ -46903,6 +58629,8 @@ 1 0 2 +1 +2 0x1181 0x42ef2c89 256 @@ -46910,6 +58638,8 @@ 0 0 0 +5 +2 7 0x5231 0xdae0141a @@ -46918,6 +58648,8 @@ 0 0 0 +0 +5 2 0xb653 0xf6d2fec7 @@ -46926,6 +58658,8 @@ 0 0 0 +4 +3 7 0xdf19 0xbbc61754 @@ -46934,6 +58668,8 @@ 1 1 0 +5 +4 4 0x269 0x6e5189ae @@ -46942,6 +58678,8 @@ 0 0 0 +5 +0 8 0x44e 0xbe77ec0e @@ -46950,6 +58688,8 @@ 1 0 0 +3 +2 7 0xf58b 0x23ec84d8 @@ -46958,6 +58698,8 @@ 0 0 0 +0 +5 10 0x1de2 0x86f6a56a @@ -46966,6 +58708,8 @@ 1 1 0 +3 +4 2 0xc0da 0xe1b1534c @@ -46975,6 +58719,8 @@ 0 0 4 +0 +4 0x6d55 0x36fa7c14 256 @@ -46982,6 +58728,8 @@ 0 0 0 +0 +1 6 0xb644 0xcb2f234 @@ -46990,6 +58738,8 @@ 1 1 0 +3 +1 5 0x3706 0x8fe87610 @@ -46998,6 +58748,8 @@ 1 0 0 +1 +1 8 0x4fd1 0xbb8c9a9a @@ -47006,6 +58758,8 @@ 1 1 0 +2 +0 6 0x1abf 0x80a8eebd @@ -47014,6 +58768,8 @@ 0 0 0 +0 +3 7 0x2de5 0x2c66726d @@ -47022,6 +58778,8 @@ 0 0 0 +1 +0 10 0x341b 0xa68c2b5c @@ -47030,6 +58788,8 @@ 1 1 0 +3 +3 2 0xddd 0x991faa57 @@ -47038,6 +58798,8 @@ 1 1 0 +3 +5 7 0xff60 0xdf243b4c @@ -47046,6 +58808,8 @@ 0 0 0 +4 +0 5 0x41b3 0x5bdbf182 @@ -47055,6 +58819,8 @@ 0 0 1 +1 +1 0x3b81 0x7537aaa9 256 @@ -47063,6 +58829,8 @@ 0 0 1 +1 +1 0x4640 0x8a3f20f8 256 @@ -47070,6 +58838,8 @@ 1 0 0 +1 +4 2 0x50f3 0x762700de @@ -47078,6 +58848,8 @@ 1 0 0 +0 +0 5 0x8ff 0xb9963ac7 @@ -47086,6 +58858,8 @@ 1 0 0 +0 +2 5 0xe34e 0x4d60c4e3 @@ -47094,6 +58868,8 @@ 1 0 0 +4 +3 8 0x62d 0xfc937900 @@ -47102,6 +58878,8 @@ 0 0 0 +5 +3 1 0xf3d8 0xc3773277 @@ -47110,6 +58888,8 @@ 0 0 0 +4 +4 5 0x1b6d 0x5599e5ef @@ -47118,6 +58898,8 @@ 0 0 0 +3 +3 1 0xc453 0x43372921 @@ -47126,6 +58908,8 @@ 1 1 0 +2 +1 9 0x8915 0x91ba52a3 @@ -47134,6 +58918,8 @@ 0 0 0 +2 +4 6 0x1f6a 0x1d713b2e @@ -47142,6 +58928,8 @@ 0 0 0 +2 +3 3 0x908d 0x65f0b6f @@ -47150,6 +58938,8 @@ 0 0 0 +1 +3 9 0xa1b8 0x24fc9175 @@ -47158,6 +58948,8 @@ 0 0 0 +3 +0 1 0xbb09 0x2a2b364a @@ -47166,6 +58958,8 @@ 1 1 0 +4 +4 1 0x80b6 0xab59b584 @@ -47174,6 +58968,8 @@ 1 0 0 +3 +5 6 0x704b 0xddcb86d2 @@ -47182,6 +58978,8 @@ 0 0 0 +4 +0 9 0x2d7a 0xb412c392 @@ -47190,6 +58988,8 @@ 0 0 0 +1 +2 10 0x1f06 0xf30d7383 @@ -47198,6 +58998,8 @@ 1 0 0 +1 +3 3 0x63bd 0x11f7fdf6 @@ -47206,6 +59008,8 @@ 1 0 0 +1 +5 4 0x6457 0xacad1f35 @@ -47214,6 +59018,8 @@ 1 1 0 +3 +4 7 0x7907 0x6fba3ea9 @@ -47222,6 +59028,8 @@ 0 0 0 +4 +3 7 0x7900 0x575109ea @@ -47230,6 +59038,8 @@ 0 0 0 +1 +5 10 0x8e4e 0xb63ce3dd @@ -47238,6 +59048,8 @@ 1 1 0 +0 +3 3 0xf5bf 0x96807d82 @@ -47246,6 +59058,8 @@ 0 0 0 +0 +1 4 0x8bd0 0x10c3186f @@ -47254,6 +59068,8 @@ 0 0 0 +4 +5 8 0xadf1 0x9166cd0f @@ -47262,6 +59078,8 @@ 1 0 0 +3 +3 10 0xcc76 0x1e878e5b @@ -47271,6 +59089,8 @@ 0 0 3 +0 +3 0x2f80 0xc6b447a5 256 @@ -47278,6 +59098,8 @@ 0 0 0 +3 +2 6 0x7bb4 0x8c79033d @@ -47286,6 +59108,8 @@ 0 0 0 +4 +0 8 0xff32 0x63372d3b @@ -47294,6 +59118,8 @@ 0 0 0 +0 +5 1 0x3ae7 0xaee7c2c8 @@ -47302,6 +59128,8 @@ 0 0 0 +1 +5 7 0xfdfe 0x12c871c @@ -47310,6 +59138,8 @@ 0 0 0 +0 +4 5 0xbbe9 0x9e7c5d7d @@ -47318,6 +59148,8 @@ 1 1 0 +0 +4 8 0xdbcb 0x612ced3a @@ -47326,6 +59158,8 @@ 0 0 0 +0 +1 10 0x336f 0xedb59645 @@ -47334,6 +59168,8 @@ 1 0 0 +4 +0 8 0x8b96 0x90b1c165 @@ -47342,6 +59178,8 @@ 0 0 0 +5 +1 6 0xe5c1 0xa6ea31bb @@ -47350,6 +59188,8 @@ 1 0 0 +2 +0 9 0x4f85 0x7ff72337 @@ -47358,6 +59198,8 @@ 1 1 0 +5 +5 7 0x3b44 0x9ac9db4f @@ -47366,6 +59208,8 @@ 0 0 0 +0 +1 1 0xe95d 0x8ad8f185 @@ -47374,6 +59218,8 @@ 0 0 0 +3 +1 9 0x5340 0xa7bca7d0 @@ -47383,6 +59229,8 @@ 0 0 5 +5 +5 0xd528 0x81a6cea4 256 @@ -47390,6 +59238,8 @@ 1 1 0 +1 +0 7 0xb7f3 0xfa4aab97 @@ -47398,6 +59248,8 @@ 0 0 0 +1 +3 9 0x9fa4 0xea0d1a8f @@ -47406,6 +59258,8 @@ 1 0 0 +1 +1 3 0x89b3 0xc95097c0 @@ -47414,6 +59268,8 @@ 1 1 0 +3 +0 5 0x1676 0x11ebca98 @@ -47422,6 +59278,8 @@ 1 1 0 +4 +4 9 0xebaf 0xc0409f2a @@ -47430,6 +59288,8 @@ 0 0 0 +0 +0 7 0x23bf 0x8cc1921c @@ -47438,6 +59298,8 @@ 0 0 0 +3 +3 6 0xee03 0x49a14666 @@ -47446,6 +59308,8 @@ 0 0 0 +5 +3 7 0xb10f 0x4a3c7e70 @@ -47454,6 +59318,8 @@ 0 0 0 +2 +4 9 0xbea9 0xdc3c7e28 @@ -47462,6 +59328,8 @@ 0 0 0 +0 +3 7 0xd6e6 0x8a53bcbd @@ -47470,6 +59338,8 @@ 0 0 0 +2 +5 6 0x89b9 0x50d9e7e1 @@ -47478,6 +59348,8 @@ 1 0 0 +1 +4 8 0x5687 0x37481064 @@ -47487,6 +59359,8 @@ 0 0 4 +2 +4 0x8d3c 0x15a48af0 256 @@ -47494,6 +59368,8 @@ 0 0 0 +4 +3 1 0xcc41 0xd7babc2c @@ -47502,6 +59378,8 @@ 0 0 0 +4 +1 6 0xc026 0x411ef29f @@ -47510,6 +59388,8 @@ 0 0 0 +0 +2 10 0xe78c 0xf377854d @@ -47518,6 +59398,8 @@ 1 1 0 +5 +1 10 0x8987 0x81c208c0 @@ -47526,6 +59408,8 @@ 0 0 0 +5 +4 9 0x4572 0xc952f0af @@ -47534,6 +59418,8 @@ 1 0 0 +4 +4 9 0x534c 0xf49f1265 @@ -47542,6 +59428,8 @@ 1 1 0 +3 +5 8 0xfa84 0x9873ad2 @@ -47550,6 +59438,8 @@ 0 0 0 +4 +1 8 0x230b 0xeef55151 @@ -47558,6 +59448,8 @@ 1 0 0 +5 +2 1 0x10ab 0xd56ec269 @@ -47566,6 +59458,8 @@ 0 0 0 +3 +0 10 0x5f7a 0x5097bf65 @@ -47574,6 +59468,8 @@ 0 0 0 +4 +2 5 0xd676 0xc2e2b92f @@ -47582,6 +59478,8 @@ 0 0 0 +3 +5 10 0x670a 0x5a8dc8a5 @@ -47590,6 +59488,8 @@ 1 1 0 +0 +2 4 0x3d89 0xb07f80b3 @@ -47598,6 +59498,8 @@ 1 1 0 +3 +1 8 0x9404 0x62142f13 @@ -47606,6 +59508,8 @@ 1 0 0 +5 +0 1 0xb2a9 0x9fec435d @@ -47614,6 +59518,8 @@ 1 0 0 +4 +3 9 0x3a17 0x60aea7cf @@ -47622,6 +59528,8 @@ 1 1 0 +5 +2 1 0x11ac 0xa49ab291 @@ -47630,6 +59538,8 @@ 0 0 0 +2 +4 9 0x67a9 0x1ca65e55 @@ -47638,6 +59548,8 @@ 1 0 0 +4 +1 6 0x2a28 0xd27fa034 @@ -47646,6 +59558,8 @@ 1 0 0 +0 +1 6 0xea7c 0xe9d36aee @@ -47654,6 +59568,8 @@ 1 0 0 +4 +2 6 0x24cf 0x6cf95fdd @@ -47662,6 +59578,8 @@ 1 1 0 +5 +0 9 0xd8d7 0x7dfb2457 @@ -47670,6 +59588,8 @@ 0 0 0 +3 +3 5 0xa223 0x20fc17d3 @@ -47678,6 +59598,8 @@ 0 0 0 +2 +4 10 0x3794 0x58c7b24 @@ -47686,6 +59608,8 @@ 1 1 0 +3 +0 8 0xeb49 0xdb6c4a23 @@ -47695,6 +59619,8 @@ 0 0 1 +5 +1 0x5d8e 0xf4938f64 256 @@ -47702,6 +59628,8 @@ 1 1 0 +2 +3 10 0xec9e 0xf3a6046e @@ -47710,6 +59638,8 @@ 1 0 0 +3 +3 9 0xffc7 0x19bf695 @@ -47719,6 +59649,8 @@ 0 0 5 +4 +5 0xc976 0x3f277aa3 256 @@ -47727,6 +59659,8 @@ 1 0 5 +5 +5 0xe004 0x55be9e47 256 @@ -47734,6 +59668,8 @@ 0 0 0 +3 +5 10 0xb4d7 0xcd1239c2 @@ -47742,6 +59678,8 @@ 1 1 0 +5 +2 6 0x60d7 0x25349866 @@ -47750,6 +59688,8 @@ 1 0 0 +4 +5 9 0x326c 0x8976a749 @@ -47758,6 +59698,8 @@ 0 0 0 +4 +5 5 0xfb20 0x49fcdde @@ -47766,6 +59708,8 @@ 0 0 0 +5 +2 7 0x298d 0x391e1944 @@ -47774,6 +59718,8 @@ 0 0 0 +2 +4 10 0x693e 0x1e70a6ca @@ -47782,6 +59728,8 @@ 1 0 0 +2 +0 1 0x1fee 0x33672d82 @@ -47790,6 +59738,8 @@ 0 0 0 +1 +2 9 0x117 0x1bdaa0c3 @@ -47798,6 +59748,8 @@ 1 1 0 +3 +5 9 0x3380 0xdc8e1e46 @@ -47806,6 +59758,8 @@ 1 1 0 +0 +3 3 0x658b 0x97737c76 @@ -47814,6 +59768,8 @@ 0 0 0 +1 +2 2 0x5b3b 0xf3a69fc5 @@ -47822,6 +59778,8 @@ 1 0 0 +3 +1 4 0x9d4c 0xcb7aec90 @@ -47830,6 +59788,8 @@ 0 0 0 +1 +3 2 0x8b12 0xd5fa58df @@ -47838,6 +59798,8 @@ 1 0 0 +5 +4 9 0x4b4b 0x21647cf5 @@ -47846,6 +59808,8 @@ 0 0 0 +5 +1 6 0x88e9 0x40d9fff @@ -47854,6 +59818,8 @@ 1 1 0 +1 +0 3 0x74ac 0x8316f002 @@ -47862,6 +59828,8 @@ 1 0 0 +0 +1 10 0x2690 0x731eef7f @@ -47870,6 +59838,8 @@ 1 1 0 +3 +1 6 0x8c5e 0xb879420d @@ -47878,6 +59848,8 @@ 0 0 0 +1 +3 7 0xeaa5 0x32120f95 @@ -47886,6 +59858,8 @@ 0 0 0 +3 +5 2 0x253b 0x3ec8ef54 @@ -47894,6 +59868,8 @@ 1 1 0 +3 +4 7 0xbf5f 0x7ac97bb8 @@ -47902,6 +59878,8 @@ 0 0 0 +4 +2 8 0x9138 0x9f9818b9 @@ -47910,6 +59888,8 @@ 0 0 0 +5 +3 2 0xa1ae 0x2faf9e59 @@ -47918,6 +59898,8 @@ 0 0 0 +3 +1 5 0x4d14 0x2c8758c3 @@ -47926,6 +59908,8 @@ 1 0 0 +5 +1 7 0xc7f7 0x4736cd79 @@ -47934,6 +59918,8 @@ 1 0 0 +1 +2 4 0x5bc9 0xefa340d7 @@ -47942,6 +59928,8 @@ 1 1 0 +1 +5 8 0x25b2 0xea2e37ca @@ -47950,6 +59938,8 @@ 1 0 0 +0 +3 8 0x8b64 0x9385030f @@ -47958,6 +59948,8 @@ 1 1 0 +0 +2 5 0x54ca 0xdcc270e0 @@ -47966,6 +59958,8 @@ 1 0 0 +1 +5 4 0x7b95 0x33b491d6 @@ -47974,6 +59968,8 @@ 0 0 0 +3 +1 4 0x3c66 0x9b16181c @@ -47982,6 +59978,8 @@ 0 0 0 +0 +5 4 0xd6e6 0x62286a1 @@ -47990,6 +59988,8 @@ 1 0 0 +1 +4 5 0x2c2b 0x90539e74 @@ -47998,6 +59998,8 @@ 1 0 0 +4 +1 10 0x990c 0xbd14aa @@ -48006,6 +60008,8 @@ 0 0 0 +2 +1 3 0x1087 0x4442df1b @@ -48014,6 +60018,8 @@ 1 1 0 +2 +5 5 0x64bd 0xc850758 @@ -48022,6 +60028,8 @@ 1 0 0 +3 +3 2 0xbcaa 0x23c3908f @@ -48030,6 +60038,8 @@ 1 0 0 +1 +1 7 0xd55d 0xcef734da @@ -48038,6 +60048,8 @@ 1 1 0 +5 +2 4 0xaa69 0x70e5619e @@ -48046,6 +60058,8 @@ 0 0 0 +4 +0 8 0xfe96 0x81cdcd9f @@ -48054,6 +60068,8 @@ 0 0 0 +2 +3 9 0x6cb5 0x310c046d @@ -48062,6 +60078,8 @@ 1 1 0 +5 +1 6 0x7682 0xd4e9bbe7 @@ -48070,6 +60088,8 @@ 0 0 0 +1 +3 3 0x8e38 0x264e89f3 @@ -48078,6 +60098,8 @@ 0 0 0 +5 +5 3 0x399e 0xe0095d4c @@ -48086,6 +60108,8 @@ 1 0 0 +3 +2 4 0x9713 0x5f95a9ce @@ -48094,6 +60118,8 @@ 0 0 0 +0 +0 1 0xfeee 0x416454dc @@ -48102,6 +60128,8 @@ 0 0 0 +3 +2 10 0x803e 0x85d350e @@ -48110,6 +60138,8 @@ 0 0 0 +2 +1 1 0x510b 0x429608de @@ -48118,6 +60148,8 @@ 1 1 0 +1 +5 9 0xcae0 0x39223e1d @@ -48126,6 +60158,8 @@ 1 0 0 +0 +1 8 0x6c4c 0x6a4aad37 @@ -48134,6 +60168,8 @@ 0 0 0 +4 +5 9 0x5996 0x985b5035 @@ -48142,6 +60178,8 @@ 1 1 0 +1 +4 2 0xd80f 0xd12760e8 @@ -48150,6 +60188,8 @@ 1 1 0 +2 +2 9 0x4ce2 0x98be97d6 @@ -48158,6 +60198,8 @@ 0 0 0 +4 +2 6 0x8458 0x289423bd @@ -48166,6 +60208,8 @@ 0 0 0 +4 +1 9 0x1bb0 0xb7ca61d6 @@ -48174,6 +60218,8 @@ 0 0 0 +3 +3 10 0x67d 0x8b7f192c @@ -48182,6 +60228,8 @@ 0 0 0 +2 +3 1 0x2cd9 0x83c14b75 @@ -48190,6 +60238,8 @@ 1 1 0 +4 +2 8 0x2c3d 0xd49409a0 @@ -48198,6 +60248,8 @@ 1 1 0 +2 +0 5 0x4fd 0x36cfefd9 @@ -48206,6 +60258,8 @@ 0 0 0 +5 +0 4 0x6c92 0x68e126a8 @@ -48214,6 +60268,8 @@ 1 0 0 +2 +0 1 0x74ae 0xe30b8331 @@ -48222,6 +60278,8 @@ 1 1 0 +3 +5 2 0x9e51 0xa4e33543 @@ -48231,6 +60289,8 @@ 1 0 3 +4 +3 0x7658 0x8cb3b385 256 @@ -48238,6 +60298,8 @@ 1 1 0 +2 +0 4 0x337f 0x302bbe27 @@ -48246,6 +60308,8 @@ 0 0 0 +5 +1 9 0xc3ea 0xf5ea379a @@ -48254,6 +60318,8 @@ 0 0 0 +1 +5 4 0x7440 0x80026df5 @@ -48262,6 +60328,8 @@ 1 1 0 +4 +5 7 0xaadc 0xa724e1d5 @@ -48271,6 +60339,8 @@ 0 0 5 +0 +5 0x1772 0x9867d794 256 @@ -48278,6 +60348,8 @@ 0 0 0 +5 +0 10 0xb6bc 0x52f619d2 @@ -48286,6 +60358,8 @@ 0 0 0 +4 +5 5 0x64a2 0xd5df4baf @@ -48294,6 +60368,8 @@ 1 1 0 +2 +2 8 0x2c78 0x584bdd5e @@ -48302,6 +60378,8 @@ 1 1 0 +1 +0 10 0xef8c 0x83fe1838 @@ -48310,6 +60388,8 @@ 1 0 0 +5 +0 2 0x9dd1 0x84504723 @@ -48318,6 +60398,8 @@ 0 0 0 +3 +1 6 0xd5ed 0x3a70503b @@ -48326,6 +60408,8 @@ 1 0 0 +1 +1 2 0xcb51 0x254d9a @@ -48334,6 +60418,8 @@ 0 0 0 +2 +3 4 0x9860 0x361a454e @@ -48343,6 +60429,8 @@ 0 0 5 +0 +5 0x335c 0xbecb6c68 256 @@ -48350,6 +60438,8 @@ 1 0 0 +5 +4 7 0x2647 0x8fda4abc @@ -48358,6 +60448,8 @@ 0 0 0 +5 +4 2 0xdeb0 0x542a0404 @@ -48366,6 +60458,8 @@ 0 0 0 +4 +4 1 0x6fe 0xa7677b2b @@ -48374,6 +60468,8 @@ 0 0 0 +4 +1 6 0xca28 0x6a6b45f0 @@ -48382,6 +60478,8 @@ 0 0 0 +5 +2 4 0x1cc5 0xb4a41455 @@ -48390,6 +60488,8 @@ 1 0 0 +3 +1 9 0xf37e 0x2deabe80 @@ -48398,6 +60498,8 @@ 1 1 0 +3 +0 4 0xd8af 0x54c12836 @@ -48406,6 +60508,8 @@ 1 0 0 +0 +0 7 0x2b0f 0x6cc97b1f @@ -48414,6 +60518,8 @@ 0 0 0 +4 +2 1 0x7941 0xbbef2b5e @@ -48422,6 +60528,8 @@ 0 0 0 +5 +4 3 0x431b 0xe2b17b28 @@ -48430,6 +60538,8 @@ 0 0 0 +1 +3 7 0x5505 0x59a142ce @@ -48438,6 +60548,8 @@ 1 1 0 +2 +0 4 0xee70 0x1f0987e5 @@ -48446,6 +60558,8 @@ 0 0 0 +0 +4 9 0x6be1 0x39ba7f58 @@ -48454,6 +60568,8 @@ 0 0 0 +4 +0 8 0x6b58 0x45115c1d @@ -48462,6 +60578,8 @@ 0 0 0 +1 +4 6 0x8701 0x2220130b @@ -48470,6 +60588,8 @@ 0 0 0 +5 +1 10 0x3317 0x7f65df07 @@ -48478,6 +60598,8 @@ 0 0 0 +3 +3 9 0x4773 0x4ba3937c @@ -48487,6 +60609,8 @@ 0 0 4 +5 +4 0x9606 0xebb918a3 256 @@ -48494,6 +60618,8 @@ 0 0 0 +4 +4 8 0x5413 0x71b2cfa2 @@ -48503,6 +60629,8 @@ 0 0 3 +4 +3 0x32eb 0x7eb8f34d 256 @@ -48510,6 +60638,8 @@ 1 1 0 +2 +3 7 0xa230 0xf3848a4a @@ -48518,6 +60648,8 @@ 1 1 0 +0 +3 7 0x63b7 0xe6a8fe70 @@ -48526,6 +60658,8 @@ 1 0 0 +4 +1 2 0xafc4 0xf0d4f6b @@ -48534,6 +60668,8 @@ 1 1 0 +3 +3 1 0x6a06 0x817b6540 @@ -48542,6 +60678,8 @@ 0 0 0 +0 +0 9 0x7be2 0x437227f6 @@ -48550,6 +60688,8 @@ 0 0 0 +3 +0 7 0x73b4 0xe9318824 @@ -48558,6 +60698,8 @@ 0 0 0 +2 +0 1 0xf0a 0xfdf299a3 @@ -48566,6 +60708,8 @@ 0 0 0 +3 +5 2 0x47b7 0x293d7e97 @@ -48574,6 +60718,8 @@ 0 0 0 +5 +0 9 0xbfb9 0x690ab7dd @@ -48582,6 +60728,8 @@ 1 0 0 +4 +3 6 0x81d8 0x81475988 @@ -48590,6 +60738,8 @@ 0 0 0 +0 +3 3 0x31ad 0x13332182 @@ -48598,6 +60748,8 @@ 1 1 0 +4 +5 7 0x567f 0x74d794d6 @@ -48606,6 +60758,8 @@ 0 0 0 +0 +3 8 0x6a09 0xa63a50b5 @@ -48614,6 +60768,8 @@ 1 0 0 +1 +3 2 0x295c 0x8214f48a @@ -48622,6 +60778,8 @@ 0 0 0 +3 +1 8 0x2ad9 0xed1dfc38 @@ -48630,6 +60788,8 @@ 1 1 0 +4 +5 8 0xff28 0x896e3b64 @@ -48638,6 +60798,8 @@ 1 1 0 +0 +4 5 0x4951 0x2088208 @@ -48646,6 +60808,8 @@ 1 0 0 +4 +2 7 0xd05d 0xa3b5f540 @@ -48654,6 +60818,8 @@ 0 0 0 +1 +1 3 0x9fee 0xffa54088 @@ -48662,6 +60828,8 @@ 1 0 0 +4 +4 3 0xdfad 0x186f5edc @@ -48670,6 +60838,8 @@ 0 0 0 +3 +3 5 0xd7c7 0xe8fccde @@ -48678,6 +60848,8 @@ 0 0 0 +1 +5 2 0x6c22 0xf0d9dff1 @@ -48686,6 +60858,8 @@ 0 0 0 +4 +5 5 0x36e 0xb84d2b0a @@ -48694,6 +60868,8 @@ 0 0 0 +2 +1 6 0xa536 0x7d389d78 @@ -48702,6 +60878,8 @@ 0 0 0 +5 +3 6 0xf016 0x4b573f3b @@ -48710,6 +60888,8 @@ 1 0 0 +0 +3 6 0x6689 0xb9d6541e @@ -48718,6 +60898,8 @@ 1 1 0 +3 +1 4 0x5643 0x3fc71e94 @@ -48726,6 +60908,8 @@ 1 1 0 +1 +5 2 0x5456 0x606a5c12 @@ -48734,6 +60918,8 @@ 0 0 0 +4 +1 10 0x6bc8 0xfbf14efa @@ -48742,6 +60928,8 @@ 0 0 0 +3 +5 10 0x224f 0x2569310d @@ -48750,6 +60938,8 @@ 0 0 0 +2 +2 9 0xed19 0x39807a28 @@ -48758,6 +60948,8 @@ 0 0 0 +5 +0 1 0xb551 0x603e2d04 @@ -48766,6 +60958,8 @@ 1 1 0 +0 +4 1 0x786a 0xa5784b34 @@ -48774,6 +60968,8 @@ 1 1 0 +5 +2 2 0xd6fa 0x6c2fb10b @@ -48782,6 +60978,8 @@ 0 0 0 +0 +4 2 0xcc27 0x4858d854 @@ -48790,6 +60988,8 @@ 1 0 0 +4 +3 9 0x26f0 0xff3dc990 @@ -48798,6 +60998,8 @@ 1 1 0 +0 +2 3 0xb1e0 0xd8d0c983 @@ -48806,6 +61008,8 @@ 0 0 0 +4 +4 7 0xda3b 0x4f811fd2 @@ -48814,6 +61018,8 @@ 0 0 0 +2 +2 6 0x9ad6 0xd629ffe3 @@ -48822,6 +61028,8 @@ 1 0 0 +1 +1 2 0x730b 0xae39f6e3 @@ -48830,6 +61038,8 @@ 1 0 0 +5 +2 2 0x4cd8 0xd833c87d @@ -48838,6 +61048,8 @@ 0 0 0 +2 +1 9 0x4f84 0x9212a47 @@ -48846,6 +61058,8 @@ 1 0 0 +3 +3 5 0xb0e6 0xfe38997e @@ -48854,6 +61068,8 @@ 0 0 0 +1 +1 2 0x8a90 0x5548c68c @@ -48862,6 +61078,8 @@ 1 1 0 +4 +3 5 0x857e 0x6b52a774 @@ -48870,6 +61088,8 @@ 1 0 0 +0 +3 5 0x4942 0xef70c2b7 @@ -48878,6 +61098,8 @@ 1 0 0 +5 +0 7 0xa09c 0xc678e10d @@ -48887,6 +61109,8 @@ 0 0 5 +5 +5 0xa427 0xbabe9daf 256 @@ -48894,6 +61118,8 @@ 1 0 0 +4 +4 1 0x11b1 0x32df8c05 @@ -48902,6 +61128,8 @@ 0 0 0 +5 +4 6 0xb30e 0x9fe82d37 @@ -48910,6 +61138,8 @@ 0 0 0 +2 +5 5 0xd681 0xf815ecf3 @@ -48918,6 +61148,8 @@ 1 1 0 +5 +3 4 0x6113 0x7c0f103f @@ -48926,6 +61158,8 @@ 1 0 0 +5 +5 6 0x2ca4 0x8e393038 @@ -48934,6 +61168,8 @@ 0 0 0 +1 +0 9 0xa23f 0xc8f5cc25 @@ -48942,6 +61178,8 @@ 0 0 0 +0 +2 6 0x9018 0x164c2ce5 @@ -48950,6 +61188,8 @@ 0 0 0 +1 +2 5 0xa52e 0x83140e79 @@ -48958,6 +61198,8 @@ 1 1 0 +3 +5 6 0x2148 0xa6397008 @@ -48966,6 +61208,8 @@ 0 0 0 +3 +2 4 0xd153 0x921ab0ce @@ -48974,6 +61218,8 @@ 0 0 0 +5 +1 1 0xd267 0xb9e16106 @@ -48982,6 +61228,8 @@ 0 0 0 +2 +4 5 0x2fb8 0xdf5ccdde @@ -48990,6 +61238,8 @@ 0 0 0 +0 +1 9 0xea17 0x841556da @@ -48998,6 +61248,8 @@ 0 0 0 +3 +3 5 0xb858 0x62290781 @@ -49006,6 +61258,8 @@ 0 0 0 +0 +3 1 0x17c3 0xef4b1d09 @@ -49014,6 +61268,8 @@ 1 0 0 +0 +0 2 0xdaa1 0x2331f4de @@ -49022,6 +61278,8 @@ 1 1 0 +2 +4 3 0x135b 0xdb5e8cb @@ -49030,6 +61288,8 @@ 0 0 0 +4 +0 9 0xe6a0 0xa5f0ac16 @@ -49038,6 +61298,8 @@ 1 0 0 +0 +3 1 0x9a75 0xcd879a92 @@ -49046,6 +61308,8 @@ 0 0 0 +2 +5 4 0xc361 0xfc3fc667 @@ -49055,6 +61319,8 @@ 0 0 2 +5 +2 0x6fbd 0xb1215ab0 256 @@ -49062,6 +61328,8 @@ 0 0 0 +5 +2 9 0xc80c 0xbff04111 @@ -49070,6 +61338,8 @@ 1 0 0 +3 +5 4 0xc446 0x68fef7fc @@ -49078,6 +61348,8 @@ 0 0 0 +4 +5 9 0x485 0x311555f9 @@ -49086,6 +61358,8 @@ 0 0 0 +4 +5 2 0x640c 0x32ea93d1 @@ -49094,6 +61368,8 @@ 0 0 0 +1 +1 3 0xc09a 0x2166cd4a @@ -49102,6 +61378,8 @@ 0 0 0 +2 +1 6 0xd262 0x70bff6ff @@ -49111,6 +61389,8 @@ 0 0 4 +5 +4 0xba5b 0x79e985d4 256 @@ -49118,6 +61398,8 @@ 1 1 0 +3 +1 1 0xb92a 0xa933ae2a @@ -49126,6 +61408,8 @@ 0 0 0 +1 +3 4 0x4ef5 0xcdea80f @@ -49134,6 +61418,8 @@ 0 0 0 +2 +0 8 0xaad6 0xfddc8574 @@ -49142,6 +61428,8 @@ 0 0 0 +4 +2 2 0xea04 0x674e0be6 @@ -49150,6 +61438,8 @@ 0 0 0 +5 +1 2 0x983c 0xb0a5f62b @@ -49158,6 +61448,8 @@ 0 0 0 +4 +3 3 0x872b 0x10297120 @@ -49166,6 +61458,8 @@ 1 1 0 +2 +4 5 0xbe02 0x530cb249 @@ -49174,6 +61468,8 @@ 1 0 0 +1 +5 5 0xee10 0xea85106b @@ -49182,6 +61478,8 @@ 1 0 0 +3 +2 1 0x2158 0xffbb5e4e @@ -49190,6 +61488,8 @@ 1 1 0 +2 +1 9 0xa53f 0x139461b9 @@ -49198,6 +61498,8 @@ 0 0 0 +0 +4 8 0x1ec8 0xe38993b @@ -49206,6 +61508,8 @@ 0 0 0 +0 +1 3 0x281e 0xfe628360 @@ -49214,6 +61518,8 @@ 0 0 0 +0 +4 10 0x47b2 0x6b6e35fb @@ -49222,6 +61528,8 @@ 1 1 0 +3 +5 1 0x4d67 0x59073163 @@ -49230,6 +61538,8 @@ 0 0 0 +3 +0 8 0x7e3e 0xc710315a @@ -49238,6 +61548,8 @@ 0 0 0 +2 +4 7 0x3143 0xf732f1ef @@ -49246,6 +61558,8 @@ 0 0 0 +0 +0 4 0x7fe7 0xe6cb8bf3 @@ -49254,6 +61568,8 @@ 1 0 0 +1 +2 6 0x7095 0x3820bf57 @@ -49262,6 +61578,8 @@ 0 0 0 +1 +5 4 0xb06b 0xc4d012ac @@ -49270,6 +61588,8 @@ 0 0 0 +3 +3 9 0xa54a 0xc3160ced @@ -49278,6 +61598,8 @@ 0 0 0 +3 +3 7 0x6534 0xefdd2ceb @@ -49286,6 +61608,8 @@ 0 0 0 +1 +0 5 0xd4a3 0x60050455 @@ -49294,6 +61618,8 @@ 1 0 0 +2 +5 6 0x349d 0xe0f3c478 @@ -49302,6 +61628,8 @@ 0 0 0 +3 +5 9 0x95f4 0xb9c49c77 @@ -49310,6 +61638,8 @@ 1 0 0 +0 +3 8 0x334f 0x379791ec @@ -49318,6 +61648,8 @@ 1 1 0 +1 +1 3 0x581b 0x8b5fb8a9 @@ -49326,6 +61658,8 @@ 0 0 0 +0 +2 5 0xa3cd 0x8752c8d7 @@ -49334,6 +61668,8 @@ 1 0 0 +0 +1 10 0x4b77 0xb6cd8b8f @@ -49342,6 +61678,8 @@ 1 0 0 +2 +5 4 0x50fc 0xa6936536 @@ -49350,6 +61688,8 @@ 0 0 0 +0 +5 6 0xdbc0 0xa77aee5b @@ -49358,6 +61698,8 @@ 0 0 0 +1 +0 5 0x3621 0x26d4be7c @@ -49367,6 +61709,8 @@ 0 0 4 +5 +4 0xaab2 0xe5896a0d 256 @@ -49374,6 +61718,8 @@ 1 1 0 +1 +2 5 0xa9f 0x89a59c48 @@ -49382,6 +61728,8 @@ 0 0 0 +0 +1 9 0x925a 0x150d35b3 @@ -49390,6 +61738,8 @@ 0 0 0 +3 +4 10 0x6182 0x7b511221 @@ -49398,6 +61748,8 @@ 0 0 0 +1 +1 4 0x2750 0xc6c8cca4 @@ -49406,6 +61758,8 @@ 1 1 0 +4 +5 10 0xc2ba 0xdd6b7891 @@ -49414,6 +61768,8 @@ 0 0 0 +5 +1 7 0xf368 0xfc6939c9 @@ -49423,6 +61779,8 @@ 0 0 3 +0 +3 0x2f58 0x5a45f4a0 256 @@ -49430,6 +61788,8 @@ 0 0 0 +3 +3 8 0xaeab 0xa34d8a3b @@ -49438,6 +61798,8 @@ 0 0 0 +1 +1 7 0x91e8 0xf75c413c @@ -49446,6 +61808,8 @@ 1 0 0 +4 +0 7 0x81c6 0xba227517 @@ -49454,6 +61818,8 @@ 1 1 0 +3 +2 7 0x8686 0x3f108a09 @@ -49462,6 +61828,8 @@ 0 0 0 +5 +5 10 0xbd5a 0x5dbf55d6 @@ -49470,6 +61838,8 @@ 0 0 0 +3 +1 1 0x1715 0xe8dcf1eb @@ -49478,6 +61848,8 @@ 1 1 0 +0 +2 7 0x5754 0x2b29f900 @@ -49486,6 +61858,8 @@ 1 1 0 +2 +0 1 0xc2eb 0x927eb4f5 @@ -49494,6 +61868,8 @@ 0 0 0 +2 +0 10 0x1da3 0xc6e2fe1f @@ -49502,6 +61878,8 @@ 0 0 0 +5 +3 7 0xb652 0x753fcc6a @@ -49511,6 +61889,8 @@ 1 0 3 +0 +3 0x82fe 0xbd5c5071 256 @@ -49518,6 +61898,8 @@ 0 0 0 +1 +5 5 0x472e 0x8d237a6d @@ -49526,6 +61908,8 @@ 0 0 0 +0 +5 9 0xc633 0x4ddca76a @@ -49534,6 +61918,8 @@ 1 1 0 +0 +2 6 0x88f 0x4d75c0b0 @@ -49542,6 +61928,8 @@ 0 0 0 +1 +3 10 0x18c6 0xae01c009 @@ -49550,6 +61938,8 @@ 1 1 0 +5 +4 9 0x258f 0xc4c0c35c @@ -49558,6 +61948,8 @@ 0 0 0 +2 +5 8 0xee3e 0xdf6d0620 @@ -49566,6 +61958,8 @@ 1 0 0 +2 +3 4 0x327 0xb932e229 @@ -49574,6 +61968,8 @@ 1 0 0 +1 +0 4 0x410f 0xf1d15089 @@ -49582,6 +61978,8 @@ 0 0 0 +0 +0 1 0xbf9c 0xd3fb3575 @@ -49590,6 +61988,8 @@ 0 0 0 +0 +3 9 0x43dc 0x28259183 @@ -49598,6 +61998,8 @@ 0 0 0 +4 +3 7 0xfccd 0xfc52c38 @@ -49606,6 +62008,8 @@ 0 0 0 +0 +4 10 0x2d14 0xe660ffa9 @@ -49614,6 +62018,8 @@ 1 1 0 +0 +5 3 0x4ad9 0xe6bacca9 @@ -49623,6 +62029,8 @@ 0 0 2 +5 +2 0xb817 0x8d9a31f7 256 @@ -49630,6 +62038,8 @@ 0 0 0 +3 +1 4 0x975 0x9fedc51d @@ -49638,6 +62048,8 @@ 0 0 0 +2 +3 4 0xb16a 0x1681926 @@ -49646,6 +62058,8 @@ 1 1 0 +3 +3 6 0x6444 0x2ccd16f1 @@ -49655,6 +62069,8 @@ 0 0 2 +2 +2 0xee66 0xe7218de1 256 @@ -49662,6 +62078,8 @@ 1 1 0 +5 +1 7 0x36f6 0xdea0575e @@ -49670,6 +62088,8 @@ 1 0 0 +2 +5 4 0x2897 0x4f3b8a50 @@ -49678,6 +62098,8 @@ 0 0 0 +4 +4 3 0x1537 0xe6169a87 @@ -49686,6 +62108,8 @@ 1 0 0 +2 +0 4 0xd511 0xae079a97 @@ -49694,6 +62118,8 @@ 0 0 0 +1 +1 7 0x23f4 0x1ec51f9f @@ -49702,6 +62128,8 @@ 0 0 0 +4 +3 10 0x2093 0x72bcde80 @@ -49710,6 +62138,8 @@ 0 0 0 +4 +0 6 0xbe67 0xf93e6e30 @@ -49718,6 +62148,8 @@ 1 1 0 +5 +0 4 0x56eb 0x4f114b82 @@ -49726,6 +62158,8 @@ 0 0 0 +2 +2 8 0xd959 0xecb608a5 @@ -49734,6 +62168,8 @@ 1 1 0 +4 +2 7 0xe1de 0x6c28c4a0 @@ -49742,6 +62178,8 @@ 1 0 0 +0 +0 10 0x9e86 0xa3c7784b @@ -49750,6 +62188,8 @@ 0 0 0 +0 +3 4 0x5c14 0xc083121b @@ -49758,6 +62198,8 @@ 1 1 0 +5 +5 6 0x7b8e 0x44dc595d @@ -49766,6 +62208,8 @@ 0 0 0 +5 +0 7 0x4f62 0x608adc73 @@ -49774,6 +62218,8 @@ 1 0 0 +0 +5 1 0x99a4 0x51cb575f @@ -49782,6 +62228,8 @@ 0 0 0 +3 +3 4 0xd9c6 0xf96ee660 @@ -49791,6 +62239,8 @@ 0 0 4 +0 +4 0x4738 0x50ce247b 256 @@ -49798,6 +62248,8 @@ 1 1 0 +2 +1 6 0xc141 0xa78a052b @@ -49806,6 +62258,8 @@ 0 0 0 +0 +0 9 0x3935 0x86b4f5d7 @@ -49814,6 +62268,8 @@ 0 0 0 +0 +4 10 0x48f0 0x304272c6 @@ -49822,6 +62278,8 @@ 1 0 0 +4 +3 7 0x3cb1 0xe8c35878 @@ -49830,6 +62288,8 @@ 0 0 0 +3 +3 4 0xdef2 0x2eab04a4 @@ -49838,6 +62298,8 @@ 0 0 0 +5 +0 10 0xee15 0x13f747e3 @@ -49847,6 +62309,8 @@ 1 0 5 +4 +5 0x4b09 0x2b779f23 256 @@ -49855,6 +62319,8 @@ 0 0 1 +3 +1 0xa4a9 0xeee9763b 256 @@ -49862,6 +62328,8 @@ 0 0 0 +0 +1 1 0xec51 0xb2ba377a @@ -49870,6 +62338,8 @@ 1 0 0 +4 +2 7 0xbcd9 0xfdca53f4 @@ -49878,6 +62348,8 @@ 0 0 0 +4 +2 8 0x3645 0xb918d00c @@ -49886,6 +62358,8 @@ 0 0 0 +0 +2 2 0x3d07 0xea9b0244 @@ -49895,6 +62369,8 @@ 0 0 1 +2 +1 0x98a3 0x796ec571 256 @@ -49902,6 +62378,8 @@ 1 0 0 +1 +3 7 0xb9af 0x34afe97 @@ -49910,6 +62388,8 @@ 1 0 0 +5 +3 3 0x14bb 0x854e3f76 @@ -49918,6 +62398,8 @@ 0 0 0 +4 +3 10 0xcf6 0xfe9d9ac9 @@ -49926,6 +62408,8 @@ 1 1 0 +2 +2 4 0x1817 0x1d727991 @@ -49934,6 +62418,8 @@ 0 0 0 +0 +0 10 0x5557 0xa1d11c74 @@ -49942,6 +62428,8 @@ 0 0 0 +0 +0 9 0x4217 0x82c7e403 @@ -49950,6 +62438,8 @@ 0 0 0 +0 +2 4 0x1896 0x59e0342c @@ -49958,6 +62448,8 @@ 1 1 0 +1 +4 3 0x97dc 0x48d5a596 @@ -49966,6 +62458,8 @@ 0 0 0 +5 +0 6 0xe8d3 0x1a79b777 @@ -49974,6 +62468,8 @@ 1 1 0 +5 +2 3 0xc4b9 0xb504f021 @@ -49982,6 +62478,8 @@ 1 1 0 +2 +3 8 0x2e45 0x57eaf61e @@ -49990,6 +62488,8 @@ 1 0 0 +3 +1 5 0xae39 0x400cdfe4 @@ -49998,6 +62498,8 @@ 1 1 0 +0 +3 2 0xf8a8 0x6f84b39f @@ -50006,6 +62508,8 @@ 1 1 0 +0 +1 3 0x7bce 0xa3d18908 @@ -50014,6 +62518,8 @@ 1 0 0 +1 +5 5 0xd86c 0x6986e6f3 @@ -50022,6 +62528,8 @@ 0 0 0 +0 +2 5 0xa95f 0x40bf5a77 @@ -50030,6 +62538,8 @@ 0 0 0 +5 +3 9 0xb32a 0x2f2d60ec @@ -50038,6 +62548,8 @@ 0 0 0 +1 +5 7 0x51ad 0x8e6e307b @@ -50046,6 +62558,8 @@ 1 1 0 +2 +1 3 0x79d0 0xb5b472ea @@ -50054,6 +62568,8 @@ 0 0 0 +5 +1 2 0x4ab6 0x725698ec @@ -50062,6 +62578,8 @@ 1 0 0 +0 +4 5 0xabc3 0x2fa8427f @@ -50070,6 +62588,8 @@ 1 0 0 +0 +5 6 0xc51e 0xe3e21bda @@ -50079,6 +62599,8 @@ 0 0 1 +2 +1 0xfd6d 0x88127392 256 @@ -50086,6 +62608,8 @@ 0 0 0 +4 +1 6 0xd290 0x113b60a4 @@ -50094,6 +62618,8 @@ 1 0 0 +0 +5 3 0x1194 0xc0f9af00 @@ -50102,6 +62628,8 @@ 0 0 0 +2 +4 7 0xfdb3 0xd9ec7f98 @@ -50110,6 +62638,8 @@ 0 0 0 +1 +2 4 0x4012 0xb7b13056 @@ -50118,6 +62648,8 @@ 1 0 0 +2 +4 5 0x4eeb 0x9d009c0b @@ -50126,6 +62658,8 @@ 1 1 0 +4 +0 3 0x3ac0 0x5078c401 @@ -50134,6 +62668,8 @@ 0 0 0 +0 +0 10 0xd687 0x625da5be @@ -50142,6 +62678,8 @@ 0 0 0 +5 +2 1 0x1d6b 0x49585df9 @@ -50150,6 +62688,8 @@ 0 0 0 +4 +1 5 0xa1bf 0x9cde3fc2 @@ -50158,6 +62698,8 @@ 1 1 0 +4 +3 7 0x1c67 0x300e5816 @@ -50167,6 +62709,8 @@ 0 0 5 +3 +5 0xaa61 0x988d42db 256 @@ -50174,6 +62718,8 @@ 1 0 0 +2 +3 8 0xb9d 0x5581e095 @@ -50182,6 +62728,8 @@ 1 0 0 +5 +0 8 0x24a4 0x67d67ee0 @@ -50190,6 +62738,8 @@ 0 0 0 +1 +3 10 0xd49 0xa6e7b015 @@ -50198,6 +62748,8 @@ 0 0 0 +3 +2 7 0x9ec3 0xbf15b835 @@ -50206,6 +62758,8 @@ 1 1 0 +0 +5 2 0xd5e8 0xe255b52d @@ -50214,6 +62768,8 @@ 1 0 0 +0 +1 3 0xe955 0x8646fd55 @@ -50222,6 +62778,8 @@ 0 0 0 +5 +1 7 0xc9d1 0xf6d72594 @@ -50230,6 +62788,8 @@ 0 0 0 +2 +5 1 0x540e 0x98979636 @@ -50238,6 +62798,8 @@ 0 0 0 +5 +3 2 0x43c1 0xf474d27b @@ -50246,6 +62808,8 @@ 0 0 0 +2 +5 5 0x2226 0xe069e08 @@ -50254,6 +62818,8 @@ 0 0 0 +0 +0 5 0x4812 0x21cf4748 @@ -50262,6 +62828,8 @@ 1 0 0 +1 +3 7 0x5107 0x6098ea9b @@ -50270,6 +62838,8 @@ 0 0 0 +3 +3 1 0x869e 0x5d7c139e @@ -50278,6 +62848,8 @@ 0 0 0 +0 +5 8 0x1669 0x6aaef88d @@ -50286,6 +62858,8 @@ 1 1 0 +2 +4 1 0x5239 0xf9773e5f @@ -50294,6 +62868,8 @@ 1 0 0 +1 +3 10 0xa06e 0x51937693 @@ -50302,6 +62878,8 @@ 1 1 0 +4 +4 6 0xc3bc 0x1b50ca8e @@ -50310,6 +62888,8 @@ 1 1 0 +1 +1 10 0x9107 0x6ee07ed4 @@ -50318,6 +62898,8 @@ 1 0 0 +0 +2 3 0xc039 0xc31c3ec0 @@ -50326,6 +62908,8 @@ 1 1 0 +2 +5 1 0xc781 0x1dc7674e @@ -50334,6 +62918,8 @@ 0 0 0 +3 +0 9 0xd549 0x75582e97 @@ -50342,6 +62928,8 @@ 0 0 0 +2 +0 1 0xe1e2 0x5151bc55 @@ -50350,6 +62938,8 @@ 0 0 0 +4 +2 9 0x4014 0xc052986e @@ -50358,6 +62948,8 @@ 0 0 0 +0 +5 9 0x5e2b 0xb251b5bf @@ -50366,6 +62958,8 @@ 1 1 0 +1 +3 6 0xe009 0xb21b97f5 @@ -50374,6 +62968,8 @@ 1 0 0 +5 +3 1 0x7e91 0x94ff9e8c @@ -50382,6 +62978,8 @@ 1 1 0 +1 +2 5 0xc5e6 0x2f601d03 @@ -50390,6 +62988,8 @@ 1 1 0 +1 +0 3 0x60f5 0x810f92a6 @@ -50398,6 +62998,8 @@ 1 1 0 +4 +3 3 0x7ead 0x40d83596 @@ -50406,6 +63008,8 @@ 0 0 0 +4 +1 1 0xfb94 0xca622534 @@ -50414,6 +63018,8 @@ 0 0 0 +2 +3 9 0xcc1 0xa9e179cd @@ -50422,6 +63028,8 @@ 0 0 0 +1 +2 10 0x151c 0x2cc78a6 @@ -50430,6 +63038,8 @@ 0 0 0 +2 +5 3 0xc03 0x24004306 @@ -50438,6 +63048,8 @@ 1 0 0 +5 +4 3 0x5f7e 0x585c4290 @@ -50446,6 +63058,8 @@ 0 0 0 +5 +0 8 0xa012 0xdb056a21 @@ -50454,6 +63068,8 @@ 0 0 0 +2 +3 9 0xf34 0xaf506cb7 @@ -50462,6 +63078,8 @@ 0 0 0 +5 +0 9 0x81c8 0x9ba1269f @@ -50470,6 +63088,8 @@ 0 0 0 +0 +1 6 0x7c32 0x5e2ec0b @@ -50478,6 +63098,8 @@ 0 0 0 +2 +3 4 0x84ba 0xab049ea1 @@ -50486,6 +63108,8 @@ 1 1 0 +5 +5 2 0x7f3d 0xbe975241 @@ -50494,6 +63118,8 @@ 0 0 0 +2 +2 8 0x8ab6 0xe6ebd3fa @@ -50502,6 +63128,8 @@ 0 0 0 +4 +1 6 0x70f6 0xc26ccf50 @@ -50510,6 +63138,8 @@ 1 1 0 +4 +4 7 0xab7d 0xfe471c3b @@ -50518,6 +63148,8 @@ 1 0 0 +1 +1 5 0x974 0x4fb91bac @@ -50526,6 +63158,8 @@ 1 1 0 +4 +1 1 0x2b28 0x96bb4e22 @@ -50534,6 +63168,8 @@ 0 0 0 +5 +2 8 0xd32 0xaf80f751 @@ -50542,6 +63178,8 @@ 1 1 0 +0 +1 2 0xdb19 0x755782cb @@ -50550,6 +63188,8 @@ 1 1 0 +0 +3 1 0x7333 0x5bd888cb @@ -50558,6 +63198,8 @@ 1 0 0 +2 +3 9 0xe7f 0x4d313325 @@ -50566,6 +63208,8 @@ 1 1 0 +4 +0 3 0xbb45 0xcf6d143f @@ -50574,6 +63218,8 @@ 0 0 0 +2 +2 4 0xebf1 0x55ab8e49 @@ -50582,6 +63228,8 @@ 0 0 0 +0 +3 2 0xc3f3 0x3ec0e244 @@ -50590,6 +63238,8 @@ 0 0 0 +0 +5 10 0x960 0x3f9e45d3 @@ -50598,6 +63248,8 @@ 0 0 0 +1 +3 10 0x7c6 0x11c35646 @@ -50606,6 +63258,8 @@ 1 1 0 +5 +5 4 0xb8e2 0xb7952b55 @@ -50614,6 +63268,8 @@ 1 1 0 +3 +4 10 0x6cc3 0xf1d8d74e @@ -50622,6 +63278,8 @@ 1 1 0 +0 +0 1 0xcc43 0x2adb0e9a @@ -50631,6 +63289,8 @@ 0 0 3 +2 +3 0x2522 0xd616e26d 256 @@ -50639,6 +63299,8 @@ 0 0 4 +4 +4 0xe00b 0x15c3f1db 256 @@ -50646,6 +63308,8 @@ 0 0 0 +5 +2 7 0xcc18 0x4b45cc1e @@ -50654,6 +63318,8 @@ 1 1 0 +5 +3 7 0xe7a9 0x7451577d @@ -50662,6 +63328,8 @@ 1 0 0 +3 +4 7 0xe02c 0xbd7ef5a9 @@ -50670,6 +63338,8 @@ 1 1 0 +0 +2 8 0x9433 0x7a641089 @@ -50678,6 +63348,8 @@ 0 0 0 +0 +2 1 0xb87e 0x58058214 @@ -50686,6 +63358,8 @@ 1 1 0 +3 +0 10 0x8d47 0x6e771d0f @@ -50694,6 +63368,8 @@ 1 1 0 +1 +0 10 0xe27b 0x175242d4 @@ -50702,6 +63378,8 @@ 1 1 0 +1 +1 9 0x5641 0x377c52b5 @@ -50710,6 +63388,8 @@ 1 0 0 +0 +2 10 0xf7fe 0xbd5e48ee @@ -50718,6 +63398,8 @@ 1 0 0 +0 +2 7 0x377 0x5a470a42 @@ -50726,6 +63408,8 @@ 1 0 0 +5 +5 7 0xa11e 0x5695185c @@ -50734,6 +63418,8 @@ 1 0 0 +5 +1 4 0xa0c0 0x468c4585 @@ -50742,6 +63428,8 @@ 0 0 0 +3 +0 8 0xd7ad 0x38809ebe @@ -50751,6 +63439,8 @@ 0 0 4 +1 +4 0xb50 0xebeffeac 256 @@ -50759,6 +63449,8 @@ 0 0 5 +2 +5 0xaca8 0x70337f7d 256 @@ -50766,6 +63458,8 @@ 1 0 0 +1 +3 10 0xcea2 0x375d7dd2 @@ -50774,6 +63468,8 @@ 1 1 0 +3 +3 1 0x96ed 0xbc748fff @@ -50782,6 +63478,8 @@ 0 0 0 +2 +5 4 0xe681 0xe0e09a74 @@ -50790,6 +63488,8 @@ 1 0 0 +0 +1 10 0x721a 0x814e0ca4 @@ -50798,6 +63498,8 @@ 0 0 0 +5 +1 4 0x62cd 0xf60a9f43 @@ -50806,6 +63508,8 @@ 0 0 0 +2 +1 6 0x58bd 0xc431c0c5 @@ -50814,6 +63518,8 @@ 0 0 0 +2 +1 6 0x337d 0xe36b829 @@ -50823,6 +63529,8 @@ 1 0 4 +5 +4 0x5d3f 0xa0ce4df6 256 @@ -50830,6 +63538,8 @@ 1 0 0 +1 +1 5 0x2fdb 0x9d11549e @@ -50838,6 +63548,8 @@ 1 0 0 +1 +4 5 0x2325 0x5256d130 @@ -50846,6 +63558,8 @@ 1 1 0 +0 +1 9 0xeb3e 0xe03a07c8 @@ -50854,6 +63568,8 @@ 0 0 0 +0 +3 9 0x9cb4 0x5301f4c9 @@ -50862,6 +63578,8 @@ 1 0 0 +2 +2 3 0xc658 0xc32e50b9 @@ -50870,6 +63588,8 @@ 1 1 0 +3 +3 10 0x295d 0x40bf88ec @@ -50878,6 +63598,8 @@ 0 0 0 +0 +0 5 0x6df2 0x1fec29cf @@ -50886,6 +63608,8 @@ 1 0 0 +3 +1 7 0x5cf0 0x29726976 @@ -50894,6 +63618,8 @@ 1 1 0 +1 +1 6 0x56ee 0xc9d07bdc @@ -50902,6 +63628,8 @@ 1 0 0 +0 +3 6 0x540 0x581555f0 @@ -50910,6 +63638,8 @@ 0 0 0 +5 +4 1 0x94d 0x440668ea @@ -50919,6 +63649,8 @@ 0 0 1 +5 +1 0xbd33 0x5899e319 256 @@ -50926,6 +63658,8 @@ 0 0 0 +3 +3 9 0x6b8b 0xf8d37e @@ -50934,6 +63668,8 @@ 0 0 0 +4 +1 7 0x7d5 0xdf491036 @@ -50942,6 +63678,8 @@ 0 0 0 +3 +2 6 0xeb85 0x3cb24323 @@ -50950,6 +63688,8 @@ 1 1 0 +0 +4 10 0xe51b 0x779ab50c @@ -50958,6 +63698,8 @@ 0 0 0 +3 +3 7 0x22d6 0x3c24d364 @@ -50966,6 +63708,8 @@ 0 0 0 +4 +4 7 0x8cbd 0xbac88484 @@ -50974,6 +63718,8 @@ 1 1 0 +0 +1 2 0x8959 0xe9208c1f @@ -50982,6 +63728,8 @@ 0 0 0 +2 +5 1 0x2d26 0x48cb62f7 @@ -50990,6 +63738,8 @@ 1 0 0 +0 +1 3 0x43e7 0x4a246097 @@ -50998,6 +63748,8 @@ 1 0 0 +0 +5 10 0x416 0xaf3d86bf @@ -51006,6 +63758,8 @@ 1 0 0 +3 +5 10 0x7e8e 0xef7fe298 @@ -51014,6 +63768,8 @@ 1 0 0 +4 +3 7 0x89fe 0x8c2ae63d @@ -51022,6 +63778,8 @@ 1 1 0 +4 +1 5 0xc2ee 0x49a68807 @@ -51030,6 +63788,8 @@ 0 0 0 +1 +2 5 0x46d9 0x77657576 @@ -51038,6 +63798,8 @@ 0 0 0 +2 +1 1 0xb65d 0xe51cc26 @@ -51046,6 +63808,8 @@ 1 1 0 +0 +5 8 0x5ff1 0xd3d79a56 @@ -51054,6 +63818,8 @@ 0 0 0 +3 +2 9 0x49f3 0xd05313b9 @@ -51062,6 +63828,8 @@ 1 1 0 +0 +5 6 0x54e2 0x8118fca5 @@ -51071,6 +63839,8 @@ 0 0 2 +0 +2 0x8b4f 0xbd11a2aa 256 @@ -51078,6 +63848,8 @@ 1 0 0 +2 +0 3 0xd7ae 0x72305faf @@ -51086,6 +63858,8 @@ 0 0 0 +1 +1 4 0x52a3 0xa932db24 @@ -51094,6 +63868,8 @@ 0 0 0 +1 +5 5 0x9272 0x890c3996 @@ -51102,6 +63878,8 @@ 1 1 0 +0 +4 5 0x1ba1 0x393ba5c1 @@ -51111,6 +63889,8 @@ 0 0 4 +1 +4 0xdf3d 0x4a33ed3 256 @@ -51119,6 +63899,8 @@ 0 0 5 +4 +5 0xe769 0xfd7c20ea 256 @@ -51126,6 +63908,8 @@ 1 1 0 +3 +0 2 0xcac1 0xd38a6c1a @@ -51134,6 +63918,8 @@ 1 1 0 +3 +1 2 0xeacb 0xaccefd42 @@ -51142,6 +63928,8 @@ 1 0 0 +4 +5 5 0xed72 0x8984e4a4 @@ -51151,6 +63939,8 @@ 0 0 1 +2 +1 0xe9cc 0x5d91479 256 @@ -51158,6 +63948,8 @@ 1 0 0 +0 +0 5 0xcb23 0x35e8dc0c @@ -51166,6 +63958,8 @@ 1 1 0 +5 +1 9 0x123f 0xc49edcdb @@ -51174,6 +63968,8 @@ 1 0 0 +4 +2 1 0x556d 0xd8e8911a @@ -51182,6 +63978,8 @@ 0 0 0 +3 +4 8 0x52a0 0x38d6ffbe @@ -51190,6 +63988,8 @@ 1 0 0 +0 +5 10 0xe089 0x4613b958 @@ -51198,6 +63998,8 @@ 1 0 0 +5 +2 6 0x4a2a 0x87198307 @@ -51206,6 +64008,8 @@ 0 0 0 +3 +0 8 0x24af 0x8d0b9925 @@ -51214,6 +64018,8 @@ 1 0 0 +5 +1 2 0xdc98 0x9af2505 @@ -51222,6 +64028,8 @@ 1 1 0 +4 +3 1 0xc209 0x44712c9a @@ -51230,6 +64038,8 @@ 1 1 0 +3 +3 5 0xc061 0x54d570bc @@ -51238,6 +64048,8 @@ 1 1 0 +4 +5 3 0x4581 0x17992e77 @@ -51246,6 +64058,8 @@ 0 0 0 +0 +4 6 0xd51a 0xe17ada68 @@ -51254,6 +64068,8 @@ 1 0 0 +3 +3 10 0x64d0 0x1a251381 @@ -51263,6 +64079,8 @@ 0 0 3 +1 +3 0x2824 0x96e0767f 256 @@ -51270,6 +64088,8 @@ 1 0 0 +3 +5 4 0xd60b 0x845f3833 @@ -51278,6 +64098,8 @@ 0 0 0 +2 +0 10 0x7946 0x5cb65460 @@ -51286,6 +64108,8 @@ 0 0 0 +2 +5 10 0x239 0x53c18cca @@ -51294,6 +64118,8 @@ 0 0 0 +4 +1 5 0xc2ab 0xe0789681 @@ -51302,6 +64128,8 @@ 1 1 0 +5 +5 7 0xc446 0x2a98c679 @@ -51310,6 +64138,8 @@ 0 0 0 +4 +3 8 0x888f 0x3c7e18fd @@ -51318,6 +64148,8 @@ 1 1 0 +3 +5 5 0x2b49 0x5997eb15 @@ -51326,6 +64158,8 @@ 0 0 0 +3 +5 1 0x33e7 0x604c32de @@ -51334,6 +64168,8 @@ 1 0 0 +3 +0 8 0x3fea 0x66cf0653 @@ -51342,6 +64178,8 @@ 1 0 0 +3 +2 1 0x96f8 0x84102d3e @@ -51350,6 +64188,8 @@ 0 0 0 +4 +2 9 0x2bae 0x167ac06 @@ -51358,6 +64198,8 @@ 0 0 0 +5 +5 8 0x477f 0xc43a7fe2 @@ -51366,6 +64208,8 @@ 0 0 0 +1 +2 10 0x5005 0x31eebf54 @@ -51375,6 +64219,8 @@ 0 0 4 +4 +4 0xe764 0xa00920b7 256 @@ -51382,6 +64228,8 @@ 0 0 0 +1 +1 2 0x8f1b 0xfce16bd6 @@ -51390,6 +64238,8 @@ 0 0 0 +5 +3 3 0xfcec 0x4d464e57 @@ -51398,6 +64248,8 @@ 0 0 0 +2 +2 5 0x728d 0x77e7e799 @@ -51406,6 +64258,8 @@ 1 1 0 +5 +4 10 0xd404 0x219526e2 @@ -51414,6 +64268,8 @@ 0 0 0 +2 +2 6 0xdf60 0xc18bebb1 @@ -51422,6 +64278,8 @@ 1 1 0 +4 +0 2 0x5f91 0x241ba63a @@ -51430,6 +64288,8 @@ 0 0 0 +5 +2 4 0x365f 0x3f566a35 @@ -51438,6 +64298,8 @@ 1 0 0 +3 +0 2 0xc83e 0x1aeb0922 @@ -51446,6 +64308,8 @@ 0 0 0 +5 +4 9 0xbe97 0xadd267cf @@ -51454,6 +64318,8 @@ 0 0 0 +5 +5 3 0x4bdc 0x98648f57 @@ -51462,6 +64328,8 @@ 0 0 0 +2 +4 8 0x8c89 0xcff5cb27 @@ -51470,6 +64338,8 @@ 1 0 0 +1 +1 5 0xfc1 0x771c4004 @@ -51478,6 +64348,8 @@ 1 0 0 +4 +3 10 0x603c 0x9c3e61ef @@ -51486,6 +64358,8 @@ 1 0 0 +3 +2 1 0x450e 0x3d332305 @@ -51494,6 +64368,8 @@ 1 1 0 +0 +0 7 0xf28d 0x672f4b4e @@ -51502,6 +64378,8 @@ 0 0 0 +1 +2 8 0x1254 0xf53739fc @@ -51510,6 +64388,8 @@ 1 1 0 +5 +3 3 0x57b 0x68e29c90 @@ -51518,6 +64398,8 @@ 1 0 0 +4 +2 2 0xd604 0xbc631f74 @@ -51527,6 +64409,8 @@ 1 0 2 +4 +2 0xfc96 0xc79af9b3 256 @@ -51534,6 +64418,8 @@ 1 1 0 +2 +5 1 0x84d1 0x4d746c29 @@ -51542,6 +64428,8 @@ 1 0 0 +5 +5 9 0x18d9 0x6f4c9f74 @@ -51550,6 +64438,8 @@ 1 0 0 +0 +0 10 0x6494 0xc1ceb25 @@ -51558,6 +64448,8 @@ 0 0 0 +5 +3 7 0x95b6 0xd2188866 @@ -51566,6 +64458,8 @@ 0 0 0 +3 +5 6 0x719c 0xe9615da4 @@ -51574,6 +64468,8 @@ 1 0 0 +0 +2 4 0xe576 0x430906 @@ -51582,6 +64478,8 @@ 0 0 0 +2 +3 10 0x6132 0xfd6d011b @@ -51590,6 +64488,8 @@ 1 1 0 +2 +5 8 0x63c 0xe68f1666 @@ -51598,6 +64498,8 @@ 0 0 0 +5 +5 3 0x6a7c 0x621dafed @@ -51606,6 +64508,8 @@ 1 1 0 +2 +1 9 0x7d90 0x6eec6ad1 @@ -51614,6 +64518,8 @@ 0 0 0 +2 +1 6 0x58f6 0x2e9d6ea6 @@ -51622,6 +64528,8 @@ 1 1 0 +1 +5 9 0xf986 0xfa83a72f @@ -51630,6 +64538,8 @@ 0 0 0 +1 +1 10 0xa935 0x1344ce71 @@ -51638,6 +64548,8 @@ 1 1 0 +2 +5 10 0x93c8 0xaf70875d @@ -51646,6 +64558,8 @@ 1 1 0 +0 +3 5 0x980f 0xae450e7c @@ -51654,6 +64568,8 @@ 1 0 0 +3 +5 1 0x50f1 0x9ce64826 @@ -51662,6 +64578,8 @@ 0 0 0 +0 +5 5 0x82df 0xebe41f0b @@ -51670,6 +64588,8 @@ 0 0 0 +1 +1 7 0x8848 0x611ff5a6 @@ -51678,6 +64598,8 @@ 0 0 0 +0 +3 1 0x5622 0xee1173d @@ -51687,6 +64609,8 @@ 0 0 5 +0 +5 0xe33c 0x70b90e60 256 @@ -51694,6 +64618,8 @@ 1 1 0 +1 +3 3 0x8ee3 0x2aacb0d7 @@ -51702,6 +64628,8 @@ 1 1 0 +5 +4 9 0xf2f7 0x3ffc0d1e @@ -51710,6 +64638,8 @@ 0 0 0 +3 +4 8 0xe3f6 0xd22d6fe0 @@ -51718,6 +64648,8 @@ 0 0 0 +4 +3 1 0x2b 0xb69e6244 @@ -51726,6 +64658,8 @@ 1 1 0 +2 +2 4 0x92db 0xe795ad32 @@ -51734,6 +64668,8 @@ 0 0 0 +2 +4 10 0xfe27 0x99924668 @@ -51742,6 +64678,8 @@ 1 0 0 +3 +3 5 0x3609 0x2118b452 @@ -51750,6 +64688,8 @@ 1 1 0 +5 +2 10 0xfb81 0x538373dc @@ -51758,6 +64698,8 @@ 1 1 0 +0 +2 1 0x4a1b 0x45ffa3e4 @@ -51767,6 +64709,8 @@ 1 0 4 +0 +4 0x12e6 0xf699e576 256 @@ -51774,6 +64718,8 @@ 0 0 0 +2 +2 1 0x119c 0x93864929 @@ -51782,6 +64728,8 @@ 1 0 0 +3 +1 6 0xb027 0xb3b732ae @@ -51790,6 +64738,8 @@ 0 0 0 +4 +5 2 0xddf4 0xc2d30036 @@ -51798,6 +64748,8 @@ 1 0 0 +1 +4 9 0xd4d0 0x47114de4 @@ -51807,6 +64759,8 @@ 0 0 2 +2 +2 0x110f 0xb996ae6d 256 @@ -51814,6 +64768,8 @@ 1 1 0 +0 +3 6 0xaef6 0x151c4c45 @@ -51822,6 +64778,8 @@ 1 1 0 +3 +3 6 0x9695 0x9e42b407 @@ -51830,6 +64788,8 @@ 0 0 0 +5 +0 2 0x2ab7 0x1fc987b3 @@ -51838,6 +64798,8 @@ 1 1 0 +0 +5 7 0x7543 0xa262c2e @@ -51846,6 +64808,8 @@ 1 1 0 +0 +3 8 0x6200 0x81bef871 @@ -51854,6 +64818,8 @@ 0 0 0 +2 +5 3 0x51fd 0xe0e6f1f1 @@ -51862,6 +64828,8 @@ 1 0 0 +4 +1 3 0x7ee5 0x638b681d @@ -51870,6 +64838,8 @@ 1 0 0 +0 +5 9 0x64c 0x879a3707 @@ -51878,6 +64848,8 @@ 1 1 0 +1 +1 5 0xe266 0xb6afee5a @@ -51886,6 +64858,8 @@ 1 0 0 +5 +4 10 0x3b1b 0x510266a5 @@ -51894,6 +64868,8 @@ 0 0 0 +1 +2 10 0x67c4 0x7269bd9d @@ -51902,6 +64878,8 @@ 0 0 0 +5 +3 8 0x8806 0xbaf46788 @@ -51910,6 +64888,8 @@ 0 0 0 +0 +5 5 0x50be 0xce1e5823 @@ -51918,6 +64898,8 @@ 0 0 0 +5 +0 8 0x2ad1 0x70d504ed @@ -51926,6 +64908,8 @@ 0 0 0 +0 +3 3 0x129d 0xf07e6151 @@ -51935,6 +64919,8 @@ 1 0 1 +1 +1 0xb5f8 0xdc723bf 256 @@ -51942,6 +64928,8 @@ 1 0 0 +1 +3 4 0x44f9 0xf0650bdd @@ -51950,6 +64938,8 @@ 1 1 0 +2 +3 3 0x8662 0xa8cbb7e7 @@ -51958,6 +64948,8 @@ 1 1 0 +0 +0 8 0xcd5 0x9f12f20c @@ -51966,6 +64958,8 @@ 1 1 0 +0 +5 6 0x5143 0x60db6a9 @@ -51974,6 +64968,8 @@ 1 1 0 +4 +0 7 0xe389 0x44aad086 @@ -51982,6 +64978,8 @@ 1 1 0 +2 +0 10 0xa847 0x9cd0e714 @@ -51990,6 +64988,8 @@ 1 1 0 +1 +1 3 0xdb53 0x3f521e65 @@ -51998,6 +64998,8 @@ 1 1 0 +5 +3 1 0xe56c 0x8be9e5be @@ -52006,6 +65008,8 @@ 0 0 0 +4 +4 3 0x9e0a 0xc1c3ce3 @@ -52014,6 +65018,8 @@ 1 0 0 +2 +1 10 0xa1a4 0xfeefd55c @@ -52022,6 +65028,8 @@ 1 1 0 +5 +5 6 0xabc 0xe93042be @@ -52030,6 +65038,8 @@ 1 1 0 +3 +0 6 0x1bbc 0x9f64b07 @@ -52038,6 +65048,8 @@ 1 0 0 +3 +2 8 0x8b8f 0x77dcc985 @@ -52046,6 +65058,8 @@ 1 1 0 +2 +0 8 0xdf95 0x2585cd7d @@ -52054,6 +65068,8 @@ 1 0 0 +5 +4 6 0xa61c 0x1543ea4e @@ -52062,6 +65078,8 @@ 1 1 0 +3 +1 7 0x5043 0x9c9a194e @@ -52070,6 +65088,8 @@ 0 0 0 +5 +1 1 0x679d 0xbfc1569e @@ -52078,6 +65098,8 @@ 0 0 0 +1 +1 9 0xc576 0xdfdcfb5b @@ -52086,6 +65108,8 @@ 1 1 0 +2 +3 7 0xd52d 0x40af890c @@ -52094,6 +65118,8 @@ 1 1 0 +5 +5 9 0xee06 0xb860e4d1 @@ -52103,6 +65129,8 @@ 0 0 5 +1 +5 0x9eec 0x589e5ad0 256 @@ -52110,6 +65138,8 @@ 1 0 0 +4 +2 10 0xc62 0x6cf438ea @@ -52118,6 +65148,8 @@ 1 0 0 +3 +1 10 0xa614 0xf92e3899 @@ -52126,6 +65158,8 @@ 1 1 0 +2 +1 7 0x30a4 0xcdbac014 @@ -52134,6 +65168,8 @@ 1 0 0 +5 +2 3 0x803b 0xe146a744 @@ -52142,6 +65178,8 @@ 1 1 0 +3 +3 5 0xa158 0x8182afe @@ -52150,6 +65188,8 @@ 0 0 0 +3 +0 9 0xe2fc 0xecf0007d @@ -52158,6 +65198,8 @@ 1 0 0 +1 +2 6 0x42c7 0xd4514e21 @@ -52166,6 +65208,8 @@ 1 0 0 +2 +1 10 0xd133 0x790f4f0a @@ -52174,6 +65218,8 @@ 0 0 0 +3 +1 1 0x75e6 0x29ae9cc5 @@ -52183,6 +65229,8 @@ 0 0 1 +1 +1 0xcc82 0xd5272e06 256 @@ -52190,6 +65238,8 @@ 0 0 0 +5 +1 7 0xbbc3 0x94bd414c @@ -52198,6 +65248,8 @@ 0 0 0 +0 +3 6 0x7fed 0xb1ae3343 @@ -52206,6 +65258,8 @@ 0 0 0 +1 +3 10 0xf0f2 0x3a113be7 @@ -52214,6 +65268,8 @@ 0 0 0 +3 +5 6 0xd480 0x534b70fe @@ -52222,6 +65278,8 @@ 0 0 0 +1 +1 10 0x7c6c 0xdaeb315f @@ -52230,6 +65288,8 @@ 1 0 0 +3 +2 5 0x37fc 0xa8dc8b1 @@ -52238,6 +65298,8 @@ 1 0 0 +2 +5 10 0xb21a 0x34d9a923 @@ -52246,6 +65308,8 @@ 0 0 0 +4 +2 9 0xb424 0x81ec4190 @@ -52254,6 +65318,8 @@ 1 1 0 +1 +2 4 0xedc2 0x21d13509 @@ -52262,6 +65328,8 @@ 0 0 0 +2 +3 6 0x228a 0x23bca2df @@ -52270,6 +65338,8 @@ 0 0 0 +1 +0 2 0xb5b 0xce30d20 @@ -52278,6 +65348,8 @@ 0 0 0 +0 +2 4 0xd96a 0xb2142d8f @@ -52286,6 +65358,8 @@ 1 1 0 +4 +0 6 0x3a20 0x62729337 @@ -52294,6 +65368,8 @@ 0 0 0 +1 +3 4 0xce61 0x6bdf2b8 @@ -52303,6 +65379,8 @@ 0 0 2 +2 +2 0xe082 0xb841a4c 256 @@ -52311,6 +65389,8 @@ 1 0 3 +1 +3 0x82aa 0x14049e0d 256 @@ -52318,6 +65398,8 @@ 1 0 0 +2 +5 5 0x8f3a 0x32908480 @@ -52326,6 +65408,8 @@ 0 0 0 +4 +1 6 0x4152 0xd33c9c09 @@ -52334,6 +65418,8 @@ 1 1 0 +1 +1 2 0x99d 0xd84e0dd8 @@ -52342,6 +65428,8 @@ 0 0 0 +2 +3 8 0x8de7 0xe2b7d944 @@ -52350,6 +65438,8 @@ 0 0 0 +3 +2 5 0xba92 0xfc89f5dc @@ -52358,6 +65448,8 @@ 1 0 0 +1 +1 3 0x1f69 0xcf376baa @@ -52366,6 +65458,8 @@ 0 0 0 +3 +2 1 0xb56e 0xd4662f99 @@ -52374,6 +65468,8 @@ 1 1 0 +3 +4 9 0x728e 0x55bf6f4f @@ -52382,6 +65478,8 @@ 1 0 0 +5 +0 6 0x226b 0x4e8bb837 @@ -52390,6 +65488,8 @@ 1 1 0 +2 +3 7 0x6044 0x65efbe90 @@ -52399,6 +65499,8 @@ 1 0 4 +2 +4 0xba65 0xafa8003f 256 @@ -52406,6 +65508,8 @@ 1 1 0 +1 +4 2 0x4cc9 0xed539c54 @@ -52414,6 +65518,8 @@ 1 0 0 +3 +5 10 0x2450 0x496a9b3e @@ -52422,6 +65528,8 @@ 1 0 0 +0 +5 7 0xb43f 0x31445ca0 @@ -52430,6 +65538,8 @@ 1 0 0 +5 +0 6 0x1182 0xa4905252 @@ -52438,6 +65548,8 @@ 1 1 0 +0 +1 2 0xf4e7 0x2877d3c6 @@ -52446,6 +65558,8 @@ 1 1 0 +4 +0 5 0x9f99 0x62726927 @@ -52454,6 +65568,8 @@ 1 0 0 +1 +0 6 0x7150 0xb95e7ca9 @@ -52463,6 +65579,8 @@ 0 0 4 +2 +4 0x218 0x97221a07 256 @@ -52470,6 +65588,8 @@ 0 0 0 +3 +5 8 0x74be 0x79ddbf95 @@ -52478,6 +65598,8 @@ 1 0 0 +5 +4 6 0x39fd 0x6969d2ee @@ -52486,6 +65608,8 @@ 1 0 0 +0 +3 7 0x98c5 0x5ea93265 @@ -52494,6 +65618,8 @@ 1 0 0 +1 +1 10 0x81f6 0x96668cbc @@ -52502,6 +65628,8 @@ 0 0 0 +1 +4 4 0x2d85 0x49557b53 @@ -52510,6 +65638,8 @@ 1 1 0 +2 +1 4 0x9c33 0x1b765675 @@ -52518,6 +65648,8 @@ 1 0 0 +1 +4 8 0xfa49 0x7f1fe52e @@ -52526,6 +65658,8 @@ 1 0 0 +2 +0 1 0x5115 0x455813c4 @@ -52534,6 +65668,8 @@ 1 1 0 +0 +0 1 0x1556 0x77c576a1 @@ -52542,6 +65678,8 @@ 0 0 0 +0 +1 8 0xa12d 0x33cb1ac6 @@ -52550,6 +65688,8 @@ 1 1 0 +4 +2 5 0x1d22 0x23a08f72 @@ -52558,6 +65698,8 @@ 0 0 0 +2 +2 4 0x6cf3 0xd597dca2 @@ -52567,6 +65709,8 @@ 1 0 5 +4 +5 0x645d 0x86e6b7c3 256 @@ -52574,6 +65718,8 @@ 1 0 0 +3 +5 5 0xe564 0x9d30a260 @@ -52582,6 +65728,8 @@ 1 0 0 +5 +1 8 0x31ef 0x58f1748 @@ -52590,6 +65738,8 @@ 1 0 0 +0 +1 5 0x8775 0x5d4dd675 @@ -52598,6 +65748,8 @@ 1 0 0 +5 +4 8 0x692 0x320ae7dd @@ -52606,6 +65758,8 @@ 1 1 0 +3 +3 6 0xac87 0xbf3fa005 @@ -52614,6 +65768,8 @@ 0 0 0 +3 +4 2 0xfbb2 0x6227991c @@ -52622,6 +65778,8 @@ 0 0 0 +1 +4 3 0x6d16 0x29c36a50 @@ -52631,6 +65789,8 @@ 0 0 2 +1 +2 0x1d67 0xf74fd538 256 @@ -52639,6 +65799,8 @@ 0 0 1 +5 +1 0x346f 0x6f14ec9e 256 @@ -52646,6 +65808,8 @@ 1 0 0 +2 +0 8 0x16f0 0x10d30e72 @@ -52654,6 +65818,8 @@ 1 0 0 +3 +2 4 0x30a3 0xa77ac8aa @@ -52662,6 +65828,8 @@ 1 0 0 +0 +5 2 0x3207 0x7df08514 @@ -52670,6 +65838,8 @@ 0 0 0 +1 +2 2 0xc534 0x7b3b41b9 @@ -52678,6 +65848,8 @@ 1 0 0 +0 +4 1 0xa70f 0xb6e94344 @@ -52686,6 +65858,8 @@ 1 1 0 +1 +3 7 0x1103 0xcc815e6 @@ -52694,6 +65868,8 @@ 1 0 0 +1 +4 3 0x9a1b 0xbca57516 @@ -52702,6 +65878,8 @@ 0 0 0 +0 +0 3 0x343a 0x36830873 @@ -52710,6 +65888,8 @@ 1 0 0 +0 +3 7 0xd73e 0xe1eb43 @@ -52718,6 +65898,8 @@ 1 0 0 +5 +2 4 0x14d2 0x324a9c1 @@ -52726,6 +65908,8 @@ 0 0 0 +3 +2 2 0xa69c 0xb9f441d5 @@ -52734,6 +65918,8 @@ 1 0 0 +2 +4 9 0x2039 0x3741b30c @@ -52742,6 +65928,8 @@ 0 0 0 +3 +1 5 0xc08d 0x334f69a2 @@ -52750,6 +65938,8 @@ 0 0 0 +0 +1 3 0xa605 0xd7d0fb6d @@ -52758,6 +65948,8 @@ 0 0 0 +3 +3 6 0x68c9 0x600181d @@ -52766,6 +65958,8 @@ 0 0 0 +5 +2 6 0x2820 0x6e833d67 @@ -52774,6 +65968,8 @@ 0 0 0 +4 +1 1 0xefea 0x64bb88b2 @@ -52782,6 +65978,8 @@ 0 0 0 +1 +3 10 0x152 0xabaa8859 @@ -52790,6 +65988,8 @@ 1 0 0 +3 +4 10 0x415d 0x929359e8 @@ -52798,6 +65998,8 @@ 1 1 0 +4 +2 10 0x4b29 0x68636301 @@ -52806,6 +66008,8 @@ 1 0 0 +3 +2 10 0x82eb 0x56ff5836 @@ -52814,6 +66018,8 @@ 0 0 0 +5 +4 7 0xe511 0xf93acbb4 @@ -52822,6 +66028,8 @@ 0 0 0 +0 +4 1 0xd0aa 0x7c21786e @@ -52830,6 +66038,8 @@ 0 0 0 +2 +3 8 0x106a 0x38f200a0 @@ -52838,6 +66048,8 @@ 0 0 0 +2 +5 8 0xbee1 0xe445324f @@ -52846,6 +66058,8 @@ 0 0 0 +2 +4 10 0xd70f 0x455f9e84 @@ -52854,6 +66068,8 @@ 0 0 0 +0 +0 7 0xec44 0x938277de @@ -52862,6 +66078,8 @@ 0 0 0 +1 +0 7 0xb268 0x5b56bca7 @@ -52870,6 +66088,8 @@ 0 0 0 +4 +2 9 0xbdda 0xf564ad12 @@ -52878,6 +66098,8 @@ 0 0 0 +2 +4 10 0xe2f3 0xeb51390 @@ -52886,6 +66108,8 @@ 1 1 0 +4 +3 10 0xc0df 0xb41a839c @@ -52894,6 +66118,8 @@ 1 1 0 +1 +0 9 0x1411 0xb4932ca6 @@ -52902,6 +66128,8 @@ 0 0 0 +5 +4 3 0x9f0f 0x7e62a71a @@ -52910,6 +66138,8 @@ 1 1 0 +2 +0 6 0xee8c 0x756d9dfa @@ -52918,6 +66148,8 @@ 1 0 0 +2 +1 10 0xe75f 0xc61a3c89 @@ -52926,6 +66158,8 @@ 0 0 0 +0 +0 5 0x96c3 0x37236aec @@ -52934,6 +66168,8 @@ 1 0 0 +3 +0 2 0xc50a 0x81103b0d @@ -52942,6 +66178,8 @@ 1 1 0 +0 +4 9 0x948e 0x1756ef60 @@ -52951,6 +66189,8 @@ 0 0 5 +0 +5 0xd61 0xeaff83e2 256 @@ -52958,6 +66198,8 @@ 1 1 0 +3 +1 7 0x673f 0x9ea7d405 @@ -52966,6 +66208,8 @@ 1 0 0 +5 +5 3 0x7941 0x84291115 @@ -52974,6 +66218,8 @@ 0 0 0 +5 +1 4 0xf6a4 0x43e945e8 @@ -52982,6 +66228,8 @@ 0 0 0 +1 +1 10 0xb8ec 0x1a70bc56 @@ -52990,6 +66238,8 @@ 0 0 0 +0 +5 10 0xe7c 0xde6a8d89 @@ -52998,6 +66248,8 @@ 1 0 0 +4 +3 7 0x3e42 0xb17d8929 @@ -53006,6 +66258,8 @@ 1 0 0 +0 +4 8 0x7ef1 0x36545cba @@ -53014,6 +66268,8 @@ 0 0 0 +5 +0 3 0x1365 0x767b9488 @@ -53022,6 +66278,8 @@ 0 0 0 +3 +3 7 0x12b6 0xe52c4e91 @@ -53030,6 +66288,8 @@ 1 1 0 +2 +2 4 0x1718 0xcdaed8e3 @@ -53038,6 +66298,8 @@ 0 0 0 +2 +2 8 0x29c3 0x57380f9a @@ -53046,6 +66308,8 @@ 0 0 0 +4 +3 6 0xa8d3 0x2538487 @@ -53054,6 +66318,8 @@ 1 0 0 +5 +2 9 0xf254 0xedfcdd0c @@ -53062,6 +66328,8 @@ 0 0 0 +4 +5 6 0x8bcb 0x789c1786 @@ -53071,6 +66339,8 @@ 0 0 1 +3 +1 0x230a 0x9bb1847b 256 @@ -53078,6 +66348,8 @@ 1 1 0 +1 +3 3 0xcc4e 0xd75f0b05 @@ -53086,6 +66358,8 @@ 0 0 0 +4 +2 2 0x465d 0x97505a85 @@ -53094,6 +66368,8 @@ 1 1 0 +1 +2 10 0xe8bb 0xa789cd4e @@ -53102,6 +66378,8 @@ 1 1 0 +0 +3 7 0xe1a5 0x9e484290 @@ -53110,6 +66388,8 @@ 0 0 0 +5 +4 3 0x5aa0 0x158d3f2a @@ -53118,6 +66398,8 @@ 1 0 0 +2 +1 3 0xdf20 0x356b3b27 @@ -53126,6 +66408,8 @@ 1 0 0 +5 +5 4 0x7c45 0xf1f170a9 @@ -53134,6 +66418,8 @@ 0 0 0 +3 +1 7 0xd7b3 0x2702f01e @@ -53142,6 +66428,8 @@ 1 1 0 +2 +3 3 0x16ca 0xbd947576 @@ -53150,6 +66438,8 @@ 1 0 0 +5 +1 8 0x4df9 0xbabf9eb4 @@ -53158,6 +66448,8 @@ 1 1 0 +0 +0 3 0x3404 0x630dc527 @@ -53166,6 +66458,8 @@ 0 0 0 +0 +2 2 0xcc05 0xe1e18c28 @@ -53174,6 +66468,8 @@ 1 1 0 +4 +5 10 0x582d 0x3ce69b80 @@ -53183,6 +66479,8 @@ 0 0 4 +5 +4 0xf88 0x457f2771 256 @@ -53190,6 +66488,8 @@ 1 0 0 +5 +1 1 0x96d8 0xa8ed0a10 @@ -53199,6 +66499,8 @@ 0 0 3 +1 +3 0x1ff7 0xe70daf33 256 @@ -53206,6 +66508,8 @@ 1 0 0 +1 +4 6 0xed47 0xdfb8cc23 @@ -53215,6 +66519,8 @@ 0 0 4 +1 +4 0x1244 0x8a14e0f9 256 @@ -53223,6 +66529,8 @@ 0 0 2 +0 +2 0xd379 0x28009c87 256 @@ -53230,6 +66538,8 @@ 0 0 0 +5 +5 7 0xce24 0xee72a16d @@ -53238,6 +66548,8 @@ 0 0 0 +4 +5 2 0xfe25 0xb8c32230 @@ -53246,6 +66558,8 @@ 0 0 0 +5 +4 8 0xce56 0x2f1d8f7 @@ -53255,6 +66569,8 @@ 1 0 1 +5 +1 0x45a3 0xfcbafd4d 256 @@ -53262,6 +66578,8 @@ 0 0 0 +0 +2 8 0x57cd 0xb2ad833d @@ -53270,6 +66588,8 @@ 1 1 0 +0 +4 1 0x2e0d 0xb5869b42 @@ -53278,6 +66598,8 @@ 1 1 0 +1 +0 6 0x458b 0x20760ccc @@ -53286,6 +66608,8 @@ 0 0 0 +0 +0 3 0x5381 0xeeb2785a @@ -53294,6 +66618,8 @@ 0 0 0 +4 +0 5 0xa2d4 0xe5bf84a3 @@ -53302,6 +66628,8 @@ 0 0 0 +5 +1 7 0x850d 0x49b38d89 @@ -53310,6 +66638,8 @@ 0 0 0 +3 +5 1 0xcb58 0x65076457 @@ -53318,6 +66648,8 @@ 1 1 0 +0 +2 9 0xd74 0xeea2a54b @@ -53326,6 +66658,8 @@ 0 0 0 +3 +3 5 0x736e 0x62e36ada @@ -53334,6 +66668,8 @@ 1 1 0 +2 +4 5 0xeb16 0xa2f3eff9 @@ -53342,6 +66678,8 @@ 0 0 0 +5 +2 8 0xc8e5 0x6bffba78 @@ -53350,6 +66688,8 @@ 0 0 0 +3 +0 7 0xcefa 0x120c9146 @@ -53358,6 +66698,8 @@ 1 1 0 +5 +1 8 0x3de4 0xa030c1d8 @@ -53366,6 +66708,8 @@ 1 0 0 +0 +1 4 0xb9de 0x26ac6e79 @@ -53374,6 +66718,8 @@ 0 0 0 +5 +5 2 0xc30d 0x3c01e240 @@ -53382,6 +66728,8 @@ 0 0 0 +2 +2 7 0x1138 0xc14832ae @@ -53390,6 +66738,8 @@ 1 1 0 +5 +1 10 0x6aa7 0x5b52223 @@ -53398,6 +66748,8 @@ 1 0 0 +1 +3 7 0xec7c 0x90f960da @@ -53406,6 +66758,8 @@ 1 0 0 +0 +3 2 0x9ae2 0x895d7ad3 @@ -53414,6 +66768,8 @@ 0 0 0 +5 +2 10 0x146a 0x36926ac6 @@ -53422,6 +66778,8 @@ 1 0 0 +4 +5 5 0xa068 0xabfa2326 @@ -53430,6 +66788,8 @@ 1 1 0 +3 +3 1 0x16b7 0x23e133c9 @@ -53438,6 +66798,8 @@ 1 1 0 +1 +2 7 0x64f 0xd9590dad @@ -53446,6 +66808,8 @@ 0 0 0 +4 +5 8 0xb703 0xc875a7c7 @@ -53454,6 +66818,8 @@ 1 0 0 +1 +3 7 0x4448 0x6e3134db @@ -53462,6 +66828,8 @@ 1 1 0 +5 +2 1 0xcac 0x400c4c71 @@ -53470,6 +66838,8 @@ 1 0 0 +3 +0 1 0xed71 0x2e1a7856 @@ -53478,6 +66848,8 @@ 1 0 0 +3 +5 1 0xbb95 0xb7d212cd @@ -53486,6 +66858,8 @@ 0 0 0 +0 +0 5 0x1ff1 0x6aee999f @@ -53494,6 +66868,8 @@ 1 0 0 +4 +0 7 0x85e3 0xa896e256 @@ -53502,6 +66878,8 @@ 0 0 0 +4 +3 2 0x91c0 0xa3c400b0 @@ -53511,6 +66889,8 @@ 1 0 5 +1 +5 0xac04 0x2c3871b3 256 @@ -53518,6 +66898,8 @@ 1 1 0 +5 +4 4 0x8209 0x72ecfdac @@ -53526,6 +66908,8 @@ 1 0 0 +1 +3 4 0x35bd 0x40c4e07b @@ -53534,6 +66918,8 @@ 0 0 0 +0 +1 5 0xe2a 0x2cd56307 @@ -53542,6 +66928,8 @@ 0 0 0 +5 +4 2 0xa26b 0x3d4a5aa6 @@ -53550,6 +66938,8 @@ 0 0 0 +3 +2 6 0xa014 0xe71c89ec @@ -53558,6 +66948,8 @@ 1 0 0 +4 +5 8 0xcf4c 0xfb49d00b @@ -53566,6 +66958,8 @@ 0 0 0 +4 +1 1 0xe0da 0xd8c5ee01 @@ -53574,6 +66968,8 @@ 0 0 0 +4 +1 6 0x9dcd 0x68bf47 @@ -53582,6 +66978,8 @@ 1 1 0 +1 +0 2 0x5eb0 0xeec5415b @@ -53591,6 +66989,8 @@ 1 0 2 +0 +2 0x1df6 0x8da0b8f8 256 @@ -53598,6 +66998,8 @@ 0 0 0 +1 +4 5 0x6375 0x4c12d360 @@ -53606,6 +67008,8 @@ 1 1 0 +3 +1 8 0xd8e3 0x307ab978 @@ -53614,6 +67018,8 @@ 1 1 0 +0 +5 1 0x427e 0x1e663cba @@ -53622,6 +67028,8 @@ 0 0 0 +0 +0 9 0xc30a 0xe3be99c @@ -53630,6 +67038,8 @@ 1 1 0 +1 +4 8 0xe155 0xe6b922b0 @@ -53638,6 +67048,8 @@ 0 0 0 +5 +0 4 0xb4d4 0x26056a71 @@ -53646,6 +67058,8 @@ 0 0 0 +1 +5 2 0xb076 0xab0c773d @@ -53654,6 +67068,8 @@ 0 0 0 +4 +0 3 0x1e1 0x33335f32 @@ -53662,6 +67078,8 @@ 1 1 0 +3 +3 8 0x10b6 0x452c39a4 @@ -53670,6 +67088,8 @@ 0 0 0 +3 +4 10 0x3e09 0x9b165d68 @@ -53678,6 +67098,8 @@ 1 1 0 +1 +4 6 0xe868 0x39afb1f6 @@ -53686,6 +67108,8 @@ 1 0 0 +2 +4 7 0x6c94 0x9e25351d @@ -53694,6 +67118,8 @@ 1 1 0 +2 +1 5 0x57ce 0x8495e185 @@ -53702,6 +67128,8 @@ 0 0 0 +0 +0 8 0x6048 0x8ee4f784 @@ -53710,6 +67138,8 @@ 1 0 0 +1 +5 6 0x8437 0xe4daa824 @@ -53718,6 +67148,8 @@ 0 0 0 +4 +1 7 0x1677 0x5061dbe9 @@ -53726,6 +67158,8 @@ 1 1 0 +4 +2 9 0x339e 0x395206f3 @@ -53734,6 +67168,8 @@ 0 0 0 +3 +1 4 0x2c08 0xa7e646f2 @@ -53742,6 +67178,8 @@ 0 0 0 +1 +0 7 0x732d 0xe7f65bd8 @@ -53750,6 +67188,8 @@ 0 0 0 +5 +3 9 0x5de 0x1f37d8ed @@ -53758,6 +67198,8 @@ 0 0 0 +5 +3 3 0xd192 0x886aa @@ -53766,6 +67208,8 @@ 1 0 0 +2 +0 3 0xc734 0xa282943a @@ -53774,6 +67218,8 @@ 0 0 0 +1 +0 6 0x763f 0x9f7762ac @@ -53782,6 +67228,8 @@ 0 0 0 +1 +0 9 0x400a 0x3b610645 @@ -53790,6 +67238,8 @@ 0 0 0 +1 +0 4 0x13df 0x553a4062 @@ -53798,6 +67248,8 @@ 1 0 0 +0 +0 7 0x1e74 0x2be318e1 @@ -53806,6 +67258,8 @@ 0 0 0 +2 +3 5 0x6ba6 0x154d0336 @@ -53814,6 +67268,8 @@ 0 0 0 +4 +3 10 0xbf5d 0x89add4fb @@ -53822,6 +67278,8 @@ 0 0 0 +4 +4 10 0x2acb 0x98815e49 @@ -53830,6 +67288,8 @@ 0 0 0 +1 +1 9 0x74d5 0x229f1593 @@ -53838,6 +67298,8 @@ 1 1 0 +0 +5 10 0x2426 0xe3198b9f @@ -53846,6 +67308,8 @@ 0 0 0 +4 +4 10 0xb58a 0x8949033c @@ -53854,6 +67318,8 @@ 1 0 0 +2 +2 8 0x3064 0xaa84d3de @@ -53862,6 +67328,8 @@ 0 0 0 +0 +0 7 0x68b2 0x65ab922b @@ -53870,6 +67338,8 @@ 0 0 0 +1 +5 7 0x7551 0x6d7c4774 @@ -53878,6 +67348,8 @@ 0 0 0 +2 +4 8 0x78d5 0xfc4179f6 @@ -53886,6 +67358,8 @@ 0 0 0 +5 +5 7 0x6579 0xd56ab97 @@ -53894,6 +67368,8 @@ 1 1 0 +4 +0 2 0x8ffd 0xc5c9f1f5 @@ -53902,6 +67378,8 @@ 1 0 0 +5 +4 1 0xd08a 0x708e2e09 @@ -53910,6 +67388,8 @@ 0 0 0 +5 +2 4 0xd9b4 0x36adbac3 @@ -53918,6 +67398,8 @@ 0 0 0 +4 +3 3 0x4f41 0xd9e2ee98 @@ -53926,6 +67408,8 @@ 0 0 0 +1 +0 3 0xf74a 0x258e7636 @@ -53934,6 +67418,8 @@ 0 0 0 +5 +5 2 0xcac1 0xdb0885d2 @@ -53942,6 +67428,8 @@ 0 0 0 +5 +1 9 0x9be4 0xb6526868 @@ -53950,6 +67438,8 @@ 1 0 0 +2 +4 9 0x27b2 0x820e2e87 @@ -53958,6 +67448,8 @@ 1 0 0 +1 +0 2 0xae9c 0xd82bd804 @@ -53966,6 +67458,8 @@ 0 0 0 +5 +3 3 0x4afd 0x563d884a @@ -53974,6 +67468,8 @@ 0 0 0 +2 +3 7 0x8c20 0xcd48df13 @@ -53982,6 +67478,8 @@ 1 0 0 +2 +1 9 0x8b03 0x9f6c19fb @@ -53990,6 +67488,8 @@ 0 0 0 +4 +2 8 0xe26a 0x6ff7ca89 @@ -53998,6 +67498,8 @@ 1 0 0 +4 +2 3 0x3b0e 0xda5481e1 @@ -54006,6 +67508,8 @@ 1 0 0 +2 +1 3 0x5f28 0x63cd168 @@ -54014,6 +67518,8 @@ 1 0 0 +5 +1 6 0x7d21 0x5f1ba414 @@ -54022,6 +67528,8 @@ 0 0 0 +1 +1 3 0x21df 0x9c1cd39d @@ -54030,6 +67538,8 @@ 0 0 0 +3 +3 1 0x7665 0x8f466bc1 @@ -54038,6 +67548,8 @@ 1 1 0 +5 +0 10 0xcdd5 0xf65bc42e @@ -54046,6 +67558,8 @@ 1 1 0 +3 +4 5 0x2cd3 0xde875f84 @@ -54055,6 +67569,8 @@ 1 0 4 +5 +4 0xd995 0x4cea9d14 256 @@ -54063,6 +67579,8 @@ 1 0 5 +5 +5 0x4342 0x8fa86a0f 256 @@ -54070,6 +67588,8 @@ 0 0 0 +2 +0 7 0x421b 0xf24c6d9f @@ -54079,6 +67599,8 @@ 0 0 5 +4 +5 0x4fce 0xf957a50f 256 @@ -54086,6 +67608,8 @@ 1 0 0 +4 +4 8 0xfe7a 0xdcd21df8 @@ -54094,6 +67618,8 @@ 1 0 0 +2 +0 5 0xd95a 0xc230f161 @@ -54102,6 +67628,8 @@ 1 1 0 +1 +5 10 0x4fc5 0x65a3156b @@ -54110,6 +67638,8 @@ 0 0 0 +5 +0 7 0x9e1c 0x71da59ec @@ -54118,6 +67648,8 @@ 0 0 0 +2 +2 4 0xc0f7 0xb070035b @@ -54127,6 +67659,8 @@ 0 0 3 +4 +3 0x3e80 0x7c9bbcb0 256 @@ -54134,6 +67668,8 @@ 1 1 0 +0 +2 3 0x32 0x21227737 @@ -54143,6 +67679,8 @@ 0 0 4 +2 +4 0x889b 0x148242c4 256 @@ -54150,6 +67688,8 @@ 1 1 0 +3 +1 2 0xee74 0x6c7f12b1 @@ -54158,6 +67698,8 @@ 1 1 0 +0 +2 7 0x44ad 0xdc241578 @@ -54166,6 +67708,8 @@ 0 0 0 +2 +5 7 0x693b 0xc6a5d94c @@ -54174,6 +67718,8 @@ 1 0 0 +5 +5 4 0x2a94 0x5d39dffd @@ -54182,6 +67728,8 @@ 0 0 0 +2 +2 7 0x26be 0x28b834bc @@ -54190,6 +67738,8 @@ 0 0 0 +0 +1 8 0xb9a6 0x259c830b @@ -54198,6 +67748,8 @@ 0 0 0 +1 +5 10 0xed11 0x5aeb7a0a @@ -54206,6 +67758,8 @@ 1 0 0 +2 +3 1 0x5b27 0x1f2b4b5f @@ -54214,6 +67768,8 @@ 0 0 0 +5 +0 1 0xe8d3 0x43e044f8 @@ -54222,6 +67778,8 @@ 1 0 0 +2 +3 1 0x4099 0x90bcc455 @@ -54230,6 +67788,8 @@ 0 0 0 +0 +5 4 0xe187 0xd1dbcab @@ -54238,6 +67798,8 @@ 0 0 0 +3 +5 2 0x7eb9 0x29e5de7d @@ -54246,6 +67808,8 @@ 0 0 0 +2 +2 1 0xebe9 0xd46760e4 @@ -54254,6 +67818,8 @@ 0 0 0 +4 +1 1 0xc96b 0xd3d75a49 @@ -54262,6 +67828,8 @@ 1 0 0 +3 +3 5 0x931c 0xbb3f1265 @@ -54270,6 +67838,8 @@ 0 0 0 +0 +2 8 0xcd70 0x74ee374c @@ -54278,6 +67848,8 @@ 0 0 0 +3 +3 2 0xa57e 0x819cb1b1 @@ -54286,6 +67858,8 @@ 1 1 0 +2 +2 9 0x89c2 0x72340ef2 @@ -54294,6 +67868,8 @@ 1 1 0 +0 +1 10 0x2913 0xb715074f @@ -54302,6 +67878,8 @@ 0 0 0 +5 +4 9 0x6421 0xf4c4e16f @@ -54310,6 +67888,8 @@ 0 0 0 +4 +5 6 0x3bd8 0x1ba122da @@ -54318,6 +67898,8 @@ 0 0 0 +2 +2 6 0x4ace 0xfbd0bd1a @@ -54326,6 +67908,8 @@ 1 0 0 +4 +4 7 0xd296 0x1c44d51f @@ -54334,6 +67918,8 @@ 0 0 0 +4 +2 10 0xc6f7 0xd0d7ffe0 @@ -54342,6 +67928,8 @@ 1 0 0 +1 +2 9 0x4937 0xaa66ca46 @@ -54350,6 +67938,8 @@ 0 0 0 +5 +1 9 0x1e30 0xf03b725 @@ -54358,6 +67948,8 @@ 1 1 0 +2 +5 1 0x2cc8 0xcc0d7293 @@ -54366,6 +67958,8 @@ 1 1 0 +3 +3 6 0x3fd0 0x402ac462 @@ -54374,6 +67968,8 @@ 1 0 0 +1 +3 7 0x5a3b 0xc49d9051 @@ -54382,6 +67978,8 @@ 1 0 0 +3 +1 6 0x3b93 0x315b081d @@ -54390,6 +67988,8 @@ 0 0 0 +4 +5 10 0x188a 0xbbb8dd1d @@ -54398,6 +67998,8 @@ 0 0 0 +5 +0 10 0x36c5 0x2a098959 @@ -54406,6 +68008,8 @@ 1 0 0 +4 +4 3 0xd3bb 0xa9675c6f @@ -54414,6 +68018,8 @@ 1 1 0 +2 +0 6 0x799b 0x1c414f @@ -54422,6 +68028,8 @@ 1 1 0 +4 +5 9 0xb4f3 0xc6007af5 @@ -54430,6 +68038,8 @@ 1 0 0 +3 +5 9 0x3e34 0xa0e64c86 @@ -54439,6 +68049,8 @@ 0 0 4 +5 +4 0x6323 0xd040c668 256 @@ -54446,6 +68058,8 @@ 1 0 0 +4 +1 2 0x7817 0x329b8427 @@ -54454,6 +68068,8 @@ 0 0 0 +0 +4 2 0xecfb 0x6bce4e0c @@ -54462,6 +68078,8 @@ 1 1 0 +5 +2 7 0x2b39 0xede6651c @@ -54470,6 +68088,8 @@ 1 1 0 +1 +0 4 0x6536 0x48ae032f @@ -54478,6 +68098,8 @@ 0 0 0 +4 +4 8 0xc02d 0xa09a8910 @@ -54486,6 +68108,8 @@ 1 1 0 +2 +0 4 0x859d 0x8c20c034 @@ -54494,6 +68118,8 @@ 1 0 0 +3 +5 10 0x33f7 0x5befddda @@ -54502,6 +68128,8 @@ 1 0 0 +4 +0 5 0xc49a 0xaae683a4 @@ -54510,6 +68138,8 @@ 0 0 0 +1 +3 8 0xf7b5 0xcf2f63d1 @@ -54519,6 +68149,8 @@ 1 0 5 +0 +5 0x757a 0xdf36b5fb 256 @@ -54526,6 +68158,8 @@ 0 0 0 +4 +3 5 0xde4f 0xe6d3e8ac @@ -54534,6 +68168,8 @@ 0 0 0 +4 +5 1 0xf6db 0x1889c5e7 @@ -54542,6 +68178,8 @@ 0 0 0 +0 +0 9 0x7203 0x1b7a224b @@ -54550,6 +68188,8 @@ 0 0 0 +4 +0 10 0x7f3 0x2dcacb79 @@ -54558,6 +68198,8 @@ 0 0 0 +4 +5 3 0xdfe6 0x62f5e44b @@ -54566,6 +68208,8 @@ 1 1 0 +1 +4 10 0xccd2 0x1292b313 @@ -54574,6 +68218,8 @@ 0 0 0 +0 +1 2 0xef6a 0x6c89f3ad @@ -54582,6 +68228,8 @@ 0 0 0 +5 +1 10 0x7f82 0x5905961c @@ -54590,6 +68238,8 @@ 1 1 0 +1 +2 3 0x2899 0x12c44015 @@ -54598,6 +68248,8 @@ 0 0 0 +5 +2 10 0xf006 0x2401a451 @@ -54606,6 +68258,8 @@ 1 0 0 +0 +0 10 0xc022 0x9dcd376f @@ -54614,6 +68268,8 @@ 0 0 0 +2 +3 9 0xbbd5 0x1aa487ea @@ -54622,6 +68278,8 @@ 1 0 0 +0 +0 1 0x38fa 0xb153d6d @@ -54630,6 +68288,8 @@ 0 0 0 +5 +3 8 0xc317 0xb84be56f @@ -54638,6 +68298,8 @@ 0 0 0 +4 +5 5 0x58bf 0x34577d06 @@ -54646,6 +68308,8 @@ 0 0 0 +5 +1 4 0x383c 0xfcaa5230 @@ -54654,6 +68318,8 @@ 1 1 0 +4 +2 7 0xb9e3 0x41c1b886 @@ -54662,6 +68328,8 @@ 1 1 0 +3 +1 2 0xaec1 0x195bc51f @@ -54670,6 +68338,8 @@ 1 0 0 +3 +3 2 0x7645 0x4a6c39c1 @@ -54678,6 +68348,8 @@ 1 1 0 +1 +5 6 0xe7a2 0x47af6372 @@ -54687,6 +68359,8 @@ 0 0 3 +1 +3 0x68dd 0x539d0711 256 @@ -54694,6 +68368,8 @@ 0 0 0 +5 +4 10 0xa6a3 0x5cfe1ff1 @@ -54702,6 +68378,8 @@ 0 0 0 +0 +1 6 0x2a1 0x291326f3 @@ -54710,6 +68388,8 @@ 1 0 0 +5 +5 7 0x7b40 0xd4fd10f7 @@ -54718,6 +68398,8 @@ 0 0 0 +0 +2 5 0xca86 0x5e82131e @@ -54727,6 +68409,8 @@ 0 0 3 +4 +3 0xb0a4 0x821b0b31 256 @@ -54734,6 +68418,8 @@ 1 1 0 +0 +2 5 0x2ae 0x9ab0299a @@ -54742,6 +68428,8 @@ 0 0 0 +4 +4 8 0x50a6 0x398550cd @@ -54750,6 +68438,8 @@ 0 0 0 +0 +0 9 0x399 0x68543731 @@ -54758,6 +68448,8 @@ 1 1 0 +3 +5 1 0x1a4b 0x9fed596b @@ -54766,6 +68458,8 @@ 1 0 0 +1 +1 10 0x33d0 0xe6b5c9d8 @@ -54775,6 +68469,8 @@ 0 0 5 +5 +5 0x32e 0x52adffa7 256 @@ -54782,6 +68478,8 @@ 0 0 0 +2 +4 5 0x974a 0x474a5d55 @@ -54790,6 +68488,8 @@ 0 0 0 +2 +0 10 0x4ac9 0xc4f070a @@ -54798,6 +68498,8 @@ 1 0 0 +1 +3 9 0x408e 0x3138cb27 @@ -54806,6 +68508,8 @@ 0 0 0 +2 +0 7 0x486a 0x95149b6d @@ -54814,6 +68518,8 @@ 0 0 0 +0 +0 1 0xf6ff 0x1778982d @@ -54822,6 +68528,8 @@ 0 0 0 +4 +4 9 0xf49c 0x4b1b7f76 @@ -54830,6 +68538,8 @@ 0 0 0 +2 +0 7 0x4477 0x2782165e @@ -54838,6 +68548,8 @@ 1 0 0 +2 +0 4 0x1657 0x5ccebcae @@ -54846,6 +68558,8 @@ 0 0 0 +4 +3 9 0xc53d 0xb01a57ca @@ -54854,6 +68568,8 @@ 1 1 0 +1 +3 9 0xf3cc 0xb5ba0ed2 @@ -54862,6 +68578,8 @@ 1 0 0 +1 +2 2 0x429f 0xd87773bd @@ -54870,6 +68588,8 @@ 1 1 0 +0 +1 2 0x2b4b 0x9e284b52 @@ -54878,6 +68598,8 @@ 1 0 0 +4 +2 1 0x23fc 0x417e6275 @@ -54886,6 +68608,8 @@ 1 1 0 +0 +3 1 0x5e58 0x748bf86a @@ -54894,6 +68618,8 @@ 1 1 0 +3 +4 9 0x632f 0xaea74310 @@ -54902,6 +68628,8 @@ 1 1 0 +3 +0 6 0x8e4 0x64c3cdbf @@ -54910,6 +68638,8 @@ 1 0 0 +0 +4 5 0xa328 0xf06d76a6 @@ -54918,6 +68648,8 @@ 1 1 0 +5 +4 2 0xc1ba 0x6998d126 @@ -54926,6 +68658,8 @@ 0 0 0 +3 +5 10 0x30ae 0xcd7ccc35 @@ -54934,6 +68668,8 @@ 0 0 0 +2 +4 7 0x121c 0x12798ff5 @@ -54943,6 +68679,8 @@ 0 0 3 +0 +3 0xc040 0x3075f7fd 256 @@ -54950,6 +68688,8 @@ 1 1 0 +3 +4 5 0x69ac 0x14bed4b7 @@ -54958,6 +68698,8 @@ 0 0 0 +4 +5 10 0xcb9b 0xc53258ba @@ -54966,6 +68708,8 @@ 0 0 0 +5 +3 1 0xc9b0 0x1d88a03e @@ -54974,6 +68718,8 @@ 1 1 0 +4 +2 2 0xeb03 0x26498876 @@ -54982,6 +68728,8 @@ 0 0 0 +3 +4 8 0xc134 0x12c5ed8d @@ -54990,6 +68738,8 @@ 0 0 0 +2 +0 8 0x38ab 0xe1a25099 @@ -54998,6 +68748,8 @@ 0 0 0 +2 +4 8 0xde1c 0xf21234db @@ -55006,6 +68758,8 @@ 0 0 0 +1 +5 10 0xc26f 0xc6e9a584 @@ -55014,6 +68768,8 @@ 1 0 0 +1 +0 7 0x2a9e 0x60ae7250 @@ -55022,6 +68778,8 @@ 1 1 0 +1 +0 8 0xa440 0xddf70352 @@ -55030,6 +68788,8 @@ 0 0 0 +0 +2 8 0x236b 0xad7456f0 @@ -55038,6 +68798,8 @@ 1 1 0 +1 +2 6 0x573 0x7e9e593f @@ -55046,6 +68808,8 @@ 1 1 0 +0 +1 8 0xdc98 0x5ccc16dd @@ -55054,6 +68818,8 @@ 1 0 0 +2 +4 10 0x2db7 0xd6552c2e @@ -55062,6 +68828,8 @@ 0 0 0 +1 +0 5 0x98f9 0x67343f2b @@ -55070,6 +68838,8 @@ 1 0 0 +3 +3 2 0x9098 0x11a13a60 @@ -55079,6 +68849,8 @@ 0 0 1 +3 +1 0xe247 0xb66f3c95 256 @@ -55086,6 +68858,8 @@ 1 1 0 +2 +2 1 0x4257 0x8df2796d @@ -55094,6 +68868,8 @@ 0 0 0 +3 +1 6 0x5be7 0x68b65f72 @@ -55102,6 +68878,8 @@ 0 0 0 +1 +4 7 0x957b 0x183a5c80 @@ -55110,6 +68888,8 @@ 0 0 0 +3 +1 7 0xa3fe 0xa8c4a126 @@ -55118,6 +68898,8 @@ 1 1 0 +0 +5 2 0x32bc 0xc61ca3d2 @@ -55126,6 +68908,8 @@ 0 0 0 +0 +2 8 0x28ed 0x97348e7e @@ -55134,6 +68918,8 @@ 0 0 0 +5 +0 1 0xf405 0xa583fce0 @@ -55142,6 +68928,8 @@ 1 0 0 +4 +2 9 0x2c17 0x815a726a @@ -55150,6 +68938,8 @@ 1 1 0 +4 +3 9 0xb63c 0xf110b381 @@ -55158,6 +68948,8 @@ 1 0 0 +0 +3 4 0xd8cf 0x38fee97b @@ -55166,6 +68958,8 @@ 1 0 0 +2 +3 9 0xb2f8 0xd83bccc6 @@ -55174,6 +68968,8 @@ 0 0 0 +0 +4 2 0xc749 0x759b5f63 @@ -55182,6 +68978,8 @@ 1 0 0 +3 +4 9 0x88e6 0x6de9660e @@ -55190,6 +68988,8 @@ 0 0 0 +1 +2 5 0x3758 0x5a898544 @@ -55198,6 +68998,8 @@ 0 0 0 +1 +1 8 0xb778 0x6c3ea234 @@ -55206,6 +69008,8 @@ 0 0 0 +2 +1 8 0x4d50 0xae5c867d @@ -55214,6 +69018,8 @@ 1 0 0 +5 +0 3 0xf8c3 0x7464fc61 @@ -55222,6 +69028,8 @@ 0 0 0 +2 +0 6 0x5fdb 0xfda9df90 @@ -55230,6 +69038,8 @@ 1 0 0 +5 +1 8 0x97f3 0x19dcb203 @@ -55238,6 +69048,8 @@ 0 0 0 +1 +5 9 0xf787 0x287928a2 @@ -55246,6 +69058,8 @@ 1 1 0 +1 +3 7 0x253c 0x266bd8ea @@ -55254,6 +69068,8 @@ 1 1 0 +3 +5 1 0x9bf9 0x5eb9b063 @@ -55262,6 +69078,8 @@ 0 0 0 +3 +0 6 0xac1c 0x93540a32 @@ -55270,6 +69088,8 @@ 1 0 0 +0 +3 8 0x8037 0x1d031db2 @@ -55278,6 +69098,8 @@ 1 0 0 +3 +2 6 0x9238 0x9e27523e @@ -55286,6 +69108,8 @@ 0 0 0 +0 +3 5 0xe823 0x45c6705e @@ -55295,6 +69119,8 @@ 0 0 2 +5 +2 0x9cf3 0x6e1a3b42 256 @@ -55302,6 +69128,8 @@ 0 0 0 +1 +3 4 0xdca5 0xe907e340 @@ -55310,6 +69138,8 @@ 0 0 0 +4 +4 10 0xd542 0xabe11d61 @@ -55318,6 +69148,8 @@ 1 0 0 +3 +3 2 0x4549 0x172cd4fe @@ -55326,6 +69158,8 @@ 0 0 0 +2 +3 9 0x2d30 0xf0327cdf @@ -55335,6 +69169,8 @@ 0 0 1 +0 +1 0xb373 0xa25b7041 256 @@ -55342,6 +69178,8 @@ 1 0 0 +4 +0 2 0x7863 0x7b256b52 @@ -55350,6 +69188,8 @@ 0 0 0 +1 +5 6 0x2e2a 0x5464ba20 @@ -55358,6 +69198,8 @@ 1 0 0 +2 +4 9 0x9551 0x6d329df9 @@ -55366,6 +69208,8 @@ 0 0 0 +1 +0 4 0x22c5 0x3d0eb681 @@ -55374,6 +69218,8 @@ 1 1 0 +3 +0 7 0xf221 0xee2dece2 @@ -55383,6 +69229,8 @@ 0 0 3 +1 +3 0xb4ba 0xea4c5101 256 @@ -55390,6 +69238,8 @@ 0 0 0 +1 +0 8 0xd283 0x4aa79b5f @@ -55398,6 +69248,8 @@ 1 1 0 +1 +3 5 0x2661 0x5c9239bb @@ -55407,6 +69259,8 @@ 1 0 4 +4 +4 0xd3b5 0x6478f32e 256 @@ -55414,6 +69268,8 @@ 1 0 0 +1 +4 7 0x443e 0x775665e @@ -55422,6 +69278,8 @@ 0 0 0 +2 +4 10 0x734f 0x94d8036e @@ -55430,6 +69288,8 @@ 1 1 0 +1 +5 5 0xe494 0x20049a70 @@ -55439,6 +69299,8 @@ 0 0 4 +4 +4 0x45e7 0x3c2ceb8c 256 @@ -55446,6 +69308,8 @@ 1 0 0 +5 +5 6 0xb07d 0xa7fc11e7 @@ -55454,6 +69318,8 @@ 1 1 0 +4 +4 7 0x4d6 0x1471625 @@ -55462,6 +69328,8 @@ 1 1 0 +5 +4 2 0xb71a 0x3ec8662c @@ -55470,6 +69338,8 @@ 1 1 0 +0 +5 1 0x5f1a 0x609cc47 @@ -55478,6 +69348,8 @@ 0 0 0 +1 +1 2 0x4698 0x349823ab @@ -55486,6 +69358,8 @@ 1 0 0 +2 +2 9 0x984d 0xbd217519 @@ -55494,6 +69368,8 @@ 1 0 0 +0 +4 3 0xa71b 0x6a4836d1 @@ -55503,6 +69379,8 @@ 0 0 2 +5 +2 0xb5f 0xcb8755b5 256 @@ -55510,6 +69388,8 @@ 0 0 0 +5 +5 8 0xc261 0xb8d1fcc4 @@ -55518,6 +69398,8 @@ 0 0 0 +3 +1 9 0x6b04 0x23c30d9d @@ -55526,6 +69408,8 @@ 0 0 0 +4 +0 2 0x97e2 0x1047f33f @@ -55534,6 +69418,8 @@ 0 0 0 +3 +0 1 0x89c0 0x64a2a86b @@ -55542,6 +69428,8 @@ 1 0 0 +1 +0 5 0xd070 0xec716c0f @@ -55550,6 +69438,8 @@ 0 0 0 +5 +0 9 0x9526 0x8c35504a @@ -55558,6 +69448,8 @@ 0 0 0 +5 +0 3 0xa0d9 0x524a11b2 @@ -55566,6 +69458,8 @@ 0 0 0 +4 +2 10 0x5ba7 0x57b50685 @@ -55575,6 +69469,8 @@ 0 0 2 +2 +2 0x4493 0x22ca61b 256 @@ -55582,6 +69478,8 @@ 1 1 0 +3 +4 8 0x509a 0xae9e0124 @@ -55590,6 +69488,8 @@ 1 1 0 +5 +4 7 0xeafc 0x47737142 @@ -55598,6 +69498,8 @@ 0 0 0 +0 +2 2 0x1c36 0x460c3248 @@ -55606,6 +69508,8 @@ 0 0 0 +3 +3 7 0x836c 0xd565b8a4 @@ -55614,6 +69518,8 @@ 0 0 0 +3 +2 8 0x2474 0x449008a7 @@ -55622,6 +69528,8 @@ 0 0 0 +0 +0 4 0x9abc 0x5e895717 @@ -55630,6 +69538,8 @@ 0 0 0 +4 +3 2 0x3ab3 0xac4bc2d2 @@ -55638,6 +69548,8 @@ 1 0 0 +0 +0 2 0x956f 0xf5067c8b @@ -55646,6 +69558,8 @@ 0 0 0 +4 +5 2 0x45cd 0x82e19a80 @@ -55654,6 +69568,8 @@ 0 0 0 +3 +3 5 0x6726 0x7a0e1693 @@ -55662,6 +69578,8 @@ 0 0 0 +1 +5 5 0x1e02 0xad4ebe7d @@ -55670,6 +69588,8 @@ 0 0 0 +1 +2 6 0x1805 0x5c4e0789 @@ -55678,6 +69598,8 @@ 1 0 0 +1 +5 6 0xf476 0xda43616d @@ -55687,6 +69609,8 @@ 0 0 3 +0 +3 0xc2d4 0x1be3bb44 256 @@ -55694,6 +69618,8 @@ 1 0 0 +2 +1 8 0x40d2 0x2bcf762c @@ -55702,6 +69628,8 @@ 0 0 0 +5 +1 1 0x89bc 0xe6f9925b @@ -55710,6 +69638,8 @@ 1 1 0 +3 +3 1 0x6812 0x98ffc7aa @@ -55718,6 +69648,8 @@ 0 0 0 +2 +2 5 0x423f 0xc3b47335 @@ -55726,6 +69658,8 @@ 0 0 0 +3 +1 6 0xb6ad 0x8c441c16 @@ -55734,6 +69668,8 @@ 0 0 0 +0 +4 10 0x34fc 0x626aa4b0 @@ -55742,6 +69678,8 @@ 0 0 0 +5 +0 10 0xa28a 0xcb76339a @@ -55750,6 +69688,8 @@ 0 0 0 +5 +4 9 0xfb80 0x69bf4337 @@ -55758,6 +69698,8 @@ 1 1 0 +1 +5 5 0xdc10 0x3eed3ad5 @@ -55766,6 +69708,8 @@ 1 1 0 +2 +2 4 0x25c4 0x5f8c4a00 @@ -55774,6 +69718,8 @@ 0 0 0 +1 +1 3 0xfa14 0x6c668c41 @@ -55782,6 +69728,8 @@ 1 1 0 +5 +2 7 0xa02c 0xba75645f @@ -55790,6 +69738,8 @@ 0 0 0 +0 +5 2 0x88ff 0x80ba9440 @@ -55798,6 +69748,8 @@ 0 0 0 +0 +4 1 0xa535 0x8c427035 @@ -55806,6 +69758,8 @@ 0 0 0 +4 +3 1 0x6833 0x76ad30bf @@ -55814,6 +69768,8 @@ 0 0 0 +4 +3 10 0xdcf7 0x1c6c425c @@ -55822,6 +69778,8 @@ 1 1 0 +1 +5 8 0x6020 0xbec7a513 @@ -55830,6 +69788,8 @@ 1 1 0 +1 +0 3 0x6e5a 0x866c58dd @@ -55838,6 +69798,8 @@ 1 0 0 +4 +0 9 0x9cea 0x9391e129 @@ -55846,6 +69808,8 @@ 0 0 0 +5 +4 6 0xb3a8 0x53437ab @@ -55854,6 +69818,8 @@ 0 0 0 +0 +2 3 0xb2de 0x728494ce @@ -55862,6 +69828,8 @@ 1 0 0 +0 +4 3 0x9688 0x4494110c @@ -55871,6 +69839,8 @@ 0 0 2 +4 +2 0x8c11 0x1c576849 256 @@ -55878,6 +69848,8 @@ 1 0 0 +4 +5 8 0x3ac5 0xce50f2ae @@ -55886,6 +69858,8 @@ 0 0 0 +5 +5 2 0x19e9 0x621a37db @@ -55894,6 +69868,8 @@ 1 0 0 +3 +2 10 0x4407 0x5944eb10 @@ -55902,6 +69878,8 @@ 1 1 0 +3 +3 10 0x5e23 0xe25cb461 @@ -55910,6 +69888,8 @@ 1 1 0 +4 +1 8 0x7a4d 0xc424185a @@ -55919,6 +69899,8 @@ 0 0 2 +4 +2 0xb929 0xc93e0408 256 @@ -55926,6 +69908,8 @@ 0 0 0 +2 +1 3 0x314e 0xb0daafea @@ -55934,6 +69918,8 @@ 0 0 0 +5 +2 4 0x61e6 0xb902fa6 @@ -55942,6 +69928,8 @@ 0 0 0 +0 +3 8 0xa97d 0xbbbca2d3 @@ -55950,6 +69938,8 @@ 0 0 0 +5 +5 10 0x2288 0xc73c03 @@ -55958,6 +69948,8 @@ 0 0 0 +3 +1 6 0x3cab 0xb3f02a9a @@ -55966,6 +69958,8 @@ 0 0 0 +0 +1 8 0xb5a5 0xa60299df @@ -55974,6 +69968,8 @@ 0 0 0 +4 +0 3 0x6fa9 0x8ac5b0c1 @@ -55982,6 +69978,8 @@ 1 1 0 +2 +2 4 0x9318 0x6574f8cb @@ -55990,6 +69988,8 @@ 0 0 0 +0 +5 1 0x12f1 0x18b7fde3 @@ -55998,6 +69998,8 @@ 1 0 0 +4 +1 5 0xea96 0x64d69957 @@ -56007,6 +70009,8 @@ 0 0 5 +1 +5 0xfb6 0x3c3581d0 256 @@ -56014,6 +70018,8 @@ 0 0 0 +3 +5 9 0x60c1 0xcbbc8ede @@ -56022,6 +70028,8 @@ 1 0 0 +5 +4 10 0x7fd9 0xe7cb2cfc @@ -56030,6 +70038,8 @@ 0 0 0 +3 +4 2 0x690f 0xd79c0ed @@ -56038,6 +70048,8 @@ 0 0 0 +5 +4 3 0x447c 0xef653994 @@ -56046,6 +70058,8 @@ 1 0 0 +4 +1 6 0x4c07 0xf32c11e4 @@ -56054,6 +70068,8 @@ 1 0 0 +3 +5 6 0xd075 0xe5b720a0 @@ -56062,6 +70078,8 @@ 1 1 0 +3 +3 1 0xb937 0xf3f10688 @@ -56070,6 +70088,8 @@ 1 1 0 +3 +0 7 0x2ebe 0x4ec1620e @@ -56078,6 +70098,8 @@ 1 0 0 +5 +4 4 0x4fbd 0xb737b24b @@ -56086,6 +70108,8 @@ 1 1 0 +4 +2 5 0x29cd 0xc1c3be96 @@ -56094,6 +70118,8 @@ 1 1 0 +4 +5 1 0xe6b0 0xfcf473b0 @@ -56102,6 +70128,8 @@ 1 1 0 +5 +2 3 0x858 0xa31e8033 @@ -56110,6 +70138,8 @@ 1 1 0 +4 +0 10 0x3cfd 0x1ca53f5e @@ -56118,6 +70148,8 @@ 1 0 0 +4 +0 9 0xfba8 0xaf79adf5 @@ -56126,6 +70158,8 @@ 1 1 0 +5 +4 3 0x3da5 0xb4eb8732 @@ -56134,6 +70168,8 @@ 0 0 0 +3 +5 2 0x9346 0xd42959fc @@ -56142,6 +70178,8 @@ 0 0 0 +1 +1 7 0xf2a0 0xc3e811de @@ -56151,6 +70189,8 @@ 0 0 3 +2 +3 0x2a18 0x8382a480 256 @@ -56158,6 +70198,8 @@ 0 0 0 +5 +1 7 0x2a2f 0x1407614d @@ -56166,6 +70208,8 @@ 0 0 0 +1 +0 8 0x6192 0xc898b8ae @@ -56174,6 +70218,8 @@ 1 1 0 +0 +1 7 0x739 0xaa09139e @@ -56182,6 +70228,8 @@ 0 0 0 +0 +3 9 0xf8c4 0x43f2ac50 @@ -56190,6 +70238,8 @@ 1 1 0 +4 +0 8 0xf245 0x54c92fb4 @@ -56198,6 +70248,8 @@ 1 0 0 +2 +5 6 0xf466 0x9c468711 @@ -56206,6 +70258,8 @@ 0 0 0 +4 +2 2 0x5aba 0x53948e00 @@ -56214,6 +70268,8 @@ 0 0 0 +3 +0 8 0xdd07 0xa41c9ffe @@ -56222,6 +70278,8 @@ 1 1 0 +5 +1 8 0xf297 0x83cd78fc @@ -56231,6 +70289,8 @@ 0 0 1 +0 +1 0xe37c 0xe4fe8516 256 @@ -56238,6 +70298,8 @@ 0 0 0 +0 +0 6 0x901 0xf93c4f3d @@ -56246,6 +70308,8 @@ 0 0 0 +0 +1 5 0x9106 0x68d19998 @@ -56254,6 +70318,8 @@ 0 0 0 +1 +4 6 0x89bd 0xc61578ef @@ -56262,6 +70328,8 @@ 1 1 0 +5 +0 4 0xb20f 0xad48ca11 @@ -56270,6 +70338,8 @@ 1 0 0 +3 +2 9 0xbd63 0x698473e1 @@ -56278,6 +70348,8 @@ 0 0 0 +3 +5 6 0xc9e2 0xd14be7b6 @@ -56286,6 +70358,8 @@ 1 0 0 +4 +2 2 0x3f60 0x292aaf68 @@ -56294,6 +70368,8 @@ 0 0 0 +0 +1 3 0xfaa7 0xead82f6b @@ -56302,6 +70378,8 @@ 0 0 0 +5 +0 6 0xcd1d 0x302396db @@ -56310,6 +70388,8 @@ 0 0 0 +4 +3 6 0xb83d 0xec025722 @@ -56319,6 +70399,8 @@ 1 0 3 +4 +3 0x3a19 0x7daff0bf 256 @@ -56326,6 +70408,8 @@ 0 0 0 +1 +5 9 0x34bf 0xe6269806 @@ -56334,6 +70418,8 @@ 1 0 0 +4 +3 6 0xd5d4 0x616cc2f8 @@ -56342,6 +70428,8 @@ 1 0 0 +5 +3 1 0xc191 0x93dfe913 @@ -56350,6 +70438,8 @@ 1 0 0 +2 +0 4 0xe25f 0xab433bf7 @@ -56358,6 +70448,8 @@ 0 0 0 +5 +5 7 0xb3f9 0x9cfaeb3a @@ -56366,6 +70458,8 @@ 0 0 0 +1 +1 9 0x721c 0xef0cbdf3 @@ -56374,6 +70468,8 @@ 0 0 0 +3 +4 9 0xc70f 0xb8735a2c @@ -56382,6 +70478,8 @@ 0 0 0 +1 +0 4 0x3295 0x3e00a453 @@ -56390,6 +70488,8 @@ 1 0 0 +1 +0 5 0x31a3 0x3c5ec910 @@ -56398,6 +70498,8 @@ 1 1 0 +5 +0 9 0x6a38 0x873a16c6 @@ -56406,6 +70508,8 @@ 1 1 0 +1 +0 7 0xb042 0xd55ff871 @@ -56414,6 +70518,8 @@ 0 0 0 +2 +1 1 0xca23 0xe23f6e5e @@ -56422,6 +70528,8 @@ 0 0 0 +4 +2 5 0x7251 0x70371c7d @@ -56430,6 +70538,8 @@ 0 0 0 +4 +4 3 0xe6e5 0x66a91e3e @@ -56438,6 +70548,8 @@ 1 1 0 +0 +4 3 0x276c 0xe9e680db @@ -56446,6 +70558,8 @@ 0 0 0 +1 +1 9 0xcbad 0xb9b66218 @@ -56454,6 +70568,8 @@ 0 0 0 +0 +0 1 0xc9dc 0xc0dd796d @@ -56462,6 +70578,8 @@ 0 0 0 +3 +3 9 0xd7a4 0xab6e6d50 @@ -56470,6 +70588,8 @@ 1 0 0 +3 +3 10 0x8967 0x9a7f15b4 @@ -56479,6 +70599,8 @@ 1 0 2 +5 +2 0x5a20 0xbc38a722 256 @@ -56487,6 +70609,8 @@ 0 0 2 +3 +2 0x58ef 0xf18208a4 256 @@ -56494,6 +70618,8 @@ 1 1 0 +0 +2 9 0xc7f9 0x537e86f0 @@ -56502,6 +70628,8 @@ 1 1 0 +0 +5 7 0xcdb7 0x6e40fddc @@ -56510,6 +70638,8 @@ 1 1 0 +4 +4 3 0x27ce 0x12e130b5 @@ -56518,6 +70648,8 @@ 1 0 0 +2 +1 8 0xab45 0xd4321046 @@ -56526,6 +70658,8 @@ 0 0 0 +2 +2 1 0x7039 0xa6aedca9 @@ -56534,6 +70668,8 @@ 0 0 0 +1 +4 10 0xa13f 0x32c7ad8a @@ -56542,6 +70678,8 @@ 1 1 0 +1 +2 9 0x3db3 0xa5a03dc0 @@ -56550,6 +70688,8 @@ 0 0 0 +0 +0 5 0xba29 0xf2a7d00 @@ -56559,6 +70699,8 @@ 1 0 2 +4 +2 0xebc0 0xe253cf25 256 @@ -56566,6 +70708,8 @@ 1 1 0 +1 +3 4 0xf317 0x4f5765e0 @@ -56574,6 +70718,8 @@ 0 0 0 +1 +2 9 0x3620 0xb4bf8e5e @@ -56582,6 +70728,8 @@ 1 1 0 +3 +0 10 0x771b 0x51215b9b @@ -56590,6 +70738,8 @@ 1 0 0 +4 +2 1 0x6ccd 0x16d15795 @@ -56598,6 +70748,8 @@ 1 1 0 +1 +4 5 0xa057 0x12fe42bf @@ -56606,6 +70758,8 @@ 1 1 0 +5 +0 6 0xe226 0x864bf266 @@ -56614,6 +70768,8 @@ 0 0 0 +0 +0 3 0xa603 0xd597e86b @@ -56623,6 +70779,8 @@ 0 0 1 +1 +1 0xc9d8 0xee04a0ce 256 @@ -56630,6 +70788,8 @@ 1 0 0 +1 +1 8 0xf3bf 0x17741523 @@ -56638,6 +70798,8 @@ 0 0 0 +1 +3 4 0x6813 0x5deda214 @@ -56646,6 +70808,8 @@ 1 1 0 +0 +4 3 0xf223 0xe9387f78 @@ -56654,6 +70818,8 @@ 0 0 0 +2 +2 6 0x9bbd 0x256d60c8 @@ -56662,6 +70828,8 @@ 1 1 0 +4 +4 2 0xe350 0x5991be08 @@ -56670,6 +70838,8 @@ 1 1 0 +3 +2 1 0x93d4 0x9a85d9e7 @@ -56678,6 +70848,8 @@ 0 0 0 +0 +5 2 0xd1d9 0xbe930967 @@ -56686,6 +70858,8 @@ 0 0 0 +4 +4 3 0xb1ee 0x50f1d4da @@ -56694,6 +70868,8 @@ 0 0 0 +0 +4 2 0x7e1d 0x47ef21c5 @@ -56702,6 +70878,8 @@ 0 0 0 +4 +5 2 0x9f44 0xd5701ca1 @@ -56710,6 +70888,8 @@ 1 0 0 +2 +0 9 0x7500 0x7fd89eb2 @@ -56718,6 +70898,8 @@ 1 1 0 +3 +3 9 0x39d 0xc1888744 @@ -56726,6 +70908,8 @@ 0 0 0 +3 +0 4 0x9778 0xc63773c7 @@ -56734,6 +70918,8 @@ 1 0 0 +5 +1 7 0x40ef 0xfb876585 @@ -56742,6 +70928,8 @@ 1 0 0 +5 +2 9 0x136f 0x9ffcf18e @@ -56750,6 +70938,8 @@ 1 0 0 +4 +2 6 0xa59b 0x39cedf6d @@ -56758,6 +70948,8 @@ 1 0 0 +0 +5 3 0xb644 0xff935c7e @@ -56766,6 +70958,8 @@ 0 0 0 +4 +0 9 0x6c82 0x2f82e8f4 @@ -56774,6 +70968,8 @@ 0 0 0 +2 +0 9 0xf0b1 0x4d2971bc @@ -56782,6 +70978,8 @@ 0 0 0 +5 +4 10 0x33c3 0xbad70302 @@ -56790,6 +70988,8 @@ 0 0 0 +0 +4 8 0x4199 0x69b93b83 @@ -56798,6 +70998,8 @@ 1 1 0 +4 +0 8 0x7674 0x33e2108b @@ -56806,6 +71008,8 @@ 0 0 0 +4 +0 6 0x4b34 0xdbf77204 @@ -56815,6 +71019,8 @@ 0 0 5 +0 +5 0x1402 0xd700410c 256 @@ -56822,6 +71028,8 @@ 1 1 0 +5 +0 7 0x40dd 0x90cf1252 @@ -56830,6 +71038,8 @@ 0 0 0 +5 +4 6 0x4207 0xd0e2d184 @@ -56838,6 +71048,8 @@ 0 0 0 +5 +4 1 0xbe23 0xea345d2d @@ -56846,6 +71058,8 @@ 1 1 0 +0 +0 6 0xcc9d 0x1cab167 @@ -56854,6 +71068,8 @@ 0 0 0 +0 +0 7 0xd112 0x881a42e9 @@ -56862,6 +71078,8 @@ 0 0 0 +1 +1 6 0x8843 0x52d4854 @@ -56871,6 +71089,8 @@ 0 0 5 +2 +5 0xf930 0x19a99773 256 @@ -56878,6 +71098,8 @@ 0 0 0 +4 +5 8 0x17b4 0x98bf12ff @@ -56887,6 +71109,8 @@ 0 0 2 +0 +2 0xd077 0xea3b7b5c 256 @@ -56894,6 +71118,8 @@ 1 1 0 +3 +0 5 0x3f3 0x3ef36830 @@ -56902,6 +71128,8 @@ 0 0 0 +0 +2 4 0xefc3 0x7e79d188 @@ -56910,6 +71138,8 @@ 1 1 0 +2 +2 10 0x7502 0xaef560e8 @@ -56918,6 +71148,8 @@ 1 0 0 +4 +3 10 0x4661 0xe5db1a3f @@ -56926,6 +71158,8 @@ 0 0 0 +5 +4 6 0x9525 0x1683b5de @@ -56934,6 +71168,8 @@ 0 0 0 +0 +4 3 0x4154 0xb7881b52 @@ -56942,6 +71178,8 @@ 1 0 0 +1 +1 5 0x32ed 0x5eafe604 @@ -56950,6 +71188,8 @@ 0 0 0 +5 +5 3 0xe6a9 0x26f0b698 @@ -56958,6 +71198,8 @@ 0 0 0 +3 +5 8 0x7865 0xda1033ca @@ -56966,6 +71208,8 @@ 0 0 0 +5 +3 6 0xcd20 0xf58826ec @@ -56974,6 +71218,8 @@ 1 0 0 +2 +4 3 0xb4e2 0x2fcb536a @@ -56982,6 +71228,8 @@ 1 0 0 +5 +1 10 0x125f 0x139fb572 @@ -56991,6 +71239,8 @@ 0 0 5 +1 +5 0x39a5 0x5e63cd5d 256 @@ -56999,6 +71249,8 @@ 0 0 1 +3 +1 0xf5e1 0x35b4f86f 256 @@ -57006,6 +71258,8 @@ 0 0 0 +0 +4 5 0x94b3 0x49c0522d @@ -57014,6 +71268,8 @@ 0 0 0 +3 +5 1 0x64be 0x4979b2bc @@ -57022,6 +71278,8 @@ 0 0 0 +2 +0 5 0xc84e 0xfd3cff68 @@ -57030,6 +71288,8 @@ 1 1 0 +3 +5 7 0xbab2 0x8174d5fd @@ -57038,6 +71298,8 @@ 1 0 0 +4 +2 3 0xe97 0xa55ed95c @@ -57046,6 +71308,8 @@ 0 0 0 +0 +4 4 0xd346 0x5fa253fd @@ -57054,6 +71318,8 @@ 0 0 0 +3 +0 9 0x8284 0x97d53f6d @@ -57062,6 +71328,8 @@ 0 0 0 +1 +3 7 0x181f 0x2ac161e9 @@ -57070,6 +71338,8 @@ 1 1 0 +4 +4 7 0xd08 0x43ebd286 @@ -57078,6 +71348,8 @@ 0 0 0 +3 +0 7 0xc979 0x7ddee374 @@ -57086,6 +71358,8 @@ 0 0 0 +5 +5 3 0x7b00 0x5b237e1 @@ -57094,6 +71368,8 @@ 0 0 0 +5 +2 3 0xde65 0x442cc58f @@ -57102,6 +71378,8 @@ 0 0 0 +4 +2 10 0x9f34 0x5565fdc0 @@ -57110,6 +71388,8 @@ 1 0 0 +3 +2 5 0xd7d3 0xfedd5270 @@ -57118,6 +71398,8 @@ 1 0 0 +4 +4 1 0x86cc 0x4873e333 @@ -57126,6 +71408,8 @@ 1 1 0 +2 +2 4 0x178d 0xd8d063ef @@ -57134,6 +71418,8 @@ 1 0 0 +3 +3 5 0x826e 0xfb90051b @@ -57142,6 +71428,8 @@ 1 1 0 +0 +4 10 0x94e0 0x11764103 @@ -57150,6 +71438,8 @@ 1 0 0 +5 +2 2 0xec88 0xd83d074d @@ -57158,6 +71448,8 @@ 1 0 0 +4 +1 10 0x7511 0xf2e91e49 @@ -57166,6 +71458,8 @@ 1 1 0 +0 +4 7 0x65d5 0x7ffc6d19 @@ -57174,6 +71468,8 @@ 1 0 0 +0 +3 8 0x9f15 0x31c8f954 @@ -57182,6 +71478,8 @@ 1 1 0 +2 +2 7 0x767b 0xaf47aaa9 @@ -57190,6 +71488,8 @@ 0 0 0 +3 +3 6 0x5825 0xda73bdcf @@ -57198,6 +71498,8 @@ 0 0 0 +5 +5 2 0x791d 0x4673cb85 @@ -57206,6 +71508,8 @@ 0 0 0 +2 +1 3 0xd657 0x452d7735 @@ -57214,6 +71518,8 @@ 1 1 0 +2 +0 8 0x1c05 0x31da914c @@ -57222,6 +71528,8 @@ 0 0 0 +0 +0 2 0xa059 0x88d2846 @@ -57230,6 +71538,8 @@ 0 0 0 +1 +3 2 0x72b 0x1bad5a68 @@ -57238,6 +71548,8 @@ 0 0 0 +0 +2 7 0xe1d5 0x8073c51f @@ -57246,6 +71558,8 @@ 1 0 0 +5 +4 10 0x3387 0xfaf8f09c @@ -57254,6 +71568,8 @@ 1 1 0 +0 +5 6 0xd10e 0xe20fd8fe @@ -57262,6 +71578,8 @@ 0 0 0 +0 +3 7 0xd369 0x8066e893 @@ -57271,6 +71589,8 @@ 0 0 3 +2 +3 0xd343 0x45d7d7b1 256 @@ -57278,6 +71598,8 @@ 0 0 0 +0 +2 1 0xe243 0x66d72051 @@ -57286,6 +71608,8 @@ 1 1 0 +0 +3 10 0x7420 0x710293b @@ -57294,6 +71618,8 @@ 1 1 0 +0 +5 6 0x4c11 0xaa8861ac @@ -57303,6 +71629,8 @@ 1 0 5 +2 +5 0xef1b 0x1e76b06b 256 @@ -57311,6 +71639,8 @@ 1 0 5 +2 +5 0x5642 0xea646ff5 256 @@ -57318,6 +71648,8 @@ 1 1 0 +0 +2 5 0xc97b 0x25e4ce58 @@ -57326,6 +71658,8 @@ 1 0 0 +1 +5 2 0xfb68 0x62291709 @@ -57335,6 +71669,8 @@ 1 0 1 +3 +1 0x43d1 0x702876a2 256 @@ -57342,6 +71678,8 @@ 1 1 0 +1 +5 7 0xd038 0x210a1384 @@ -57351,6 +71689,8 @@ 0 0 1 +0 +1 0xe8bc 0xd37bdf2a 256 @@ -57358,6 +71698,8 @@ 1 0 0 +3 +4 7 0x9591 0xdc3e7e1a @@ -57366,6 +71708,8 @@ 1 0 0 +2 +5 6 0x593b 0xce180c93 @@ -57375,6 +71719,8 @@ 0 0 4 +5 +4 0xd3d1 0x57f93f8f 256 @@ -57382,6 +71728,8 @@ 1 1 0 +3 +0 6 0x3a96 0x8252d839 @@ -57391,6 +71739,8 @@ 0 0 5 +3 +5 0xc032 0xfcac88b 256 @@ -57399,6 +71749,8 @@ 0 0 5 +5 +5 0x9e1b 0xaa2f82ec 256 @@ -57406,6 +71758,8 @@ 1 0 0 +2 +1 9 0x9325 0xabb4708b @@ -57414,6 +71768,8 @@ 1 0 0 +5 +4 6 0x1c6d 0xdde5d37 @@ -57422,6 +71778,8 @@ 1 1 0 +3 +0 7 0x7556 0x2435f073 @@ -57430,6 +71788,8 @@ 1 1 0 +1 +5 5 0x3cd3 0xa3a9f19d @@ -57438,6 +71798,8 @@ 0 0 0 +4 +0 2 0x507b 0xc38cb774 @@ -57446,6 +71808,8 @@ 1 1 0 +2 +3 7 0xadcd 0xea26b5b9 @@ -57454,6 +71818,8 @@ 0 0 0 +0 +5 5 0x234c 0xb4942e44 @@ -57462,6 +71828,8 @@ 0 0 0 +5 +5 8 0xac88 0xc6efb047 @@ -57470,6 +71838,8 @@ 0 0 0 +3 +5 4 0x287e 0xab775301 @@ -57478,6 +71848,8 @@ 1 0 0 +2 +2 10 0xb02c 0xf7f7851f @@ -57486,6 +71858,8 @@ 1 0 0 +5 +2 2 0xc786 0x952e5ebd @@ -57494,6 +71868,8 @@ 1 1 0 +5 +2 8 0xe5c0 0xbd416b @@ -57502,6 +71878,8 @@ 0 0 0 +2 +4 9 0xd512 0x525e45df @@ -57511,6 +71889,8 @@ 1 0 5 +1 +5 0xfe83 0xc941dcee 256 @@ -57518,6 +71898,8 @@ 1 0 0 +1 +3 6 0xfa04 0xcb05632b @@ -57526,6 +71908,8 @@ 1 1 0 +2 +0 6 0x7bf2 0x1298974b @@ -57534,6 +71918,8 @@ 1 0 0 +5 +1 8 0xcee5 0x69e0ec70 @@ -57542,6 +71928,8 @@ 1 0 0 +1 +0 8 0xf9e5 0x1fd16298 @@ -57550,6 +71938,8 @@ 1 0 0 +0 +5 7 0x3be6 0x68dbd9cf @@ -57558,6 +71948,8 @@ 0 0 0 +0 +3 8 0x6dea 0x25d29baa @@ -57566,6 +71958,8 @@ 0 0 0 +0 +5 9 0x1db 0xd41f83a8 @@ -57574,6 +71968,8 @@ 1 1 0 +3 +2 4 0xabc2 0xf7a92ef9 @@ -57582,6 +71978,8 @@ 0 0 0 +4 +1 5 0x26ed 0x4251f691 @@ -57590,6 +71988,8 @@ 1 0 0 +0 +2 4 0x44af 0x56e08032 @@ -57598,6 +71998,8 @@ 0 0 0 +1 +1 7 0xe386 0xac594d22 @@ -57606,6 +72008,8 @@ 1 1 0 +5 +3 4 0xf01 0xe930af25 @@ -57614,6 +72018,8 @@ 1 1 0 +4 +1 6 0x132c 0x9517af73 @@ -57622,6 +72028,8 @@ 0 0 0 +0 +0 1 0x6e8a 0x55d8c223 @@ -57630,6 +72038,8 @@ 1 0 0 +2 +2 9 0xf9f6 0xcd499ba0 @@ -57638,6 +72048,8 @@ 1 0 0 +5 +4 10 0xd706 0x9bdfb9af @@ -57646,6 +72058,8 @@ 1 1 0 +0 +1 7 0x461a 0xb7dabe71 @@ -57654,6 +72068,8 @@ 1 0 0 +3 +5 7 0x5dc5 0xccd00d19 @@ -57662,6 +72078,8 @@ 0 0 0 +4 +2 7 0x11f5 0xe8f44a7c @@ -57670,6 +72088,8 @@ 1 1 0 +2 +1 6 0x1356 0x69df73b2 @@ -57678,6 +72098,8 @@ 1 0 0 +0 +5 2 0xb8f8 0xc5447de2 @@ -57686,6 +72108,8 @@ 1 1 0 +0 +4 2 0xa0fe 0x70375769 @@ -57694,6 +72118,8 @@ 0 0 0 +4 +1 10 0x9997 0x966014c3 @@ -57702,6 +72128,8 @@ 0 0 0 +3 +3 10 0xdd48 0x39f166e2 @@ -57710,6 +72138,8 @@ 1 1 0 +3 +3 1 0xdd17 0x6f8c744a @@ -57718,6 +72148,8 @@ 1 0 0 +5 +5 9 0x9a7a 0xc5cf49c9 @@ -57726,6 +72158,8 @@ 0 0 0 +1 +2 9 0xcee 0xc0078a56 @@ -57734,6 +72168,8 @@ 0 0 0 +0 +2 1 0x4d77 0x4fa40306 @@ -57742,6 +72178,8 @@ 1 1 0 +5 +4 2 0xbbac 0x984ffa24 @@ -57750,6 +72188,8 @@ 0 0 0 +2 +4 3 0xb77 0x1effb236 @@ -57758,6 +72198,8 @@ 0 0 0 +3 +5 1 0x328b 0x266521d3 @@ -57766,6 +72208,8 @@ 0 0 0 +5 +5 10 0xd191 0xfae1fa26 @@ -57774,6 +72218,8 @@ 1 0 0 +1 +2 5 0x52a8 0x131fcfc6 @@ -57782,6 +72228,8 @@ 0 0 0 +2 +5 3 0x2f06 0xd00f92b5 @@ -57790,6 +72238,8 @@ 0 0 0 +0 +0 8 0x7b9b 0xe97b35ba @@ -57798,6 +72248,8 @@ 1 0 0 +1 +5 6 0x606a 0xcc8ad682 @@ -57806,6 +72258,8 @@ 1 1 0 +2 +0 8 0xdb5 0x3aecdd6c @@ -57814,6 +72268,8 @@ 1 0 0 +0 +5 3 0xa02 0x9550e2a1 @@ -57822,6 +72278,8 @@ 0 0 0 +1 +3 9 0x1d01 0xb4e100d8 @@ -57830,6 +72288,8 @@ 0 0 0 +4 +1 9 0x4237 0xbf86ec76 @@ -57838,6 +72298,8 @@ 1 0 0 +5 +1 9 0xe145 0xcde3dc42 @@ -57846,6 +72308,8 @@ 1 0 0 +1 +5 5 0x9a9b 0x394df16b @@ -57854,6 +72318,8 @@ 0 0 0 +5 +1 4 0x2cd1 0x8ceb17ab @@ -57862,6 +72328,8 @@ 0 0 0 +2 +5 3 0x92dc 0x64e1e30f @@ -57870,6 +72338,8 @@ 1 1 0 +3 +1 2 0x81e2 0xa4bcca57 @@ -57879,6 +72349,8 @@ 0 0 2 +1 +2 0x5355 0xbf2ea321 256 @@ -57886,6 +72358,8 @@ 1 1 0 +5 +4 3 0x1635 0xaeb3502d @@ -57894,6 +72368,8 @@ 0 0 0 +2 +1 1 0xfe57 0x38163461 @@ -57902,6 +72378,8 @@ 0 0 0 +1 +4 3 0xba23 0x707d80f9 @@ -57910,6 +72388,8 @@ 0 0 0 +5 +2 7 0xea9 0x36999c9a @@ -57918,6 +72398,8 @@ 1 1 0 +2 +0 6 0xc023 0x13ddba3a @@ -57926,6 +72408,8 @@ 0 0 0 +3 +3 7 0xa421 0x943ec23f @@ -57934,6 +72418,8 @@ 1 0 0 +0 +5 3 0x198d 0xc01e52e1 @@ -57942,6 +72428,8 @@ 1 0 0 +0 +3 7 0xe05d 0x75bc8ba5 @@ -57950,6 +72438,8 @@ 0 0 0 +5 +5 6 0xe55f 0xcd6236c6 @@ -57958,6 +72448,8 @@ 0 0 0 +3 +2 10 0xd37c 0xa311f74b @@ -57966,6 +72458,8 @@ 1 0 0 +2 +4 1 0x65c6 0xf2990444 @@ -57974,6 +72468,8 @@ 0 0 0 +2 +4 5 0x43d0 0xaa05f7f4 @@ -57982,6 +72478,8 @@ 0 0 0 +5 +0 8 0x439c 0xd482d6e3 @@ -57990,6 +72488,8 @@ 1 0 0 +0 +1 1 0x6e89 0x1d8213b4 @@ -57999,6 +72499,8 @@ 1 0 2 +3 +2 0xff60 0x4dc5a124 256 @@ -58006,6 +72508,8 @@ 0 0 0 +5 +4 3 0xde7f 0xb46b7f1b @@ -58014,6 +72518,8 @@ 1 0 0 +5 +2 9 0xade 0xc7cb4edb @@ -58022,6 +72528,8 @@ 1 0 0 +5 +4 4 0x1e28 0x4b4a0b3d @@ -58031,6 +72539,8 @@ 0 0 1 +5 +1 0xbd28 0xd6b1a402 256 @@ -58038,6 +72548,8 @@ 0 0 0 +4 +0 2 0x5995 0xbfe8cb70 @@ -58046,6 +72558,8 @@ 0 0 0 +2 +2 5 0xa9d1 0xc5c20802 @@ -58054,6 +72568,8 @@ 0 0 0 +1 +0 2 0xdae2 0x6255df81 @@ -58062,6 +72578,8 @@ 1 0 0 +4 +2 9 0xf12a 0x40c3d828 @@ -58070,6 +72588,8 @@ 1 0 0 +2 +0 7 0x2c59 0x4fed5ab7 @@ -58078,6 +72598,8 @@ 0 0 0 +0 +3 2 0x3115 0x1abe39b7 @@ -58086,6 +72608,8 @@ 0 0 0 +2 +1 10 0xd13d 0xa5c6d3ed @@ -58094,6 +72618,8 @@ 1 1 0 +3 +3 9 0x8ac5 0x6ed16aa0 @@ -58102,6 +72628,8 @@ 0 0 0 +2 +3 6 0x9ff7 0x501fcaec @@ -58110,6 +72638,8 @@ 0 0 0 +3 +0 9 0x824 0x7309c0d1 @@ -58118,6 +72648,8 @@ 0 0 0 +0 +2 10 0x4714 0xd7eabcc3 @@ -58127,6 +72659,8 @@ 1 0 4 +2 +4 0x2aab 0x140cee08 256 @@ -58134,6 +72668,8 @@ 1 1 0 +5 +1 3 0x3811 0x8d4912c8 @@ -58142,6 +72678,8 @@ 0 0 0 +1 +0 6 0x58c9 0x9a27c407 @@ -58150,6 +72688,8 @@ 0 0 0 +4 +1 3 0xf753 0xab148d9c @@ -58158,6 +72698,8 @@ 0 0 0 +2 +5 5 0x666 0x86cc4a1 @@ -58166,6 +72708,8 @@ 0 0 0 +3 +2 10 0x3caa 0x9016907d @@ -58174,6 +72718,8 @@ 1 0 0 +4 +2 9 0xf34a 0x4011334c @@ -58182,6 +72728,8 @@ 0 0 0 +4 +3 10 0x419f 0xf4405a55 @@ -58190,6 +72738,8 @@ 1 0 0 +4 +1 6 0x2d77 0xe6c98847 @@ -58198,6 +72748,8 @@ 1 0 0 +2 +1 8 0x6253 0x723f0495 @@ -58206,6 +72758,8 @@ 0 0 0 +0 +1 2 0x2289 0x121ba0c1 @@ -58214,6 +72768,8 @@ 0 0 0 +4 +2 7 0x8dc8 0x6578b3f8 @@ -58222,6 +72778,8 @@ 1 0 0 +4 +1 8 0xd52b 0x9d62373e @@ -58230,6 +72788,8 @@ 0 0 0 +4 +3 7 0x7e73 0x75ed439f @@ -58238,6 +72798,8 @@ 1 1 0 +5 +1 8 0x8f5f 0x9475bee0 @@ -58246,6 +72808,8 @@ 0 0 0 +1 +1 10 0xdf59 0x700ad082 @@ -58254,6 +72818,8 @@ 1 0 0 +3 +4 1 0x9100 0x7ccebd9e @@ -58262,6 +72828,8 @@ 1 1 0 +2 +2 4 0xdd11 0x131f67f1 @@ -58270,6 +72838,8 @@ 0 0 0 +2 +3 3 0x721b 0xef5daf73 @@ -58278,6 +72848,8 @@ 0 0 0 +0 +0 9 0x6c4b 0xb7ba70ff @@ -58286,6 +72858,8 @@ 1 1 0 +1 +2 10 0x4dd8 0x12d21504 @@ -58294,6 +72868,8 @@ 1 1 0 +4 +2 8 0xc521 0x25411992 @@ -58303,6 +72879,8 @@ 1 0 3 +5 +3 0x3013 0x6db8c026 256 @@ -58310,6 +72888,8 @@ 1 1 0 +3 +5 2 0xae7e 0xc1e7f38f @@ -58318,6 +72898,8 @@ 1 0 0 +3 +0 8 0xd94d 0xdb7b2cba @@ -58326,6 +72908,8 @@ 1 1 0 +5 +5 10 0xc102 0xf7357989 @@ -58334,6 +72918,8 @@ 1 1 0 +5 +1 4 0xb1a6 0x124220e3 @@ -58342,6 +72928,8 @@ 0 0 0 +0 +0 10 0x5e4f 0x8ded5e90 @@ -58350,6 +72938,8 @@ 1 0 0 +0 +0 9 0x9986 0x2514926d @@ -58358,6 +72948,8 @@ 1 1 0 +0 +3 7 0x361b 0x2118c5fa @@ -58366,6 +72958,8 @@ 1 0 0 +3 +3 8 0xd22 0x31b27467 @@ -58374,6 +72968,8 @@ 0 0 0 +5 +4 8 0xa339 0xa01b5da0 @@ -58382,6 +72978,8 @@ 1 1 0 +2 +4 10 0xf349 0xd2be4ef1 @@ -58390,6 +72988,8 @@ 1 1 0 +5 +2 3 0x96c2 0x10520b57 @@ -58398,6 +72998,8 @@ 1 0 0 +4 +0 6 0xe8d3 0xf24a58bc @@ -58406,6 +73008,8 @@ 1 0 0 +5 +4 10 0x553d 0x3a97ba0b @@ -58414,6 +73018,8 @@ 1 0 0 +1 +2 6 0xd74e 0xab437939 @@ -58422,6 +73028,8 @@ 1 0 0 +1 +5 10 0xb5b2 0xc46add81 @@ -58430,6 +73038,8 @@ 0 0 0 +1 +5 2 0x5099 0x6ec11c06 @@ -58439,6 +73049,8 @@ 0 0 2 +0 +2 0xc3b3 0xce00e11f 256 @@ -58446,6 +73058,8 @@ 1 0 0 +0 +5 9 0xe7fb 0xca9eedc @@ -58454,6 +73068,8 @@ 1 1 0 +1 +1 5 0xfb58 0xde1d80a8 @@ -58462,6 +73078,8 @@ 1 1 0 +5 +5 6 0xdc15 0xf1008967 @@ -58470,6 +73088,8 @@ 0 0 0 +0 +2 9 0x79f2 0xcecb96e8 @@ -58478,6 +73098,8 @@ 1 1 0 +1 +0 2 0x8632 0xe3eb08bc @@ -58486,6 +73108,8 @@ 0 0 0 +3 +1 6 0xc72c 0x200c36fc @@ -58494,6 +73118,8 @@ 0 0 0 +4 +1 3 0x8f9 0xf1769b69 @@ -58502,6 +73128,8 @@ 0 0 0 +0 +5 6 0xa9de 0x117949c8 @@ -58510,6 +73138,8 @@ 1 1 0 +3 +1 4 0x9122 0x151bc25c @@ -58519,6 +73149,8 @@ 1 0 3 +2 +3 0x49a6 0x69865e1e 256 @@ -58526,6 +73158,8 @@ 0 0 0 +1 +1 9 0xb5bb 0x3b63a9c2 @@ -58534,6 +73168,8 @@ 1 0 0 +1 +4 7 0xfeca 0x8286d89b @@ -58542,6 +73178,8 @@ 1 1 0 +2 +3 7 0x4e5 0x51074ff5 @@ -58550,6 +73188,8 @@ 0 0 0 +3 +0 8 0x872a 0x8d8f1e7b @@ -58558,6 +73198,8 @@ 0 0 0 +5 +2 8 0x722e 0x2f2edcc3 @@ -58566,6 +73208,8 @@ 0 0 0 +4 +0 9 0x8611 0x5ca967d3 @@ -58574,6 +73218,8 @@ 1 1 0 +0 +5 8 0x7689 0x3865bcd9 @@ -58582,6 +73228,8 @@ 0 0 0 +0 +5 9 0xc4d 0x59a2a9b0 @@ -58590,6 +73238,8 @@ 0 0 0 +3 +0 10 0x8db4 0xdb15ad8 @@ -58598,6 +73248,8 @@ 0 0 0 +5 +2 2 0x765c 0x26ff4065 @@ -58607,6 +73259,8 @@ 1 0 3 +1 +3 0xbd5a 0x9f9d3be4 256 @@ -58614,6 +73268,8 @@ 1 0 0 +2 +1 10 0x5edc 0x5f8c6975 @@ -58623,6 +73279,8 @@ 0 0 4 +0 +4 0xfd31 0x443ddc51 256 @@ -58630,6 +73288,8 @@ 1 0 0 +2 +0 5 0x54cb 0xa8ee6347 @@ -58639,6 +73299,8 @@ 1 0 3 +2 +3 0xa59a 0x9ceaabfd 256 @@ -58646,6 +73308,8 @@ 1 0 0 +0 +5 4 0x8e3f 0x9b2667ed @@ -58654,6 +73318,8 @@ 1 1 0 +0 +2 3 0x4f11 0x72d7b264 @@ -58662,6 +73328,8 @@ 1 1 0 +2 +0 9 0xa1e5 0x1c40222c @@ -58670,6 +73338,8 @@ 1 1 0 +4 +5 2 0xce1c 0x40ba573c @@ -58678,6 +73348,8 @@ 1 1 0 +3 +1 4 0x9448 0x83b0a943 @@ -58686,6 +73358,8 @@ 1 1 0 +2 +4 8 0x29d0 0x10dfa4da @@ -58695,6 +73369,8 @@ 0 0 5 +0 +5 0x52d5 0x40849714 256 @@ -58702,6 +73378,8 @@ 1 1 0 +5 +1 3 0xb6f2 0xdd683208 @@ -58710,6 +73388,8 @@ 1 1 0 +5 +2 10 0xb229 0x295fe585 @@ -58718,6 +73398,8 @@ 1 0 0 +0 +4 8 0xa3c7 0x3d0658a4 @@ -58726,6 +73408,8 @@ 1 0 0 +0 +3 2 0x72b6 0xc700a7ec @@ -58734,6 +73418,8 @@ 0 0 0 +4 +3 7 0x32bd 0x9eb6d4aa @@ -58742,6 +73428,8 @@ 1 1 0 +2 +2 6 0xda47 0x81f01e8e @@ -58751,6 +73439,8 @@ 0 0 4 +4 +4 0x9af5 0xc546784 256 @@ -58758,6 +73448,8 @@ 0 0 0 +0 +0 10 0x845b 0xfc83ab9b @@ -58766,6 +73458,8 @@ 1 1 0 +2 +2 10 0xe2c2 0x5d8bfefe @@ -58774,6 +73468,8 @@ 1 1 0 +2 +5 5 0x62c9 0xa9fc6f42 @@ -58783,6 +73479,8 @@ 0 0 1 +3 +1 0x68d8 0x241d3934 256 @@ -58790,6 +73488,8 @@ 0 0 0 +3 +4 10 0xe141 0x2205241c @@ -58798,6 +73498,8 @@ 1 1 0 +2 +4 8 0x3e64 0x3de9405d @@ -58806,6 +73508,8 @@ 1 1 0 +2 +2 6 0xd479 0x3a83fa1a @@ -58814,6 +73518,8 @@ 0 0 0 +5 +1 3 0xeb98 0xa286b9b @@ -58822,6 +73528,8 @@ 1 0 0 +0 +1 10 0x8282 0xed5d9751 @@ -58830,6 +73538,8 @@ 1 1 0 +3 +3 5 0xe9bf 0x22ad1bb6 @@ -58838,6 +73548,8 @@ 0 0 0 +0 +1 2 0x46a2 0x8db7f98a @@ -58846,6 +73558,8 @@ 0 0 0 +4 +1 10 0xd475 0x67fcea04 @@ -58854,6 +73568,8 @@ 1 0 0 +3 +4 10 0x9449 0x341acd67 @@ -58862,6 +73578,8 @@ 0 0 0 +4 +4 3 0x632d 0x5bf6d8b4 @@ -58870,6 +73588,8 @@ 1 1 0 +2 +4 6 0x34c7 0xd8d98199 @@ -58878,6 +73598,8 @@ 1 0 0 +0 +1 3 0x35a3 0x34f76d2b @@ -58886,6 +73608,8 @@ 1 1 0 +5 +5 4 0x6350 0x827b665e @@ -58894,6 +73618,8 @@ 1 0 0 +5 +3 8 0x5f73 0x76e55c87 @@ -58902,6 +73628,8 @@ 1 1 0 +2 +0 4 0x30c7 0xa3e5b1b5 @@ -58910,6 +73638,8 @@ 1 1 0 +3 +2 6 0x2149 0x8028dd70 @@ -58919,6 +73649,8 @@ 0 0 1 +0 +1 0x6b3f 0x6f79298f 256 @@ -58926,6 +73658,8 @@ 0 0 0 +2 +2 6 0x10b9 0x5360357c @@ -58934,6 +73668,8 @@ 0 0 0 +1 +2 2 0xa22e 0x368b1c48 @@ -58942,6 +73678,8 @@ 1 0 0 +2 +0 10 0x77cb 0x9dfb6a7f @@ -58950,6 +73688,8 @@ 1 1 0 +3 +1 2 0x8c52 0x9664d548 @@ -58958,6 +73698,8 @@ 1 1 0 +3 +1 7 0xa147 0xfe9059ab @@ -58966,6 +73708,8 @@ 1 1 0 +1 +1 9 0x7181 0x4cf93408 @@ -58974,6 +73718,8 @@ 1 0 0 +5 +5 2 0xb86 0x4b8f06cd @@ -58982,6 +73728,8 @@ 0 0 0 +3 +5 4 0x9ed8 0x67f86993 @@ -58990,6 +73738,8 @@ 1 0 0 +5 +5 6 0x8096 0xa2219d63 @@ -58998,6 +73748,8 @@ 0 0 0 +5 +0 4 0x27e4 0x6a638cb9 @@ -59007,6 +73759,8 @@ 0 0 4 +2 +4 0x394b 0x4d7201c3 256 @@ -59015,6 +73769,8 @@ 0 0 5 +3 +5 0xf8e9 0x6cc549a9 256 @@ -59022,6 +73778,8 @@ 1 0 0 +3 +2 7 0x7c16 0x5557d8a0 @@ -59031,6 +73789,8 @@ 0 0 4 +5 +4 0x932b 0x9ee03236 256 @@ -59038,6 +73798,8 @@ 1 0 0 +5 +1 1 0x76e0 0xc758051c @@ -59047,6 +73809,8 @@ 0 0 2 +0 +2 0x7fa1 0x8f707d21 256 @@ -59054,6 +73818,8 @@ 1 1 0 +0 +5 4 0x177c 0x5329d286 @@ -59062,6 +73828,8 @@ 1 1 0 +1 +0 4 0xd898 0xd48e150d @@ -59070,6 +73838,8 @@ 1 1 0 +4 +0 10 0xb51a 0xd729ef1d @@ -59078,6 +73848,8 @@ 1 1 0 +0 +2 7 0xf6d7 0x89f066d5 @@ -59086,6 +73858,8 @@ 1 1 0 +1 +2 4 0x8409 0x4ab01ba9 @@ -59094,6 +73868,8 @@ 1 0 0 +1 +1 6 0x4375 0x6b873cc4 @@ -59102,6 +73878,8 @@ 0 0 0 +5 +1 7 0x3e16 0x6023274c @@ -59110,6 +73888,8 @@ 0 0 0 +1 +1 3 0x5486 0xe2e0ba56 @@ -59118,6 +73898,8 @@ 1 0 0 +4 +0 7 0x781e 0x483cf3cd @@ -59126,6 +73908,8 @@ 1 0 0 +1 +5 9 0xebe 0xfe3bca2 @@ -59134,6 +73918,8 @@ 0 0 0 +3 +1 2 0xef8f 0x701bb9c3 @@ -59142,6 +73928,8 @@ 1 0 0 +2 +0 7 0x942a 0xfc3034e0 @@ -59150,6 +73938,8 @@ 1 1 0 +2 +1 3 0xa97e 0xd56d3ed0 @@ -59158,6 +73948,8 @@ 1 0 0 +4 +2 7 0x1020 0x746dbcd6 @@ -59166,6 +73958,8 @@ 1 1 0 +1 +0 7 0x1a9 0x6cbd8034 @@ -59174,6 +73968,8 @@ 0 0 0 +1 +0 8 0x1d09 0x6dbfc6a2 @@ -59182,6 +73978,8 @@ 0 0 0 +0 +1 9 0xa020 0x24298c59 @@ -59190,6 +73988,8 @@ 0 0 0 +2 +1 6 0xb639 0xc411fd98 @@ -59198,6 +73998,8 @@ 0 0 0 +2 +0 5 0x9c08 0xdda45549 @@ -59206,6 +74008,8 @@ 1 1 0 +5 +5 6 0x84a9 0xcc6c4ed3 @@ -59214,6 +74018,8 @@ 1 1 0 +5 +5 4 0xe058 0x7bcf46c8 @@ -59222,6 +74028,8 @@ 1 0 0 +4 +4 1 0xd1ae 0x1f1a770 @@ -59230,6 +74038,8 @@ 1 1 0 +5 +0 6 0xf4db 0x29cca0a0 @@ -59238,6 +74048,8 @@ 0 0 0 +0 +0 2 0x673e 0xfbc2eb4b @@ -59246,6 +74058,8 @@ 1 0 0 +5 +3 4 0xf034 0xe691df8c @@ -59254,6 +74068,8 @@ 1 0 0 +1 +0 6 0x6bb7 0x5a34052c @@ -59262,6 +74078,8 @@ 0 0 0 +4 +5 7 0x7829 0x23bd40d2 @@ -59270,6 +74088,8 @@ 1 0 0 +1 +3 5 0x9c00 0x24cddf79 @@ -59278,6 +74098,8 @@ 1 1 0 +3 +1 10 0x20d6 0x508088b9 @@ -59286,6 +74108,8 @@ 1 0 0 +0 +4 7 0x8d04 0xb2776b77 @@ -59294,6 +74118,8 @@ 1 0 0 +0 +5 1 0x8a7a 0x3da3574a @@ -59302,6 +74128,8 @@ 0 0 0 +5 +1 8 0x4558 0x3480a381 @@ -59310,6 +74138,8 @@ 0 0 0 +0 +0 9 0x486a 0x7dc6f19c @@ -59318,6 +74148,8 @@ 0 0 0 +2 +4 5 0xaa74 0x93a855a6 @@ -59326,6 +74158,8 @@ 1 0 0 +2 +4 4 0x88 0xf02c72f3 @@ -59334,6 +74168,8 @@ 0 0 0 +2 +5 4 0xb0c2 0xe8c14b19 @@ -59342,6 +74178,8 @@ 0 0 0 +0 +0 3 0x9a3c 0xbe7fe808 @@ -59350,6 +74188,8 @@ 0 0 0 +2 +3 10 0x7a78 0xaca853ad @@ -59358,6 +74198,8 @@ 1 0 0 +5 +4 7 0x77c7 0x6a96d9ab @@ -59366,6 +74208,8 @@ 1 0 0 +3 +1 8 0xccfa 0xa353240e @@ -59374,6 +74218,8 @@ 0 0 0 +0 +4 4 0x8191 0x384f9bdf @@ -59382,6 +74228,8 @@ 0 0 0 +2 +0 1 0xdf40 0xd251773e @@ -59390,6 +74238,8 @@ 1 1 0 +4 +4 7 0xbf61 0x94fb04e @@ -59398,6 +74248,8 @@ 0 0 0 +0 +1 3 0xd2e7 0xebf67dce @@ -59406,6 +74258,8 @@ 0 0 0 +5 +4 3 0xff26 0xa17e3d9c @@ -59414,6 +74268,8 @@ 1 0 0 +0 +3 3 0x8ec9 0xfc3f4ee5 @@ -59423,6 +74279,8 @@ 0 0 2 +3 +2 0x8724 0xa0277088 256 @@ -59430,6 +74288,8 @@ 1 0 0 +1 +2 4 0x5f03 0x3675dec4 @@ -59438,6 +74298,8 @@ 1 1 0 +0 +1 9 0x95c 0x40901280 @@ -59446,6 +74308,8 @@ 0 0 0 +4 +2 9 0xd6a2 0xf2701db6 @@ -59454,6 +74318,8 @@ 0 0 0 +2 +2 9 0xf4f2 0x45a20b6 @@ -59462,6 +74328,8 @@ 1 1 0 +1 +5 4 0x9d28 0xc1d0e9a7 @@ -59470,6 +74338,8 @@ 1 0 0 +3 +2 2 0xcd7a 0xbe0c5b4a @@ -59478,6 +74348,8 @@ 0 0 0 +1 +0 9 0x64e4 0x5daba40b @@ -59486,6 +74358,8 @@ 1 1 0 +5 +0 8 0x7395 0x74e4b2b9 @@ -59494,6 +74368,8 @@ 0 0 0 +1 +0 10 0xa68c 0x6a649744 @@ -59503,6 +74379,8 @@ 1 0 2 +3 +2 0x65a9 0xb0c5ac91 256 @@ -59510,6 +74388,8 @@ 1 0 0 +4 +2 10 0xeb61 0x66ffa624 @@ -59518,6 +74398,8 @@ 1 1 0 +4 +5 6 0xb73d 0x7e2b7731 @@ -59526,6 +74408,8 @@ 1 1 0 +3 +0 2 0xe97d 0x7a8e49af @@ -59534,6 +74418,8 @@ 0 0 0 +3 +3 4 0x4310 0xfabf13c2 @@ -59542,6 +74428,8 @@ 0 0 0 +5 +1 10 0x81c7 0x610151c2 @@ -59550,6 +74438,8 @@ 1 0 0 +3 +2 2 0x8968 0x33dca7 @@ -59558,6 +74448,8 @@ 0 0 0 +4 +0 5 0x36a6 0x701c5bfc @@ -59566,6 +74458,8 @@ 0 0 0 +2 +1 5 0x315f 0xf1c43c7f @@ -59574,6 +74468,8 @@ 1 1 0 +2 +5 9 0x3941 0x4a97b0e3 @@ -59582,6 +74478,8 @@ 0 0 0 +4 +1 6 0x9f82 0x9548c727 @@ -59590,6 +74488,8 @@ 0 0 0 +2 +2 1 0x605a 0x65e2a2dd @@ -59598,6 +74498,8 @@ 1 0 0 +5 +2 7 0x3cc5 0x3823b904 @@ -59606,6 +74508,8 @@ 0 0 0 +3 +3 1 0x86b 0xddc74cbd @@ -59614,6 +74518,8 @@ 1 1 0 +1 +3 6 0x9b63 0x29e1305b @@ -59623,6 +74529,8 @@ 0 0 2 +1 +2 0x2caf 0x7ed5ae48 256 @@ -59630,6 +74538,8 @@ 1 0 0 +1 +0 9 0xd497 0x8c471e93 @@ -59638,6 +74548,8 @@ 1 0 0 +0 +5 8 0x99b7 0xf5f71328 @@ -59646,6 +74558,8 @@ 1 1 0 +1 +1 7 0xdc66 0xc4730e26 @@ -59654,6 +74568,8 @@ 0 0 0 +5 +2 9 0x1b91 0xcef6a2b7 @@ -59663,6 +74579,8 @@ 1 0 5 +5 +5 0xab50 0x1ec5b285 256 @@ -59670,6 +74588,8 @@ 1 1 0 +3 +1 4 0xcaa8 0xe2696ad @@ -59678,6 +74598,8 @@ 0 0 0 +0 +5 10 0xa858 0x45863e42 @@ -59686,6 +74608,8 @@ 1 1 0 +4 +4 10 0xe812 0x10ea4769 @@ -59694,6 +74618,8 @@ 1 1 0 +3 +1 2 0x82eb 0xc72eaee @@ -59702,6 +74628,8 @@ 1 1 0 +1 +1 10 0x15b8 0xe1a15769 @@ -59710,6 +74638,8 @@ 1 0 0 +4 +5 10 0xb047 0x4b3555b3 @@ -59718,6 +74648,8 @@ 0 0 0 +2 +4 5 0xce6f 0x17c5b9cd @@ -59726,6 +74658,8 @@ 1 0 0 +2 +5 7 0xd0ce 0x69d22366 @@ -59734,6 +74668,8 @@ 1 0 0 +2 +4 6 0xc0a2 0x73c89ee4 @@ -59742,6 +74678,8 @@ 1 0 0 +3 +3 4 0x8721 0xafa5f74 @@ -59751,6 +74689,8 @@ 1 0 2 +1 +2 0xa679 0x70d1b7d2 256 @@ -59758,6 +74698,8 @@ 1 1 0 +5 +0 4 0xa852 0xf4a8d580 @@ -59766,6 +74708,8 @@ 1 1 0 +4 +1 2 0x4d8c 0x5017879 @@ -59774,6 +74718,8 @@ 0 0 0 +1 +0 8 0xb3cb 0xb5a6433e @@ -59782,6 +74728,8 @@ 0 0 0 +0 +5 3 0x45e3 0x6789b59a @@ -59790,6 +74738,8 @@ 1 1 0 +0 +0 10 0xdd21 0x7492938c @@ -59798,6 +74748,8 @@ 0 0 0 +5 +0 6 0xf450 0xc5901cbe @@ -59806,6 +74758,8 @@ 0 0 0 +1 +3 7 0xf79 0x63adebf4 @@ -59814,6 +74768,8 @@ 0 0 0 +4 +4 5 0x48b1 0x1d9067ed @@ -59822,6 +74778,8 @@ 1 0 0 +0 +2 8 0xdb9 0x4a57589d @@ -59830,6 +74788,8 @@ 1 1 0 +4 +4 8 0x70ca 0x7f4b85f @@ -59838,6 +74798,8 @@ 1 0 0 +5 +4 4 0x50e1 0xae286152 @@ -59846,6 +74808,8 @@ 1 1 0 +1 +4 8 0x4a63 0x253d9ce3 @@ -59854,6 +74818,8 @@ 0 0 0 +1 +2 8 0xc156 0xcbbe929b @@ -59862,6 +74828,8 @@ 1 0 0 +5 +3 4 0xc92b 0xe2a8d34a @@ -59870,6 +74838,8 @@ 0 0 0 +0 +5 7 0xd09b 0x57cd9095 @@ -59878,6 +74848,8 @@ 0 0 0 +0 +2 10 0x8cee 0xe79286ca @@ -59886,6 +74858,8 @@ 1 0 0 +1 +1 9 0x8d25 0x2e3a8a07 @@ -59894,6 +74868,8 @@ 1 0 0 +3 +2 7 0xa2a4 0xb5654c8 @@ -59902,6 +74878,8 @@ 1 0 0 +3 +3 5 0x2fa 0xa5b988be @@ -59910,6 +74888,8 @@ 1 1 0 +3 +1 1 0x23aa 0x83b4a602 @@ -59918,6 +74898,8 @@ 1 0 0 +3 +5 1 0xbd06 0x12f0f733 @@ -59926,6 +74908,8 @@ 1 0 0 +0 +1 6 0x6760 0xa9cdfabc @@ -59934,6 +74918,8 @@ 0 0 0 +1 +0 5 0xf424 0xf83c389d @@ -59942,6 +74928,8 @@ 0 0 0 +5 +2 3 0xd3a8 0x527fccc5 @@ -59950,6 +74938,8 @@ 0 0 0 +0 +0 8 0xbac7 0xf20a7234 @@ -59958,6 +74948,8 @@ 0 0 0 +2 +5 1 0x12eb 0x15e99cb7 @@ -59967,6 +74959,8 @@ 0 0 5 +4 +5 0x8331 0xd6b8dbba 256 @@ -59974,6 +74968,8 @@ 0 0 0 +0 +0 7 0x8c44 0xd54c008d @@ -59982,6 +74978,8 @@ 1 1 0 +4 +4 6 0xb298 0xf657d6a2 @@ -59990,6 +74988,8 @@ 1 1 0 +3 +5 5 0x54ad 0x23293850 @@ -59999,6 +74999,8 @@ 1 0 2 +0 +2 0x3d50 0xfec24587 256 @@ -60006,6 +75008,8 @@ 1 0 0 +0 +0 6 0xd46a 0x3e679c5d @@ -60014,6 +75018,8 @@ 1 1 0 +0 +0 2 0x72e5 0xd019f3da @@ -60022,6 +75028,8 @@ 1 1 0 +2 +5 3 0x484 0xfb7bf65b @@ -60030,6 +75038,8 @@ 0 0 0 +4 +4 6 0xec12 0x5a30c7ae @@ -60038,6 +75048,8 @@ 0 0 0 +3 +4 8 0x2d4e 0x68168e2 @@ -60046,6 +75058,8 @@ 0 0 0 +2 +4 4 0x336 0xd7b009b7 @@ -60054,6 +75068,8 @@ 0 0 0 +1 +2 2 0xbe5d 0xcf505792 @@ -60062,6 +75078,8 @@ 0 0 0 +2 +4 10 0x9467 0x6d488422 @@ -60071,6 +75089,8 @@ 0 0 4 +4 +4 0x3f78 0x54c38124 256 @@ -60078,6 +75098,8 @@ 0 0 0 +1 +5 8 0xbcf7 0xee79194c @@ -60086,6 +75108,8 @@ 1 0 0 +3 +2 6 0x3775 0xead34a4b @@ -60094,6 +75118,8 @@ 1 1 0 +3 +4 5 0xd5c4 0x2324b03e @@ -60102,6 +75128,8 @@ 0 0 0 +4 +5 2 0xc3ea 0x3cd670d4 @@ -60110,6 +75138,8 @@ 1 0 0 +5 +0 3 0x8195 0xebb4109b @@ -60118,6 +75148,8 @@ 1 0 0 +4 +4 1 0x2347 0x8ddd04de @@ -60126,6 +75158,8 @@ 1 0 0 +0 +4 9 0x613f 0x1265c963 @@ -60134,6 +75168,8 @@ 1 0 0 +1 +1 9 0x8850 0xd7fa0709 @@ -60142,6 +75178,8 @@ 0 0 0 +2 +4 4 0x5a9e 0x9cc37536 @@ -60151,6 +75189,8 @@ 1 0 5 +3 +5 0x8b86 0x46754a7 256 @@ -60158,6 +75198,8 @@ 0 0 0 +2 +0 8 0x8f63 0x14e06497 @@ -60166,6 +75208,8 @@ 1 1 0 +2 +5 10 0x83ee 0xfe6996dd @@ -60174,6 +75218,8 @@ 0 0 0 +4 +5 2 0x5919 0x51b76fde @@ -60183,6 +75229,8 @@ 0 0 5 +4 +5 0xbae1 0x693633e8 256 @@ -60190,6 +75238,8 @@ 1 1 0 +4 +3 10 0x3885 0xd0ce7650 @@ -60198,6 +75248,8 @@ 0 0 0 +0 +5 2 0xe578 0x47e68d6c @@ -60206,6 +75258,8 @@ 0 0 0 +1 +1 10 0x1eb4 0xe1344465 @@ -60214,6 +75268,8 @@ 0 0 0 +3 +0 10 0xca12 0xe0c9b7ae @@ -60222,6 +75278,8 @@ 0 0 0 +0 +3 8 0x96a0 0x60d115ba @@ -60230,6 +75288,8 @@ 0 0 0 +5 +1 2 0x258b 0xddd891cd @@ -60238,6 +75298,8 @@ 1 1 0 +0 +3 6 0x2249 0x558c1a4e @@ -60246,6 +75308,8 @@ 0 0 0 +3 +4 5 0x3af2 0x92d2cd68 @@ -60254,6 +75318,8 @@ 0 0 0 +2 +5 1 0x3b0c 0x9f654611 @@ -60262,6 +75328,8 @@ 0 0 0 +2 +3 5 0xae8e 0x1b86c1b1 @@ -60270,6 +75338,8 @@ 1 1 0 +5 +0 6 0x19a7 0xdebddfa5 @@ -60278,6 +75348,8 @@ 0 0 0 +2 +4 5 0xe2e5 0x7ef356e3 @@ -60286,6 +75358,8 @@ 1 1 0 +3 +0 8 0xb941 0x3493a5fc @@ -60294,6 +75368,8 @@ 1 1 0 +4 +0 5 0xfd6d 0xa3e7fd2 @@ -60302,6 +75378,8 @@ 1 1 0 +2 +2 3 0x4193 0xe8bf14c7 @@ -60310,6 +75388,8 @@ 1 1 0 +2 +0 7 0x363d 0xe7114388 @@ -60318,6 +75398,8 @@ 1 1 0 +5 +1 4 0x7b4d 0x85ccd4b1 @@ -60326,6 +75408,8 @@ 0 0 0 +1 +1 3 0xc360 0x7e2f64c8 @@ -60334,6 +75418,8 @@ 1 0 0 +0 +2 3 0xdfec 0xde9a791f @@ -60342,6 +75428,8 @@ 1 1 0 +3 +1 1 0xe86c 0x72f5bc91 @@ -60350,6 +75438,8 @@ 1 1 0 +2 +4 6 0xa56f 0xc0d2a780 @@ -60358,6 +75448,8 @@ 1 1 0 +0 +1 5 0xaacf 0x3120be16 @@ -60366,6 +75458,8 @@ 0 0 0 +4 +0 9 0x67db 0xdaf9ed0f @@ -60375,6 +75469,8 @@ 0 0 2 +5 +2 0xe0f8 0xbb8cd9f8 256 @@ -60382,6 +75478,8 @@ 0 0 0 +2 +2 1 0xc80f 0xd0578ef1 @@ -60390,6 +75488,8 @@ 0 0 0 +1 +5 6 0xead4 0x503c6741 @@ -60398,6 +75498,8 @@ 1 0 0 +0 +0 10 0xf40d 0x29eda575 @@ -60406,6 +75508,8 @@ 1 1 0 +0 +0 3 0xaa58 0x8fca8f42 @@ -60414,6 +75518,8 @@ 1 1 0 +3 +5 1 0x5c28 0x2fa0612c @@ -60422,6 +75528,8 @@ 1 1 0 +2 +3 4 0xbbfc 0xd1c3155a @@ -60430,6 +75538,8 @@ 1 0 0 +1 +2 8 0x8733 0xb8dfda1e @@ -60438,6 +75548,8 @@ 0 0 0 +1 +3 10 0x73db 0x8c201f73 @@ -60447,6 +75559,8 @@ 0 0 5 +5 +5 0x2df0 0x89a226aa 256 @@ -60454,6 +75568,8 @@ 0 0 0 +2 +0 4 0xc2b3 0xed1f983f @@ -60462,6 +75578,8 @@ 1 1 0 +2 +5 8 0xc54d 0x31ba2aff @@ -60470,6 +75588,8 @@ 1 0 0 +2 +1 1 0x6485 0xf529d9 @@ -60478,6 +75598,8 @@ 0 0 0 +1 +2 10 0x7b7c 0x517b56e5 @@ -60486,6 +75608,8 @@ 1 1 0 +2 +1 8 0xa951 0x2eb13781 @@ -60494,6 +75618,8 @@ 0 0 0 +4 +5 2 0x8cb 0xec089121 @@ -60502,6 +75628,8 @@ 1 0 0 +4 +1 2 0x426b 0x65cdf60 @@ -60510,6 +75638,8 @@ 1 1 0 +4 +3 8 0x264e 0xb5f370e9 @@ -60518,6 +75648,8 @@ 0 0 0 +0 +0 4 0x2ecc 0x2dccedd @@ -60526,6 +75658,8 @@ 0 0 0 +4 +3 7 0x4bcc 0x34c853d7 @@ -60534,6 +75668,8 @@ 0 0 0 +2 +3 9 0xf3dc 0x5975d8e8 @@ -60542,6 +75678,8 @@ 0 0 0 +2 +5 7 0xe6ea 0xf317fbc9 @@ -60550,6 +75688,8 @@ 0 0 0 +2 +5 5 0xd6ba 0x78b1b17e @@ -60558,6 +75698,8 @@ 1 1 0 +1 +4 9 0x18bd 0x4eb4834e @@ -60566,6 +75708,8 @@ 0 0 0 +3 +3 2 0xe22f 0xf0b4a8d9 @@ -60574,6 +75718,8 @@ 1 1 0 +4 +0 10 0xb800 0xa8ff290c @@ -60582,6 +75728,8 @@ 1 0 0 +4 +3 9 0x1e7d 0x4bd780c1 @@ -60590,6 +75738,8 @@ 0 0 0 +4 +3 2 0x39c7 0x70199fe3 @@ -60598,6 +75748,8 @@ 0 0 0 +4 +1 10 0xbb6c 0xa1f954ee @@ -60606,6 +75758,8 @@ 1 1 0 +4 +0 3 0x542f 0x7bc9eb95 @@ -60614,6 +75768,8 @@ 0 0 0 +0 +5 4 0x705a 0x9bfe1600 @@ -60622,6 +75778,8 @@ 1 1 0 +5 +2 4 0xfdb5 0xbf007256 @@ -60630,6 +75788,8 @@ 0 0 0 +5 +2 10 0x58a8 0x216d2ef8 @@ -60638,6 +75798,8 @@ 1 0 0 +2 +3 1 0x986a 0x60afa863 @@ -60646,6 +75808,8 @@ 0 0 0 +2 +4 8 0x2a2c 0x9de2a250 @@ -60654,6 +75818,8 @@ 0 0 0 +5 +1 9 0x4ad7 0xcf91c56b @@ -60662,6 +75828,8 @@ 1 1 0 +2 +5 5 0x5b5f 0xc23303c0 @@ -60671,6 +75839,8 @@ 0 0 5 +3 +5 0x3f2 0xb435fe42 256 @@ -60678,6 +75848,8 @@ 1 0 0 +3 +2 1 0xae42 0x1090f70a @@ -60686,6 +75858,8 @@ 0 0 0 +5 +0 3 0x88e6 0xc1693fa6 @@ -60694,6 +75868,8 @@ 1 1 0 +1 +1 10 0x3689 0xf24478f @@ -60702,6 +75878,8 @@ 0 0 0 +5 +2 4 0xf08d 0x7fde7cc8 @@ -60710,6 +75888,8 @@ 0 0 0 +0 +1 2 0x4226 0x74537689 @@ -60718,6 +75898,8 @@ 0 0 0 +5 +2 1 0xae17 0x3e99fa96 @@ -60726,6 +75908,8 @@ 0 0 0 +1 +4 6 0xbac1 0x14c0d75e @@ -60734,6 +75918,8 @@ 0 0 0 +0 +3 4 0x6af4 0x44b537e3 @@ -60742,6 +75928,8 @@ 0 0 0 +4 +5 7 0xa859 0xd9b1cb7a @@ -60750,6 +75938,8 @@ 0 0 0 +5 +0 4 0x63bd 0x8926af14 @@ -60758,6 +75948,8 @@ 0 0 0 +0 +3 3 0x280b 0x5e2f1132 @@ -60766,6 +75958,8 @@ 1 0 0 +3 +0 4 0x6e60 0x4b3d2dfe @@ -60774,6 +75968,8 @@ 0 0 0 +2 +5 5 0x2c1a 0xb6f78758 @@ -60783,6 +75979,8 @@ 0 0 1 +3 +1 0xc99c 0x6357d844 256 @@ -60790,6 +75988,8 @@ 1 1 0 +4 +3 8 0xe777 0x77672774 @@ -60799,6 +75999,8 @@ 0 0 4 +2 +4 0xd857 0x782ae1c8 256 @@ -60806,6 +76008,8 @@ 1 1 0 +2 +3 9 0x2a82 0x1481292f @@ -60814,6 +76018,8 @@ 0 0 0 +5 +5 6 0xefa1 0xcb88422d @@ -60822,6 +76028,8 @@ 1 1 0 +3 +0 2 0xab89 0xc7e96e31 @@ -60830,6 +76038,8 @@ 1 0 0 +2 +0 5 0x92be 0xbfa84b5f @@ -60838,6 +76048,8 @@ 0 0 0 +5 +5 4 0x537b 0xe5c58319 @@ -60846,6 +76058,8 @@ 0 0 0 +0 +0 2 0x967 0x3a193aad @@ -60854,6 +76068,8 @@ 0 0 0 +2 +2 10 0x4415 0x3f2be699 @@ -60862,6 +76078,8 @@ 1 1 0 +2 +1 6 0x47e7 0x8560ab1e @@ -60871,6 +76089,8 @@ 0 0 1 +1 +1 0xa222 0x58e766b4 256 @@ -60878,6 +76098,8 @@ 0 0 0 +2 +4 4 0x8e15 0x49b6e808 @@ -60886,6 +76108,8 @@ 0 0 0 +0 +2 6 0x2fac 0x21392dc0 @@ -60895,6 +76119,8 @@ 0 0 2 +5 +2 0xf6d1 0xc9de91cc 256 @@ -60902,6 +76128,8 @@ 1 0 0 +0 +2 9 0xef68 0x6eba503b @@ -60910,6 +76138,8 @@ 0 0 0 +4 +4 6 0xe946 0x3a761878 @@ -60918,6 +76148,8 @@ 1 0 0 +2 +3 10 0xb541 0x50da41f8 @@ -60926,6 +76158,8 @@ 0 0 0 +1 +2 5 0xb185 0x349f1229 @@ -60934,6 +76168,8 @@ 0 0 0 +4 +3 10 0x498a 0x49584b88 @@ -60942,6 +76178,8 @@ 1 1 0 +5 +5 4 0x4318 0xf33ee8dc @@ -60950,6 +76188,8 @@ 0 0 0 +4 +4 2 0x83cd 0xbc2d90f3 @@ -60958,6 +76198,8 @@ 1 0 0 +0 +1 5 0xd246 0xb984d0dd @@ -60966,6 +76208,8 @@ 1 0 0 +4 +0 5 0xb22d 0xd0f3299 @@ -60974,6 +76218,8 @@ 0 0 0 +3 +2 1 0xf292 0xb479a2f8 @@ -60982,6 +76228,8 @@ 0 0 0 +4 +5 7 0xc59c 0x7bc36c58 @@ -60990,6 +76238,8 @@ 0 0 0 +0 +4 2 0x7983 0x99bae66 @@ -60998,6 +76248,8 @@ 0 0 0 +0 +4 6 0x5ff3 0x8606d3d6 @@ -61006,6 +76258,8 @@ 0 0 0 +4 +1 8 0x86ac 0xa5ccf908 @@ -61014,6 +76268,8 @@ 0 0 0 +3 +2 8 0x8dcd 0xc8650c1a @@ -61022,6 +76278,8 @@ 1 0 0 +3 +4 1 0x9559 0x19f2b8d0 @@ -61030,6 +76288,8 @@ 0 0 0 +2 +2 9 0xde15 0x9847db08 @@ -61039,6 +76299,8 @@ 0 0 5 +0 +5 0x114b 0xfa145d8f 256 @@ -61046,6 +76308,8 @@ 0 0 0 +5 +2 7 0x1c13 0xf6a89098 @@ -61054,6 +76318,8 @@ 0 0 0 +4 +1 7 0x2a29 0xe55cafa4 @@ -61062,6 +76328,8 @@ 1 0 0 +2 +3 3 0x7b1b 0x125eaed8 @@ -61070,6 +76338,8 @@ 0 0 0 +4 +0 5 0xcae1 0xdc0c74 @@ -61078,6 +76348,8 @@ 0 0 0 +3 +5 4 0x5995 0x61585290 @@ -61086,6 +76358,8 @@ 1 0 0 +5 +1 7 0xce25 0xf3ec8134 @@ -61094,6 +76368,8 @@ 1 0 0 +1 +2 3 0x1dc5 0x3c00ba71 @@ -61102,6 +76378,8 @@ 1 1 0 +0 +1 5 0xb477 0x74ee75a5 @@ -61110,6 +76388,8 @@ 1 0 0 +4 +2 6 0x709f 0xfbee1fbc @@ -61118,6 +76398,8 @@ 0 0 0 +5 +5 7 0x98c5 0xfd82145d @@ -61126,6 +76408,8 @@ 0 0 0 +2 +3 5 0xbead 0x393dc5e0 @@ -61134,6 +76418,8 @@ 1 0 0 +2 +2 9 0xa826 0xc000ea26 @@ -61142,6 +76428,8 @@ 0 0 0 +4 +2 5 0xfea 0xf797ecf @@ -61150,6 +76438,8 @@ 1 0 0 +2 +5 6 0x2ada 0xf0bc5bf4 @@ -61158,6 +76448,8 @@ 1 1 0 +3 +1 5 0x10d1 0xeb8ccfa8 @@ -61166,6 +76458,8 @@ 0 0 0 +4 +0 7 0x6dc9 0x91618f0e @@ -61174,6 +76468,8 @@ 1 1 0 +5 +5 8 0x8066 0x42287e3f @@ -61183,6 +76479,8 @@ 1 0 5 +2 +5 0xfa17 0xd4862500 256 @@ -61190,6 +76488,8 @@ 0 0 0 +2 +5 8 0x8008 0x5be0c00f @@ -61198,6 +76498,8 @@ 0 0 0 +4 +4 1 0x66ef 0xce820faf @@ -61206,6 +76508,8 @@ 0 0 0 +5 +1 6 0x24f1 0x59ec0dea @@ -61214,6 +76518,8 @@ 1 1 0 +5 +1 1 0x542d 0x1627c5e3 @@ -61222,6 +76528,8 @@ 1 0 0 +5 +0 2 0xc676 0x6bb41751 @@ -61230,6 +76538,8 @@ 0 0 0 +0 +1 10 0x5c63 0x35d69cf7 @@ -61238,6 +76548,8 @@ 1 0 0 +3 +0 1 0xb8ae 0x34e0c91d @@ -61246,6 +76558,8 @@ 1 0 0 +1 +5 8 0x6e64 0x146513f @@ -61254,6 +76568,8 @@ 0 0 0 +5 +0 8 0x78aa 0x5eebd525 @@ -61262,6 +76578,8 @@ 1 1 0 +3 +2 10 0x359f 0x54e765a3 @@ -61270,6 +76588,8 @@ 0 0 0 +2 +4 4 0x8cce 0x4b561f7b @@ -61278,6 +76598,8 @@ 0 0 0 +2 +4 8 0x6da9 0x5a405455 @@ -61286,6 +76608,8 @@ 1 0 0 +3 +5 1 0x7fd3 0xefc3fa16 @@ -61294,6 +76618,8 @@ 1 0 0 +3 +4 10 0x10e9 0x9d2a225a @@ -61303,6 +76629,8 @@ 0 0 4 +1 +4 0x2ac4 0x197db811 256 @@ -61310,6 +76638,8 @@ 1 1 0 +4 +4 5 0xa376 0xe0799d57 @@ -61318,6 +76648,8 @@ 0 0 0 +1 +5 3 0x9c0c 0xee7ea3b3 @@ -61327,6 +76659,8 @@ 0 0 4 +2 +4 0x1b7d 0xe57cc3b 256 @@ -61334,6 +76668,8 @@ 0 0 0 +2 +2 3 0x3b97 0x7654673e @@ -61342,6 +76678,8 @@ 0 0 0 +0 +0 5 0xb2e4 0xd86c775f @@ -61350,6 +76688,8 @@ 0 0 0 +2 +3 10 0x2555 0x2e807457 @@ -61358,6 +76698,8 @@ 0 0 0 +1 +1 7 0x646b 0x590b9663 @@ -61366,6 +76708,8 @@ 1 0 0 +0 +1 1 0xbdc2 0x2f1b02f3 @@ -61374,6 +76718,8 @@ 1 0 0 +2 +0 8 0x1e3e 0x1e407353 @@ -61382,6 +76728,8 @@ 1 1 0 +3 +0 9 0xb430 0xde7ff65d @@ -61390,6 +76738,8 @@ 0 0 0 +4 +4 1 0xaa83 0x3ed76257 @@ -61398,6 +76748,8 @@ 1 1 0 +0 +0 8 0xc5e0 0x7ebb977e @@ -61406,6 +76758,8 @@ 0 0 0 +3 +0 5 0x8c33 0x3a2abca5 @@ -61414,6 +76768,8 @@ 0 0 0 +5 +5 10 0x4cbd 0xc4f0baf6 @@ -61422,6 +76778,8 @@ 0 0 0 +2 +1 9 0x2b6 0x28b58b92 @@ -61430,6 +76788,8 @@ 0 0 0 +5 +5 4 0x5870 0x565dcb5e @@ -61438,6 +76798,8 @@ 1 0 0 +4 +2 6 0xf10d 0xfa0169c5 @@ -61446,6 +76808,8 @@ 1 1 0 +4 +3 8 0x82af 0xf7e6b4c2 @@ -61454,6 +76818,8 @@ 0 0 0 +0 +0 9 0x6377 0x80c28d25 @@ -61462,6 +76828,8 @@ 0 0 0 +3 +5 7 0x290 0x333603c @@ -61470,6 +76838,8 @@ 0 0 0 +1 +0 10 0x33be 0x23b80ea7 @@ -61478,6 +76848,8 @@ 1 0 0 +2 +3 6 0x3a3b 0xd2f650e7 @@ -61486,6 +76858,8 @@ 1 1 0 +5 +2 1 0x2a88 0x1bc9a2f3 @@ -61494,6 +76868,8 @@ 1 0 0 +1 +2 6 0x57d6 0x452ce208 @@ -61502,6 +76878,8 @@ 0 0 0 +3 +0 10 0x8884 0x64051ac5 @@ -61510,6 +76888,8 @@ 0 0 0 +0 +0 2 0x1adf 0x1ae4de28 @@ -61518,6 +76898,8 @@ 0 0 0 +3 +1 4 0x896c 0x5cae176a @@ -61526,6 +76908,8 @@ 1 0 0 +2 +5 3 0x8e1b 0x42fc7775 @@ -61534,6 +76918,8 @@ 0 0 0 +4 +4 2 0x349a 0xf28f66c7 @@ -61542,6 +76928,8 @@ 1 1 0 +0 +5 7 0x2043 0x2d794710 @@ -61550,6 +76938,8 @@ 0 0 0 +1 +4 2 0xe7ac 0x3775c613 @@ -61558,6 +76948,8 @@ 0 0 0 +5 +3 7 0xddcf 0xcc4bcebe @@ -61566,6 +76958,8 @@ 0 0 0 +3 +1 9 0x555c 0x9b347627 @@ -61574,6 +76968,8 @@ 1 1 0 +1 +2 10 0xef24 0x5ff538bc @@ -61582,6 +76978,8 @@ 0 0 0 +0 +5 10 0xaed1 0x9835c3c2 @@ -61591,6 +76989,8 @@ 0 0 2 +0 +2 0x4911 0x835685b7 256 @@ -61598,6 +76998,8 @@ 1 0 0 +5 +2 7 0x6eec 0xa1b4c92 @@ -61606,6 +77008,8 @@ 1 0 0 +3 +1 6 0x1bd5 0x8b84f0ef @@ -61614,6 +77018,8 @@ 1 1 0 +2 +1 3 0x462e 0x7dd182c6 @@ -61622,6 +77028,8 @@ 0 0 0 +5 +0 7 0x3027 0xf8f6662c @@ -61630,6 +77038,8 @@ 1 1 0 +4 +5 3 0x34a1 0xc9c07e94 @@ -61638,6 +77048,8 @@ 1 0 0 +2 +5 6 0x1771 0x886b17a0 @@ -61646,6 +77058,8 @@ 1 0 0 +5 +0 4 0xde17 0xd7fb7800 @@ -61654,6 +77068,8 @@ 1 1 0 +1 +1 4 0x253b 0x41f33f3a @@ -61662,6 +77078,8 @@ 0 0 0 +4 +4 10 0x265a 0x4e9ebf9 @@ -61670,6 +77088,8 @@ 0 0 0 +4 +1 3 0xf56f 0x7088dc17 @@ -61678,6 +77098,8 @@ 0 0 0 +3 +3 1 0x60fc 0x454fd7fb @@ -61686,6 +77108,8 @@ 1 0 0 +4 +5 7 0xeca4 0x4abace08 @@ -61694,6 +77118,8 @@ 0 0 0 +5 +0 7 0xf310 0xf52b2d16 @@ -61702,6 +77128,8 @@ 0 0 0 +4 +5 5 0xe16 0xfd7adb09 @@ -61710,6 +77138,8 @@ 0 0 0 +0 +5 10 0x516c 0x910c1240 @@ -61718,6 +77148,8 @@ 1 0 0 +4 +1 10 0x1f36 0xc3dbf906 @@ -61727,6 +77159,8 @@ 0 0 2 +5 +2 0x9a3a 0x60de6292 256 @@ -61734,6 +77168,8 @@ 0 0 0 +2 +2 8 0x29ea 0x6029fb33 @@ -61742,6 +77178,8 @@ 1 0 0 +5 +5 6 0x2429 0xa141b2f2 @@ -61750,6 +77188,8 @@ 0 0 0 +1 +0 10 0x5f1 0x314977ba @@ -61758,6 +77198,8 @@ 1 1 0 +0 +4 5 0xc3e6 0xf13e9322 @@ -61766,6 +77208,8 @@ 0 0 0 +3 +2 10 0xbc3f 0xe16570c9 @@ -61774,6 +77218,8 @@ 0 0 0 +0 +4 2 0x3989 0xf1f2226d @@ -61782,6 +77228,8 @@ 0 0 0 +5 +4 4 0x420b 0x3160839e @@ -61790,6 +77238,8 @@ 0 0 0 +0 +1 1 0x516a 0xd4297203 @@ -61798,6 +77248,8 @@ 1 0 0 +0 +4 8 0xbf48 0x2e9c144f @@ -61806,6 +77258,8 @@ 0 0 0 +0 +1 8 0x2fa0 0x3208cdbe @@ -61814,6 +77268,8 @@ 0 0 0 +1 +3 3 0x1df 0x6728f82b @@ -61822,6 +77278,8 @@ 0 0 0 +1 +3 7 0x2abd 0xf99ee75 @@ -61830,6 +77288,8 @@ 0 0 0 +0 +4 8 0x2711 0x884f312c @@ -61838,6 +77298,8 @@ 0 0 0 +3 +1 4 0xe5de 0x39a87449 @@ -61846,6 +77308,8 @@ 1 1 0 +5 +0 7 0xb3e5 0xa8ce1608 @@ -61854,6 +77318,8 @@ 0 0 0 +3 +1 6 0x8fe8 0x109be728 @@ -61862,6 +77328,8 @@ 1 0 0 +4 +0 5 0x3b5 0x834214db @@ -61870,6 +77338,8 @@ 0 0 0 +0 +3 10 0xa003 0xa1b65b98 @@ -61878,6 +77348,8 @@ 0 0 0 +0 +3 7 0xb048 0xfb8aa988 @@ -61886,6 +77358,8 @@ 0 0 0 +5 +1 3 0x9e33 0xcce30c2c @@ -61894,6 +77368,8 @@ 1 0 0 +0 +4 7 0x8087 0x972a49ba @@ -61902,6 +77378,8 @@ 1 1 0 +2 +2 1 0xf4c3 0x5cb5918c @@ -61910,6 +77388,8 @@ 1 1 0 +4 +3 9 0x85a6 0x16921fe8 @@ -61918,6 +77398,8 @@ 0 0 0 +2 +0 4 0x75da 0xfe69441e @@ -61926,6 +77408,8 @@ 0 0 0 +5 +3 1 0x1b44 0xf32512f5 @@ -61934,6 +77418,8 @@ 1 0 0 +5 +1 4 0x744b 0xdafe1135 @@ -61942,6 +77428,8 @@ 0 0 0 +3 +1 8 0xc2d 0x3d309473 @@ -61950,6 +77438,8 @@ 1 0 0 +0 +3 6 0xc535 0x7bf76ee6 @@ -61958,6 +77448,8 @@ 0 0 0 +3 +0 6 0x5e2d 0xd93d344 @@ -61966,6 +77458,8 @@ 1 1 0 +2 +0 9 0x269c 0x95820fb8 @@ -61974,6 +77468,8 @@ 1 0 0 +2 +1 7 0xc051 0x38d76ecc @@ -61982,6 +77478,8 @@ 0 0 0 +1 +3 6 0x92a1 0x4eb8bb90 @@ -61990,6 +77488,8 @@ 0 0 0 +4 +0 5 0x4728 0xa4c4f140 @@ -61998,6 +77498,8 @@ 1 1 0 +5 +5 9 0x8bef 0xb54231fe @@ -62006,6 +77508,8 @@ 1 1 0 +2 +3 1 0xdad0 0x84114df1 @@ -62014,6 +77518,8 @@ 1 0 0 +2 +2 7 0x9a9c 0x410c86eb @@ -62022,6 +77528,8 @@ 0 0 0 +1 +2 4 0x426 0xc9183e85 @@ -62030,6 +77538,8 @@ 0 0 0 +4 +5 9 0x6a64 0x183ed397 @@ -62038,6 +77548,8 @@ 1 0 0 +2 +0 6 0x3a47 0x30a31fb0 @@ -62046,6 +77558,8 @@ 1 0 0 +4 +5 8 0x3da1 0xeb8c4dee @@ -62054,6 +77568,8 @@ 0 0 0 +4 +4 8 0x249c 0x25713c3b @@ -62062,6 +77578,8 @@ 1 1 0 +4 +2 10 0xab5a 0x735016bf @@ -62070,6 +77588,8 @@ 1 1 0 +2 +5 4 0xd009 0xc9738043 @@ -62079,6 +77599,8 @@ 0 0 2 +5 +2 0xb2f0 0x9c78aa68 256 @@ -62086,6 +77608,8 @@ 1 0 0 +2 +2 6 0xcd5a 0x5b8176f1 @@ -62094,6 +77618,8 @@ 0 0 0 +0 +4 4 0xbf14 0x66c5254a @@ -62102,6 +77628,8 @@ 1 0 0 +1 +1 10 0x9cf8 0xe1c6da2a @@ -62110,6 +77638,8 @@ 0 0 0 +5 +3 2 0xbeb4 0x1a733384 @@ -62118,6 +77648,8 @@ 1 1 0 +0 +0 9 0x9668 0xa9fc6472 @@ -62127,6 +77659,8 @@ 0 0 5 +2 +5 0x88d9 0x1650f85e 256 @@ -62134,6 +77668,8 @@ 1 1 0 +1 +1 4 0x9efa 0x192fac16 @@ -62142,6 +77678,8 @@ 1 1 0 +2 +0 1 0x68df 0xd44fc258 @@ -62150,6 +77688,8 @@ 0 0 0 +2 +3 5 0x946b 0xbd92b4e6 @@ -62158,6 +77698,8 @@ 0 0 0 +5 +3 9 0x4dad 0x26e07a4a @@ -62166,6 +77708,8 @@ 0 0 0 +0 +0 2 0x599a 0x4b6a20ae @@ -62174,6 +77718,8 @@ 1 1 0 +2 +1 3 0xdebd 0x133a97db @@ -62182,6 +77728,8 @@ 0 0 0 +0 +5 3 0x4ece 0xfe4ca139 @@ -62190,6 +77738,8 @@ 0 0 0 +3 +1 1 0x81f0 0x590dd17c @@ -62198,6 +77748,8 @@ 1 0 0 +2 +0 9 0x8aba 0xbe3d45aa @@ -62207,6 +77759,8 @@ 0 0 3 +2 +3 0x68d4 0xcfba9ba9 256 @@ -62214,6 +77768,8 @@ 0 0 0 +2 +5 9 0xa8e5 0xbc1c8249 @@ -62222,6 +77778,8 @@ 0 0 0 +1 +3 5 0x2b2a 0x7b319b64 @@ -62230,6 +77788,8 @@ 0 0 0 +2 +2 3 0x54f0 0x55283437 @@ -62238,6 +77798,8 @@ 0 0 0 +3 +4 9 0xb587 0x144f621 @@ -62246,6 +77808,8 @@ 1 0 0 +3 +4 4 0xabb9 0xba128dd8 @@ -62255,6 +77819,8 @@ 0 0 2 +5 +2 0x8f5b 0x19e142b9 256 @@ -62262,6 +77828,8 @@ 1 0 0 +1 +0 8 0xb7c 0x18a816a7 @@ -62270,6 +77838,8 @@ 1 1 0 +0 +4 6 0x47cb 0x1fc8a645 @@ -62278,6 +77848,8 @@ 1 1 0 +4 +3 9 0x353e 0x98e0eee9 @@ -62286,6 +77858,8 @@ 0 0 0 +1 +2 7 0x4f1 0xb8e246ad @@ -62295,6 +77869,8 @@ 0 0 2 +2 +2 0x948d 0x4e5cac4c 256 @@ -62302,6 +77878,8 @@ 0 0 0 +0 +1 2 0x577e 0x970a0403 @@ -62310,6 +77888,8 @@ 1 1 0 +3 +1 6 0x86be 0x53518d30 @@ -62318,6 +77898,8 @@ 1 1 0 +3 +5 6 0x8bba 0x44440338 @@ -62326,6 +77908,8 @@ 0 0 0 +5 +1 7 0x7a4 0x825ad76c @@ -62334,6 +77918,8 @@ 0 0 0 +2 +1 9 0x1019 0xfd15ef2 @@ -62342,6 +77928,8 @@ 0 0 0 +4 +0 2 0xa023 0xc50bde58 @@ -62350,6 +77938,8 @@ 1 0 0 +3 +1 2 0x8501 0xf616fe32 @@ -62358,6 +77948,8 @@ 1 1 0 +5 +0 8 0xe6cc 0x3bc7c574 @@ -62366,6 +77958,8 @@ 0 0 0 +3 +0 9 0x4fd3 0x24c495c7 @@ -62374,6 +77968,8 @@ 0 0 0 +2 +4 3 0x1eca 0x7439d6b1 @@ -62382,6 +77978,8 @@ 1 1 0 +2 +3 6 0xab9f 0xfb0b5e77 @@ -62390,6 +77988,8 @@ 1 0 0 +4 +4 7 0x910 0x57d86c32 @@ -62398,6 +77998,8 @@ 1 0 0 +1 +3 5 0x758e 0xb0d41ab0 @@ -62406,6 +78008,8 @@ 0 0 0 +0 +3 6 0x8e7 0xdc60a421 @@ -62414,6 +78018,8 @@ 0 0 0 +2 +2 3 0xf916 0xbaf5c059 @@ -62422,6 +78028,8 @@ 1 1 0 +0 +2 2 0x948b 0x47dbdaa2 @@ -62430,6 +78038,8 @@ 1 1 0 +0 +3 7 0x8669 0xb8591903 @@ -62439,6 +78049,8 @@ 0 0 3 +4 +3 0xa2f9 0xb395681c 256 @@ -62446,6 +78058,8 @@ 1 0 0 +4 +0 3 0xe724 0xbda224a0 @@ -62454,6 +78068,8 @@ 0 0 0 +0 +3 6 0xf0d2 0xa9452d74 @@ -62462,6 +78078,8 @@ 0 0 0 +0 +1 7 0x205f 0x74ac3d30 @@ -62471,6 +78089,8 @@ 0 0 2 +3 +2 0xcb83 0xc320dd02 256 @@ -62478,6 +78098,8 @@ 1 1 0 +3 +5 5 0x73a6 0x34e3ca0c @@ -62486,6 +78108,8 @@ 1 1 0 +1 +5 9 0x7b30 0x2a55f107 @@ -62494,6 +78118,8 @@ 1 1 0 +2 +1 4 0xfa48 0x785affed @@ -62502,6 +78128,8 @@ 1 1 0 +3 +3 4 0xbcb7 0x895bfae3 @@ -62510,6 +78138,8 @@ 0 0 0 +4 +0 1 0x659c 0xd4f61294 @@ -62518,6 +78148,8 @@ 1 1 0 +2 +5 10 0xb1a9 0xfcd93a0d @@ -62526,6 +78158,8 @@ 0 0 0 +2 +2 4 0x162f 0x7dd1b8f3 @@ -62534,6 +78168,8 @@ 1 0 0 +4 +1 6 0x2dc4 0x63aaeba4 @@ -62542,6 +78178,8 @@ 0 0 0 +1 +3 3 0x1aee 0x7b8506eb @@ -62551,6 +78189,8 @@ 0 0 2 +0 +2 0x2d59 0x60061820 256 @@ -62558,6 +78198,8 @@ 0 0 0 +1 +5 2 0xf2da 0xc6d7f5d1 @@ -62566,6 +78208,8 @@ 1 1 0 +1 +3 5 0x36bc 0x654bd845 @@ -62574,6 +78218,8 @@ 0 0 0 +1 +3 7 0xda9c 0xb8ab3ef1 @@ -62582,6 +78228,8 @@ 0 0 0 +5 +1 7 0xf707 0x57e56ef3 @@ -62590,6 +78238,8 @@ 0 0 0 +4 +5 9 0xa06e 0x429ff1ab @@ -62598,6 +78248,8 @@ 1 0 0 +2 +3 1 0x1f39 0x8525e7f0 @@ -62606,6 +78258,8 @@ 1 1 0 +4 +2 6 0x48a6 0xc85c1a32 @@ -62614,6 +78268,8 @@ 1 1 0 +2 +0 9 0xcf05 0xf544fc74 @@ -62622,6 +78278,8 @@ 1 0 0 +0 +4 9 0xb3e0 0xbf255638 @@ -62630,6 +78288,8 @@ 1 0 0 +4 +5 8 0xa4bc 0x98b914e0 @@ -62638,6 +78298,8 @@ 0 0 0 +0 +1 9 0x9bb5 0x7f1b0b8 @@ -62646,6 +78308,8 @@ 0 0 0 +0 +4 6 0x6190 0x1aa4369a @@ -62654,6 +78318,8 @@ 1 0 0 +5 +5 3 0xe8b9 0x293860f7 @@ -62662,6 +78328,8 @@ 1 1 0 +3 +0 10 0x94d4 0xb13eaab5 @@ -62670,6 +78338,8 @@ 0 0 0 +2 +1 9 0x3ef7 0xb2aef420 @@ -62678,6 +78348,8 @@ 0 0 0 +4 +0 8 0xccc1 0xc26f83b4 @@ -62686,6 +78358,8 @@ 0 0 0 +2 +4 3 0xa9d7 0x41fcd21c @@ -62694,6 +78368,8 @@ 1 1 0 +3 +3 10 0x34b1 0x4865a642 @@ -62702,6 +78378,8 @@ 1 1 0 +3 +1 1 0x483c 0xeefc4457 @@ -62710,6 +78388,8 @@ 1 0 0 +2 +3 9 0xd3d4 0xd6cf0631 @@ -62718,6 +78398,8 @@ 1 1 0 +4 +3 5 0xe419 0x43acb892 @@ -62726,6 +78408,8 @@ 1 1 0 +1 +1 10 0x4fa0 0x6e90711b @@ -62735,6 +78419,8 @@ 0 0 2 +3 +2 0xdb2f 0xd867efce 256 @@ -62742,6 +78428,8 @@ 0 0 0 +1 +2 4 0xc978 0xfed26756 @@ -62750,6 +78438,8 @@ 0 0 0 +4 +0 2 0x2492 0x3e28a839 @@ -62759,6 +78449,8 @@ 0 0 4 +1 +4 0x67f4 0xf1ac4b62 256 @@ -62766,6 +78458,8 @@ 1 1 0 +0 +2 9 0xbb50 0x46498513 @@ -62774,6 +78468,8 @@ 1 0 0 +3 +4 5 0x68a5 0x6111a0ed @@ -62782,6 +78478,8 @@ 0 0 0 +1 +1 3 0xa2d0 0xeb32df29 @@ -62790,6 +78488,8 @@ 1 1 0 +5 +2 1 0x86d6 0xfabbb622 @@ -62798,6 +78498,8 @@ 1 0 0 +0 +4 9 0x262b 0xdd2abd56 @@ -62806,6 +78508,8 @@ 1 1 0 +4 +0 9 0x52a6 0x8704107a @@ -62814,6 +78518,8 @@ 0 0 0 +3 +2 2 0x5aa0 0xcc50d61e @@ -62822,6 +78528,8 @@ 0 0 0 +4 +5 10 0x711 0x3cfc14b2 @@ -62830,6 +78538,8 @@ 1 1 0 +2 +4 3 0xc988 0x8ff5a97a @@ -62838,6 +78548,8 @@ 0 0 0 +5 +3 7 0xe3ee 0x27424007 @@ -62846,6 +78558,8 @@ 1 1 0 +1 +3 10 0x155 0x5297332a @@ -62855,6 +78569,8 @@ 0 0 3 +3 +3 0xc127 0x2643be58 256 @@ -62862,6 +78578,8 @@ 0 0 0 +4 +1 1 0xf7ba 0x6259ab8d @@ -62870,6 +78588,8 @@ 0 0 0 +2 +3 6 0xae8f 0x56675911 @@ -62878,6 +78598,8 @@ 0 0 0 +4 +4 8 0xaf6e 0x38e374cb @@ -62887,6 +78609,8 @@ 1 0 3 +2 +3 0x6422 0x24bdb01 256 @@ -62894,6 +78618,8 @@ 1 1 0 +0 +1 9 0xa750 0xf872835f @@ -62902,6 +78628,8 @@ 0 0 0 +2 +1 4 0xcefa 0x42a32c4a @@ -62910,6 +78638,8 @@ 0 0 0 +5 +3 6 0x7051 0x57a86d14 @@ -62918,6 +78648,8 @@ 1 0 0 +4 +5 1 0x303f 0xf9a3ffcf @@ -62926,6 +78658,8 @@ 0 0 0 +2 +0 8 0x5524 0xe2aad73 @@ -62934,6 +78668,8 @@ 0 0 0 +4 +5 5 0xc884 0xbe980153 @@ -62942,6 +78678,8 @@ 0 0 0 +4 +2 3 0x5ec3 0x120ad546 @@ -62950,6 +78688,8 @@ 0 0 0 +3 +2 1 0xd85c 0xaf0a3a82 @@ -62958,6 +78698,8 @@ 1 0 0 +3 +5 2 0x96ca 0x61ebe855 @@ -62966,6 +78708,8 @@ 0 0 0 +0 +0 1 0x6496 0x7f7f22ad @@ -62974,6 +78718,8 @@ 0 0 0 +0 +4 3 0xc0db 0x7b870922 @@ -62982,6 +78728,8 @@ 0 0 0 +3 +5 6 0xab7d 0x8af6c6e4 @@ -62991,6 +78739,8 @@ 0 0 2 +3 +2 0xaee2 0x2102ac76 256 @@ -62998,6 +78748,8 @@ 1 0 0 +5 +0 8 0xf01d 0x30db5c3c @@ -63006,6 +78758,8 @@ 0 0 0 +2 +1 9 0xab79 0x1b6da4e5 @@ -63014,6 +78768,8 @@ 1 0 0 +3 +3 6 0x8afc 0xa7620560 @@ -63022,6 +78778,8 @@ 1 1 0 +3 +5 6 0x127 0x8fd4155f @@ -63030,6 +78788,8 @@ 1 1 0 +4 +1 3 0x248b 0x3aa8851a @@ -63038,6 +78798,8 @@ 1 0 0 +0 +0 2 0x2470 0x86647245 @@ -63046,6 +78808,8 @@ 0 0 0 +2 +5 4 0x177c 0x685dceb6 @@ -63055,6 +78819,8 @@ 0 0 3 +1 +3 0x2831 0x79d29d26 256 @@ -63063,6 +78829,8 @@ 1 0 4 +4 +4 0x34f7 0xbd72bef4 256 @@ -63070,6 +78838,8 @@ 1 1 0 +4 +4 1 0x1e4e 0x390453d4 @@ -63078,6 +78848,8 @@ 1 0 0 +2 +1 5 0x25ca 0x681d97a3 @@ -63086,6 +78858,8 @@ 1 1 0 +0 +4 4 0xda32 0xd6c5b805 @@ -63094,6 +78868,8 @@ 0 0 0 +1 +1 9 0x5e60 0xc017436e @@ -63102,6 +78878,8 @@ 1 0 0 +5 +3 2 0x96ba 0xc79a5c63 @@ -63110,6 +78888,8 @@ 1 0 0 +2 +1 5 0xc213 0x7270ea82 @@ -63118,6 +78898,8 @@ 0 0 0 +3 +2 1 0xa434 0x5a4041c1 @@ -63126,6 +78908,8 @@ 1 1 0 +5 +1 8 0x9c94 0xb0667c7a @@ -63134,6 +78918,8 @@ 0 0 0 +2 +0 5 0x8cb2 0xae896b1f @@ -63142,6 +78928,8 @@ 0 0 0 +3 +1 1 0x2f87 0xe080754d @@ -63150,6 +78938,8 @@ 0 0 0 +4 +1 10 0x60da 0xe455e1ba @@ -63158,6 +78948,8 @@ 1 1 0 +2 +5 6 0x8dc9 0x3f715648 @@ -63166,6 +78958,8 @@ 0 0 0 +1 +5 9 0x31a3 0xc1fe7fd2 @@ -63174,6 +78968,8 @@ 1 0 0 +0 +1 4 0xafab 0x564ba6ee @@ -63182,6 +78978,8 @@ 0 0 0 +0 +0 5 0xd434 0x7756afe0 @@ -63190,6 +78988,8 @@ 0 0 0 +0 +4 1 0xcbdf 0x844270b8 @@ -63198,6 +78998,8 @@ 0 0 0 +5 +4 9 0xc4d8 0xcd1e3184 @@ -63206,6 +79008,8 @@ 1 0 0 +4 +0 2 0x2aeb 0x996b78af @@ -63214,6 +79018,8 @@ 1 0 0 +4 +4 10 0xc7fc 0x85aea97c @@ -63222,6 +79028,8 @@ 0 0 0 +0 +4 3 0xe6e4 0x1e2b5bcf @@ -63230,6 +79038,8 @@ 0 0 0 +5 +5 1 0x162b 0x7ce8cdbf @@ -63238,6 +79048,8 @@ 1 0 0 +0 +5 6 0x1e37 0xebcc5d5b @@ -63246,6 +79058,8 @@ 0 0 0 +0 +5 9 0x2346 0xdf80bf2a @@ -63254,6 +79068,8 @@ 1 1 0 +1 +5 4 0x4261 0xc0820a7c @@ -63262,6 +79078,8 @@ 1 1 0 +4 +0 8 0xa7ea 0xec63141e @@ -63270,6 +79088,8 @@ 0 0 0 +4 +0 9 0x14d3 0x50ed7c67 @@ -63278,6 +79098,8 @@ 0 0 0 +2 +5 9 0x1cc7 0x3cae523c @@ -63286,6 +79108,8 @@ 1 0 0 +3 +3 8 0xd20c 0x768db9e7 @@ -63294,6 +79118,8 @@ 1 1 0 +2 +4 10 0x194a 0xdad760bf @@ -63303,6 +79129,8 @@ 1 0 2 +4 +2 0xdf6f 0x6932ab67 256 @@ -63310,6 +79138,8 @@ 0 0 0 +2 +5 5 0x39de 0xe7695609 @@ -63318,6 +79148,8 @@ 0 0 0 +2 +0 7 0xa650 0x14a2afe3 @@ -63326,6 +79158,8 @@ 0 0 0 +2 +5 10 0x2686 0x911057d9 @@ -63334,6 +79168,8 @@ 1 1 0 +3 +2 6 0x6fca 0xb6bc98c @@ -63342,6 +79178,8 @@ 1 0 0 +2 +0 8 0xcd4a 0xa2ccb774 @@ -63350,6 +79188,8 @@ 0 0 0 +5 +0 4 0xe6c6 0x14403f46 @@ -63358,6 +79198,8 @@ 1 0 0 +2 +5 7 0x774b 0xe58a48db @@ -63366,6 +79208,8 @@ 1 0 0 +3 +1 6 0x30d2 0xa8375ec8 @@ -63374,6 +79218,8 @@ 1 0 0 +4 +4 7 0x6f14 0xd03bb18e @@ -63382,6 +79228,8 @@ 0 0 0 +0 +5 7 0x22b6 0xd9b77b6e @@ -63390,6 +79238,8 @@ 0 0 0 +0 +2 8 0x7c7 0x6a5f89c1 @@ -63398,6 +79248,8 @@ 0 0 0 +4 +3 5 0x9a7e 0x48235b16 @@ -63406,6 +79258,8 @@ 1 1 0 +5 +2 6 0x30de 0xeff60e98 @@ -63414,6 +79268,8 @@ 1 0 0 +0 +4 1 0x6cce 0x3e39b32d @@ -63422,6 +79278,8 @@ 0 0 0 +3 +4 2 0xb860 0xdd311b0b @@ -63430,6 +79288,8 @@ 1 1 0 +2 +1 5 0x88f4 0xcac98914 @@ -63438,6 +79298,8 @@ 0 0 0 +5 +2 8 0x76c2 0x67fc9cd3 @@ -63446,6 +79308,8 @@ 1 0 0 +5 +5 1 0x90c1 0x6986ba66 @@ -63454,6 +79318,8 @@ 1 1 0 +3 +1 6 0x4007 0x5eb6bdda @@ -63462,6 +79328,8 @@ 1 0 0 +3 +0 10 0x938d 0x286ed084 @@ -63470,6 +79338,8 @@ 0 0 0 +3 +5 9 0xa944 0x8099df13 @@ -63478,6 +79348,8 @@ 1 0 0 +5 +3 7 0x2c7b 0xaddd7ee @@ -63486,6 +79358,8 @@ 1 1 0 +5 +1 10 0x4a5 0x3e77035b @@ -63494,6 +79368,8 @@ 1 0 0 +4 +3 7 0xb12d 0xcf8b54de @@ -63502,6 +79378,8 @@ 1 0 0 +5 +2 6 0xd496 0xf821e4fe @@ -63510,6 +79388,8 @@ 1 1 0 +4 +5 3 0x48c1 0x99430a4d @@ -63518,6 +79398,8 @@ 0 0 0 +2 +4 9 0xadd4 0x8d7a4c6f @@ -63526,6 +79408,8 @@ 0 0 0 +2 +3 6 0x8215 0xcaddf6aa @@ -63534,6 +79418,8 @@ 1 1 0 +5 +1 7 0xed6b 0xc8f5887f @@ -63542,6 +79428,8 @@ 1 0 0 +1 +5 6 0x8787 0x2e22b27b @@ -63550,6 +79438,8 @@ 1 0 0 +2 +1 10 0xbd12 0x60224326 @@ -63558,6 +79448,8 @@ 1 1 0 +4 +3 5 0x4ec1 0xdfe277e4 @@ -63566,6 +79458,8 @@ 0 0 0 +2 +0 9 0xbbe 0x2ea8e9bf @@ -63575,6 +79469,8 @@ 0 0 3 +3 +3 0x8cf4 0x2029e8f2 256 @@ -63582,6 +79478,8 @@ 1 1 0 +1 +0 9 0x16cd 0x7b40b13f @@ -63590,6 +79488,8 @@ 0 0 0 +2 +3 5 0x2e8b 0x6e608ce2 @@ -63598,6 +79498,8 @@ 1 1 0 +4 +2 1 0x5764 0x13036b71 @@ -63606,6 +79508,8 @@ 0 0 0 +0 +3 10 0x9271 0x2249af43 @@ -63614,6 +79518,8 @@ 1 1 0 +0 +0 9 0x4fb9 0x91b005cb @@ -63622,6 +79528,8 @@ 1 0 0 +3 +1 5 0xd618 0xa6a5c609 @@ -63630,6 +79538,8 @@ 1 0 0 +0 +1 5 0x7e7f 0xbaf255c9 @@ -63638,6 +79548,8 @@ 0 0 0 +0 +5 7 0x1e3 0x8df382bb @@ -63646,6 +79558,8 @@ 1 0 0 +4 +2 8 0x3a6 0x5a39c3c @@ -63654,6 +79568,8 @@ 1 1 0 +3 +0 7 0xb913 0x5932ca2f @@ -63662,6 +79578,8 @@ 0 0 0 +5 +0 4 0xf921 0x48d83974 @@ -63670,6 +79588,8 @@ 0 0 0 +1 +0 4 0x5a50 0xe61fc36d @@ -63678,6 +79598,8 @@ 0 0 0 +4 +4 7 0xe428 0xafbe8798 @@ -63686,6 +79608,8 @@ 0 0 0 +0 +2 1 0x2dcf 0x478b89b1 @@ -63694,6 +79618,8 @@ 0 0 0 +0 +4 10 0x9c25 0x780b6e97 @@ -63702,6 +79628,8 @@ 0 0 0 +2 +5 10 0xc533 0x7fdb4401 @@ -63710,6 +79638,8 @@ 1 0 0 +5 +2 2 0x59d5 0xf83a53a0 @@ -63718,6 +79648,8 @@ 0 0 0 +2 +0 3 0x7446 0x1bff70d6 @@ -63726,6 +79658,8 @@ 0 0 0 +4 +0 3 0xbf62 0xa73a51e3 @@ -63734,6 +79668,8 @@ 1 1 0 +5 +1 9 0x4a1e 0x156b5b5c @@ -63742,6 +79678,8 @@ 0 0 0 +0 +2 9 0x6b92 0x84122234 @@ -63750,6 +79688,8 @@ 0 0 0 +5 +3 2 0x2a80 0xdc55a1e7 @@ -63758,6 +79698,8 @@ 1 1 0 +0 +1 4 0x4bde 0x9071d83 @@ -63766,6 +79708,8 @@ 1 1 0 +5 +0 3 0x2048 0x579fd44a @@ -63775,6 +79719,8 @@ 0 0 3 +0 +3 0xa32e 0xf453ef0a 256 @@ -63782,6 +79728,8 @@ 1 0 0 +2 +3 9 0xd78d 0xd0fac351 @@ -63790,6 +79738,8 @@ 1 1 0 +3 +4 6 0xe1e7 0x91b5c14b @@ -63798,6 +79748,8 @@ 1 0 0 +1 +3 2 0xff4c 0x7fce9c8b @@ -63806,6 +79758,8 @@ 0 0 0 +5 +1 3 0xb087 0x6b12178 @@ -63814,6 +79768,8 @@ 0 0 0 +5 +3 3 0x3dc4 0xca5c7be2 @@ -63822,6 +79778,8 @@ 0 0 0 +4 +3 7 0xb2c4 0xc2390983 @@ -63830,6 +79788,8 @@ 0 0 0 +2 +3 3 0xa2fa 0xda667846 @@ -63838,6 +79798,8 @@ 0 0 0 +0 +3 6 0xd23f 0xce908b57 @@ -63846,6 +79808,8 @@ 1 1 0 +2 +3 9 0x7a20 0x566b8e01 @@ -63854,6 +79818,8 @@ 1 1 0 +2 +0 8 0xd9d7 0x134e8992 @@ -63863,6 +79829,8 @@ 0 0 4 +5 +4 0x5432 0x2f08e23b 256 @@ -63870,6 +79838,8 @@ 0 0 0 +2 +4 9 0x5e8a 0xf0803c6a @@ -63878,6 +79848,8 @@ 0 0 0 +4 +4 6 0xe7bf 0x29772cf9 @@ -63886,6 +79858,8 @@ 0 0 0 +0 +3 9 0x2834 0xbc9b0294 @@ -63894,6 +79868,8 @@ 0 0 0 +4 +2 5 0x27ff 0x23a55e83 @@ -63902,6 +79878,8 @@ 0 0 0 +0 +1 7 0x7473 0xf47b6274 @@ -63910,6 +79888,8 @@ 1 1 0 +5 +1 7 0xb22 0xe148b380 @@ -63918,6 +79898,8 @@ 0 0 0 +3 +5 8 0xe306 0xcbcf531 @@ -63926,6 +79908,8 @@ 0 0 0 +1 +3 5 0xdedc 0x2402bc4 @@ -63934,6 +79918,8 @@ 1 0 0 +5 +5 9 0x6732 0x9603f5d2 @@ -63943,6 +79929,8 @@ 0 0 3 +4 +3 0x8de2 0xc818d868 256 @@ -63950,6 +79938,8 @@ 1 0 0 +1 +5 9 0x3fe 0xf03d0d71 @@ -63958,6 +79948,8 @@ 0 0 0 +3 +1 8 0xb0a6 0x2c7308e3 @@ -63966,6 +79958,8 @@ 1 0 0 +0 +2 5 0xf2a3 0x8310869a @@ -63974,6 +79968,8 @@ 1 0 0 +1 +4 3 0xac34 0xc5621c3f @@ -63982,6 +79978,8 @@ 1 1 0 +0 +4 10 0x9991 0xf8e07d76 @@ -63990,6 +79988,8 @@ 1 1 0 +0 +1 5 0x8156 0xbd839c3c @@ -63998,6 +79998,8 @@ 1 0 0 +3 +4 1 0xde8b 0x32be2ed1 @@ -64006,6 +80008,8 @@ 1 0 0 +5 +3 1 0xfa0d 0x42d37440 @@ -64014,6 +80018,8 @@ 0 0 0 +2 +3 4 0xea11 0x42258227 @@ -64022,6 +80028,8 @@ 1 0 0 +3 +5 1 0x5a2c 0xbcfe686e @@ -64030,6 +80038,8 @@ 0 0 0 +5 +1 4 0x9be7 0x4c16f87d @@ -64038,6 +80048,8 @@ 0 0 0 +0 +5 9 0xa544 0x726ccfae @@ -64046,6 +80058,8 @@ 1 1 0 +2 +1 10 0xf9a7 0xe5a35747 @@ -64054,6 +80068,8 @@ 1 1 0 +1 +2 5 0x4ab9 0x97c01014 @@ -64062,6 +80078,8 @@ 0 0 0 +3 +0 5 0xa42e 0xb1c7ccd @@ -64070,6 +80088,8 @@ 0 0 0 +2 +5 4 0xdede 0xad10f4af @@ -64078,6 +80098,8 @@ 0 0 0 +3 +1 8 0xbde7 0xc26d22f6 @@ -64086,6 +80108,8 @@ 0 0 0 +2 +3 3 0x775d 0x83ffd628 @@ -64094,6 +80118,8 @@ 1 1 0 +3 +2 9 0xa468 0x9fa54838 @@ -64102,6 +80128,8 @@ 1 1 0 +2 +3 6 0x234b 0x6315bd4f @@ -64110,6 +80138,8 @@ 1 0 0 +0 +4 7 0x87f0 0x5caaff5e @@ -64118,6 +80148,8 @@ 1 0 0 +5 +2 4 0xc7e7 0x70a75a29 @@ -64126,6 +80158,8 @@ 0 0 0 +0 +4 1 0x1b73 0xc8867ca @@ -64134,6 +80168,8 @@ 0 0 0 +5 +3 1 0xcaa2 0x22a1e629 @@ -64142,6 +80178,8 @@ 1 0 0 +3 +3 10 0xc612 0xc8b6eef3 @@ -64151,6 +80189,8 @@ 0 0 5 +5 +5 0x73da 0xdc2bc406 256 @@ -64158,6 +80198,8 @@ 0 0 0 +4 +5 8 0x6f4 0x82ac18 @@ -64166,6 +80208,8 @@ 0 0 0 +1 +1 4 0xac43 0x9b6e6e00 @@ -64174,6 +80218,8 @@ 1 1 0 +2 +2 5 0x3e9b 0x99022bff @@ -64183,6 +80229,8 @@ 0 0 5 +3 +5 0xcd80 0xfded7b3b 256 @@ -64190,6 +80238,8 @@ 0 0 0 +4 +3 8 0x735c 0x34e9a4a5 @@ -64198,6 +80248,8 @@ 1 0 0 +5 +2 3 0x3535 0x3f9a2223 @@ -64206,6 +80258,8 @@ 0 0 0 +0 +5 8 0x48fe 0x9770a04a @@ -64214,6 +80268,8 @@ 0 0 0 +1 +4 3 0x1bc5 0xbd409b6c @@ -64222,6 +80278,8 @@ 0 0 0 +4 +0 10 0x770e 0xe67ec96e @@ -64230,6 +80288,8 @@ 1 1 0 +0 +0 8 0x314b 0xaebeb16c @@ -64238,6 +80298,8 @@ 1 1 0 +3 +3 8 0x4ac8 0x289ba779 @@ -64247,6 +80309,8 @@ 1 0 3 +5 +3 0xc9cb 0xea17693a 256 @@ -64254,6 +80318,8 @@ 1 0 0 +5 +5 1 0x68f0 0xbcf50a2e @@ -64262,6 +80328,8 @@ 1 0 0 +1 +4 9 0x9eed 0x31070eeb @@ -64270,6 +80338,8 @@ 1 1 0 +5 +2 6 0x2105 0xdec81c62 @@ -64278,6 +80348,8 @@ 1 0 0 +1 +2 7 0xf94 0x28aacfb6 @@ -64287,6 +80359,8 @@ 0 0 1 +4 +1 0x1be 0xe0efe010 256 @@ -64294,6 +80368,8 @@ 0 0 0 +5 +4 8 0x2fb1 0x98f66406 @@ -64302,6 +80378,8 @@ 1 1 0 +4 +4 8 0x1fcf 0xc28a0b67 @@ -64310,6 +80388,8 @@ 1 1 0 +3 +2 9 0x69f3 0x3c555fbd @@ -64318,6 +80398,8 @@ 1 1 0 +4 +2 1 0xf154 0xa6687aef @@ -64326,6 +80408,8 @@ 0 0 0 +3 +2 9 0x1bd 0xeea610c2 @@ -64334,6 +80418,8 @@ 0 0 0 +2 +0 9 0x2854 0x3ea78cb3 @@ -64342,6 +80428,8 @@ 1 0 0 +2 +5 7 0xdbf6 0xdc702996 @@ -64350,6 +80438,8 @@ 1 1 0 +2 +2 3 0xdb03 0xc4ae1d98 @@ -64358,6 +80448,8 @@ 0 0 0 +2 +5 1 0xca69 0x78613af0 @@ -64366,6 +80458,8 @@ 1 1 0 +0 +4 8 0xd488 0xf88ec743 @@ -64374,6 +80468,8 @@ 1 0 0 +2 +2 4 0x6fcb 0x8ac1290d @@ -64382,6 +80478,8 @@ 0 0 0 +3 +0 7 0x41b6 0x1fa60136 @@ -64390,6 +80488,8 @@ 1 1 0 +1 +2 7 0x7e13 0x43effda7 @@ -64398,6 +80498,8 @@ 1 1 0 +3 +5 5 0xb3f9 0x6fe7597d @@ -64406,6 +80508,8 @@ 0 0 0 +4 +2 6 0x2e74 0xb2d6a0f3 @@ -64414,6 +80518,8 @@ 1 1 0 +4 +0 6 0xf759 0x4bd1e913 @@ -64422,6 +80528,8 @@ 1 1 0 +1 +2 9 0x7ec7 0xc5a8bc25 @@ -64430,6 +80538,8 @@ 1 0 0 +1 +2 4 0x56c5 0x7efecb9f @@ -64439,6 +80549,8 @@ 0 0 3 +0 +3 0x61ff 0x1c854412 256 @@ -64447,6 +80559,8 @@ 1 0 2 +2 +2 0xb6cc 0x793ee780 256 @@ -64454,6 +80568,8 @@ 0 0 0 +2 +2 3 0x7178 0xddb12a10 @@ -64462,6 +80578,8 @@ 1 1 0 +4 +5 8 0xd279 0x1d808fdb @@ -64470,6 +80588,8 @@ 1 1 0 +5 +5 7 0xf604 0x5883eeaa @@ -64478,6 +80598,8 @@ 1 1 0 +2 +3 8 0xdfcd 0x56551242 @@ -64486,6 +80608,8 @@ 0 0 0 +0 +3 2 0x7992 0x88f643c3 @@ -64494,6 +80618,8 @@ 1 0 0 +4 +0 8 0xa3f1 0x9fd27b97 @@ -64502,6 +80628,8 @@ 1 0 0 +4 +1 10 0x58b3 0x64d445dd @@ -64510,6 +80638,8 @@ 0 0 0 +4 +4 1 0xad54 0xc2fc9161 @@ -64518,6 +80648,8 @@ 1 1 0 +0 +2 5 0x385 0x85e0c4dc @@ -64526,6 +80658,8 @@ 0 0 0 +1 +1 9 0xd55e 0xdc314c70 @@ -64534,6 +80668,8 @@ 0 0 0 +2 +4 7 0x80b3 0x7d9f12d9 @@ -64542,6 +80678,8 @@ 0 0 0 +3 +5 10 0x67c4 0xf4c73269 @@ -64550,6 +80688,8 @@ 0 0 0 +0 +3 10 0x173a 0x6223b0fa @@ -64558,6 +80698,8 @@ 0 0 0 +2 +2 8 0xa47e 0xdda24e9d @@ -64566,6 +80708,8 @@ 0 0 0 +3 +1 1 0x5eb9 0x4c26dc2 @@ -64574,6 +80718,8 @@ 1 1 0 +3 +3 9 0xa141 0x1f52dc53 @@ -64583,6 +80729,8 @@ 1 0 4 +4 +4 0x193 0xc0eb1705 256 @@ -64590,6 +80738,8 @@ 0 0 0 +4 +0 3 0x9129 0x89878f6f @@ -64598,6 +80748,8 @@ 1 1 0 +5 +3 3 0xd563 0x452c1965 @@ -64607,6 +80759,8 @@ 0 0 3 +3 +3 0x6aad 0x23dc7c81 256 @@ -64614,6 +80768,8 @@ 1 0 0 +2 +1 5 0x3c21 0x4eb0aeb4 @@ -64622,6 +80778,8 @@ 1 0 0 +5 +3 3 0xfcd0 0x83e44303 @@ -64630,6 +80788,8 @@ 1 1 0 +1 +4 3 0x2d19 0xb7d9447 @@ -64638,6 +80798,8 @@ 1 0 0 +0 +4 1 0xc0e6 0xd4cf635e @@ -64646,6 +80808,8 @@ 1 1 0 +1 +2 5 0x4308 0x99a0ad59 @@ -64655,6 +80819,8 @@ 0 0 2 +4 +2 0xd779 0x677746d8 256 @@ -64662,6 +80828,8 @@ 0 0 0 +1 +3 4 0x5146 0xaa868109 @@ -64670,6 +80838,8 @@ 0 0 0 +2 +4 3 0xcee7 0xa98addc @@ -64678,6 +80848,8 @@ 0 0 0 +0 +2 7 0x13df 0xe38d2a5d @@ -64686,6 +80858,8 @@ 1 1 0 +5 +1 8 0x90ae 0x2f28efb3 @@ -64694,6 +80868,8 @@ 1 1 0 +5 +0 4 0x9d2 0xa74f79c3 @@ -64702,6 +80878,8 @@ 1 1 0 +3 +1 2 0xc1fc 0x1b18eb30 @@ -64710,6 +80888,8 @@ 1 0 0 +4 +2 8 0xb90a 0x3223f4c2 @@ -64718,6 +80898,8 @@ 1 1 0 +5 +4 1 0x602a 0x3a807d58 @@ -64726,6 +80908,8 @@ 0 0 0 +3 +4 4 0xf095 0xbec9e4a3 @@ -64734,6 +80918,8 @@ 0 0 0 +3 +4 4 0x72a3 0xec27afad @@ -64742,6 +80928,8 @@ 0 0 0 +4 +5 8 0x3846 0x55584e0c @@ -64750,6 +80938,8 @@ 1 1 0 +3 +2 4 0x2c4a 0xbd89e598 @@ -64758,6 +80948,8 @@ 1 1 0 +1 +0 5 0xdee0 0xa23d5ea1 @@ -64766,6 +80958,8 @@ 0 0 0 +5 +3 4 0xab60 0xd65df524 @@ -64774,6 +80968,8 @@ 0 0 0 +4 +2 6 0x62a8 0x8d50c708 @@ -64782,6 +80978,8 @@ 0 0 0 +0 +3 10 0x7131 0xc2306c53 @@ -64791,6 +80989,8 @@ 0 0 4 +4 +4 0x70e 0x732c6561 256 @@ -64798,6 +80998,8 @@ 1 0 0 +2 +3 6 0x5471 0x8339b1ae @@ -64806,6 +81008,8 @@ 0 0 0 +1 +3 9 0xbd52 0x99c90c53 @@ -64814,6 +81018,8 @@ 0 0 0 +3 +4 2 0x8b80 0x6118c050 @@ -64822,6 +81028,8 @@ 0 0 0 +2 +5 3 0xb0ff 0xfde82557 @@ -64830,6 +81038,8 @@ 1 1 0 +0 +5 5 0x239 0xa14ee58c @@ -64838,6 +81048,8 @@ 1 1 0 +0 +0 9 0xb729 0x3a3b6381 @@ -64846,6 +81058,8 @@ 1 0 0 +5 +4 9 0x4100 0xdb25827e @@ -64854,6 +81068,8 @@ 0 0 0 +0 +3 10 0x807b 0xd47b7a52 @@ -64862,6 +81078,8 @@ 0 0 0 +3 +4 6 0x9c02 0xa436693f @@ -64870,6 +81088,8 @@ 1 0 0 +2 +4 9 0x9329 0xd727784f @@ -64878,6 +81098,8 @@ 1 0 0 +1 +3 8 0x9c2c 0xe92f166f @@ -64887,6 +81109,8 @@ 1 0 4 +2 +4 0xf5da 0xe14f4c9e 256 @@ -64894,6 +81118,8 @@ 0 0 0 +0 +2 7 0xdc17 0x7bdb9efb @@ -64902,6 +81128,8 @@ 0 0 0 +4 +4 2 0x4a59 0xbf42e7f3 @@ -64910,6 +81138,8 @@ 1 1 0 +0 +0 10 0x826b 0x30fa995c @@ -64919,6 +81149,8 @@ 1 0 5 +0 +5 0x4af2 0x5c517f69 256 @@ -64926,6 +81158,8 @@ 0 0 0 +4 +2 6 0x3976 0x330fbd9f @@ -64934,6 +81168,8 @@ 1 1 0 +0 +2 8 0xdaf7 0x7bd42497 @@ -64942,6 +81178,8 @@ 0 0 0 +2 +1 10 0xe466 0x3cfcb268 @@ -64950,6 +81188,8 @@ 1 1 0 +1 +2 6 0x14ce 0x85cd3102 @@ -64958,6 +81198,8 @@ 0 0 0 +2 +2 4 0xe1c4 0x846b63fb @@ -64966,6 +81208,8 @@ 1 1 0 +4 +2 10 0x7eff 0xd1cf0234 @@ -64974,6 +81218,8 @@ 1 1 0 +0 +4 7 0xd384 0xc0cb5732 @@ -64982,6 +81228,8 @@ 0 0 0 +1 +3 7 0x4673 0x2f623276 @@ -64990,6 +81238,8 @@ 1 0 0 +1 +4 3 0x79f6 0xa10cb60e @@ -64998,6 +81248,8 @@ 1 0 0 +2 +0 7 0x4c53 0xddcb2f2 @@ -65006,6 +81258,8 @@ 1 0 0 +1 +3 4 0x895b 0xe44a9b94 @@ -65014,6 +81268,8 @@ 0 0 0 +0 +3 2 0x1f91 0xd521eace @@ -65022,6 +81278,8 @@ 0 0 0 +1 +3 5 0xcbd5 0x4c7b6ce7 @@ -65030,6 +81288,8 @@ 1 1 0 +0 +4 9 0xb005 0xf0a3f07c @@ -65038,6 +81298,8 @@ 0 0 0 +4 +2 5 0x316 0xe2164a6d @@ -65046,6 +81308,8 @@ 1 1 0 +0 +3 7 0xfc54 0xab9538f6 @@ -65054,6 +81318,8 @@ 0 0 0 +4 +5 6 0xfa93 0x82c1c093 @@ -65062,6 +81328,8 @@ 1 1 0 +5 +3 8 0x3e33 0xbd1d8977 @@ -65070,6 +81338,8 @@ 0 0 0 +0 +1 10 0x68b7 0x1535636c @@ -65078,6 +81348,8 @@ 0 0 0 +2 +3 7 0xad8f 0x573ec9c3 @@ -65086,6 +81358,8 @@ 0 0 0 +2 +0 4 0x820a 0x5ea0429f @@ -65094,6 +81368,8 @@ 0 0 0 +2 +5 9 0x8403 0xa087eb6b @@ -65102,6 +81378,8 @@ 0 0 0 +1 +2 10 0x8435 0x44bc8419 @@ -65110,6 +81388,8 @@ 0 0 0 +3 +4 5 0xdc7f 0x464ae77f @@ -65118,6 +81398,8 @@ 1 1 0 +5 +5 6 0xa227 0x47ec85bd @@ -65126,6 +81408,8 @@ 0 0 0 +5 +5 2 0x8c15 0x5beaefb4 @@ -65134,6 +81418,8 @@ 1 1 0 +1 +5 4 0x4521 0xb25762c8 @@ -65142,6 +81428,8 @@ 0 0 0 +5 +3 1 0xe8b8 0xe635bdc2 @@ -65150,6 +81438,8 @@ 0 0 0 +0 +3 6 0x4527 0xc736bbc @@ -65158,6 +81448,8 @@ 1 0 0 +0 +2 10 0xe8dc 0xe892bb2e @@ -65166,6 +81458,8 @@ 1 1 0 +4 +4 1 0xc21 0x8e8970fc @@ -65174,6 +81468,8 @@ 1 1 0 +4 +3 5 0x3c20 0x90ed58ae @@ -65182,6 +81478,8 @@ 0 0 0 +4 +0 10 0xf685 0x2ecda983 @@ -65190,6 +81488,8 @@ 1 1 0 +3 +5 6 0x18fe 0xe0d7fa41 @@ -65198,6 +81498,8 @@ 0 0 0 +3 +3 9 0x9995 0x275689eb @@ -65207,6 +81509,8 @@ 1 0 3 +1 +3 0xabe8 0xf5d80ef9 256 @@ -65214,6 +81518,8 @@ 1 1 0 +1 +5 8 0xae2f 0xd42ea84d @@ -65222,6 +81528,8 @@ 1 1 0 +2 +1 3 0x8e 0xe80dc475 @@ -65230,6 +81538,8 @@ 1 1 0 +0 +2 2 0x883d 0x80566526 @@ -65238,6 +81548,8 @@ 1 1 0 +2 +4 9 0xfd2 0x73b3fc3b @@ -65247,6 +81559,8 @@ 0 0 1 +0 +1 0x4564 0xd4dc277c 256 @@ -65254,6 +81568,8 @@ 1 0 0 +0 +4 5 0xd2e6 0xb5efb3e5 @@ -65262,6 +81578,8 @@ 1 1 0 +4 +0 7 0x2c15 0x93716778 @@ -65270,6 +81588,8 @@ 0 0 0 +3 +0 5 0x95a6 0xa9df547b @@ -65278,6 +81598,8 @@ 1 0 0 +2 +2 9 0xbe44 0xa787af1 @@ -65286,6 +81608,8 @@ 1 1 0 +0 +0 7 0x7751 0xa133ff8d @@ -65294,6 +81618,8 @@ 0 0 0 +4 +3 3 0xec7d 0x41a9317b @@ -65302,6 +81628,8 @@ 1 1 0 +3 +1 8 0xf08f 0x288110df @@ -65310,6 +81638,8 @@ 0 0 0 +5 +3 8 0x8362 0x6e60f8da @@ -65318,6 +81648,8 @@ 0 0 0 +5 +4 7 0xb833 0x5a1eb0a3 @@ -65326,6 +81658,8 @@ 0 0 0 +0 +3 10 0xa65a 0x96e7783b @@ -65334,6 +81668,8 @@ 0 0 0 +3 +4 6 0xd940 0x5aa61e40 @@ -65342,6 +81678,8 @@ 1 0 0 +1 +3 10 0x102f 0x72a01fa1 @@ -65350,6 +81688,8 @@ 0 0 0 +4 +2 9 0xa675 0x90f04b4b @@ -65358,6 +81698,8 @@ 0 0 0 +4 +2 2 0xcc28 0x883d891b @@ -65366,6 +81708,8 @@ 1 0 0 +1 +5 6 0x76fd 0xdeba23c4 @@ -65374,6 +81718,8 @@ 1 1 0 +5 +0 8 0x5490 0xd1baedef @@ -65382,6 +81728,8 @@ 0 0 0 +3 +0 10 0xd783 0x3f2ef0a4 @@ -65390,6 +81738,8 @@ 0 0 0 +1 +0 4 0xad82 0xb4a39f8b @@ -65398,6 +81748,8 @@ 1 1 0 +0 +5 1 0x2a17 0x6c8adaa0 @@ -65407,6 +81759,8 @@ 1 0 4 +3 +4 0x4b99 0x82112506 256 @@ -65414,6 +81768,8 @@ 0 0 0 +4 +2 9 0x86be 0xf0e0b6d4 @@ -65422,6 +81778,8 @@ 0 0 0 +3 +3 9 0x346e 0x2e8a6a5a @@ -65430,6 +81788,8 @@ 0 0 0 +5 +3 2 0x7199 0x1df13a45 @@ -65438,6 +81798,8 @@ 1 1 0 +0 +3 9 0x3e94 0xd433d87b @@ -65447,6 +81809,8 @@ 0 0 3 +3 +3 0x3fea 0x1e1d05f0 256 @@ -65454,6 +81818,8 @@ 0 0 0 +1 +4 6 0x5fc 0x6f7404 @@ -65462,6 +81828,8 @@ 0 0 0 +1 +1 10 0xaee9 0xa55194ad @@ -65470,6 +81838,8 @@ 1 1 0 +3 +3 6 0xfafe 0x81af604 @@ -65478,6 +81848,8 @@ 0 0 0 +4 +5 6 0x8b96 0xe0ab5a95 @@ -65487,6 +81859,8 @@ 0 0 4 +2 +4 0xa67e 0x54b5afcc 256 @@ -65494,6 +81868,8 @@ 0 0 0 +5 +2 4 0x453d 0xc837034e @@ -65502,6 +81878,8 @@ 1 1 0 +1 +2 2 0x1d9 0x1e50eb52 @@ -65510,6 +81888,8 @@ 0 0 0 +0 +1 4 0xb415 0x2f2a0df7 @@ -65518,6 +81898,8 @@ 1 1 0 +2 +3 9 0x6cd7 0xf67d46cf @@ -65526,6 +81908,8 @@ 0 0 0 +5 +5 2 0xf0d 0x76467c62 @@ -65534,6 +81918,8 @@ 1 0 0 +0 +2 7 0xcbbd 0xf0e1eee4 @@ -65542,6 +81928,8 @@ 0 0 0 +5 +1 7 0x9a28 0x2a254ab7 @@ -65551,6 +81939,8 @@ 0 0 2 +5 +2 0xb47e 0xcca5b304 256 @@ -65558,6 +81948,8 @@ 1 1 0 +1 +3 8 0xab63 0x1e1cb700 @@ -65566,6 +81958,8 @@ 0 0 0 +3 +5 10 0x2381 0x2155a8c5 @@ -65574,6 +81968,8 @@ 0 0 0 +0 +3 2 0xe793 0xb64a4428 @@ -65583,6 +81979,8 @@ 1 0 5 +5 +5 0x4714 0x1457f4e3 256 @@ -65590,6 +81988,8 @@ 0 0 0 +1 +4 6 0xbb97 0x810ab66f @@ -65598,6 +81998,8 @@ 0 0 0 +3 +3 2 0xa3f5 0xaa47c3a9 @@ -65606,6 +82008,8 @@ 1 1 0 +0 +5 1 0x834c 0xd6d333e2 @@ -65614,6 +82018,8 @@ 1 0 0 +3 +3 1 0xa7d2 0x26d2575e @@ -65622,6 +82028,8 @@ 1 0 0 +3 +5 6 0xb0c 0x33962729 @@ -65631,6 +82039,8 @@ 1 0 1 +2 +1 0x2d63 0xf99702df 256 @@ -65638,6 +82048,8 @@ 1 0 0 +0 +5 3 0xd347 0x9d05e291 @@ -65646,6 +82058,8 @@ 0 0 0 +0 +4 3 0x47fa 0x6f4e4de2 @@ -65654,6 +82068,8 @@ 1 1 0 +1 +0 9 0x61c 0x49bf02a1 @@ -65662,6 +82078,8 @@ 0 0 0 +2 +0 3 0x319 0x9c44e977 @@ -65670,6 +82088,8 @@ 0 0 0 +2 +5 8 0xc5cc 0x65cc9af0 @@ -65678,6 +82098,8 @@ 1 0 0 +1 +5 9 0x78fd 0xc6285bce @@ -65686,6 +82108,8 @@ 1 1 0 +0 +3 7 0xda09 0xb4fcd36 @@ -65694,6 +82118,8 @@ 0 0 0 +4 +5 2 0x22ad 0xd11c7659 @@ -65702,6 +82128,8 @@ 0 0 0 +2 +4 4 0x6fbe 0xb4e85b43 @@ -65711,6 +82139,8 @@ 0 0 1 +0 +1 0x4e72 0xf62d37b1 256 @@ -65718,6 +82148,8 @@ 0 0 0 +5 +4 8 0x5ef6 0x759086fa @@ -65726,6 +82158,8 @@ 0 0 0 +3 +3 2 0xe189 0xc26a0659 @@ -65734,6 +82168,8 @@ 1 1 0 +3 +4 4 0x9c11 0x3d9ddb43 @@ -65742,6 +82178,8 @@ 0 0 0 +1 +1 4 0xa63 0x9eb2807b @@ -65750,6 +82188,8 @@ 1 1 0 +0 +3 10 0x4b15 0x10d197bd @@ -65758,6 +82198,8 @@ 0 0 0 +2 +1 5 0x1351 0x2446b3ab @@ -65766,6 +82208,8 @@ 0 0 0 +3 +4 7 0xad24 0xac42b23c @@ -65774,6 +82218,8 @@ 0 0 0 +2 +4 7 0x16c9 0x81096f12 @@ -65782,6 +82228,8 @@ 0 0 0 +0 +2 7 0xa80e 0x4b62f56c @@ -65790,6 +82238,8 @@ 1 0 0 +3 +5 1 0x2242 0x1fd47f0a @@ -65798,6 +82248,8 @@ 1 0 0 +1 +0 2 0xd4b2 0x1c9ddabd @@ -65806,6 +82258,8 @@ 0 0 0 +1 +2 3 0x9088 0xe19c353 @@ -65814,6 +82268,8 @@ 0 0 0 +3 +4 6 0x8674 0x6cc39379 @@ -65822,6 +82278,8 @@ 0 0 0 +5 +0 7 0x4c23 0x36908d79 @@ -65830,6 +82288,8 @@ 0 0 0 +5 +5 10 0x52bd 0xf12c3ef7 @@ -65838,6 +82298,8 @@ 0 0 0 +4 +0 10 0x9625 0x585224f1 @@ -65846,6 +82308,8 @@ 1 1 0 +0 +4 9 0x1b6 0x7dfe75a1 @@ -65854,6 +82318,8 @@ 0 0 0 +1 +3 3 0xa0 0x66f36015 @@ -65862,6 +82328,8 @@ 0 0 0 +2 +5 5 0x8213 0x8784f9d7 @@ -65871,6 +82339,8 @@ 0 0 2 +4 +2 0x6cc1 0xda06c102 256 @@ -65878,6 +82348,8 @@ 1 0 0 +0 +4 4 0x2518 0xc07fb49f @@ -65886,6 +82358,8 @@ 0 0 0 +3 +5 7 0x98f4 0x33826c49 @@ -65894,6 +82368,8 @@ 1 0 0 +1 +4 7 0x9c16 0xccaff57e @@ -65902,6 +82378,8 @@ 1 0 0 +0 +4 1 0x2e9f 0x71d9488a @@ -65910,6 +82388,8 @@ 1 0 0 +0 +3 2 0x4e43 0xdc98b03b @@ -65918,6 +82398,8 @@ 1 0 0 +5 +2 4 0x1b18 0xa138bee9 @@ -65926,6 +82408,8 @@ 1 1 0 +1 +4 7 0x6a9 0xfd08517b @@ -65934,6 +82418,8 @@ 1 0 0 +5 +4 10 0x8435 0x18d1f968 @@ -65942,6 +82428,8 @@ 0 0 0 +1 +3 5 0x324a 0xa8dd27c3 @@ -65950,6 +82438,8 @@ 0 0 0 +4 +3 1 0x3d19 0x477677f0 @@ -65958,6 +82448,8 @@ 0 0 0 +4 +0 1 0x41a 0x17b2d061 @@ -65966,6 +82458,8 @@ 0 0 0 +4 +1 2 0xecbf 0x392da808 @@ -65974,6 +82468,8 @@ 0 0 0 +4 +5 7 0x2964 0x5a00a6e2 @@ -65982,6 +82478,8 @@ 0 0 0 +2 +3 8 0x95ba 0x69e95e64 @@ -65990,6 +82488,8 @@ 1 1 0 +3 +2 4 0xea50 0xfc6b942a @@ -65998,6 +82498,8 @@ 0 0 0 +1 +3 9 0xc13b 0x77bd0fe8 @@ -66006,6 +82508,8 @@ 1 1 0 +3 +0 2 0x43ce 0xba87ed97 @@ -66014,6 +82518,8 @@ 1 0 0 +5 +1 8 0x660f 0x79478fc5 @@ -66022,6 +82528,8 @@ 0 0 0 +5 +3 4 0x6f71 0xf459ff9c @@ -66030,6 +82538,8 @@ 0 0 0 +2 +0 9 0xa49 0x8bb51652 @@ -66038,6 +82548,8 @@ 0 0 0 +2 +4 4 0x5601 0xcb8c0225 @@ -66046,6 +82558,8 @@ 1 1 0 +1 +3 4 0xa6f0 0xf5bb3581 @@ -66054,6 +82568,8 @@ 1 1 0 +5 +2 9 0x99cc 0x54379aff @@ -66062,6 +82578,8 @@ 0 0 0 +3 +3 8 0x2fad 0x52749e5a @@ -66070,6 +82588,8 @@ 1 1 0 +4 +3 1 0x1fff 0x2e0c08b5 @@ -66078,6 +82598,8 @@ 1 0 0 +0 +1 3 0xd57f 0xc6a1e435 @@ -66087,6 +82609,8 @@ 1 0 1 +1 +1 0x23b8 0x605db154 256 @@ -66094,6 +82618,8 @@ 1 0 0 +3 +5 10 0x5517 0x800e351b @@ -66102,6 +82628,8 @@ 0 0 0 +3 +4 9 0xd0f3 0x834603c3 @@ -66110,6 +82638,8 @@ 0 0 0 +3 +4 4 0x472e 0xa545cfc5 @@ -66119,6 +82649,8 @@ 1 0 2 +2 +2 0xfe4d 0x53918dcc 256 @@ -66126,6 +82658,8 @@ 0 0 0 +4 +2 3 0x8501 0xe2304800 @@ -66134,6 +82668,8 @@ 0 0 0 +5 +3 3 0xcdfc 0xe4850180 @@ -66142,6 +82678,8 @@ 0 0 0 +5 +3 1 0x305 0x33f86ed5 @@ -66150,6 +82688,8 @@ 1 1 0 +0 +1 4 0xed4 0x2b88442e @@ -66158,6 +82698,8 @@ 0 0 0 +0 +4 2 0xef7b 0x97fe66f8 @@ -66166,6 +82708,8 @@ 1 0 0 +3 +3 8 0x6a16 0x5f122844 @@ -66174,6 +82718,8 @@ 1 1 0 +1 +2 9 0x76a3 0xf0031f7 @@ -66182,6 +82728,8 @@ 1 0 0 +0 +2 10 0x8177 0x549b4897 @@ -66190,6 +82738,8 @@ 1 1 0 +3 +0 10 0x6dd0 0x9fab06f7 @@ -66199,6 +82749,8 @@ 0 0 2 +5 +2 0xba1c 0xb6914811 256 @@ -66206,6 +82758,8 @@ 0 0 0 +5 +0 4 0x5836 0xfee33d13 @@ -66214,6 +82768,8 @@ 1 1 0 +0 +2 3 0x2ffc 0x6f5d38c2 @@ -66223,6 +82779,8 @@ 0 0 5 +1 +5 0x3ffd 0x2d7b1b0a 256 @@ -66230,6 +82788,8 @@ 0 0 0 +5 +4 8 0x5369 0xcc915ffe @@ -66238,6 +82798,8 @@ 0 0 0 +2 +4 3 0xfcce 0x5e88c996 @@ -66246,6 +82808,8 @@ 0 0 0 +0 +4 3 0x1ce9 0xb71853ca @@ -66254,6 +82818,8 @@ 1 0 0 +0 +3 3 0x9da4 0xabc9c503 @@ -66262,6 +82828,8 @@ 1 1 0 +2 +3 7 0x6968 0x1102685 @@ -66270,6 +82838,8 @@ 1 0 0 +0 +4 2 0x4f76 0x687b26cb @@ -66278,6 +82848,8 @@ 1 1 0 +3 +1 4 0x4641 0x706d50c0 @@ -66286,6 +82858,8 @@ 0 0 0 +2 +1 4 0x4402 0xcc2eff5 @@ -66294,6 +82868,8 @@ 0 0 0 +5 +1 8 0x1e24 0x8331c029 @@ -66302,6 +82878,8 @@ 1 1 0 +0 +2 3 0xd38e 0x6dae39c0 @@ -66310,6 +82888,8 @@ 1 1 0 +4 +1 1 0xa1d0 0x40fd3593 @@ -66318,6 +82898,8 @@ 1 0 0 +4 +5 8 0xba53 0xc8b13792 @@ -66326,6 +82908,8 @@ 1 1 0 +2 +3 1 0x7525 0xf70d2ddf @@ -66334,6 +82918,8 @@ 0 0 0 +2 +2 1 0xdd4a 0xfb9ae609 @@ -66342,6 +82928,8 @@ 1 1 0 +3 +1 9 0x78be 0x6747e216 @@ -66350,6 +82938,8 @@ 0 0 0 +1 +1 3 0xf079 0x8c05d10e @@ -66358,6 +82948,8 @@ 1 0 0 +0 +0 9 0x8445 0x1fa1889a @@ -66366,6 +82958,8 @@ 1 0 0 +4 +4 6 0xd4fd 0xd89b509c @@ -66374,6 +82968,8 @@ 1 0 0 +3 +2 8 0xdaba 0x54ef8e11 @@ -66383,6 +82979,8 @@ 0 0 1 +4 +1 0xbf9e 0x28402c02 256 @@ -66390,6 +82988,8 @@ 0 0 0 +5 +1 9 0xcc9e 0x44368ad6 @@ -66398,6 +82998,8 @@ 0 0 0 +0 +3 4 0xd045 0xbe49e811 @@ -66406,6 +83008,8 @@ 0 0 0 +0 +3 10 0x608b 0x41457083 @@ -66414,6 +83018,8 @@ 0 0 0 +1 +5 5 0xf346 0xcdc1f09d @@ -66422,6 +83028,8 @@ 1 0 0 +4 +1 10 0x9f77 0x98af7ab5 @@ -66430,6 +83038,8 @@ 0 0 0 +4 +1 5 0x6e73 0x4ddf2f74 @@ -66438,6 +83048,8 @@ 1 0 0 +4 +2 3 0xd6f9 0xea92409e @@ -66446,6 +83058,8 @@ 0 0 0 +0 +3 10 0x42ad 0x1b71d4cc @@ -66454,6 +83068,8 @@ 0 0 0 +3 +2 9 0x6dab 0xedd5dd38 @@ -66462,6 +83078,8 @@ 1 1 0 +4 +0 3 0xcb63 0xc1405a05 @@ -66470,6 +83088,8 @@ 1 0 0 +4 +0 5 0xe238 0x82c514b1 @@ -66478,6 +83098,8 @@ 1 0 0 +1 +2 9 0x86e8 0xfdff04d3 @@ -66486,6 +83108,8 @@ 0 0 0 +2 +5 1 0xd53b 0x6a3c882f @@ -66494,6 +83118,8 @@ 1 0 0 +5 +3 10 0xdb55 0xd616367f @@ -66502,6 +83128,8 @@ 0 0 0 +3 +0 8 0x6e25 0x34e2eb7d @@ -66510,6 +83138,8 @@ 1 0 0 +1 +3 7 0x2c37 0x365e101 @@ -66518,6 +83148,8 @@ 0 0 0 +4 +4 1 0xf461 0xcbfc195a @@ -66526,6 +83158,8 @@ 0 0 0 +0 +5 8 0x6215 0x19b623de @@ -66535,6 +83169,8 @@ 0 0 5 +0 +5 0x61b8 0x8e551acc 256 @@ -66542,6 +83178,8 @@ 1 1 0 +2 +2 5 0xe137 0x1b4c3f34 @@ -66550,6 +83188,8 @@ 0 0 0 +0 +1 5 0x2bc4 0x1d8265b6 @@ -66558,6 +83198,8 @@ 0 0 0 +2 +2 3 0x566 0xcd1bb149 @@ -66566,6 +83208,8 @@ 0 0 0 +0 +3 2 0xfc21 0x5d9b333f @@ -66574,6 +83218,8 @@ 0 0 0 +0 +0 6 0x7736 0xb9b77f54 @@ -66582,6 +83228,8 @@ 0 0 0 +0 +1 4 0xadc3 0xa7c3e2b0 @@ -66590,6 +83238,8 @@ 0 0 0 +3 +5 5 0xd9e2 0x61a10b84 @@ -66598,6 +83248,8 @@ 0 0 0 +5 +5 2 0x7751 0x7e0cd4cc @@ -66606,6 +83258,8 @@ 1 0 0 +1 +4 9 0xacd0 0x946d414a @@ -66614,6 +83268,8 @@ 0 0 0 +4 +3 1 0xeae4 0x3c76f13b @@ -66623,6 +83279,8 @@ 0 0 5 +4 +5 0xbd2d 0xbdb6ed9 256 @@ -66630,6 +83288,8 @@ 1 0 0 +0 +5 2 0x4b66 0x34cb36a9 @@ -66638,6 +83298,8 @@ 0 0 0 +1 +2 10 0xeb2 0xd0216b72 @@ -66646,6 +83308,8 @@ 0 0 0 +1 +1 4 0x485a 0xb5cbe717 @@ -66654,6 +83318,8 @@ 1 0 0 +4 +5 3 0xc49f 0x10f171 @@ -66662,6 +83328,8 @@ 1 1 0 +5 +1 10 0x9625 0xb05eb290 @@ -66670,6 +83338,8 @@ 1 0 0 +1 +2 6 0xa8a 0x5b558088 @@ -66678,6 +83348,8 @@ 1 0 0 +0 +3 10 0xccdc 0xef2a0bd7 @@ -66686,6 +83358,8 @@ 1 0 0 +0 +3 1 0x782f 0x5087aa5c @@ -66694,6 +83368,8 @@ 1 1 0 +1 +3 2 0xaaf6 0xb2c0e0f @@ -66702,6 +83378,8 @@ 0 0 0 +1 +3 9 0xf56f 0xeed2920d @@ -66710,6 +83388,8 @@ 0 0 0 +5 +5 7 0x3709 0xa44afa05 @@ -66718,6 +83398,8 @@ 0 0 0 +5 +4 2 0x949c 0x3f5bd724 @@ -66726,6 +83408,8 @@ 1 1 0 +4 +4 5 0xf6b0 0xe8d7b7ee @@ -66734,6 +83418,8 @@ 0 0 0 +4 +1 10 0xe39a 0xd3e8fd81 @@ -66742,6 +83428,8 @@ 0 0 0 +3 +3 2 0x9152 0x6d9a34bc @@ -66750,6 +83438,8 @@ 1 1 0 +5 +4 3 0x15f3 0xa893de15 @@ -66758,6 +83448,8 @@ 1 1 0 +5 +4 7 0x73e7 0x25a1fc45 @@ -66766,6 +83458,8 @@ 0 0 0 +3 +5 4 0x2c5e 0xcc7cef4a @@ -66774,6 +83468,8 @@ 1 1 0 +5 +3 9 0x8be3 0xb03a9187 @@ -66782,6 +83478,8 @@ 1 1 0 +1 +4 3 0x54d8 0xd750a51 @@ -66790,6 +83488,8 @@ 0 0 0 +0 +3 7 0x845a 0x6aa5da0c @@ -66798,6 +83498,8 @@ 1 0 0 +3 +2 10 0x3680 0xcfb85303 @@ -66806,6 +83508,8 @@ 0 0 0 +2 +4 3 0x4894 0xe114c66c @@ -66814,6 +83518,8 @@ 0 0 0 +2 +0 5 0x5bc5 0x6e47e880 @@ -66822,6 +83528,8 @@ 1 0 0 +4 +0 10 0x2e9b 0x18a2835a @@ -66830,6 +83538,8 @@ 0 0 0 +3 +0 5 0x214 0x52ebd252 @@ -66838,6 +83548,8 @@ 1 0 0 +2 +0 6 0x6ec8 0xeab22546 @@ -66846,6 +83558,8 @@ 1 1 0 +3 +4 4 0xf11e 0xbeeba180 @@ -66854,6 +83568,8 @@ 1 1 0 +2 +3 7 0x9b66 0x34d6d560 @@ -66862,6 +83578,8 @@ 1 0 0 +0 +5 1 0x4d24 0x541b1191 @@ -66870,6 +83588,8 @@ 1 1 0 +2 +1 7 0xaae5 0xeef0d2e @@ -66878,6 +83598,8 @@ 0 0 0 +2 +0 6 0x37eb 0x7edf311a @@ -66886,6 +83608,8 @@ 1 1 0 +1 +2 4 0xb31b 0xe817723b @@ -66895,6 +83619,8 @@ 0 0 1 +5 +1 0x5192 0xf050351 256 @@ -66902,6 +83628,8 @@ 0 0 0 +2 +4 1 0xaf3f 0x4ac0e02c @@ -66911,6 +83639,8 @@ 1 0 1 +3 +1 0x5830 0x2ccc1827 256 @@ -66918,6 +83648,8 @@ 1 1 0 +0 +3 4 0x5097 0xf291dab6 @@ -66926,6 +83658,8 @@ 0 0 0 +4 +5 3 0xb3fe 0xe350dce7 @@ -66934,6 +83668,8 @@ 1 0 0 +0 +5 1 0x3ea7 0x2c1d9477 @@ -66942,6 +83678,8 @@ 1 0 0 +0 +0 9 0x5774 0xc7bda27f @@ -66951,6 +83689,8 @@ 1 0 4 +0 +4 0x1d93 0xadc60f12 256 @@ -66958,6 +83698,8 @@ 1 1 0 +5 +3 8 0x19c 0x238638ec @@ -66966,6 +83708,8 @@ 0 0 0 +4 +5 1 0xe640 0x2f19a597 @@ -66974,6 +83718,8 @@ 0 0 0 +1 +5 2 0x676d 0x9e2c4ce7 @@ -66982,6 +83728,8 @@ 0 0 0 +4 +5 6 0x810b 0xa75714dd @@ -66990,6 +83738,8 @@ 1 0 0 +4 +5 9 0x66a5 0xfee73132 @@ -66998,6 +83748,8 @@ 1 1 0 +3 +0 8 0x58b4 0x8c7cc00a @@ -67006,6 +83758,8 @@ 0 0 0 +0 +2 4 0x4326 0xc1f39c9c @@ -67014,6 +83768,8 @@ 0 0 0 +4 +4 10 0x3c6e 0xaa8c970f @@ -67022,6 +83778,8 @@ 0 0 0 +3 +2 6 0x2d67 0x784fe6a9 @@ -67030,6 +83788,8 @@ 0 0 0 +5 +5 2 0x5cb0 0x19e96b26 @@ -67038,6 +83798,8 @@ 1 0 0 +3 +4 1 0x1237 0x1ffebbb4 @@ -67046,6 +83808,8 @@ 0 0 0 +2 +5 10 0x3020 0xd07f8426 @@ -67054,6 +83818,8 @@ 1 1 0 +1 +3 5 0x4f5d 0x5de77876 @@ -67062,6 +83828,8 @@ 1 1 0 +0 +5 9 0xfd23 0xf42f2bfc @@ -67070,6 +83838,8 @@ 1 1 0 +3 +0 7 0x6e3 0x134e2ffd @@ -67078,6 +83848,8 @@ 1 1 0 +2 +2 4 0xc537 0x3e695199 @@ -67086,6 +83858,8 @@ 0 0 0 +1 +2 9 0x75f4 0xba3c877b @@ -67094,6 +83868,8 @@ 1 0 0 +5 +4 1 0x43dd 0xb56482b2 @@ -67102,6 +83878,8 @@ 1 0 0 +5 +1 10 0x806e 0xce27ba15 @@ -67110,6 +83888,8 @@ 0 0 0 +2 +2 4 0xd8f1 0xfb7aed37 @@ -67118,6 +83898,8 @@ 0 0 0 +0 +0 4 0x44cf 0x98d46ad1 @@ -67126,6 +83908,8 @@ 0 0 0 +4 +2 3 0xe236 0x31acf2d5 @@ -67134,6 +83918,8 @@ 1 0 0 +4 +4 6 0x5c42 0xbbfcf386 @@ -67142,6 +83928,8 @@ 1 0 0 +0 +5 5 0x5072 0x1d10aab1 @@ -67150,6 +83938,8 @@ 1 0 0 +0 +3 10 0x2a6a 0xd2846785 @@ -67158,6 +83948,8 @@ 0 0 0 +5 +2 7 0xcf 0x25c35238 @@ -67166,6 +83958,8 @@ 1 0 0 +4 +3 10 0x7411 0x9796b5f5 @@ -67174,6 +83968,8 @@ 1 1 0 +4 +2 6 0x696b 0x73339bfd @@ -67182,6 +83978,8 @@ 0 0 0 +3 +5 8 0x92a8 0xf09b6a43 @@ -67190,6 +83988,8 @@ 1 0 0 +4 +2 10 0x1c7c 0xa982202f @@ -67198,6 +83998,8 @@ 0 0 0 +4 +2 3 0xaefc 0x94695a7 @@ -67206,6 +84008,8 @@ 1 1 0 +2 +2 7 0x5d9e 0x5610adcb @@ -67214,6 +84018,8 @@ 1 1 0 +2 +5 6 0xdf91 0x3f048906 @@ -67222,6 +84028,8 @@ 0 0 0 +0 +4 5 0xb0bf 0xe2efe2c2 @@ -67230,6 +84038,8 @@ 1 1 0 +0 +2 6 0x1bb7 0x5445a3a5 @@ -67238,6 +84048,8 @@ 1 1 0 +2 +4 5 0xddf 0x4cbba717 @@ -67246,6 +84058,8 @@ 1 1 0 +1 +5 3 0x9646 0x1fc2880d @@ -67254,6 +84068,8 @@ 0 0 0 +0 +1 10 0x12b0 0x5d1e6257 @@ -67262,6 +84078,8 @@ 1 1 0 +5 +5 3 0x9c 0x45cdaf5 @@ -67270,6 +84088,8 @@ 1 0 0 +4 +4 6 0x435e 0xbeb1f5ab @@ -67278,6 +84098,8 @@ 0 0 0 +1 +3 9 0x490d 0x4d6c763f @@ -67286,6 +84108,8 @@ 0 0 0 +0 +5 8 0xf02 0xb76ca8d6 @@ -67294,6 +84118,8 @@ 0 0 0 +3 +1 2 0xa9f6 0x9e308545 @@ -67302,6 +84128,8 @@ 0 0 0 +2 +1 4 0xa38e 0xd3763b9a @@ -67310,6 +84138,8 @@ 0 0 0 +4 +2 5 0x3d09 0x44f91186 @@ -67318,6 +84148,8 @@ 1 0 0 +5 +0 9 0x3ad8 0xdb2442d7 @@ -67326,6 +84158,8 @@ 0 0 0 +4 +3 1 0x8462 0x6f42b5c5 @@ -67334,6 +84168,8 @@ 0 0 0 +4 +3 8 0x129b 0xfecaba6e @@ -67342,6 +84178,8 @@ 0 0 0 +2 +2 3 0x7a06 0x920dd3c5 @@ -67350,6 +84188,8 @@ 1 1 0 +0 +3 10 0x618a 0x996c1f0d @@ -67358,6 +84198,8 @@ 0 0 0 +5 +5 2 0xd513 0x720a2252 @@ -67366,6 +84208,8 @@ 0 0 0 +4 +5 10 0x331d 0x22ca7de3 @@ -67374,6 +84218,8 @@ 0 0 0 +3 +0 7 0x7c51 0x13992578 @@ -67382,6 +84228,8 @@ 1 1 0 +0 +3 8 0x93b5 0xa9945bd9 @@ -67390,6 +84238,8 @@ 0 0 0 +5 +3 3 0x146b 0xea3d3978 @@ -67398,6 +84248,8 @@ 1 0 0 +4 +4 3 0x598 0x8448c999 @@ -67406,6 +84258,8 @@ 0 0 0 +0 +0 5 0xccb6 0x2673d1a7 @@ -67414,6 +84268,8 @@ 0 0 0 +1 +2 4 0x916 0x8cc83ef9 @@ -67422,6 +84278,8 @@ 1 0 0 +3 +1 7 0x3781 0xdd789b61 @@ -67431,6 +84289,8 @@ 1 0 2 +2 +2 0x71ac 0x30687e92 256 @@ -67438,6 +84298,8 @@ 1 1 0 +2 +4 3 0x8071 0x56482147 @@ -67446,6 +84308,8 @@ 0 0 0 +2 +0 5 0x2c8 0x2e143b7e @@ -67454,6 +84318,8 @@ 1 0 0 +0 +4 4 0xe590 0x90c7e16d @@ -67462,6 +84328,8 @@ 0 0 0 +3 +3 2 0x16a7 0xd8c5d59b @@ -67470,6 +84338,8 @@ 1 0 0 +1 +5 5 0xa248 0x9628ae13 @@ -67478,6 +84348,8 @@ 1 0 0 +1 +5 2 0x7e9e 0x451dc181 @@ -67486,6 +84358,8 @@ 0 0 0 +2 +2 5 0x6924 0xb5a91d87 @@ -67494,6 +84368,8 @@ 1 1 0 +2 +5 5 0x6b00 0x594297e3 @@ -67502,6 +84378,8 @@ 1 0 0 +4 +3 6 0x8441 0x613e6226 @@ -67510,6 +84388,8 @@ 0 0 0 +5 +3 1 0xcd88 0xc99aa3c2 @@ -67518,6 +84398,8 @@ 0 0 0 +4 +4 8 0xe40e 0xe24eab03 @@ -67526,6 +84408,8 @@ 1 0 0 +2 +0 8 0x8fec 0x7c34f8d2 @@ -67534,6 +84418,8 @@ 0 0 0 +0 +4 8 0x28f7 0x7a3039a1 @@ -67542,6 +84428,8 @@ 0 0 0 +1 +0 5 0xaad6 0x461c4b3e @@ -67550,6 +84438,8 @@ 0 0 0 +2 +2 3 0x5838 0xd2c65dfc @@ -67558,6 +84448,8 @@ 1 0 0 +0 +1 3 0x8f8b 0x3fb3e270 @@ -67566,6 +84458,8 @@ 0 0 0 +3 +5 2 0x2158 0x752079a8 @@ -67574,6 +84468,8 @@ 0 0 0 +5 +5 4 0x93b8 0x390aaf86 @@ -67582,6 +84478,8 @@ 0 0 0 +3 +4 9 0xbad5 0x24cc22e0 @@ -67590,6 +84488,8 @@ 0 0 0 +4 +3 3 0x1cd8 0x55c9636b @@ -67598,6 +84498,8 @@ 1 1 0 +1 +5 5 0x47bb 0xdaba2ac2 @@ -67606,6 +84508,8 @@ 1 0 0 +0 +3 3 0x66c5 0x6326fee8 @@ -67614,6 +84518,8 @@ 0 0 0 +1 +4 9 0x81bb 0x1f278da4 @@ -67622,6 +84528,8 @@ 0 0 0 +5 +2 3 0xd2ac 0x41531bd8 @@ -67630,6 +84538,8 @@ 1 0 0 +3 +2 6 0xb76b 0xdb1cbedc @@ -67638,6 +84548,8 @@ 1 0 0 +5 +0 4 0x405a 0x6998ed19 @@ -67646,6 +84558,8 @@ 0 0 0 +0 +1 8 0xc66e 0xc36f8d3d @@ -67654,6 +84568,8 @@ 1 0 0 +0 +2 7 0x33b7 0x72c8f6ab @@ -67662,6 +84578,8 @@ 0 0 0 +0 +4 1 0xbe02 0x36891469 @@ -67670,6 +84588,8 @@ 1 1 0 +4 +3 10 0x9ea0 0x210b88eb @@ -67679,6 +84599,8 @@ 0 0 2 +1 +2 0xf7f4 0x2a5003b3 256 @@ -67687,6 +84609,8 @@ 0 0 2 +5 +2 0xc5de 0xe9b84f04 256 @@ -67694,6 +84618,8 @@ 1 1 0 +0 +1 3 0xd08 0xd5c0e48b @@ -67703,6 +84629,8 @@ 1 0 4 +4 +4 0xdab0 0xc1bcb544 256 @@ -67710,6 +84638,8 @@ 0 0 0 +3 +4 9 0x37d2 0x12a293d1 @@ -67718,6 +84648,8 @@ 1 0 0 +0 +2 4 0x2942 0xdf390cc9 @@ -67726,6 +84658,8 @@ 1 1 0 +5 +5 10 0x3bb4 0x7c0971d2 @@ -67735,6 +84669,8 @@ 1 0 3 +3 +3 0x711f 0xcfd15fba 256 @@ -67742,6 +84678,8 @@ 1 0 0 +5 +3 3 0x2f9b 0x95427fc0 @@ -67750,6 +84688,8 @@ 1 1 0 +4 +1 2 0x3f1f 0xc6cbeb32 @@ -67758,6 +84698,8 @@ 0 0 0 +2 +3 3 0xb860 0xc5d79813 @@ -67766,6 +84708,8 @@ 1 1 0 +0 +2 1 0x761b 0x4a40b00d @@ -67774,6 +84718,8 @@ 0 0 0 +3 +0 6 0x835 0x14034f2 @@ -67782,6 +84728,8 @@ 0 0 0 +0 +0 10 0xbd74 0x8adfeeec @@ -67790,6 +84738,8 @@ 1 0 0 +1 +5 4 0x7eeb 0xb200513 @@ -67798,6 +84748,8 @@ 1 0 0 +0 +4 8 0x6a33 0x3b6db972 @@ -67806,6 +84758,8 @@ 1 0 0 +1 +1 7 0x8ad0 0x27be2a1f @@ -67814,6 +84768,8 @@ 1 1 0 +5 +5 7 0x735c 0xc7d8794b @@ -67822,6 +84778,8 @@ 0 0 0 +2 +0 7 0xc13d 0xa054731f @@ -67830,6 +84788,8 @@ 0 0 0 +3 +3 9 0x8faf 0xf89e9259 @@ -67838,6 +84798,8 @@ 1 0 0 +0 +4 4 0xe435 0x8cd765d2 @@ -67846,6 +84808,8 @@ 0 0 0 +5 +5 8 0xe0b0 0xd42e3870 @@ -67854,6 +84818,8 @@ 0 0 0 +5 +2 7 0x89e0 0x30ef4944 @@ -67863,6 +84829,8 @@ 1 0 1 +2 +1 0xc4af 0x4cff3d4 256 @@ -67870,6 +84838,8 @@ 1 0 0 +3 +2 7 0x46cc 0xfe65d7ed @@ -67878,6 +84848,8 @@ 1 0 0 +0 +5 6 0x52c 0x5e3d0ad2 @@ -67886,6 +84858,8 @@ 0 0 0 +0 +5 2 0x12e9 0x9489d464 @@ -67894,6 +84868,8 @@ 0 0 0 +1 +1 6 0xd964 0x6d11c01d @@ -67903,6 +84879,8 @@ 0 0 5 +4 +5 0xd718 0x7a46f75a 256 @@ -67910,6 +84888,8 @@ 1 1 0 +2 +4 10 0xa5c7 0xcd194661 @@ -67918,6 +84898,8 @@ 1 1 0 +3 +2 6 0x900b 0x167424f9 @@ -67926,6 +84908,8 @@ 1 1 0 +1 +0 10 0xa979 0x1834eeb7 @@ -67934,6 +84918,8 @@ 1 0 0 +4 +2 3 0xa826 0x3194cad0 @@ -67942,6 +84928,8 @@ 0 0 0 +1 +0 5 0x80fb 0xef05ee67 @@ -67950,6 +84938,8 @@ 0 0 0 +5 +0 2 0x4d3c 0x4c694cdc @@ -67958,6 +84948,8 @@ 1 1 0 +3 +4 6 0xde9e 0x9c59d168 @@ -67966,6 +84958,8 @@ 1 1 0 +3 +1 2 0x250a 0xfb8ea7dd @@ -67974,6 +84968,8 @@ 0 0 0 +3 +2 8 0x650c 0x92a80ce9 @@ -67982,6 +84978,8 @@ 1 1 0 +0 +1 2 0x159a 0x8464900b @@ -67990,6 +84988,8 @@ 0 0 0 +2 +5 9 0xae0d 0x15a137e6 @@ -67998,6 +84998,8 @@ 1 1 0 +0 +5 8 0xafb3 0x69cbe157 @@ -68006,6 +85008,8 @@ 1 0 0 +1 +0 4 0x1a13 0x14b9b617 @@ -68014,6 +85018,8 @@ 0 0 0 +5 +0 7 0x7c3f 0x2b2acda @@ -68022,6 +85028,8 @@ 1 0 0 +2 +2 9 0x3421 0x1627b11b @@ -68030,6 +85038,8 @@ 0 0 0 +3 +2 7 0x9686 0xbe6db928 @@ -68038,6 +85048,8 @@ 1 0 0 +1 +2 7 0x575e 0x407009b7 @@ -68046,6 +85058,8 @@ 1 0 0 +1 +0 7 0xdcb7 0x1b907a14 @@ -68054,6 +85068,8 @@ 0 0 0 +5 +4 8 0x7e1d 0xda6cfd32 @@ -68062,6 +85078,8 @@ 0 0 0 +5 +3 3 0x14db 0x8da5bb5a @@ -68070,6 +85088,8 @@ 0 0 0 +1 +3 9 0x3017 0x1feaf56b @@ -68078,6 +85098,8 @@ 0 0 0 +5 +1 3 0x3b9c 0xf80492df @@ -68086,6 +85108,8 @@ 0 0 0 +5 +3 7 0xfb8e 0x1e388d58 @@ -68094,6 +85118,8 @@ 1 1 0 +5 +2 7 0x648e 0x467bfd15 @@ -68102,6 +85128,8 @@ 1 0 0 +0 +4 6 0x9344 0xedc13177 @@ -68110,6 +85138,8 @@ 1 1 0 +1 +2 6 0x19eb 0xc94b2c70 @@ -68118,6 +85148,8 @@ 0 0 0 +0 +5 7 0xf522 0x957400ce @@ -68126,6 +85158,8 @@ 1 1 0 +0 +4 1 0x7758 0xb77c786b @@ -68134,6 +85168,8 @@ 0 0 0 +4 +5 2 0x2b66 0xe8a5a882 @@ -68142,6 +85178,8 @@ 1 1 0 +0 +4 10 0x3620 0xae716b3e @@ -68150,6 +85188,8 @@ 0 0 0 +3 +5 4 0x75aa 0x4124046a @@ -68158,6 +85198,8 @@ 1 1 0 +3 +4 8 0x216 0x3732b451 @@ -68166,6 +85208,8 @@ 1 1 0 +0 +4 8 0x6d3d 0x3a981ee1 @@ -68174,6 +85218,8 @@ 1 0 0 +2 +2 4 0x535a 0x9817d2f2 @@ -68182,6 +85228,8 @@ 1 1 0 +5 +1 6 0x436b 0x6626b3c0 @@ -68190,6 +85238,8 @@ 0 0 0 +2 +2 6 0xe990 0xd6da7259 @@ -68198,6 +85248,8 @@ 1 0 0 +3 +0 7 0x27 0x1468bd8d @@ -68206,6 +85258,8 @@ 1 0 0 +0 +0 4 0x53e0 0xbbc07fb1 @@ -68214,6 +85268,8 @@ 1 0 0 +4 +4 8 0x1485 0x413b8c9f @@ -68222,6 +85278,8 @@ 0 0 0 +4 +5 10 0xb7a9 0x71fb5f09 @@ -68230,6 +85288,8 @@ 0 0 0 +5 +5 4 0xfd51 0xaa62c4cc @@ -68238,6 +85298,8 @@ 1 1 0 +3 +3 10 0xffc1 0xf95195fd @@ -68247,6 +85309,8 @@ 0 0 1 +0 +1 0xf9a 0x1c88e0a6 256 @@ -68254,6 +85318,8 @@ 1 1 0 +2 +4 8 0xe4a2 0xb8213767 @@ -68262,6 +85328,8 @@ 0 0 0 +4 +4 1 0x761e 0x8dcfa294 @@ -68270,6 +85338,8 @@ 0 0 0 +4 +2 9 0xdae8 0xd7f27bf2 @@ -68278,6 +85348,8 @@ 1 0 0 +3 +3 8 0x964e 0xc84058e2 @@ -68286,6 +85358,8 @@ 0 0 0 +4 +0 9 0x6541 0x53926cb3 @@ -68294,6 +85368,8 @@ 1 0 0 +2 +1 8 0xbe7c 0xa324a461 @@ -68303,6 +85379,8 @@ 1 0 5 +4 +5 0x14ff 0x396fdc4 256 @@ -68310,6 +85388,8 @@ 0 0 0 +0 +0 10 0x9e27 0xa729d8b8 @@ -68318,6 +85398,8 @@ 0 0 0 +2 +3 8 0xf36d 0xdc1f8b3f @@ -68326,6 +85408,8 @@ 1 1 0 +5 +3 3 0xfe26 0x5a65bf2b @@ -68334,6 +85418,8 @@ 0 0 0 +3 +5 5 0xdc0a 0x29794a42 @@ -68342,6 +85428,8 @@ 1 0 0 +0 +0 7 0x13b9 0xfd73b584 @@ -68350,6 +85438,8 @@ 1 1 0 +5 +3 10 0xeda1 0x6aab2635 @@ -68358,6 +85448,8 @@ 1 0 0 +3 +5 1 0x5cee 0x9b6f67b7 @@ -68366,6 +85458,8 @@ 0 0 0 +0 +5 2 0xa09c 0x470f2c93 @@ -68374,6 +85468,8 @@ 0 0 0 +2 +4 5 0xe4d2 0x9d992378 @@ -68382,6 +85478,8 @@ 0 0 0 +1 +3 4 0xb46b 0x5f2d1f1d @@ -68390,6 +85488,8 @@ 0 0 0 +5 +5 10 0xa698 0x771acf78 @@ -68398,6 +85498,8 @@ 0 0 0 +4 +1 10 0x3e62 0x10130a9b @@ -68406,6 +85508,8 @@ 0 0 0 +4 +5 3 0x8e16 0x7b60d51b @@ -68414,6 +85518,8 @@ 1 0 0 +3 +3 2 0xa212 0xeaf15bd6 @@ -68422,6 +85528,8 @@ 1 1 0 +4 +5 6 0xf3e7 0x605c6c2a @@ -68430,6 +85538,8 @@ 0 0 0 +1 +5 10 0x13d1 0x8efa2f22 @@ -68438,6 +85548,8 @@ 1 1 0 +0 +0 5 0x1f2f 0xd49642ef @@ -68446,6 +85558,8 @@ 1 0 0 +2 +3 1 0x5b44 0x1471bac7 @@ -68454,6 +85568,8 @@ 0 0 0 +0 +1 1 0xecdb 0x2f933e44 @@ -68462,6 +85578,8 @@ 0 0 0 +2 +2 9 0x8d5f 0x3978a623 @@ -68470,6 +85588,8 @@ 1 1 0 +5 +4 3 0x91ac 0x54136bcc @@ -68478,6 +85598,8 @@ 1 1 0 +1 +0 5 0x8f55 0x42d12779 @@ -68486,6 +85608,8 @@ 0 0 0 +1 +4 8 0xb3b6 0x30c41ff @@ -68494,6 +85618,8 @@ 1 0 0 +0 +0 10 0x5bdd 0xf2d441f1 @@ -68502,6 +85628,8 @@ 1 0 0 +5 +5 2 0x9b85 0x66917096 @@ -68510,6 +85638,8 @@ 0 0 0 +4 +3 3 0x6bff 0xcff602e7 @@ -68519,6 +85649,8 @@ 0 0 3 +3 +3 0x43e1 0x407223c0 256 @@ -68526,6 +85658,8 @@ 1 0 0 +5 +3 3 0x65e6 0x2cf14d64 @@ -68534,6 +85668,8 @@ 0 0 0 +3 +0 9 0xa315 0x2c62b978 @@ -68542,6 +85678,8 @@ 1 1 0 +0 +3 10 0x1160 0xb540c2a5 @@ -68550,6 +85688,8 @@ 1 0 0 +2 +1 8 0xe7cd 0x34f560de @@ -68558,6 +85698,8 @@ 1 1 0 +4 +0 3 0x4132 0x97833c3f @@ -68566,6 +85708,8 @@ 1 1 0 +4 +1 3 0xbe5e 0x7e81c296 @@ -68574,6 +85718,8 @@ 0 0 0 +0 +4 2 0xf1c5 0x271fd22d @@ -68582,6 +85728,8 @@ 0 0 0 +5 +4 4 0x79c4 0x8134fa4b @@ -68590,6 +85738,8 @@ 0 0 0 +1 +0 6 0xa68c 0x7251d54 @@ -68598,6 +85748,8 @@ 0 0 0 +2 +1 4 0x629a 0x10bee4a0 @@ -68606,6 +85758,8 @@ 1 1 0 +2 +2 8 0xfae2 0x50aea7ca @@ -68614,6 +85768,8 @@ 1 1 0 +4 +1 2 0x6d2c 0xc6662b28 @@ -68622,6 +85778,8 @@ 0 0 0 +3 +4 8 0xf6e2 0xbfe9e5a2 @@ -68631,6 +85789,8 @@ 0 0 5 +3 +5 0xb48d 0x8d148526 256 @@ -68638,6 +85798,8 @@ 1 1 0 +3 +1 4 0x10c 0x82b9a679 @@ -68646,6 +85808,8 @@ 1 1 0 +4 +2 1 0x56d0 0xfb0c6c75 @@ -68654,6 +85818,8 @@ 1 1 0 +2 +3 3 0xc8d4 0xadcfe946 @@ -68662,6 +85828,8 @@ 1 0 0 +4 +1 10 0x8bfb 0x870e7d03 @@ -68670,6 +85838,8 @@ 0 0 0 +0 +2 5 0x1cf9 0xd9d9df9b @@ -68678,6 +85848,8 @@ 1 0 0 +3 +0 5 0x6487 0xdeb3be1b @@ -68686,6 +85858,8 @@ 1 0 0 +0 +1 1 0xb29d 0x6d4e7e9c @@ -68695,6 +85869,8 @@ 1 0 4 +4 +4 0x6a76 0x36dc563d 256 @@ -68702,6 +85878,8 @@ 1 1 0 +2 +3 1 0xec35 0x8f812ea2 @@ -68710,6 +85888,8 @@ 0 0 0 +0 +2 7 0x9b31 0xf37daef @@ -68719,6 +85899,8 @@ 0 0 1 +5 +1 0x50f0 0xfab8e5cf 256 @@ -68726,6 +85908,8 @@ 1 1 0 +3 +5 2 0xbeb8 0x17dca3f6 @@ -68734,6 +85918,8 @@ 0 0 0 +3 +4 9 0xaf5d 0x145298bf @@ -68742,6 +85928,8 @@ 0 0 0 +3 +1 1 0xe7df 0xad3700bb @@ -68750,6 +85938,8 @@ 0 0 0 +3 +5 10 0x3877 0x465e9ac3 @@ -68758,6 +85948,8 @@ 1 0 0 +3 +3 6 0xfe4a 0x3326452d @@ -68766,6 +85958,8 @@ 1 0 0 +3 +4 7 0xdb7 0x4a149d9 @@ -68774,6 +85968,8 @@ 1 1 0 +3 +1 8 0xda69 0x19b91e00 @@ -68782,6 +85978,8 @@ 1 0 0 +3 +4 5 0xfb29 0x570e25da @@ -68790,6 +85988,8 @@ 0 0 0 +1 +4 9 0x8baa 0x248ebfcb @@ -68798,6 +85998,8 @@ 1 1 0 +1 +4 7 0x932 0x832dc8e4 @@ -68807,6 +86009,8 @@ 0 0 2 +2 +2 0x1cb8 0xbc9e974d 256 @@ -68814,6 +86018,8 @@ 0 0 0 +2 +2 10 0x8338 0x22ab8a34 @@ -68823,6 +86029,8 @@ 1 0 3 +2 +3 0x2aea 0xc718897f 256 @@ -68830,6 +86038,8 @@ 1 1 0 +4 +4 1 0xdbac 0x2a08d0c7 @@ -68838,6 +86048,8 @@ 0 0 0 +1 +5 9 0x26c2 0x3084507a @@ -68846,6 +86058,8 @@ 1 1 0 +2 +2 10 0x31fb 0xcfa576aa @@ -68854,6 +86068,8 @@ 0 0 0 +4 +0 9 0x229 0x85e7641d @@ -68862,6 +86078,8 @@ 1 1 0 +4 +2 9 0xa8ee 0x1f59092d @@ -68870,6 +86088,8 @@ 0 0 0 +3 +3 9 0x9b4b 0x2c820a51 @@ -68878,6 +86098,8 @@ 0 0 0 +0 +2 2 0x8a6c 0xa0436a42 @@ -68886,6 +86108,8 @@ 0 0 0 +4 +4 3 0x2df1 0x9ddf80ec @@ -68894,6 +86118,8 @@ 1 1 0 +1 +4 10 0xa99b 0x2a2d0a6a @@ -68902,6 +86128,8 @@ 1 1 0 +1 +3 4 0x3685 0xe504d51e @@ -68910,6 +86138,8 @@ 0 0 0 +4 +3 6 0x916d 0x43ca980e @@ -68918,6 +86148,8 @@ 1 0 0 +0 +4 5 0xb271 0x9d148590 @@ -68926,6 +86158,8 @@ 0 0 0 +3 +0 8 0xfe11 0xf4b7ba21 @@ -68934,6 +86168,8 @@ 1 1 0 +1 +2 3 0xf6a 0x90409c34 @@ -68942,6 +86178,8 @@ 0 0 0 +2 +5 5 0x8e4c 0xecc2e7da @@ -68950,6 +86188,8 @@ 1 1 0 +3 +4 4 0x248f 0x8e0151f6 @@ -68958,6 +86198,8 @@ 0 0 0 +5 +3 8 0xf244 0x448d24b8 @@ -68966,6 +86208,8 @@ 1 0 0 +3 +4 1 0xb3d 0xf1dfb161 @@ -68974,6 +86218,8 @@ 1 1 0 +5 +5 1 0x6499 0x4412ab6 @@ -68982,6 +86228,8 @@ 0 0 0 +5 +0 2 0x65d6 0x5e12f202 @@ -68990,6 +86238,8 @@ 1 0 0 +2 +5 9 0x3b42 0xe4e52697 @@ -68998,6 +86248,8 @@ 0 0 0 +0 +4 8 0xaa27 0x2eb7bf24 @@ -69006,6 +86258,8 @@ 1 1 0 +1 +3 9 0x82da 0x11b3072b @@ -69014,6 +86268,8 @@ 0 0 0 +5 +2 7 0x284c 0x4a103e4b @@ -69023,6 +86279,8 @@ 1 0 3 +5 +3 0xd495 0x5a386a82 256 @@ -69030,6 +86288,8 @@ 1 1 0 +5 +3 9 0x24f6 0xb2e2ad64 @@ -69038,6 +86298,8 @@ 1 1 0 +2 +0 9 0xfcac 0xaace88ea @@ -69046,6 +86308,8 @@ 0 0 0 +0 +1 1 0xe365 0xe0ce0946 @@ -69054,6 +86318,8 @@ 0 0 0 +2 +4 3 0xb035 0x6e8ea97a @@ -69062,6 +86328,8 @@ 0 0 0 +0 +3 7 0x258d 0x39d8a51a @@ -69070,6 +86338,8 @@ 0 0 0 +4 +4 3 0x7c8f 0xf9fb0154 @@ -69078,6 +86348,8 @@ 0 0 0 +0 +5 4 0xd051 0x5e7979cc @@ -69086,6 +86358,8 @@ 0 0 0 +1 +3 7 0xc63a 0x67b034dd @@ -69094,6 +86368,8 @@ 1 1 0 +0 +1 8 0xc811 0x86f9788 @@ -69102,6 +86378,8 @@ 1 1 0 +2 +5 7 0xc9c1 0xca468ae5 @@ -69110,6 +86388,8 @@ 0 0 0 +3 +2 8 0x9256 0x7336f100 @@ -69118,6 +86398,8 @@ 0 0 0 +4 +5 8 0xe68f 0x328d4c51 @@ -69126,6 +86408,8 @@ 1 1 0 +1 +5 9 0x1505 0x91afb9fb @@ -69134,6 +86418,8 @@ 0 0 0 +3 +0 9 0x56a5 0xaa32fffc @@ -69142,6 +86428,8 @@ 0 0 0 +5 +2 7 0x5c39 0x4168fdca @@ -69150,6 +86438,8 @@ 0 0 0 +2 +4 3 0x6693 0xfdf0f107 @@ -69158,6 +86448,8 @@ 0 0 0 +5 +2 9 0xd231 0x30edc2ab @@ -69166,6 +86458,8 @@ 1 1 0 +4 +4 2 0xe2fb 0x67db098a @@ -69174,6 +86468,8 @@ 0 0 0 +1 +0 8 0x5203 0x75e9815e @@ -69182,6 +86478,8 @@ 1 1 0 +3 +5 9 0x4588 0xbe7804e8 @@ -69190,6 +86488,8 @@ 1 1 0 +5 +1 7 0xa5a9 0x75b4047 @@ -69198,6 +86498,8 @@ 0 0 0 +5 +5 7 0x6a12 0x674c883 @@ -69206,6 +86508,8 @@ 1 1 0 +2 +3 4 0x6b6a 0xb302657f @@ -69214,6 +86518,8 @@ 1 0 0 +3 +4 1 0x1397 0x5921781f @@ -69222,6 +86528,8 @@ 1 0 0 +2 +1 4 0x7395 0x7098c960 @@ -69230,6 +86538,8 @@ 0 0 0 +4 +2 1 0x2374 0xfcc0f594 @@ -69238,6 +86548,8 @@ 1 0 0 +4 +2 7 0x349a 0x6520e67b @@ -69246,6 +86558,8 @@ 0 0 0 +2 +0 7 0xea38 0x3d4c6a4d @@ -69254,6 +86568,8 @@ 1 0 0 +4 +5 7 0x412c 0x6606d0f0 @@ -69262,6 +86578,8 @@ 1 0 0 +2 +5 7 0x84cb 0xf116fb93 @@ -69270,6 +86588,8 @@ 1 0 0 +3 +1 5 0xf72a 0x776e37ff @@ -69278,6 +86598,8 @@ 1 1 0 +0 +3 7 0xd945 0xfa891556 @@ -69286,6 +86608,8 @@ 0 0 0 +4 +3 7 0xe38b 0x97119659 @@ -69294,6 +86618,8 @@ 0 0 0 +1 +3 2 0x3e4e 0xa3c3294f @@ -69302,6 +86628,8 @@ 1 0 0 +1 +2 7 0x2d41 0x12350cd6 @@ -69310,6 +86638,8 @@ 0 0 0 +5 +4 10 0x1690 0x9b3ccf4 @@ -69318,6 +86648,8 @@ 0 0 0 +2 +2 3 0x5eee 0xf7b815b @@ -69326,6 +86658,8 @@ 1 1 0 +0 +4 7 0x886e 0x9fc23892 @@ -69334,6 +86668,8 @@ 0 0 0 +2 +2 1 0x1fa0 0x4d542aa3 @@ -69342,6 +86678,8 @@ 1 0 0 +1 +4 6 0xd9b2 0x63d10d6e @@ -69351,6 +86689,8 @@ 1 0 5 +4 +5 0x4775 0xf063c7c3 256 @@ -69358,6 +86698,8 @@ 1 1 0 +3 +1 10 0x5471 0x1ff5ebf7 @@ -69366,6 +86708,8 @@ 1 1 0 +3 +2 6 0x2ec4 0xc2b87277 @@ -69375,6 +86719,8 @@ 0 0 4 +4 +4 0x2b6c 0xfc9b6e47 256 @@ -69382,6 +86728,8 @@ 1 1 0 +4 +4 2 0xb52 0xdd93bd99 @@ -69390,6 +86738,8 @@ 1 1 0 +3 +5 2 0x6324 0x9e3944b3 @@ -69398,6 +86748,8 @@ 0 0 0 +4 +2 2 0x5080 0x7eb49f7d @@ -69406,6 +86758,8 @@ 0 0 0 +4 +1 3 0x4648 0x74f91d8b @@ -69414,6 +86768,8 @@ 0 0 0 +2 +0 5 0x96c1 0x899ffa14 @@ -69422,6 +86778,8 @@ 1 0 0 +5 +1 9 0xe5fd 0x5033949e @@ -69430,6 +86788,8 @@ 0 0 0 +4 +1 3 0x63ad 0xf55fdb23 @@ -69438,6 +86798,8 @@ 0 0 0 +0 +0 9 0x4162 0x4394357a @@ -69446,6 +86808,8 @@ 1 1 0 +0 +3 1 0x3fee 0x7d33a88c @@ -69454,6 +86818,8 @@ 0 0 0 +5 +1 7 0x6a3e 0xf2a0476a @@ -69462,6 +86828,8 @@ 1 1 0 +3 +1 10 0x6733 0x43da9b30 @@ -69470,6 +86838,8 @@ 0 0 0 +1 +1 10 0xc523 0x796d87a7 @@ -69478,6 +86848,8 @@ 0 0 0 +1 +1 4 0xce49 0x49b50248 @@ -69486,6 +86858,8 @@ 1 0 0 +3 +2 4 0xd218 0x72c8bf48 @@ -69494,6 +86868,8 @@ 0 0 0 +2 +0 3 0x6272 0xb12ec1b3 @@ -69502,6 +86878,8 @@ 0 0 0 +4 +0 5 0xba0c 0x54dcff5c @@ -69510,6 +86888,8 @@ 0 0 0 +0 +2 2 0xbc6a 0x91c66352 @@ -69518,6 +86898,8 @@ 1 0 0 +5 +5 6 0xaf63 0x111edb84 @@ -69527,6 +86909,8 @@ 1 0 5 +3 +5 0x9794 0xbc234e7a 256 @@ -69534,6 +86918,8 @@ 0 0 0 +2 +5 5 0x8c4a 0x2667f085 @@ -69542,6 +86928,8 @@ 0 0 0 +4 +3 2 0xcd6a 0xe2435bcd @@ -69550,6 +86938,8 @@ 1 0 0 +1 +4 4 0x313e 0x89278a52 @@ -69558,6 +86948,8 @@ 1 0 0 +0 +5 5 0x780f 0x58d980fd @@ -69567,6 +86959,8 @@ 0 0 2 +4 +2 0x4a64 0xdcc8ca5 256 @@ -69574,6 +86968,8 @@ 1 0 0 +1 +4 10 0x4c0e 0xfb7e1787 @@ -69582,6 +86978,8 @@ 1 0 0 +2 +0 4 0x42f2 0xafdd7edc @@ -69590,6 +86988,8 @@ 1 0 0 +3 +3 6 0xc8f0 0x8380128e @@ -69598,6 +86998,8 @@ 0 0 0 +2 +1 3 0x5748 0x32f8c97c @@ -69606,6 +87008,8 @@ 1 1 0 +4 +2 3 0xd266 0xf23df9e6 @@ -69614,6 +87018,8 @@ 0 0 0 +1 +5 4 0xbfae 0x4f98fb01 @@ -69622,6 +87028,8 @@ 1 1 0 +2 +1 7 0x850f 0x9852ab40 @@ -69630,6 +87038,8 @@ 0 0 0 +0 +5 4 0xcec8 0x74e60e45 @@ -69638,6 +87048,8 @@ 0 0 0 +3 +0 5 0x4a60 0x13f89003 @@ -69646,6 +87058,8 @@ 1 1 0 +5 +5 2 0x613e 0x7b2dacb6 @@ -69654,6 +87068,8 @@ 0 0 0 +0 +0 10 0x66e3 0xe402a810 @@ -69662,6 +87078,8 @@ 0 0 0 +2 +5 7 0xd6f4 0xdc862a27 @@ -69670,6 +87088,8 @@ 1 0 0 +3 +2 10 0x86d9 0xf8d18435 @@ -69678,6 +87098,8 @@ 1 1 0 +1 +4 5 0x6c68 0x44430e07 @@ -69686,6 +87108,8 @@ 1 0 0 +3 +3 9 0xd9b6 0x65e496a0 @@ -69694,6 +87118,8 @@ 1 0 0 +2 +2 5 0x7c4a 0xd896be19 @@ -69702,6 +87128,8 @@ 0 0 0 +2 +3 5 0xa045 0xdd45dc7d @@ -69710,6 +87138,8 @@ 0 0 0 +5 +3 3 0xca7e 0x28f4eef8 @@ -69718,6 +87148,8 @@ 1 0 0 +2 +3 4 0xd009 0xb1e385ba @@ -69726,6 +87158,8 @@ 0 0 0 +3 +3 4 0x9c9f 0xbb59a811 @@ -69734,6 +87168,8 @@ 0 0 0 +3 +5 9 0xeac1 0x560d4e29 @@ -69743,6 +87179,8 @@ 0 0 4 +1 +4 0x8470 0xbcb89fec 256 @@ -69751,6 +87189,8 @@ 0 0 3 +1 +3 0x2518 0xd927d8b4 256 @@ -69758,6 +87198,8 @@ 1 1 0 +2 +3 6 0xcb16 0x9cb51feb @@ -69766,6 +87208,8 @@ 1 0 0 +1 +5 5 0xe52d 0x23d606ed @@ -69774,6 +87218,8 @@ 0 0 0 +5 +2 2 0x4f02 0xb626fa6f @@ -69782,6 +87228,8 @@ 1 0 0 +4 +3 10 0xdcc3 0xbd6457b4 @@ -69791,6 +87239,8 @@ 1 0 5 +4 +5 0xd80d 0x6ddee9f 256 @@ -69798,6 +87248,8 @@ 0 0 0 +3 +2 7 0xe6a0 0x81510c1e @@ -69806,6 +87258,8 @@ 1 1 0 +1 +4 6 0xab20 0xc1f0ac3b @@ -69814,6 +87268,8 @@ 1 1 0 +3 +2 7 0xaf7d 0xba3b31c3 @@ -69822,6 +87278,8 @@ 1 1 0 +3 +1 2 0xe8dd 0x1e96230f @@ -69830,6 +87288,8 @@ 0 0 0 +0 +0 4 0xc986 0x7ce9fddf @@ -69838,6 +87298,8 @@ 0 0 0 +2 +0 6 0x3c03 0xaea1f030 @@ -69846,6 +87308,8 @@ 1 1 0 +2 +3 1 0xa9ab 0xb58e36c7 @@ -69854,6 +87318,8 @@ 1 0 0 +5 +4 8 0xc1ef 0x65bdc53d @@ -69862,6 +87328,8 @@ 1 1 0 +1 +0 9 0x4d3f 0x879ab04c @@ -69870,6 +87338,8 @@ 1 0 0 +4 +2 9 0xf3a3 0xda33cbba @@ -69878,6 +87348,8 @@ 0 0 0 +4 +2 10 0xd00e 0xa50c2c39 @@ -69886,6 +87358,8 @@ 0 0 0 +5 +4 2 0x4d9f 0x66f4ddf4 @@ -69894,6 +87368,8 @@ 1 1 0 +0 +1 4 0xd60a 0xc24eae8b @@ -69902,6 +87378,8 @@ 0 0 0 +0 +3 6 0x2ba3 0xaaff0679 @@ -69910,6 +87388,8 @@ 0 0 0 +2 +0 8 0x3ade 0x4f99ea90 @@ -69918,6 +87398,8 @@ 1 0 0 +5 +0 9 0x5714 0x74f692aa @@ -69926,6 +87408,8 @@ 0 0 0 +5 +3 3 0x9ec1 0xcd2b9c40 @@ -69934,6 +87418,8 @@ 0 0 0 +4 +4 2 0x9deb 0x28c0f754 @@ -69942,6 +87428,8 @@ 1 1 0 +4 +1 6 0xdcd9 0x82d6ef77 @@ -69951,6 +87439,8 @@ 0 0 3 +1 +3 0x969d 0x9407bbb5 256 @@ -69958,6 +87448,8 @@ 1 1 0 +4 +5 6 0xf29f 0x6ef6098 @@ -69966,6 +87458,8 @@ 0 0 0 +3 +4 10 0x59a5 0x1c17b5d6 @@ -69974,6 +87468,8 @@ 1 0 0 +4 +0 10 0x4d73 0x33cc7d45 @@ -69982,6 +87478,8 @@ 0 0 0 +5 +2 4 0x5d59 0x8d1c1e41 @@ -69990,6 +87488,8 @@ 1 1 0 +3 +3 8 0xef93 0xe8b8da44 @@ -69998,6 +87498,8 @@ 1 1 0 +2 +1 4 0xa8f 0xc548193e @@ -70006,6 +87508,8 @@ 1 1 0 +1 +5 7 0xe29 0x56a751fe @@ -70014,6 +87518,8 @@ 0 0 0 +4 +5 2 0xe4f1 0x27a192f2 @@ -70022,6 +87528,8 @@ 0 0 0 +1 +1 4 0x72c8 0x4a95ee4 @@ -70030,6 +87538,8 @@ 0 0 0 +4 +1 2 0x9f23 0xedf8e2f5 @@ -70038,6 +87548,8 @@ 1 1 0 +5 +3 8 0x2aee 0x7c192d75 @@ -70046,6 +87558,8 @@ 1 0 0 +3 +0 9 0x697d 0x7ad76bb1 @@ -70054,6 +87568,8 @@ 0 0 0 +3 +3 6 0x8f8a 0x145e0268 @@ -70062,6 +87578,8 @@ 0 0 0 +1 +3 9 0xfc78 0x62619396 @@ -70070,6 +87588,8 @@ 1 0 0 +3 +0 1 0xa37 0x7ba5fbeb @@ -70078,6 +87598,8 @@ 1 0 0 +4 +2 9 0x9951 0x16d2df71 @@ -70086,6 +87608,8 @@ 0 0 0 +1 +2 5 0x3312 0xed6ac24d @@ -70094,6 +87618,8 @@ 1 0 0 +1 +1 10 0x1eac 0xfa028978 @@ -70102,6 +87628,8 @@ 1 1 0 +3 +4 6 0xcfaa 0x4fd123fb @@ -70110,6 +87638,8 @@ 0 0 0 +5 +1 7 0xb095 0xbc451c4b @@ -70118,6 +87648,8 @@ 1 0 0 +4 +0 6 0x68d0 0x4e95d6b2 @@ -70127,6 +87659,8 @@ 0 0 3 +3 +3 0x4c5d 0x178653db 256 @@ -70134,6 +87668,8 @@ 1 0 0 +5 +2 6 0x7906 0x560fb0b7 @@ -70142,6 +87678,8 @@ 1 1 0 +4 +0 8 0xd42f 0xfec146cb @@ -70150,6 +87688,8 @@ 1 0 0 +3 +0 10 0x2b13 0x2a4f877f @@ -70158,6 +87698,8 @@ 0 0 0 +5 +1 6 0xd002 0x22f5f43c @@ -70166,6 +87708,8 @@ 1 1 0 +5 +0 1 0x75e5 0xe10d9d71 @@ -70174,6 +87718,8 @@ 1 1 0 +3 +2 6 0x9986 0xb9b1986e @@ -70182,6 +87728,8 @@ 1 1 0 +4 +0 6 0xbc91 0x69f35523 @@ -70190,6 +87738,8 @@ 0 0 0 +4 +5 5 0x1c49 0xb4705c6d @@ -70198,6 +87748,8 @@ 1 1 0 +5 +3 3 0x889d 0xde9263eb @@ -70206,6 +87758,8 @@ 1 1 0 +2 +0 1 0x85fb 0xe5064fdb @@ -70215,6 +87769,8 @@ 0 0 5 +5 +5 0xfa57 0xdf498b85 256 @@ -70222,6 +87778,8 @@ 1 0 0 +2 +0 5 0xc086 0x7ed1866d @@ -70230,6 +87788,8 @@ 1 0 0 +1 +3 6 0xf505 0x3046a942 @@ -70238,6 +87798,8 @@ 0 0 0 +3 +2 8 0xa623 0x9148168e @@ -70246,6 +87808,8 @@ 1 1 0 +4 +1 7 0x7011 0x8ceba057 @@ -70254,6 +87818,8 @@ 0 0 0 +5 +4 8 0x6e04 0xd030d57c @@ -70262,6 +87828,8 @@ 0 0 0 +3 +2 6 0x1211 0xd2dfb6c6 @@ -70270,6 +87838,8 @@ 0 0 0 +2 +5 4 0x134a 0xa1b1bc5c @@ -70279,6 +87849,8 @@ 0 0 4 +2 +4 0x1f15 0xfb8b4e99 256 @@ -70286,6 +87858,8 @@ 1 1 0 +2 +2 6 0x2c90 0x35b81ee8 @@ -70294,6 +87868,8 @@ 0 0 0 +1 +4 3 0x63de 0x9da547a8 @@ -70302,6 +87878,8 @@ 1 0 0 +4 +3 9 0x5e6f 0xf0249626 @@ -70310,6 +87888,8 @@ 1 0 0 +5 +2 8 0x4848 0x5e2fdb91 @@ -70318,6 +87898,8 @@ 0 0 0 +5 +4 10 0x97c4 0x7547edf8 @@ -70326,6 +87908,8 @@ 0 0 0 +5 +5 4 0xd9c1 0x8750c9c6 @@ -70334,6 +87918,8 @@ 0 0 0 +0 +5 10 0x290b 0x8e64b07b @@ -70342,6 +87928,8 @@ 1 0 0 +3 +0 2 0x9e36 0xe55be538 @@ -70350,6 +87938,8 @@ 0 0 0 +1 +5 4 0x84b6 0x3be98d70 @@ -70358,6 +87948,8 @@ 1 1 0 +0 +2 1 0x826b 0x1680d7c0 @@ -70366,6 +87958,8 @@ 0 0 0 +5 +2 3 0x1e0b 0xdf66262d @@ -70374,6 +87968,8 @@ 0 0 0 +5 +1 4 0x9c 0x6cc6e3b7 @@ -70382,6 +87978,8 @@ 1 1 0 +3 +4 8 0x137 0x2645b432 @@ -70390,6 +87988,8 @@ 1 1 0 +5 +3 4 0x9f 0x7bab7848 @@ -70398,6 +87998,8 @@ 1 0 0 +4 +5 7 0x75c4 0x377680e6 @@ -70406,6 +88008,8 @@ 1 0 0 +1 +4 9 0x3f4a 0x36086b3e @@ -70414,6 +88018,8 @@ 1 1 0 +2 +3 8 0xa75 0x560cec01 @@ -70422,6 +88028,8 @@ 0 0 0 +5 +5 6 0xe951 0xd6788897 @@ -70430,6 +88038,8 @@ 1 0 0 +4 +5 6 0x6de0 0xd310f964 @@ -70438,6 +88048,8 @@ 1 1 0 +1 +3 5 0xb2c6 0x6b79f7e2 @@ -70446,6 +88058,8 @@ 1 0 0 +5 +3 7 0x5a9a 0x58798504 @@ -70454,6 +88068,8 @@ 1 1 0 +5 +1 4 0x8591 0xdbc81508 @@ -70462,6 +88078,8 @@ 1 0 0 +4 +0 3 0x144c 0x35bd5741 @@ -70470,6 +88088,8 @@ 1 1 0 +5 +3 3 0x73c5 0x4f52221e @@ -70478,6 +88098,8 @@ 0 0 0 +5 +0 10 0xb92 0xc3401269 @@ -70486,6 +88108,8 @@ 0 0 0 +1 +1 7 0xda71 0x265c3fbe @@ -70495,6 +88119,8 @@ 0 0 1 +3 +1 0xd85 0x88b3ac61 256 @@ -70502,6 +88128,8 @@ 0 0 0 +5 +4 10 0xd29 0xc6f97c45 @@ -70510,6 +88138,8 @@ 0 0 0 +5 +0 10 0xe608 0x4a0f8528 @@ -70518,6 +88148,8 @@ 1 0 0 +5 +0 1 0x2842 0xcbc84cab @@ -70526,6 +88158,8 @@ 0 0 0 +5 +3 6 0xa9e3 0x49536d3d @@ -70534,6 +88168,8 @@ 0 0 0 +3 +0 1 0x2751 0x7f03badd @@ -70542,6 +88178,8 @@ 1 1 0 +4 +0 7 0x1161 0x63c5169 @@ -70550,6 +88188,8 @@ 0 0 0 +3 +0 10 0x42a4 0x5aef9f8 @@ -70558,6 +88198,8 @@ 0 0 0 +5 +0 2 0xf08a 0xf98bb205 @@ -70567,6 +88209,8 @@ 0 0 3 +4 +3 0x6dc6 0x168bb5a8 256 @@ -70575,6 +88219,8 @@ 1 0 3 +3 +3 0xd86a 0xda57818f 256 @@ -70582,6 +88228,8 @@ 0 0 0 +1 +2 2 0xd0f2 0xf01d9d3d @@ -70590,6 +88238,8 @@ 1 0 0 +3 +2 5 0x50ea 0x8d233b4a @@ -70598,6 +88248,8 @@ 0 0 0 +4 +4 3 0x6c2f 0xb608e3 @@ -70606,6 +88258,8 @@ 0 0 0 +4 +1 8 0xe493 0x2a7ac6c5 @@ -70614,6 +88268,8 @@ 0 0 0 +2 +5 9 0x1ca9 0xbef888b1 @@ -70622,6 +88278,8 @@ 1 0 0 +2 +1 5 0x7d25 0xf26157a @@ -70630,6 +88288,8 @@ 0 0 0 +0 +0 8 0xaf19 0x9e199e1a @@ -70638,6 +88298,8 @@ 1 1 0 +0 +2 10 0xc3bf 0x5d1c788f @@ -70646,6 +88308,8 @@ 1 1 0 +4 +3 7 0x7aa 0x6c78a9ed @@ -70654,6 +88318,8 @@ 1 1 0 +0 +4 6 0xdb13 0x10505c81 @@ -70662,6 +88328,8 @@ 0 0 0 +1 +3 6 0x3555 0xb497262e @@ -70670,6 +88338,8 @@ 0 0 0 +0 +1 10 0x2a0a 0x70264441 @@ -70678,6 +88348,8 @@ 1 0 0 +1 +2 3 0xafec 0x52b26dac @@ -70686,6 +88358,8 @@ 0 0 0 +1 +5 2 0x28a7 0xa8073450 @@ -70694,6 +88368,8 @@ 0 0 0 +2 +4 4 0xba43 0xe39fc655 @@ -70702,6 +88378,8 @@ 0 0 0 +3 +2 7 0x4004 0xa75af045 @@ -70710,6 +88388,8 @@ 1 0 0 +4 +5 9 0xd83 0x3591d93f @@ -70719,6 +88399,8 @@ 0 0 2 +2 +2 0x612 0x3479d5b6 256 @@ -70726,6 +88408,8 @@ 0 0 0 +5 +1 10 0x3e70 0xe67ad6f @@ -70734,6 +88418,8 @@ 1 0 0 +0 +0 2 0x327c 0xea0edb51 @@ -70742,6 +88428,8 @@ 1 0 0 +3 +5 6 0xf1cf 0x53779f0f @@ -70750,6 +88438,8 @@ 1 1 0 +5 +5 8 0x1c63 0x90a0ea44 @@ -70758,6 +88448,8 @@ 1 1 0 +4 +3 1 0x49d8 0x390fa11e @@ -70766,6 +88458,8 @@ 1 0 0 +1 +4 7 0x879d 0x9d90fcd5 @@ -70774,6 +88468,8 @@ 1 1 0 +1 +0 10 0x47aa 0xde5c8101 @@ -70782,6 +88478,8 @@ 1 1 0 +4 +1 1 0x33d 0x7ac44a9 @@ -70790,6 +88488,8 @@ 1 0 0 +3 +2 2 0xaf78 0x1dc7bba6 @@ -70798,6 +88498,8 @@ 1 0 0 +3 +5 1 0x3431 0x8c4dee57 @@ -70806,6 +88508,8 @@ 0 0 0 +2 +0 7 0xb433 0x26b51f01 @@ -70814,6 +88518,8 @@ 1 1 0 +5 +2 7 0x69bb 0x3573f3b3 @@ -70822,6 +88528,8 @@ 0 0 0 +5 +5 3 0x8ae0 0xdb0db270 @@ -70830,6 +88538,8 @@ 1 1 0 +2 +4 6 0x2bc6 0x5752b27a @@ -70838,6 +88548,8 @@ 0 0 0 +3 +1 10 0xa40e 0xf82b6bec @@ -70846,6 +88558,8 @@ 0 0 0 +5 +1 7 0x287c 0xd38cb8a7 @@ -70854,6 +88568,8 @@ 1 0 0 +3 +3 10 0x390d 0x86c0606c @@ -70862,6 +88578,8 @@ 0 0 0 +0 +4 1 0x3891 0x52710590 @@ -70870,6 +88588,8 @@ 1 1 0 +3 +2 5 0xb50d 0x10b1c745 @@ -70878,6 +88598,8 @@ 1 0 0 +0 +4 3 0x93a1 0x470f0118 @@ -70886,6 +88608,8 @@ 0 0 0 +4 +4 1 0xb53b 0xc92be0ac @@ -70894,6 +88618,8 @@ 1 1 0 +5 +0 1 0x4221 0x20c2afde @@ -70902,6 +88628,8 @@ 1 0 0 +2 +0 7 0x7789 0x729492a0 @@ -70911,6 +88639,8 @@ 0 0 5 +2 +5 0x4046 0x73cd210d 256 @@ -70918,6 +88648,8 @@ 1 1 0 +0 +1 7 0x188b 0xaccf9ab0 @@ -70926,6 +88658,8 @@ 1 0 0 +4 +1 2 0x6746 0x86fa5dfd @@ -70934,6 +88668,8 @@ 0 0 0 +3 +0 1 0xa4f1 0x5bb0cd6e @@ -70942,6 +88678,8 @@ 1 1 0 +5 +0 3 0xa888 0xf85841e7 @@ -70950,6 +88688,8 @@ 0 0 0 +1 +2 3 0xfcfe 0xa5817dbf @@ -70958,6 +88698,8 @@ 0 0 0 +4 +1 10 0x49de 0xb51265dc @@ -70966,6 +88708,8 @@ 1 0 0 +3 +0 2 0x7bca 0x3d10d520 @@ -70974,6 +88718,8 @@ 1 0 0 +5 +4 3 0xb623 0x1c66b18 @@ -70982,6 +88728,8 @@ 1 0 0 +5 +0 8 0xff5 0xdc04bbd9 @@ -70990,6 +88738,8 @@ 0 0 0 +3 +4 7 0xdece 0xf9939e00 @@ -70998,6 +88748,8 @@ 0 0 0 +5 +3 3 0x454a 0xd847a92d @@ -71006,6 +88758,8 @@ 1 1 0 +5 +3 7 0xa597 0xc160ca86 @@ -71015,6 +88769,8 @@ 0 0 4 +5 +4 0xa434 0xbef2e075 256 @@ -71022,6 +88778,8 @@ 0 0 0 +5 +4 4 0xe379 0x3ea4e895 @@ -71030,6 +88788,8 @@ 1 0 0 +2 +5 7 0xa2f2 0x908ec228 @@ -71038,6 +88798,8 @@ 1 1 0 +4 +3 2 0x6dff 0x7a4cd5b1 @@ -71046,6 +88808,8 @@ 1 0 0 +2 +2 1 0x9b0 0x4238d1a5 @@ -71054,6 +88818,8 @@ 0 0 0 +4 +2 6 0x1a4e 0x8c952a36 @@ -71062,6 +88828,8 @@ 0 0 0 +1 +0 9 0x9fd6 0xb0405362 @@ -71070,6 +88838,8 @@ 0 0 0 +3 +5 6 0x3214 0x9b164d9c @@ -71078,6 +88848,8 @@ 0 0 0 +3 +5 8 0x1869 0xaa401d67 @@ -71087,6 +88859,8 @@ 1 0 4 +0 +4 0x2ec4 0x5b2e6d3 256 @@ -71094,6 +88868,8 @@ 0 0 0 +5 +3 2 0x793d 0xc21e7613 @@ -71102,6 +88878,8 @@ 0 0 0 +5 +3 1 0xa526 0x52724940 @@ -71111,6 +88889,8 @@ 0 0 5 +5 +5 0x6c1e 0xde2fc6a 256 @@ -71118,6 +88898,8 @@ 0 0 0 +5 +4 10 0xfe2f 0xbcda60f4 @@ -71126,6 +88908,8 @@ 0 0 0 +4 +5 2 0x4eb7 0xdfd1a65e @@ -71134,6 +88918,8 @@ 1 1 0 +5 +2 8 0xe9af 0xf9b80489 @@ -71142,6 +88928,8 @@ 0 0 0 +0 +3 3 0x7c01 0xf9975502 @@ -71150,6 +88938,8 @@ 1 1 0 +2 +3 6 0x604b 0xa82d909d @@ -71158,6 +88948,8 @@ 1 0 0 +1 +3 8 0x8dd7 0x2d950cf1 @@ -71166,6 +88958,8 @@ 1 0 0 +2 +2 1 0x9509 0x332fcbcf @@ -71174,6 +88968,8 @@ 1 1 0 +3 +4 1 0x787e 0x622bd638 @@ -71182,6 +88978,8 @@ 0 0 0 +0 +3 4 0x9482 0x5515b12e @@ -71190,6 +88988,8 @@ 1 1 0 +5 +3 6 0x6470 0x8a651324 @@ -71198,6 +88998,8 @@ 1 0 0 +0 +5 1 0xc340 0x670c4a2 @@ -71206,6 +89008,8 @@ 1 1 0 +2 +2 4 0x7ec3 0xf07239ee @@ -71214,6 +89018,8 @@ 0 0 0 +0 +2 5 0xffa8 0x4d1ee556 @@ -71222,6 +89028,8 @@ 1 1 0 +0 +2 8 0x37df 0xddbe11e5 @@ -71230,6 +89038,8 @@ 1 1 0 +2 +3 9 0xd673 0x6cf06f94 @@ -71238,6 +89048,8 @@ 1 1 0 +2 +5 3 0xa7fd 0xa657b05a @@ -71246,6 +89058,8 @@ 1 0 0 +4 +0 8 0x793d 0x6e471f60 @@ -71255,6 +89069,8 @@ 0 0 2 +3 +2 0x35a8 0x6ebaa54e 256 @@ -71262,6 +89078,8 @@ 1 0 0 +3 +3 10 0x3950 0x53e96b6a @@ -71270,6 +89088,8 @@ 0 0 0 +3 +1 2 0xc7e8 0x874ef3eb @@ -71278,6 +89098,8 @@ 0 0 0 +0 +5 1 0x17d2 0xe908da51 @@ -71286,6 +89108,8 @@ 0 0 0 +3 +4 1 0xc6e0 0x75975ad6 @@ -71294,6 +89118,8 @@ 1 0 0 +1 +3 8 0x25f9 0xc690c684 @@ -71302,6 +89128,8 @@ 0 0 0 +0 +4 6 0x300c 0x2ac05ebb @@ -71310,6 +89138,8 @@ 1 0 0 +4 +2 8 0xefd6 0xea8bc57a @@ -71318,6 +89148,8 @@ 1 0 0 +5 +3 7 0xe122 0x9edfa346 @@ -71326,6 +89158,8 @@ 0 0 0 +2 +4 7 0x37f7 0x1361ac92 @@ -71334,6 +89168,8 @@ 0 0 0 +4 +3 6 0xca33 0xf7d27cdd @@ -71342,6 +89178,8 @@ 1 0 0 +4 +3 5 0xb142 0x5e7a1d7f @@ -71350,6 +89188,8 @@ 0 0 0 +2 +0 3 0x593c 0xaafc942c @@ -71358,6 +89198,8 @@ 0 0 0 +2 +2 10 0x6a57 0x9ef1d8f4 @@ -71366,6 +89208,8 @@ 1 0 0 +4 +5 8 0x8e99 0x80db4448 @@ -71374,6 +89218,8 @@ 0 0 0 +1 +0 9 0xd834 0x7c7670ef @@ -71382,6 +89228,8 @@ 1 0 0 +4 +0 1 0x8af3 0xf704c570 @@ -71390,6 +89238,8 @@ 0 0 0 +3 +2 6 0xc93 0xf1d1a0c7 @@ -71398,6 +89248,8 @@ 1 0 0 +2 +5 8 0xd0c8 0x5ab5b461 @@ -71407,6 +89259,8 @@ 0 0 4 +1 +4 0xc479 0x126a3062 256 @@ -71414,6 +89268,8 @@ 1 0 0 +2 +4 1 0x2431 0x1212e9be @@ -71422,6 +89278,8 @@ 0 0 0 +4 +1 9 0xda86 0x922a4c35 @@ -71431,6 +89289,8 @@ 1 0 4 +4 +4 0x57e5 0x6e65af66 256 @@ -71438,6 +89298,8 @@ 1 1 0 +0 +2 7 0x39c7 0x2cafd546 @@ -71446,6 +89308,8 @@ 0 0 0 +3 +0 5 0x65bc 0xb136e817 @@ -71454,6 +89318,8 @@ 0 0 0 +0 +1 4 0xed28 0xa6b95678 @@ -71462,6 +89328,8 @@ 1 1 0 +5 +3 7 0x5938 0x73f59159 @@ -71470,6 +89338,8 @@ 1 0 0 +1 +5 8 0xb05c 0xf27156c1 @@ -71478,6 +89348,8 @@ 1 1 0 +3 +3 7 0x7236 0x3aeee378 @@ -71486,6 +89358,8 @@ 1 1 0 +1 +3 4 0x56c1 0x77ce1f1f @@ -71494,6 +89368,8 @@ 1 0 0 +0 +4 10 0x583 0x17ba1fde @@ -71502,6 +89378,8 @@ 0 0 0 +3 +1 1 0xa836 0xca015fba @@ -71510,6 +89388,8 @@ 0 0 0 +1 +5 5 0x8a21 0x9c1eeacd @@ -71518,6 +89398,8 @@ 1 0 0 +4 +0 1 0xa6a9 0x958e27d4 @@ -71526,6 +89408,8 @@ 1 0 0 +5 +5 2 0x62e7 0xd802591 @@ -71534,6 +89418,8 @@ 1 1 0 +4 +1 7 0x9fee 0xeb4f63f1 @@ -71542,6 +89428,8 @@ 0 0 0 +0 +3 1 0x6a6e 0x3ac82fe @@ -71550,6 +89438,8 @@ 0 0 0 +5 +4 4 0xdd65 0x21dfb22f @@ -71558,6 +89448,8 @@ 1 1 0 +2 +5 10 0x7bdd 0x1ce74cdd @@ -71566,6 +89458,8 @@ 1 1 0 +4 +3 2 0x638f 0xbdf2819 @@ -71574,6 +89468,8 @@ 0 0 0 +0 +3 3 0xff80 0x6384dae6 @@ -71582,6 +89478,8 @@ 0 0 0 +4 +2 2 0xb42c 0x65606d8b @@ -71590,6 +89488,8 @@ 1 0 0 +2 +3 7 0x8881 0x29db8f35 @@ -71598,6 +89498,8 @@ 1 1 0 +2 +3 10 0x11ba 0x4f6b18a6 @@ -71606,6 +89508,8 @@ 0 0 0 +2 +2 4 0xdcb4 0x9207b2d5 @@ -71614,6 +89518,8 @@ 0 0 0 +3 +4 4 0x756c 0x3574c71c @@ -71622,6 +89528,8 @@ 1 0 0 +2 +2 7 0x90db 0x843c8e53 @@ -71630,6 +89538,8 @@ 1 0 0 +1 +5 2 0x537c 0x572688f1 @@ -71638,6 +89548,8 @@ 1 1 0 +0 +0 3 0x9425 0xc4326337 @@ -71646,6 +89558,8 @@ 0 0 0 +2 +5 4 0x7256 0xdb739317 @@ -71654,6 +89568,8 @@ 0 0 0 +0 +0 8 0x591 0x7daba6c @@ -71662,6 +89578,8 @@ 1 0 0 +1 +1 9 0xbdce 0x7155cbf6 @@ -71670,6 +89588,8 @@ 0 0 0 +2 +3 9 0x3c19 0x394ddad5 @@ -71678,6 +89598,8 @@ 0 0 0 +0 +3 9 0xb9a6 0xc99616cc @@ -71686,6 +89608,8 @@ 0 0 0 +2 +4 1 0xc7ac 0x7dd0ba42 @@ -71694,6 +89618,8 @@ 0 0 0 +0 +2 4 0x9c41 0x8e3dc10a @@ -71702,6 +89628,8 @@ 1 0 0 +0 +0 9 0xd8e9 0x21bd9090 @@ -71710,6 +89638,8 @@ 1 1 0 +3 +4 10 0xd264 0x1e8f008a @@ -71718,6 +89648,8 @@ 1 0 0 +0 +4 6 0x7c66 0x730ffa77 @@ -71726,6 +89658,8 @@ 0 0 0 +0 +5 10 0x327 0xab4c064a @@ -71734,6 +89668,8 @@ 1 1 0 +1 +3 4 0x465d 0xbfb11ed7 @@ -71742,6 +89678,8 @@ 0 0 0 +1 +0 2 0xc34f 0x6368b4ce @@ -71750,6 +89688,8 @@ 1 0 0 +0 +4 7 0xb633 0x75b4a3a7 @@ -71758,6 +89698,8 @@ 0 0 0 +4 +3 1 0x2908 0xa49d82aa @@ -71766,6 +89708,8 @@ 1 1 0 +0 +4 8 0x5247 0xe167ee57 @@ -71774,6 +89718,8 @@ 0 0 0 +1 +2 8 0xd808 0x4878f2b8 @@ -71782,6 +89728,8 @@ 1 0 0 +0 +5 4 0x8efb 0x9034506b @@ -71790,6 +89738,8 @@ 0 0 0 +5 +5 10 0x52d6 0x47d1fb9a @@ -71798,6 +89748,8 @@ 0 0 0 +0 +0 4 0x7c1b 0x472e6af1 @@ -71806,6 +89758,8 @@ 1 1 0 +5 +0 1 0x1711 0x258a6e37 @@ -71814,6 +89768,8 @@ 0 0 0 +0 +5 1 0x815 0x744bf44f @@ -71822,6 +89778,8 @@ 1 0 0 +1 +2 9 0x8983 0x1bef79cf @@ -71830,6 +89788,8 @@ 0 0 0 +5 +5 8 0xba7c 0x47331e4d @@ -71838,6 +89798,8 @@ 0 0 0 +2 +1 1 0x424f 0x4ade759a @@ -71846,6 +89808,8 @@ 0 0 0 +1 +1 5 0xa218 0x57e9f202 @@ -71854,6 +89818,8 @@ 1 0 0 +2 +0 3 0x5a62 0x4be0813e @@ -71862,6 +89828,8 @@ 1 1 0 +5 +5 4 0x8b6d 0xb7b6e5a2 @@ -71870,6 +89838,8 @@ 1 0 0 +2 +4 10 0xc0f3 0xcfcb7866 @@ -71878,6 +89848,8 @@ 0 0 0 +0 +1 4 0x95de 0x7a726e8 @@ -71886,6 +89858,8 @@ 1 0 0 +0 +3 6 0x1cd4 0x71a7d971 @@ -71894,6 +89868,8 @@ 1 1 0 +2 +3 10 0x242b 0xf7a6d63b @@ -71902,6 +89878,8 @@ 0 0 0 +1 +0 4 0x8265 0x937b6f4b @@ -71910,6 +89888,8 @@ 1 1 0 +2 +0 1 0x4004 0x12884f40 @@ -71918,6 +89898,8 @@ 1 0 0 +4 +0 2 0xfbd3 0x794fa411 @@ -71926,6 +89908,8 @@ 1 1 0 +2 +2 8 0xc855 0x514ffad8 @@ -71934,6 +89918,8 @@ 1 1 0 +3 +2 6 0x64f3 0xde9358ef @@ -71942,6 +89928,8 @@ 1 0 0 +1 +5 7 0xfab 0x4ac4cc6a @@ -71950,6 +89938,8 @@ 1 1 0 +5 +0 10 0xa4eb 0xc04ab643 @@ -71959,6 +89949,8 @@ 0 0 3 +5 +3 0x6308 0x70056c0f 256 @@ -71966,6 +89958,8 @@ 0 0 0 +4 +0 10 0x3743 0xe8a50b84 @@ -71974,6 +89968,8 @@ 0 0 0 +3 +5 5 0x5042 0xae4e7f56 @@ -71982,6 +89978,8 @@ 1 1 0 +2 +1 6 0xfd82 0x9ce4d73c @@ -71990,6 +89988,8 @@ 0 0 0 +0 +2 4 0x1d22 0x60ba52f1 @@ -71998,6 +89998,8 @@ 1 0 0 +5 +4 9 0x31d3 0x583b2fe6 @@ -72006,6 +90008,8 @@ 1 0 0 +2 +3 8 0x8e01 0xcf22372 @@ -72014,6 +90018,8 @@ 0 0 0 +3 +1 7 0xdc3d 0xd4e5618b @@ -72022,6 +90028,8 @@ 0 0 0 +2 +5 5 0x3b7a 0xfaf90cd5 @@ -72030,6 +90038,8 @@ 0 0 0 +0 +5 2 0xb7f5 0x61697327 @@ -72039,6 +90049,8 @@ 0 0 5 +5 +5 0xcfc 0x688273f5 256 @@ -72046,6 +90058,8 @@ 1 1 0 +0 +3 4 0x9112 0xd3ac9b35 @@ -72054,6 +90068,8 @@ 1 1 0 +5 +5 1 0xa4a 0x1a86a824 @@ -72062,6 +90078,8 @@ 1 0 0 +0 +0 8 0xc4be 0xb1f0d6c5 @@ -72070,6 +90088,8 @@ 0 0 0 +0 +1 8 0x70f6 0xd6f2ea93 @@ -72078,6 +90098,8 @@ 0 0 0 +0 +0 10 0x5d17 0x2dcff94 @@ -72086,6 +90108,8 @@ 1 0 0 +0 +5 6 0xb171 0xefa25564 @@ -72094,6 +90118,8 @@ 1 0 0 +5 +5 1 0xe022 0x76c6b93b @@ -72102,6 +90128,8 @@ 1 0 0 +1 +2 3 0x51d9 0x1c235dc4 @@ -72110,6 +90138,8 @@ 0 0 0 +2 +5 3 0x294d 0x5fb32232 @@ -72118,6 +90148,8 @@ 1 0 0 +0 +5 8 0xfe8e 0xee979401 @@ -72126,6 +90158,8 @@ 0 0 0 +3 +3 10 0x9b6c 0x11987fa6 @@ -72134,6 +90168,8 @@ 0 0 0 +1 +5 9 0x34b8 0xbf817752 @@ -72142,6 +90178,8 @@ 1 1 0 +4 +4 3 0x533d 0xfded2fa7 @@ -72150,6 +90188,8 @@ 1 0 0 +4 +5 1 0x8813 0x187411da @@ -72158,6 +90198,8 @@ 1 1 0 +4 +3 8 0x1e4b 0x7dd2489c @@ -72166,6 +90208,8 @@ 0 0 0 +0 +2 9 0xf632 0x8af7c6bf @@ -72174,6 +90218,8 @@ 1 1 0 +2 +5 9 0x5f4c 0x7ccf1c30 @@ -72183,6 +90229,8 @@ 0 0 2 +2 +2 0xef18 0xc2a0a37 256 @@ -72191,6 +90239,8 @@ 0 0 2 +2 +2 0x792d 0x78a00255 256 @@ -72198,6 +90248,8 @@ 1 0 0 +4 +4 6 0xdf87 0xdf619098 @@ -72206,6 +90258,8 @@ 1 1 0 +0 +0 5 0x5318 0x44fcbe74 @@ -72214,6 +90268,8 @@ 1 1 0 +1 +2 3 0xbe6f 0xf1fa0f5b @@ -72222,6 +90278,8 @@ 1 1 0 +1 +2 8 0x5c85 0xdeaaac2c @@ -72230,6 +90288,8 @@ 1 0 0 +1 +2 4 0xf181 0x56b6be7a @@ -72238,6 +90298,8 @@ 0 0 0 +5 +1 8 0xe065 0x742921da @@ -72246,6 +90308,8 @@ 1 0 0 +3 +3 2 0xb1eb 0x5e4d4594 @@ -72254,6 +90318,8 @@ 0 0 0 +5 +0 7 0x11e5 0x38e04ceb @@ -72262,6 +90328,8 @@ 1 0 0 +5 +1 9 0x3a15 0x466b6257 @@ -72270,6 +90338,8 @@ 0 0 0 +0 +0 7 0x5ec2 0xa68c5a70 @@ -72278,6 +90348,8 @@ 1 1 0 +1 +3 2 0x843b 0x1b509fbd @@ -72286,6 +90358,8 @@ 0 0 0 +5 +5 9 0x569e 0x2ce59209 @@ -72294,6 +90368,8 @@ 1 1 0 +5 +1 9 0xc664 0xc64daf9c @@ -72302,6 +90378,8 @@ 1 1 0 +3 +0 10 0x4845 0x5d046770 @@ -72310,6 +90388,8 @@ 0 0 0 +2 +0 8 0xe36d 0x6859d526 @@ -72318,6 +90398,8 @@ 1 0 0 +3 +0 9 0x8ab5 0x1e664993 @@ -72326,6 +90408,8 @@ 1 0 0 +1 +1 8 0x75ff 0x11c17c25 @@ -72334,6 +90418,8 @@ 0 0 0 +5 +2 10 0x56dc 0x2b696ab4 @@ -72342,6 +90428,8 @@ 0 0 0 +2 +1 10 0xef43 0x3e2db090 @@ -72351,6 +90439,8 @@ 1 0 1 +2 +1 0x9cbb 0x47989ffc 256 @@ -72359,6 +90449,8 @@ 0 0 2 +4 +2 0xbb91 0x3ee0afd8 256 @@ -72366,6 +90458,8 @@ 1 1 0 +4 +4 3 0x52f6 0x8cdd8393 @@ -72374,6 +90468,8 @@ 1 0 0 +4 +0 2 0xad1e 0x4127ce30 @@ -72382,6 +90478,8 @@ 1 1 0 +0 +4 5 0xf8cc 0x1b5d52d2 @@ -72390,6 +90488,8 @@ 1 1 0 +0 +1 1 0xff9d 0xb86155e6 @@ -72398,6 +90498,8 @@ 1 1 0 +5 +0 1 0x4838 0xf0eed38a @@ -72406,6 +90508,8 @@ 1 0 0 +0 +5 10 0x4b71 0x11e67330 @@ -72414,6 +90518,8 @@ 1 0 0 +3 +2 2 0x2b9e 0xc3ab0b34 @@ -72423,6 +90529,8 @@ 1 0 1 +4 +1 0x7ddd 0x694221f4 256 @@ -72430,6 +90538,8 @@ 1 0 0 +5 +3 7 0x632 0x8485930a @@ -72438,6 +90548,8 @@ 1 0 0 +4 +2 1 0x2957 0x2980c776 @@ -72447,6 +90559,8 @@ 0 0 2 +1 +2 0x2124 0xe3218324 256 @@ -72454,6 +90568,8 @@ 0 0 0 +3 +2 8 0xc65 0xdcdaf00a @@ -72462,6 +90578,8 @@ 0 0 0 +0 +0 1 0x2674 0xe25e693 @@ -72470,6 +90588,8 @@ 1 1 0 +2 +2 4 0x8114 0x8ddd1062 @@ -72478,6 +90598,8 @@ 0 0 0 +0 +1 4 0xca73 0x85f74e6b @@ -72486,6 +90608,8 @@ 0 0 0 +0 +0 4 0xce83 0xcc4e0229 @@ -72494,6 +90618,8 @@ 1 0 0 +2 +3 6 0xd2fe 0xa1ed5302 @@ -72503,6 +90629,8 @@ 0 0 5 +5 +5 0x6bf2 0xd79e5f3c 256 @@ -72510,6 +90638,8 @@ 1 1 0 +5 +2 3 0xfdc 0xdeed6488 @@ -72518,6 +90648,8 @@ 0 0 0 +0 +0 8 0x735c 0xda82348e @@ -72526,6 +90658,8 @@ 0 0 0 +0 +3 10 0x4cb 0xb9157ef0 @@ -72534,6 +90668,8 @@ 1 0 0 +4 +1 3 0x4e7e 0x9e3c918f @@ -72542,6 +90678,8 @@ 1 0 0 +0 +2 1 0x8194 0x742b32b9 @@ -72550,6 +90688,8 @@ 0 0 0 +4 +1 1 0xcdbe 0x591b862d @@ -72558,6 +90698,8 @@ 1 0 0 +5 +1 2 0xc091 0xed0980e1 @@ -72566,6 +90708,8 @@ 1 0 0 +3 +2 8 0xa5d2 0x2d268233 @@ -72574,6 +90718,8 @@ 1 0 0 +1 +3 2 0xe56b 0xf1ff0b85 @@ -72582,6 +90728,8 @@ 1 0 0 +0 +4 2 0x5953 0xca28986f @@ -72590,6 +90738,8 @@ 1 1 0 +5 +5 8 0x8710 0xa83e6d6f @@ -72598,6 +90748,8 @@ 1 0 0 +4 +0 10 0xd6ce 0xae568749 @@ -72607,6 +90759,8 @@ 0 0 1 +3 +1 0x22ec 0x396d6018 256 @@ -72615,6 +90769,8 @@ 0 0 4 +0 +4 0xd66b 0xb75eadd4 256 @@ -72622,6 +90778,8 @@ 0 0 0 +1 +3 3 0x8a68 0x795e14f8 @@ -72630,6 +90788,8 @@ 1 1 0 +4 +2 8 0xe85 0x562ebb11 @@ -72638,6 +90798,8 @@ 1 0 0 +5 +2 10 0x52f7 0xd0625d9d @@ -72646,6 +90808,8 @@ 1 0 0 +2 +4 7 0x71eb 0x535f8804 @@ -72654,6 +90818,8 @@ 1 0 0 +0 +0 8 0x602f 0xab8cbb29 @@ -72662,6 +90828,8 @@ 0 0 0 +0 +4 10 0xbf51 0x9f686759 @@ -72670,6 +90838,8 @@ 1 0 0 +3 +5 8 0x8ed 0xfb1cf4b3 @@ -72678,6 +90848,8 @@ 0 0 0 +0 +1 3 0xe2aa 0xcbdfc3a5 @@ -72686,6 +90858,8 @@ 0 0 0 +3 +4 6 0x69e6 0xfcc1c0aa @@ -72694,6 +90868,8 @@ 0 0 0 +3 +2 4 0xe859 0x862a74c2 @@ -72702,6 +90878,8 @@ 1 1 0 +3 +4 10 0x1c8e 0xd54f0ec2 @@ -72710,6 +90888,8 @@ 0 0 0 +5 +4 10 0x6d9a 0xf61c28be @@ -72718,6 +90898,8 @@ 1 0 0 +5 +0 10 0xda75 0xe1024aae @@ -72726,6 +90908,8 @@ 0 0 0 +1 +3 3 0x5cfa 0x8a850bd0 @@ -72734,6 +90918,8 @@ 1 0 0 +0 +4 1 0xf3cf 0x21f63342 @@ -72742,6 +90928,8 @@ 0 0 0 +2 +4 5 0xfe60 0x5f0de56 @@ -72750,6 +90938,8 @@ 1 1 0 +4 +1 1 0x3627 0xc774f270 @@ -72758,6 +90948,8 @@ 1 1 0 +3 +1 2 0xef40 0xd4b94254 @@ -72766,6 +90958,8 @@ 1 1 0 +4 +0 1 0xf492 0x40be12e7 @@ -72774,6 +90968,8 @@ 0 0 0 +5 +1 3 0xbf1a 0xd3598340 @@ -72782,6 +90978,8 @@ 1 1 0 +1 +0 7 0xb65a 0x12f9dbac @@ -72790,6 +90988,8 @@ 1 1 0 +5 +3 3 0x8b54 0x6ad8a60b @@ -72798,6 +90998,8 @@ 1 0 0 +0 +4 5 0xb8ce 0x587c6c45 @@ -72806,6 +91008,8 @@ 1 0 0 +2 +1 10 0xc67d 0x520c297e @@ -72814,6 +91018,8 @@ 0 0 0 +4 +3 7 0x50a6 0x6478ef53 @@ -72822,6 +91028,8 @@ 1 1 0 +5 +5 2 0x5991 0x8b030034 @@ -72830,6 +91038,8 @@ 1 0 0 +3 +4 5 0x2297 0x1429fa2b @@ -72838,6 +91048,8 @@ 1 1 0 +0 +0 6 0x4bc1 0x85d16c72 @@ -72846,6 +91058,8 @@ 1 0 0 +4 +0 1 0xa891 0x926d1010 @@ -72854,6 +91068,8 @@ 1 0 0 +2 +2 7 0x3704 0xc979f470 @@ -72862,6 +91078,8 @@ 1 1 0 +5 +3 9 0x17dd 0x1292861a @@ -72870,6 +91088,8 @@ 0 0 0 +4 +5 10 0xd4df 0x7e6bc04d @@ -72878,6 +91098,8 @@ 1 0 0 +2 +5 8 0xd80e 0xf157bc4 @@ -72886,6 +91108,8 @@ 0 0 0 +4 +0 8 0xf372 0x9d155e6d @@ -72894,6 +91118,8 @@ 1 1 0 +5 +1 3 0x5c8c 0xc9f9829b @@ -72902,6 +91128,8 @@ 0 0 0 +2 +5 4 0x1e49 0x9aa987c3 @@ -72911,6 +91139,8 @@ 0 0 5 +2 +5 0x15 0x95e7e2fb 256 @@ -72918,6 +91148,8 @@ 1 1 0 +5 +3 9 0xd8b9 0xc51a9378 @@ -72926,6 +91158,8 @@ 0 0 0 +0 +2 3 0xe3d0 0xb49a406 @@ -72934,6 +91168,8 @@ 0 0 0 +5 +2 8 0x81ab 0xbd589c05 @@ -72942,6 +91178,8 @@ 1 1 0 +5 +4 1 0x1d2a 0x23e87f35 @@ -72950,6 +91188,8 @@ 0 0 0 +4 +2 5 0xf45b 0xa69cf52f @@ -72958,6 +91198,8 @@ 1 0 0 +5 +3 2 0xeae 0x3865fa7e @@ -72966,6 +91208,8 @@ 0 0 0 +1 +5 4 0x58bb 0x934ded9 @@ -72974,6 +91218,8 @@ 1 0 0 +1 +2 2 0xf356 0x9304641f @@ -72982,6 +91228,8 @@ 0 0 0 +5 +3 10 0x62ba 0xafbfb2ee @@ -72990,6 +91238,8 @@ 1 0 0 +4 +5 6 0x84dd 0x8ad65b8f @@ -72998,6 +91248,8 @@ 1 0 0 +3 +3 1 0x98e0 0x9b44b5cb @@ -73007,6 +91259,8 @@ 1 0 5 +0 +5 0xd03d 0xa2208190 256 @@ -73014,6 +91268,8 @@ 0 0 0 +4 +3 6 0xc27a 0xebbb4955 @@ -73022,6 +91278,8 @@ 1 1 0 +5 +4 9 0xf79a 0x1f3d0912 @@ -73030,6 +91288,8 @@ 1 0 0 +0 +1 4 0xb356 0x9e008d83 @@ -73038,6 +91298,8 @@ 1 0 0 +0 +4 5 0x4e13 0x262e7461 @@ -73046,6 +91308,8 @@ 1 0 0 +0 +5 1 0x44a0 0xc2ce58da @@ -73054,6 +91318,8 @@ 0 0 0 +3 +5 6 0xce 0x441140cb @@ -73062,6 +91328,8 @@ 1 0 0 +1 +3 9 0xaa14 0x3c7bd978 @@ -73071,6 +91339,8 @@ 0 0 4 +3 +4 0xdf19 0x9c793ddf 256 @@ -73079,6 +91349,8 @@ 1 0 2 +3 +2 0xab21 0x4609d3be 256 @@ -73086,6 +91358,8 @@ 1 1 0 +0 +1 8 0x9d0b 0xde1b6e20 @@ -73094,6 +91368,8 @@ 1 1 0 +0 +4 10 0x1f13 0x1f872aa2 @@ -73102,6 +91378,8 @@ 0 0 0 +5 +0 1 0x5995 0xbf7d54af @@ -73110,6 +91388,8 @@ 1 0 0 +3 +0 7 0x9cd3 0xb0c3b58a @@ -73118,6 +91398,8 @@ 0 0 0 +0 +0 9 0x60b1 0xc67c8d6d @@ -73126,6 +91408,8 @@ 0 0 0 +2 +3 5 0x83ed 0xf5e6fd74 @@ -73134,6 +91418,8 @@ 0 0 0 +3 +5 8 0xb39a 0x7c77de9a @@ -73142,6 +91428,8 @@ 0 0 0 +5 +4 1 0xb7bb 0xaa96e91f @@ -73151,6 +91439,8 @@ 0 0 1 +5 +1 0xcbdf 0xd78826a 256 @@ -73159,6 +91449,8 @@ 0 0 5 +3 +5 0xe990 0xdcf8b5af 256 @@ -73166,6 +91458,8 @@ 1 1 0 +3 +1 5 0x627 0x583c9efb @@ -73175,6 +91469,8 @@ 0 0 4 +4 +4 0xded6 0x3c6aacaf 256 @@ -73182,6 +91478,8 @@ 0 0 0 +0 +4 1 0x14b6 0xde21ab25 @@ -73190,6 +91488,8 @@ 0 0 0 +0 +5 2 0xf044 0x3161ccb1 @@ -73198,6 +91498,8 @@ 1 1 0 +4 +0 9 0x8c02 0x7e160311 @@ -73206,6 +91508,8 @@ 0 0 0 +0 +4 5 0x1100 0xcadcbae2 @@ -73214,6 +91518,8 @@ 1 0 0 +5 +1 4 0xe185 0x19e8b487 @@ -73222,6 +91528,8 @@ 0 0 0 +5 +5 3 0x8d41 0xd6385f0f @@ -73230,6 +91538,8 @@ 0 0 0 +4 +4 6 0x8435 0x2aa85e08 @@ -73238,6 +91548,8 @@ 1 1 0 +4 +2 6 0xb9a0 0x3d58e5c6 @@ -73246,6 +91558,8 @@ 1 0 0 +3 +3 10 0xf186 0xba2ca2e5 @@ -73254,6 +91568,8 @@ 1 0 0 +4 +2 8 0xf8f2 0xa1ca2ff0 @@ -73262,6 +91578,8 @@ 0 0 0 +4 +3 2 0x7ca1 0xcadd873e @@ -73270,6 +91588,8 @@ 1 1 0 +0 +4 4 0xa3d 0x4b7fbcaa @@ -73278,6 +91598,8 @@ 0 0 0 +0 +0 5 0xec4d 0xa7a65de7 @@ -73286,6 +91608,8 @@ 0 0 0 +0 +1 2 0x1cf3 0xaa0014b4 @@ -73294,6 +91618,8 @@ 1 1 0 +2 +1 7 0x4664 0xb1e941d @@ -73302,6 +91628,8 @@ 0 0 0 +0 +5 1 0xa3f5 0xe4da076f @@ -73310,6 +91638,8 @@ 1 0 0 +3 +0 10 0x7eb6 0x71399406 @@ -73318,6 +91648,8 @@ 0 0 0 +0 +5 8 0xd435 0x836886f8 @@ -73326,6 +91658,8 @@ 1 1 0 +2 +3 10 0x3aba 0xd492ae39 @@ -73334,6 +91668,8 @@ 1 1 0 +1 +5 7 0x6ee2 0xcf5cfb3 @@ -73342,6 +91678,8 @@ 0 0 0 +5 +5 2 0xc8ad 0x236a8e98 @@ -73350,6 +91688,8 @@ 0 0 0 +4 +5 9 0x334d 0xdf885163 @@ -73358,6 +91698,8 @@ 1 1 0 +0 +1 8 0xd5a9 0x947abe59 @@ -73366,6 +91708,8 @@ 0 0 0 +3 +5 7 0xbf7c 0x6f88babf @@ -73374,6 +91718,8 @@ 0 0 0 +1 +4 9 0x3049 0xae3085ab @@ -73382,6 +91728,8 @@ 1 1 0 +1 +0 3 0x480 0xce8d74d7 @@ -73390,6 +91738,8 @@ 1 1 0 +0 +5 3 0x20bb 0x7650cb1f @@ -73398,6 +91748,8 @@ 1 1 0 +0 +1 4 0x675b 0x9a65a1f1 @@ -73406,6 +91758,8 @@ 0 0 0 +5 +1 4 0xe6eb 0x1346bc41 @@ -73414,6 +91768,8 @@ 0 0 0 +1 +1 8 0xa7a8 0xf907cf28 @@ -73422,6 +91778,8 @@ 0 0 0 +0 +5 6 0x7bc2 0x1928fd51 @@ -73430,6 +91788,8 @@ 1 0 0 +4 +5 9 0x3bc1 0x8e9187fc @@ -73438,6 +91798,8 @@ 1 1 0 +3 +1 4 0x30aa 0x62f2fed9 @@ -73446,6 +91808,8 @@ 1 0 0 +5 +4 6 0x5b5e 0x2db0ccbc @@ -73454,6 +91818,8 @@ 0 0 0 +4 +4 3 0x24c4 0x6df39dd8 @@ -73462,6 +91828,8 @@ 0 0 0 +5 +1 6 0x1bdf 0x584b0d2c @@ -73470,6 +91838,8 @@ 0 0 0 +2 +3 5 0x68f2 0xed912bd2 @@ -73478,6 +91848,8 @@ 1 1 0 +5 +3 8 0xa2c5 0xff6d2514 @@ -73486,6 +91858,8 @@ 1 0 0 +0 +2 5 0xab33 0x7b70878a @@ -73494,6 +91868,8 @@ 1 0 0 +1 +4 6 0x462e 0x55921b8f @@ -73502,6 +91878,8 @@ 1 0 0 +2 +2 9 0x355d 0x351e6a13 @@ -73510,6 +91888,8 @@ 1 1 0 +0 +4 5 0xac4 0x3003d6ff @@ -73518,6 +91898,8 @@ 1 0 0 +2 +5 5 0x5a95 0x40c4075d @@ -73526,6 +91908,8 @@ 1 1 0 +3 +2 8 0x3d8c 0xd8e86226 @@ -73534,6 +91918,8 @@ 1 1 0 +4 +4 7 0xf7e0 0xf1078b66 @@ -73543,6 +91929,8 @@ 0 0 3 +1 +3 0x4c85 0xe79b8a37 256 @@ -73550,6 +91938,8 @@ 1 1 0 +3 +5 1 0x4e2e 0x2ec4997 @@ -73559,6 +91949,8 @@ 1 0 1 +3 +1 0x45b6 0x51a63347 256 @@ -73567,6 +91959,8 @@ 0 0 4 +3 +4 0x93dc 0x734769d1 256 @@ -73574,6 +91968,8 @@ 1 1 0 +3 +1 6 0x7d14 0x9ee4ec84 @@ -73582,6 +91978,8 @@ 1 0 0 +2 +1 5 0xf2d4 0xac6b35b4 @@ -73590,6 +91988,8 @@ 1 0 0 +3 +3 6 0xa6b4 0xa26cdc8b @@ -73598,6 +91998,8 @@ 1 0 0 +3 +1 6 0x9f77 0x1e7fa2e4 @@ -73606,6 +92008,8 @@ 0 0 0 +3 +1 6 0xec20 0x8dc97a2d @@ -73614,6 +92018,8 @@ 0 0 0 +3 +0 2 0xee3f 0xeab03d24 @@ -73622,6 +92028,8 @@ 1 0 0 +4 +5 8 0x9f37 0xa5127077 @@ -73630,6 +92038,8 @@ 0 0 0 +2 +0 5 0xb79e 0x1f1da13f @@ -73638,6 +92048,8 @@ 1 1 0 +3 +1 4 0x9679 0xbace1c63 @@ -73646,6 +92058,8 @@ 0 0 0 +4 +1 9 0xbba3 0x3809ffed @@ -73654,6 +92068,8 @@ 0 0 0 +5 +0 6 0xc8a1 0xdde49ca8 @@ -73662,6 +92078,8 @@ 0 0 0 +3 +0 4 0xee1 0xf3eeecb @@ -73670,6 +92088,8 @@ 0 0 0 +1 +0 4 0xa943 0x8166de95 @@ -73678,6 +92098,8 @@ 0 0 0 +5 +4 9 0x8bfa 0xb65b4072 @@ -73686,6 +92108,8 @@ 0 0 0 +5 +5 9 0xe89c 0x9b62b7fb @@ -73694,6 +92118,8 @@ 1 0 0 +5 +2 3 0x254f 0xcf8355b @@ -73703,6 +92129,8 @@ 0 0 5 +3 +5 0x32a 0xdce3a34a 256 @@ -73710,6 +92138,8 @@ 1 1 0 +5 +2 7 0x4e6c 0x3ad06bba @@ -73718,6 +92148,8 @@ 0 0 0 +2 +3 10 0xca01 0x39253df9 @@ -73726,6 +92158,8 @@ 0 0 0 +1 +4 5 0xcbb6 0x5f48f230 @@ -73734,6 +92168,8 @@ 0 0 0 +4 +0 5 0x8d87 0x249cf9ac @@ -73742,6 +92178,8 @@ 0 0 0 +5 +1 4 0xe855 0xf0d0c606 @@ -73750,6 +92188,8 @@ 0 0 0 +2 +4 9 0xd2a7 0x5d043cad @@ -73758,6 +92198,8 @@ 1 0 0 +4 +5 8 0x6ad8 0xdd669488 @@ -73766,6 +92208,8 @@ 1 0 0 +0 +3 9 0xca23 0xbbffe366 @@ -73774,6 +92218,8 @@ 1 1 0 +5 +4 3 0xe42a 0x36175621 @@ -73782,6 +92228,8 @@ 1 1 0 +0 +0 9 0xeabd 0xc3601657 @@ -73790,6 +92238,8 @@ 0 0 0 +2 +3 8 0x3176 0x8f094b04 @@ -73798,6 +92248,8 @@ 0 0 0 +2 +2 1 0xf20e 0xebc2e519 @@ -73807,6 +92259,8 @@ 0 0 2 +5 +2 0x80e7 0x5779fb72 256 @@ -73814,6 +92268,8 @@ 0 0 0 +5 +2 3 0x7baf 0xdcdb2d91 @@ -73823,6 +92279,8 @@ 0 0 5 +4 +5 0x9206 0x5365589 256 @@ -73830,6 +92288,8 @@ 1 0 0 +1 +1 3 0xa4f1 0xe9247e1c @@ -73838,6 +92298,8 @@ 1 0 0 +5 +4 9 0x2aae 0xdecce5c @@ -73846,6 +92308,8 @@ 1 1 0 +1 +1 4 0x7026 0xc3e438bf @@ -73854,6 +92318,8 @@ 1 0 0 +5 +4 2 0xed77 0xdbb427d4 @@ -73862,6 +92328,8 @@ 0 0 0 +2 +1 10 0x3baa 0x674d3d36 @@ -73870,6 +92338,8 @@ 1 0 0 +5 +5 1 0x4fba 0x19ed9c34 @@ -73878,6 +92348,8 @@ 0 0 0 +3 +4 4 0x972e 0x60d2829a @@ -73886,6 +92358,8 @@ 1 0 0 +0 +5 9 0xa1ca 0x9b830c25 @@ -73894,6 +92368,8 @@ 1 0 0 +3 +5 10 0x5507 0xefc6ccb8 @@ -73902,6 +92378,8 @@ 1 1 0 +2 +5 6 0x2b27 0x25aea6d8 @@ -73910,6 +92388,8 @@ 1 1 0 +3 +1 8 0x736d 0x727fc6d2 @@ -73919,6 +92399,8 @@ 1 0 3 +3 +3 0xf64f 0xe34ce321 256 @@ -73926,6 +92408,8 @@ 1 0 0 +5 +3 9 0x9235 0xd6384d9a @@ -73934,6 +92418,8 @@ 0 0 0 +4 +4 3 0xdcac 0xaab4f9a9 @@ -73942,6 +92428,8 @@ 0 0 0 +3 +3 5 0x5b5c 0xd00b881f @@ -73950,6 +92438,8 @@ 0 0 0 +1 +2 7 0x22f9 0xedee66ab @@ -73958,6 +92448,8 @@ 1 1 0 +0 +1 1 0xcdf9 0x31b7231f @@ -73966,6 +92458,8 @@ 1 1 0 +5 +2 8 0xcf20 0x90387f93 @@ -73974,6 +92468,8 @@ 0 0 0 +0 +1 8 0x87fe 0xf0fdf820 @@ -73982,6 +92478,8 @@ 1 1 0 +2 +3 6 0xcdb6 0xe2bc2cb5 @@ -73990,6 +92488,8 @@ 0 0 0 +1 +3 7 0x5bd6 0xf3dbbe32 @@ -73998,6 +92498,8 @@ 1 1 0 +1 +4 4 0x15e8 0x363e1b4c @@ -74006,6 +92508,8 @@ 0 0 0 +3 +4 1 0xde21 0xacf39499 @@ -74014,6 +92518,8 @@ 0 0 0 +3 +0 5 0x2ba9 0x1f2a4055 @@ -74022,6 +92528,8 @@ 1 1 0 +3 +0 1 0x74df 0x1262a8d @@ -74030,6 +92538,8 @@ 0 0 0 +0 +2 10 0x5447 0xe967de81 @@ -74038,6 +92548,8 @@ 1 0 0 +0 +5 1 0xc501 0x10f39775 @@ -74046,6 +92558,8 @@ 0 0 0 +5 +3 8 0x834e 0xe0230ad5 @@ -74055,6 +92569,8 @@ 1 0 4 +4 +4 0xad08 0xbb18d663 256 @@ -74062,6 +92578,8 @@ 0 0 0 +0 +3 3 0xf877 0x852bbf1e @@ -74070,6 +92588,8 @@ 1 0 0 +3 +4 1 0x72b6 0xd5f8b609 @@ -74078,6 +92598,8 @@ 0 0 0 +5 +5 8 0x9f0e 0x4d56c4f9 @@ -74086,6 +92608,8 @@ 0 0 0 +4 +5 10 0xe83 0x7fb49b4b @@ -74094,6 +92618,8 @@ 0 0 0 +4 +1 1 0xd474 0xdd25e4d2 @@ -74102,6 +92628,8 @@ 0 0 0 +4 +3 6 0x96ab 0x2bc8d4f3 @@ -74110,6 +92638,8 @@ 0 0 0 +1 +4 10 0x9578 0x888d31d1 @@ -74118,6 +92648,8 @@ 1 1 0 +4 +1 6 0x49c8 0xe3360e6a @@ -74126,6 +92658,8 @@ 1 1 0 +4 +2 1 0x1b42 0x66d8ab32 @@ -74134,6 +92668,8 @@ 0 0 0 +2 +5 8 0x776a 0x23ca008a @@ -74142,6 +92678,8 @@ 0 0 0 +0 +3 5 0x9970 0x2d083677 @@ -74150,6 +92688,8 @@ 1 1 0 +3 +4 7 0x8cd8 0xc306cfe8 @@ -74158,6 +92698,8 @@ 1 1 0 +1 +2 2 0x7c0d 0xb79f3df1 @@ -74166,6 +92708,8 @@ 0 0 0 +1 +4 5 0x2465 0x5304f75c @@ -74174,6 +92718,8 @@ 0 0 0 +5 +5 8 0x9c34 0x554eb7e5 @@ -74182,6 +92728,8 @@ 0 0 0 +5 +0 3 0x63c8 0xdad0504c @@ -74190,6 +92738,8 @@ 0 0 0 +0 +3 6 0x3a7c 0xd1935b11 @@ -74198,6 +92748,8 @@ 1 0 0 +4 +4 8 0x51bf 0xfdee0cc1 @@ -74206,6 +92758,8 @@ 1 1 0 +1 +0 4 0xf5b3 0x837a8f7a @@ -74214,6 +92768,8 @@ 1 0 0 +0 +0 10 0x649c 0xef1d691b @@ -74222,6 +92778,8 @@ 0 0 0 +0 +5 9 0x1a4b 0xfcfa83a6 @@ -74230,6 +92788,8 @@ 1 1 0 +5 +0 4 0xa925 0x77ba4b46 @@ -74238,6 +92798,8 @@ 0 0 0 +3 +3 6 0x8838 0xa9602302 @@ -74246,6 +92808,8 @@ 0 0 0 +0 +1 10 0xd818 0xadf622b6 @@ -74254,6 +92818,8 @@ 0 0 0 +3 +0 1 0x5978 0xc8730238 @@ -74262,6 +92828,8 @@ 1 1 0 +4 +4 6 0x2810 0x16fb8225 @@ -74270,6 +92838,8 @@ 1 1 0 +4 +2 3 0x4e6a 0x48edf400 @@ -74278,6 +92848,8 @@ 0 0 0 +1 +3 2 0x722d 0x501795d @@ -74286,6 +92858,8 @@ 0 0 0 +2 +3 8 0x69fe 0x49aa7edd @@ -74294,6 +92868,8 @@ 0 0 0 +4 +2 6 0xa25c 0xd29a7cd6 @@ -74302,6 +92878,8 @@ 1 0 0 +5 +2 8 0xafb5 0xd491896b @@ -74310,6 +92888,8 @@ 1 0 0 +3 +5 1 0x33e5 0xffcb93d9 @@ -74318,6 +92898,8 @@ 0 0 0 +4 +5 8 0xba33 0xe4e85171 @@ -74326,6 +92908,8 @@ 1 0 0 +2 +5 3 0xed4 0x5d0cc777 @@ -74334,6 +92918,8 @@ 0 0 0 +5 +1 9 0xc708 0xffb0ed1a @@ -74342,6 +92928,8 @@ 1 1 0 +0 +5 3 0x1e88 0x1c99ffd4 @@ -74350,6 +92938,8 @@ 0 0 0 +1 +2 3 0x2bfc 0xeb35e6db @@ -74358,6 +92948,8 @@ 1 0 0 +2 +0 4 0xf55e 0xd3272ffd @@ -74366,6 +92958,8 @@ 1 0 0 +3 +3 10 0xfe3e 0x893c75d2 @@ -74374,6 +92968,8 @@ 1 1 0 +4 +3 5 0x5dff 0x8209de9c @@ -74383,6 +92979,8 @@ 0 0 5 +4 +5 0xd1aa 0x23d8858d 256 @@ -74390,6 +92988,8 @@ 0 0 0 +2 +1 7 0xf696 0x2e20155c @@ -74399,6 +92999,8 @@ 1 0 2 +4 +2 0xd384 0xd21a03e7 256 @@ -74406,6 +93008,8 @@ 1 0 0 +0 +0 4 0x4636 0x2a5c0b50 @@ -74414,6 +93018,8 @@ 1 0 0 +1 +1 6 0xed61 0x61655402 @@ -74422,6 +93028,8 @@ 0 0 0 +5 +2 9 0xb545 0x4a6f2c46 @@ -74430,6 +93038,8 @@ 1 0 0 +2 +4 6 0x7167 0x943f2ea2 @@ -74438,6 +93048,8 @@ 0 0 0 +1 +0 10 0x2dc9 0x3b90f9b8 @@ -74446,6 +93058,8 @@ 1 1 0 +2 +5 8 0x1a87 0x4dd12ec6 @@ -74454,6 +93068,8 @@ 1 0 0 +2 +5 10 0x272f 0x63bca406 @@ -74462,6 +93078,8 @@ 0 0 0 +5 +0 7 0x28 0x18e37765 @@ -74470,6 +93088,8 @@ 1 0 0 +5 +0 6 0xa540 0x2630d2f3 @@ -74478,6 +93098,8 @@ 1 0 0 +3 +3 1 0x641f 0x71bd9fc6 @@ -74486,6 +93108,8 @@ 0 0 0 +0 +5 4 0xf30 0x9d18c805 @@ -74494,6 +93118,8 @@ 1 1 0 +1 +2 2 0x328f 0x682e5603 @@ -74502,6 +93128,8 @@ 0 0 0 +0 +3 9 0x6aa9 0x8e30cc67 @@ -74511,6 +93139,8 @@ 0 0 2 +2 +2 0x472c 0x85c9d53e 256 @@ -74518,6 +93148,8 @@ 0 0 0 +1 +5 4 0x47f0 0x4b2f4ec8 @@ -74526,6 +93158,8 @@ 0 0 0 +5 +2 7 0x77f9 0x5d6767df @@ -74534,6 +93168,8 @@ 1 1 0 +1 +1 2 0xdffa 0x43a47f85 @@ -74542,6 +93178,8 @@ 1 0 0 +5 +4 2 0x9dd1 0xda1bb6c2 @@ -74550,6 +93188,8 @@ 1 0 0 +0 +1 4 0x2cb8 0x81aa269 @@ -74558,6 +93198,8 @@ 1 0 0 +0 +0 8 0xcb8d 0x25df3fd6 @@ -74566,6 +93208,8 @@ 1 1 0 +4 +4 1 0x1e84 0x226ba900 @@ -74574,6 +93218,8 @@ 0 0 0 +5 +5 1 0x8f8c 0xaa095273 @@ -74582,6 +93228,8 @@ 1 1 0 +1 +4 2 0x6305 0x302fabfc @@ -74590,6 +93238,8 @@ 1 1 0 +5 +4 2 0x90df 0x2107fd62 @@ -74598,6 +93248,8 @@ 0 0 0 +3 +2 4 0x10 0x28648790 @@ -74606,6 +93258,8 @@ 1 1 0 +2 +5 5 0xf78f 0xbc554de2 @@ -74614,6 +93268,8 @@ 0 0 0 +0 +5 10 0x6d7f 0x91e44da2 @@ -74622,6 +93278,8 @@ 0 0 0 +4 +5 3 0x464e 0x2c861fa7 @@ -74630,6 +93288,8 @@ 1 0 0 +1 +3 2 0x17f 0x634f4e96 @@ -74638,6 +93298,8 @@ 1 0 0 +3 +1 5 0x7b08 0x35e6f5f8 @@ -74646,6 +93308,8 @@ 0 0 0 +0 +0 4 0xe24d 0xb859af84 @@ -74654,6 +93318,8 @@ 0 0 0 +4 +4 1 0x2555 0xce058974 @@ -74662,6 +93328,8 @@ 1 1 0 +4 +3 9 0xa73f 0xd7420efe @@ -74670,6 +93338,8 @@ 1 1 0 +5 +2 3 0xdc61 0x46e85a53 @@ -74678,6 +93348,8 @@ 0 0 0 +0 +3 1 0x6724 0x6aa5288e @@ -74686,6 +93358,8 @@ 1 1 0 +5 +5 4 0xc6a9 0xe903acc8 @@ -74694,6 +93368,8 @@ 0 0 0 +3 +5 7 0xf1ee 0x811e03af @@ -74702,6 +93378,8 @@ 1 0 0 +1 +3 10 0x92dc 0xb0f24715 @@ -74710,6 +93388,8 @@ 0 0 0 +0 +4 1 0xa248 0x9917e354 @@ -74718,6 +93398,8 @@ 1 1 0 +2 +5 9 0xd112 0x21b94aa1 @@ -74726,6 +93408,8 @@ 1 1 0 +3 +0 1 0x1958 0x50b6fee9 @@ -74734,6 +93418,8 @@ 0 0 0 +4 +2 5 0xbfac 0xd93d3a67 @@ -74742,6 +93428,8 @@ 1 0 0 +5 +0 1 0x18c0 0x63f6308d @@ -74750,6 +93438,8 @@ 0 0 0 +3 +4 2 0xdebe 0x9fa61f22 @@ -74758,6 +93448,8 @@ 0 0 0 +4 +5 5 0x5d29 0x2bb76dcb @@ -74766,6 +93458,8 @@ 1 1 0 +4 +1 10 0x61fb 0xdbd833f5 @@ -74774,6 +93468,8 @@ 1 1 0 +3 +3 6 0x6203 0xb75a74ab @@ -74782,6 +93478,8 @@ 1 1 0 +5 +0 1 0xec9f 0x65d8076 @@ -74790,6 +93488,8 @@ 1 0 0 +2 +2 10 0x4629 0xe3ff61b3 @@ -74798,6 +93498,8 @@ 0 0 0 +4 +2 7 0x5571 0x6c0d757f @@ -74806,6 +93508,8 @@ 1 1 0 +5 +2 7 0x9685 0x470bfa3 @@ -74814,6 +93518,8 @@ 1 1 0 +3 +1 8 0x5f6b 0xde855399 @@ -74822,6 +93528,8 @@ 1 1 0 +1 +1 3 0xf57a 0xce0ae0ac @@ -74830,6 +93538,8 @@ 1 0 0 +0 +0 10 0x6dda 0x767d23b7 @@ -74838,6 +93548,8 @@ 0 0 0 +5 +0 6 0x258a 0xfd8cf17c @@ -74846,6 +93558,8 @@ 1 1 0 +5 +5 7 0x1b3c 0x711de091 @@ -74854,6 +93568,8 @@ 1 1 0 +0 +0 4 0x5b91 0xda10157f @@ -74862,6 +93578,8 @@ 0 0 0 +4 +5 8 0xc1b6 0x2dcd91ec @@ -74870,6 +93588,8 @@ 0 0 0 +0 +4 4 0xd7ea 0x41bb7653 @@ -74878,6 +93598,8 @@ 1 1 0 +0 +1 7 0xfe20 0x8b07b216 @@ -74886,6 +93608,8 @@ 0 0 0 +3 +4 5 0x9639 0xffc10a8e @@ -74894,6 +93618,8 @@ 0 0 0 +0 +3 5 0x1c86 0xe904064f @@ -74902,6 +93628,8 @@ 1 0 0 +4 +5 3 0x6d7f 0xe5aba2e5 @@ -74910,6 +93638,8 @@ 0 0 0 +3 +5 2 0x3231 0xcb841ed9 @@ -74918,6 +93648,8 @@ 0 0 0 +1 +0 8 0xadb9 0xbaddc0b4 @@ -74927,6 +93659,8 @@ 0 0 2 +3 +2 0x77cc 0x71c9a476 256 @@ -74934,6 +93668,8 @@ 0 0 0 +5 +3 1 0x3cf4 0x90bb6775 @@ -74942,6 +93678,8 @@ 0 0 0 +2 +1 4 0x8a99 0xfd51965e @@ -74950,6 +93688,8 @@ 1 0 0 +2 +1 9 0x3a09 0x939f7f73 @@ -74958,6 +93698,8 @@ 0 0 0 +5 +5 10 0xaff1 0xcd5aa6ea @@ -74966,6 +93708,8 @@ 1 0 0 +2 +2 4 0x908e 0x18458f6b @@ -74974,6 +93718,8 @@ 0 0 0 +0 +5 7 0x7335 0x428821c2 @@ -74982,6 +93728,8 @@ 1 0 0 +5 +5 2 0xdb72 0x36ca1790 @@ -74991,6 +93739,8 @@ 0 0 5 +5 +5 0xe221 0xa26ea95a 256 @@ -74998,6 +93748,8 @@ 0 0 0 +1 +0 7 0x7e44 0xcb03dca9 @@ -75006,6 +93758,8 @@ 1 1 0 +4 +3 2 0x653b 0x6cb2a50e @@ -75014,6 +93768,8 @@ 1 1 0 +2 +1 1 0xb2bc 0xf59eccea @@ -75022,6 +93778,8 @@ 1 1 0 +5 +0 4 0xc193 0xf3b64e67 @@ -75030,6 +93788,8 @@ 0 0 0 +4 +0 7 0xdf8d 0xb7e1358 @@ -75039,6 +93799,8 @@ 0 0 3 +4 +3 0x14c2 0xbf950f85 256 @@ -75046,6 +93808,8 @@ 0 0 0 +2 +5 1 0xe447 0xfe89d461 @@ -75054,6 +93818,8 @@ 1 1 0 +3 +4 9 0xecf9 0x3596f612 @@ -75062,6 +93828,8 @@ 0 0 0 +4 +5 2 0x2fde 0x871eed4f @@ -75070,6 +93838,8 @@ 1 1 0 +0 +0 9 0x2be0 0x4c3e577a @@ -75078,6 +93848,8 @@ 1 1 0 +3 +2 2 0x7288 0xb66454ff @@ -75086,6 +93858,8 @@ 1 0 0 +0 +4 3 0x1ab5 0xe05172f @@ -75094,6 +93868,8 @@ 1 0 0 +3 +0 8 0x436d 0x7b979459 @@ -75102,6 +93878,8 @@ 1 1 0 +1 +5 4 0xfb20 0x6edcb59e @@ -75110,6 +93888,8 @@ 0 0 0 +0 +4 9 0xd995 0xfa60f11f @@ -75118,6 +93898,8 @@ 0 0 0 +2 +5 6 0x87d1 0x94793c36 @@ -75126,6 +93908,8 @@ 1 1 0 +3 +0 1 0xb643 0xc54be375 @@ -75134,6 +93918,8 @@ 1 1 0 +3 +1 8 0x5e6a 0x42d0181c @@ -75142,6 +93928,8 @@ 0 0 0 +5 +2 3 0x5702 0xe51269ed @@ -75150,6 +93938,8 @@ 0 0 0 +3 +3 7 0x4383 0x4b89f777 @@ -75158,6 +93948,8 @@ 1 0 0 +2 +3 3 0x7ac 0x7e948f7b @@ -75167,6 +93959,8 @@ 0 0 4 +2 +4 0x5424 0xa763b5e5 256 @@ -75174,6 +93968,8 @@ 0 0 0 +2 +1 9 0x9cc7 0xd55b4f8c @@ -75182,6 +93978,8 @@ 1 0 0 +4 +5 3 0x91f4 0x9dfa2520 @@ -75190,6 +93988,8 @@ 0 0 0 +0 +2 9 0xc900 0x1aad0ecd @@ -75198,6 +93998,8 @@ 1 0 0 +2 +5 3 0xfaf5 0x6de85d82 @@ -75206,6 +94008,8 @@ 1 1 0 +3 +1 4 0x1b2f 0xc72da096 @@ -75214,6 +94018,8 @@ 1 0 0 +0 +5 1 0x1033 0xa7b99459 @@ -75222,6 +94028,8 @@ 1 0 0 +5 +1 9 0x4e61 0xf25510af @@ -75230,6 +94038,8 @@ 1 1 0 +5 +5 1 0x7e7c 0xbb8faeeb @@ -75238,6 +94048,8 @@ 1 0 0 +3 +0 9 0xff6b 0xf6cbbb7f @@ -75246,6 +94058,8 @@ 0 0 0 +2 +2 7 0xa2be 0xf289f767 @@ -75254,6 +94068,8 @@ 1 0 0 +5 +0 10 0x22b0 0x90a54a5e @@ -75262,6 +94078,8 @@ 1 0 0 +3 +5 2 0x8e84 0xc431f99c @@ -75270,6 +94088,8 @@ 0 0 0 +0 +2 10 0x908b 0xc84201c3 @@ -75278,6 +94098,8 @@ 0 0 0 +2 +0 1 0x23c1 0x7eab60dc @@ -75286,6 +94108,8 @@ 0 0 0 +5 +3 10 0xad66 0xbcaef97a @@ -75294,6 +94118,8 @@ 0 0 0 +1 +3 9 0x5f14 0x220d57c9 @@ -75302,6 +94128,8 @@ 1 0 0 +2 +4 4 0x7bcf 0xde31553a @@ -75310,6 +94138,8 @@ 1 0 0 +3 +5 6 0x4b72 0xa189f1a3 @@ -75318,6 +94148,8 @@ 1 0 0 +2 +5 5 0x1115 0x57d0afef @@ -75326,6 +94158,8 @@ 1 1 0 +5 +2 6 0x7559 0x6407f25a @@ -75334,6 +94168,8 @@ 0 0 0 +0 +4 4 0xf779 0xf175b6a9 @@ -75342,6 +94178,8 @@ 1 1 0 +5 +3 3 0xe6fd 0x6224e64a @@ -75350,6 +94188,8 @@ 0 0 0 +2 +2 3 0x6ff8 0x16a17c5c @@ -75358,6 +94198,8 @@ 1 0 0 +0 +0 7 0x8cdf 0x4767d46e @@ -75366,6 +94208,8 @@ 0 0 0 +3 +3 10 0x30f8 0x533861ec @@ -75374,6 +94218,8 @@ 1 1 0 +0 +1 5 0x4e00 0x5df5aea7 @@ -75382,6 +94228,8 @@ 1 1 0 +5 +1 4 0xb985 0xab17f3bf @@ -75390,6 +94238,8 @@ 0 0 0 +2 +0 10 0x30e7 0x7081323b @@ -75398,6 +94248,8 @@ 1 0 0 +5 +2 8 0x330a 0xc1e12fde @@ -75406,6 +94258,8 @@ 1 1 0 +5 +0 2 0x7f9f 0xa1dadbf3 @@ -75414,6 +94268,8 @@ 0 0 0 +3 +2 10 0x1d02 0x6b340b88 @@ -75422,6 +94278,8 @@ 0 0 0 +2 +0 9 0x6976 0x8bb44ead @@ -75430,6 +94288,8 @@ 0 0 0 +1 +3 4 0x22a6 0x7f9b50e9 @@ -75438,6 +94298,8 @@ 1 1 0 +2 +5 9 0x9adf 0x8f6d890 @@ -75446,6 +94308,8 @@ 0 0 0 +2 +2 10 0x2a67 0x46f83ef4 @@ -75454,6 +94318,8 @@ 0 0 0 +2 +3 8 0xef69 0x880433a8 @@ -75462,6 +94328,8 @@ 1 1 0 +1 +1 8 0x8cdc 0xc87afd87 @@ -75470,6 +94338,8 @@ 1 1 0 +0 +4 8 0xae7c 0x7f8f3fd1 @@ -75478,6 +94348,8 @@ 1 1 0 +1 +2 4 0xdcb6 0x7fc93361 @@ -75487,6 +94359,8 @@ 0 0 3 +2 +3 0x8910 0x47ba6ca9 256 @@ -75495,6 +94369,8 @@ 0 0 4 +4 +4 0xa921 0xe05f35d6 256 @@ -75503,6 +94379,8 @@ 1 0 2 +0 +2 0x2e6e 0xa1481aa3 256 @@ -75510,6 +94388,8 @@ 1 1 0 +4 +1 6 0xafd6 0x49921b67 @@ -75518,6 +94398,8 @@ 0 0 0 +0 +5 8 0xa744 0x918f3cd1 @@ -75526,6 +94408,8 @@ 1 0 0 +1 +0 3 0xff9d 0x3c0d77b @@ -75534,6 +94418,8 @@ 0 0 0 +2 +4 1 0xbc81 0x81a02bf1 @@ -75542,6 +94428,8 @@ 0 0 0 +3 +2 4 0x318a 0xf73fa763 @@ -75550,6 +94438,8 @@ 0 0 0 +4 +4 5 0x74a6 0x50a6f905 @@ -75558,6 +94448,8 @@ 0 0 0 +5 +1 6 0xca8e 0xb29a20c4 @@ -75567,6 +94459,8 @@ 0 0 3 +2 +3 0xf2fd 0xc0ab6496 256 @@ -75575,6 +94469,8 @@ 0 0 2 +0 +2 0x80c1 0x342a1e4 256 @@ -75582,6 +94478,8 @@ 1 0 0 +5 +3 4 0x3736 0x9e32446d @@ -75590,6 +94488,8 @@ 1 1 0 +2 +3 7 0xae56 0x87c3f36 @@ -75598,6 +94498,8 @@ 1 0 0 +4 +4 7 0x76b6 0xde186d4d @@ -75606,6 +94508,8 @@ 1 1 0 +4 +2 6 0x858a 0x895543c @@ -75614,6 +94518,8 @@ 0 0 0 +4 +4 9 0x1a29 0xcba5c915 @@ -75622,6 +94528,8 @@ 0 0 0 +0 +2 3 0x60e4 0xe6cd0703 @@ -75630,6 +94538,8 @@ 1 1 0 +5 +0 8 0xbbcd 0x9a4143c4 @@ -75638,6 +94548,8 @@ 0 0 0 +1 +2 8 0xffd 0x445f5d8b @@ -75646,6 +94558,8 @@ 0 0 0 +1 +5 6 0xa12c 0xd97f89ca @@ -75654,6 +94568,8 @@ 1 1 0 +2 +3 1 0x4c79 0x1b6bcbcb @@ -75662,6 +94578,8 @@ 1 1 0 +1 +3 7 0x43c3 0xb52e9c98 @@ -75670,6 +94588,8 @@ 1 1 0 +3 +3 1 0x5c3d 0xf41817ee @@ -75678,6 +94598,8 @@ 1 0 0 +4 +2 1 0xa9d5 0xb73a2868 @@ -75686,6 +94608,8 @@ 0 0 0 +5 +5 7 0x2b63 0xd5c4bc6f @@ -75694,6 +94618,8 @@ 0 0 0 +5 +2 7 0xe760 0x5ea12375 @@ -75702,6 +94628,8 @@ 0 0 0 +3 +2 2 0x71c6 0xb91149f4 @@ -75710,6 +94638,8 @@ 0 0 0 +4 +1 9 0xcdb1 0x40312306 @@ -75718,6 +94648,8 @@ 1 1 0 +1 +2 6 0xfe4 0xe1d36ffb @@ -75726,6 +94658,8 @@ 1 0 0 +3 +2 2 0x77c1 0x6eea3cb6 @@ -75734,6 +94668,8 @@ 0 0 0 +2 +4 6 0xafeb 0xd96d8efb @@ -75742,6 +94678,8 @@ 1 0 0 +0 +0 8 0x45d4 0xe30eae60 @@ -75750,6 +94688,8 @@ 0 0 0 +2 +0 4 0xce95 0xaa542084 @@ -75758,6 +94698,8 @@ 1 0 0 +3 +3 2 0x5a6c 0xd7cb0a54 @@ -75766,6 +94708,8 @@ 0 0 0 +1 +4 7 0x53ab 0x592010cc @@ -75774,6 +94718,8 @@ 1 0 0 +4 +3 10 0xd5a3 0xc9e5f539 @@ -75782,6 +94728,8 @@ 0 0 0 +1 +5 6 0x398f 0xd83b26a0 @@ -75790,6 +94738,8 @@ 0 0 0 +4 +5 8 0xf735 0xb527ce18 @@ -75798,6 +94748,8 @@ 0 0 0 +3 +1 9 0x5b22 0xae64ab5d @@ -75806,6 +94758,8 @@ 1 0 0 +2 +3 1 0x7879 0xc4c0be2c @@ -75814,6 +94768,8 @@ 0 0 0 +1 +2 7 0x7c8d 0xb4259762 @@ -75822,6 +94778,8 @@ 0 0 0 +2 +5 6 0xe1e2 0xe6e3038a @@ -75831,6 +94789,8 @@ 0 0 1 +1 +1 0xd516 0xd232f7a8 256 @@ -75838,6 +94798,8 @@ 1 0 0 +0 +1 7 0xba5 0xbbcee199 @@ -75846,6 +94808,8 @@ 0 0 0 +4 +4 1 0x5cfd 0x5172cbe7 @@ -75854,6 +94818,8 @@ 0 0 0 +1 +1 8 0xba0d 0x4a7f57be @@ -75862,6 +94828,8 @@ 1 0 0 +3 +4 5 0xb31a 0x1ba67e60 @@ -75870,6 +94838,8 @@ 1 0 0 +2 +4 4 0xebdd 0xd4f0bcda @@ -75878,6 +94848,8 @@ 1 1 0 +3 +4 2 0xf3d2 0xa601bb7a @@ -75886,6 +94858,8 @@ 0 0 0 +2 +3 9 0x7459 0x6a6125e6 @@ -75894,6 +94868,8 @@ 1 1 0 +2 +1 4 0x23dd 0x6f5dd203 @@ -75902,6 +94878,8 @@ 1 0 0 +5 +2 1 0xa077 0xaec33190 @@ -75910,6 +94888,8 @@ 1 0 0 +1 +1 10 0x5937 0x8d84ab78 @@ -75918,6 +94898,8 @@ 1 0 0 +3 +1 4 0xe5d6 0x43b25bb6 @@ -75926,6 +94908,8 @@ 0 0 0 +5 +0 8 0x24fa 0x96c733ba @@ -75934,6 +94918,8 @@ 0 0 0 +5 +2 2 0x51a8 0xfa35f742 @@ -75942,6 +94928,8 @@ 1 0 0 +2 +2 5 0xf81f 0x1661c580 @@ -75950,6 +94938,8 @@ 1 1 0 +4 +4 3 0x41dd 0x26b76ee1 @@ -75958,6 +94948,8 @@ 0 0 0 +4 +1 6 0x545f 0x82f3b07e @@ -75966,6 +94958,8 @@ 1 1 0 +2 +0 4 0x30f2 0xe80b1c29 @@ -75974,6 +94968,8 @@ 1 1 0 +1 +5 8 0x89ee 0x652bf8c6 @@ -75982,6 +94978,8 @@ 0 0 0 +5 +2 7 0x9db7 0x4eb3adcc @@ -75990,6 +94988,8 @@ 1 0 0 +2 +2 10 0x447f 0xfd9e0ea @@ -75998,6 +94998,8 @@ 0 0 0 +0 +0 2 0x95df 0xa1b5ef0 @@ -76006,6 +95008,8 @@ 0 0 0 +3 +4 1 0x65fb 0xaa975fda @@ -76014,6 +95018,8 @@ 1 1 0 +0 +3 7 0xa72d 0xa78df56e @@ -76023,6 +95029,8 @@ 0 0 5 +1 +5 0x719a 0xc41eef43 256 @@ -76030,6 +95038,8 @@ 1 1 0 +2 +3 7 0xab0c 0x4cb8b93c @@ -76038,6 +95048,8 @@ 1 0 0 +5 +4 7 0xb0b9 0x785d5d5b @@ -76046,6 +95058,8 @@ 1 0 0 +4 +0 3 0xaf45 0x7c0d13a6 @@ -76054,6 +95068,8 @@ 1 0 0 +5 +0 1 0x812a 0xdd29dd9c @@ -76062,6 +95078,8 @@ 1 1 0 +2 +2 3 0x9c87 0x97189499 @@ -76070,6 +95088,8 @@ 0 0 0 +4 +0 6 0xf7d6 0x44cf020e @@ -76078,6 +95098,8 @@ 1 0 0 +0 +4 8 0x9743 0xa82479ef @@ -76086,6 +95108,8 @@ 1 0 0 +5 +0 10 0xd6bf 0x32051c2c @@ -76094,6 +95118,8 @@ 0 0 0 +5 +4 2 0xd98a 0xe5a10938 @@ -76103,6 +95129,8 @@ 0 0 3 +2 +3 0x95ac 0x3eec293d 256 @@ -76110,6 +95138,8 @@ 1 0 0 +5 +1 7 0x830e 0x6b9ac73f @@ -76118,6 +95148,8 @@ 0 0 0 +0 +2 2 0x6408 0xb1f789fe @@ -76126,6 +95158,8 @@ 0 0 0 +1 +5 10 0x32db 0x3e381011 @@ -76134,6 +95168,8 @@ 0 0 0 +5 +5 4 0x9b24 0x11116424 @@ -76142,6 +95178,8 @@ 0 0 0 +1 +0 5 0xd7e5 0x396a915a @@ -76150,6 +95188,8 @@ 1 0 0 +1 +1 10 0x3476 0x505e8281 @@ -76158,6 +95198,8 @@ 1 1 0 +4 +5 5 0x1a5a 0x26dbe944 @@ -76166,6 +95208,8 @@ 1 0 0 +5 +2 3 0xda2f 0x49e9babb @@ -76175,6 +95219,8 @@ 0 0 5 +4 +5 0x6ec5 0x4c3b6343 256 @@ -76182,6 +95228,8 @@ 1 1 0 +1 +4 10 0x6276 0xf2077ed5 @@ -76190,6 +95238,8 @@ 1 0 0 +0 +2 8 0x1f91 0x77cbb103 @@ -76198,6 +95248,8 @@ 1 0 0 +1 +0 4 0xe061 0xac956965 @@ -76206,6 +95258,8 @@ 1 0 0 +3 +0 5 0xc9ec 0xee08ce47 @@ -76214,6 +95268,8 @@ 0 0 0 +2 +0 9 0xe8aa 0x32722668 @@ -76222,6 +95278,8 @@ 0 0 0 +4 +4 3 0x847e 0xab70bacb @@ -76230,6 +95288,8 @@ 1 1 0 +3 +2 5 0xa03a 0x33612fe9 @@ -76238,6 +95298,8 @@ 0 0 0 +1 +1 8 0x8ae1 0xdcf38b43 @@ -76246,6 +95308,8 @@ 1 0 0 +1 +2 7 0x1048 0x5afa3a55 @@ -76254,6 +95318,8 @@ 1 1 0 +0 +3 3 0x1352 0x4b687a72 @@ -76262,6 +95328,8 @@ 1 0 0 +0 +0 1 0x2406 0xdd2462b3 @@ -76270,6 +95338,8 @@ 1 1 0 +3 +2 1 0x707a 0x687183bb @@ -76278,6 +95348,8 @@ 1 1 0 +3 +1 1 0xac58 0x50ded5f2 @@ -76286,6 +95358,8 @@ 0 0 0 +3 +5 8 0xbf1 0x385819f4 @@ -76294,6 +95368,8 @@ 1 1 0 +2 +4 6 0xbcee 0x79d8cd1c @@ -76302,6 +95378,8 @@ 0 0 0 +1 +4 5 0x906a 0xa3be6962 @@ -76310,6 +95388,8 @@ 1 1 0 +0 +0 2 0xb521 0x7f317456 @@ -76318,6 +95398,8 @@ 0 0 0 +2 +1 8 0x5b43 0xb048d13b @@ -76326,6 +95408,8 @@ 1 0 0 +4 +2 6 0x518 0x3c6bdaa @@ -76334,6 +95418,8 @@ 1 0 0 +0 +2 1 0xa027 0x8b539af9 @@ -76342,6 +95428,8 @@ 1 1 0 +3 +5 4 0x6eb6 0x3e3f5421 @@ -76350,6 +95438,8 @@ 1 1 0 +3 +4 1 0x8a85 0xbd80c110 @@ -76358,6 +95448,8 @@ 0 0 0 +4 +5 8 0x29b4 0x79536e32 @@ -76366,6 +95458,8 @@ 0 0 0 +1 +1 4 0x7229 0xdb1dbf2b @@ -76374,6 +95468,8 @@ 1 0 0 +5 +1 4 0x7359 0xa8ee1f94 @@ -76382,6 +95478,8 @@ 0 0 0 +0 +3 7 0xb5fc 0x39a0e8c7 @@ -76390,6 +95488,8 @@ 0 0 0 +0 +1 1 0x3d8a 0x99c03336 @@ -76398,6 +95498,8 @@ 1 1 0 +0 +3 1 0xa663 0x602a6ab2 @@ -76406,6 +95508,8 @@ 1 1 0 +1 +5 2 0x52a1 0xdcf9f8a3 @@ -76415,6 +95519,8 @@ 0 0 2 +0 +2 0xbae 0x7f7739d2 256 @@ -76422,6 +95528,8 @@ 0 0 0 +2 +2 4 0xaa18 0x6b702929 @@ -76430,6 +95538,8 @@ 1 0 0 +4 +2 6 0x7640 0x977d0262 @@ -76438,6 +95548,8 @@ 1 1 0 +2 +3 1 0x21b1 0x55f90607 @@ -76446,6 +95558,8 @@ 0 0 0 +3 +3 9 0x4fd8 0x873b1fbc @@ -76454,6 +95568,8 @@ 0 0 0 +5 +0 4 0x3a0b 0x50d64df8 @@ -76462,6 +95578,8 @@ 0 0 0 +3 +1 4 0x98df 0xc9a512de @@ -76470,6 +95588,8 @@ 0 0 0 +0 +3 10 0x2101 0xab83e1ef @@ -76478,6 +95598,8 @@ 0 0 0 +5 +2 2 0x8511 0xeefc6a6c @@ -76486,6 +95608,8 @@ 1 0 0 +5 +1 8 0x5845 0x8c493a46 @@ -76495,6 +95619,8 @@ 0 0 3 +4 +3 0x41d1 0x31c542d 256 @@ -76502,6 +95628,8 @@ 0 0 0 +3 +3 6 0x5948 0xa4df8080 @@ -76510,6 +95638,8 @@ 0 0 0 +0 +4 4 0x94d4 0x11fc319c @@ -76518,6 +95648,8 @@ 1 1 0 +5 +0 2 0xd090 0x341c2243 @@ -76526,6 +95658,8 @@ 1 0 0 +5 +4 9 0x8ffb 0x6604fa24 @@ -76534,6 +95668,8 @@ 0 0 0 +1 +2 2 0xd2d1 0xba3beca6 @@ -76542,6 +95678,8 @@ 1 0 0 +1 +2 5 0x2bd6 0xbaa7133d @@ -76550,6 +95688,8 @@ 0 0 0 +0 +0 3 0x787 0xee57a43e @@ -76558,6 +95698,8 @@ 1 0 0 +4 +2 3 0xaa24 0xf469f89b @@ -76566,6 +95708,8 @@ 1 0 0 +3 +2 9 0x9604 0x9a18774e @@ -76574,6 +95718,8 @@ 1 1 0 +0 +5 8 0x4756 0x3334801f @@ -76582,6 +95728,8 @@ 0 0 0 +2 +1 10 0x2fa5 0x32d56f0c @@ -76590,6 +95738,8 @@ 0 0 0 +1 +2 9 0x1495 0xdc68a536 @@ -76598,6 +95748,8 @@ 0 0 0 +2 +3 10 0xcad8 0xe426ad0c @@ -76606,6 +95758,8 @@ 1 1 0 +2 +5 4 0x168d 0x2938e08b @@ -76614,6 +95768,8 @@ 1 0 0 +1 +2 2 0x796c 0xac8fce47 @@ -76622,6 +95778,8 @@ 1 0 0 +4 +2 1 0xc885 0x870cd191 @@ -76630,6 +95788,8 @@ 0 0 0 +2 +2 5 0x5eb 0xc5b80b71 @@ -76638,6 +95798,8 @@ 1 0 0 +0 +1 3 0xd2c5 0x75b78541 @@ -76646,6 +95808,8 @@ 1 0 0 +1 +0 10 0x12e1 0x7defd8dc @@ -76654,6 +95818,8 @@ 1 0 0 +2 +1 8 0x80e9 0x10a28b3f @@ -76662,6 +95828,8 @@ 0 0 0 +5 +3 10 0x6880 0x1a7e5b93 @@ -76670,6 +95838,8 @@ 0 0 0 +0 +1 7 0x3b16 0x716f6829 @@ -76678,6 +95848,8 @@ 1 1 0 +1 +1 8 0xb402 0x60597d75 @@ -76686,6 +95858,8 @@ 0 0 0 +0 +4 3 0xb648 0x8e0db1ad @@ -76694,6 +95868,8 @@ 0 0 0 +4 +5 7 0x10d1 0xe77e0eef @@ -76702,6 +95878,8 @@ 0 0 0 +5 +4 8 0x71ff 0xbd9f81a6 @@ -76710,6 +95888,8 @@ 0 0 0 +5 +1 9 0xe7b6 0x84e3f475 @@ -76718,6 +95898,8 @@ 0 0 0 +0 +0 10 0xc562 0xb90d5612 @@ -76726,6 +95908,8 @@ 1 1 0 +3 +4 10 0x9dc3 0x5eef8eda @@ -76734,6 +95918,8 @@ 1 0 0 +3 +0 9 0x4c86 0xd700d175 @@ -76742,6 +95928,8 @@ 1 1 0 +3 +2 6 0x242d 0xa1e30b08 @@ -76750,6 +95938,8 @@ 0 0 0 +5 +2 7 0xe33f 0x22344f80 @@ -76758,6 +95948,8 @@ 1 1 0 +2 +5 10 0x6e1b 0xbb14ac03 @@ -76766,6 +95958,8 @@ 1 0 0 +1 +1 7 0xf9f7 0x5ca68d33 @@ -76774,6 +95968,8 @@ 0 0 0 +2 +2 5 0xb63d 0xbcc65eb0 @@ -76782,6 +95978,8 @@ 0 0 0 +4 +0 2 0x6236 0xc0f269ad @@ -76790,6 +95988,8 @@ 0 0 0 +2 +3 6 0xded4 0x9abc410b @@ -76798,6 +95998,8 @@ 0 0 0 +4 +3 9 0xa3c8 0xc5da4e3a @@ -76807,6 +96009,8 @@ 0 0 4 +4 +4 0xe19e 0x66d9c725 256 @@ -76814,6 +96018,8 @@ 0 0 0 +3 +2 1 0xed18 0x371767ff @@ -76822,6 +96028,8 @@ 0 0 0 +1 +0 5 0x166c 0xdac15734 @@ -76830,6 +96038,8 @@ 1 1 0 +3 +4 1 0x38b 0xa9106449 @@ -76838,6 +96048,8 @@ 1 1 0 +2 +5 4 0x7de6 0x3a36f0ee @@ -76846,6 +96058,8 @@ 1 0 0 +4 +3 10 0xef49 0xbc0c7171 @@ -76854,6 +96068,8 @@ 1 1 0 +1 +0 6 0xaf51 0x3a2e1022 @@ -76862,6 +96078,8 @@ 1 1 0 +0 +1 5 0xcf7f 0x97acb340 @@ -76871,6 +96089,8 @@ 0 0 2 +1 +2 0x8a3e 0x11cda67e 256 @@ -76878,6 +96098,8 @@ 1 1 0 +4 +1 10 0x4b07 0xef6a7031 @@ -76886,6 +96108,8 @@ 1 0 0 +3 +0 1 0xc468 0x98b8aa2d @@ -76895,6 +96119,8 @@ 1 0 4 +4 +4 0xe1ef 0x79fd2484 256 @@ -76903,6 +96129,8 @@ 0 0 2 +5 +2 0x7f29 0x7dc6e5e5 256 @@ -76910,6 +96138,8 @@ 0 0 0 +3 +5 4 0xe765 0xaa3a17e4 @@ -76918,6 +96148,8 @@ 1 1 0 +1 +4 10 0x72ce 0x84cbe034 @@ -76927,6 +96159,8 @@ 1 0 2 +2 +2 0x9473 0xc9dddb74 256 @@ -76934,6 +96168,8 @@ 1 1 0 +0 +2 9 0xc43c 0xcf09b3fa @@ -76942,6 +96178,8 @@ 0 0 0 +5 +5 10 0x46c2 0x276ce94f @@ -76950,6 +96188,8 @@ 0 0 0 +0 +2 4 0x54d6 0x8bc62c56 @@ -76958,6 +96198,8 @@ 0 0 0 +5 +2 8 0x37ce 0xc2f94bfa @@ -76966,6 +96208,8 @@ 0 0 0 +3 +0 5 0xce8c 0xdd1eab6 @@ -76974,6 +96218,8 @@ 1 0 0 +5 +2 10 0xac2d 0x65853ad0 @@ -76982,6 +96228,8 @@ 1 0 0 +3 +3 7 0x2a06 0xeba54a61 @@ -76990,6 +96238,8 @@ 0 0 0 +3 +3 5 0x2862 0x95155bc2 @@ -76998,6 +96248,8 @@ 0 0 0 +0 +2 2 0x7069 0x703ab60d @@ -77006,6 +96258,8 @@ 0 0 0 +3 +4 7 0xfa61 0xa70c235a @@ -77014,6 +96268,8 @@ 1 1 0 +3 +1 1 0x96c6 0xae582170 @@ -77022,6 +96278,8 @@ 0 0 0 +3 +1 10 0x9cb5 0xabd71922 @@ -77030,6 +96288,8 @@ 0 0 0 +1 +5 9 0x958f 0x2c2c9ef4 @@ -77038,6 +96298,8 @@ 0 0 0 +0 +2 2 0x3723 0x15185d04 @@ -77046,6 +96308,8 @@ 1 1 0 +4 +3 7 0x2add 0xecf84532 @@ -77054,6 +96318,8 @@ 0 0 0 +0 +1 7 0x231 0x3e6b2b16 @@ -77062,6 +96328,8 @@ 0 0 0 +5 +1 2 0xcca8 0xce2da26f @@ -77070,6 +96338,8 @@ 1 0 0 +3 +3 1 0x4383 0xab3e5560 @@ -77078,6 +96348,8 @@ 1 0 0 +2 +1 5 0xb3c6 0x8349707 @@ -77086,6 +96358,8 @@ 0 0 0 +1 +4 6 0x3359 0x1341c95d @@ -77094,6 +96368,8 @@ 0 0 0 +4 +2 3 0x78ba 0x69ec2846 @@ -77102,6 +96378,8 @@ 0 0 0 +3 +1 7 0x5a6c 0xdb793531 @@ -77111,6 +96389,8 @@ 0 0 3 +5 +3 0x3966 0x743b8b14 256 @@ -77118,6 +96398,8 @@ 1 1 0 +5 +1 6 0x46c 0xa692b9d7 @@ -77126,6 +96408,8 @@ 1 0 0 +4 +3 6 0x69ab 0xb3038cb7 @@ -77134,6 +96418,8 @@ 0 0 0 +5 +3 1 0x8d8 0xd5024d82 @@ -77142,6 +96428,8 @@ 1 0 0 +0 +4 1 0x14ca 0xfcaf8997 @@ -77151,6 +96439,8 @@ 1 0 5 +1 +5 0x3a26 0xcf7a4a2 256 @@ -77159,6 +96449,8 @@ 0 0 3 +4 +3 0x877a 0x3dffd1f2 256 @@ -77166,6 +96458,8 @@ 1 1 0 +4 +5 9 0xc2ca 0xd71dd575 @@ -77174,6 +96468,8 @@ 0 0 0 +0 +3 10 0x8763 0xd538295b @@ -77182,6 +96478,8 @@ 0 0 0 +4 +5 1 0xff11 0x6ab88c4c @@ -77190,6 +96488,8 @@ 0 0 0 +0 +2 4 0x27a2 0x3b278ebb @@ -77198,6 +96498,8 @@ 1 1 0 +4 +2 7 0xb817 0xe16b991f @@ -77206,6 +96508,8 @@ 1 0 0 +5 +1 7 0xf247 0x77a8366b @@ -77214,6 +96518,8 @@ 0 0 0 +5 +3 4 0x9e 0x4d8c9eba @@ -77222,6 +96528,8 @@ 0 0 0 +2 +5 4 0x6a2b 0x4c575a49 @@ -77230,6 +96538,8 @@ 0 0 0 +3 +3 2 0x67b6 0xb644cad0 @@ -77238,6 +96548,8 @@ 1 0 0 +0 +3 7 0x85b0 0xfccfee7e @@ -77246,6 +96558,8 @@ 1 0 0 +4 +4 7 0x1472 0xd779a889 @@ -77254,6 +96568,8 @@ 0 0 0 +0 +1 8 0xb416 0xb053a9fb @@ -77262,6 +96578,8 @@ 1 0 0 +1 +4 7 0x1580 0x7f481503 @@ -77270,6 +96588,8 @@ 1 0 0 +0 +5 9 0xaf63 0x40f0f32a @@ -77278,6 +96598,8 @@ 1 1 0 +4 +5 2 0x822a 0xe1ad0b2 @@ -77286,6 +96608,8 @@ 1 0 0 +0 +5 3 0x6ea2 0x38e78ddb @@ -77294,6 +96618,8 @@ 0 0 0 +2 +4 3 0xbb48 0x6ecd8d97 @@ -77302,6 +96628,8 @@ 1 1 0 +1 +4 3 0x883a 0x92c7c334 @@ -77310,6 +96638,8 @@ 0 0 0 +4 +0 3 0xb56c 0x962e59a3 @@ -77318,6 +96648,8 @@ 0 0 0 +2 +3 6 0x6dce 0x4ac67345 @@ -77326,6 +96658,8 @@ 1 0 0 +5 +0 9 0xd9d4 0x3bcea7e2 @@ -77334,6 +96668,8 @@ 1 1 0 +1 +1 5 0xdc88 0x3b2e65d0 @@ -77342,6 +96678,8 @@ 0 0 0 +2 +3 7 0xe0d8 0xd04ffa7f @@ -77350,6 +96688,8 @@ 0 0 0 +4 +1 6 0xa5b8 0x4ee7cd36 @@ -77358,6 +96698,8 @@ 0 0 0 +4 +0 6 0x5735 0xdc31d027 @@ -77366,6 +96708,8 @@ 0 0 0 +4 +4 9 0xa318 0xb015e89d @@ -77374,6 +96718,8 @@ 1 1 0 +0 +1 4 0x6583 0x7ae49a96 @@ -77382,6 +96728,8 @@ 1 0 0 +1 +0 5 0xd52f 0x17704b67 @@ -77390,6 +96738,8 @@ 1 1 0 +2 +5 3 0x3c7c 0x3672980a @@ -77398,6 +96748,8 @@ 0 0 0 +2 +1 7 0xb81f 0xb375fe88 @@ -77407,6 +96759,8 @@ 0 0 3 +2 +3 0x845a 0x973db7f 256 @@ -77414,6 +96768,8 @@ 0 0 0 +1 +3 9 0xd867 0xe5d0c7a4 @@ -77422,6 +96778,8 @@ 0 0 0 +4 +5 5 0x26f8 0x78d770c5 @@ -77430,6 +96788,8 @@ 0 0 0 +4 +0 8 0x3644 0xf0e451c0 @@ -77438,6 +96798,8 @@ 0 0 0 +0 +5 3 0x3eed 0xa662cfb5 @@ -77446,6 +96808,8 @@ 1 0 0 +3 +0 2 0xe559 0x8004d083 @@ -77454,6 +96818,8 @@ 1 1 0 +1 +4 3 0xa9d 0x27d6879d @@ -77462,6 +96828,8 @@ 0 0 0 +5 +5 7 0x6feb 0x8d17c7e @@ -77470,6 +96838,8 @@ 1 0 0 +5 +1 4 0xffbe 0x50a73425 @@ -77478,6 +96848,8 @@ 1 1 0 +3 +4 4 0x3191 0xaf0382b @@ -77486,6 +96858,8 @@ 0 0 0 +1 +5 4 0x280e 0xbe2bc2ce @@ -77494,6 +96868,8 @@ 0 0 0 +4 +3 7 0x8b3a 0x62c565e2 @@ -77502,6 +96878,8 @@ 1 0 0 +3 +0 10 0xd9c9 0x7d5a0e2 @@ -77510,6 +96888,8 @@ 0 0 0 +2 +2 1 0x7 0x33b9d43e @@ -77518,6 +96898,8 @@ 0 0 0 +4 +3 6 0x7a11 0x8ca29330 @@ -77526,6 +96908,8 @@ 1 1 0 +3 +2 5 0xea40 0x839e9aa2 @@ -77534,6 +96918,8 @@ 1 1 0 +5 +0 1 0xb063 0xf9fe99ef @@ -77542,6 +96928,8 @@ 0 0 0 +5 +2 1 0xbd69 0x8bb0ca47 @@ -77550,6 +96938,8 @@ 0 0 0 +5 +0 3 0xb4b2 0xf26cc749 @@ -77558,6 +96948,8 @@ 0 0 0 +2 +3 4 0x6e21 0xdc82cbb8 @@ -77566,6 +96958,8 @@ 0 0 0 +1 +3 7 0x542d 0x3fcc0963 @@ -77574,6 +96968,8 @@ 0 0 0 +2 +1 1 0x330c 0x6d549390 @@ -77582,6 +96978,8 @@ 1 0 0 +1 +2 6 0xd505 0x76ef1f9b @@ -77591,6 +96989,8 @@ 1 0 3 +4 +3 0x6bd1 0xfb3882ce 256 @@ -77598,6 +96998,8 @@ 1 0 0 +0 +1 2 0x1bb7 0x26ce2579 @@ -77606,6 +97008,8 @@ 1 1 0 +3 +4 9 0x74c4 0xd5a18403 @@ -77614,6 +97018,8 @@ 1 1 0 +3 +5 6 0x8888 0xf2a1f1d9 @@ -77622,6 +97028,8 @@ 0 0 0 +1 +5 2 0x336a 0xafec32e8 @@ -77630,6 +97038,8 @@ 0 0 0 +3 +4 1 0xccec 0x789b4e5c @@ -77638,6 +97048,8 @@ 1 1 0 +5 +2 10 0xcde2 0x51bf40c1 @@ -77646,6 +97058,8 @@ 0 0 0 +4 +0 7 0x825a 0x65af6512 @@ -77654,6 +97068,8 @@ 1 1 0 +0 +3 10 0xa6ad 0xdd1eb810 @@ -77662,6 +97078,8 @@ 0 0 0 +0 +0 6 0x68e9 0x7c4e2b71 @@ -77670,6 +97088,8 @@ 0 0 0 +1 +5 9 0x9f62 0xa1feb482 @@ -77678,6 +97098,8 @@ 1 1 0 +5 +4 10 0x4806 0xb027b53f @@ -77686,6 +97108,8 @@ 1 1 0 +2 +5 9 0xffc7 0x8bbdd719 @@ -77694,6 +97118,8 @@ 1 0 0 +5 +0 3 0x2a4 0x5e43a008 @@ -77702,6 +97128,8 @@ 1 1 0 +2 +4 8 0x85f9 0x780f713d @@ -77710,6 +97138,8 @@ 1 1 0 +3 +0 1 0xbf23 0x6d00429 @@ -77718,6 +97148,8 @@ 0 0 0 +3 +3 8 0xdfc7 0x2d424415 @@ -77726,6 +97158,8 @@ 0 0 0 +0 +2 8 0x8b64 0xe4e8be12 @@ -77734,6 +97168,8 @@ 1 1 0 +4 +4 10 0xf49e 0x9e5a88b0 @@ -77742,6 +97178,8 @@ 1 1 0 +3 +3 6 0x4b6c 0x22223507 @@ -77750,6 +97188,8 @@ 1 0 0 +4 +4 6 0x53d6 0x9e800f2b @@ -77758,6 +97198,8 @@ 1 0 0 +2 +2 10 0xd2e9 0xc3a82a8f @@ -77767,6 +97209,8 @@ 0 0 2 +5 +2 0xce56 0x1d4f8c58 256 @@ -77775,6 +97219,8 @@ 0 0 1 +3 +1 0x4e99 0xd5ec671a 256 @@ -77782,6 +97228,8 @@ 0 0 0 +4 +5 8 0x521a 0xaaf28bdc @@ -77790,6 +97238,8 @@ 0 0 0 +0 +3 10 0x7e6e 0xa697c278 @@ -77798,6 +97248,8 @@ 1 0 0 +1 +2 10 0xec5b 0x113271e8 @@ -77807,6 +97259,8 @@ 0 0 3 +4 +3 0xfe27 0x8b9aa4b4 256 @@ -77815,6 +97269,8 @@ 0 0 5 +3 +5 0xd58b 0xbb5bd6 256 @@ -77822,6 +97278,8 @@ 0 0 0 +1 +1 7 0xebc 0xf24e80ab @@ -77830,6 +97288,8 @@ 0 0 0 +2 +0 4 0xb761 0x26b3380e @@ -77838,6 +97298,8 @@ 1 0 0 +0 +1 2 0xc140 0x9b174817 @@ -77846,6 +97308,8 @@ 0 0 0 +2 +4 10 0x849d 0xec65c77 @@ -77854,6 +97318,8 @@ 0 0 0 +1 +4 6 0x879a 0x12a219bc @@ -77862,6 +97328,8 @@ 1 1 0 +2 +4 10 0xb10b 0xa0c86d7c @@ -77870,6 +97338,8 @@ 0 0 0 +4 +2 1 0x8a21 0x87cca427 @@ -77878,6 +97348,8 @@ 0 0 0 +1 +4 9 0xdbd 0x6ce8f107 @@ -77886,6 +97358,8 @@ 1 1 0 +2 +0 10 0x3844 0xa2228177 @@ -77894,6 +97368,8 @@ 1 0 0 +3 +2 8 0x118e 0x29d6f249 @@ -77902,6 +97378,8 @@ 1 1 0 +3 +5 6 0x6e7a 0xbc0fb554 @@ -77910,6 +97388,8 @@ 0 0 0 +3 +5 7 0xca42 0x47a9e070 @@ -77918,6 +97398,8 @@ 0 0 0 +5 +0 10 0xfb5c 0x765e7ddb @@ -77926,6 +97408,8 @@ 1 1 0 +4 +3 2 0xde22 0x16db1c07 @@ -77934,6 +97418,8 @@ 0 0 0 +4 +5 3 0x5cf 0x9782c95d @@ -77942,6 +97428,8 @@ 0 0 0 +5 +1 7 0xd645 0xfdb7587e @@ -77950,6 +97438,8 @@ 1 0 0 +5 +0 10 0x477e 0x486671 @@ -77958,6 +97448,8 @@ 1 1 0 +3 +3 9 0x30d7 0x4b857b7 @@ -77966,6 +97458,8 @@ 0 0 0 +3 +3 5 0x8e3a 0x917c6d0a @@ -77974,6 +97468,8 @@ 0 0 0 +4 +0 2 0xab0c 0xa3ea446b @@ -77982,6 +97478,8 @@ 1 0 0 +0 +1 2 0x6d48 0x46bc30c5 @@ -77991,6 +97489,8 @@ 1 0 2 +0 +2 0xf419 0xb2274107 256 @@ -77998,6 +97498,8 @@ 0 0 0 +3 +3 5 0x76e3 0x5bd13f9c @@ -78006,6 +97508,8 @@ 0 0 0 +5 +0 8 0xd4b1 0xd8d28837 @@ -78014,6 +97518,8 @@ 1 1 0 +4 +4 6 0x8431 0x985f841f @@ -78022,6 +97528,8 @@ 0 0 0 +1 +2 8 0xcdee 0x2b62aa8e @@ -78030,6 +97538,8 @@ 1 1 0 +2 +4 4 0x62fe 0xe61aaaeb @@ -78038,6 +97548,8 @@ 1 1 0 +5 +0 2 0xa771 0xa9270c2a @@ -78046,6 +97558,8 @@ 0 0 0 +1 +0 6 0x21d8 0xd6ffad3a @@ -78054,6 +97568,8 @@ 0 0 0 +2 +4 1 0x9e01 0xe3d25955 @@ -78062,6 +97578,8 @@ 1 1 0 +0 +3 2 0x8ad2 0x1c4a108b @@ -78070,6 +97588,8 @@ 1 0 0 +5 +3 9 0x4520 0x716503fe @@ -78078,6 +97598,8 @@ 0 0 0 +0 +5 3 0x41fc 0x6321fe7f @@ -78086,6 +97608,8 @@ 1 1 0 +5 +2 1 0xa472 0xce4e680a @@ -78094,6 +97618,8 @@ 1 1 0 +4 +1 7 0x81bb 0x41ca5a3d @@ -78102,6 +97628,8 @@ 0 0 0 +5 +4 4 0x8495 0x9dfd27ec @@ -78110,6 +97638,8 @@ 1 0 0 +5 +0 4 0xb374 0xe31f7111 @@ -78118,6 +97648,8 @@ 0 0 0 +0 +3 3 0x970e 0x27ee8a1e @@ -78126,6 +97658,8 @@ 0 0 0 +4 +2 3 0x1ee8 0x260fd8d0 @@ -78134,6 +97668,8 @@ 0 0 0 +1 +0 6 0x596e 0xb94f5408 @@ -78142,6 +97678,8 @@ 0 0 0 +1 +0 8 0xa62a 0x67f6c502 @@ -78150,6 +97688,8 @@ 1 0 0 +4 +4 3 0xf5b0 0xebb8e3f6 @@ -78158,6 +97698,8 @@ 1 0 0 +4 +5 7 0xe667 0x5d69893 @@ -78166,6 +97708,8 @@ 1 0 0 +1 +1 4 0x5c7a 0xf79b9bc8 @@ -78174,6 +97718,8 @@ 1 0 0 +0 +3 7 0x20a9 0x664d2183 @@ -78182,6 +97728,8 @@ 0 0 0 +1 +4 7 0x6cdd 0xd03ae08 @@ -78190,6 +97738,8 @@ 0 0 0 +4 +2 2 0xace 0xc680a273 @@ -78198,6 +97748,8 @@ 1 1 0 +3 +4 2 0xd199 0xa68eca8a @@ -78206,6 +97758,8 @@ 1 0 0 +0 +0 4 0x7e63 0xf800b059 @@ -78214,6 +97768,8 @@ 0 0 0 +0 +2 1 0x9baa 0x5c5cf228 @@ -78222,6 +97778,8 @@ 1 1 0 +5 +2 9 0xa923 0xa8b522b @@ -78230,6 +97788,8 @@ 1 1 0 +0 +0 1 0x13e3 0x2f3ae58c @@ -78238,6 +97798,8 @@ 1 1 0 +0 +0 1 0xee2a 0xe7f27b1e @@ -78246,6 +97808,8 @@ 1 0 0 +0 +0 1 0x8f52 0x42013b60 @@ -78254,6 +97818,8 @@ 1 1 0 +0 +5 5 0xb2b7 0x99559462 @@ -78262,6 +97828,8 @@ 0 0 0 +5 +5 4 0xe916 0x75860bd3 @@ -78270,6 +97838,8 @@ 1 1 0 +0 +3 6 0x2007 0xf7d4d8ff @@ -78278,6 +97848,8 @@ 1 1 0 +2 +5 4 0xb904 0xd4f493dd @@ -78286,6 +97858,8 @@ 0 0 0 +2 +0 6 0xfa3d 0xd7769e72 @@ -78294,6 +97868,8 @@ 1 1 0 +3 +1 2 0x8f7c 0xc21a35cc @@ -78302,6 +97878,8 @@ 1 0 0 +4 +5 8 0xecb0 0xed00154c @@ -78310,6 +97888,8 @@ 0 0 0 +3 +1 2 0xf81 0x5e5900cb @@ -78318,6 +97898,8 @@ 1 1 0 +4 +1 6 0x2e8f 0x911cdfa6 @@ -78326,6 +97908,8 @@ 0 0 0 +3 +4 7 0x690e 0x2b31a46 @@ -78334,6 +97918,8 @@ 0 0 0 +5 +1 2 0x5da4 0xd40287fc @@ -78342,6 +97928,8 @@ 1 0 0 +4 +3 2 0x2d4 0x3702a900 @@ -78351,6 +97939,8 @@ 0 0 1 +4 +1 0x8b7b 0xb9c3be4a 256 @@ -78358,6 +97948,8 @@ 1 1 0 +4 +0 1 0xbdd0 0xe4a02924 @@ -78366,6 +97958,8 @@ 0 0 0 +3 +3 10 0xa76b 0x56d6a6c4 @@ -78375,6 +97969,8 @@ 0 0 4 +3 +4 0xe0f3 0x3f022439 256 @@ -78382,6 +97978,8 @@ 0 0 0 +0 +5 6 0x45e 0xd507b2f1 @@ -78390,6 +97988,8 @@ 1 0 0 +5 +4 4 0x1685 0x3b3ee8f7 @@ -78398,6 +97998,8 @@ 0 0 0 +2 +4 8 0x50a6 0x70a366a7 @@ -78406,6 +98008,8 @@ 0 0 0 +1 +4 9 0x2d35 0x649fc683 @@ -78414,6 +98018,8 @@ 1 0 0 +1 +4 5 0x1ba2 0x4df71a56 @@ -78422,6 +98028,8 @@ 1 0 0 +3 +2 7 0xf9b3 0x5103a137 @@ -78430,6 +98038,8 @@ 0 0 0 +0 +3 5 0x5405 0x498087b1 @@ -78438,6 +98048,8 @@ 0 0 0 +5 +1 8 0x46ee 0xa660de71 @@ -78446,6 +98058,8 @@ 1 1 0 +1 +0 2 0xae50 0x75faa8cb @@ -78454,6 +98068,8 @@ 1 1 0 +5 +5 1 0x802d 0x3b9ca5c @@ -78462,6 +98078,8 @@ 0 0 0 +5 +1 6 0xaefc 0x22db7a3e @@ -78470,6 +98088,8 @@ 0 0 0 +2 +5 5 0x4b68 0x283bab6c @@ -78478,6 +98098,8 @@ 1 0 0 +4 +3 2 0x6ae2 0xb3a296e2 @@ -78486,6 +98108,8 @@ 1 0 0 +4 +3 2 0x8444 0x6ae91764 @@ -78494,6 +98118,8 @@ 1 1 0 +4 +3 3 0x4fb6 0xc87b3d9a @@ -78502,6 +98128,8 @@ 0 0 0 +1 +2 2 0x20e2 0x8cc6f754 @@ -78510,6 +98138,8 @@ 0 0 0 +0 +0 4 0xae9e 0x8aea4b0c @@ -78518,6 +98148,8 @@ 1 1 0 +1 +3 8 0x968e 0x99cbfb5e @@ -78526,6 +98158,8 @@ 1 0 0 +3 +0 1 0xca37 0x2215c75f @@ -78534,6 +98168,8 @@ 0 0 0 +4 +3 8 0xf5aa 0x64833b6c @@ -78542,6 +98178,8 @@ 0 0 0 +4 +2 3 0x3441 0x8c99f78c @@ -78550,6 +98188,8 @@ 0 0 0 +0 +2 1 0x3aee 0xb0a13cfd @@ -78558,6 +98198,8 @@ 1 1 0 +2 +1 7 0x1075 0x226c8a2a @@ -78566,6 +98208,8 @@ 0 0 0 +5 +3 4 0xa002 0xa168b15b @@ -78574,6 +98218,8 @@ 0 0 0 +4 +3 8 0xf3f4 0xa34fcfb4 @@ -78582,6 +98228,8 @@ 0 0 0 +1 +3 4 0x7caa 0x39d00f7d @@ -78590,6 +98238,8 @@ 1 1 0 +2 +0 10 0x77ac 0x6fb886dc @@ -78598,6 +98248,8 @@ 1 0 0 +3 +0 8 0x72ac 0x4621357d @@ -78606,6 +98258,8 @@ 0 0 0 +3 +5 7 0xf1d0 0x5e83959a @@ -78614,6 +98268,8 @@ 1 1 0 +2 +2 8 0x8d4e 0x441b6e81 @@ -78622,6 +98278,8 @@ 1 1 0 +0 +2 7 0x332c 0x6e8b0745 @@ -78630,6 +98288,8 @@ 1 1 0 +5 +2 7 0x2cc9 0x19671425 @@ -78638,6 +98298,8 @@ 0 0 0 +1 +0 8 0x3ccf 0x50d668db @@ -78646,6 +98308,8 @@ 0 0 0 +5 +1 7 0x6edd 0xa57ec250 @@ -78654,6 +98318,8 @@ 0 0 0 +3 +0 1 0xc3f5 0x59e0ec0a @@ -78662,6 +98328,8 @@ 0 0 0 +0 +4 8 0xa01d 0xa2b16032 @@ -78671,6 +98339,8 @@ 1 0 1 +2 +1 0xa946 0xf44a836d 256 @@ -78678,6 +98348,8 @@ 0 0 0 +0 +4 9 0x5c35 0x65d74a3 @@ -78686,6 +98358,8 @@ 0 0 0 +1 +4 4 0x71b3 0x707b1b90 @@ -78694,6 +98368,8 @@ 0 0 0 +2 +3 6 0xe5f 0xc8022982 @@ -78702,6 +98378,8 @@ 1 1 0 +1 +3 3 0x3b6e 0xd508fbeb @@ -78710,6 +98388,8 @@ 0 0 0 +1 +5 7 0x2108 0xe04a6cca @@ -78718,6 +98398,8 @@ 0 0 0 +5 +4 6 0xbd72 0x79863b34 @@ -78726,6 +98408,8 @@ 1 0 0 +2 +3 4 0x2eb2 0x25debc2e @@ -78734,6 +98418,8 @@ 0 0 0 +2 +2 10 0x9848 0x5ed4cb00 @@ -78742,6 +98428,8 @@ 1 0 0 +3 +2 9 0x4600 0xdb2e4b54 @@ -78750,6 +98438,8 @@ 1 0 0 +1 +5 6 0x1b07 0xb7591775 @@ -78758,6 +98448,8 @@ 0 0 0 +1 +3 7 0xa920 0x4438bac7 @@ -78766,6 +98458,8 @@ 1 0 0 +3 +1 1 0xfcb9 0xfbfe975e @@ -78774,6 +98468,8 @@ 0 0 0 +1 +3 2 0xebc6 0x26efa136 @@ -78782,6 +98478,8 @@ 0 0 0 +3 +4 10 0x7ca9 0x9ace7063 @@ -78790,6 +98488,8 @@ 1 1 0 +3 +0 9 0xe590 0x13bf63cb @@ -78798,6 +98498,8 @@ 1 1 0 +5 +4 7 0x27bc 0x909a49b7 @@ -78806,6 +98508,8 @@ 1 1 0 +4 +0 10 0xa114 0xa9d57849 @@ -78814,6 +98518,8 @@ 1 0 0 +4 +4 2 0x3492 0xb35237c0 @@ -78822,6 +98528,8 @@ 1 1 0 +4 +3 1 0x666e 0xfb60e445 @@ -78830,6 +98538,8 @@ 0 0 0 +5 +3 9 0x4343 0xaa8a89e7 @@ -78838,6 +98548,8 @@ 1 0 0 +4 +1 9 0xb85b 0x4732b64b @@ -78846,6 +98558,8 @@ 1 0 0 +0 +1 5 0xf7d6 0x1bee9ca6 @@ -78854,6 +98568,8 @@ 1 1 0 +0 +2 2 0x3967 0x73ed457e @@ -78862,6 +98578,8 @@ 1 0 0 +4 +0 3 0x7755 0x9d7ab645 @@ -78870,6 +98588,8 @@ 0 0 0 +2 +3 6 0xb231 0x9ab686a4 @@ -78878,6 +98598,8 @@ 0 0 0 +2 +0 1 0xde21 0xa00119e5 @@ -78886,6 +98608,8 @@ 1 0 0 +0 +3 3 0x3a0d 0x1fa70d07 @@ -78895,6 +98619,8 @@ 0 0 5 +1 +5 0xdb65 0xb3007894 256 @@ -78902,6 +98628,8 @@ 1 0 0 +1 +1 10 0xcd89 0x5261048b @@ -78910,6 +98638,8 @@ 0 0 0 +4 +3 5 0xd0fb 0x7479f664 @@ -78918,6 +98648,8 @@ 1 0 0 +5 +2 7 0xacba 0x4fc1132c @@ -78926,6 +98658,8 @@ 1 1 0 +3 +3 6 0x3c32 0x5ab52855 @@ -78934,6 +98668,8 @@ 0 0 0 +1 +1 9 0x32bd 0x9e4f4d9e @@ -78942,6 +98678,8 @@ 1 1 0 +2 +1 4 0x7670 0xd918f8f5 @@ -78950,6 +98688,8 @@ 1 0 0 +4 +1 6 0xbfae 0xf3846050 @@ -78958,6 +98698,8 @@ 0 0 0 +0 +2 5 0xa86c 0x386fa435 @@ -78966,6 +98708,8 @@ 0 0 0 +4 +0 3 0xbc8a 0x55bcfffe @@ -78974,6 +98718,8 @@ 1 0 0 +0 +2 10 0x9c3e 0x42302110 @@ -78982,6 +98728,8 @@ 1 0 0 +0 +3 5 0x8121 0x9ec16b49 @@ -78990,6 +98738,8 @@ 1 1 0 +1 +4 6 0xd87f 0xb3df8ebd @@ -78998,6 +98748,8 @@ 0 0 0 +4 +0 10 0x7360 0x1ce554b0 @@ -79006,6 +98758,8 @@ 0 0 0 +0 +5 1 0x90d7 0xf35de81d @@ -79014,6 +98768,8 @@ 1 0 0 +0 +2 4 0x86a3 0x99738637 @@ -79022,6 +98778,8 @@ 1 0 0 +1 +1 6 0xe3d1 0xcfbe996d @@ -79030,6 +98788,8 @@ 0 0 0 +4 +4 7 0xa669 0xd74dfa90 @@ -79038,6 +98798,8 @@ 0 0 0 +5 +1 9 0x53d2 0x666e8315 @@ -79046,6 +98808,8 @@ 0 0 0 +1 +2 8 0xec1f 0xb2c5b3e8 @@ -79054,6 +98818,8 @@ 1 0 0 +5 +4 8 0x5bc3 0x80a918e5 @@ -79062,6 +98828,8 @@ 0 0 0 +0 +4 7 0xd285 0xf7ef5c19 @@ -79070,6 +98838,8 @@ 1 1 0 +0 +1 4 0x106 0x5d89fef8 @@ -79078,6 +98848,8 @@ 1 0 0 +4 +0 1 0x6385 0x426257ac @@ -79086,6 +98858,8 @@ 1 1 0 +0 +5 6 0x65f2 0xc794a00a @@ -79094,6 +98868,8 @@ 0 0 0 +1 +2 6 0x836b 0xe965a8b6 @@ -79102,6 +98878,8 @@ 1 0 0 +4 +2 1 0x560 0x6b47d75e @@ -79110,6 +98888,8 @@ 1 1 0 +5 +4 6 0xb449 0xb4ecf692 @@ -79119,6 +98899,8 @@ 0 0 4 +4 +4 0x3558 0xb26e817c 256 @@ -79126,6 +98908,8 @@ 0 0 0 +5 +1 7 0x218e 0x4b4d4b2a @@ -79135,6 +98919,8 @@ 0 0 3 +3 +3 0x771f 0xa26ef478 256 @@ -79142,6 +98928,8 @@ 1 1 0 +1 +2 3 0x5c71 0x3c1cf877 @@ -79150,6 +98938,8 @@ 0 0 0 +5 +5 10 0x6dce 0x54d3583 @@ -79158,6 +98948,8 @@ 0 0 0 +1 +1 6 0xa255 0xe3d8bee1 @@ -79166,6 +98958,8 @@ 0 0 0 +3 +2 6 0x8a8e 0x544a6dc3 @@ -79174,6 +98968,8 @@ 0 0 0 +2 +3 9 0xa1d8 0xd64d32e5 @@ -79182,6 +98978,8 @@ 0 0 0 +4 +4 9 0x905f 0x9f4a7573 @@ -79190,6 +98988,8 @@ 1 1 0 +4 +3 5 0xa825 0x3e62bd1b @@ -79198,6 +98998,8 @@ 0 0 0 +2 +1 4 0x186f 0x827938a5 @@ -79206,6 +99008,8 @@ 0 0 0 +2 +3 8 0xf278 0xb86cb18b @@ -79215,6 +99019,8 @@ 0 0 1 +5 +1 0xb4f1 0x33fe95b8 256 @@ -79222,6 +99028,8 @@ 0 0 0 +5 +3 3 0xc11f 0x96f14238 @@ -79230,6 +99038,8 @@ 0 0 0 +2 +3 5 0x6f75 0xb15f3ef2 @@ -79238,6 +99048,8 @@ 0 0 0 +4 +1 6 0xb95e 0xa1f3b95 @@ -79246,6 +99058,8 @@ 1 0 0 +1 +1 9 0x37c2 0xdb1b4b92 @@ -79254,6 +99068,8 @@ 1 1 0 +3 +5 1 0xe165 0xe2f1909c @@ -79262,6 +99078,8 @@ 1 0 0 +1 +2 9 0x790f 0xd97ea92d @@ -79270,6 +99088,8 @@ 1 1 0 +0 +0 2 0x8b23 0xb78fd8bd @@ -79278,6 +99098,8 @@ 0 0 0 +0 +4 7 0x1719 0xc5d210aa @@ -79286,6 +99108,8 @@ 1 0 0 +5 +3 4 0xe66 0x148a78dc @@ -79294,6 +99118,8 @@ 0 0 0 +5 +5 1 0x27a 0xe2dc86cc @@ -79303,6 +99129,8 @@ 1 0 4 +5 +4 0x4975 0x12d34f0 256 @@ -79310,6 +99138,8 @@ 1 1 0 +3 +2 8 0x2bb1 0x6ac28091 @@ -79318,6 +99148,8 @@ 0 0 0 +2 +5 9 0xf006 0x730209a4 @@ -79326,6 +99158,8 @@ 1 0 0 +5 +4 7 0x732 0x46bf4428 @@ -79334,6 +99168,8 @@ 0 0 0 +3 +2 5 0x9884 0xa914e2da @@ -79342,6 +99178,8 @@ 1 1 0 +1 +1 10 0x30b9 0x5e9f8ea4 @@ -79350,6 +99188,8 @@ 1 1 0 +1 +3 6 0xdc2a 0x84c6cf41 @@ -79358,6 +99198,8 @@ 1 0 0 +4 +3 5 0xde49 0x4317719c @@ -79366,6 +99208,8 @@ 1 0 0 +2 +0 1 0xac7c 0x88703500 @@ -79374,6 +99218,8 @@ 0 0 0 +3 +4 1 0xae35 0xdf2b1f4b @@ -79382,6 +99228,8 @@ 0 0 0 +1 +4 8 0x9e9a 0x4357673a @@ -79390,6 +99238,8 @@ 1 0 0 +0 +1 3 0xdffd 0x94d074a3 @@ -79398,6 +99248,8 @@ 0 0 0 +3 +4 9 0x35a3 0xe3846cfd @@ -79406,6 +99258,8 @@ 1 0 0 +4 +5 3 0x5fae 0x6f2624de @@ -79415,6 +99269,8 @@ 0 0 3 +0 +3 0x8e4e 0x5cbe0c8e 256 @@ -79423,6 +99279,8 @@ 1 0 4 +3 +4 0x447b 0xc3f59611 256 @@ -79430,6 +99288,8 @@ 0 0 0 +2 +1 7 0x278b 0xff6c2d61 @@ -79439,6 +99299,8 @@ 0 0 5 +4 +5 0xbc75 0x7d060284 256 @@ -79446,6 +99308,8 @@ 0 0 0 +0 +1 4 0x1576 0xf3d804b1 @@ -79455,6 +99319,8 @@ 0 0 5 +3 +5 0x5aad 0xfb1639 256 @@ -79463,6 +99329,8 @@ 0 0 2 +4 +2 0x6ec0 0x50506283 256 @@ -79470,6 +99338,8 @@ 1 1 0 +4 +3 7 0x1218 0x8579a8ac @@ -79478,6 +99348,8 @@ 1 1 0 +4 +1 10 0x36d1 0xd077d124 @@ -79486,6 +99358,8 @@ 1 0 0 +1 +3 2 0xfa85 0xba6715e9 @@ -79495,6 +99369,8 @@ 0 0 5 +3 +5 0xc193 0xc78d8fcf 256 @@ -79502,6 +99378,8 @@ 1 0 0 +0 +5 3 0x9306 0xfe061c01 @@ -79511,6 +99389,8 @@ 1 0 3 +2 +3 0xd0a 0xd79de942 256 @@ -79518,6 +99398,8 @@ 0 0 0 +1 +3 2 0x1b20 0xeba37307 @@ -79526,6 +99408,8 @@ 0 0 0 +1 +0 3 0xfb9e 0x5ba487ef @@ -79534,6 +99418,8 @@ 0 0 0 +4 +0 3 0xffdc 0xd24f9619 @@ -79542,6 +99428,8 @@ 0 0 0 +0 +4 10 0x7994 0xfdb888cc @@ -79550,6 +99438,8 @@ 0 0 0 +1 +4 9 0x907e 0xf6cca676 @@ -79558,6 +99448,8 @@ 0 0 0 +4 +4 8 0xfd1c 0xe76c143 @@ -79567,6 +99459,8 @@ 0 0 3 +4 +3 0x4fb3 0xf37a064e 256 @@ -79574,6 +99468,8 @@ 1 0 0 +0 +3 6 0xa51a 0x52630135 @@ -79582,6 +99478,8 @@ 0 0 0 +0 +3 3 0x55b2 0xedf609ba @@ -79590,6 +99488,8 @@ 0 0 0 +5 +1 6 0x9f49 0x3be591d1 @@ -79598,6 +99498,8 @@ 0 0 0 +5 +0 2 0xa022 0x740809c8 @@ -79606,6 +99508,8 @@ 1 0 0 +4 +2 10 0x8c36 0xe82520ad @@ -79614,6 +99518,8 @@ 1 0 0 +0 +4 3 0xded3 0xe2703933 @@ -79622,6 +99528,8 @@ 0 0 0 +1 +3 2 0x8f96 0xaa4c24d8 @@ -79631,6 +99539,8 @@ 0 0 1 +2 +1 0xd46 0x853bfe4e 256 @@ -79638,6 +99548,8 @@ 1 0 0 +5 +4 6 0xadc2 0xe15f3b1f @@ -79646,6 +99558,8 @@ 0 0 0 +3 +2 1 0x2e0d 0x1c21c397 @@ -79654,6 +99568,8 @@ 0 0 0 +2 +3 4 0xf13a 0xa389e0ad @@ -79662,6 +99578,8 @@ 0 0 0 +3 +2 5 0x1163 0x9172d74a @@ -79670,6 +99588,8 @@ 1 1 0 +0 +5 10 0xb349 0x41559d3e @@ -79678,6 +99598,8 @@ 1 0 0 +5 +0 10 0xf5f4 0xd07039bd @@ -79686,6 +99608,8 @@ 0 0 0 +4 +1 6 0x4a18 0xe0d0fefe @@ -79695,6 +99619,8 @@ 0 0 1 +5 +1 0x220 0x8134c7c4 256 @@ -79702,6 +99628,8 @@ 0 0 0 +2 +0 10 0x99ff 0x779cec12 @@ -79710,6 +99638,8 @@ 0 0 0 +2 +1 1 0x1244 0x33d22ffa @@ -79718,6 +99648,8 @@ 1 1 0 +0 +4 2 0x53ac 0x1dee8fd2 @@ -79726,6 +99658,8 @@ 0 0 0 +1 +4 2 0x59c4 0xa10b1e77 @@ -79735,6 +99669,8 @@ 0 0 2 +2 +2 0xf9fa 0x4e73a048 256 @@ -79743,6 +99679,8 @@ 0 0 4 +1 +4 0x7c32 0x27f9d7f0 256 @@ -79751,6 +99689,8 @@ 1 0 4 +4 +4 0x2f8c 0xe698104a 256 @@ -79758,6 +99698,8 @@ 1 0 0 +0 +2 10 0xab44 0xd07df4aa @@ -79766,6 +99708,8 @@ 1 1 0 +3 +4 4 0x744c 0x467fce30 @@ -79774,6 +99718,8 @@ 1 0 0 +5 +4 6 0x7058 0xa252f0a3 @@ -79782,6 +99728,8 @@ 1 1 0 +5 +5 9 0xacd3 0x1e955e7f @@ -79790,6 +99738,8 @@ 0 0 0 +2 +0 10 0xc13 0x398b15cb @@ -79798,6 +99748,8 @@ 0 0 0 +5 +1 6 0xd4be 0x5197ecb6 @@ -79806,6 +99758,8 @@ 0 0 0 +2 +3 3 0xdcca 0x61e8626a @@ -79814,6 +99768,8 @@ 1 1 0 +3 +2 6 0x686d 0x47ef1526 @@ -79822,6 +99778,8 @@ 0 0 0 +0 +0 5 0x80fc 0x5b9a52d5 @@ -79830,6 +99788,8 @@ 1 1 0 +0 +3 4 0xea9c 0x864995f3 @@ -79838,6 +99798,8 @@ 0 0 0 +5 +0 7 0xdf2a 0xb84295e3 @@ -79846,6 +99808,8 @@ 1 0 0 +3 +2 5 0xa0f9 0x55cb291a @@ -79855,6 +99819,8 @@ 0 0 5 +3 +5 0x1908 0xc6d56c83 256 @@ -79862,6 +99828,8 @@ 0 0 0 +2 +2 5 0x6b7d 0x9c6c4fb6 @@ -79870,6 +99838,8 @@ 1 1 0 +3 +5 9 0x7ae 0x354896ed @@ -79878,6 +99848,8 @@ 0 0 0 +0 +3 8 0xdd0f 0x42247f0b @@ -79886,6 +99858,8 @@ 1 0 0 +1 +5 9 0x89d3 0x4cb94051 @@ -79894,6 +99868,8 @@ 1 1 0 +0 +3 3 0x35ac 0xc9b1b5c7 @@ -79902,6 +99878,8 @@ 0 0 0 +3 +2 6 0x56e0 0x931628cb @@ -79910,6 +99888,8 @@ 1 0 0 +5 +0 10 0xc35b 0x73110c2 @@ -79918,6 +99898,8 @@ 1 1 0 +5 +2 9 0x1ea 0x27676950 @@ -79926,6 +99908,8 @@ 1 1 0 +0 +4 4 0xd606 0x26275e10 @@ -79934,6 +99918,8 @@ 1 1 0 +0 +4 7 0x190c 0x576abc37 @@ -79942,6 +99928,8 @@ 0 0 0 +1 +1 9 0x3310 0x93d792ab @@ -79950,6 +99938,8 @@ 0 0 0 +2 +0 7 0xb9d6 0xc174753b @@ -79958,6 +99948,8 @@ 1 1 0 +3 +3 7 0x318a 0x439918 @@ -79966,6 +99958,8 @@ 0 0 0 +0 +2 2 0x9718 0x981cf14 @@ -79974,6 +99968,8 @@ 0 0 0 +2 +2 5 0x9c7e 0x131b7b19 @@ -79982,6 +99978,8 @@ 0 0 0 +3 +5 2 0xf3ee 0xe0863bd2 @@ -79990,6 +99988,8 @@ 0 0 0 +3 +5 7 0x8400 0x6b75adab @@ -79998,6 +99998,8 @@ 0 0 0 +1 +4 3 0x92e8 0x8108e43d @@ -80007,6 +100009,8 @@ 0 0 5 +4 +5 0xe523 0x7d27a1e 256 @@ -80014,6 +100018,8 @@ 1 0 0 +5 +1 1 0xdd2 0x6ecca9a2 @@ -80022,6 +100028,8 @@ 0 0 0 +2 +2 1 0x9060 0xf038355a @@ -80030,6 +100038,8 @@ 1 0 0 +0 +5 7 0x3cd 0x4f81cd8f @@ -80038,6 +100048,8 @@ 1 0 0 +4 +4 5 0x9671 0x5caf891e @@ -80046,6 +100058,8 @@ 1 1 0 +2 +2 10 0x3105 0x6ec8fecc @@ -80054,6 +100068,8 @@ 0 0 0 +3 +2 5 0x6e77 0xccfedc31 @@ -80062,6 +100078,8 @@ 0 0 0 +5 +2 10 0x733f 0xadedcb3f @@ -80070,6 +100088,8 @@ 1 0 0 +1 +2 5 0x2007 0x9beaf262 @@ -80078,6 +100098,8 @@ 1 1 0 +5 +1 7 0xda87 0x8f8d2b1a @@ -80086,6 +100108,8 @@ 1 0 0 +0 +3 8 0xfe43 0x92eefd44 @@ -80094,6 +100118,8 @@ 1 0 0 +1 +0 9 0xf49f 0x1c18d367 @@ -80102,6 +100128,8 @@ 1 0 0 +0 +5 10 0xea20 0xdf4d897b @@ -80110,6 +100138,8 @@ 1 1 0 +1 +1 5 0x7346 0x8417fedf @@ -80118,6 +100148,8 @@ 0 0 0 +0 +0 8 0x5c9e 0xa2be1835 @@ -80126,6 +100158,8 @@ 1 0 0 +4 +2 9 0xe5e6 0xa72a65c5 @@ -80134,6 +100168,8 @@ 0 0 0 +1 +1 8 0x8213 0x2333e1f4 @@ -80142,6 +100178,8 @@ 0 0 0 +3 +3 4 0x2c8b 0x7d305706 @@ -80150,6 +100188,8 @@ 0 0 0 +3 +4 1 0x8bc9 0xe7c405d6 @@ -80158,6 +100198,8 @@ 0 0 0 +4 +0 1 0x2ce1 0xe3dd9bc5 @@ -80166,6 +100208,8 @@ 1 1 0 +5 +4 1 0x3f4c 0x91617b8d @@ -80174,6 +100218,8 @@ 0 0 0 +3 +0 9 0x3e8c 0x361ac313 @@ -80182,6 +100228,8 @@ 1 1 0 +0 +0 2 0x6a1f 0x539a157 @@ -80190,6 +100238,8 @@ 1 0 0 +5 +3 10 0x7c31 0xde6e8282 @@ -80198,6 +100248,8 @@ 0 0 0 +4 +5 1 0x5f92 0x5184490d @@ -80207,6 +100259,8 @@ 0 0 4 +2 +4 0xa00c 0x234f4e32 256 @@ -80214,6 +100268,8 @@ 1 0 0 +5 +2 7 0xc39b 0x6aa6d0c2 @@ -80222,6 +100278,8 @@ 1 0 0 +5 +3 4 0x4a29 0x4e135bad @@ -80230,6 +100288,8 @@ 1 0 0 +3 +4 6 0x6b8f 0x5302aa3c @@ -80238,6 +100298,8 @@ 1 0 0 +1 +2 9 0xa516 0x5aaf1b0 @@ -80247,6 +100309,8 @@ 0 0 5 +2 +5 0xbcf8 0x84cc5180 256 @@ -80254,6 +100318,8 @@ 0 0 0 +5 +0 10 0x9128 0x266ec886 @@ -80262,6 +100328,8 @@ 1 1 0 +0 +0 7 0x31f5 0x54abe7be @@ -80270,6 +100338,8 @@ 1 0 0 +5 +3 2 0x63f0 0x9d24b73f @@ -80278,6 +100348,8 @@ 0 0 0 +3 +4 4 0x90c5 0xce59ed3d @@ -80286,6 +100358,8 @@ 1 1 0 +4 +0 1 0x785c 0x2bd761dd @@ -80294,6 +100368,8 @@ 1 1 0 +1 +4 8 0xabc4 0x444f5a3f @@ -80302,6 +100378,8 @@ 0 0 0 +0 +0 2 0xb8a3 0x307ccb34 @@ -80310,6 +100388,8 @@ 1 1 0 +3 +2 5 0xae24 0x7ee07ca6 @@ -80318,6 +100398,8 @@ 1 1 0 +1 +4 3 0x2c1a 0x32ce6db0 @@ -80327,6 +100409,8 @@ 0 0 4 +3 +4 0x6591 0x8416b67d 256 @@ -80334,6 +100418,8 @@ 0 0 0 +5 +1 3 0xc9c0 0xa9dc6bc1 @@ -80342,6 +100428,8 @@ 1 0 0 +5 +1 4 0x1221 0x46adaa4 @@ -80350,6 +100438,8 @@ 1 1 0 +3 +1 7 0x9ea6 0xf12eb3c2 @@ -80358,6 +100448,8 @@ 1 1 0 +5 +4 8 0x21b0 0x8e3c47ce @@ -80366,6 +100458,8 @@ 1 0 0 +3 +2 5 0x46d 0x57543014 @@ -80374,6 +100468,8 @@ 1 0 0 +4 +3 8 0x94c8 0x47244e38 @@ -80382,6 +100478,8 @@ 1 0 0 +1 +2 7 0xa32e 0x4b9257e @@ -80390,6 +100488,8 @@ 0 0 0 +0 +2 8 0x98e5 0xa0e0b5b5 @@ -80398,6 +100498,8 @@ 0 0 0 +3 +2 5 0xabcc 0xf1143da9 @@ -80406,6 +100508,8 @@ 0 0 0 +1 +5 8 0xbe07 0x82fb1d82 @@ -80414,6 +100518,8 @@ 1 0 0 +0 +4 2 0x6d5a 0x85178112 @@ -80422,6 +100528,8 @@ 0 0 0 +5 +0 10 0xedb3 0x985d1e63 @@ -80430,6 +100538,8 @@ 0 0 0 +1 +0 2 0x64a9 0x76c18146 @@ -80438,6 +100548,8 @@ 1 0 0 +5 +3 3 0x2c9c 0xa49f096 @@ -80446,6 +100558,8 @@ 0 0 0 +0 +1 3 0x64ab 0x742d5976 @@ -80454,6 +100568,8 @@ 1 0 0 +3 +0 5 0xe78c 0xd41d9d16 @@ -80463,6 +100579,8 @@ 0 0 5 +4 +5 0xdfcf 0x57d4b106 256 @@ -80470,6 +100588,8 @@ 1 0 0 +2 +1 10 0xd903 0x5152dcb @@ -80478,6 +100598,8 @@ 1 1 0 +4 +1 3 0xd78d 0x7c3a5319 @@ -80486,6 +100608,8 @@ 1 0 0 +5 +3 6 0x633b 0x16cb76a4 @@ -80495,6 +100619,8 @@ 1 0 1 +1 +1 0x2bda 0xb02fd49e 256 @@ -80502,6 +100628,8 @@ 1 1 0 +4 +1 8 0xa14c 0x60ed533a @@ -80510,6 +100638,8 @@ 0 0 0 +5 +2 10 0x9c77 0xef5f2bd @@ -80518,6 +100648,8 @@ 0 0 0 +1 +1 2 0x18f6 0xe6b65fba @@ -80526,6 +100658,8 @@ 0 0 0 +3 +1 6 0x3af9 0xd351052e @@ -80534,6 +100668,8 @@ 1 0 0 +1 +0 3 0x5a2c 0x13365415 @@ -80542,6 +100678,8 @@ 0 0 0 +5 +5 4 0x6387 0x5bb6be77 @@ -80550,6 +100688,8 @@ 0 0 0 +5 +3 10 0x41e3 0xc13eb4a1 @@ -80558,6 +100698,8 @@ 0 0 0 +0 +1 6 0x27e2 0x70d04006 @@ -80566,6 +100708,8 @@ 0 0 0 +1 +0 3 0x7638 0xfb6e1937 @@ -80574,6 +100718,8 @@ 1 1 0 +2 +2 7 0x9001 0x45ec9acd @@ -80582,6 +100728,8 @@ 0 0 0 +4 +0 6 0xf4ca 0x6ae5d778 @@ -80590,6 +100738,8 @@ 0 0 0 +1 +1 5 0x90b7 0xbaaa0831 @@ -80599,6 +100749,8 @@ 1 0 2 +1 +2 0x131d 0x971dc178 256 @@ -80606,6 +100758,8 @@ 0 0 0 +5 +4 4 0xb43d 0xd536cba7 @@ -80614,6 +100768,8 @@ 1 0 0 +2 +5 4 0xa6fb 0x6bbc1db6 @@ -80622,6 +100778,8 @@ 0 0 0 +3 +3 9 0xb7c3 0x4a2a603f @@ -80630,6 +100788,8 @@ 0 0 0 +0 +1 1 0x1e54 0x8ab9a8a8 @@ -80638,6 +100798,8 @@ 1 1 0 +0 +2 2 0x1cb5 0x99a1d457 @@ -80646,6 +100808,8 @@ 0 0 0 +2 +5 3 0x6814 0x2c938359 @@ -80654,6 +100818,8 @@ 0 0 0 +0 +1 2 0x666a 0xd38dcf80 @@ -80662,6 +100828,8 @@ 0 0 0 +3 +0 2 0x38ba 0x607452e5 @@ -80670,6 +100838,8 @@ 0 0 0 +5 +5 1 0xd646 0xceb611dd @@ -80678,6 +100848,8 @@ 1 0 0 +4 +1 9 0x386e 0xf3883a5 @@ -80686,6 +100858,8 @@ 0 0 0 +5 +2 7 0xa294 0xb7edd937 @@ -80694,6 +100868,8 @@ 1 1 0 +1 +1 10 0x3822 0xf436ada @@ -80702,6 +100878,8 @@ 0 0 0 +4 +5 10 0x3055 0x49725021 @@ -80710,6 +100888,8 @@ 0 0 0 +0 +3 10 0x3cf6 0x341d9136 @@ -80719,6 +100899,8 @@ 1 0 2 +0 +2 0x2327 0x8a0241ce 256 @@ -80726,6 +100908,8 @@ 0 0 0 +2 +0 6 0xb923 0xd506616e @@ -80734,6 +100918,8 @@ 1 0 0 +0 +1 2 0x3456 0xd0207d69 @@ -80742,6 +100928,8 @@ 1 1 0 +2 +5 6 0x2df9 0x512a6daf @@ -80750,6 +100938,8 @@ 0 0 0 +4 +3 3 0xb38a 0x7244c4d9 @@ -80758,6 +100948,8 @@ 0 0 0 +4 +0 8 0x6747 0xc799e31f @@ -80766,6 +100958,8 @@ 0 0 0 +4 +4 8 0x67dc 0xe906cc48 @@ -80774,6 +100968,8 @@ 0 0 0 +3 +2 6 0x2821 0x8e726ab1 @@ -80782,6 +100978,8 @@ 0 0 0 +5 +3 7 0xcc1b 0xa9ca6d89 @@ -80790,6 +100988,8 @@ 1 1 0 +3 +5 9 0x784b 0x56419474 @@ -80799,6 +100999,8 @@ 0 0 5 +4 +5 0xb8 0x7dfcfabd 256 @@ -80806,6 +101008,8 @@ 1 1 0 +2 +5 3 0x80a 0x6f2ad15e @@ -80814,6 +101018,8 @@ 1 1 0 +5 +2 4 0x23cb 0xad690364 @@ -80822,6 +101028,8 @@ 0 0 0 +5 +0 4 0x49d2 0x167b648a @@ -80830,6 +101038,8 @@ 0 0 0 +0 +3 9 0xcd12 0xeedd5281 @@ -80838,6 +101048,8 @@ 0 0 0 +2 +3 7 0x4b60 0xafd8e9f6 @@ -80846,6 +101058,8 @@ 0 0 0 +5 +5 1 0xc2e8 0x4781b705 @@ -80854,6 +101068,8 @@ 1 0 0 +0 +3 3 0x517f 0x3d6bf952 @@ -80862,6 +101078,8 @@ 0 0 0 +1 +4 6 0x392e 0x8a8539f9 @@ -80870,6 +101088,8 @@ 0 0 0 +0 +0 4 0x1921 0x28bf7232 @@ -80878,6 +101098,8 @@ 1 1 0 +0 +0 8 0x46fa 0xfe271cfe @@ -80886,6 +101108,8 @@ 0 0 0 +1 +3 4 0x6660 0xf2d40557 @@ -80894,6 +101118,8 @@ 1 1 0 +2 +5 4 0x9127 0x1756a83c @@ -80902,6 +101128,8 @@ 0 0 0 +0 +1 6 0xa15e 0xed16a449 @@ -80910,6 +101138,8 @@ 1 0 0 +5 +3 10 0x4ce4 0xc8cbb3a6 @@ -80918,6 +101148,8 @@ 1 1 0 +0 +5 2 0xb64c 0x4f1702a9 @@ -80927,6 +101159,8 @@ 1 0 5 +4 +5 0xcf59 0x4f90a529 256 @@ -80934,6 +101168,8 @@ 1 1 0 +4 +2 6 0x4b09 0xf336aacc @@ -80942,6 +101178,8 @@ 1 1 0 +2 +0 5 0xc86f 0xd69ce100 @@ -80950,6 +101188,8 @@ 1 1 0 +0 +3 6 0x6342 0x809ec8d7 @@ -80958,6 +101198,8 @@ 1 1 0 +0 +3 7 0x9c47 0x8f0d6a0b @@ -80966,6 +101208,8 @@ 1 1 0 +5 +4 10 0xd9f8 0x71a62b4d @@ -80974,6 +101218,8 @@ 0 0 0 +5 +4 1 0xf108 0xf620ca5a @@ -80982,6 +101228,8 @@ 1 0 0 +2 +1 4 0x89e4 0x5565de5a @@ -80990,6 +101238,8 @@ 0 0 0 +4 +1 1 0xa279 0x831d7968 @@ -80998,6 +101248,8 @@ 1 0 0 +0 +1 1 0xde24 0x42716a6b @@ -81006,6 +101258,8 @@ 0 0 0 +3 +0 6 0x80c3 0x6dd2eff7 @@ -81014,6 +101268,8 @@ 1 1 0 +2 +1 5 0x7d3e 0x59190414 @@ -81022,6 +101278,8 @@ 1 1 0 +5 +5 8 0x8aaa 0xcee70e35 @@ -81030,6 +101288,8 @@ 1 0 0 +5 +5 2 0xd52e 0x585173e6 @@ -81038,6 +101298,8 @@ 0 0 0 +2 +0 5 0xeeaa 0x3c492061 @@ -81046,6 +101308,8 @@ 0 0 0 +2 +1 7 0xe8f6 0xf74fcddb @@ -81054,6 +101318,8 @@ 0 0 0 +4 +0 5 0x89e1 0xb3f53892 @@ -81062,6 +101328,8 @@ 0 0 0 +5 +3 10 0x97c5 0xd5d4d282 @@ -81070,6 +101338,8 @@ 0 0 0 +2 +1 4 0xab75 0xf0025373 @@ -81078,6 +101348,8 @@ 0 0 0 +0 +4 2 0x7a5d 0xf838cd80 @@ -81086,6 +101358,8 @@ 0 0 0 +0 +0 10 0x2a9d 0x1b5552ba @@ -81095,6 +101369,8 @@ 1 0 5 +3 +5 0x1054 0xee01078b 256 @@ -81102,6 +101378,8 @@ 0 0 0 +5 +0 6 0x7dbc 0x7e099543 @@ -81111,6 +101389,8 @@ 0 0 4 +5 +4 0x813d 0xb33b364d 256 @@ -81118,6 +101398,8 @@ 1 0 0 +4 +3 6 0xf739 0x8415dec3 @@ -81126,6 +101408,8 @@ 1 0 0 +4 +2 3 0xa5cc 0x624a6b78 @@ -81134,6 +101418,8 @@ 0 0 0 +1 +2 5 0xeb71 0x14b29f1d @@ -81142,6 +101428,8 @@ 1 1 0 +5 +3 9 0x7517 0x69bcee8b @@ -81150,6 +101438,8 @@ 1 0 0 +5 +5 7 0x81fa 0x502803ec @@ -81158,6 +101448,8 @@ 1 1 0 +0 +3 3 0xc2c3 0x2dedbc4d @@ -81166,6 +101458,8 @@ 0 0 0 +1 +4 7 0x53d8 0x4804ed30 @@ -81174,6 +101468,8 @@ 1 0 0 +5 +2 1 0x7320 0xa861dae7 @@ -81183,6 +101479,8 @@ 1 0 4 +5 +4 0xf434 0xb0e0106f 256 @@ -81190,6 +101488,8 @@ 0 0 0 +3 +2 8 0xcfc8 0x89150024 @@ -81198,6 +101498,8 @@ 1 1 0 +0 +4 10 0x43a7 0x9be685b4 @@ -81207,6 +101509,8 @@ 0 0 2 +0 +2 0x3ddc 0xa58a0e4f 256 @@ -81214,6 +101518,8 @@ 0 0 0 +1 +5 9 0x6205 0x976b40e1 @@ -81222,6 +101528,8 @@ 0 0 0 +4 +3 2 0x9dee 0x9741f6c9 @@ -81230,6 +101538,8 @@ 1 1 0 +2 +3 5 0x9b25 0xfafcb66a @@ -81239,6 +101549,8 @@ 0 0 1 +4 +1 0x696 0xcad38581 256 @@ -81246,6 +101558,8 @@ 0 0 0 +3 +5 5 0xdc71 0x20552ece @@ -81254,6 +101568,8 @@ 1 0 0 +0 +3 3 0x6b49 0xf0a85ccf @@ -81262,6 +101578,8 @@ 0 0 0 +3 +1 5 0x44cd 0xd17a6a63 @@ -81270,6 +101588,8 @@ 0 0 0 +2 +2 10 0x44ac 0xd2c0d856 @@ -81278,6 +101598,8 @@ 0 0 0 +2 +2 8 0x279c 0x1ccfbcee @@ -81286,6 +101608,8 @@ 1 1 0 +3 +4 9 0x6e6b 0x986051a2 @@ -81294,6 +101618,8 @@ 0 0 0 +0 +5 8 0xaa80 0x6566b46d @@ -81302,6 +101628,8 @@ 1 0 0 +4 +2 10 0xcb2 0x98ff6511 @@ -81310,6 +101638,8 @@ 1 1 0 +5 +0 10 0xeead 0x2365032c @@ -81318,6 +101648,8 @@ 0 0 0 +0 +3 2 0x3344 0xb9e25c68 @@ -81326,6 +101658,8 @@ 1 0 0 +0 +1 6 0xb9fd 0x886ed6ed @@ -81334,6 +101668,8 @@ 1 1 0 +5 +2 9 0x40a5 0xcb5f82f6 @@ -81343,6 +101679,8 @@ 0 0 2 +2 +2 0x8851 0xe944b4cc 256 @@ -81350,6 +101688,8 @@ 1 1 0 +4 +0 9 0x68b8 0x3879aa27 @@ -81358,6 +101698,8 @@ 1 1 0 +0 +0 6 0xc563 0xfee3c708 @@ -81366,6 +101708,8 @@ 0 0 0 +5 +5 10 0x6143 0xfab2c7f3 @@ -81374,6 +101718,8 @@ 1 0 0 +4 +4 5 0x3f4c 0x9ed45131 @@ -81382,6 +101728,8 @@ 1 0 0 +0 +5 2 0xa566 0xc823cee8 @@ -81390,6 +101738,8 @@ 0 0 0 +2 +3 4 0x5f16 0xef77ceb3 @@ -81398,6 +101748,8 @@ 1 1 0 +5 +5 3 0x5895 0x73c0934f @@ -81406,6 +101758,8 @@ 1 1 0 +5 +4 8 0x3c2 0x98c76dae @@ -81414,6 +101768,8 @@ 0 0 0 +1 +4 4 0x2cb1 0x533ec644 @@ -81422,6 +101778,8 @@ 0 0 0 +2 +4 4 0x2a42 0x7d95f73d @@ -81430,6 +101788,8 @@ 1 0 0 +4 +3 5 0xc1db 0x1d1c3487 @@ -81438,6 +101798,8 @@ 1 1 0 +0 +3 2 0xa828 0x3b9c5839 @@ -81446,6 +101808,8 @@ 0 0 0 +2 +4 8 0xde33 0x7dfe36e9 @@ -81454,6 +101818,8 @@ 1 1 0 +4 +1 3 0xe927 0x690c5653 @@ -81462,6 +101828,8 @@ 0 0 0 +3 +0 5 0x9fbb 0x59a4342b @@ -81470,6 +101838,8 @@ 1 0 0 +1 +0 9 0x78b6 0x8242f026 @@ -81478,6 +101848,8 @@ 1 0 0 +4 +2 5 0x8e4e 0x55c86cb1 @@ -81486,6 +101858,8 @@ 0 0 0 +1 +5 3 0x7e36 0xc4db4481 @@ -81494,6 +101868,8 @@ 0 0 0 +5 +3 3 0x385c 0xae11778d @@ -81502,6 +101878,8 @@ 1 0 0 +3 +5 8 0x64eb 0x89d61b33 @@ -81510,6 +101888,8 @@ 0 0 0 +4 +1 2 0xba52 0xa7fadd9 @@ -81518,6 +101898,8 @@ 0 0 0 +1 +3 2 0x4d7a 0xa8cecf1e @@ -81526,6 +101908,8 @@ 0 0 0 +0 +1 3 0xb1c1 0x203f5e84 @@ -81534,6 +101918,8 @@ 0 0 0 +1 +0 7 0x78d8 0x44769e20 @@ -81542,6 +101928,8 @@ 1 0 0 +1 +4 5 0x1631 0x93fb547a @@ -81550,6 +101938,8 @@ 1 0 0 +1 +2 8 0x76a6 0xc4073c9d @@ -81558,6 +101948,8 @@ 1 0 0 +4 +4 2 0xba7a 0x67ac0ae @@ -81566,6 +101958,8 @@ 1 1 0 +3 +5 2 0xc936 0xb4e2c022 @@ -81574,6 +101968,8 @@ 0 0 0 +5 +1 10 0x85c0 0x5bb0e682 @@ -81582,6 +101978,8 @@ 0 0 0 +2 +3 4 0x53b6 0xb0e88888 @@ -81590,6 +101988,8 @@ 0 0 0 +3 +5 6 0xd770 0xb1de3d11 @@ -81598,6 +101998,8 @@ 0 0 0 +3 +4 9 0x8249 0x10ef6f49 @@ -81606,6 +102008,8 @@ 1 0 0 +0 +2 4 0xf1d0 0x31d87831 @@ -81614,6 +102018,8 @@ 0 0 0 +0 +4 2 0x53cf 0x5f46cf06 @@ -81622,6 +102028,8 @@ 0 0 0 +0 +1 8 0x9007 0x4319c51b @@ -81630,6 +102038,8 @@ 0 0 0 +3 +1 1 0x22ea 0xf9c46df7 @@ -81638,6 +102048,8 @@ 0 0 0 +4 +4 3 0x8266 0xa99a35e @@ -81646,6 +102058,8 @@ 1 0 0 +0 +0 10 0xb0c2 0x7570c9d @@ -81654,6 +102068,8 @@ 0 0 0 +1 +5 10 0x150c 0x48bfbb96 @@ -81662,6 +102078,8 @@ 1 1 0 +5 +3 3 0x16c1 0x6f7cd6b0 @@ -81670,6 +102088,8 @@ 0 0 0 +3 +0 8 0x264e 0xa6f19cc8 @@ -81678,6 +102098,8 @@ 1 0 0 +2 +2 8 0x6277 0x91281605 @@ -81686,6 +102108,8 @@ 0 0 0 +3 +0 5 0x9791 0x83bd473e @@ -81694,6 +102118,8 @@ 1 0 0 +1 +5 2 0xbfb6 0x12c845c1 @@ -81703,6 +102129,8 @@ 0 0 5 +0 +5 0x1c78 0x55c355bb 256 @@ -81710,6 +102138,8 @@ 0 0 0 +2 +3 9 0xa33b 0x63c00b4d @@ -81718,6 +102148,8 @@ 0 0 0 +4 +2 6 0x7464 0x1cba090f @@ -81726,6 +102158,8 @@ 0 0 0 +1 +1 9 0x1cea 0x2bd81670 @@ -81734,6 +102168,8 @@ 0 0 0 +2 +1 8 0x7b93 0x8ad6a627 @@ -81742,6 +102178,8 @@ 0 0 0 +4 +4 8 0x1da1 0xb9e4d722 @@ -81750,6 +102188,8 @@ 0 0 0 +2 +0 9 0xadf9 0xd1fc3e4a @@ -81758,6 +102198,8 @@ 1 1 0 +2 +1 4 0x1267 0x976c634e @@ -81766,6 +102208,8 @@ 0 0 0 +5 +0 8 0xbb49 0x82af1cfa @@ -81774,6 +102218,8 @@ 1 1 0 +0 +0 7 0x9e15 0xc5198d0d @@ -81782,6 +102228,8 @@ 0 0 0 +5 +3 6 0xb978 0x2a66d429 @@ -81791,6 +102239,8 @@ 0 0 2 +1 +2 0xe514 0xba9bf8f9 256 @@ -81798,6 +102248,8 @@ 1 1 0 +3 +1 7 0x84d2 0x8f9debc4 @@ -81806,6 +102258,8 @@ 1 1 0 +4 +5 2 0x9129 0x49d2403e @@ -81814,6 +102268,8 @@ 1 0 0 +2 +4 3 0x8bc6 0x3831c8ba @@ -81822,6 +102278,8 @@ 0 0 0 +2 +3 4 0x1057 0x840f2075 @@ -81830,6 +102288,8 @@ 1 0 0 +4 +4 3 0xfd86 0x729ab37d @@ -81838,6 +102298,8 @@ 1 0 0 +4 +0 1 0xd868 0xa52ade29 @@ -81846,6 +102308,8 @@ 0 0 0 +2 +3 10 0x4717 0x92308e6f @@ -81854,6 +102318,8 @@ 0 0 0 +1 +2 2 0xf5c 0x4ccf27ac @@ -81862,6 +102328,8 @@ 1 1 0 +3 +2 8 0xa01b 0xcf5f4ed1 @@ -81870,6 +102338,8 @@ 1 0 0 +1 +5 10 0x9d5 0xca7f5628 @@ -81878,6 +102348,8 @@ 0 0 0 +4 +2 5 0xc76 0x4ce7cbb7 @@ -81886,6 +102358,8 @@ 1 0 0 +0 +0 1 0xce05 0xfb0ee254 @@ -81894,6 +102368,8 @@ 1 1 0 +0 +5 8 0x5cec 0x8c077138 @@ -81902,6 +102378,8 @@ 0 0 0 +2 +1 6 0x83d4 0x50ab4937 @@ -81910,6 +102388,8 @@ 0 0 0 +5 +2 7 0x9cc2 0x704c00ae @@ -81918,6 +102398,8 @@ 1 0 0 +5 +4 1 0xceef 0xf6775bfb @@ -81927,6 +102409,8 @@ 0 0 1 +5 +1 0x68f5 0x9fa08520 256 @@ -81934,6 +102418,8 @@ 1 1 0 +0 +1 2 0x30dd 0xc08acd1e @@ -81942,6 +102428,8 @@ 1 0 0 +3 +5 9 0x33d8 0x65b10894 @@ -81950,6 +102438,8 @@ 0 0 0 +1 +4 5 0xe603 0x3dfa9d7b @@ -81958,6 +102448,8 @@ 0 0 0 +4 +5 5 0xa5cd 0x501d0410 @@ -81966,6 +102458,8 @@ 1 1 0 +0 +5 1 0x20ac 0x7845524b @@ -81974,6 +102468,8 @@ 1 0 0 +1 +5 4 0x3b10 0x1ab6cd28 @@ -81982,6 +102478,8 @@ 0 0 0 +0 +4 1 0xd0a4 0x254771b8 @@ -81990,6 +102488,8 @@ 0 0 0 +2 +5 4 0x88a2 0x1739e350 @@ -81998,6 +102498,8 @@ 0 0 0 +2 +3 10 0x9540 0x58ae796b @@ -82006,6 +102508,8 @@ 1 0 0 +1 +0 4 0x2f4c 0xdaf7fa17 @@ -82014,6 +102518,8 @@ 1 0 0 +0 +2 6 0x8d10 0xf945aa2f @@ -82022,6 +102528,8 @@ 1 1 0 +5 +3 8 0x5c15 0xc6f3396f @@ -82030,6 +102538,8 @@ 0 0 0 +1 +5 5 0xa03f 0xde373dae @@ -82038,6 +102548,8 @@ 0 0 0 +2 +4 1 0xbede 0x14e0cd76 @@ -82046,6 +102558,8 @@ 0 0 0 +1 +0 5 0x4464 0xd6d79412 @@ -82054,6 +102568,8 @@ 0 0 0 +5 +1 3 0xf97c 0xecb72b72 @@ -82062,6 +102578,8 @@ 0 0 0 +5 +2 3 0x4697 0xb7982cb5 @@ -82070,6 +102588,8 @@ 0 0 0 +3 +1 5 0x7696 0x1cc88a4a @@ -82078,6 +102598,8 @@ 1 0 0 +2 +5 5 0xc70a 0xa85fd8f2 @@ -82087,6 +102609,8 @@ 0 0 5 +4 +5 0x7bfb 0x2eec6875 256 @@ -82094,6 +102618,8 @@ 0 0 0 +5 +4 8 0x920b 0xba039d14 @@ -82102,6 +102628,8 @@ 1 1 0 +3 +3 7 0x2768 0x65bf1ad0 @@ -82110,6 +102638,8 @@ 0 0 0 +4 +1 10 0x9a63 0x53d71e4f @@ -82118,6 +102648,8 @@ 0 0 0 +4 +3 7 0x55a5 0x14fd6e30 @@ -82126,6 +102658,8 @@ 1 1 0 +4 +2 3 0x3665 0x3412186a @@ -82134,6 +102668,8 @@ 1 1 0 +4 +2 8 0xb315 0xfe1dd937 @@ -82142,6 +102678,8 @@ 1 1 0 +0 +0 6 0xb56c 0xd3cc298d @@ -82151,6 +102689,8 @@ 1 0 3 +3 +3 0xe2f3 0xeb6cfc6d 256 @@ -82159,6 +102699,8 @@ 0 0 2 +3 +2 0xd5a2 0xdfe180bf 256 @@ -82166,6 +102708,8 @@ 0 0 0 +1 +0 2 0x994b 0xe7d9716e @@ -82175,6 +102719,8 @@ 0 0 2 +2 +2 0x581b 0x8e438325 256 @@ -82182,6 +102728,8 @@ 0 0 0 +1 +0 5 0xbe60 0xd97bb895 @@ -82190,6 +102738,8 @@ 1 0 0 +3 +2 5 0x1525 0x79698932 @@ -82198,6 +102748,8 @@ 0 0 0 +2 +1 9 0xe3e1 0x50494a2f @@ -82206,6 +102758,8 @@ 1 0 0 +4 +3 6 0xd8f9 0xab366cc @@ -82214,6 +102768,8 @@ 1 0 0 +4 +1 10 0xd774 0x4c98ac83 @@ -82222,6 +102778,8 @@ 0 0 0 +2 +5 6 0x473f 0xabd75079 @@ -82230,6 +102788,8 @@ 1 1 0 +3 +2 9 0x6dfd 0x9815a378 @@ -82238,6 +102798,8 @@ 1 0 0 +0 +1 9 0x41b 0x5c91e5bc @@ -82246,6 +102808,8 @@ 0 0 0 +0 +0 9 0xfd41 0x4d6e1418 @@ -82254,6 +102818,8 @@ 0 0 0 +3 +3 10 0x4dfa 0xf5266df9 @@ -82262,6 +102828,8 @@ 0 0 0 +0 +4 9 0x41f6 0x4eaff354 @@ -82270,6 +102838,8 @@ 0 0 0 +1 +3 6 0x932b 0x87f79eb2 @@ -82278,6 +102848,8 @@ 1 1 0 +5 +1 7 0x8dd7 0xbe47e4ea @@ -82287,6 +102859,8 @@ 0 0 2 +2 +2 0x8582 0xc9935064 256 @@ -82294,6 +102868,8 @@ 0 0 0 +0 +2 9 0xe356 0x94fdc11d @@ -82302,6 +102878,8 @@ 1 0 0 +0 +1 5 0xc6be 0x459bc122 @@ -82310,6 +102888,8 @@ 1 1 0 +2 +2 6 0x4006 0x4ee5f801 @@ -82318,6 +102898,8 @@ 0 0 0 +4 +5 8 0x910c 0x3721bfc5 @@ -82326,6 +102908,8 @@ 0 0 0 +1 +5 9 0x6425 0xd8ca739 @@ -82334,6 +102918,8 @@ 0 0 0 +4 +3 8 0x5bdf 0x51396857 @@ -82342,6 +102928,8 @@ 0 0 0 +1 +2 8 0x216d 0xeb02bbf9 @@ -82350,6 +102938,8 @@ 1 0 0 +1 +5 10 0x7438 0x1827ddb6 @@ -82358,6 +102948,8 @@ 0 0 0 +5 +3 4 0xf26c 0x711d23a3 @@ -82366,6 +102958,8 @@ 0 0 0 +4 +0 2 0x20cf 0xfb605dcf @@ -82375,6 +102969,8 @@ 0 0 4 +4 +4 0x8cd9 0x33fa3a2d 256 @@ -82382,6 +102978,8 @@ 0 0 0 +3 +4 6 0x4f3 0xe1cab39 @@ -82390,6 +102988,8 @@ 0 0 0 +3 +4 1 0x808d 0x1b1c5524 @@ -82398,6 +102998,8 @@ 1 0 0 +5 +5 6 0x707e 0x2b002a17 @@ -82406,6 +103008,8 @@ 0 0 0 +4 +5 8 0xf9e3 0xd988c2f3 @@ -82414,6 +103018,8 @@ 1 1 0 +0 +5 6 0xd5dd 0xba9d278c @@ -82422,6 +103028,8 @@ 1 0 0 +4 +3 2 0xb571 0x3fd123df @@ -82430,6 +103038,8 @@ 0 0 0 +5 +1 3 0xa866 0xe5683b5c @@ -82438,6 +103048,8 @@ 0 0 0 +2 +2 8 0xcf20 0xc63c07d8 @@ -82446,6 +103058,8 @@ 1 0 0 +3 +4 1 0x951e 0x463b14be @@ -82454,6 +103068,8 @@ 0 0 0 +3 +1 5 0x6aeb 0x7870e567 @@ -82463,6 +103079,8 @@ 1 0 5 +1 +5 0xda0f 0x951199ea 256 @@ -82470,6 +103088,8 @@ 1 0 0 +1 +4 7 0xfe85 0xd03f0ec8 @@ -82478,6 +103098,8 @@ 0 0 0 +4 +1 9 0x3d3c 0xf9dcc956 @@ -82486,6 +103108,8 @@ 0 0 0 +2 +3 4 0x57a1 0xb3ad9a95 @@ -82494,6 +103118,8 @@ 0 0 0 +3 +0 9 0x98c2 0xecf7728c @@ -82502,6 +103128,8 @@ 0 0 0 +4 +0 9 0x7f42 0xccd41b4d @@ -82510,6 +103138,8 @@ 1 1 0 +3 +2 2 0x7033 0x76efe905 @@ -82518,6 +103148,8 @@ 0 0 0 +2 +1 7 0x6fa 0xcd9ba06c @@ -82526,6 +103158,8 @@ 0 0 0 +0 +2 6 0xf1ca 0xc2a7ea89 @@ -82534,6 +103168,8 @@ 1 0 0 +2 +3 6 0x53a2 0xc4910e6a @@ -82542,6 +103178,8 @@ 1 0 0 +0 +4 2 0xec20 0x148deffe @@ -82550,6 +103188,8 @@ 0 0 0 +1 +2 8 0x57ea 0x58673e9f @@ -82558,6 +103198,8 @@ 0 0 0 +1 +3 3 0xf0ae 0x16a58499 @@ -82566,6 +103208,8 @@ 0 0 0 +5 +3 1 0x78f4 0xb1c82453 @@ -82574,6 +103218,8 @@ 1 0 0 +3 +3 2 0x5a9a 0x3b926c70 @@ -82583,6 +103229,8 @@ 0 0 4 +1 +4 0x8fdd 0x61995e3b 256 @@ -82590,6 +103238,8 @@ 1 1 0 +4 +1 2 0x557d 0xfc10838a @@ -82598,6 +103248,8 @@ 0 0 0 +4 +2 5 0x8a45 0xba74c129 @@ -82606,6 +103258,8 @@ 0 0 0 +4 +0 8 0x8dd2 0x4e2d466b @@ -82614,6 +103268,8 @@ 1 0 0 +1 +2 3 0xe270 0x829ba63f @@ -82622,6 +103278,8 @@ 1 0 0 +3 +4 10 0xaf15 0x7a8e9233 @@ -82630,6 +103288,8 @@ 1 1 0 +0 +2 9 0x61e5 0x5808bb72 @@ -82638,6 +103298,8 @@ 1 0 0 +3 +0 1 0x3484 0x98afcf73 @@ -82646,6 +103308,8 @@ 0 0 0 +4 +5 8 0x567b 0x9584ffdc @@ -82654,6 +103318,8 @@ 1 1 0 +5 +3 6 0x515 0x121476be @@ -82662,6 +103328,8 @@ 0 0 0 +0 +1 7 0x4915 0xfd2284ff @@ -82670,6 +103338,8 @@ 0 0 0 +5 +5 2 0x44a1 0x4f72ac45 @@ -82678,6 +103348,8 @@ 1 0 0 +0 +4 5 0x2498 0xffc6fa2a @@ -82686,6 +103358,8 @@ 1 0 0 +2 +4 8 0x6f71 0x783ec95c @@ -82694,6 +103368,8 @@ 1 1 0 +1 +5 4 0x20c0 0x9e1e34e2 @@ -82702,6 +103378,8 @@ 1 1 0 +3 +5 6 0x7575 0xdecf630b @@ -82710,6 +103388,8 @@ 1 1 0 +1 +0 9 0x52fc 0x71ebf78b @@ -82718,6 +103398,8 @@ 1 0 0 +3 +3 6 0x2cf5 0x4c7506b4 @@ -82726,6 +103408,8 @@ 1 0 0 +2 +0 5 0x69d7 0xfa53b42f @@ -82734,6 +103418,8 @@ 0 0 0 +3 +3 6 0x5f8e 0xd15b1e32 @@ -82742,6 +103428,8 @@ 1 0 0 +2 +0 8 0xc63c 0xba50e192 @@ -82750,6 +103438,8 @@ 0 0 0 +5 +3 6 0xaa20 0xd61b1f70 @@ -82758,6 +103448,8 @@ 0 0 0 +1 +4 8 0x9ed2 0xd4e05649 @@ -82766,6 +103458,8 @@ 0 0 0 +0 +3 5 0xe491 0xe03ae0d3 @@ -82774,6 +103468,8 @@ 1 1 0 +2 +5 4 0xb829 0x70319e10 @@ -82782,6 +103478,8 @@ 1 1 0 +5 +0 1 0xd39 0xa1c1dd76 @@ -82790,6 +103488,8 @@ 1 0 0 +3 +3 2 0xe326 0xc4663c91 @@ -82798,6 +103498,8 @@ 1 0 0 +0 +0 7 0x6fde 0x791dc753 @@ -82806,6 +103508,8 @@ 1 0 0 +2 +0 7 0x6d92 0x500ff643 @@ -82814,6 +103518,8 @@ 0 0 0 +4 +1 10 0x96c3 0x23a5d22d @@ -82823,6 +103529,8 @@ 0 0 5 +0 +5 0x43d1 0xefaeb7c4 256 @@ -82830,6 +103538,8 @@ 1 0 0 +4 +2 1 0xb789 0x9c636afc @@ -82838,6 +103548,8 @@ 1 1 0 +0 +5 10 0xd277 0x8844f7a9 @@ -82846,6 +103558,8 @@ 1 1 0 +2 +2 5 0x7540 0xb5c17b9a @@ -82854,6 +103568,8 @@ 1 1 0 +4 +2 7 0x6df1 0xf1194a1e @@ -82862,6 +103578,8 @@ 0 0 0 +1 +3 5 0xd581 0x9302f287 @@ -82871,6 +103589,8 @@ 0 0 3 +5 +3 0xc1f0 0xf0f717ea 256 @@ -82878,6 +103598,8 @@ 0 0 0 +5 +4 8 0x40e6 0xdb1ede69 @@ -82886,6 +103608,8 @@ 1 0 0 +3 +5 10 0x4c39 0x631c4d12 @@ -82894,6 +103618,8 @@ 0 0 0 +4 +4 3 0x4118 0x101aa562 @@ -82902,6 +103628,8 @@ 1 0 0 +3 +1 1 0x818f 0x551b04a4 @@ -82910,6 +103638,8 @@ 1 1 0 +4 +1 8 0x3d56 0xc42d1fd3 @@ -82918,6 +103648,8 @@ 1 1 0 +5 +5 1 0xd0af 0xd59bd2e2 @@ -82926,6 +103658,8 @@ 1 0 0 +0 +3 10 0xb945 0x738c9e82 @@ -82934,6 +103668,8 @@ 0 0 0 +1 +2 8 0x5932 0x64fbd107 @@ -82942,6 +103678,8 @@ 0 0 0 +4 +5 1 0xe771 0x8b4b868c @@ -82950,6 +103688,8 @@ 0 0 0 +0 +5 1 0xfb00 0x7feb3c5 @@ -82958,6 +103698,8 @@ 0 0 0 +4 +2 9 0xe4fe 0xcd13868d @@ -82966,6 +103708,8 @@ 0 0 0 +1 +5 4 0xe3f0 0x5cd30a31 @@ -82975,6 +103719,8 @@ 1 0 1 +0 +1 0xbfdd 0x59c422d4 256 @@ -82982,6 +103728,8 @@ 1 1 0 +5 +4 9 0x33ac 0x9c57ac20 @@ -82991,6 +103739,8 @@ 1 0 5 +4 +5 0x219 0x912a249d 256 @@ -82999,6 +103749,8 @@ 0 0 4 +4 +4 0x91aa 0x61c036f3 256 @@ -83006,6 +103758,8 @@ 0 0 0 +2 +4 3 0xa41e 0xd42c98c6 @@ -83014,6 +103768,8 @@ 1 1 0 +1 +0 4 0xcca7 0x6bc3d78f @@ -83022,6 +103778,8 @@ 0 0 0 +5 +5 1 0xcd6d 0x108d8afa @@ -83030,6 +103788,8 @@ 0 0 0 +1 +3 4 0x7d2c 0x1e26f253 @@ -83038,6 +103798,8 @@ 1 1 0 +3 +0 8 0xbbf9 0x7284848b @@ -83046,6 +103808,8 @@ 1 0 0 +0 +3 10 0xa914 0x29867ce4 @@ -83054,6 +103818,8 @@ 1 0 0 +2 +3 9 0xe96c 0xe142c03d @@ -83063,6 +103829,8 @@ 0 0 1 +5 +1 0x1954 0x47451f35 256 @@ -83070,6 +103838,8 @@ 0 0 0 +4 +1 5 0x3fd7 0xde9fa46a @@ -83078,6 +103848,8 @@ 0 0 0 +3 +3 5 0xa990 0x8caa31a0 @@ -83086,6 +103858,8 @@ 1 0 0 +3 +2 6 0x4303 0xc1e1b649 @@ -83094,6 +103868,8 @@ 0 0 0 +5 +3 8 0x3d9 0x82c62a74 @@ -83102,6 +103878,8 @@ 0 0 0 +3 +0 10 0xfaa5 0x640b4913 @@ -83110,6 +103888,8 @@ 0 0 0 +4 +0 9 0xca07 0x6ce44681 @@ -83118,6 +103898,8 @@ 0 0 0 +0 +0 10 0x2eb 0x4a3ba5f2 @@ -83126,6 +103908,8 @@ 1 0 0 +0 +0 4 0x75e8 0x1484d527 @@ -83134,6 +103918,8 @@ 1 1 0 +5 +2 9 0x1791 0x4bf8fa4b @@ -83142,6 +103928,8 @@ 1 0 0 +1 +0 5 0xa37c 0x15ea60e9 @@ -83150,6 +103938,8 @@ 1 1 0 +4 +0 10 0xacca 0xd1cee1d3 @@ -83158,6 +103948,8 @@ 1 1 0 +2 +4 3 0x7b23 0xc0d10b95 @@ -83166,6 +103958,8 @@ 1 1 0 +0 +4 6 0x723 0xdada0409 @@ -83174,6 +103968,8 @@ 1 0 0 +3 +2 6 0x7ed5 0xb30ae4e9 @@ -83182,6 +103978,8 @@ 0 0 0 +4 +3 3 0xab5 0x32d0cb64 @@ -83190,6 +103988,8 @@ 0 0 0 +5 +2 3 0x8fc7 0xecd9c00f @@ -83198,6 +103998,8 @@ 0 0 0 +2 +1 3 0x49b6 0xbd99f18b @@ -83206,6 +104008,8 @@ 1 0 0 +3 +1 5 0x10f9 0x814b9101 @@ -83214,6 +104018,8 @@ 1 1 0 +0 +4 10 0x8678 0xe2957170 @@ -83222,6 +104028,8 @@ 1 1 0 +3 +4 8 0x83e3 0x30cfb43b @@ -83230,6 +104038,8 @@ 1 0 0 +3 +3 6 0x990c 0xe91a3fe9 @@ -83238,6 +104048,8 @@ 0 0 0 +4 +2 1 0x910a 0x5b4e51c3 @@ -83246,6 +104058,8 @@ 0 0 0 +0 +2 9 0x7ef4 0xbddfc57a @@ -83254,6 +104068,8 @@ 0 0 0 +1 +2 8 0x2623 0x11e6dce7 @@ -83262,6 +104078,8 @@ 1 1 0 +3 +0 9 0x45b2 0xf3731760 @@ -83270,6 +104088,8 @@ 1 1 0 +4 +3 7 0x6074 0x354f677a @@ -83278,6 +104098,8 @@ 0 0 0 +3 +3 6 0x10b6 0xb16a7561 @@ -83287,6 +104109,8 @@ 1 0 4 +3 +4 0x2431 0x9136bca9 256 @@ -83294,6 +104118,8 @@ 0 0 0 +2 +4 10 0x2bed 0xcf288273 @@ -83303,6 +104129,8 @@ 1 0 2 +3 +2 0x5f8b 0x553af21e 256 @@ -83310,6 +104138,8 @@ 0 0 0 +5 +5 8 0x2e6 0x540676cb @@ -83318,6 +104148,8 @@ 0 0 0 +1 +0 8 0x873e 0xe840beeb @@ -83326,6 +104158,8 @@ 1 0 0 +1 +4 5 0xc58c 0x4805ec88 @@ -83334,6 +104168,8 @@ 0 0 0 +2 +2 8 0x28da 0xf78fc888 @@ -83342,6 +104178,8 @@ 1 0 0 +0 +2 6 0x83a7 0xa1231133 @@ -83350,6 +104188,8 @@ 1 0 0 +2 +0 4 0x94ab 0x912b7b93 @@ -83358,6 +104198,8 @@ 1 0 0 +5 +4 3 0x16c7 0x5b6b86f @@ -83366,6 +104208,8 @@ 1 0 0 +5 +3 2 0x475b 0xab8488c1 @@ -83374,6 +104218,8 @@ 1 1 0 +2 +2 4 0xd157 0x61b8f1e6 @@ -83383,6 +104229,8 @@ 0 0 5 +4 +5 0xbbe0 0x2318c0e7 256 @@ -83391,6 +104239,8 @@ 1 0 3 +4 +3 0x1230 0x7179e069 256 @@ -83398,6 +104248,8 @@ 1 0 0 +5 +1 3 0x10d1 0x4f4d0ce3 @@ -83406,6 +104258,8 @@ 0 0 0 +2 +1 5 0x97a5 0x50f253b6 @@ -83414,6 +104268,8 @@ 1 0 0 +0 +0 5 0xe45d 0xfe447d7f @@ -83422,6 +104278,8 @@ 0 0 0 +1 +2 3 0xcada 0xf5c52836 @@ -83430,6 +104288,8 @@ 1 0 0 +0 +0 3 0xafe9 0xea55aa11 @@ -83439,6 +104299,8 @@ 0 0 4 +1 +4 0x756e 0x4c757e08 256 @@ -83446,6 +104308,8 @@ 0 0 0 +3 +4 6 0x504b 0x165dcd @@ -83454,6 +104318,8 @@ 0 0 0 +0 +2 6 0x19f3 0x44aa3809 @@ -83463,6 +104329,8 @@ 0 0 3 +5 +3 0x1745 0x9d18b514 256 @@ -83470,6 +104338,8 @@ 0 0 0 +1 +0 6 0x9445 0x484a52c3 @@ -83478,6 +104348,8 @@ 1 0 0 +0 +2 9 0x12fc 0x7c4758b7 @@ -83486,6 +104358,8 @@ 1 0 0 +5 +0 3 0xe13a 0x2cd4393 @@ -83494,6 +104368,8 @@ 1 1 0 +3 +2 7 0xdd16 0x87b9157e @@ -83502,6 +104378,8 @@ 0 0 0 +0 +1 10 0x570a 0x77bb37ec @@ -83510,6 +104388,8 @@ 1 1 0 +2 +4 7 0x1a5b 0x290856ee @@ -83518,6 +104398,8 @@ 0 0 0 +2 +1 4 0x147 0xa5281aa7 @@ -83526,6 +104408,8 @@ 0 0 0 +1 +2 6 0x8ba1 0x376ae6c @@ -83534,6 +104418,8 @@ 0 0 0 +3 +3 9 0xd61 0x815db091 @@ -83542,6 +104428,8 @@ 0 0 0 +0 +5 5 0x2280 0x26720af7 @@ -83550,6 +104438,8 @@ 1 1 0 +3 +2 2 0xc5f9 0xe19c98f8 @@ -83558,6 +104448,8 @@ 1 0 0 +0 +1 9 0xd610 0x3099f831 @@ -83567,6 +104459,8 @@ 0 0 2 +0 +2 0xd03f 0x5d810782 256 @@ -83575,6 +104469,8 @@ 0 0 5 +2 +5 0x75e0 0x9ea3298b 256 @@ -83582,6 +104478,8 @@ 0 0 0 +5 +5 2 0x210d 0x23db24c4 @@ -83590,6 +104488,8 @@ 1 0 0 +3 +3 9 0x8bc1 0xf5450059 @@ -83598,6 +104498,8 @@ 0 0 0 +0 +4 2 0x8a18 0xee26530d @@ -83607,6 +104509,8 @@ 0 0 2 +2 +2 0xa1c1 0xf8ef52a0 256 @@ -83614,6 +104518,8 @@ 1 0 0 +2 +4 5 0x21f3 0x76bbadb4 @@ -83622,6 +104528,8 @@ 0 0 0 +3 +0 7 0xc4a0 0x4cc8b9fe @@ -83630,6 +104538,8 @@ 0 0 0 +5 +4 10 0xbed0 0xd1edaa5a @@ -83638,6 +104548,8 @@ 1 0 0 +1 +2 4 0x21a6 0xb3445d3b @@ -83646,6 +104558,8 @@ 0 0 0 +2 +4 3 0xaf92 0xdceeae5f @@ -83654,6 +104568,8 @@ 1 1 0 +1 +4 6 0x15fe 0x27f4941b @@ -83662,6 +104578,8 @@ 0 0 0 +0 +4 8 0xf800 0xf337ecc @@ -83670,6 +104588,8 @@ 0 0 0 +2 +3 4 0x97f8 0x4fdf224a @@ -83678,6 +104598,8 @@ 0 0 0 +0 +4 7 0xc8c9 0x7713c228 @@ -83686,6 +104608,8 @@ 0 0 0 +2 +4 3 0xbb6f 0xb89fc48d @@ -83695,6 +104619,8 @@ 0 0 4 +2 +4 0xafd0 0x684097b9 256 @@ -83702,6 +104628,8 @@ 0 0 0 +2 +5 5 0x1260 0xd98ae93b @@ -83710,6 +104638,8 @@ 0 0 0 +4 +4 2 0x7237 0x2171dda1 @@ -83718,6 +104648,8 @@ 0 0 0 +3 +5 4 0x8cdf 0x13de6b4 @@ -83726,6 +104658,8 @@ 0 0 0 +3 +3 6 0x99ca 0x865e5e65 @@ -83734,6 +104668,8 @@ 1 0 0 +1 +0 2 0x3f1e 0x80300ab4 @@ -83742,6 +104678,8 @@ 1 0 0 +5 +1 7 0x166a 0xf09b4fe0 @@ -83750,6 +104688,8 @@ 1 1 0 +2 +0 9 0xfc65 0x10c9714c @@ -83758,6 +104698,8 @@ 0 0 0 +3 +4 5 0x2920 0x2058026 @@ -83766,6 +104708,8 @@ 0 0 0 +5 +3 8 0xaf5f 0x3c754578 @@ -83774,6 +104718,8 @@ 1 1 0 +5 +0 7 0xca8e 0xe7cb19c2 @@ -83782,6 +104728,8 @@ 0 0 0 +4 +3 1 0xce5 0x468b2465 @@ -83790,6 +104738,8 @@ 0 0 0 +3 +3 8 0x6306 0x74a621f5 @@ -83798,6 +104748,8 @@ 1 0 0 +0 +5 3 0x2212 0x52f75c44 @@ -83806,6 +104758,8 @@ 1 1 0 +2 +1 7 0x5235 0xe38f76f3 @@ -83814,6 +104768,8 @@ 0 0 0 +1 +2 7 0x5af5 0x90819da6 @@ -83822,6 +104778,8 @@ 1 0 0 +1 +3 10 0x4db7 0xdf685f9a @@ -83830,6 +104788,8 @@ 0 0 0 +1 +4 10 0xe3fa 0xead043f @@ -83838,6 +104798,8 @@ 1 1 0 +5 +4 2 0xf6b4 0x25485d3e @@ -83846,6 +104808,8 @@ 1 1 0 +0 +1 10 0x91e4 0xb2bfec7a @@ -83854,6 +104818,8 @@ 0 0 0 +4 +4 2 0xc683 0xa299d2ba @@ -83862,6 +104828,8 @@ 1 1 0 +2 +3 4 0x4143 0x1a89138a @@ -83870,6 +104838,8 @@ 0 0 0 +2 +1 9 0x96ce 0xdf5365b6 @@ -83879,6 +104849,8 @@ 1 0 4 +5 +4 0x5035 0x740eb263 256 @@ -83886,6 +104858,8 @@ 0 0 0 +0 +1 4 0xb9d3 0xd8b7a4cf @@ -83894,6 +104868,8 @@ 0 0 0 +0 +1 10 0xc691 0xe5dc2537 @@ -83902,6 +104878,8 @@ 0 0 0 +1 +5 7 0x7f03 0xe63df5e6 @@ -83910,6 +104888,8 @@ 1 0 0 +0 +1 7 0x58b2 0xaf08c8e7 @@ -83918,6 +104898,8 @@ 0 0 0 +0 +2 5 0x1e97 0x58c0a8c9 @@ -83926,6 +104908,8 @@ 0 0 0 +3 +5 7 0x6ecc 0x632659b4 @@ -83935,6 +104919,8 @@ 0 0 4 +4 +4 0x960c 0xef714087 256 @@ -83942,6 +104928,8 @@ 0 0 0 +2 +4 10 0x263c 0x1fbf9ee @@ -83950,6 +104938,8 @@ 0 0 0 +2 +5 9 0x4516 0x71c08a50 @@ -83959,6 +104949,8 @@ 0 0 1 +5 +1 0x8e83 0x412fc5a 256 @@ -83966,6 +104958,8 @@ 1 0 0 +4 +3 7 0xa8fb 0xc9b6483a @@ -83974,6 +104968,8 @@ 0 0 0 +1 +3 9 0xf0a1 0x7ce34bcb @@ -83982,6 +104978,8 @@ 1 0 0 +2 +3 8 0x1ca 0xc903b304 @@ -83990,6 +104988,8 @@ 1 1 0 +3 +4 6 0x4206 0x358f1ab9 @@ -83998,6 +104998,8 @@ 1 0 0 +1 +5 9 0x6ccf 0x4bb39b41 @@ -84006,6 +105008,8 @@ 0 0 0 +0 +3 5 0x73ac 0x434aaf7d @@ -84014,6 +105018,8 @@ 1 1 0 +2 +2 10 0x593d 0x8a194afe @@ -84022,6 +105028,8 @@ 0 0 0 +1 +4 3 0x7bc3 0x2a0a47fe @@ -84030,6 +105038,8 @@ 1 1 0 +2 +3 10 0x73da 0x8f4cafdb @@ -84039,6 +105049,8 @@ 1 0 4 +0 +4 0x89cd 0x86002160 256 @@ -84046,6 +105058,8 @@ 1 0 0 +3 +1 1 0xd5a0 0xc9592c9b @@ -84054,6 +105068,8 @@ 0 0 0 +1 +0 10 0xa638 0x7317eb98 @@ -84062,6 +105078,8 @@ 0 0 0 +3 +5 5 0xd4cd 0x45889e16 @@ -84071,6 +105089,8 @@ 1 0 3 +3 +3 0xada8 0x8cfa83d 256 @@ -84078,6 +105098,8 @@ 1 1 0 +3 +5 9 0xea73 0x79fe7e2d @@ -84086,6 +105108,8 @@ 1 1 0 +4 +5 1 0x398e 0x978f733e @@ -84094,6 +105118,8 @@ 0 0 0 +0 +0 3 0xc03a 0xce9aed81 @@ -84102,6 +105128,8 @@ 1 0 0 +5 +5 9 0x919b 0xbd7093aa @@ -84110,6 +105138,8 @@ 0 0 0 +1 +3 5 0x6dba 0x1ffd58e1 @@ -84118,6 +105148,8 @@ 1 1 0 +0 +2 9 0x40e 0x1f094a0b @@ -84126,6 +105158,8 @@ 1 0 0 +3 +5 5 0xcee7 0xce3f51c5 @@ -84134,6 +105168,8 @@ 1 0 0 +2 +5 10 0x58c0 0xaf8a890a @@ -84142,6 +105178,8 @@ 0 0 0 +3 +2 4 0xab72 0x7058a252 @@ -84150,6 +105188,8 @@ 0 0 0 +4 +4 7 0x4f34 0x3489107f @@ -84158,6 +105198,8 @@ 1 1 0 +1 +4 6 0xe73d 0x2d09c2cf @@ -84166,6 +105208,8 @@ 0 0 0 +1 +0 3 0xe70c 0x9a082580 @@ -84174,6 +105218,8 @@ 1 0 0 +5 +4 7 0xa4d5 0xb25da84 @@ -84182,6 +105228,8 @@ 0 0 0 +3 +1 7 0x5e88 0xec2bbafa @@ -84190,6 +105238,8 @@ 1 0 0 +5 +1 9 0x110f 0x691cb6bf @@ -84198,6 +105248,8 @@ 1 1 0 +4 +2 1 0xaaed 0xe12cbd44 @@ -84206,6 +105258,8 @@ 0 0 0 +1 +0 8 0x818d 0x225f39e7 @@ -84214,6 +105268,8 @@ 1 0 0 +5 +2 6 0x8e85 0x69639979 @@ -84222,6 +105278,8 @@ 0 0 0 +1 +5 5 0x7537 0x11d6b84b @@ -84230,6 +105288,8 @@ 0 0 0 +2 +3 5 0xf1f7 0xb2eefc1a @@ -84238,6 +105298,8 @@ 1 1 0 +5 +1 2 0xdb07 0x5e1492ba @@ -84246,6 +105308,8 @@ 1 1 0 +4 +4 10 0x2e7d 0xd196c8d4 @@ -84254,6 +105318,8 @@ 0 0 0 +0 +3 5 0x3316 0x8381477b @@ -84262,6 +105328,8 @@ 0 0 0 +2 +2 3 0x4408 0x813fed9d @@ -84271,6 +105339,8 @@ 0 0 4 +0 +4 0x9380 0x95b62440 256 @@ -84278,6 +105348,8 @@ 0 0 0 +3 +3 5 0x2ce6 0xa3e8a9a5 @@ -84287,6 +105359,8 @@ 0 0 2 +4 +2 0xb0d9 0x4681878c 256 @@ -84294,6 +105368,8 @@ 1 1 0 +4 +1 1 0x4093 0xa107ad09 @@ -84302,6 +105378,8 @@ 0 0 0 +2 +4 5 0x7efe 0xe8c5c469 @@ -84311,6 +105389,8 @@ 0 0 4 +2 +4 0x2d9b 0x2b923afa 256 @@ -84318,6 +105398,8 @@ 1 1 0 +0 +5 9 0x51f6 0xd46d24fd @@ -84326,6 +105408,8 @@ 1 1 0 +2 +5 4 0x2d9c 0xfbce4272 @@ -84334,6 +105418,8 @@ 1 1 0 +5 +4 2 0x8813 0x41c3a7ec @@ -84343,6 +105429,8 @@ 1 0 5 +0 +5 0xdd2e 0x9950ca03 256 @@ -84350,6 +105438,8 @@ 1 0 0 +5 +5 2 0x15c3 0x2dbe5bf1 @@ -84358,6 +105448,8 @@ 0 0 0 +1 +5 7 0x597 0xd63be2d0 @@ -84366,6 +105458,8 @@ 0 0 0 +4 +0 8 0xeda0 0xf9e4ce0c @@ -84374,6 +105468,8 @@ 1 1 0 +0 +0 4 0xa38b 0xe7c28314 @@ -84382,6 +105478,8 @@ 1 0 0 +5 +1 1 0x3bf1 0x2a5aa64 @@ -84390,6 +105488,8 @@ 1 0 0 +2 +2 6 0x28e3 0x7f2bb14d @@ -84398,6 +105498,8 @@ 1 1 0 +3 +0 8 0x5004 0xb5a846d3 @@ -84407,6 +105509,8 @@ 1 0 3 +4 +3 0xaa71 0x7bab0499 256 @@ -84414,6 +105518,8 @@ 0 0 0 +3 +5 6 0x7adc 0x590c1c88 @@ -84422,6 +105528,8 @@ 1 1 0 +1 +5 5 0xf44 0x1e7f7a75 @@ -84430,6 +105538,8 @@ 1 0 0 +4 +5 8 0x4443 0x664f63fc @@ -84438,6 +105548,8 @@ 0 0 0 +2 +3 9 0xc78 0xda1ca01 @@ -84446,6 +105558,8 @@ 1 1 0 +3 +4 2 0x2571 0xedf40ab4 @@ -84454,6 +105568,8 @@ 0 0 0 +5 +3 4 0x25aa 0xad49499d @@ -84462,6 +105578,8 @@ 0 0 0 +1 +0 8 0x59b3 0x3edafdad @@ -84470,6 +105588,8 @@ 0 0 0 +3 +1 4 0x52d2 0x6069cb39 @@ -84478,6 +105598,8 @@ 1 0 0 +0 +4 10 0x919d 0x410177a @@ -84486,6 +105608,8 @@ 0 0 0 +5 +0 4 0x8350 0x3a0e4aad @@ -84494,6 +105618,8 @@ 1 1 0 +0 +3 3 0x6770 0x6cdd76ba @@ -84502,6 +105628,8 @@ 0 0 0 +3 +1 8 0xd56e 0xeb667cbb @@ -84510,6 +105638,8 @@ 1 1 0 +0 +3 2 0x6248 0xca3e7bee @@ -84518,6 +105648,8 @@ 0 0 0 +4 +5 5 0xcad1 0x5b0d23d @@ -84526,6 +105658,8 @@ 1 0 0 +3 +3 2 0x78d7 0x647c4728 @@ -84534,6 +105668,8 @@ 0 0 0 +1 +3 6 0x8203 0x91371f2d @@ -84542,6 +105678,8 @@ 1 0 0 +5 +0 9 0x1ab8 0x67133c8b @@ -84550,6 +105688,8 @@ 0 0 0 +4 +1 7 0xf14a 0xce72ed4a @@ -84558,6 +105698,8 @@ 1 0 0 +3 +1 8 0x4563 0xb0c97844 @@ -84566,6 +105708,8 @@ 0 0 0 +4 +5 10 0x4302 0xb697eae4 @@ -84574,6 +105718,8 @@ 1 1 0 +3 +2 9 0x2eed 0x31e1059f @@ -84582,6 +105728,8 @@ 0 0 0 +2 +5 10 0xdcdb 0x74f6a1c1 @@ -84590,6 +105738,8 @@ 0 0 0 +3 +4 6 0x653e 0xe2e2e8c3 @@ -84598,6 +105748,8 @@ 0 0 0 +5 +4 3 0xc68a 0xff7eb746 @@ -84606,6 +105758,8 @@ 1 1 0 +5 +4 2 0xe6e3 0x8ac98956 @@ -84614,6 +105768,8 @@ 0 0 0 +4 +2 9 0x1908 0xe09c272 @@ -84622,6 +105778,8 @@ 1 1 0 +0 +5 3 0x3256 0x7184f7d2 @@ -84630,6 +105788,8 @@ 0 0 0 +2 +3 3 0xbd79 0xf096d2c5 @@ -84638,6 +105798,8 @@ 1 0 0 +2 +1 10 0xb3aa 0x879d16e7 @@ -84646,6 +105808,8 @@ 0 0 0 +4 +4 1 0xb857 0xc1f41236 @@ -84654,6 +105818,8 @@ 0 0 0 +3 +3 7 0x3a9b 0xc95a28d6 @@ -84662,6 +105828,8 @@ 0 0 0 +2 +3 8 0xf075 0x9d9b9442 @@ -84670,6 +105838,8 @@ 1 0 0 +4 +5 8 0x6127 0x31de3d94 @@ -84678,6 +105848,8 @@ 1 1 0 +5 +4 9 0xc67b 0x6f359948 @@ -84686,6 +105858,8 @@ 0 0 0 +1 +2 9 0x99a5 0x23bd9d70 @@ -84694,6 +105868,8 @@ 0 0 0 +1 +3 4 0xa652 0x25e41a96 @@ -84702,6 +105878,8 @@ 0 0 0 +3 +0 5 0x29e5 0x2cb55335 @@ -84710,6 +105888,8 @@ 0 0 0 +5 +4 2 0x9064 0x4bea4940 @@ -84719,6 +105899,8 @@ 0 0 4 +2 +4 0xde73 0x82c18db7 256 @@ -84726,6 +105908,8 @@ 0 0 0 +5 +3 2 0x88b 0x825bb32e @@ -84734,6 +105918,8 @@ 1 1 0 +0 +2 9 0xdee6 0x2d0a450 @@ -84742,6 +105928,8 @@ 1 0 0 +3 +5 4 0x1054 0x66ddeb00 @@ -84750,6 +105938,8 @@ 0 0 0 +4 +3 3 0xd4a7 0xd26868c1 @@ -84758,6 +105948,8 @@ 0 0 0 +1 +0 9 0xf8aa 0xab74b261 @@ -84766,6 +105958,8 @@ 1 1 0 +2 +3 4 0x9a35 0x205dd789 @@ -84774,6 +105968,8 @@ 1 1 0 +1 +2 6 0x6366 0x56c3d539 @@ -84782,6 +105978,8 @@ 1 0 0 +2 +3 10 0x8676 0xfcc3decc @@ -84790,6 +105988,8 @@ 0 0 0 +0 +0 3 0xb20e 0x496dfa7b @@ -84798,6 +105998,8 @@ 0 0 0 +3 +3 4 0x9d5f 0x56c48c28 @@ -84806,6 +106008,8 @@ 0 0 0 +0 +1 7 0xce7 0x824a7497 @@ -84814,6 +106018,8 @@ 0 0 0 +4 +1 7 0x1471 0x9a2df7ca @@ -84822,6 +106028,8 @@ 0 0 0 +0 +1 1 0x64e6 0xea26c3fc @@ -84830,6 +106038,8 @@ 1 1 0 +3 +2 7 0xc86c 0x7fcb15cd @@ -84838,6 +106048,8 @@ 1 0 0 +0 +0 2 0x5ec2 0x725d85c7 @@ -84846,6 +106058,8 @@ 0 0 0 +5 +3 3 0x94ef 0x5cc2215e @@ -84854,6 +106068,8 @@ 1 1 0 +2 +5 10 0x2136 0xdff49702 @@ -84862,6 +106078,8 @@ 1 0 0 +2 +2 5 0xdc26 0xbc9214d6 @@ -84870,6 +106088,8 @@ 1 0 0 +4 +4 10 0x42a4 0xe7394f0c @@ -84878,6 +106098,8 @@ 1 0 0 +0 +5 7 0xfd96 0xcae36a35 @@ -84886,6 +106108,8 @@ 0 0 0 +1 +3 3 0xdd10 0xd14da16b @@ -84895,6 +106119,8 @@ 0 0 1 +4 +1 0xeced 0x5d24f90f 256 @@ -84902,6 +106128,8 @@ 0 0 0 +1 +5 4 0x57f8 0x6b92dce7 @@ -84910,6 +106138,8 @@ 1 0 0 +1 +1 4 0xe0d6 0x694365a @@ -84918,6 +106148,8 @@ 1 1 0 +5 +4 6 0x48e2 0x1a208c1 @@ -84926,6 +106158,8 @@ 1 1 0 +2 +1 9 0x9460 0x13ea5f1 @@ -84934,6 +106168,8 @@ 0 0 0 +2 +0 6 0x213 0x2dfb7a99 @@ -84942,6 +106178,8 @@ 0 0 0 +4 +1 5 0x52d2 0x4addc132 @@ -84950,6 +106188,8 @@ 0 0 0 +0 +3 2 0xfd84 0xe7159c45 @@ -84958,6 +106198,8 @@ 0 0 0 +3 +2 5 0x481c 0x9204a5bd @@ -84966,6 +106208,8 @@ 1 1 0 +0 +1 3 0x2b41 0x73448fcc @@ -84974,6 +106218,8 @@ 0 0 0 +5 +5 7 0x13d8 0x7cf9046b @@ -84982,6 +106228,8 @@ 0 0 0 +2 +3 6 0xbfc4 0xcea27a22 @@ -84990,6 +106238,8 @@ 0 0 0 +4 +2 9 0xded5 0xf0fa6659 @@ -84998,6 +106248,8 @@ 1 1 0 +5 +1 3 0x4106 0xce39c60b @@ -85006,6 +106258,8 @@ 0 0 0 +5 +1 4 0x5ed8 0xc27272dd @@ -85014,6 +106268,8 @@ 1 0 0 +4 +3 3 0x92a 0x271dae87 @@ -85022,6 +106278,8 @@ 0 0 0 +5 +1 8 0x9704 0x6315ea55 @@ -85030,6 +106288,8 @@ 0 0 0 +2 +2 8 0x3081 0x199821d1 @@ -85038,6 +106298,8 @@ 1 1 0 +1 +2 8 0xb306 0xbc09f5a9 @@ -85046,6 +106308,8 @@ 1 0 0 +1 +4 9 0xb944 0x586e8968 @@ -85054,6 +106318,8 @@ 1 1 0 +1 +5 10 0x1d85 0x79160afa @@ -85062,6 +106328,8 @@ 0 0 0 +0 +4 1 0xc277 0x985553dc @@ -85070,6 +106338,8 @@ 1 1 0 +2 +3 1 0xec5 0xace75c1f @@ -85078,6 +106348,8 @@ 1 0 0 +1 +0 2 0x1b95 0xb39b0bce @@ -85086,6 +106358,8 @@ 1 1 0 +1 +3 4 0xbc2d 0x95acac19 @@ -85094,6 +106368,8 @@ 1 1 0 +3 +5 5 0x4ac6 0x7b251b99 @@ -85102,6 +106378,8 @@ 1 1 0 +3 +0 2 0x5873 0x1f0043d5 @@ -85110,6 +106388,8 @@ 1 0 0 +3 +3 6 0x7991 0x213cb949 @@ -85118,6 +106398,8 @@ 1 0 0 +1 +5 2 0x8e8 0x8f32f7b7 @@ -85127,6 +106409,8 @@ 0 0 3 +0 +3 0x33f1 0x421ad080 256 @@ -85134,6 +106418,8 @@ 1 1 0 +4 +1 5 0xcc9d 0xe9622574 @@ -85142,6 +106428,8 @@ 0 0 0 +3 +2 7 0xcf74 0xf99e24e2 @@ -85150,6 +106438,8 @@ 1 1 0 +3 +5 2 0x2568 0x1a6d70b2 @@ -85158,6 +106448,8 @@ 0 0 0 +5 +3 8 0xdbfd 0x7ea4d0ac @@ -85166,6 +106458,8 @@ 0 0 0 +4 +2 10 0xf82d 0x8a723278 @@ -85175,6 +106469,8 @@ 0 0 1 +3 +1 0x6ae2 0xdb09b58 256 @@ -85182,6 +106478,8 @@ 0 0 0 +1 +4 3 0xe9ee 0x398fe65d @@ -85190,6 +106488,8 @@ 1 0 0 +2 +3 8 0x48cb 0x48c87787 @@ -85198,6 +106498,8 @@ 0 0 0 +5 +4 9 0x5f98 0x8be895bc @@ -85206,6 +106508,8 @@ 1 1 0 +5 +2 2 0xe589 0x30a37e4d @@ -85215,6 +106519,8 @@ 0 0 3 +1 +3 0xb9f0 0x8b3c7953 256 @@ -85222,6 +106528,8 @@ 1 1 0 +0 +3 4 0xd920 0xe87a5e3d @@ -85230,6 +106538,8 @@ 0 0 0 +5 +2 8 0xeb01 0x4014e40f @@ -85238,6 +106548,8 @@ 0 0 0 +0 +0 5 0x28d2 0x7cf3fab5 @@ -85246,6 +106558,8 @@ 0 0 0 +2 +2 10 0xe40e 0xdf5278de @@ -85255,6 +106569,8 @@ 0 0 2 +2 +2 0x7dcc 0x6bf61b62 256 @@ -85262,6 +106578,8 @@ 1 0 0 +5 +5 10 0xf530 0xf512612d @@ -85270,6 +106588,8 @@ 0 0 0 +3 +3 2 0x7c34 0xf2484c35 @@ -85278,6 +106598,8 @@ 1 1 0 +3 +0 4 0xaa39 0x14010aac @@ -85286,6 +106608,8 @@ 1 1 0 +0 +4 3 0x1499 0xf8a90855 @@ -85294,6 +106618,8 @@ 1 1 0 +3 +1 10 0x1a22 0x8b37e6b4 @@ -85302,6 +106628,8 @@ 1 0 0 +1 +2 2 0x5764 0x428e0875 @@ -85310,6 +106638,8 @@ 1 0 0 +5 +1 9 0x55c5 0xbbd5ecf0 @@ -85318,6 +106648,8 @@ 1 0 0 +4 +2 8 0x6a5f 0xd3c100b @@ -85326,6 +106658,8 @@ 1 0 0 +3 +1 10 0xd737 0xf4bd5942 @@ -85334,6 +106668,8 @@ 1 0 0 +5 +2 8 0xd717 0x91df329a @@ -85342,6 +106678,8 @@ 0 0 0 +3 +3 5 0x1c71 0x630043d @@ -85350,6 +106688,8 @@ 0 0 0 +3 +3 1 0x103b 0x7173e75b @@ -85358,6 +106698,8 @@ 0 0 0 +5 +1 9 0xd060 0xcf8e1e74 @@ -85366,6 +106708,8 @@ 1 0 0 +2 +5 6 0xa2ba 0x86f6d31a @@ -85374,6 +106718,8 @@ 0 0 0 +1 +0 8 0x426 0x88c860 @@ -85382,6 +106728,8 @@ 0 0 0 +2 +0 5 0x7aba 0x64b48431 @@ -85390,6 +106738,8 @@ 1 1 0 +5 +4 10 0x798 0x438dbce @@ -85398,6 +106748,8 @@ 1 0 0 +0 +1 7 0xd8cc 0x86522ce2 @@ -85407,6 +106759,8 @@ 0 0 5 +1 +5 0x7c39 0x42f59931 256 @@ -85414,6 +106768,8 @@ 0 0 0 +2 +1 5 0x936a 0x800d3a2 @@ -85422,6 +106778,8 @@ 0 0 0 +0 +2 9 0x635a 0x48c748b6 @@ -85430,6 +106788,8 @@ 0 0 0 +1 +3 5 0xb0f2 0x4ed643fa @@ -85438,6 +106798,8 @@ 0 0 0 +1 +0 8 0x1b97 0x1334a9e1 @@ -85446,6 +106808,8 @@ 0 0 0 +3 +5 9 0x3592 0xf42ddf5 @@ -85454,6 +106818,8 @@ 0 0 0 +3 +1 4 0x8445 0x44fa1e52 @@ -85462,6 +106828,8 @@ 1 1 0 +2 +0 8 0xa463 0xf76bcd0d @@ -85470,6 +106838,8 @@ 0 0 0 +3 +2 1 0xb4be 0x895935f6 @@ -85478,6 +106848,8 @@ 1 0 0 +3 +5 8 0x31f1 0x10cc853c @@ -85486,6 +106858,8 @@ 1 0 0 +5 +4 4 0x7a84 0x72a349e @@ -85495,6 +106869,8 @@ 0 0 2 +3 +2 0x9675 0xcccb2879 256 @@ -85502,6 +106878,8 @@ 0 0 0 +2 +4 8 0xa55f 0x23af0145 @@ -85510,6 +106888,8 @@ 1 0 0 +1 +1 2 0x63a7 0xaebd094 @@ -85518,6 +106898,8 @@ 0 0 0 +0 +1 2 0x9b81 0x3dcdbc82 @@ -85526,6 +106908,8 @@ 1 0 0 +1 +5 2 0x1b25 0x2b5200f9 @@ -85534,6 +106918,8 @@ 1 1 0 +4 +2 9 0x5c58 0x47ef78c7 @@ -85542,6 +106928,8 @@ 1 0 0 +5 +3 4 0x5a4c 0xdcbf4014 @@ -85550,6 +106938,8 @@ 0 0 0 +5 +2 10 0xb7eb 0xde6fd040 @@ -85558,6 +106948,8 @@ 0 0 0 +3 +3 1 0xfba0 0x345c33d5 @@ -85566,6 +106958,8 @@ 1 1 0 +1 +0 3 0xd4fd 0x452785da @@ -85574,6 +106968,8 @@ 0 0 0 +4 +2 6 0xa6b4 0xe5e8a051 @@ -85582,6 +106978,8 @@ 0 0 0 +3 +5 7 0xeeae 0xe7bcddeb @@ -85590,6 +106988,8 @@ 0 0 0 +4 +5 1 0x80f9 0xa3de20d1 @@ -85598,6 +106998,8 @@ 0 0 0 +5 +2 4 0x4961 0x17cf969c @@ -85606,6 +107008,8 @@ 1 1 0 +5 +3 9 0x726 0x120d9d30 @@ -85614,6 +107018,8 @@ 0 0 0 +3 +3 1 0xfc8d 0xd94ec32b @@ -85622,6 +107028,8 @@ 0 0 0 +0 +2 1 0xc08b 0x80f0601b @@ -85631,6 +107039,8 @@ 0 0 1 +1 +1 0x2c33 0xb3dadafd 256 @@ -85638,6 +107048,8 @@ 0 0 0 +2 +0 9 0xde25 0xe381b9e4 @@ -85647,6 +107059,8 @@ 1 0 5 +2 +5 0xf0ca 0x9d992637 256 @@ -85654,6 +107068,8 @@ 0 0 0 +3 +5 4 0x74da 0x2cc462bd @@ -85662,6 +107078,8 @@ 0 0 0 +0 +3 7 0x7f74 0xd2cbd18c @@ -85670,6 +107088,8 @@ 0 0 0 +1 +4 9 0x8e62 0x305c65c0 @@ -85679,6 +107099,8 @@ 0 0 4 +1 +4 0x965c 0xb11ef781 256 @@ -85687,6 +107109,8 @@ 0 0 3 +0 +3 0x6601 0xf30d2469 256 @@ -85694,6 +107118,8 @@ 0 0 0 +3 +2 9 0xf47b 0x753b05f6 @@ -85702,6 +107128,8 @@ 0 0 0 +1 +4 5 0xd6d1 0xfe53c8bd @@ -85710,6 +107138,8 @@ 1 1 0 +1 +4 4 0xf21c 0xdbf89896 @@ -85718,6 +107148,8 @@ 1 1 0 +3 +3 6 0x3f8b 0xa02fa0b9 @@ -85726,6 +107158,8 @@ 1 1 0 +2 +5 3 0x1fad 0x5c2bf5de @@ -85734,6 +107168,8 @@ 0 0 0 +1 +2 8 0xa392 0x6fc505c0 @@ -85742,6 +107178,8 @@ 1 0 0 +2 +4 1 0xa87a 0x8cf66e16 @@ -85750,6 +107188,8 @@ 0 0 0 +0 +2 1 0x9741 0x97183dcf @@ -85758,6 +107198,8 @@ 1 1 0 +1 +0 10 0x13b5 0x5c7c1edc @@ -85766,6 +107208,8 @@ 1 0 0 +3 +2 8 0x7b03 0xc00edaaf @@ -85774,6 +107218,8 @@ 0 0 0 +4 +2 6 0xa41f 0xcbae3ffe @@ -85782,6 +107228,8 @@ 0 0 0 +1 +1 2 0xb2ca 0x565f38c @@ -85790,6 +107238,8 @@ 0 0 0 +5 +1 7 0xdb68 0x5c70df2 @@ -85798,6 +107248,8 @@ 1 1 0 +3 +3 2 0x1d16 0xd58c0a0f @@ -85807,6 +107259,8 @@ 0 0 1 +3 +1 0x522e 0x6e474980 256 @@ -85814,6 +107268,8 @@ 1 1 0 +2 +3 6 0xe6c9 0x860f7960 @@ -85822,6 +107278,8 @@ 0 0 0 +1 +5 2 0xfdc4 0x9a618486 @@ -85830,6 +107288,8 @@ 1 0 0 +2 +3 5 0xef8 0xabcb4101 @@ -85838,6 +107298,8 @@ 1 1 0 +5 +5 10 0xb90f 0x80bf91df @@ -85846,6 +107308,8 @@ 1 0 0 +1 +4 9 0xff76 0x772c339d @@ -85854,6 +107318,8 @@ 1 0 0 +4 +4 5 0xb118 0xb17ce96c @@ -85862,6 +107328,8 @@ 0 0 0 +1 +4 4 0xb634 0x9dcfc2b0 @@ -85870,6 +107338,8 @@ 0 0 0 +1 +1 9 0xaf45 0xcc1613f2 @@ -85878,6 +107348,8 @@ 0 0 0 +1 +0 4 0xa0c6 0x2bdd5b9 @@ -85886,6 +107358,8 @@ 0 0 0 +1 +0 9 0x497d 0xff2bcba3 @@ -85894,6 +107368,8 @@ 0 0 0 +5 +5 8 0x42b0 0x90853173 @@ -85903,6 +107379,8 @@ 0 0 2 +0 +2 0x97b2 0x3874afe6 256 @@ -85910,6 +107388,8 @@ 0 0 0 +1 +3 5 0xd279 0xf7eca964 @@ -85918,6 +107398,8 @@ 1 0 0 +2 +5 5 0xf57b 0x95042a39 @@ -85927,6 +107409,8 @@ 1 0 2 +5 +2 0xa88e 0x827e3ece 256 @@ -85934,6 +107418,8 @@ 0 0 0 +4 +3 3 0x5f7c 0xe787fa78 @@ -85942,6 +107428,8 @@ 1 0 0 +0 +1 4 0x60c3 0x3ba152ca @@ -85950,6 +107438,8 @@ 0 0 0 +0 +1 5 0xa711 0xdf8ba2e @@ -85958,6 +107448,8 @@ 0 0 0 +1 +3 9 0x4a24 0x27a0d91e @@ -85966,6 +107458,8 @@ 1 0 0 +1 +5 3 0xbff1 0xe126a8ef @@ -85974,6 +107468,8 @@ 0 0 0 +1 +5 4 0x5dd7 0x57881bc3 @@ -85982,6 +107478,8 @@ 1 1 0 +0 +4 5 0x4b2f 0x1f216d02 @@ -85990,6 +107488,8 @@ 0 0 0 +5 +0 6 0x4cec 0xa43fea45 @@ -85998,6 +107498,8 @@ 1 1 0 +2 +5 10 0x1a34 0xe6a56303 @@ -86006,6 +107508,8 @@ 1 1 0 +3 +0 6 0x623f 0x12442e24 @@ -86015,6 +107519,8 @@ 1 0 4 +4 +4 0xf43f 0xb4568a5 256 @@ -86023,6 +107529,8 @@ 1 0 4 +2 +4 0x95a7 0x8691e16e 256 @@ -86030,6 +107538,8 @@ 0 0 0 +4 +0 3 0x9610 0xefe12063 @@ -86038,6 +107548,8 @@ 1 0 0 +4 +2 5 0x2fc5 0x77d0d62b @@ -86046,6 +107558,8 @@ 1 1 0 +1 +0 6 0x76f8 0x24647ba0 @@ -86054,6 +107568,8 @@ 1 0 0 +4 +5 7 0x1d32 0x3dc5bc75 @@ -86062,6 +107578,8 @@ 0 0 0 +5 +2 3 0x3711 0xe1f23b86 @@ -86070,6 +107588,8 @@ 0 0 0 +3 +5 2 0xabcb 0x48ae65d1 @@ -86078,6 +107598,8 @@ 0 0 0 +5 +4 6 0x1eed 0x54124136 @@ -86086,6 +107608,8 @@ 1 0 0 +2 +3 7 0x8159 0xd33f2597 @@ -86094,6 +107618,8 @@ 1 1 0 +2 +2 10 0x29b7 0x797db575 @@ -86102,6 +107628,8 @@ 1 1 0 +3 +3 5 0x9884 0xb42376a4 @@ -86110,6 +107638,8 @@ 1 0 0 +3 +5 1 0x9041 0x57c0caaa @@ -86118,6 +107648,8 @@ 1 0 0 +0 +2 1 0xa5e6 0x673638c7 @@ -86126,6 +107658,8 @@ 1 1 0 +0 +0 10 0x3beb 0x612ea267 @@ -86134,6 +107668,8 @@ 0 0 0 +4 +3 1 0x472b 0x3f3e6a0b @@ -86142,6 +107678,8 @@ 0 0 0 +2 +2 8 0xa18 0xff78186f @@ -86150,6 +107688,8 @@ 1 0 0 +2 +5 7 0xb909 0x80822750 @@ -86159,6 +107699,8 @@ 0 0 5 +4 +5 0xa816 0x9b19dadf 256 @@ -86166,6 +107708,8 @@ 1 0 0 +4 +3 2 0x5e68 0xba1e506d @@ -86174,6 +107718,8 @@ 1 1 0 +0 +2 5 0xe20f 0x7afdd951 @@ -86182,6 +107728,8 @@ 0 0 0 +4 +5 7 0xe7f5 0xaca65c87 @@ -86190,6 +107738,8 @@ 1 0 0 +5 +5 9 0xfa14 0x123f9333 @@ -86198,6 +107748,8 @@ 0 0 0 +4 +4 2 0x90b3 0xd62cb488 @@ -86206,6 +107758,8 @@ 1 0 0 +1 +4 7 0x8cee 0x8de41614 @@ -86214,6 +107768,8 @@ 1 1 0 +2 +0 4 0x18cc 0x82042a34 @@ -86222,6 +107778,8 @@ 0 0 0 +0 +1 6 0x126a 0xcd1b7f6e @@ -86230,6 +107788,8 @@ 0 0 0 +1 +1 8 0xe74 0x30ee5998 @@ -86238,6 +107798,8 @@ 1 1 0 +4 +2 1 0xbbc3 0x566e44aa @@ -86246,6 +107808,8 @@ 0 0 0 +4 +3 7 0x4e85 0x317b31ba @@ -86254,6 +107818,8 @@ 0 0 0 +0 +1 8 0x199d 0xbb3c6ea4 @@ -86262,6 +107828,8 @@ 1 1 0 +2 +2 8 0x6dee 0x2e9e41e5 @@ -86270,6 +107838,8 @@ 1 1 0 +5 +3 10 0x4289 0xcd408296 @@ -86278,6 +107848,8 @@ 0 0 0 +0 +4 5 0x8221 0x72d6917b @@ -86286,6 +107858,8 @@ 0 0 0 +1 +0 9 0x8d60 0xf618b5a3 @@ -86294,6 +107868,8 @@ 1 1 0 +5 +2 1 0x8f31 0xf5d72bd0 @@ -86302,6 +107878,8 @@ 1 0 0 +0 +2 8 0xd144 0xa4aa84a1 @@ -86310,6 +107888,8 @@ 0 0 0 +4 +1 2 0x2953 0x1d3b8ab6 @@ -86318,6 +107898,8 @@ 0 0 0 +3 +0 2 0x8b82 0xa518cae9 @@ -86327,6 +107909,8 @@ 0 0 3 +2 +3 0x91e7 0xe933bbbe 256 @@ -86334,6 +107918,8 @@ 1 0 0 +5 +4 2 0x40cd 0xe492d33c @@ -86342,6 +107928,8 @@ 1 0 0 +0 +2 1 0x9989 0x1782cba4 @@ -86350,6 +107938,8 @@ 0 0 0 +4 +4 5 0x611 0x2d066f7 @@ -86358,6 +107948,8 @@ 1 0 0 +5 +2 1 0x2ca3 0xfa2ac501 @@ -86366,6 +107958,8 @@ 1 1 0 +2 +4 6 0x83e 0xa751fca4 @@ -86374,6 +107968,8 @@ 0 0 0 +5 +1 3 0x4a92 0xd2c9aaa2 @@ -86382,6 +107978,8 @@ 1 0 0 +4 +0 5 0xbf66 0xd510cc32 @@ -86390,6 +107988,8 @@ 1 0 0 +0 +2 5 0x4192 0x421ccf52 @@ -86398,6 +107998,8 @@ 1 1 0 +0 +5 4 0x51e5 0x146f393d @@ -86406,6 +108008,8 @@ 1 1 0 +3 +3 7 0x6143 0xf1fe47c @@ -86414,6 +108018,8 @@ 1 1 0 +5 +2 2 0x3d26 0xe9e13fbd @@ -86422,6 +108028,8 @@ 1 0 0 +0 +4 5 0xc4a2 0x622c1a4b @@ -86430,6 +108038,8 @@ 1 0 0 +3 +5 6 0x588b 0xb87fb77d @@ -86438,6 +108048,8 @@ 0 0 0 +2 +0 6 0x29d6 0x2f9c82b @@ -86446,6 +108058,8 @@ 1 0 0 +1 +3 9 0x3180 0xe1fec468 @@ -86454,6 +108068,8 @@ 0 0 0 +3 +5 7 0x2950 0xbb18442a @@ -86462,6 +108078,8 @@ 1 1 0 +5 +3 2 0xca0f 0xf2150e28 @@ -86470,6 +108088,8 @@ 0 0 0 +5 +2 8 0xea2 0x37bdd516 @@ -86478,6 +108098,8 @@ 0 0 0 +5 +1 7 0xb8d9 0x31361d4 @@ -86486,6 +108108,8 @@ 0 0 0 +5 +5 2 0xac62 0x63ef4ff6 @@ -86494,6 +108118,8 @@ 1 0 0 +5 +5 3 0x815d 0x236af1a2 @@ -86502,6 +108128,8 @@ 0 0 0 +5 +0 9 0x6fa7 0x1946a146 @@ -86510,6 +108138,8 @@ 0 0 0 +1 +4 5 0xf570 0xd40e326c @@ -86518,6 +108148,8 @@ 1 0 0 +2 +5 4 0x8520 0xe2cd5467 @@ -86526,6 +108158,8 @@ 1 0 0 +4 +3 7 0x4370 0xe5a07b19 @@ -86534,6 +108168,8 @@ 0 0 0 +4 +1 6 0x5b46 0x956cc85f @@ -86542,6 +108178,8 @@ 0 0 0 +5 +2 10 0x3899 0x2b06da92 @@ -86550,6 +108188,8 @@ 1 0 0 +4 +1 6 0xfe51 0x4464b40c @@ -86558,6 +108198,8 @@ 0 0 0 +3 +1 1 0x5c99 0x5601383f @@ -86566,6 +108208,8 @@ 0 0 0 +2 +3 4 0xc9d1 0x5cdfd806 @@ -86574,6 +108218,8 @@ 1 0 0 +5 +1 1 0x35f4 0xb8923dd @@ -86582,6 +108228,8 @@ 0 0 0 +2 +1 1 0x789e 0xcf030329 @@ -86590,6 +108238,8 @@ 1 0 0 +0 +3 4 0xbad7 0x20729790 @@ -86598,6 +108248,8 @@ 1 0 0 +1 +4 2 0xe0fb 0xe257b17f @@ -86606,6 +108258,8 @@ 0 0 0 +0 +5 5 0x313a 0x1609f6ee @@ -86614,6 +108268,8 @@ 1 1 0 +5 +4 2 0x42 0xf1ae818a @@ -86622,6 +108278,8 @@ 1 0 0 +0 +3 1 0x479c 0xc6d3b352 @@ -86630,6 +108288,8 @@ 1 0 0 +0 +3 2 0x8ce4 0x97a1c512 @@ -86638,6 +108298,8 @@ 0 0 0 +1 +5 6 0x1b15 0xe4ec18ad @@ -86646,6 +108308,8 @@ 0 0 0 +3 +2 10 0xbd7e 0x72c61be0 @@ -86654,6 +108318,8 @@ 1 1 0 +1 +2 4 0x8a97 0x64e8334c @@ -86662,6 +108328,8 @@ 0 0 0 +1 +0 2 0x8d15 0x13323ab1 @@ -86670,6 +108338,8 @@ 1 1 0 +0 +1 6 0x307b 0xc430348c @@ -86678,6 +108348,8 @@ 1 1 0 +2 +1 10 0x9f4b 0xe5655cbb @@ -86686,6 +108358,8 @@ 0 0 0 +3 +5 6 0xe9fc 0x771617c2 @@ -86695,6 +108369,8 @@ 0 0 1 +1 +1 0x4c02 0x921c6d77 256 @@ -86702,6 +108378,8 @@ 0 0 0 +3 +3 2 0xf353 0x7fcb14d6 @@ -86710,6 +108388,8 @@ 1 0 0 +2 +1 5 0x3d41 0x37c3e6c1 @@ -86718,6 +108398,8 @@ 1 0 0 +2 +3 7 0x9435 0xc624f586 @@ -86726,6 +108408,8 @@ 0 0 0 +3 +2 1 0x26a3 0x2e9a2515 @@ -86734,6 +108418,8 @@ 1 0 0 +2 +2 4 0xc855 0xb73d8bf2 @@ -86742,6 +108428,8 @@ 0 0 0 +5 +1 3 0xd1c7 0xb1d5147c @@ -86750,6 +108438,8 @@ 0 0 0 +1 +0 7 0xce46 0x89db8a48 @@ -86758,6 +108448,8 @@ 1 0 0 +0 +1 2 0x3e 0x9e65593f @@ -86766,6 +108458,8 @@ 1 0 0 +1 +1 6 0x4721 0x3c01e267 @@ -86774,6 +108468,8 @@ 1 1 0 +0 +5 4 0x9a2f 0x11fba8be @@ -86782,6 +108478,8 @@ 0 0 0 +4 +0 3 0x908d 0x76e6daee @@ -86791,6 +108489,8 @@ 0 0 3 +5 +3 0x8f8d 0x3a6d3b72 256 @@ -86798,6 +108498,8 @@ 0 0 0 +1 +5 3 0xd85d 0xf14bd723 @@ -86806,6 +108508,8 @@ 1 0 0 +1 +1 5 0xb19f 0x62002e7a @@ -86814,6 +108518,8 @@ 0 0 0 +2 +4 6 0xeff6 0x43eac16d @@ -86822,6 +108528,8 @@ 1 1 0 +5 +2 7 0x9143 0x1a2966c1 @@ -86830,6 +108538,8 @@ 0 0 0 +1 +1 4 0x6c85 0xf593f704 @@ -86838,6 +108548,8 @@ 0 0 0 +4 +4 1 0x2d14 0x8f3bad23 @@ -86846,6 +108558,8 @@ 1 0 0 +2 +2 1 0x3d5f 0xe68a716b @@ -86854,6 +108568,8 @@ 1 1 0 +0 +3 9 0x6192 0x63379888 @@ -86862,6 +108578,8 @@ 0 0 0 +1 +2 4 0xbb7 0x26f18c5a @@ -86870,6 +108588,8 @@ 1 1 0 +2 +3 7 0x3146 0x5ccbbca5 @@ -86878,6 +108598,8 @@ 0 0 0 +1 +4 4 0xe299 0x23455534 @@ -86886,6 +108608,8 @@ 0 0 0 +2 +3 3 0x4b29 0x8725b33a @@ -86894,6 +108618,8 @@ 0 0 0 +3 +2 7 0xdaf3 0x96e20608 @@ -86902,6 +108628,8 @@ 0 0 0 +4 +5 7 0xb7e 0x49bf9216 @@ -86910,6 +108638,8 @@ 0 0 0 +1 +5 10 0x2526 0x49f3b248 @@ -86918,6 +108648,8 @@ 0 0 0 +0 +3 1 0xd1b8 0x76c92efc @@ -86927,6 +108659,8 @@ 0 0 3 +0 +3 0x4c4 0x22ff64f5 256 @@ -86934,6 +108668,8 @@ 0 0 0 +3 +0 4 0x11df 0x1692c75c @@ -86942,6 +108678,8 @@ 1 1 0 +3 +2 5 0x4ed4 0xf02c0049 @@ -86950,6 +108688,8 @@ 0 0 0 +3 +0 2 0xdc05 0x69213b82 @@ -86958,6 +108698,8 @@ 0 0 0 +2 +1 4 0xab02 0xa2cdb328 @@ -86966,6 +108708,8 @@ 1 1 0 +3 +1 5 0x338 0x9cc35ce9 @@ -86974,6 +108718,8 @@ 1 1 0 +0 +5 4 0x26d8 0x8d8bdb0 @@ -86982,6 +108728,8 @@ 1 1 0 +0 +1 4 0xa71e 0x62f804fe @@ -86990,6 +108738,8 @@ 0 0 0 +1 +5 2 0xe5cf 0xee451060 @@ -86999,6 +108749,8 @@ 1 0 2 +3 +2 0x61ee 0x3028e9d0 256 @@ -87007,6 +108759,8 @@ 1 0 5 +3 +5 0x3e4c 0x4b9451ef 256 @@ -87014,6 +108768,8 @@ 1 0 0 +3 +3 10 0x43de 0xa3455dcc @@ -87022,6 +108778,8 @@ 0 0 0 +2 +3 3 0x39c8 0x45404bac @@ -87030,6 +108788,8 @@ 0 0 0 +3 +1 1 0x180d 0x5f30c774 @@ -87038,6 +108798,8 @@ 1 1 0 +4 +5 1 0x42f9 0xbe3d58c7 @@ -87046,6 +108808,8 @@ 1 1 0 +5 +5 3 0xc809 0x83543887 @@ -87054,6 +108818,8 @@ 1 1 0 +3 +4 6 0xcf8f 0x72922d3c @@ -87062,6 +108828,8 @@ 0 0 0 +4 +1 9 0x4ddf 0x5eff1dd3 @@ -87070,6 +108838,8 @@ 0 0 0 +1 +3 9 0x115e 0x8a56c6d6 @@ -87078,6 +108848,8 @@ 0 0 0 +3 +3 8 0xe1e0 0xd5f93d44 @@ -87086,6 +108858,8 @@ 1 1 0 +3 +0 10 0x7656 0x2ed449ca @@ -87094,6 +108868,8 @@ 1 0 0 +3 +4 2 0xa942 0x2d36db4e @@ -87102,6 +108878,8 @@ 1 1 0 +5 +1 6 0x386a 0x8dc0d0f7 @@ -87110,6 +108888,8 @@ 1 1 0 +0 +1 2 0x2597 0x31116ea @@ -87119,6 +108899,8 @@ 0 0 3 +2 +3 0x1cca 0x219f79f2 256 @@ -87126,6 +108908,8 @@ 1 1 0 +1 +4 4 0xa149 0x421e8080 @@ -87134,6 +108918,8 @@ 1 0 0 +2 +3 4 0xf5a2 0x630cc9ff @@ -87142,6 +108928,8 @@ 0 0 0 +5 +1 1 0x2c01 0x3714496 @@ -87150,6 +108938,8 @@ 0 0 0 +4 +3 8 0x5ade 0xe3220787 @@ -87158,6 +108948,8 @@ 0 0 0 +2 +0 7 0xbd00 0x89d3c5ea @@ -87167,6 +108959,8 @@ 1 0 4 +1 +4 0x360e 0x4ccd9c67 256 @@ -87175,6 +108969,8 @@ 0 0 1 +1 +1 0x5a21 0x337d7526 256 @@ -87182,6 +108978,8 @@ 0 0 0 +4 +1 3 0xe968 0xb26a62e8 @@ -87190,6 +108988,8 @@ 1 1 0 +4 +3 5 0x7a1a 0x6cf51567 @@ -87198,6 +108998,8 @@ 1 1 0 +1 +4 3 0x17f7 0xfaf12399 @@ -87206,6 +109008,8 @@ 0 0 0 +0 +2 6 0x1cf8 0xd7beb9ac @@ -87214,6 +109018,8 @@ 0 0 0 +2 +4 3 0x3bba 0x2ac68c5e @@ -87222,6 +109028,8 @@ 1 1 0 +4 +4 10 0xe871 0x40ef75e @@ -87230,6 +109038,8 @@ 0 0 0 +5 +1 4 0x9c36 0x67f39379 @@ -87238,6 +109048,8 @@ 1 1 0 +2 +1 9 0x828f 0xfad36e54 @@ -87246,6 +109058,8 @@ 1 0 0 +2 +3 9 0x9c7e 0x53b04898 @@ -87254,6 +109068,8 @@ 1 0 0 +5 +4 9 0x66fc 0x443ce91f @@ -87263,6 +109079,8 @@ 0 0 3 +1 +3 0x597a 0x963fef5c 256 @@ -87270,6 +109088,8 @@ 0 0 0 +5 +0 1 0x52b9 0x3d8d91c @@ -87278,6 +109098,8 @@ 0 0 0 +2 +0 4 0x95be 0x876f9625 @@ -87287,6 +109109,8 @@ 1 0 3 +1 +3 0x686e 0xa847ad21 256 @@ -87294,6 +109118,8 @@ 1 0 0 +0 +3 4 0x7243 0xbf682208 @@ -87302,6 +109128,8 @@ 0 0 0 +0 +0 6 0x1c4f 0x935357d7 @@ -87310,6 +109138,8 @@ 1 0 0 +2 +4 4 0xfffb 0x77de28cc @@ -87318,6 +109148,8 @@ 0 0 0 +1 +3 9 0x7973 0xdb9d9b10 @@ -87326,6 +109158,8 @@ 0 0 0 +2 +1 4 0x9da1 0xf509461 @@ -87334,6 +109168,8 @@ 1 0 0 +2 +2 4 0x9843 0x848233af @@ -87342,6 +109178,8 @@ 1 1 0 +3 +5 5 0xb7ce 0x10545659 @@ -87350,6 +109188,8 @@ 0 0 0 +3 +1 8 0xe5cb 0xebda3005 @@ -87358,6 +109198,8 @@ 1 0 0 +2 +2 10 0x21b3 0x1f0b37ae @@ -87366,6 +109208,8 @@ 0 0 0 +5 +3 9 0xca01 0xd5a05a7b @@ -87374,6 +109218,8 @@ 1 0 0 +4 +1 7 0x7ec2 0xcb89d98 @@ -87382,6 +109228,8 @@ 0 0 0 +3 +2 2 0x25a8 0xab2de3eb @@ -87390,6 +109238,8 @@ 0 0 0 +2 +3 5 0xc9cd 0x662415b3 @@ -87398,6 +109248,8 @@ 1 1 0 +3 +0 9 0x1835 0x98a88d56 @@ -87406,6 +109258,8 @@ 0 0 0 +1 +0 5 0x42db 0x2c5ffd07 @@ -87414,6 +109268,8 @@ 1 0 0 +3 +0 9 0xa6ec 0x707f4dff @@ -87423,6 +109279,8 @@ 0 0 4 +3 +4 0xaecf 0xf3b153d7 256 @@ -87430,6 +109288,8 @@ 0 0 0 +5 +5 2 0x76fd 0x533d70c7 @@ -87438,6 +109298,8 @@ 1 1 0 +3 +2 9 0x981a 0xae02cc51 @@ -87446,6 +109308,8 @@ 0 0 0 +4 +5 1 0x1638 0x275eb702 @@ -87454,6 +109318,8 @@ 0 0 0 +0 +0 5 0x3673 0x84c8b771 @@ -87463,6 +109329,8 @@ 0 0 4 +2 +4 0x7d01 0x54e1871 256 @@ -87470,6 +109338,8 @@ 0 0 0 +5 +1 4 0x1c39 0x707a40ab @@ -87478,6 +109348,8 @@ 0 0 0 +1 +3 4 0xd730 0xac58c5ab @@ -87486,6 +109358,8 @@ 1 0 0 +0 +0 6 0x851e 0xf7f51be2 @@ -87494,6 +109368,8 @@ 1 0 0 +0 +2 1 0xb634 0x2c085c29 @@ -87502,6 +109378,8 @@ 1 1 0 +0 +5 1 0xd097 0x5335b33 @@ -87510,6 +109388,8 @@ 1 0 0 +2 +2 7 0x17c3 0xa5b639d8 @@ -87518,6 +109398,8 @@ 0 0 0 +2 +5 9 0x4fe6 0x74ba7d8e @@ -87526,6 +109408,8 @@ 0 0 0 +5 +5 8 0xe169 0xb3f43e3d @@ -87535,6 +109419,8 @@ 0 0 2 +4 +2 0xa834 0x9214206f 256 @@ -87542,6 +109428,8 @@ 1 0 0 +1 +0 4 0x7e24 0xbe0f0481 @@ -87550,6 +109438,8 @@ 1 1 0 +4 +5 8 0x5bb0 0x2fd29ee4 @@ -87558,6 +109448,8 @@ 1 1 0 +2 +4 9 0x5886 0x167b764c @@ -87567,6 +109459,8 @@ 0 0 2 +2 +2 0xc61e 0x45901606 256 @@ -87574,6 +109468,8 @@ 1 1 0 +4 +5 5 0x79be 0xfb852a94 @@ -87582,6 +109478,8 @@ 0 0 0 +0 +2 2 0x2d22 0xa788f6c @@ -87590,6 +109488,8 @@ 1 1 0 +4 +4 1 0x36a5 0xf7fad503 @@ -87598,6 +109498,8 @@ 1 0 0 +1 +3 9 0xeff 0x3c5d2fdf @@ -87607,6 +109509,8 @@ 0 0 5 +1 +5 0x7600 0x168b941f 256 @@ -87614,6 +109518,8 @@ 0 0 0 +5 +3 6 0xc95 0x5cae861c @@ -87622,6 +109528,8 @@ 0 0 0 +0 +5 2 0xa2f8 0x5732d68 @@ -87630,6 +109538,8 @@ 1 0 0 +5 +2 2 0xbce8 0xd87d28b3 @@ -87639,6 +109549,8 @@ 1 0 2 +3 +2 0x2144 0xdd957b4b 256 @@ -87646,6 +109558,8 @@ 1 0 0 +2 +4 10 0x8b7f 0xcc06799b @@ -87654,6 +109568,8 @@ 0 0 0 +2 +5 9 0x7c34 0x58e9e099 @@ -87662,6 +109578,8 @@ 1 0 0 +1 +5 4 0x2d4c 0xf55ece73 @@ -87670,6 +109588,8 @@ 0 0 0 +5 +0 10 0x9772 0x60d2d7c0 @@ -87678,6 +109598,8 @@ 0 0 0 +0 +2 2 0x740f 0x8263b4a @@ -87686,6 +109608,8 @@ 1 0 0 +5 +1 6 0xb08d 0xd82f4faf @@ -87694,6 +109618,8 @@ 1 1 0 +2 +2 3 0x4cf9 0x23d0c036 @@ -87703,6 +109629,8 @@ 0 0 3 +0 +3 0x7014 0xd84f09a3 256 @@ -87710,6 +109638,8 @@ 1 0 0 +3 +1 5 0x3d4d 0x496dd667 @@ -87718,6 +109648,8 @@ 1 1 0 +4 +5 10 0x354e 0x1a9c2a72 @@ -87726,6 +109658,8 @@ 0 0 0 +4 +4 7 0x9933 0xf6c2883e @@ -87734,6 +109668,8 @@ 0 0 0 +0 +4 6 0x623d 0x9ef69c13 @@ -87742,6 +109678,8 @@ 1 1 0 +2 +1 6 0x54fc 0x2fb1799a @@ -87750,6 +109688,8 @@ 1 1 0 +0 +4 2 0xc95b 0xf654342c @@ -87758,6 +109698,8 @@ 1 1 0 +0 +3 10 0xe2dd 0xfe931cb8 @@ -87766,6 +109708,8 @@ 0 0 0 +3 +0 5 0xaa3a 0x8612d9ee @@ -87774,6 +109718,8 @@ 1 1 0 +2 +1 10 0xd3a1 0x75592905 @@ -87782,6 +109728,8 @@ 0 0 0 +5 +2 6 0x49f6 0x3a7704b9 @@ -87790,6 +109738,8 @@ 0 0 0 +5 +0 7 0x676b 0x845c783b @@ -87798,6 +109748,8 @@ 1 1 0 +2 +5 8 0xeaf0 0xf85bc037 @@ -87806,6 +109758,8 @@ 0 0 0 +5 +3 9 0x6cac 0xf67aa8f1 @@ -87814,6 +109768,8 @@ 0 0 0 +4 +5 5 0xfcd1 0x75d4c5aa @@ -87822,6 +109778,8 @@ 0 0 0 +3 +3 4 0xbe71 0xaa184360 @@ -87830,6 +109788,8 @@ 1 1 0 +3 +5 4 0xaa80 0x89576b0b @@ -87838,6 +109798,8 @@ 0 0 0 +0 +0 2 0xcbbb 0x1b107f24 @@ -87846,6 +109808,8 @@ 0 0 0 +2 +5 4 0x16a9 0xda8f92a6 @@ -87854,6 +109818,8 @@ 1 0 0 +2 +1 5 0x2e49 0x2458c912 @@ -87862,6 +109828,8 @@ 1 0 0 +2 +1 1 0x863e 0x7afa1564 @@ -87870,6 +109838,8 @@ 0 0 0 +1 +2 6 0x270c 0x49b051e8 @@ -87878,6 +109848,8 @@ 0 0 0 +1 +2 10 0x60dc 0x6a4b273e @@ -87886,6 +109858,8 @@ 1 1 0 +0 +4 5 0xe901 0x73f85536 @@ -87894,6 +109868,8 @@ 0 0 0 +4 +4 5 0x36d2 0x815dc7f9 @@ -87902,6 +109878,8 @@ 0 0 0 +1 +1 4 0x4eea 0xdfbfd4e4 @@ -87911,6 +109889,8 @@ 1 0 4 +2 +4 0xdd61 0x869ebddb 256 @@ -87918,6 +109898,8 @@ 0 0 0 +3 +0 5 0x4264 0xfb9e9342 @@ -87926,6 +109908,8 @@ 0 0 0 +5 +2 7 0xd5a8 0x7dfe3fe4 @@ -87934,6 +109918,8 @@ 1 0 0 +4 +0 3 0x4a74 0xef7b0a93 @@ -87942,6 +109928,8 @@ 1 0 0 +0 +2 6 0xed9b 0xde3176be @@ -87950,6 +109938,8 @@ 1 0 0 +4 +1 9 0xe250 0xdd55803 @@ -87958,6 +109948,8 @@ 1 0 0 +0 +0 4 0x2fb2 0xd4b5e8de @@ -87966,6 +109958,8 @@ 1 1 0 +4 +1 6 0x95c0 0x2c6007e4 @@ -87974,6 +109968,8 @@ 0 0 0 +4 +3 6 0x5a79 0xfabde35e @@ -87982,6 +109978,8 @@ 1 1 0 +1 +5 8 0xafb5 0x2c10889b @@ -87990,6 +109988,8 @@ 1 0 0 +4 +0 1 0xf5d 0x50468a5d @@ -87998,6 +109998,8 @@ 0 0 0 +4 +5 2 0x8232 0xf1cb5169 @@ -88006,6 +110008,8 @@ 0 0 0 +1 +2 8 0xabd9 0x1d61595d @@ -88014,6 +110018,8 @@ 0 0 0 +0 +5 1 0x3cc6 0x1b31d4a8 @@ -88022,6 +110028,8 @@ 1 0 0 +3 +4 5 0xfbcf 0x3e6c3950 @@ -88031,6 +110039,8 @@ 0 0 3 +5 +3 0x4632 0xc2d01af5 256 @@ -88038,6 +110048,8 @@ 0 0 0 +2 +2 10 0xefe3 0x6ecf72ee @@ -88046,6 +110058,8 @@ 1 1 0 +4 +4 2 0xcf68 0x97d6d5aa @@ -88054,6 +110068,8 @@ 1 1 0 +4 +3 9 0x75b8 0xe52b4ed8 @@ -88062,6 +110078,8 @@ 0 0 0 +1 +4 8 0x6453 0x5cb2bc14 @@ -88070,6 +110088,8 @@ 0 0 0 +2 +1 6 0x4a97 0x348864da @@ -88078,6 +110098,8 @@ 0 0 0 +2 +2 3 0xe68a 0x78bb0345 @@ -88086,6 +110108,8 @@ 0 0 0 +5 +1 3 0xb7f7 0xc596a58c @@ -88095,6 +110119,8 @@ 1 0 4 +0 +4 0xc1b 0xe9523b14 256 @@ -88102,6 +110128,8 @@ 0 0 0 +0 +2 7 0xf5be 0xda4fbf8a @@ -88110,6 +110138,8 @@ 1 0 0 +0 +5 1 0xbfa1 0x92e98347 @@ -88118,6 +110148,8 @@ 0 0 0 +1 +5 5 0xd803 0x90051f5d @@ -88126,6 +110158,8 @@ 0 0 0 +1 +0 2 0x8ceb 0xfcd69df @@ -88134,6 +110168,8 @@ 1 1 0 +4 +5 5 0x4ef1 0xd87dcb4f @@ -88142,6 +110178,8 @@ 1 0 0 +4 +5 3 0xa6e9 0xd4ae42ad @@ -88150,6 +110188,8 @@ 0 0 0 +4 +3 2 0x1365 0x37cb94c5 @@ -88158,6 +110198,8 @@ 0 0 0 +3 +0 8 0x460b 0x526fd832 @@ -88166,6 +110208,8 @@ 1 0 0 +4 +1 6 0xb1a3 0xcbaa39c1 @@ -88174,6 +110218,8 @@ 1 1 0 +0 +0 8 0xf501 0x53ed2b4e @@ -88182,6 +110228,8 @@ 0 0 0 +3 +1 5 0xbb11 0x163ecd16 @@ -88190,6 +110238,8 @@ 0 0 0 +0 +3 2 0xa5cf 0x732596f1 @@ -88198,6 +110248,8 @@ 0 0 0 +5 +5 7 0x89cd 0xb796a3fe @@ -88207,6 +110259,8 @@ 0 0 4 +3 +4 0xffe6 0x1c35d9b2 256 @@ -88214,6 +110268,8 @@ 1 1 0 +1 +1 7 0x8f20 0xe2dfb622 @@ -88223,6 +110279,8 @@ 0 0 2 +4 +2 0xe5b8 0x895a311 256 @@ -88230,6 +110288,8 @@ 0 0 0 +1 +3 10 0xbfb7 0x29d9616d @@ -88238,6 +110298,8 @@ 1 1 0 +3 +0 7 0xa08c 0x7613787e @@ -88246,6 +110308,8 @@ 1 0 0 +0 +3 9 0x59b1 0x2281cf14 @@ -88254,6 +110318,8 @@ 1 0 0 +1 +5 8 0x1940 0xc8304415 @@ -88262,6 +110328,8 @@ 1 1 0 +0 +5 4 0x4ec5 0xf30aa950 @@ -88270,6 +110338,8 @@ 0 0 0 +4 +3 5 0x19b5 0xd76b2448 @@ -88279,6 +110349,8 @@ 1 0 5 +0 +5 0xf61c 0xa759a1af 256 @@ -88287,6 +110359,8 @@ 0 0 4 +0 +4 0x9059 0x6cbb0e65 256 @@ -88294,6 +110368,8 @@ 1 1 0 +4 +0 7 0x2ba9 0x52c25849 @@ -88302,6 +110378,8 @@ 1 0 0 +1 +1 2 0xf0a9 0x78ca7645 @@ -88310,6 +110388,8 @@ 0 0 0 +5 +3 7 0x7b3b 0xa9c4ffd @@ -88318,6 +110398,8 @@ 0 0 0 +3 +4 1 0x5290 0xdfa7eba5 @@ -88326,6 +110408,8 @@ 1 1 0 +3 +3 6 0xa9df 0x4ea090cc @@ -88334,6 +110418,8 @@ 1 0 0 +1 +5 5 0x738b 0x595362c0 @@ -88342,6 +110428,8 @@ 0 0 0 +0 +4 2 0xdaa8 0x1915ed43 @@ -88350,6 +110438,8 @@ 0 0 0 +4 +5 8 0x54d2 0x99dfdd39 @@ -88358,6 +110448,8 @@ 1 1 0 +0 +1 2 0xdcfc 0x1f01dea5 @@ -88366,6 +110458,8 @@ 1 1 0 +4 +5 1 0xc714 0xae406976 @@ -88374,6 +110468,8 @@ 0 0 0 +1 +3 7 0x1bb1 0xb3374d97 @@ -88382,6 +110478,8 @@ 0 0 0 +3 +3 9 0x261e 0x99566426 @@ -88390,6 +110488,8 @@ 0 0 0 +5 +4 6 0xca87 0xbd1b01bd @@ -88398,6 +110498,8 @@ 0 0 0 +2 +4 4 0x14ae 0xfc1d2319 @@ -88406,6 +110508,8 @@ 1 0 0 +2 +1 9 0x5d7e 0x30c1573a @@ -88414,6 +110518,8 @@ 0 0 0 +4 +2 5 0x3e68 0x229401fb @@ -88422,6 +110528,8 @@ 0 0 0 +0 +4 4 0xbd6d 0xbcec4a25 @@ -88430,6 +110538,8 @@ 1 1 0 +1 +5 6 0x459 0xd6b017ad @@ -88438,6 +110548,8 @@ 1 1 0 +3 +0 2 0xe3e7 0x352d06b3 @@ -88446,6 +110558,8 @@ 0 0 0 +3 +4 4 0x483e 0xff1e80fa @@ -88454,6 +110568,8 @@ 1 1 0 +4 +1 9 0xc536 0x220f958c @@ -88462,6 +110578,8 @@ 0 0 0 +2 +1 1 0x1098 0x10fd1211 @@ -88470,6 +110588,8 @@ 1 0 0 +4 +1 2 0x7a11 0x242d5062 @@ -88478,6 +110598,8 @@ 0 0 0 +5 +3 9 0x8bcc 0xaa5f6316 @@ -88486,6 +110608,8 @@ 1 1 0 +4 +5 9 0x819 0x5915045a @@ -88494,6 +110618,8 @@ 1 0 0 +3 +2 10 0x5138 0x9677b9ea @@ -88503,6 +110629,8 @@ 0 0 4 +5 +4 0x1fea 0xf813af31 256 @@ -88510,6 +110638,8 @@ 1 1 0 +0 +3 5 0xad55 0x1406a34 @@ -88518,6 +110648,8 @@ 1 0 0 +0 +2 10 0xb130 0x8d7ac64a @@ -88526,6 +110658,8 @@ 0 0 0 +4 +1 1 0xc5c9 0xa8278bc0 @@ -88535,6 +110669,8 @@ 1 0 3 +0 +3 0x955 0xa837bddb 256 @@ -88542,6 +110678,8 @@ 0 0 0 +0 +3 2 0x14d0 0x68f2000f @@ -88550,6 +110688,8 @@ 0 0 0 +0 +0 8 0x7ff7 0x13dc4eb @@ -88558,6 +110698,8 @@ 0 0 0 +5 +2 10 0x75e3 0x4f10ce42 @@ -88566,6 +110708,8 @@ 1 1 0 +4 +5 3 0xe1c7 0x416e147d @@ -88575,6 +110719,8 @@ 1 0 1 +1 +1 0xa46 0x60adc9d4 256 @@ -88582,6 +110728,8 @@ 1 0 0 +4 +3 9 0xa238 0x20a1762a @@ -88590,6 +110738,8 @@ 0 0 0 +5 +3 2 0x45d2 0x113aa8a2 @@ -88598,6 +110748,8 @@ 1 1 0 +5 +5 10 0x9b8 0x204269f @@ -88606,6 +110758,8 @@ 1 1 0 +3 +1 7 0x1e61 0x5e3c813f @@ -88614,6 +110768,8 @@ 0 0 0 +4 +3 5 0x4b40 0xc5fd8e06 @@ -88622,6 +110778,8 @@ 1 1 0 +1 +3 6 0x6ed 0xefd46d20 @@ -88630,6 +110788,8 @@ 0 0 0 +4 +4 8 0x60fb 0xa50ad47d @@ -88638,6 +110798,8 @@ 0 0 0 +5 +4 2 0x6e58 0xc87c4c7d @@ -88647,6 +110809,8 @@ 0 0 1 +0 +1 0x20a 0xddbc6898 256 @@ -88654,6 +110818,8 @@ 0 0 0 +1 +0 9 0xc510 0x327a1af1 @@ -88662,6 +110828,8 @@ 1 0 0 +1 +4 2 0x6edb 0x6c2a3021 @@ -88670,6 +110838,8 @@ 0 0 0 +4 +2 10 0x689f 0xce086ed0 @@ -88678,6 +110848,8 @@ 1 1 0 +3 +2 8 0x72f9 0x4d9c029f @@ -88686,6 +110858,8 @@ 1 0 0 +2 +5 1 0x9696 0x49f61d67 @@ -88694,6 +110868,8 @@ 0 0 0 +1 +1 9 0xc5f4 0xa598fb54 @@ -88702,6 +110878,8 @@ 1 0 0 +5 +4 4 0xdeb8 0xd107d3a5 @@ -88710,6 +110888,8 @@ 1 1 0 +2 +2 9 0xeb2c 0xbd0645b4 @@ -88718,6 +110898,8 @@ 0 0 0 +1 +2 7 0xbf3a 0x81adb1c7 @@ -88726,6 +110908,8 @@ 1 1 0 +4 +0 1 0x3d68 0x53922fbe @@ -88734,6 +110918,8 @@ 0 0 0 +4 +2 10 0x8415 0x2d6ca6d6 @@ -88742,6 +110928,8 @@ 1 1 0 +4 +0 7 0xbc3a 0x5cd9e890 @@ -88750,6 +110938,8 @@ 1 1 0 +0 +0 6 0x81ac 0xa7bd9501 @@ -88758,6 +110948,8 @@ 0 0 0 +5 +2 2 0x5c0b 0x97d1f963 @@ -88766,6 +110958,8 @@ 0 0 0 +2 +0 8 0xdbbf 0x1818bb0f @@ -88774,6 +110968,8 @@ 1 0 0 +0 +4 4 0xcfa9 0x82eedff8 @@ -88782,6 +110978,8 @@ 1 1 0 +4 +3 7 0x1d3 0x421e6b60 @@ -88791,6 +110989,8 @@ 0 0 1 +2 +1 0xbe76 0xba844c52 256 @@ -88798,6 +110998,8 @@ 1 0 0 +0 +1 10 0x6d7e 0xf3bda2d7 @@ -88806,6 +111008,8 @@ 0 0 0 +0 +5 9 0x4868 0xa8b53e89 @@ -88814,6 +111018,8 @@ 1 0 0 +1 +0 6 0xb1a2 0xaaeff4c1 @@ -88822,6 +111028,8 @@ 0 0 0 +2 +3 1 0xc483 0xea15b5a1 @@ -88830,6 +111038,8 @@ 1 0 0 +5 +4 2 0x2346 0xe0a7b667 @@ -88838,6 +111048,8 @@ 1 1 0 +3 +2 6 0x4b53 0x8c73c62 @@ -88846,6 +111058,8 @@ 1 0 0 +0 +0 7 0x8402 0x85ffb3cb @@ -88854,6 +111068,8 @@ 0 0 0 +3 +1 5 0xd1f4 0x466cf10d @@ -88862,6 +111078,8 @@ 0 0 0 +4 +0 2 0x7633 0x42c2efcd @@ -88870,6 +111088,8 @@ 0 0 0 +3 +3 6 0x2f2 0xc64437fd @@ -88878,6 +111098,8 @@ 1 1 0 +5 +0 4 0xa340 0x32501cf6 @@ -88886,6 +111108,8 @@ 1 0 0 +2 +2 6 0x8ca2 0x4c594d14 @@ -88894,6 +111118,8 @@ 1 1 0 +5 +1 8 0x8a03 0xa7ab203e @@ -88902,6 +111128,8 @@ 0 0 0 +2 +3 5 0x8084 0x42159ab6 @@ -88910,6 +111138,8 @@ 0 0 0 +3 +2 5 0x4393 0xc351b9b6 @@ -88918,6 +111148,8 @@ 1 1 0 +4 +1 2 0xe857 0x45814428 @@ -88926,6 +111158,8 @@ 1 0 0 +1 +2 5 0x4875 0xdec85459 @@ -88934,6 +111168,8 @@ 1 1 0 +0 +2 7 0x4a88 0xc838591c @@ -88942,6 +111178,8 @@ 1 0 0 +3 +4 10 0x1349 0x51ad5c95 @@ -88950,6 +111188,8 @@ 1 0 0 +1 +0 2 0x52e4 0x767e682f @@ -88958,6 +111198,8 @@ 1 1 0 +4 +5 3 0xd02c 0x6aee319 @@ -88966,6 +111208,8 @@ 0 0 0 +5 +1 9 0xfad7 0x1ca198f0 @@ -88974,6 +111218,8 @@ 1 1 0 +5 +1 9 0x2cb7 0x5b3a03f2 @@ -88982,6 +111228,8 @@ 1 1 0 +5 +0 1 0x6b4f 0x436c91f @@ -88990,6 +111238,8 @@ 1 1 0 +1 +3 10 0xf68c 0x2af08e1a @@ -88998,6 +111248,8 @@ 1 1 0 +4 +2 2 0x3702 0xfabcebce @@ -89006,6 +111258,8 @@ 1 1 0 +2 +3 10 0x1540 0x4b1d1422 @@ -89014,6 +111268,8 @@ 1 1 0 +1 +0 6 0xd8cd 0x6586f636 @@ -89022,6 +111278,8 @@ 0 0 0 +5 +2 7 0xc22e 0x210410ef @@ -89030,6 +111288,8 @@ 0 0 0 +2 +3 10 0x8707 0xe80579fa @@ -89038,6 +111298,8 @@ 0 0 0 +2 +0 6 0xbec3 0x410dc68e @@ -89046,6 +111308,8 @@ 0 0 0 +1 +1 7 0x31fd 0xed571523 @@ -89054,6 +111318,8 @@ 1 0 0 +3 +0 4 0x60b6 0xfacda35 @@ -89062,6 +111328,8 @@ 1 1 0 +1 +5 3 0x262b 0xea6a4611 @@ -89070,6 +111338,8 @@ 1 0 0 +3 +2 1 0xc310 0x2310facb @@ -89078,6 +111348,8 @@ 1 0 0 +4 +1 10 0x6c03 0x44b8f977 @@ -89086,6 +111358,8 @@ 0 0 0 +4 +4 10 0x93bc 0x7c479bf5 @@ -89094,6 +111368,8 @@ 0 0 0 +0 +0 9 0x8773 0x785899a @@ -89103,6 +111379,8 @@ 0 0 4 +3 +4 0x5f92 0x4f783a29 256 @@ -89110,6 +111388,8 @@ 0 0 0 +5 +3 8 0xbdeb 0x74785af2 @@ -89118,6 +111398,8 @@ 0 0 0 +3 +1 6 0xbca9 0xcb4541d1 @@ -89126,6 +111408,8 @@ 0 0 0 +2 +5 4 0x7d04 0x3c7581f1 @@ -89134,6 +111418,8 @@ 1 0 0 +0 +1 2 0x282f 0x89c566fc @@ -89142,6 +111428,8 @@ 1 1 0 +0 +0 8 0x48cd 0x93f60cf8 @@ -89150,6 +111438,8 @@ 0 0 0 +4 +0 9 0x9930 0xd394e0e6 @@ -89158,6 +111448,8 @@ 0 0 0 +4 +2 8 0xde70 0x9ff5697e @@ -89166,6 +111458,8 @@ 0 0 0 +3 +4 6 0x1fb9 0x2da728ff @@ -89174,6 +111468,8 @@ 1 0 0 +0 +0 6 0x145 0x736a953c @@ -89183,6 +111479,8 @@ 0 0 1 +0 +1 0x25da 0x1e76a2e9 256 @@ -89190,6 +111488,8 @@ 1 0 0 +4 +3 7 0xa7fe 0x8c457f9b @@ -89198,6 +111498,8 @@ 1 0 0 +2 +1 6 0x41a7 0x21e06edf @@ -89206,6 +111508,8 @@ 0 0 0 +5 +3 1 0x184a 0xea509161 @@ -89215,6 +111519,8 @@ 0 0 3 +0 +3 0xb27a 0x93e927f0 256 @@ -89222,6 +111528,8 @@ 1 1 0 +5 +5 6 0xf648 0x972be450 @@ -89230,6 +111538,8 @@ 0 0 0 +5 +1 2 0xb3b4 0x59a82650 @@ -89239,6 +111549,8 @@ 1 0 5 +1 +5 0x8c41 0xe22f17e4 256 @@ -89246,6 +111558,8 @@ 0 0 0 +0 +5 3 0xce60 0x76c946fa @@ -89254,6 +111568,8 @@ 0 0 0 +3 +5 8 0x3546 0xcc503127 @@ -89262,6 +111578,8 @@ 1 1 0 +4 +2 10 0x3aae 0x2fdc7f56 @@ -89270,6 +111588,8 @@ 0 0 0 +1 +3 5 0xfbf 0xb2570b37 @@ -89278,6 +111598,8 @@ 1 0 0 +5 +1 4 0xb138 0xa933d078 @@ -89286,6 +111608,8 @@ 1 0 0 +4 +5 7 0xb1b4 0x352b8f3 @@ -89294,6 +111618,8 @@ 1 0 0 +5 +4 6 0x3f03 0x24936d8f @@ -89302,6 +111628,8 @@ 0 0 0 +4 +3 1 0x312a 0x41709546 @@ -89310,6 +111638,8 @@ 1 1 0 +5 +1 3 0x5efa 0xf37e276e @@ -89318,6 +111648,8 @@ 1 0 0 +3 +5 9 0xf55f 0x6229ee8a @@ -89326,6 +111658,8 @@ 0 0 0 +3 +4 2 0x65a8 0xdc69db59 @@ -89334,6 +111668,8 @@ 0 0 0 +0 +5 7 0xd6a7 0x83a89ac6 @@ -89342,6 +111678,8 @@ 0 0 0 +5 +4 6 0x589d 0x8bf9aa70 @@ -89350,6 +111688,8 @@ 0 0 0 +0 +1 3 0xbafe 0x328ebf13 @@ -89358,6 +111698,8 @@ 0 0 0 +5 +4 7 0x5634 0xa8ad8060 @@ -89366,6 +111708,8 @@ 0 0 0 +0 +0 8 0x5b46 0x480eac70 @@ -89374,6 +111718,8 @@ 1 1 0 +3 +2 8 0xba09 0x39120a5e @@ -89382,6 +111728,8 @@ 1 0 0 +0 +3 5 0xdf 0x475be3bb @@ -89391,6 +111739,8 @@ 0 0 3 +0 +3 0x11e2 0xf2419a3 256 @@ -89398,6 +111748,8 @@ 0 0 0 +1 +0 3 0x91df 0x39cf2f0c @@ -89406,6 +111758,8 @@ 0 0 0 +2 +1 8 0xab05 0x24c16123 @@ -89414,6 +111768,8 @@ 1 0 0 +1 +3 10 0x7f85 0xb77bba8b @@ -89422,6 +111778,8 @@ 1 0 0 +4 +1 9 0x1b2e 0xe382a801 @@ -89430,6 +111788,8 @@ 1 0 0 +5 +1 3 0x7374 0x6b1835d1 @@ -89438,6 +111798,8 @@ 1 0 0 +1 +3 8 0x45b 0xe35013f9 @@ -89446,6 +111808,8 @@ 0 0 0 +2 +0 3 0x4956 0x8902e7ff @@ -89454,6 +111818,8 @@ 1 1 0 +2 +0 9 0xc395 0x8ca416bb @@ -89462,6 +111828,8 @@ 0 0 0 +2 +1 10 0x1b1f 0x33eefbe2 @@ -89470,6 +111838,8 @@ 0 0 0 +3 +2 6 0xe07b 0x75cd9f46 @@ -89478,6 +111848,8 @@ 1 0 0 +5 +1 9 0x5d56 0x88e7423a @@ -89486,6 +111858,8 @@ 1 1 0 +1 +0 3 0x6eae 0x3c552e70 @@ -89494,6 +111868,8 @@ 0 0 0 +1 +3 10 0xca5b 0xdb991273 @@ -89502,6 +111878,8 @@ 1 1 0 +3 +3 1 0x952c 0xfba67b9d @@ -89510,6 +111888,8 @@ 0 0 0 +2 +5 8 0x4b1a 0x48f6ae88 @@ -89518,6 +111898,8 @@ 0 0 0 +5 +0 7 0xffe4 0xe0893e6f @@ -89526,6 +111908,8 @@ 1 1 0 +2 +4 1 0x6983 0x1fc1092f @@ -89534,6 +111918,8 @@ 0 0 0 +4 +5 1 0x8265 0x4933f967 @@ -89543,6 +111929,8 @@ 0 0 1 +4 +1 0xa565 0xb1ac531a 256 @@ -89550,6 +111938,8 @@ 0 0 0 +2 +3 3 0x4489 0x3cf5979e @@ -89558,6 +111948,8 @@ 1 0 0 +3 +1 8 0x50ed 0x894d7daa @@ -89566,6 +111958,8 @@ 1 1 0 +1 +1 2 0x6842 0x9892512e @@ -89574,6 +111968,8 @@ 0 0 0 +1 +2 7 0xcc9d 0x7787545d @@ -89582,6 +111978,8 @@ 0 0 0 +1 +5 7 0x5a16 0xd86b82f3 @@ -89590,6 +111988,8 @@ 1 0 0 +3 +3 5 0x1b5f 0xd5ca4452 @@ -89598,6 +111998,8 @@ 0 0 0 +1 +3 2 0xf928 0xd9093f7 @@ -89606,6 +112008,8 @@ 0 0 0 +3 +2 9 0x4648 0xf81f6748 @@ -89614,6 +112018,8 @@ 0 0 0 +2 +2 7 0x4490 0x844e05de @@ -89622,6 +112028,8 @@ 0 0 0 +2 +3 8 0xe498 0x46590d58 @@ -89630,6 +112038,8 @@ 0 0 0 +3 +2 9 0xfea6 0xbc94afa4 @@ -89638,6 +112048,8 @@ 0 0 0 +5 +4 10 0x71c3 0xbcfc7930 @@ -89646,6 +112058,8 @@ 0 0 0 +5 +3 4 0x93a0 0x6dccaff2 @@ -89654,6 +112068,8 @@ 1 1 0 +1 +2 10 0x5fb6 0x1ae8df9b @@ -89662,6 +112078,8 @@ 0 0 0 +2 +3 4 0x83ba 0xab1b0797 @@ -89670,6 +112088,8 @@ 1 0 0 +3 +5 2 0xca8 0x83c06909 @@ -89678,6 +112098,8 @@ 0 0 0 +5 +3 8 0x4d4b 0xc0e62387 @@ -89686,6 +112108,8 @@ 0 0 0 +2 +4 6 0x3c2b 0xcf429689 @@ -89694,6 +112118,8 @@ 1 0 0 +4 +2 8 0x4672 0x451b9c32 @@ -89702,6 +112128,8 @@ 0 0 0 +1 +5 5 0x6f0a 0x903dc48 @@ -89710,6 +112138,8 @@ 0 0 0 +4 +3 7 0x110 0xa185e522 @@ -89718,6 +112148,8 @@ 0 0 0 +4 +4 8 0x37bf 0xbb5ca090 @@ -89726,6 +112158,8 @@ 0 0 0 +2 +1 6 0x85b1 0x3fb7241f @@ -89734,6 +112168,8 @@ 0 0 0 +1 +5 6 0x38db 0xdacad8e4 @@ -89743,6 +112179,8 @@ 1 0 3 +4 +3 0x3aaf 0x2f80dd9b 256 @@ -89750,6 +112188,8 @@ 1 0 0 +5 +4 8 0x2331 0xaa861bb3 @@ -89758,6 +112198,8 @@ 1 0 0 +4 +0 8 0x971c 0x57ea590d @@ -89766,6 +112208,8 @@ 0 0 0 +1 +1 3 0xfdee 0x5a4c9d21 @@ -89774,6 +112218,8 @@ 0 0 0 +1 +1 4 0xa2d2 0x57e7595a @@ -89782,6 +112228,8 @@ 1 0 0 +3 +4 6 0x340c 0x66f4ebc8 @@ -89790,6 +112238,8 @@ 1 0 0 +2 +3 8 0x32b2 0x13467c6a @@ -89798,6 +112248,8 @@ 0 0 0 +5 +1 7 0xbee 0x7552a777 @@ -89806,6 +112258,8 @@ 0 0 0 +5 +4 10 0x5b49 0x79e8f410 @@ -89814,6 +112268,8 @@ 1 1 0 +0 +4 5 0x9363 0x7a77abb5 @@ -89822,6 +112278,8 @@ 1 0 0 +1 +4 7 0x982c 0xd2c3ae7c @@ -89830,6 +112288,8 @@ 1 0 0 +5 +1 9 0x8a92 0xc66e1919 @@ -89838,6 +112298,8 @@ 1 0 0 +3 +4 8 0xb0ea 0x8148647b @@ -89846,6 +112308,8 @@ 1 1 0 +1 +4 3 0x1887 0x1c5d7375 @@ -89854,6 +112318,8 @@ 0 0 0 +3 +2 4 0x6c54 0x8f1db165 @@ -89862,6 +112328,8 @@ 0 0 0 +4 +3 8 0x2d6d 0xccb825d2 @@ -89871,6 +112339,8 @@ 0 0 3 +4 +3 0x8845 0x4b656c89 256 @@ -89878,6 +112348,8 @@ 1 1 0 +5 +5 6 0x6b3a 0x626c6627 @@ -89886,6 +112358,8 @@ 1 1 0 +1 +1 5 0xa9b2 0x2f6276d8 @@ -89894,6 +112368,8 @@ 0 0 0 +0 +1 10 0x16bd 0x2bcf4af7 @@ -89902,6 +112378,8 @@ 0 0 0 +4 +3 8 0x14e 0x81c383d6 @@ -89910,6 +112388,8 @@ 1 1 0 +3 +0 2 0x593d 0xcfeacac9 @@ -89918,6 +112398,8 @@ 1 0 0 +4 +5 9 0x1af6 0x29b76e46 @@ -89926,6 +112408,8 @@ 0 0 0 +2 +4 3 0x8545 0x59a12a8 @@ -89935,6 +112419,8 @@ 0 0 1 +5 +1 0x4cc7 0x336f21bb 256 @@ -89942,6 +112428,8 @@ 0 0 0 +1 +5 10 0xdd9a 0xd97d4026 @@ -89950,6 +112438,8 @@ 1 0 0 +3 +2 7 0x3bc8 0x6bca0dd0 @@ -89958,6 +112448,8 @@ 0 0 0 +3 +3 8 0xbf0d 0x6fcd94df @@ -89966,6 +112458,8 @@ 1 0 0 +2 +3 4 0x2091 0x780c818e @@ -89974,6 +112468,8 @@ 1 1 0 +5 +1 2 0x2f8c 0x9600523c @@ -89982,6 +112478,8 @@ 0 0 0 +1 +5 4 0xd4f9 0x1f7372a5 @@ -89990,6 +112488,8 @@ 0 0 0 +0 +5 2 0x3d5a 0x7a543d91 @@ -89998,6 +112498,8 @@ 0 0 0 +1 +4 6 0xa029 0xe8086901 @@ -90006,6 +112508,8 @@ 1 0 0 +4 +3 3 0x40f6 0x51116743 @@ -90014,6 +112518,8 @@ 0 0 0 +5 +3 10 0x8b8e 0x1594a8a3 @@ -90022,6 +112528,8 @@ 1 0 0 +2 +3 8 0x8af 0xc46677d @@ -90031,6 +112539,8 @@ 0 0 5 +2 +5 0xa02d 0x90395589 256 @@ -90038,6 +112548,8 @@ 0 0 0 +2 +4 10 0x2ecc 0xc0641960 @@ -90046,6 +112558,8 @@ 0 0 0 +3 +4 5 0x575e 0x36d0faa7 @@ -90054,6 +112568,8 @@ 1 0 0 +4 +2 10 0xfe20 0xd8d20fa8 @@ -90062,6 +112578,8 @@ 1 1 0 +3 +0 10 0x54b 0x1c3f14f0 @@ -90070,6 +112588,8 @@ 0 0 0 +0 +2 5 0xa879 0x1357e82f @@ -90078,6 +112598,8 @@ 1 1 0 +3 +3 4 0xd5a9 0x977c30f6 @@ -90086,6 +112608,8 @@ 1 1 0 +3 +0 8 0x2d55 0xb275b9d1 @@ -90094,6 +112618,8 @@ 1 1 0 +5 +0 9 0x5fe5 0xbb75c68f @@ -90102,6 +112628,8 @@ 0 0 0 +3 +0 9 0xafd7 0x1a3e5781 @@ -90110,6 +112638,8 @@ 0 0 0 +1 +4 6 0x68fe 0xbbe52f81 @@ -90118,6 +112648,8 @@ 1 0 0 +1 +3 3 0x8256 0x3b011e35 @@ -90126,6 +112658,8 @@ 1 1 0 +1 +2 6 0xedd8 0xec9640df @@ -90134,6 +112668,8 @@ 0 0 0 +2 +2 5 0x5057 0x1bf85ea3 @@ -90142,6 +112678,8 @@ 1 1 0 +0 +4 2 0x8c60 0x7b0b812a @@ -90150,6 +112688,8 @@ 1 1 0 +1 +3 10 0x27fe 0x94fd964d @@ -90158,6 +112698,8 @@ 0 0 0 +2 +1 7 0x1540 0xaea81183 @@ -90166,6 +112708,8 @@ 0 0 0 +2 +1 9 0xc362 0xefdb98ef @@ -90174,6 +112718,8 @@ 0 0 0 +4 +5 3 0x73f 0x2a1cc763 @@ -90182,6 +112728,8 @@ 0 0 0 +4 +2 10 0xf324 0x41876ec6 @@ -90190,6 +112738,8 @@ 0 0 0 +5 +0 8 0xf341 0xf0ec34b2 @@ -90198,6 +112748,8 @@ 0 0 0 +5 +0 7 0x7ddf 0xc8ac24ce @@ -90206,6 +112758,8 @@ 0 0 0 +1 +2 5 0xe2c 0x3d60667b @@ -90214,6 +112768,8 @@ 0 0 0 +0 +3 5 0xd659 0x773806f6 @@ -90222,6 +112778,8 @@ 1 1 0 +2 +2 1 0x4a8f 0xe1d2d588 @@ -90230,6 +112788,8 @@ 0 0 0 +1 +2 4 0xb7b 0xed1c01a3 @@ -90238,6 +112798,8 @@ 1 1 0 +3 +5 1 0xb91d 0xd5594cd3 @@ -90246,6 +112808,8 @@ 0 0 0 +2 +4 3 0x900b 0xbddfa796 @@ -90254,6 +112818,8 @@ 1 0 0 +3 +4 7 0xdb53 0xbe6a92e @@ -90262,6 +112828,8 @@ 1 0 0 +2 +5 6 0xe08d 0x9af47e83 @@ -90270,6 +112838,8 @@ 0 0 0 +0 +3 4 0x148a 0xf6e989e7 @@ -90278,6 +112848,8 @@ 1 1 0 +3 +0 5 0x7d50 0xd4d5f175 @@ -90286,6 +112858,8 @@ 1 0 0 +3 +4 2 0x52f0 0xdc3f7838 @@ -90294,6 +112868,8 @@ 1 1 0 +1 +5 9 0x1beb 0x13e429b0 @@ -90302,6 +112878,8 @@ 1 1 0 +2 +4 6 0x3d0 0x929eac3b @@ -90311,6 +112889,8 @@ 1 0 2 +0 +2 0x7038 0x965867f3 256 @@ -90318,6 +112898,8 @@ 1 0 0 +3 +3 8 0x6075 0xb98147ee @@ -90326,6 +112908,8 @@ 0 0 0 +0 +2 7 0x1f5e 0x537d8b4 @@ -90334,6 +112918,8 @@ 1 0 0 +2 +1 10 0x978e 0x28fa06bd @@ -90342,6 +112928,8 @@ 1 0 0 +2 +5 1 0x5060 0x3e0bc61c @@ -90351,6 +112939,8 @@ 1 0 3 +3 +3 0x8a6f 0xe39c695a 256 @@ -90358,6 +112948,8 @@ 0 0 0 +0 +2 5 0x9352 0x13681d84 @@ -90366,6 +112958,8 @@ 0 0 0 +4 +0 10 0xf30f 0x38e6bde4 @@ -90374,6 +112968,8 @@ 1 1 0 +5 +0 6 0xdb4b 0xa990d7b1 @@ -90382,6 +112978,8 @@ 1 1 0 +4 +2 10 0x2c00 0xc7c51d7c @@ -90391,6 +112989,8 @@ 0 0 5 +3 +5 0x10ee 0x9dc239a3 256 @@ -90398,6 +112998,8 @@ 0 0 0 +2 +0 6 0xe873 0x81ac6d03 @@ -90406,6 +113008,8 @@ 1 1 0 +5 +0 6 0x30df 0x7b1a30e0 @@ -90414,6 +113018,8 @@ 0 0 0 +1 +2 4 0xbdb7 0xd30cbb47 @@ -90422,6 +113028,8 @@ 0 0 0 +2 +3 9 0xd89e 0xd55cf28c @@ -90430,6 +113038,8 @@ 0 0 0 +4 +5 7 0x96fd 0xeafa45be @@ -90438,6 +113048,8 @@ 0 0 0 +1 +2 10 0x131b 0x7693181f @@ -90446,6 +113058,8 @@ 0 0 0 +4 +2 5 0xbc67 0x28d364af @@ -90454,6 +113068,8 @@ 1 0 0 +1 +4 10 0xb87a 0x5913c2d6 @@ -90462,6 +113078,8 @@ 0 0 0 +4 +3 6 0x4ed2 0x125359ea @@ -90471,6 +113089,8 @@ 0 0 5 +4 +5 0x7b1f 0x461d281b 256 @@ -90478,6 +113098,8 @@ 1 0 0 +3 +2 1 0x6b0b 0xd05ed9ab @@ -90487,6 +113109,8 @@ 1 0 4 +0 +4 0xbc42 0xc13000e4 256 @@ -90494,6 +113118,8 @@ 0 0 0 +5 +2 6 0xdab6 0x13f92c76 @@ -90502,6 +113128,8 @@ 0 0 0 +3 +1 8 0xa3b8 0xe8fb8a1c @@ -90510,6 +113138,8 @@ 0 0 0 +3 +5 10 0xab25 0x1baa416b @@ -90518,6 +113148,8 @@ 1 0 0 +3 +0 4 0xf1e5 0x4fcf52b2 @@ -90526,6 +113158,8 @@ 1 1 0 +2 +5 8 0xd16d 0x8e9f263b @@ -90534,6 +113168,8 @@ 1 0 0 +1 +0 5 0xb45f 0x6040805b @@ -90542,6 +113178,8 @@ 0 0 0 +3 +4 8 0xff0b 0x79af74b @@ -90551,6 +113189,8 @@ 1 0 2 +3 +2 0xd2ce 0xa0944566 256 @@ -90558,6 +113198,8 @@ 1 1 0 +4 +4 7 0x1e1c 0x1561d0d0 @@ -90567,6 +113209,8 @@ 0 0 1 +4 +1 0x2181 0x34b84c0e 256 @@ -90575,6 +113219,8 @@ 0 0 3 +1 +3 0x5ef0 0xbf125455 256 @@ -90582,6 +113228,8 @@ 0 0 0 +0 +1 8 0x8a32 0x6633628e @@ -90590,6 +113238,8 @@ 1 1 0 +1 +4 5 0xc26 0x75332b90 @@ -90598,6 +113248,8 @@ 1 1 0 +4 +1 1 0x93f2 0x55199d8a @@ -90606,6 +113258,8 @@ 0 0 0 +5 +4 10 0x4f19 0x545fafa9 @@ -90614,6 +113268,8 @@ 1 0 0 +1 +3 8 0x23c4 0x4b80f341 @@ -90622,6 +113278,8 @@ 0 0 0 +4 +4 7 0x6765 0x62d4a07f @@ -90630,6 +113288,8 @@ 1 1 0 +5 +5 3 0x1774 0x17095669 @@ -90638,6 +113298,8 @@ 1 1 0 +4 +2 5 0xc9b8 0x3a7d7374 @@ -90646,6 +113308,8 @@ 0 0 0 +0 +4 6 0xec07 0xf48ff0d5 @@ -90654,6 +113318,8 @@ 0 0 0 +5 +3 8 0x5960 0x7f055ae7 @@ -90662,6 +113328,8 @@ 0 0 0 +2 +2 7 0x5cad 0x228ad636 @@ -90670,6 +113338,8 @@ 1 1 0 +4 +3 1 0x2ebe 0xde68dafe @@ -90678,6 +113348,8 @@ 1 0 0 +3 +1 10 0x7af 0x73742894 @@ -90686,6 +113358,8 @@ 0 0 0 +1 +2 8 0x7c62 0xefbb9d0f @@ -90695,6 +113369,8 @@ 0 0 2 +5 +2 0xe0f8 0x29e7411f 256 @@ -90702,6 +113378,8 @@ 0 0 0 +5 +2 2 0x465b 0x7f001de1 @@ -90710,6 +113388,8 @@ 1 1 0 +0 +5 5 0x6de8 0xa81a0097 @@ -90718,6 +113398,8 @@ 0 0 0 +1 +0 3 0xa8c1 0x36ffd9f @@ -90726,6 +113408,8 @@ 0 0 0 +5 +0 4 0xffc1 0x84d05df5 @@ -90734,6 +113418,8 @@ 1 0 0 +5 +5 3 0xbd55 0x5597b6a9 @@ -90742,6 +113428,8 @@ 0 0 0 +5 +2 1 0xa08 0xac6a4c80 @@ -90750,6 +113438,8 @@ 0 0 0 +5 +3 6 0x1789 0x8fe56248 @@ -90758,6 +113448,8 @@ 0 0 0 +5 +4 10 0x9dae 0xf04c2fa6 @@ -90766,6 +113458,8 @@ 1 1 0 +1 +2 6 0x84f8 0x60cdeebd @@ -90774,6 +113468,8 @@ 1 0 0 +1 +2 4 0xdb2e 0x3fb5152d @@ -90782,6 +113478,8 @@ 0 0 0 +1 +0 7 0xdd60 0x9832cf45 @@ -90790,6 +113488,8 @@ 0 0 0 +5 +4 3 0x7110 0xeb37e75c @@ -90798,6 +113498,8 @@ 0 0 0 +3 +3 6 0xe56b 0xceb198c8 @@ -90806,6 +113508,8 @@ 1 1 0 +2 +2 10 0xb9ad 0xa97b6b0b @@ -90814,6 +113518,8 @@ 0 0 0 +4 +2 6 0xcd50 0xed21e2cd @@ -90822,6 +113528,8 @@ 1 1 0 +5 +1 2 0xd095 0xd696d7bb @@ -90830,6 +113538,8 @@ 1 1 0 +2 +4 9 0x304a 0x74558c64 @@ -90838,6 +113548,8 @@ 0 0 0 +5 +4 7 0xc5fe 0x2812cc1f @@ -90846,6 +113558,8 @@ 1 1 0 +3 +0 1 0x783c 0xabe67e6a @@ -90854,6 +113568,8 @@ 1 0 0 +5 +0 8 0xb42b 0x998731ee @@ -90862,6 +113578,8 @@ 0 0 0 +3 +2 9 0x866c 0xa0af6caf @@ -90870,6 +113588,8 @@ 1 0 0 +4 +5 8 0xd425 0x50f5ac3e @@ -90879,6 +113599,8 @@ 0 0 1 +0 +1 0x5f5c 0x97c381ca 256 @@ -90886,6 +113608,8 @@ 1 1 0 +5 +1 8 0xf639 0xe250d10f @@ -90894,6 +113618,8 @@ 0 0 0 +1 +3 10 0x61e4 0x67e5e434 @@ -90902,6 +113628,8 @@ 1 0 0 +5 +0 4 0x4cd5 0x63287e6a @@ -90910,6 +113638,8 @@ 0 0 0 +3 +3 2 0x26a0 0x3ff28f6f @@ -90918,6 +113648,8 @@ 0 0 0 +2 +3 6 0x82b4 0x5604386f @@ -90926,6 +113658,8 @@ 0 0 0 +3 +1 10 0xd467 0xfb091930 @@ -90934,6 +113668,8 @@ 0 0 0 +2 +5 10 0x2301 0x7b63857f @@ -90942,6 +113678,8 @@ 1 1 0 +3 +0 10 0x8d48 0xb492ae90 @@ -90950,6 +113688,8 @@ 0 0 0 +5 +0 6 0xe092 0x544eb620 @@ -90958,6 +113698,8 @@ 1 1 0 +0 +4 5 0xd990 0xa54de726 @@ -90967,6 +113709,8 @@ 0 0 4 +4 +4 0x43c8 0x75e9e929 256 @@ -90974,6 +113718,8 @@ 0 0 0 +3 +0 2 0x82a6 0x155f8ee @@ -90982,6 +113728,8 @@ 1 1 0 +4 +4 1 0x51f7 0xef6f0b57 @@ -90991,6 +113739,8 @@ 0 0 4 +1 +4 0x79a6 0xb4f654e5 256 @@ -90998,6 +113748,8 @@ 1 0 0 +4 +2 3 0xe46d 0x825fbe13 @@ -91006,6 +113758,8 @@ 0 0 0 +1 +5 3 0x8566 0x824f121d @@ -91014,6 +113768,8 @@ 0 0 0 +4 +4 7 0xb77b 0xaf632713 @@ -91022,6 +113778,8 @@ 0 0 0 +1 +2 9 0x3b28 0x81ddcc17 @@ -91030,6 +113788,8 @@ 1 0 0 +4 +2 6 0x1548 0x7bf3e361 @@ -91038,6 +113798,8 @@ 0 0 0 +1 +5 10 0xe662 0x121faa38 @@ -91046,6 +113808,8 @@ 1 0 0 +5 +4 9 0xef31 0xe7f9cafa @@ -91054,6 +113818,8 @@ 1 1 0 +4 +1 6 0x9bf7 0xdaf1dd24 @@ -91062,6 +113828,8 @@ 0 0 0 +1 +1 8 0x64e9 0x3fe5e4cf @@ -91070,6 +113838,8 @@ 1 1 0 +1 +0 6 0x6cdc 0x7d2c89e5 @@ -91078,6 +113848,8 @@ 0 0 0 +5 +1 2 0xfba1 0xea336062 @@ -91086,6 +113858,8 @@ 0 0 0 +4 +1 1 0x3fad 0x3f73a080 @@ -91094,6 +113868,8 @@ 0 0 0 +0 +5 10 0x77fc 0x39e9adbc @@ -91102,6 +113878,8 @@ 1 1 0 +3 +3 9 0x183c 0x84d07a19 @@ -91110,6 +113888,8 @@ 1 1 0 +3 +5 5 0xbc1f 0x96316c50 @@ -91118,6 +113898,8 @@ 0 0 0 +0 +4 4 0x5a9a 0x18ea23bd @@ -91126,6 +113908,8 @@ 1 1 0 +2 +5 10 0x4bf8 0xd2ee059c @@ -91134,6 +113918,8 @@ 0 0 0 +3 +2 7 0xa589 0x8d24a998 @@ -91142,6 +113928,8 @@ 0 0 0 +2 +2 6 0x98ce 0x6ee9cb3e @@ -91150,6 +113938,8 @@ 0 0 0 +2 +4 9 0xf645 0x4f988afa @@ -91158,6 +113948,8 @@ 1 0 0 +4 +4 2 0x756 0xe643f9bc @@ -91166,6 +113958,8 @@ 0 0 0 +5 +4 6 0x9ca3 0x1bfcacd @@ -91174,6 +113968,8 @@ 0 0 0 +5 +1 10 0x6a1 0x56c01485 @@ -91182,6 +113978,8 @@ 0 0 0 +1 +5 3 0x38c0 0xff59e3cd @@ -91190,6 +113988,8 @@ 0 0 0 +1 +3 2 0x579e 0x3a072fa9 @@ -91198,6 +113998,8 @@ 1 1 0 +4 +2 1 0x218c 0x95ce5902 @@ -91206,6 +114008,8 @@ 0 0 0 +5 +5 9 0x5fa3 0x3ded140d @@ -91214,6 +114018,8 @@ 1 1 0 +5 +3 9 0xe4fb 0x1561d2cc @@ -91222,6 +114028,8 @@ 0 0 0 +4 +0 8 0x4f8c 0x3f03f083 @@ -91230,6 +114038,8 @@ 1 0 0 +1 +1 8 0xf9fb 0x4dda34d4 @@ -91238,6 +114048,8 @@ 1 0 0 +0 +1 10 0x998a 0x2c6534f5 @@ -91246,6 +114058,8 @@ 0 0 0 +5 +0 9 0xa790 0xa3fac3ae @@ -91255,6 +114069,8 @@ 0 0 1 +0 +1 0x7765 0xaa5665ae 256 @@ -91262,6 +114078,8 @@ 0 0 0 +0 +2 9 0x75b7 0x2023fa36 @@ -91270,6 +114088,8 @@ 1 1 0 +5 +0 3 0x31dd 0x98bbcfd8 @@ -91278,6 +114098,8 @@ 1 1 0 +1 +4 4 0xdcc5 0x7911db3f @@ -91286,6 +114108,8 @@ 1 1 0 +2 +4 4 0x9bb1 0x21c90b9 @@ -91294,6 +114118,8 @@ 0 0 0 +3 +4 4 0x87ed 0x47bb9ea8 @@ -91302,6 +114128,8 @@ 0 0 0 +2 +4 3 0x80d7 0xa13c1d87 @@ -91310,6 +114138,8 @@ 1 1 0 +1 +2 2 0x5d53 0x78a23f36 @@ -91318,6 +114148,8 @@ 1 0 0 +0 +3 4 0xd755 0x4749cd3 @@ -91326,6 +114158,8 @@ 1 0 0 +5 +5 6 0x96f3 0x2f13295c @@ -91334,6 +114168,8 @@ 1 1 0 +2 +2 1 0x18be 0x77133090 @@ -91342,6 +114178,8 @@ 1 1 0 +2 +5 8 0xa81 0x7b9252a @@ -91350,6 +114188,8 @@ 0 0 0 +0 +1 1 0xc910 0xd18810d3 @@ -91358,6 +114198,8 @@ 0 0 0 +0 +5 4 0xafa5 0xec5bcdaf @@ -91366,6 +114208,8 @@ 0 0 0 +1 +5 9 0xaf12 0xd5a0a0a9 @@ -91374,6 +114218,8 @@ 0 0 0 +0 +5 8 0xa47b 0xc8d313f8 @@ -91382,6 +114228,8 @@ 0 0 0 +4 +2 5 0x7e11 0x263c5275 @@ -91390,6 +114238,8 @@ 1 1 0 +5 +1 9 0xf7a1 0x1ac4ea1c @@ -91398,6 +114248,8 @@ 1 1 0 +5 +5 10 0x9305 0x97427b2f @@ -91406,6 +114258,8 @@ 1 1 0 +3 +1 9 0x823d 0x9f6363c1 @@ -91414,6 +114268,8 @@ 1 0 0 +5 +2 3 0x4e5f 0x75f77a41 @@ -91422,6 +114278,8 @@ 1 1 0 +1 +2 8 0xcc 0xe8b56b88 @@ -91431,6 +114289,8 @@ 0 0 1 +2 +1 0x1b5f 0x42a876e3 256 @@ -91438,6 +114298,8 @@ 1 0 0 +1 +5 5 0x60e9 0x61dd6519 @@ -91446,6 +114308,8 @@ 0 0 0 +4 +2 8 0xc484 0x90301772 @@ -91454,6 +114318,8 @@ 0 0 0 +2 +0 8 0xd487 0x7bd51708 @@ -91462,6 +114328,8 @@ 0 0 0 +2 +2 4 0x7807 0x12b0ec81 @@ -91470,6 +114338,8 @@ 0 0 0 +2 +0 10 0x92c6 0x8929852f @@ -91478,6 +114348,8 @@ 1 1 0 +3 +0 9 0x77a0 0x5e0e6c57 @@ -91486,6 +114358,8 @@ 0 0 0 +4 +5 8 0x4f11 0x89ef946c @@ -91494,6 +114368,8 @@ 1 1 0 +5 +3 4 0xeb27 0x537f7298 @@ -91502,6 +114378,8 @@ 0 0 0 +1 +0 9 0x21b9 0xf684a122 @@ -91510,6 +114388,8 @@ 0 0 0 +3 +4 2 0xdc8e 0x3d08a959 @@ -91518,6 +114398,8 @@ 1 0 0 +0 +3 7 0x800e 0x7a98bd55 @@ -91526,6 +114408,8 @@ 1 0 0 +0 +0 2 0x54ad 0xcf5d2905 @@ -91534,6 +114418,8 @@ 0 0 0 +4 +3 5 0x8dd3 0x44095574 @@ -91542,6 +114428,8 @@ 1 0 0 +0 +5 9 0x46e 0x2578351b @@ -91550,6 +114438,8 @@ 1 0 0 +4 +4 2 0xcb09 0x75598232 @@ -91558,6 +114448,8 @@ 1 0 0 +2 +5 1 0x30bc 0x3778ba0a @@ -91566,6 +114458,8 @@ 1 0 0 +1 +4 4 0xc2f1 0xc35d9f0d @@ -91574,6 +114468,8 @@ 1 0 0 +0 +0 7 0x3392 0x6c50ed39 @@ -91582,6 +114478,8 @@ 1 1 0 +4 +1 3 0x82a8 0x8aacbd4d @@ -91590,6 +114488,8 @@ 1 1 0 +4 +2 7 0xd996 0xabcd621f @@ -91598,6 +114498,8 @@ 1 0 0 +4 +5 2 0x72dd 0xb70d9b3b @@ -91606,6 +114508,8 @@ 0 0 0 +4 +1 3 0xaa7a 0xce3757b7 @@ -91614,6 +114518,8 @@ 1 1 0 +4 +2 6 0x3e69 0x7be3b943 @@ -91622,6 +114528,8 @@ 1 1 0 +1 +5 9 0x85ab 0x4d88093e @@ -91630,6 +114538,8 @@ 1 0 0 +2 +2 6 0xcb0a 0xc7b95950 @@ -91638,6 +114548,8 @@ 1 0 0 +0 +3 9 0x9e65 0x6e28689d @@ -91646,6 +114558,8 @@ 1 0 0 +5 +1 3 0xe3e9 0x483507e8 @@ -91654,6 +114568,8 @@ 1 1 0 +2 +5 5 0xde9a 0xfec0264c @@ -91662,6 +114578,8 @@ 1 0 0 +0 +2 1 0x6ae4 0x586bc7fb @@ -91670,6 +114588,8 @@ 1 0 0 +0 +3 9 0x1053 0x1f382736 @@ -91678,6 +114598,8 @@ 0 0 0 +2 +0 8 0x21e1 0x439b47c3 @@ -91686,6 +114608,8 @@ 0 0 0 +1 +0 6 0x2d5 0x76efc326 @@ -91694,6 +114618,8 @@ 0 0 0 +2 +0 8 0xc0cc 0x173747c7 @@ -91702,6 +114628,8 @@ 1 1 0 +4 +5 7 0x4596 0xa9bfa93f @@ -91710,6 +114638,8 @@ 1 1 0 +4 +5 1 0x5e5c 0x73081fa6 @@ -91718,6 +114648,8 @@ 0 0 0 +5 +1 10 0xf21d 0x5eed13f @@ -91726,6 +114658,8 @@ 0 0 0 +0 +4 1 0x5c6a 0x4ad00bc9 @@ -91734,6 +114668,8 @@ 1 1 0 +5 +2 7 0x9cf1 0x1b1307c3 @@ -91742,6 +114678,8 @@ 1 1 0 +1 +4 3 0xb23 0xf0874d63 @@ -91750,6 +114688,8 @@ 0 0 0 +3 +1 10 0xe340 0x2444083b @@ -91758,6 +114698,8 @@ 1 1 0 +3 +0 2 0x75ea 0x7ccd8b60 @@ -91767,6 +114709,8 @@ 0 0 3 +2 +3 0xf85 0xe8f430ad 256 @@ -91774,6 +114718,8 @@ 0 0 0 +3 +4 10 0x702c 0x2a024c93 @@ -91782,6 +114728,8 @@ 1 0 0 +1 +3 2 0x9850 0xb0d21a93 @@ -91790,6 +114738,8 @@ 0 0 0 +4 +2 1 0xbc1e 0x86d454da @@ -91798,6 +114748,8 @@ 1 1 0 +1 +2 5 0xcc47 0xdb76d0c5 @@ -91806,6 +114758,8 @@ 0 0 0 +4 +3 9 0x521f 0x206af210 @@ -91814,6 +114768,8 @@ 1 0 0 +0 +1 3 0x595e 0xac8617d @@ -91822,6 +114778,8 @@ 1 1 0 +2 +2 8 0xa6aa 0xd51eca94 @@ -91830,6 +114788,8 @@ 0 0 0 +3 +3 7 0xeaee 0x6798dcb1 @@ -91838,6 +114798,8 @@ 1 1 0 +4 +5 3 0x3220 0x33632db1 @@ -91846,6 +114808,8 @@ 0 0 0 +5 +3 8 0x8154 0xe7c41f0c @@ -91855,6 +114819,8 @@ 0 0 3 +2 +3 0x1ff9 0xdf671b44 256 @@ -91862,6 +114828,8 @@ 1 0 0 +0 +4 8 0xaf8a 0xddffa6db @@ -91870,6 +114838,8 @@ 0 0 0 +3 +4 8 0xd89b 0x31e57b56 @@ -91878,6 +114848,8 @@ 1 0 0 +2 +1 1 0xafe5 0xf5da265d @@ -91886,6 +114858,8 @@ 0 0 0 +2 +5 1 0x9637 0xf3d645ba @@ -91894,6 +114868,8 @@ 0 0 0 +3 +3 1 0x4be7 0xbe6ded3f @@ -91902,6 +114878,8 @@ 0 0 0 +5 +2 3 0x8e07 0xbc319c44 @@ -91910,6 +114888,8 @@ 0 0 0 +1 +5 2 0x2b5a 0x46cf4439 @@ -91918,6 +114898,8 @@ 1 0 0 +4 +0 5 0x39fd 0xe7c5bcb4 @@ -91926,6 +114908,8 @@ 0 0 0 +3 +4 2 0xb7e9 0xed32d115 @@ -91934,6 +114918,8 @@ 0 0 0 +2 +0 4 0x3d68 0x93f6fc5e @@ -91942,6 +114928,8 @@ 0 0 0 +5 +0 4 0x5ce6 0xde981d80 @@ -91950,6 +114938,8 @@ 0 0 0 +0 +2 8 0xa4b4 0xed720c87 @@ -91958,6 +114948,8 @@ 1 0 0 +1 +5 10 0xa91b 0x17cefc6 @@ -91966,6 +114958,8 @@ 0 0 0 +5 +1 1 0xfda2 0x454f8c38 @@ -91974,6 +114968,8 @@ 0 0 0 +0 +4 1 0x394c 0xc8d48e85 @@ -91982,6 +114978,8 @@ 1 1 0 +1 +3 6 0x8bc3 0x5a992fa2 @@ -91990,6 +114988,8 @@ 0 0 0 +2 +0 8 0x28ff 0x5ad85d1f @@ -91998,6 +114998,8 @@ 0 0 0 +4 +3 5 0xe03c 0xff1dfa8f @@ -92006,6 +115008,8 @@ 1 1 0 +5 +2 8 0x5bdd 0xe85fcbea @@ -92014,6 +115018,8 @@ 0 0 0 +3 +4 2 0x4565 0x33309c87 @@ -92022,6 +115028,8 @@ 1 0 0 +2 +1 6 0x260b 0xf93f9a55 @@ -92030,6 +115038,8 @@ 0 0 0 +5 +2 2 0x6684 0x82f42064 @@ -92038,6 +115048,8 @@ 0 0 0 +4 +4 2 0x420f 0x55390de1 @@ -92046,6 +115058,8 @@ 0 0 0 +2 +1 5 0xc81 0xec366c54 @@ -92054,6 +115068,8 @@ 0 0 0 +2 +0 5 0xfbc8 0x3bd0e5c9 @@ -92063,6 +115079,8 @@ 1 0 3 +5 +3 0xfa34 0x1ee2bd49 256 @@ -92071,6 +115089,8 @@ 0 0 2 +4 +2 0x260d 0xd23ef5f1 256 @@ -92078,6 +115098,8 @@ 1 1 0 +3 +5 7 0x1bde 0xeb976b85 @@ -92086,6 +115108,8 @@ 0 0 0 +5 +3 8 0x7069 0x4c7a9695 @@ -92094,6 +115118,8 @@ 1 0 0 +5 +3 8 0xc1c8 0x8564d74a @@ -92103,6 +115129,8 @@ 0 0 2 +2 +2 0x40b7 0x32c107f4 256 @@ -92110,6 +115138,8 @@ 0 0 0 +1 +3 9 0xc02d 0x1d3c0afa @@ -92118,6 +115148,8 @@ 0 0 0 +3 +2 7 0x5c13 0x38f4dfb6 @@ -92127,6 +115159,8 @@ 0 0 5 +1 +5 0x719b 0x9382a465 256 @@ -92134,6 +115168,8 @@ 1 1 0 +4 +0 9 0xfc14 0x1620ab22 @@ -92142,6 +115178,8 @@ 1 1 0 +1 +5 2 0x7f93 0x53041c3e @@ -92150,6 +115188,8 @@ 1 0 0 +2 +1 3 0x29c 0xd900b91c @@ -92158,6 +115198,8 @@ 0 0 0 +1 +4 9 0x36b8 0xcb412e3 @@ -92166,6 +115208,8 @@ 1 1 0 +2 +2 7 0x3699 0x5ae72bca @@ -92174,6 +115218,8 @@ 1 1 0 +5 +3 4 0x26d1 0xaad4b2a3 @@ -92182,6 +115228,8 @@ 1 1 0 +2 +3 1 0xc58d 0xdf8d4400 @@ -92190,6 +115238,8 @@ 0 0 0 +4 +4 8 0xd7ee 0xd57966d3 @@ -92199,6 +115249,8 @@ 1 0 2 +4 +2 0x7fda 0x63dbbef3 256 @@ -92206,6 +115258,8 @@ 0 0 0 +3 +1 10 0xab6e 0xffa39934 @@ -92214,6 +115268,8 @@ 1 0 0 +3 +2 2 0x118 0xc6dd2a8f @@ -92222,6 +115278,8 @@ 1 0 0 +0 +0 3 0xc2ff 0x15996c9b @@ -92230,6 +115288,8 @@ 0 0 0 +4 +2 1 0x740b 0x10d6b27f @@ -92238,6 +115298,8 @@ 1 1 0 +2 +0 4 0x1c66 0x5b0dff61 @@ -92246,6 +115308,8 @@ 0 0 0 +4 +0 1 0x1a5 0x774a7be0 @@ -92254,6 +115318,8 @@ 0 0 0 +5 +3 7 0xadfc 0x4d146c48 @@ -92262,6 +115328,8 @@ 0 0 0 +2 +4 9 0x8553 0x1c4f9731 @@ -92270,6 +115338,8 @@ 1 1 0 +1 +2 9 0x1be0 0x9f5f4c76 @@ -92278,6 +115348,8 @@ 1 1 0 +5 +2 8 0x8c84 0x70cbe7db @@ -92286,6 +115358,8 @@ 0 0 0 +4 +3 9 0x33d8 0x5fa2e40c @@ -92294,6 +115368,8 @@ 0 0 0 +0 +4 5 0x5d09 0x2e4bfb54 @@ -92302,6 +115378,8 @@ 1 1 0 +1 +1 3 0x381f 0x90e7c169 @@ -92310,6 +115388,8 @@ 1 0 0 +2 +0 3 0xb5ff 0xb583f562 @@ -92318,6 +115398,8 @@ 1 0 0 +2 +2 9 0x6601 0x6db80d27 @@ -92326,6 +115408,8 @@ 0 0 0 +2 +0 4 0x81d1 0xc7d53eb6 @@ -92334,6 +115418,8 @@ 1 0 0 +3 +2 6 0x22ea 0xd8cf8683 @@ -92343,6 +115429,8 @@ 1 0 5 +2 +5 0x6e73 0xd1e8e82b 256 @@ -92350,6 +115438,8 @@ 0 0 0 +4 +4 1 0x8bb9 0xca039d73 @@ -92358,6 +115448,8 @@ 0 0 0 +3 +0 8 0xd7bf 0x1597fb59 @@ -92366,6 +115458,8 @@ 0 0 0 +3 +1 2 0x704c 0xbc795615 @@ -92375,6 +115469,8 @@ 0 0 4 +3 +4 0xcd6 0xd1900731 256 @@ -92383,6 +115479,8 @@ 0 0 5 +5 +5 0x8980 0x980da123 256 @@ -92390,6 +115488,8 @@ 1 1 0 +5 +3 4 0xed0 0x3d398ffc @@ -92398,6 +115498,8 @@ 1 0 0 +3 +4 4 0xc0df 0x31acd5d2 @@ -92406,6 +115508,8 @@ 1 1 0 +2 +0 10 0x54c5 0x6155386a @@ -92414,6 +115518,8 @@ 1 0 0 +2 +5 10 0x74f6 0x293fbfec @@ -92422,6 +115528,8 @@ 0 0 0 +0 +0 5 0x206c 0x82fd49dc @@ -92430,6 +115538,8 @@ 0 0 0 +4 +3 7 0x8afa 0xfe79b316 @@ -92438,6 +115548,8 @@ 1 0 0 +2 +0 9 0x2039 0xb250451d @@ -92446,6 +115558,8 @@ 0 0 0 +5 +2 7 0x7c99 0x8337116d @@ -92455,6 +115569,8 @@ 0 0 1 +4 +1 0x41d4 0x7c8c4157 256 @@ -92462,6 +115578,8 @@ 0 0 0 +3 +2 7 0xe011 0x76699fa1 @@ -92470,6 +115588,8 @@ 0 0 0 +4 +5 3 0x5d11 0x279db613 @@ -92478,6 +115598,8 @@ 0 0 0 +5 +3 3 0x36c6 0xa8f273a5 @@ -92486,6 +115608,8 @@ 1 0 0 +0 +1 10 0xed2b 0xcc18a27b @@ -92494,6 +115618,8 @@ 1 0 0 +3 +5 10 0xfb9 0x3b570820 @@ -92502,6 +115628,8 @@ 1 0 0 +1 +4 10 0x5d61 0x2e61d82b @@ -92510,6 +115638,8 @@ 1 0 0 +3 +4 1 0x5352 0xccf9d47f @@ -92518,6 +115648,8 @@ 0 0 0 +0 +3 9 0xa608 0xf41a4cbf @@ -92526,6 +115658,8 @@ 0 0 0 +5 +0 10 0xffd1 0x486d4908 @@ -92534,6 +115668,8 @@ 0 0 0 +4 +0 1 0xb4ac 0x48660499 @@ -92542,6 +115678,8 @@ 0 0 0 +4 +2 6 0xae44 0x4b7d18f @@ -92550,6 +115688,8 @@ 0 0 0 +1 +0 8 0x962c 0xa80e0dbb @@ -92558,6 +115698,8 @@ 1 1 0 +2 +1 5 0x8bf0 0x1a60648b @@ -92566,6 +115708,8 @@ 1 1 0 +2 +3 1 0x361a 0x1ebee69d @@ -92574,6 +115718,8 @@ 1 1 0 +1 +2 8 0x8405 0xc9b68531 @@ -92583,6 +115729,8 @@ 0 0 3 +4 +3 0x1aff 0x41c9788 256 @@ -92590,6 +115738,8 @@ 1 1 0 +4 +0 5 0xa69d 0xeaaa14e5 @@ -92598,6 +115748,8 @@ 1 0 0 +2 +2 5 0x98a5 0x842cb127 @@ -92606,6 +115758,8 @@ 1 0 0 +4 +5 2 0xec25 0x11ef2b61 @@ -92614,6 +115768,8 @@ 0 0 0 +5 +3 1 0xda8b 0x3d7e18f1 @@ -92622,6 +115778,8 @@ 0 0 0 +1 +3 2 0x667e 0x76bd7742 @@ -92630,6 +115788,8 @@ 0 0 0 +0 +3 1 0x59 0xe22f5245 @@ -92638,6 +115798,8 @@ 1 0 0 +0 +4 8 0x6bdf 0x2413014f @@ -92646,6 +115808,8 @@ 1 0 0 +5 +3 8 0xe2bc 0xdea96af4 @@ -92654,6 +115818,8 @@ 1 0 0 +0 +2 10 0x199a 0x48856904 @@ -92662,6 +115828,8 @@ 1 1 0 +0 +5 7 0x88be 0x138ce21e @@ -92670,6 +115838,8 @@ 0 0 0 +5 +3 2 0x5462 0xf9b171a6 @@ -92678,6 +115848,8 @@ 1 0 0 +1 +3 4 0xc4ec 0x7e0ac7c5 @@ -92686,6 +115858,8 @@ 1 0 0 +2 +5 5 0x1019 0xebc7efa4 @@ -92694,6 +115868,8 @@ 1 1 0 +5 +3 10 0x43ad 0x72b5ba5a @@ -92702,6 +115878,8 @@ 1 1 0 +1 +4 2 0xce57 0x1f36a228 @@ -92710,6 +115888,8 @@ 0 0 0 +4 +2 6 0x8270 0xfe5d09a1 @@ -92718,6 +115898,8 @@ 1 1 0 +0 +4 10 0x7956 0x5e581324 @@ -92726,6 +115908,8 @@ 0 0 0 +1 +0 9 0x6eb7 0x7bd1ffed @@ -92734,6 +115918,8 @@ 0 0 0 +0 +5 4 0x2fb7 0xbb233fdc @@ -92743,6 +115929,8 @@ 0 0 2 +4 +2 0x2cce 0xdb2049a7 256 @@ -92750,6 +115938,8 @@ 0 0 0 +3 +0 2 0x4338 0x7de556e @@ -92758,6 +115948,8 @@ 0 0 0 +4 +2 5 0x59a5 0x12d37b76 @@ -92766,6 +115958,8 @@ 1 1 0 +2 +2 8 0xd095 0x55b27db7 @@ -92774,6 +115968,8 @@ 1 1 0 +0 +0 6 0x6368 0xb92b3c22 @@ -92783,6 +115979,8 @@ 0 0 3 +2 +3 0x5ff6 0xf9235305 256 @@ -92791,6 +115989,8 @@ 1 0 4 +2 +4 0x8b1f 0x7ceb888 256 @@ -92798,6 +115998,8 @@ 1 0 0 +5 +3 7 0x3c66 0x97235d26 @@ -92806,6 +116008,8 @@ 1 0 0 +5 +5 2 0x9fcf 0xf8133b5f @@ -92814,6 +116018,8 @@ 1 0 0 +4 +4 10 0x87c7 0xbebc2301 @@ -92822,6 +116028,8 @@ 0 0 0 +5 +1 6 0x6e0e 0xf97c9ccf @@ -92830,6 +116038,8 @@ 1 0 0 +0 +2 9 0x6f8f 0xcaae943c @@ -92838,6 +116048,8 @@ 0 0 0 +5 +1 1 0x1fa4 0x5bcf4ae9 @@ -92846,6 +116058,8 @@ 1 0 0 +1 +3 9 0x8ce4 0x4eedec3 @@ -92854,6 +116068,8 @@ 1 0 0 +5 +1 2 0xdcfd 0xa746ccb4 @@ -92862,6 +116078,8 @@ 1 0 0 +1 +3 7 0xbb3b 0xe3a56192 @@ -92870,6 +116088,8 @@ 0 0 0 +1 +0 4 0xb607 0xbe1ffa55 @@ -92878,6 +116098,8 @@ 1 1 0 +5 +3 1 0xcaf3 0xa721e085 @@ -92886,6 +116108,8 @@ 1 1 0 +0 +1 5 0x5815 0xde19d5a4 @@ -92894,6 +116118,8 @@ 1 0 0 +2 +0 3 0x1fca 0x4b92a740 @@ -92902,6 +116128,8 @@ 1 0 0 +4 +4 8 0x8e3b 0xd7a65ea4 @@ -92910,6 +116138,8 @@ 0 0 0 +0 +5 7 0xe5c 0xe8e037f6 @@ -92918,6 +116148,8 @@ 0 0 0 +5 +0 7 0x225 0xb274b25b @@ -92926,6 +116158,8 @@ 1 0 0 +1 +5 2 0x5199 0x11e2f9dd @@ -92934,6 +116168,8 @@ 0 0 0 +2 +3 3 0xdd69 0x4c4a52b4 @@ -92942,6 +116178,8 @@ 0 0 0 +1 +1 2 0x214 0x78ac15fb @@ -92950,6 +116188,8 @@ 0 0 0 +5 +5 9 0x6593 0xee026d80 @@ -92958,6 +116198,8 @@ 1 0 0 +3 +1 10 0x79dd 0xb14645b4 @@ -92966,6 +116208,8 @@ 1 0 0 +2 +4 7 0x8803 0x19f45370 @@ -92974,6 +116218,8 @@ 0 0 0 +5 +5 4 0x230 0xd8db51dc @@ -92982,6 +116228,8 @@ 0 0 0 +1 +2 5 0xfb57 0xdc3dba47 @@ -92990,6 +116238,8 @@ 1 0 0 +4 +5 1 0x335e 0xaf2481d3 @@ -92998,6 +116248,8 @@ 0 0 0 +1 +4 4 0x157 0x54e999e6 @@ -93006,6 +116258,8 @@ 0 0 0 +0 +4 6 0x4975 0xc617448d @@ -93014,6 +116268,8 @@ 0 0 0 +5 +3 2 0x4161 0x7bc1efd6 @@ -93022,6 +116278,8 @@ 0 0 0 +2 +3 4 0x2e4e 0xbb6cd8ea @@ -93031,6 +116289,8 @@ 0 0 2 +5 +2 0x161d 0x7ba93b3b 256 @@ -93038,6 +116298,8 @@ 0 0 0 +3 +1 7 0x3bf4 0xbacb0398 @@ -93046,6 +116308,8 @@ 0 0 0 +5 +1 3 0x8811 0x4a0b8abe @@ -93054,6 +116318,8 @@ 1 0 0 +2 +1 6 0x7a3e 0x47e0f2d4 @@ -93062,6 +116328,8 @@ 1 0 0 +5 +5 1 0xdc32 0x55dd4d62 @@ -93070,6 +116338,8 @@ 0 0 0 +1 +1 8 0x2e6b 0x118e6cab @@ -93078,6 +116348,8 @@ 1 1 0 +4 +1 7 0x58f7 0xd4e5d9b0 @@ -93086,6 +116358,8 @@ 1 1 0 +1 +4 5 0x14e8 0x1a3939b3 @@ -93094,6 +116368,8 @@ 0 0 0 +0 +4 4 0x216e 0x2d355e25 @@ -93102,6 +116378,8 @@ 0 0 0 +1 +2 10 0xbfd8 0x19a0308d @@ -93110,6 +116388,8 @@ 1 0 0 +4 +0 3 0x5cf1 0xd26131ea @@ -93118,6 +116398,8 @@ 0 0 0 +0 +4 6 0xb8a0 0x53bdd1c3 @@ -93126,6 +116408,8 @@ 0 0 0 +0 +3 1 0xde51 0x55b686b4 @@ -93135,6 +116419,8 @@ 0 0 5 +1 +5 0x7b90 0x202a8bce 256 @@ -93142,6 +116428,8 @@ 1 0 0 +0 +3 6 0xdab7 0x2b8f93ff @@ -93151,6 +116439,8 @@ 0 0 2 +1 +2 0x1a15 0x7f65b055 256 @@ -93158,6 +116448,8 @@ 0 0 0 +3 +0 5 0xe978 0x78c7879c @@ -93166,6 +116458,8 @@ 0 0 0 +1 +4 10 0x8bc8 0xa2f2b701 @@ -93174,6 +116468,8 @@ 1 1 0 +0 +1 4 0xcbb6 0x218d1588 @@ -93182,6 +116478,8 @@ 1 1 0 +4 +5 3 0xd36f 0xf8a7a739 @@ -93190,6 +116488,8 @@ 1 1 0 +3 +2 10 0xcaec 0xfd5bce6 @@ -93198,6 +116498,8 @@ 0 0 0 +3 +3 6 0xd8d9 0x734bc2a @@ -93206,6 +116508,8 @@ 0 0 0 +0 +2 6 0xf9a9 0x53bad718 @@ -93214,6 +116518,8 @@ 1 1 0 +4 +5 1 0x7400 0x2c04014a @@ -93222,6 +116528,8 @@ 0 0 0 +1 +3 8 0x8c1e 0xde320056 @@ -93230,6 +116538,8 @@ 1 0 0 +0 +4 4 0x33dd 0xf45a50b1 @@ -93238,6 +116548,8 @@ 0 0 0 +4 +4 6 0x180e 0x28489c46 @@ -93246,6 +116558,8 @@ 1 0 0 +1 +0 9 0x693c 0xc4ec14fb @@ -93254,6 +116568,8 @@ 1 1 0 +0 +2 2 0xe85 0x73271608 @@ -93262,6 +116578,8 @@ 0 0 0 +2 +1 6 0x12c8 0xf7bb0fd7 @@ -93270,6 +116588,8 @@ 0 0 0 +2 +0 4 0x4ba3 0x8e9ca6b7 @@ -93278,6 +116598,8 @@ 0 0 0 +5 +1 2 0x2829 0x74700719 @@ -93286,6 +116608,8 @@ 0 0 0 +1 +1 5 0x434 0x6fdebee9 @@ -93294,6 +116618,8 @@ 0 0 0 +3 +0 4 0x423b 0x65ffa519 @@ -93302,6 +116628,8 @@ 1 1 0 +3 +1 10 0x8053 0xbdc2a2e1 @@ -93310,6 +116638,8 @@ 0 0 0 +2 +5 8 0x1575 0xae1eaf92 @@ -93319,6 +116649,8 @@ 0 0 2 +4 +2 0x6e00 0xa9a8c8b2 256 @@ -93326,6 +116658,8 @@ 0 0 0 +2 +2 9 0xa06f 0xfd8372d0 @@ -93334,6 +116668,8 @@ 1 0 0 +1 +2 6 0xff7c 0x5f897f1c @@ -93342,6 +116678,8 @@ 0 0 0 +0 +1 6 0x7891 0x310c6fd5 @@ -93350,6 +116688,8 @@ 0 0 0 +3 +1 7 0x45e0 0x8899840b @@ -93358,6 +116698,8 @@ 1 0 0 +4 +3 2 0x89e0 0x9dfcd872 @@ -93366,6 +116708,8 @@ 1 1 0 +3 +1 1 0xfe9e 0x3f3c19f6 @@ -93374,6 +116718,8 @@ 0 0 0 +2 +5 3 0xba72 0x811ce9b6 @@ -93382,6 +116728,8 @@ 1 0 0 +2 +5 3 0x7a4e 0x346843d9 @@ -93390,6 +116738,8 @@ 0 0 0 +3 +4 6 0xb7b4 0xa143f5b1 @@ -93398,6 +116748,8 @@ 1 1 0 +1 +5 4 0x78a9 0xbd653da9 @@ -93407,6 +116759,8 @@ 1 0 3 +0 +3 0xed06 0xfe6c4bb7 256 @@ -93414,6 +116768,8 @@ 1 0 0 +5 +2 1 0xd068 0x815b1c55 @@ -93422,6 +116778,8 @@ 1 1 0 +0 +4 10 0xe762 0xb0bf9956 @@ -93430,6 +116788,8 @@ 1 1 0 +0 +0 3 0xabcc 0x37c17a47 @@ -93438,6 +116798,8 @@ 0 0 0 +4 +2 2 0x981f 0xae17fe95 @@ -93446,6 +116808,8 @@ 0 0 0 +5 +3 1 0x824a 0x946820ac @@ -93454,6 +116818,8 @@ 0 0 0 +2 +0 4 0xd266 0x7ccf60c2 @@ -93462,6 +116828,8 @@ 0 0 0 +1 +3 7 0x6bd9 0xc26ea569 @@ -93470,6 +116838,8 @@ 1 1 0 +0 +0 5 0x124f 0x218f869f @@ -93478,6 +116848,8 @@ 0 0 0 +0 +0 4 0xda0b 0x5fb24265 @@ -93486,6 +116858,8 @@ 0 0 0 +0 +3 4 0x11eb 0x5c6559a @@ -93495,6 +116869,8 @@ 0 0 5 +1 +5 0x598e 0xba8f53b9 256 @@ -93502,6 +116878,8 @@ 0 0 0 +3 +5 4 0xd39d 0x1cd1176b @@ -93510,6 +116888,8 @@ 0 0 0 +3 +0 4 0x37a3 0xef14a9e1 @@ -93518,6 +116898,8 @@ 1 0 0 +1 +4 6 0xd68e 0x4c882844 @@ -93526,6 +116908,8 @@ 1 0 0 +0 +3 9 0x568d 0xad7a85b1 @@ -93534,6 +116918,8 @@ 1 0 0 +3 +0 5 0x142c 0xd2e0e58e @@ -93542,6 +116928,8 @@ 1 0 0 +1 +1 5 0xa07 0x2b402b89 @@ -93550,6 +116938,8 @@ 0 0 0 +5 +5 9 0x2228 0xe663f925 @@ -93558,6 +116948,8 @@ 1 1 0 +0 +3 1 0x7648 0xb9a47df4 @@ -93566,6 +116958,8 @@ 1 1 0 +4 +0 5 0xcc4b 0x17684d23 @@ -93574,6 +116968,8 @@ 1 0 0 +5 +5 2 0x5f8f 0x9c8ffbb9 @@ -93582,6 +116978,8 @@ 1 0 0 +5 +2 1 0xa309 0x484347cd @@ -93590,6 +116988,8 @@ 0 0 0 +2 +5 7 0x3a42 0x9258c9e0 @@ -93599,6 +116999,8 @@ 0 0 4 +5 +4 0xfa6d 0x9eb93f7a 256 @@ -93606,6 +117008,8 @@ 0 0 0 +4 +5 6 0xc61f 0x4cbc537 @@ -93614,6 +117018,8 @@ 0 0 0 +1 +0 4 0xc864 0xbe01bb2d @@ -93622,6 +117028,8 @@ 0 0 0 +0 +4 3 0xf744 0xf60a0ea @@ -93630,6 +117038,8 @@ 0 0 0 +0 +1 10 0xd034 0xc06d45d @@ -93638,6 +117048,8 @@ 1 1 0 +4 +3 9 0xac67 0x631f7a30 @@ -93647,6 +117059,8 @@ 0 0 3 +4 +3 0x2086 0x6417767b 256 @@ -93654,6 +117068,8 @@ 1 0 0 +3 +3 9 0x66e1 0xe25b1b29 @@ -93663,6 +117079,8 @@ 1 0 2 +0 +2 0xcd90 0x6afd2e5d 256 @@ -93670,6 +117088,8 @@ 0 0 0 +4 +5 6 0x6a8c 0x9a7d56df @@ -93678,6 +117098,8 @@ 1 0 0 +3 +3 6 0x3220 0xe0e9a4f3 @@ -93686,6 +117108,8 @@ 1 0 0 +2 +3 7 0xbc85 0xbe6e9621 @@ -93694,6 +117118,8 @@ 1 0 0 +4 +3 2 0xb054 0x3ce684a0 @@ -93702,6 +117128,8 @@ 0 0 0 +0 +1 2 0x8e6f 0xdf3d2871 @@ -93710,6 +117138,8 @@ 1 0 0 +0 +3 4 0xa100 0x81a4c533 @@ -93719,6 +117149,8 @@ 0 0 2 +2 +2 0x9b8a 0xb53cc10b 256 @@ -93726,6 +117158,8 @@ 0 0 0 +4 +2 1 0xb3bf 0xbb3d7c14 @@ -93735,6 +117169,8 @@ 0 0 3 +2 +3 0x856 0xeaec3160 256 @@ -93742,6 +117178,8 @@ 0 0 0 +3 +1 9 0xf978 0xebe1f6ea @@ -93750,6 +117188,8 @@ 0 0 0 +1 +2 2 0xabb3 0x8f0a3cac @@ -93759,6 +117199,8 @@ 0 0 5 +4 +5 0xb376 0x1718132 256 @@ -93766,6 +117208,8 @@ 0 0 0 +3 +1 8 0xa689 0x92ad5e1c @@ -93774,6 +117218,8 @@ 0 0 0 +0 +5 3 0x99c3 0xaf8a3c3e @@ -93782,6 +117228,8 @@ 1 1 0 +4 +3 5 0x6077 0xdee74f65 @@ -93790,6 +117238,8 @@ 1 0 0 +4 +5 1 0xdd51 0x1b3e3895 @@ -93798,6 +117248,8 @@ 0 0 0 +4 +1 7 0xb290 0x157dd1be @@ -93806,6 +117258,8 @@ 0 0 0 +3 +2 6 0x6b82 0xf1882dd6 @@ -93814,6 +117268,8 @@ 0 0 0 +1 +3 3 0xad48 0x173deeb1 @@ -93822,6 +117278,8 @@ 1 1 0 +5 +5 2 0x88d4 0x7bad3cc7 @@ -93830,6 +117288,8 @@ 1 1 0 +0 +1 3 0x471 0x7e180521 @@ -93838,6 +117298,8 @@ 0 0 0 +0 +3 2 0x78e7 0x2f6b4cb6 @@ -93846,6 +117308,8 @@ 1 0 0 +3 +4 5 0x41a 0x336ff891 @@ -93854,6 +117318,8 @@ 1 0 0 +1 +3 5 0x7b41 0x5681080b @@ -93862,6 +117328,8 @@ 1 0 0 +2 +4 9 0xe17c 0xa45975a6 @@ -93870,6 +117338,8 @@ 0 0 0 +3 +3 2 0xe590 0xc4dfda65 @@ -93878,6 +117348,8 @@ 0 0 0 +0 +5 8 0x96bc 0xb4d0c8ff @@ -93886,6 +117358,8 @@ 1 0 0 +5 +1 1 0xaa45 0x31794bee @@ -93894,6 +117368,8 @@ 0 0 0 +1 +0 10 0xc828 0x633993b6 @@ -93902,6 +117378,8 @@ 0 0 0 +5 +4 8 0x35e8 0xc3dedb63 @@ -93910,6 +117388,8 @@ 1 1 0 +2 +5 4 0x8009 0xdc1a6561 @@ -93919,6 +117399,8 @@ 0 0 5 +0 +5 0x8968 0x5fcc7bcf 256 @@ -93926,6 +117408,8 @@ 1 0 0 +3 +1 9 0x173f 0xf94717cb @@ -93934,6 +117418,8 @@ 1 0 0 +0 +4 10 0x96ec 0xda14df41 @@ -93942,6 +117428,8 @@ 0 0 0 +0 +3 3 0xfb57 0x5a86319 @@ -93950,6 +117438,8 @@ 1 0 0 +4 +5 6 0xaecb 0x952284f1 @@ -93958,6 +117448,8 @@ 1 1 0 +3 +3 1 0xc34a 0x98f88a38 @@ -93966,6 +117458,8 @@ 0 0 0 +0 +4 3 0xb1d9 0xe043a40f @@ -93974,6 +117468,8 @@ 0 0 0 +2 +5 8 0xe2ef 0xc750e4fc @@ -93983,6 +117479,8 @@ 1 0 4 +3 +4 0x6b29 0x3bf2a149 256 @@ -93990,6 +117488,8 @@ 0 0 0 +1 +4 8 0x6351 0x1d3d210 @@ -93998,6 +117498,8 @@ 0 0 0 +2 +0 9 0x1193 0x6ec737b @@ -94006,6 +117508,8 @@ 0 0 0 +5 +5 10 0x33c7 0x80df5f76 @@ -94014,6 +117518,8 @@ 0 0 0 +2 +3 5 0x21d 0x3ba3c63e @@ -94022,6 +117528,8 @@ 1 1 0 +2 +0 5 0xc530 0xe3293fa5 @@ -94030,6 +117538,8 @@ 0 0 0 +5 +3 3 0xf91e 0x8882e398 @@ -94038,6 +117548,8 @@ 1 0 0 +2 +0 4 0x3b4f 0x4db7756b @@ -94046,6 +117558,8 @@ 0 0 0 +0 +4 5 0x62ed 0x5b1584b0 @@ -94054,6 +117568,8 @@ 1 0 0 +4 +4 10 0xd591 0xe31e9c8 @@ -94062,6 +117578,8 @@ 1 0 0 +3 +2 6 0x2b2a 0x9a91eb07 @@ -94070,6 +117588,8 @@ 0 0 0 +3 +1 10 0xdf3a 0xf5862a48 @@ -94078,6 +117598,8 @@ 1 1 0 +3 +1 8 0xe8c6 0xeea4932a @@ -94086,6 +117608,8 @@ 1 0 0 +5 +5 10 0x98a0 0xba2860b9 @@ -94094,6 +117618,8 @@ 1 0 0 +2 +3 8 0xf123 0x52dbc69e @@ -94102,6 +117628,8 @@ 0 0 0 +2 +5 4 0xc92b 0x901295ea @@ -94110,6 +117638,8 @@ 1 1 0 +0 +2 9 0xa906 0xee5df3f2 @@ -94118,6 +117648,8 @@ 1 1 0 +5 +3 7 0xea99 0x5aa15d8a @@ -94126,6 +117658,8 @@ 0 0 0 +2 +3 10 0x8292 0x72268d62 @@ -94135,6 +117669,8 @@ 1 0 3 +0 +3 0x671 0x68cff645 256 @@ -94142,6 +117678,8 @@ 1 1 0 +5 +4 2 0xb600 0xff457d47 @@ -94150,6 +117688,8 @@ 1 1 0 +5 +0 7 0x8444 0xa02cf70d @@ -94158,6 +117698,8 @@ 1 1 0 +1 +0 5 0x10fa 0x4d21f7d9 @@ -94166,6 +117708,8 @@ 0 0 0 +4 +1 3 0x92c6 0xde380fd7 @@ -94174,6 +117718,8 @@ 1 1 0 +0 +3 3 0x49c2 0x11939ac @@ -94183,6 +117729,8 @@ 0 0 2 +3 +2 0x2fa4 0xd2426358 256 @@ -94190,6 +117738,8 @@ 1 0 0 +2 +3 1 0x669 0x9f666655 @@ -94198,6 +117748,8 @@ 1 0 0 +0 +3 5 0x6504 0xdc11ea59 @@ -94206,6 +117758,8 @@ 1 0 0 +0 +1 7 0xa574 0x1a49127 @@ -94214,6 +117768,8 @@ 1 0 0 +1 +0 3 0xa907 0xae921536 @@ -94222,6 +117778,8 @@ 1 1 0 +1 +2 2 0xe269 0x2dc6ca05 @@ -94230,6 +117788,8 @@ 1 1 0 +0 +4 1 0xc36b 0x316c0f50 @@ -94238,6 +117798,8 @@ 0 0 0 +5 +5 10 0xe787 0xf6ccc542 @@ -94246,6 +117808,8 @@ 0 0 0 +2 +3 4 0x174f 0x2e70a67d @@ -94254,6 +117818,8 @@ 1 1 0 +5 +4 1 0xace6 0x7c5f1644 @@ -94262,6 +117828,8 @@ 1 0 0 +2 +1 8 0x5e2f 0xe7b4e387 @@ -94270,6 +117838,8 @@ 0 0 0 +3 +0 9 0xab8a 0xdee2afc8 @@ -94278,6 +117848,8 @@ 0 0 0 +1 +1 4 0x7c50 0x8e69fb27 @@ -94286,6 +117858,8 @@ 1 1 0 +2 +4 5 0x3a0a 0x5503709a @@ -94294,6 +117868,8 @@ 1 1 0 +0 +5 10 0x5534 0xf6138602 @@ -94302,6 +117878,8 @@ 1 0 0 +2 +3 6 0x1214 0xa07280ee @@ -94310,6 +117888,8 @@ 1 1 0 +5 +0 6 0xc2e4 0x8b64167b @@ -94318,6 +117898,8 @@ 1 0 0 +5 +2 9 0xb6e0 0xeeae788 @@ -94327,6 +117909,8 @@ 0 0 4 +3 +4 0x8af5 0xb57a35e4 256 @@ -94334,6 +117918,8 @@ 0 0 0 +4 +1 2 0x5989 0xa0cb1b78 @@ -94342,6 +117928,8 @@ 1 1 0 +5 +5 8 0x71a7 0xdb45460f @@ -94350,6 +117938,8 @@ 0 0 0 +4 +4 3 0xee3b 0x82a1cc24 @@ -94358,6 +117948,8 @@ 0 0 0 +3 +2 5 0xe77e 0x4e1e296e @@ -94366,6 +117958,8 @@ 0 0 0 +0 +1 1 0x8d76 0x18aafa16 @@ -94374,6 +117968,8 @@ 0 0 0 +1 +0 3 0x96e7 0xaf6cb82b @@ -94382,6 +117978,8 @@ 0 0 0 +4 +4 2 0x70a5 0xd030822a @@ -94390,6 +117988,8 @@ 0 0 0 +4 +2 1 0xb2e7 0x795fb609 @@ -94398,6 +117998,8 @@ 1 1 0 +5 +2 7 0x44e0 0xa5708bab @@ -94406,6 +118008,8 @@ 1 1 0 +4 +4 5 0x455c 0x608c7e34 @@ -94414,6 +118018,8 @@ 1 0 0 +0 +0 7 0xfa1 0xf357ce1a @@ -94422,6 +118028,8 @@ 1 1 0 +5 +3 8 0x59ae 0xba064e3d @@ -94430,6 +118038,8 @@ 0 0 0 +3 +2 2 0x1d68 0x683fd421 @@ -94439,6 +118049,8 @@ 0 0 2 +1 +2 0x16c8 0x91a74ffc 256 @@ -94446,6 +118058,8 @@ 1 0 0 +1 +2 8 0x4a2e 0xdeffd2f6 @@ -94454,6 +118068,8 @@ 1 1 0 +1 +2 10 0xc011 0xb7aff882 @@ -94462,6 +118078,8 @@ 0 0 0 +4 +4 10 0x3a90 0x540793f0 @@ -94470,6 +118088,8 @@ 1 1 0 +2 +4 1 0x23b5 0x8bb90eb @@ -94478,6 +118098,8 @@ 0 0 0 +4 +4 1 0x8125 0x6ed9770 @@ -94486,6 +118108,8 @@ 1 1 0 +3 +2 4 0xa0be 0xf662d166 @@ -94494,6 +118118,8 @@ 1 1 0 +0 +0 9 0xa2ec 0x7f8dbc1 @@ -94502,6 +118128,8 @@ 1 0 0 +2 +2 4 0x76c1 0x4c046e95 @@ -94510,6 +118138,8 @@ 0 0 0 +0 +5 2 0x42ac 0xe3b763aa @@ -94518,6 +118148,8 @@ 1 1 0 +2 +1 8 0x2b3c 0x47eaabee @@ -94526,6 +118158,8 @@ 1 0 0 +0 +4 8 0xd216 0x3fb1fa19 @@ -94534,6 +118168,8 @@ 1 1 0 +1 +1 5 0x412e 0xfaf1e243 @@ -94542,6 +118178,8 @@ 1 0 0 +3 +0 1 0xb569 0xffc4ebd @@ -94550,6 +118188,8 @@ 1 1 0 +4 +3 7 0xaf09 0x77cbd693 @@ -94558,6 +118198,8 @@ 1 0 0 +4 +4 3 0x7fe9 0xbe36de5a @@ -94566,6 +118208,8 @@ 1 0 0 +4 +4 5 0x1ee7 0xc53e9c71 @@ -94574,6 +118218,8 @@ 0 0 0 +2 +2 3 0x6881 0xc145675e @@ -94582,6 +118228,8 @@ 0 0 0 +0 +5 3 0x186e 0x37d2084b @@ -94590,6 +118238,8 @@ 1 0 0 +0 +0 7 0x100 0x5e1d819b @@ -94598,6 +118248,8 @@ 0 0 0 +2 +1 7 0x21dd 0x2d71cf0f @@ -94607,6 +118259,8 @@ 1 0 3 +5 +3 0x7607 0xba3f12e6 256 @@ -94614,6 +118268,8 @@ 1 0 0 +2 +2 9 0x83f0 0xa982aee4 @@ -94622,6 +118278,8 @@ 0 0 0 +2 +4 4 0x8793 0x865e7780 @@ -94630,6 +118288,8 @@ 1 0 0 +3 +3 6 0x2cb4 0x11115f8 @@ -94638,6 +118298,8 @@ 0 0 0 +3 +5 2 0x80e 0xb4f15fd3 @@ -94646,6 +118308,8 @@ 1 0 0 +4 +3 2 0xe9a8 0xa8b71799 @@ -94654,6 +118318,8 @@ 0 0 0 +0 +5 2 0xfe6e 0x7c545fff @@ -94662,6 +118328,8 @@ 1 0 0 +4 +3 7 0x2baa 0x7bac64e1 @@ -94670,6 +118338,8 @@ 0 0 0 +4 +5 6 0x8039 0x6f087ce5 @@ -94679,6 +118349,8 @@ 0 0 1 +5 +1 0x17f4 0x3082cf6f 256 @@ -94686,6 +118358,8 @@ 1 0 0 +3 +2 2 0x4429 0x76811b70 @@ -94694,6 +118368,8 @@ 1 1 0 +1 +1 9 0xfc44 0xfbe234be @@ -94702,6 +118378,8 @@ 1 1 0 +4 +4 2 0xeba8 0x5b1dc105 @@ -94710,6 +118388,8 @@ 1 1 0 +2 +0 7 0x2314 0x2514085 @@ -94718,6 +118398,8 @@ 1 1 0 +2 +5 6 0x5bfa 0x67c7e5cb @@ -94726,6 +118408,8 @@ 1 0 0 +0 +2 4 0xb4ce 0xcb3d5886 @@ -94734,6 +118418,8 @@ 0 0 0 +5 +2 10 0xf51f 0xcfe92698 @@ -94742,6 +118428,8 @@ 1 1 0 +4 +0 2 0x5525 0x478409c8 @@ -94750,6 +118438,8 @@ 0 0 0 +0 +1 7 0xc08b 0x21109f3d @@ -94758,6 +118448,8 @@ 0 0 0 +3 +3 4 0xc802 0x4e2b9b93 @@ -94766,6 +118458,8 @@ 0 0 0 +5 +0 3 0xcf5d 0x3641ff4d @@ -94774,6 +118468,8 @@ 0 0 0 +3 +2 6 0x4112 0x20296059 @@ -94782,6 +118478,8 @@ 0 0 0 +3 +4 4 0xada 0x5f2ab75e @@ -94790,6 +118488,8 @@ 0 0 0 +5 +4 6 0x354d 0x98a62db4 @@ -94798,6 +118498,8 @@ 1 1 0 +1 +5 2 0xa368 0x362bb89d @@ -94807,6 +118509,8 @@ 0 0 3 +0 +3 0xfe42 0x11da02ad 256 @@ -94814,6 +118518,8 @@ 1 0 0 +1 +5 10 0xa3b3 0x8b2d3078 @@ -94822,6 +118528,8 @@ 0 0 0 +4 +5 9 0x9a3c 0x7a7d3b4b @@ -94830,6 +118538,8 @@ 1 1 0 +3 +0 7 0x1e1b 0x5f478eb8 @@ -94838,6 +118548,8 @@ 1 1 0 +0 +0 8 0x4230 0x32758979 @@ -94846,6 +118558,8 @@ 1 1 0 +0 +3 6 0x6194 0x9c41ab49 @@ -94855,6 +118569,8 @@ 0 0 5 +0 +5 0xd8b0 0x76bca7e7 256 @@ -94862,6 +118578,8 @@ 0 0 0 +2 +4 9 0x546c 0x1f280fdc @@ -94870,6 +118588,8 @@ 1 0 0 +5 +4 6 0xba9d 0x2a6fd14c @@ -94878,6 +118598,8 @@ 1 0 0 +2 +5 8 0xc832 0xf8ba78a9 @@ -94886,6 +118608,8 @@ 0 0 0 +5 +5 6 0x9aa2 0xec59e0f8 @@ -94894,6 +118618,8 @@ 0 0 0 +1 +5 2 0x6b38 0xbc26d08f @@ -94902,6 +118628,8 @@ 0 0 0 +2 +0 5 0x78be 0x44e5c87d @@ -94910,6 +118638,8 @@ 0 0 0 +0 +4 5 0x5823 0x3d6ef75a @@ -94919,6 +118649,8 @@ 0 0 5 +3 +5 0xc364 0x31d48b0c 256 @@ -94926,6 +118658,8 @@ 0 0 0 +1 +5 5 0x4407 0x1f2c798f @@ -94934,6 +118668,8 @@ 1 0 0 +0 +4 5 0xacd 0x3cf00a02 @@ -94942,6 +118678,8 @@ 1 1 0 +3 +4 6 0x9f8 0x76c029fc @@ -94950,6 +118688,8 @@ 1 1 0 +3 +0 8 0x834c 0xa94949e9 @@ -94958,6 +118698,8 @@ 1 0 0 +5 +0 4 0xe31c 0x15497fa1 @@ -94966,6 +118708,8 @@ 0 0 0 +5 +3 7 0xb990 0xa2215368 @@ -94974,6 +118718,8 @@ 1 1 0 +5 +1 6 0xfa21 0x3ada3a16 @@ -94982,6 +118728,8 @@ 0 0 0 +0 +5 2 0x9751 0x66f257c7 @@ -94991,6 +118739,8 @@ 1 0 4 +0 +4 0xf030 0xbd8bff05 256 @@ -94998,6 +118748,8 @@ 1 1 0 +2 +0 6 0x4805 0xe7ae1d8 @@ -95006,6 +118758,8 @@ 0 0 0 +1 +3 3 0x335 0x70221e25 @@ -95014,6 +118768,8 @@ 1 0 0 +0 +3 4 0x86d8 0x55164a44 @@ -95023,6 +118779,8 @@ 1 0 3 +5 +3 0xbbbd 0xb5be34d7 256 @@ -95030,6 +118788,8 @@ 0 0 0 +5 +1 8 0xdb6a 0x1bc76e8c @@ -95038,6 +118798,8 @@ 1 0 0 +5 +3 2 0x9021 0xca01dc2d @@ -95046,6 +118808,8 @@ 1 0 0 +1 +3 3 0x569e 0xe24d2c40 @@ -95054,6 +118818,8 @@ 0 0 0 +0 +1 8 0x838a 0x4fccd0a @@ -95062,6 +118828,8 @@ 0 0 0 +5 +2 10 0xd36f 0xc7c41fcd @@ -95070,6 +118838,8 @@ 1 1 0 +5 +3 1 0x4261 0xa2953fbe @@ -95078,6 +118848,8 @@ 0 0 0 +1 +4 8 0xa77e 0xade3d080 @@ -95086,6 +118858,8 @@ 1 1 0 +3 +3 1 0x2e3 0x12522325 @@ -95095,6 +118869,8 @@ 0 0 4 +0 +4 0xc846 0x58c2abb6 256 @@ -95102,6 +118878,8 @@ 0 0 0 +3 +0 5 0xe7b0 0x3962a06a @@ -95110,6 +118888,8 @@ 1 1 0 +4 +3 1 0xc596 0x1f16a60d @@ -95118,6 +118898,8 @@ 0 0 0 +1 +0 5 0x91db 0xda8bc631 @@ -95126,6 +118908,8 @@ 1 1 0 +2 +5 3 0x2036 0x7d8c4ee9 @@ -95134,6 +118918,8 @@ 0 0 0 +4 +0 7 0x2100 0x9d89ccd1 @@ -95142,6 +118928,8 @@ 0 0 0 +3 +2 4 0xeb6e 0xc849e8dc @@ -95150,6 +118938,8 @@ 0 0 0 +5 +2 1 0xc2db 0x9a8fa8d9 @@ -95158,6 +118948,8 @@ 1 1 0 +5 +2 8 0x21c0 0xb3358fd4 @@ -95166,6 +118958,8 @@ 0 0 0 +5 +3 4 0xec00 0xd9ae4fdd @@ -95174,6 +118968,8 @@ 1 0 0 +0 +4 2 0x3f3f 0x7a5deaf6 @@ -95182,6 +118978,8 @@ 1 0 0 +0 +1 10 0x1828 0xe118f819 @@ -95190,6 +118988,8 @@ 0 0 0 +0 +3 10 0x122 0x5f5e6a00 @@ -95199,6 +118999,8 @@ 0 0 3 +2 +3 0xc0b2 0xb9038e53 256 @@ -95206,6 +119008,8 @@ 1 0 0 +0 +2 7 0x65d5 0x86ca2f56 @@ -95214,6 +119018,8 @@ 1 1 0 +3 +3 7 0x9057 0xbd607513 @@ -95222,6 +119028,8 @@ 0 0 0 +2 +2 8 0x8580 0xa0721b57 @@ -95230,6 +119038,8 @@ 1 0 0 +0 +3 1 0xacf9 0x1eb87488 @@ -95239,6 +119049,8 @@ 0 0 2 +3 +2 0x85cb 0xec1fcbc1 256 @@ -95246,6 +119058,8 @@ 0 0 0 +5 +0 7 0xf331 0xe94b0c3f @@ -95254,6 +119068,8 @@ 1 1 0 +5 +2 10 0x4a8b 0xbf99234a @@ -95262,6 +119078,8 @@ 0 0 0 +5 +2 8 0x902f 0x462480b9 @@ -95270,6 +119088,8 @@ 0 0 0 +2 +4 4 0xbf1 0x18c88b8f @@ -95278,6 +119098,8 @@ 1 1 0 +4 +3 3 0x843e 0xc160c0c9 @@ -95286,6 +119108,8 @@ 0 0 0 +0 +1 7 0xdfb4 0x48c5ea27 @@ -95294,6 +119118,8 @@ 0 0 0 +2 +0 5 0x4f49 0xa555a770 @@ -95302,6 +119128,8 @@ 1 0 0 +5 +1 6 0x3ecd 0x9f19c3ad @@ -95310,6 +119138,8 @@ 1 1 0 +3 +0 4 0x59f2 0xd0119c58 @@ -95318,6 +119148,8 @@ 0 0 0 +4 +3 9 0xf5dc 0x25063ae @@ -95327,6 +119159,8 @@ 0 0 1 +2 +1 0x4a8e 0xb118072d 256 @@ -95334,6 +119168,8 @@ 1 0 0 +4 +3 10 0xb829 0xb215a23e @@ -95342,6 +119178,8 @@ 0 0 0 +3 +3 5 0x4b4d 0x6d9f821c @@ -95350,6 +119188,8 @@ 1 0 0 +4 +3 9 0x7b05 0xbe4c0ae9 @@ -95358,6 +119198,8 @@ 0 0 0 +0 +4 4 0xb346 0xc78a8 @@ -95366,6 +119208,8 @@ 1 1 0 +1 +5 3 0xc21e 0x1ba7db5c @@ -95374,6 +119218,8 @@ 0 0 0 +3 +4 7 0x47e3 0x8f710ff8 @@ -95382,6 +119228,8 @@ 0 0 0 +2 +5 3 0xf5f 0xb83365d8 @@ -95390,6 +119238,8 @@ 1 1 0 +5 +4 8 0xc6a6 0x57fad250 @@ -95398,6 +119248,8 @@ 0 0 0 +1 +4 4 0xbbe9 0xb7b83629 @@ -95407,6 +119259,8 @@ 1 0 2 +0 +2 0x1f4 0x1a3a3476 256 @@ -95414,6 +119268,8 @@ 1 1 0 +4 +4 3 0x9d0a 0x67baee55 @@ -95422,6 +119278,8 @@ 1 0 0 +0 +4 3 0x6c7e 0xd632eace @@ -95430,6 +119288,8 @@ 0 0 0 +3 +1 8 0xe175 0xd4abf64f @@ -95438,6 +119298,8 @@ 0 0 0 +1 +4 4 0xb85d 0xd20e227e @@ -95446,6 +119308,8 @@ 1 0 0 +3 +5 6 0x7a7b 0x38ef42ee @@ -95454,6 +119318,8 @@ 0 0 0 +4 +3 9 0xd636 0xe1b0ba27 @@ -95462,6 +119328,8 @@ 1 0 0 +2 +5 5 0xb796 0x35a92a47 @@ -95470,6 +119338,8 @@ 0 0 0 +1 +1 3 0x485 0x66ea4f43 @@ -95478,6 +119348,8 @@ 0 0 0 +2 +0 1 0xd1c8 0xa06757ee @@ -95486,6 +119358,8 @@ 0 0 0 +1 +4 4 0xa116 0xbd3cc380 @@ -95494,6 +119368,8 @@ 0 0 0 +5 +5 4 0xfa16 0xbea93853 @@ -95502,6 +119378,8 @@ 0 0 0 +5 +5 7 0xcac2 0x9034b458 @@ -95510,6 +119388,8 @@ 0 0 0 +5 +5 1 0x61b7 0x72610776 @@ -95518,6 +119398,8 @@ 1 0 0 +0 +0 3 0xe00c 0x9e331f8 @@ -95526,6 +119408,8 @@ 1 0 0 +3 +0 9 0xb8db 0xf1eb2e6b @@ -95534,6 +119418,8 @@ 0 0 0 +3 +3 8 0x5bdb 0x7c82b7e7 @@ -95542,6 +119428,8 @@ 1 1 0 +3 +1 5 0x453a 0x9c42795a @@ -95550,6 +119438,8 @@ 1 1 0 +5 +4 2 0xf40e 0x98657553 @@ -95558,6 +119448,8 @@ 1 0 0 +5 +0 9 0xcfe6 0x8fec2b6c @@ -95567,6 +119459,8 @@ 0 0 4 +1 +4 0xe694 0x72007ae7 256 @@ -95574,6 +119468,8 @@ 1 0 0 +2 +5 9 0x430a 0x706687b7 @@ -95582,6 +119478,8 @@ 1 0 0 +4 +4 7 0x3d13 0x9ef7a344 @@ -95590,6 +119488,8 @@ 1 1 0 +3 +5 8 0x9d6d 0x74f70631 @@ -95599,6 +119499,8 @@ 0 0 3 +0 +3 0x4f67 0x87975eb3 256 @@ -95606,6 +119508,8 @@ 0 0 0 +0 +4 4 0xe53d 0xc44838d6 @@ -95614,6 +119518,8 @@ 1 0 0 +0 +2 6 0xf5e 0x8fbcfe15 @@ -95622,6 +119528,8 @@ 1 0 0 +0 +1 1 0x7e02 0xfdf332a1 @@ -95630,6 +119538,8 @@ 1 1 0 +0 +3 9 0x53e2 0x6ed9957a @@ -95638,6 +119548,8 @@ 1 0 0 +0 +0 4 0xd14 0x82583c5 @@ -95646,6 +119558,8 @@ 0 0 0 +3 +2 1 0x728a 0x624b8302 @@ -95654,6 +119568,8 @@ 1 0 0 +4 +2 10 0xbd13 0x3af4ac40 @@ -95662,6 +119578,8 @@ 1 1 0 +0 +1 4 0x63c8 0xb3769272 @@ -95670,6 +119588,8 @@ 1 1 0 +3 +2 6 0x7ad8 0x904b7619 @@ -95678,6 +119598,8 @@ 1 0 0 +2 +2 10 0xc9f 0x3104ba9f @@ -95686,6 +119608,8 @@ 0 0 0 +4 +4 5 0xc55a 0x2dedbfd4 @@ -95694,6 +119618,8 @@ 1 0 0 +5 +3 2 0xceb1 0xc12715ba @@ -95702,6 +119628,8 @@ 0 0 0 +3 +0 7 0xb35d 0x6cdafc3a @@ -95710,6 +119638,8 @@ 0 0 0 +3 +5 9 0x5126 0xb91f7355 @@ -95718,6 +119648,8 @@ 1 0 0 +5 +2 10 0x673 0xbde153b0 @@ -95726,6 +119658,8 @@ 1 1 0 +0 +2 5 0x6cb1 0x4ad7633f @@ -95734,6 +119668,8 @@ 0 0 0 +4 +4 7 0x7e0d 0xc769254 @@ -95742,6 +119678,8 @@ 1 0 0 +4 +4 3 0x897 0x243adb45 @@ -95750,6 +119688,8 @@ 0 0 0 +5 +0 6 0x9e7d 0xd11befc8 @@ -95759,6 +119699,8 @@ 0 0 2 +3 +2 0xfe80 0xd1eeba4d 256 @@ -95766,6 +119708,8 @@ 0 0 0 +3 +1 7 0x29a1 0xe0517d00 @@ -95774,6 +119718,8 @@ 1 1 0 +1 +5 5 0xec05 0x4086e2fb @@ -95782,6 +119728,8 @@ 1 1 0 +2 +0 6 0x2e0e 0xae28e1ae @@ -95790,6 +119738,8 @@ 0 0 0 +1 +3 3 0x7a3b 0xd8edd616 @@ -95798,6 +119748,8 @@ 1 0 0 +4 +0 8 0xf404 0x36b0b55 @@ -95806,6 +119758,8 @@ 0 0 0 +1 +3 10 0x3eb0 0x72ee8636 @@ -95814,6 +119768,8 @@ 1 1 0 +2 +4 9 0xa117 0xe2bee33c @@ -95822,6 +119778,8 @@ 0 0 0 +2 +2 6 0x3342 0x2b232b3c @@ -95830,6 +119788,8 @@ 1 1 0 +5 +1 10 0xe76e 0xbb28984c @@ -95838,6 +119798,8 @@ 0 0 0 +5 +0 4 0xf97a 0xe6fadbc7 @@ -95846,6 +119808,8 @@ 1 0 0 +2 +0 1 0x6ff7 0xc1d243c5 @@ -95854,6 +119818,8 @@ 1 1 0 +4 +3 5 0x6747 0xc60f82ed @@ -95862,6 +119828,8 @@ 0 0 0 +5 +1 7 0x510e 0x8c42096c @@ -95870,6 +119838,8 @@ 1 1 0 +4 +5 3 0x9560 0x377a3d37 @@ -95878,6 +119848,8 @@ 1 1 0 +2 +0 8 0x6afc 0x49c5185b @@ -95886,6 +119858,8 @@ 0 0 0 +3 +2 2 0xa694 0x59e5cbf3 @@ -95895,6 +119869,8 @@ 0 0 2 +0 +2 0x4de8 0x16341904 256 @@ -95902,6 +119878,8 @@ 0 0 0 +4 +0 3 0x738e 0x1120d8ed @@ -95910,6 +119888,8 @@ 0 0 0 +1 +4 4 0x15e 0xc67e3777 @@ -95918,6 +119898,8 @@ 1 1 0 +3 +5 9 0x15e3 0x2ffaef08 @@ -95926,6 +119908,8 @@ 0 0 0 +4 +2 7 0xe095 0x29d4b7ae @@ -95934,6 +119918,8 @@ 1 0 0 +0 +2 9 0x8d9d 0xd56d65cd @@ -95942,6 +119928,8 @@ 0 0 0 +1 +5 10 0xa568 0x272ad381 @@ -95950,6 +119938,8 @@ 1 1 0 +5 +1 3 0x430e 0xe4aac53a @@ -95958,6 +119948,8 @@ 1 0 0 +0 +5 4 0xc5b3 0x9beab441 @@ -95966,6 +119958,8 @@ 1 1 0 +4 +1 2 0x2de 0xad66aca7 @@ -95974,6 +119968,8 @@ 1 0 0 +3 +3 6 0xe595 0x9312349a @@ -95982,6 +119978,8 @@ 1 0 0 +4 +1 10 0x16fb 0x96b1e6ba @@ -95990,6 +119988,8 @@ 0 0 0 +4 +0 3 0xda1c 0xa7d9b3de @@ -95998,6 +119998,8 @@ 0 0 0 +1 +4 7 0x32c4 0x16e281f2 @@ -96006,6 +120008,8 @@ 0 0 0 +0 +5 5 0x37f1 0x9eda6c2 @@ -96014,6 +120018,8 @@ 0 0 0 +3 +3 7 0x9406 0x60954515 @@ -96022,6 +120028,8 @@ 0 0 0 +3 +0 9 0x370e 0x89878fe0 @@ -96030,6 +120038,8 @@ 1 1 0 +1 +3 8 0xc679 0xca9dc04 @@ -96038,6 +120048,8 @@ 1 0 0 +0 +3 2 0xc4c1 0x70a380c @@ -96046,6 +120058,8 @@ 0 0 0 +4 +2 6 0xce57 0x8be4337a @@ -96054,6 +120068,8 @@ 0 0 0 +3 +5 4 0x9769 0x20abb53e @@ -96063,6 +120079,8 @@ 0 0 2 +0 +2 0xffb7 0x8ee6bf2c 256 @@ -96070,6 +120088,8 @@ 1 0 0 +3 +5 7 0x3b2a 0xe0114082 @@ -96079,6 +120099,8 @@ 0 0 4 +2 +4 0x6602 0xec30a2a6 256 @@ -96086,6 +120108,8 @@ 1 1 0 +3 +3 7 0x6e05 0x84239885 @@ -96094,6 +120118,8 @@ 1 1 0 +1 +4 7 0x29d 0x74f4de4a @@ -96102,6 +120128,8 @@ 0 0 0 +3 +3 1 0xc347 0x15ca5883 @@ -96110,6 +120138,8 @@ 1 0 0 +3 +3 9 0x7bde 0x995e7731 @@ -96118,6 +120148,8 @@ 1 1 0 +4 +2 3 0xec3 0x7d540116 @@ -96126,6 +120158,8 @@ 0 0 0 +4 +4 6 0xd48c 0x5f7ffacb @@ -96134,6 +120168,8 @@ 0 0 0 +4 +4 1 0x19dd 0xc542f404 @@ -96142,6 +120178,8 @@ 0 0 0 +3 +0 5 0xc8a0 0x73e6bcee @@ -96150,6 +120188,8 @@ 1 1 0 +2 +0 1 0x4b2f 0xf50cc9ab @@ -96158,6 +120198,8 @@ 1 0 0 +4 +1 8 0xe9de 0x54f2e774 @@ -96166,6 +120208,8 @@ 0 0 0 +0 +0 4 0x257a 0xca71aece @@ -96174,6 +120218,8 @@ 0 0 0 +1 +0 5 0xaeaa 0x5e1aaa8e @@ -96182,6 +120228,8 @@ 0 0 0 +4 +4 10 0xee12 0xa477533d @@ -96190,6 +120238,8 @@ 1 1 0 +3 +3 10 0x7c81 0xe92716db @@ -96198,6 +120248,8 @@ 0 0 0 +0 +2 3 0xc86a 0x6cb3bce2 @@ -96206,6 +120258,8 @@ 1 1 0 +3 +3 8 0x32ae 0xdff7d9ae @@ -96214,6 +120268,8 @@ 0 0 0 +5 +0 2 0x64c6 0x3c0d62 @@ -96222,6 +120278,8 @@ 0 0 0 +5 +5 7 0x24fd 0x67e9a58b @@ -96230,6 +120288,8 @@ 0 0 0 +5 +0 7 0x4f46 0xcfb9e16a @@ -96238,6 +120298,8 @@ 1 0 0 +0 +0 9 0x8781 0x931dc55 @@ -96246,6 +120308,8 @@ 1 1 0 +5 +4 6 0xcf56 0x8f273251 @@ -96254,6 +120318,8 @@ 0 0 0 +5 +3 4 0x7e44 0xb5fab3a7 @@ -96262,6 +120328,8 @@ 0 0 0 +1 +0 3 0xb225 0x889be04b @@ -96270,6 +120338,8 @@ 1 1 0 +0 +4 10 0xdb5d 0xa7b3030d @@ -96278,6 +120348,8 @@ 0 0 0 +1 +3 10 0xd66a 0xb9f43387 @@ -96286,6 +120358,8 @@ 1 0 0 +3 +3 4 0x8182 0x6551b8d4 @@ -96294,6 +120368,8 @@ 1 0 0 +4 +1 3 0x521c 0xaaaae802 @@ -96302,6 +120378,8 @@ 0 0 0 +3 +2 9 0x7d3c 0x3eec66ef @@ -96310,6 +120388,8 @@ 0 0 0 +4 +4 9 0xb9af 0x74a45ab8 @@ -96318,6 +120398,8 @@ 1 0 0 +1 +2 6 0xdebd 0x69d9f26f @@ -96326,6 +120408,8 @@ 0 0 0 +2 +0 3 0xc539 0x9bd8c1b @@ -96334,6 +120418,8 @@ 0 0 0 +4 +5 7 0xb3f 0xbc03c0ed @@ -96343,6 +120429,8 @@ 1 0 5 +2 +5 0x8266 0xc276b4d 256 @@ -96350,6 +120438,8 @@ 0 0 0 +4 +0 1 0x6822 0x6951226d @@ -96358,6 +120448,8 @@ 1 0 0 +2 +4 10 0x4079 0x16220c67 @@ -96366,6 +120458,8 @@ 0 0 0 +2 +0 4 0xf2b2 0x5f3b093a @@ -96374,6 +120468,8 @@ 0 0 0 +5 +1 6 0xa1d2 0x4d29c6f7 @@ -96383,6 +120479,8 @@ 0 0 4 +4 +4 0x2e4c 0x7f1d731c 256 @@ -96390,6 +120488,8 @@ 1 1 0 +3 +5 5 0x72c5 0xf24d7716 @@ -96398,6 +120498,8 @@ 1 0 0 +2 +0 6 0xf3b2 0xa6e582a4 @@ -96406,6 +120508,8 @@ 1 0 0 +5 +0 2 0x91b 0xe8e1e2e7 @@ -96415,6 +120519,8 @@ 0 0 5 +5 +5 0xb363 0xad3cef56 256 @@ -96422,6 +120528,8 @@ 0 0 0 +0 +5 5 0xcaaf 0x839c0704 @@ -96430,6 +120538,8 @@ 0 0 0 +3 +2 2 0x5d38 0xd6dba2d @@ -96438,6 +120548,8 @@ 1 1 0 +0 +1 1 0x495 0x9a6ccbc0 @@ -96446,6 +120558,8 @@ 1 1 0 +1 +2 6 0x1f00 0x76b44de9 @@ -96454,6 +120568,8 @@ 1 1 0 +4 +1 3 0xb39b 0xe5303ea0 @@ -96462,6 +120578,8 @@ 0 0 0 +0 +0 8 0x52f7 0x8aa7fc1 @@ -96470,6 +120588,8 @@ 0 0 0 +5 +5 4 0x93af 0x4a4335 @@ -96478,6 +120598,8 @@ 1 1 0 +4 +5 8 0xa59f 0xe1c3be00 @@ -96486,6 +120608,8 @@ 0 0 0 +2 +5 6 0xbc7c 0x143613d5 @@ -96494,6 +120618,8 @@ 1 1 0 +1 +3 9 0x514c 0xff268f86 @@ -96502,6 +120628,8 @@ 1 1 0 +1 +5 3 0x6de7 0xa650891 @@ -96510,6 +120638,8 @@ 0 0 0 +3 +5 4 0xbc70 0xef722f55 @@ -96518,6 +120648,8 @@ 1 0 0 +0 +4 3 0x5b56 0xbbbd121d @@ -96526,6 +120658,8 @@ 0 0 0 +3 +2 1 0x9e7e 0xfdd87dd8 @@ -96534,6 +120668,8 @@ 1 0 0 +5 +5 4 0x374a 0xd325263d @@ -96542,6 +120678,8 @@ 0 0 0 +5 +5 6 0xde2b 0xe77bf3de @@ -96550,6 +120688,8 @@ 1 1 0 +4 +3 8 0x1a41 0x1458bde6 @@ -96558,6 +120698,8 @@ 1 1 0 +3 +3 5 0x62f3 0xc51ffc08 @@ -96566,6 +120708,8 @@ 1 1 0 +1 +1 5 0x5acd 0xbb0e5664 @@ -96574,6 +120718,8 @@ 0 0 0 +5 +5 10 0xd88 0x7b0dc92c @@ -96582,6 +120728,8 @@ 0 0 0 +2 +1 4 0x55e5 0xc11feccf @@ -96590,6 +120738,8 @@ 1 1 0 +3 +0 4 0x6ae9 0x14d4914b @@ -96598,6 +120748,8 @@ 1 0 0 +4 +2 1 0xa9d7 0x836a7952 @@ -96606,6 +120758,8 @@ 1 0 0 +3 +2 5 0x813d 0xe46827c2 @@ -96614,6 +120768,8 @@ 0 0 0 +1 +1 7 0x2dc1 0xfd35642 @@ -96622,6 +120778,8 @@ 1 0 0 +1 +5 7 0x8f20 0xc3dc4af2 @@ -96630,6 +120788,8 @@ 0 0 0 +0 +1 6 0xfd05 0x64e3da7e @@ -96638,6 +120798,8 @@ 0 0 0 +4 +4 10 0x6117 0xe150fe08 @@ -96646,6 +120808,8 @@ 1 0 0 +2 +2 4 0xed8 0x95ddaabf @@ -96654,6 +120818,8 @@ 1 0 0 +2 +4 8 0xdae3 0x52c6f87f @@ -96663,6 +120829,8 @@ 0 0 5 +4 +5 0x32a3 0xc60d2df8 256 @@ -96670,6 +120838,8 @@ 1 1 0 +4 +4 10 0x1856 0xc0e60e8f @@ -96678,6 +120848,8 @@ 1 0 0 +1 +5 5 0xf38 0x9228765a @@ -96686,6 +120858,8 @@ 0 0 0 +0 +4 8 0x942c 0x8c1e18ce @@ -96694,6 +120868,8 @@ 1 0 0 +3 +2 6 0xeec 0xfaaa357e @@ -96702,6 +120878,8 @@ 1 0 0 +1 +0 3 0x53ac 0x50e969fe @@ -96711,6 +120889,8 @@ 0 0 2 +5 +2 0x4aad 0xfee4336e 256 @@ -96718,6 +120898,8 @@ 0 0 0 +4 +1 5 0xf4ce 0xb55512c1 @@ -96727,6 +120909,8 @@ 0 0 5 +4 +5 0x1764 0xfe65d6b7 256 @@ -96734,6 +120918,8 @@ 0 0 0 +0 +2 5 0x2f7a 0xd8f9c451 @@ -96742,6 +120928,8 @@ 1 1 0 +4 +1 8 0xcf8f 0x9e227c35 @@ -96750,6 +120938,8 @@ 1 0 0 +3 +5 7 0xc0dd 0x7b4ee749 @@ -96758,6 +120948,8 @@ 1 0 0 +3 +5 9 0x25a7 0x4218bedb @@ -96766,6 +120958,8 @@ 0 0 0 +1 +3 8 0xc7e1 0x8e34860c @@ -96774,6 +120968,8 @@ 1 0 0 +3 +0 2 0xa761 0x42b200ee @@ -96782,6 +120978,8 @@ 1 0 0 +2 +2 9 0xce79 0xcad847f2 @@ -96790,6 +120988,8 @@ 0 0 0 +2 +3 3 0x55f7 0xe1da1c8 @@ -96798,6 +120998,8 @@ 0 0 0 +3 +2 10 0xe353 0x226a8178 @@ -96807,6 +121009,8 @@ 0 0 3 +2 +3 0x2743 0x8dba9388 256 @@ -96814,6 +121018,8 @@ 1 1 0 +3 +4 8 0xb723 0xdf003c7 @@ -96822,6 +121028,8 @@ 0 0 0 +3 +2 4 0x105c 0x69aeb05d @@ -96830,6 +121038,8 @@ 1 0 0 +1 +3 10 0x74b3 0x70cf395f @@ -96838,6 +121048,8 @@ 0 0 0 +1 +2 7 0xe931 0xeb639fda @@ -96846,6 +121058,8 @@ 0 0 0 +1 +1 10 0xa594 0xf39740de @@ -96855,6 +121069,8 @@ 0 0 5 +2 +5 0x93d5 0x89ed95be 256 @@ -96862,6 +121078,8 @@ 1 0 0 +5 +0 4 0x9f80 0xcf150af9 @@ -96870,6 +121088,8 @@ 0 0 0 +2 +5 10 0x28ed 0x56906aae @@ -96878,6 +121098,8 @@ 1 0 0 +5 +0 8 0x8318 0x94a6bfd8 @@ -96886,6 +121108,8 @@ 1 1 0 +2 +0 9 0x6cb4 0x94ff7a3c @@ -96894,6 +121118,8 @@ 1 1 0 +3 +4 5 0x7eef 0x70c387fe @@ -96902,6 +121128,8 @@ 1 0 0 +1 +0 5 0x9d45 0x779d7df4 @@ -96911,6 +121139,8 @@ 1 0 5 +3 +5 0xa5d7 0xd484af17 256 @@ -96918,6 +121148,8 @@ 0 0 0 +2 +0 7 0x949b 0xbfeace4a @@ -96926,6 +121158,8 @@ 0 0 0 +0 +3 2 0xdeff 0x85536b52 @@ -96934,6 +121168,8 @@ 1 0 0 +1 +4 7 0xb31b 0xbb68fcdd @@ -96942,6 +121178,8 @@ 0 0 0 +4 +3 7 0xc9f0 0x6186897d @@ -96950,6 +121188,8 @@ 1 0 0 +5 +4 9 0x5770 0xfa236e71 @@ -96958,6 +121198,8 @@ 0 0 0 +2 +3 8 0x81db 0xc7920be0 @@ -96966,6 +121208,8 @@ 0 0 0 +0 +3 1 0x132f 0x4aa62df9 @@ -96974,6 +121218,8 @@ 1 0 0 +1 +2 7 0xca7c 0xcffa28c6 @@ -96982,6 +121228,8 @@ 1 1 0 +3 +2 8 0xbcf2 0x53babb23 @@ -96990,6 +121238,8 @@ 0 0 0 +5 +1 7 0xfb62 0x8faa8e20 @@ -96998,6 +121248,8 @@ 0 0 0 +4 +1 9 0x586a 0xcccdf997 @@ -97006,6 +121258,8 @@ 1 0 0 +1 +4 3 0xcd9e 0x823f4b05 @@ -97014,6 +121268,8 @@ 0 0 0 +3 +5 10 0x1c52 0x8ce28bc8 @@ -97023,6 +121279,8 @@ 0 0 2 +5 +2 0xccc2 0xa1e3a14a 256 @@ -97030,6 +121288,8 @@ 0 0 0 +0 +4 5 0x2272 0x48548355 @@ -97038,6 +121298,8 @@ 0 0 0 +1 +1 10 0x7f37 0xbcf0d3fb @@ -97046,6 +121308,8 @@ 0 0 0 +4 +3 9 0xdb4 0xea799a8f @@ -97054,6 +121318,8 @@ 1 1 0 +1 +0 2 0x6b0d 0x8c9bc1a7 @@ -97062,6 +121328,8 @@ 0 0 0 +0 +2 5 0x19b6 0xb217bfb6 @@ -97070,6 +121338,8 @@ 1 1 0 +3 +2 10 0x121a 0x91a029ff @@ -97078,6 +121348,8 @@ 0 0 0 +2 +0 5 0xd98c 0xe9bfead8 @@ -97086,6 +121358,8 @@ 0 0 0 +3 +1 9 0x4628 0x51af9626 @@ -97094,6 +121368,8 @@ 1 0 0 +4 +4 9 0x991c 0x55c36f8d @@ -97102,6 +121378,8 @@ 0 0 0 +0 +1 6 0x4eea 0x246a4225 @@ -97110,6 +121388,8 @@ 1 0 0 +4 +4 6 0x20c 0xcdf30612 @@ -97118,6 +121398,8 @@ 1 1 0 +5 +3 7 0x7a71 0xba804fe9 @@ -97126,6 +121408,8 @@ 1 1 0 +5 +3 1 0xbeee 0xa459f0bd @@ -97134,6 +121418,8 @@ 0 0 0 +3 +5 4 0x3719 0x360cab1a @@ -97142,6 +121428,8 @@ 1 0 0 +1 +4 4 0x1956 0x4db53995 @@ -97150,6 +121438,8 @@ 0 0 0 +3 +5 9 0x6fd 0x9b246380 @@ -97158,6 +121448,8 @@ 0 0 0 +1 +4 7 0x34eb 0xbf147b50 @@ -97166,6 +121458,8 @@ 0 0 0 +4 +5 6 0x9ef1 0x8dcd5ea9 @@ -97174,6 +121468,8 @@ 0 0 0 +0 +1 1 0x1c22 0x76c2329c @@ -97182,6 +121478,8 @@ 0 0 0 +0 +4 10 0x3f36 0xa486dc0b @@ -97190,6 +121488,8 @@ 0 0 0 +2 +0 1 0x69a2 0xb7274829 @@ -97198,6 +121498,8 @@ 1 0 0 +5 +4 9 0x56d8 0xbcad6f76 @@ -97206,6 +121508,8 @@ 1 1 0 +2 +4 8 0x6c13 0x761f12be @@ -97214,6 +121518,8 @@ 0 0 0 +4 +1 10 0xe70d 0x150fb35f @@ -97222,6 +121528,8 @@ 0 0 0 +1 +3 4 0xebc7 0x6b595099 @@ -97230,6 +121538,8 @@ 0 0 0 +5 +2 2 0xfe1 0x651517f7 @@ -97238,6 +121548,8 @@ 0 0 0 +5 +1 4 0xbd75 0xc84b80ea @@ -97246,6 +121558,8 @@ 0 0 0 +4 +3 10 0xd443 0xf832feeb @@ -97254,6 +121568,8 @@ 0 0 0 +5 +5 3 0x17 0x4ce5140a @@ -97262,6 +121578,8 @@ 1 0 0 +5 +3 9 0xc7eb 0xad471358 @@ -97270,6 +121588,8 @@ 1 1 0 +0 +5 6 0x946e 0x1ab652a3 @@ -97278,6 +121598,8 @@ 0 0 0 +4 +0 3 0x47dc 0xf342c0c @@ -97287,6 +121609,8 @@ 0 0 1 +2 +1 0x7616 0xa264aad6 256 @@ -97294,6 +121618,8 @@ 0 0 0 +2 +4 1 0xcb20 0x39533d89 @@ -97302,6 +121628,8 @@ 1 1 0 +0 +1 2 0xc22c 0x807f330a @@ -97311,6 +121639,8 @@ 0 0 4 +1 +4 0x87cf 0x7f954927 256 @@ -97318,6 +121648,8 @@ 1 1 0 +4 +3 2 0xbb68 0x8cb099c6 @@ -97326,6 +121658,8 @@ 1 1 0 +3 +4 2 0xba0 0x272ac004 @@ -97334,6 +121668,8 @@ 0 0 0 +1 +5 9 0xf1e5 0xc7468efe @@ -97342,6 +121678,8 @@ 1 1 0 +2 +4 8 0x9c47 0x27decef2 @@ -97350,6 +121688,8 @@ 1 1 0 +5 +1 8 0x4668 0x942d8cb8 @@ -97358,6 +121698,8 @@ 0 0 0 +1 +1 10 0x6315 0x62c9c41b @@ -97366,6 +121708,8 @@ 0 0 0 +2 +3 8 0xa51b 0xd57ceea2 @@ -97374,6 +121718,8 @@ 1 1 0 +1 +2 7 0xeb98 0x1d0cf7e2 @@ -97382,6 +121728,8 @@ 1 1 0 +1 +1 6 0x5bc1 0x68b746e9 @@ -97390,6 +121738,8 @@ 1 1 0 +1 +1 4 0xfa5e 0x932f6271 @@ -97398,6 +121748,8 @@ 0 0 0 +3 +4 2 0x82fd 0x81fea079 @@ -97406,6 +121758,8 @@ 1 1 0 +3 +0 6 0x173a 0x98a2f116 @@ -97414,6 +121768,8 @@ 0 0 0 +1 +2 3 0x7c31 0xc3c37273 @@ -97422,6 +121778,8 @@ 0 0 0 +4 +2 6 0xe1ea 0x636a714d @@ -97430,6 +121788,8 @@ 0 0 0 +3 +0 6 0x5d3e 0xecb92797 @@ -97438,6 +121798,8 @@ 0 0 0 +0 +4 5 0xaef0 0xb479680 @@ -97446,6 +121808,8 @@ 0 0 0 +3 +2 5 0xd3cd 0xf9a41b2e @@ -97454,6 +121818,8 @@ 0 0 0 +3 +4 1 0x6fcd 0x989958e @@ -97462,6 +121828,8 @@ 0 0 0 +2 +3 3 0xb201 0x2a08c00a @@ -97470,6 +121838,8 @@ 1 0 0 +5 +1 3 0x55dc 0xc1aa7934 @@ -97478,6 +121848,8 @@ 0 0 0 +2 +2 4 0x3f5d 0x2020f2a8 @@ -97486,6 +121858,8 @@ 0 0 0 +5 +1 1 0xde53 0xb24d4234 @@ -97494,6 +121868,8 @@ 0 0 0 +2 +0 1 0xc3a5 0xb8487361 @@ -97502,6 +121878,8 @@ 1 1 0 +5 +4 4 0x1f37 0xfcea8fd7 @@ -97511,6 +121889,8 @@ 0 0 1 +5 +1 0x2d9d 0x2fecc404 256 @@ -97518,6 +121898,8 @@ 1 1 0 +0 +5 3 0xd2bc 0xc1897aef @@ -97526,6 +121908,8 @@ 1 0 0 +3 +4 7 0x1839 0x724d587f @@ -97534,6 +121918,8 @@ 1 1 0 +0 +0 4 0x9aa1 0x729902e6 @@ -97542,6 +121928,8 @@ 1 0 0 +5 +2 7 0xaaf1 0x2a6276f2 @@ -97550,6 +121938,8 @@ 1 0 0 +2 +5 3 0x6788 0xeb41f2e3 @@ -97558,6 +121948,8 @@ 0 0 0 +3 +0 6 0xc07a 0xa4cd36e3 @@ -97566,6 +121958,8 @@ 0 0 0 +5 +2 10 0x6057 0x742fcb08 @@ -97574,6 +121968,8 @@ 0 0 0 +4 +0 8 0xf33f 0x391b0dd3 @@ -97582,6 +121978,8 @@ 1 0 0 +3 +3 9 0x2c1 0x2c8cfb3f @@ -97590,6 +121988,8 @@ 0 0 0 +5 +3 8 0xa001 0x96830bc9 @@ -97598,6 +121998,8 @@ 1 0 0 +2 +2 1 0xc148 0x4c0b664b @@ -97606,6 +122008,8 @@ 1 0 0 +3 +3 6 0x5afb 0xfea02a7c @@ -97614,6 +122018,8 @@ 0 0 0 +0 +2 7 0xf2af 0x134d7cbd @@ -97622,6 +122028,8 @@ 1 0 0 +2 +5 8 0xbd0b 0xc2f452e5 @@ -97630,6 +122038,8 @@ 1 0 0 +5 +4 4 0x5d9c 0x1b3e5b0e @@ -97638,6 +122048,8 @@ 1 0 0 +4 +5 2 0xa8f8 0xbdd61b4c @@ -97646,6 +122058,8 @@ 1 0 0 +1 +5 7 0x84ca 0x3b7f5630 @@ -97655,6 +122069,8 @@ 0 0 4 +4 +4 0x420a 0xf6a1261 256 @@ -97663,6 +122079,8 @@ 0 0 3 +5 +3 0x7426 0xe2c8de9 256 @@ -97670,6 +122088,8 @@ 0 0 0 +0 +2 10 0xc66d 0xc4f66d6a @@ -97678,6 +122098,8 @@ 0 0 0 +5 +4 10 0x2c14 0x7680381c @@ -97686,6 +122108,8 @@ 0 0 0 +5 +3 7 0xa8f2 0x6ff6d146 @@ -97694,6 +122118,8 @@ 0 0 0 +4 +1 5 0x4081 0xb0cb1e3b @@ -97702,6 +122128,8 @@ 1 0 0 +0 +2 1 0xe0df 0xe7b1a6a1 @@ -97710,6 +122138,8 @@ 0 0 0 +0 +5 5 0xd701 0x8fbb272d @@ -97719,6 +122149,8 @@ 0 0 4 +2 +4 0xabb1 0x327d24dc 256 @@ -97726,6 +122158,8 @@ 0 0 0 +0 +3 6 0x2f34 0x4fe3f07d @@ -97734,6 +122168,8 @@ 1 1 0 +4 +4 2 0xb7c5 0x1e912437 @@ -97742,6 +122178,8 @@ 1 0 0 +5 +0 6 0x5426 0x8604eefc @@ -97750,6 +122188,8 @@ 1 0 0 +0 +4 6 0xb0ff 0xde9ff34f @@ -97758,6 +122198,8 @@ 1 0 0 +3 +2 6 0x24b8 0x42c11c4 @@ -97766,6 +122208,8 @@ 1 1 0 +4 +3 5 0xa520 0x53d447d7 @@ -97774,6 +122218,8 @@ 0 0 0 +2 +3 9 0xa914 0x83493e7f @@ -97782,6 +122228,8 @@ 1 1 0 +3 +5 8 0x6bb6 0x50f00ad2 @@ -97790,6 +122238,8 @@ 0 0 0 +5 +4 8 0xe692 0xc0a100f8 @@ -97798,6 +122248,8 @@ 1 1 0 +2 +5 10 0x8bf9 0x675b685c @@ -97806,6 +122258,8 @@ 0 0 0 +1 +2 6 0x860e 0x92031af4 @@ -97814,6 +122268,8 @@ 1 0 0 +0 +0 2 0x68e 0xd92a7e42 @@ -97823,6 +122279,8 @@ 0 0 2 +4 +2 0xf4fc 0xe9543ba2 256 @@ -97830,6 +122288,8 @@ 1 1 0 +5 +2 6 0x2d7e 0xcf4a15e7 @@ -97838,6 +122298,8 @@ 0 0 0 +2 +0 4 0xb9fa 0xa2cc1fa3 @@ -97847,6 +122309,8 @@ 0 0 2 +0 +2 0x9100 0xf0f9509f 256 @@ -97854,6 +122318,8 @@ 1 0 0 +2 +2 7 0x32d1 0x2428dc82 @@ -97862,6 +122328,8 @@ 0 0 0 +5 +1 4 0x3090 0x7fe98bb3 @@ -97870,6 +122338,8 @@ 0 0 0 +0 +5 3 0x89a8 0x832f7816 @@ -97878,6 +122348,8 @@ 0 0 0 +1 +3 3 0xb618 0x4ffb1291 @@ -97886,6 +122358,8 @@ 1 1 0 +5 +0 10 0x8635 0x8729061e @@ -97894,6 +122368,8 @@ 0 0 0 +1 +1 8 0xe77c 0x53910620 @@ -97902,6 +122378,8 @@ 0 0 0 +4 +2 8 0xe77d 0xc6ed3a08 @@ -97910,6 +122388,8 @@ 1 1 0 +2 +5 3 0x93ba 0x2a747e91 @@ -97918,6 +122398,8 @@ 1 1 0 +4 +5 7 0x419a 0xbe64fcfe @@ -97926,6 +122408,8 @@ 0 0 0 +3 +5 10 0x2bfc 0x14be4135 @@ -97934,6 +122418,8 @@ 1 0 0 +5 +0 6 0xe34a 0xb398b07a @@ -97942,6 +122428,8 @@ 1 0 0 +4 +2 6 0xe8a6 0x94fe5f16 @@ -97951,6 +122439,8 @@ 0 0 4 +1 +4 0xb3a9 0xc85b18d0 256 @@ -97958,6 +122448,8 @@ 1 0 0 +3 +1 8 0x9337 0x64413d3d @@ -97967,6 +122459,8 @@ 0 0 2 +3 +2 0xb1a8 0xb09850e9 256 @@ -97974,6 +122468,8 @@ 0 0 0 +3 +1 9 0x6071 0xc901b2dd @@ -97982,6 +122478,8 @@ 1 1 0 +0 +4 9 0x2306 0x52a11866 @@ -97990,6 +122488,8 @@ 0 0 0 +1 +5 10 0x3712 0x31323ade @@ -97998,6 +122498,8 @@ 0 0 0 +5 +2 6 0x3480 0xc0533dfd @@ -98006,6 +122508,8 @@ 0 0 0 +0 +4 10 0xd924 0x9ab43a84 @@ -98014,6 +122518,8 @@ 1 1 0 +0 +3 6 0x9f4e 0xc2cb2a1b @@ -98022,6 +122528,8 @@ 1 0 0 +5 +1 1 0x14ca 0x15f03c62 @@ -98030,6 +122538,8 @@ 1 0 0 +2 +4 7 0x25e8 0x1ea3166d @@ -98038,6 +122548,8 @@ 1 0 0 +4 +5 10 0x3b4b 0xc0404343 @@ -98046,6 +122558,8 @@ 0 0 0 +3 +2 9 0x22bd 0x960c695e @@ -98054,6 +122568,8 @@ 0 0 0 +0 +5 2 0xb138 0xa358e958 @@ -98062,6 +122578,8 @@ 0 0 0 +1 +3 3 0x101c 0x29bb01e5 @@ -98070,6 +122588,8 @@ 0 0 0 +0 +0 3 0xcd5b 0xb8a31e50 @@ -98078,6 +122598,8 @@ 0 0 0 +0 +0 3 0xc163 0x321c043e @@ -98086,6 +122608,8 @@ 0 0 0 +2 +3 7 0xa28d 0xba3cb740 @@ -98094,6 +122618,8 @@ 0 0 0 +2 +5 9 0xe8f5 0xd4ff2165 @@ -98102,6 +122628,8 @@ 1 1 0 +0 +3 3 0x378f 0x34b273f4 @@ -98110,6 +122638,8 @@ 0 0 0 +2 +2 4 0xed7f 0xc9de9cf6 @@ -98118,6 +122648,8 @@ 1 1 0 +4 +3 3 0x3603 0xbb5c8a1 @@ -98126,6 +122658,8 @@ 0 0 0 +4 +0 5 0x155a 0xaadc01ed @@ -98134,6 +122668,8 @@ 1 1 0 +1 +2 3 0x29a9 0x28c31cee @@ -98142,6 +122678,8 @@ 1 1 0 +0 +4 8 0x7865 0x1f2bf077 @@ -98151,6 +122689,8 @@ 1 0 3 +0 +3 0xf9d 0x6c38be1b 256 @@ -98158,6 +122698,8 @@ 1 0 0 +0 +0 10 0x2340 0x594e8f32 @@ -98166,6 +122708,8 @@ 1 0 0 +4 +0 10 0x49b9 0x27a71e17 @@ -98174,6 +122718,8 @@ 0 0 0 +0 +3 2 0x36b4 0x41798a4f @@ -98183,6 +122729,8 @@ 0 0 4 +5 +4 0x5fb7 0xcfc7b15a 256 @@ -98191,6 +122739,8 @@ 1 0 1 +5 +1 0xd97f 0x6f490e8 256 @@ -98198,6 +122748,8 @@ 0 0 0 +0 +1 5 0x63e3 0x257962e4 @@ -98206,6 +122758,8 @@ 0 0 0 +0 +1 8 0x6be9 0x712408c6 @@ -98214,6 +122768,8 @@ 0 0 0 +0 +0 7 0x5b89 0xb045b2a0 @@ -98222,6 +122778,8 @@ 1 1 0 +5 +1 9 0xecac 0xea101b68 @@ -98230,6 +122788,8 @@ 1 1 0 +5 +2 6 0xf384 0x7329853e @@ -98238,6 +122798,8 @@ 1 1 0 +5 +5 7 0x942d 0x29bb6ca9 @@ -98246,6 +122808,8 @@ 1 1 0 +2 +2 9 0xdd41 0xed2091c2 @@ -98254,6 +122818,8 @@ 1 1 0 +2 +2 5 0x7ea5 0xe3842c77 @@ -98262,6 +122828,8 @@ 1 0 0 +3 +1 1 0xff9f 0x3173ff7c @@ -98270,6 +122838,8 @@ 0 0 0 +4 +5 5 0xe9e 0x447dddba @@ -98279,6 +122849,8 @@ 0 0 3 +0 +3 0x6dc6 0x852d938c 256 @@ -98286,6 +122858,8 @@ 0 0 0 +3 +0 1 0x16e8 0xb97d01e2 @@ -98294,6 +122868,8 @@ 0 0 0 +2 +5 7 0xc5ba 0xba9a4a5e @@ -98302,6 +122878,8 @@ 0 0 0 +3 +1 6 0x4a3 0x3eef46e1 @@ -98310,6 +122888,8 @@ 1 0 0 +5 +5 9 0x6085 0xe14b4bf3 @@ -98318,6 +122898,8 @@ 0 0 0 +3 +3 7 0x68d0 0x32ed2f66 @@ -98326,6 +122908,8 @@ 0 0 0 +1 +0 8 0x86fc 0xe3a34e70 @@ -98334,6 +122918,8 @@ 0 0 0 +2 +3 7 0x4201 0xd38460d3 @@ -98343,6 +122929,8 @@ 1 0 3 +0 +3 0xe353 0xdd967550 256 @@ -98350,6 +122938,8 @@ 1 1 0 +3 +2 2 0xcf27 0x6bb71ad8 @@ -98359,6 +122949,8 @@ 1 0 2 +2 +2 0x7bd9 0xad7f653b 256 @@ -98366,6 +122958,8 @@ 0 0 0 +0 +5 4 0x2290 0x51391cf @@ -98374,6 +122968,8 @@ 1 0 0 +0 +5 4 0x8fec 0x945876ed @@ -98382,6 +122978,8 @@ 1 1 0 +3 +4 8 0x15dc 0x6e3ec38a @@ -98390,6 +122988,8 @@ 1 0 0 +0 +3 10 0x5fc4 0xd3183390 @@ -98398,6 +122998,8 @@ 0 0 0 +5 +1 10 0x8435 0x214daf56 @@ -98406,6 +123008,8 @@ 1 0 0 +2 +0 9 0xcb30 0x7b7dc17b @@ -98415,6 +123019,8 @@ 0 0 3 +2 +3 0x9895 0x2dd5202a 256 @@ -98422,6 +123028,8 @@ 0 0 0 +0 +2 1 0x4995 0x95a3f688 @@ -98430,6 +123038,8 @@ 1 0 0 +3 +3 9 0x47e6 0x2489657d @@ -98438,6 +123048,8 @@ 1 1 0 +5 +5 3 0x11 0xe44aa5dd @@ -98446,6 +123058,8 @@ 0 0 0 +1 +3 7 0x1c54 0x1a3d33ac @@ -98454,6 +123068,8 @@ 0 0 0 +5 +2 3 0xcb59 0xee61313b @@ -98462,6 +123078,8 @@ 0 0 0 +2 +0 9 0x50c5 0x285a94ff @@ -98470,6 +123088,8 @@ 0 0 0 +0 +4 3 0x740c 0x3be2e134 @@ -98478,6 +123098,8 @@ 0 0 0 +0 +5 8 0xd2a4 0xd158b0d0 @@ -98486,6 +123108,8 @@ 0 0 0 +0 +5 5 0x4452 0x9185c3de @@ -98494,6 +123118,8 @@ 0 0 0 +1 +4 8 0x334f 0x3ec0aaff @@ -98502,6 +123128,8 @@ 0 0 0 +2 +1 10 0x8143 0x2c5d9b37 @@ -98510,6 +123138,8 @@ 0 0 0 +3 +1 1 0xc56a 0x8cf3659 @@ -98518,6 +123148,8 @@ 1 0 0 +2 +2 6 0x9e75 0xec5166ed @@ -98527,6 +123159,8 @@ 0 0 4 +2 +4 0x4e80 0xca16327d 256 @@ -98534,6 +123168,8 @@ 0 0 0 +5 +5 10 0x5066 0x5f2369e7 @@ -98542,6 +123178,8 @@ 0 0 0 +5 +1 4 0x6f39 0x642956b4 @@ -98551,6 +123189,8 @@ 0 0 1 +3 +1 0x3fdf 0xb360376 256 @@ -98558,6 +123198,8 @@ 1 1 0 +5 +5 10 0xf4e3 0x4e50c4b7 @@ -98566,6 +123208,8 @@ 0 0 0 +3 +5 1 0x8dfa 0x28590640 @@ -98574,6 +123218,8 @@ 0 0 0 +2 +0 1 0xd4a4 0xc9444e38 @@ -98582,6 +123228,8 @@ 1 0 0 +1 +4 6 0xfa1e 0x12f29948 @@ -98590,6 +123238,8 @@ 1 1 0 +4 +1 8 0xfdd9 0x4fba4dcb @@ -98598,6 +123248,8 @@ 1 1 0 +3 +1 10 0xff36 0x43a4062 @@ -98606,6 +123258,8 @@ 0 0 0 +3 +5 10 0xe9b7 0x49933337 @@ -98614,6 +123268,8 @@ 0 0 0 +0 +3 2 0x122 0xd7ddd2aa @@ -98622,6 +123278,8 @@ 0 0 0 +2 +2 10 0xd7c3 0x9c1ec1db @@ -98630,6 +123288,8 @@ 1 0 0 +0 +5 4 0x9f82 0x518e2479 @@ -98638,6 +123298,8 @@ 0 0 0 +1 +3 2 0x12d2 0xa3ef1214 @@ -98646,6 +123308,8 @@ 0 0 0 +0 +3 4 0x1544 0xb0f4115c @@ -98654,6 +123318,8 @@ 1 1 0 +1 +1 10 0xaf1f 0x6a55eefc @@ -98662,6 +123328,8 @@ 0 0 0 +1 +2 5 0x526 0xf6b048f9 @@ -98670,6 +123338,8 @@ 0 0 0 +2 +1 5 0x28b7 0x60c7d620 @@ -98678,6 +123348,8 @@ 0 0 0 +0 +3 2 0x3470 0x33f83e6a @@ -98686,6 +123358,8 @@ 0 0 0 +2 +0 10 0xb059 0x744af70a @@ -98694,6 +123368,8 @@ 0 0 0 +1 +4 8 0x33a3 0x3a714f72 @@ -98702,6 +123378,8 @@ 0 0 0 +0 +4 9 0xcc66 0xc3227683 @@ -98711,6 +123389,8 @@ 0 0 1 +3 +1 0x34c8 0x6f4e4249 256 @@ -98718,6 +123398,8 @@ 1 0 0 +4 +0 1 0xa8c4 0x42941fd1 @@ -98726,6 +123408,8 @@ 1 1 0 +3 +3 1 0xc0a1 0xe6d1d27a @@ -98734,6 +123418,8 @@ 0 0 0 +0 +5 6 0x81f9 0x779286a1 @@ -98742,6 +123428,8 @@ 1 1 0 +5 +5 8 0xee0c 0xe83bd92d @@ -98750,6 +123438,8 @@ 0 0 0 +4 +5 9 0x2cfd 0xbd2d562b @@ -98758,6 +123448,8 @@ 0 0 0 +0 +1 7 0x909c 0x90e36b2c @@ -98766,6 +123458,8 @@ 1 1 0 +1 +5 3 0x9658 0x292bc50 @@ -98774,6 +123468,8 @@ 0 0 0 +2 +0 3 0x125e 0x526ab807 @@ -98783,6 +123479,8 @@ 0 0 4 +2 +4 0x7d0 0xb6c0eceb 256 @@ -98790,6 +123488,8 @@ 0 0 0 +3 +3 10 0x7eed 0x622eb742 @@ -98798,6 +123498,8 @@ 1 1 0 +2 +1 10 0xa75e 0x1d984573 @@ -98806,6 +123508,8 @@ 0 0 0 +5 +2 7 0x7931 0xd042eecf @@ -98814,6 +123518,8 @@ 0 0 0 +4 +5 10 0x9d3f 0xd85cb0ce @@ -98822,6 +123528,8 @@ 0 0 0 +1 +3 6 0x8432 0xb7411ece @@ -98830,6 +123538,8 @@ 1 0 0 +4 +3 2 0x623c 0x9689454d @@ -98838,6 +123548,8 @@ 0 0 0 +0 +5 7 0x7e92 0x1d39d942 @@ -98846,6 +123558,8 @@ 1 1 0 +3 +4 1 0xfb1b 0x3d170ae8 @@ -98854,6 +123568,8 @@ 1 0 0 +2 +0 6 0x7d79 0x394894f2 @@ -98862,6 +123578,8 @@ 0 0 0 +3 +1 1 0x3952 0x9d434072 @@ -98870,6 +123588,8 @@ 1 1 0 +3 +2 5 0x2b8 0x73d62c02 @@ -98878,6 +123598,8 @@ 0 0 0 +4 +0 7 0x2e5a 0x91daddea @@ -98886,6 +123608,8 @@ 1 0 0 +3 +0 5 0x5a64 0xbc544456 @@ -98894,6 +123618,8 @@ 1 0 0 +4 +3 10 0xaeeb 0xa900e8e1 @@ -98903,6 +123629,8 @@ 0 0 5 +2 +5 0x4d33 0x34f71ef2 256 @@ -98910,6 +123638,8 @@ 1 0 0 +0 +3 8 0x9ba8 0x63778b2c @@ -98918,6 +123648,8 @@ 1 1 0 +2 +3 5 0x4bea 0x7042d03d @@ -98926,6 +123658,8 @@ 1 0 0 +1 +4 8 0xd8b6 0xc9de36e3 @@ -98934,6 +123668,8 @@ 1 0 0 +1 +1 10 0xf97c 0x4b42f12f @@ -98942,6 +123678,8 @@ 1 1 0 +0 +2 9 0xf95d 0x11bf9ec0 @@ -98950,6 +123688,8 @@ 1 0 0 +2 +0 1 0x5863 0x67b34654 @@ -98958,6 +123698,8 @@ 0 0 0 +3 +1 10 0x893e 0x4bfce2a4 @@ -98966,6 +123708,8 @@ 0 0 0 +4 +3 1 0x83f 0x17f1a7dc @@ -98974,6 +123718,8 @@ 0 0 0 +3 +0 6 0x66e5 0xadfd7c93 @@ -98982,6 +123728,8 @@ 1 1 0 +0 +3 2 0xc284 0x4aefdcde @@ -98990,6 +123738,8 @@ 0 0 0 +4 +4 7 0xc1d3 0xcae6b35d @@ -98998,6 +123748,8 @@ 1 1 0 +3 +2 9 0x6615 0xbf5d47c2 @@ -99006,6 +123758,8 @@ 0 0 0 +4 +0 5 0xf3e 0x348e751 @@ -99015,6 +123769,8 @@ 0 0 3 +4 +3 0x2c95 0x79719c52 256 @@ -99022,6 +123778,8 @@ 0 0 0 +3 +4 1 0x520a 0xfe4122ae @@ -99030,6 +123788,8 @@ 0 0 0 +4 +3 10 0x844c 0x3a0549ec @@ -99038,6 +123798,8 @@ 1 1 0 +2 +1 4 0xcb2e 0xda3c0f7f @@ -99046,6 +123808,8 @@ 1 0 0 +3 +0 8 0x3e31 0xedf80f9c @@ -99054,6 +123818,8 @@ 1 0 0 +0 +1 10 0xcff3 0x6132c681 @@ -99062,6 +123828,8 @@ 0 0 0 +4 +3 5 0x96b0 0x1d0b5efb @@ -99070,6 +123838,8 @@ 0 0 0 +0 +0 1 0x5027 0x19cd2e90 @@ -99078,6 +123848,8 @@ 1 0 0 +2 +3 10 0x5137 0x9ec4bf1a @@ -99086,6 +123858,8 @@ 1 1 0 +3 +3 7 0xbb3f 0xd6f2b26e @@ -99094,6 +123868,8 @@ 0 0 0 +3 +0 10 0x3103 0xbc4a5308 @@ -99102,6 +123878,8 @@ 0 0 0 +0 +5 8 0x763 0x4aeadc86 @@ -99110,6 +123888,8 @@ 0 0 0 +3 +0 4 0xe066 0x682c077c @@ -99118,6 +123898,8 @@ 0 0 0 +1 +3 4 0xd29b 0x1f459997 @@ -99126,6 +123908,8 @@ 1 1 0 +5 +0 4 0x426e 0xdb34fa1b @@ -99135,6 +123919,8 @@ 0 0 2 +0 +2 0xc5e4 0xfb33b395 256 @@ -99142,6 +123928,8 @@ 0 0 0 +2 +2 3 0x5f0d 0x4085b336 @@ -99150,6 +123938,8 @@ 0 0 0 +5 +0 9 0xcca8 0xe5ba4ebe @@ -99158,6 +123948,8 @@ 0 0 0 +5 +0 8 0x2af0 0x6f0f4bfe @@ -99166,6 +123958,8 @@ 0 0 0 +0 +5 3 0x7c80 0xd6360632 @@ -99175,6 +123969,8 @@ 1 0 2 +2 +2 0x6d7a 0xe060e1b 256 @@ -99182,6 +123978,8 @@ 0 0 0 +1 +4 4 0x4ff1 0x2d4cb866 @@ -99190,6 +123988,8 @@ 0 0 0 +5 +0 1 0x42ba 0xba177b29 @@ -99198,6 +123998,8 @@ 0 0 0 +5 +5 2 0x9aba 0x565486a6 @@ -99206,6 +124008,8 @@ 0 0 0 +2 +2 5 0x949f 0xfced0ea4 @@ -99214,6 +124018,8 @@ 1 0 0 +2 +2 9 0x55e9 0x9a54ad04 @@ -99222,6 +124028,8 @@ 0 0 0 +4 +2 8 0xf09c 0x7404525 @@ -99230,6 +124038,8 @@ 0 0 0 +1 +5 5 0x30cb 0xdb7be465 @@ -99238,6 +124048,8 @@ 1 0 0 +3 +0 10 0x62af 0x31df2cd4 @@ -99246,6 +124058,8 @@ 1 1 0 +3 +1 2 0xa58c 0x6b189ecd @@ -99254,6 +124068,8 @@ 0 0 0 +3 +0 2 0x3c00 0xef6ddd18 @@ -99263,6 +124079,8 @@ 1 0 3 +4 +3 0x1cb8 0xaa98d5cb 256 @@ -99270,6 +124088,8 @@ 0 0 0 +2 +3 5 0xc394 0x3f53adb @@ -99278,6 +124098,8 @@ 1 0 0 +0 +3 4 0xbe84 0x12bcab4f @@ -99286,6 +124108,8 @@ 1 1 0 +1 +0 7 0x4ad 0xc706de5b @@ -99294,6 +124118,8 @@ 0 0 0 +4 +4 5 0xe8a3 0x52271fba @@ -99302,6 +124128,8 @@ 1 0 0 +3 +5 4 0x3ae4 0x40bae9b2 @@ -99310,6 +124138,8 @@ 0 0 0 +4 +0 5 0x2269 0x8983cffd @@ -99318,6 +124148,8 @@ 1 1 0 +0 +0 6 0x1b46 0xef46669b @@ -99326,6 +124158,8 @@ 0 0 0 +3 +1 1 0x1625 0x1e2f11b7 @@ -99334,6 +124168,8 @@ 0 0 0 +4 +2 2 0xc025 0xea1b701e @@ -99342,6 +124178,8 @@ 0 0 0 +2 +2 10 0xb3f7 0x39393427 @@ -99350,6 +124188,8 @@ 0 0 0 +4 +2 1 0x1a8a 0xb7f46236 @@ -99358,6 +124198,8 @@ 0 0 0 +0 +3 5 0x714b 0xe7150067 @@ -99366,6 +124208,8 @@ 1 0 0 +2 +3 6 0xbe38 0x6ce61ea6 @@ -99375,6 +124219,8 @@ 0 0 2 +5 +2 0x7811 0x20532d04 256 @@ -99382,6 +124228,8 @@ 1 0 0 +3 +4 2 0x6cde 0x3650c3fb @@ -99390,6 +124238,8 @@ 1 1 0 +5 +2 6 0xf5c1 0x1788d79c @@ -99398,6 +124248,8 @@ 1 0 0 +0 +5 9 0x9e3a 0x24fcc08 @@ -99406,6 +124258,8 @@ 1 0 0 +0 +1 9 0x427 0xeae9837f @@ -99414,6 +124268,8 @@ 0 0 0 +1 +1 6 0x4801 0x1037405a @@ -99422,6 +124278,8 @@ 0 0 0 +4 +5 6 0xec15 0x7cf6fa8c @@ -99430,6 +124288,8 @@ 0 0 0 +3 +1 10 0x111c 0x20c9a49 @@ -99438,6 +124298,8 @@ 0 0 0 +3 +0 6 0x3061 0xae90232 @@ -99446,6 +124308,8 @@ 0 0 0 +4 +3 9 0xa369 0x1e88c7f2 @@ -99454,6 +124318,8 @@ 0 0 0 +2 +3 7 0x5f27 0x3b9d126c @@ -99462,6 +124328,8 @@ 1 1 0 +4 +2 1 0xf816 0x7c7be580 @@ -99470,6 +124338,8 @@ 1 1 0 +2 +4 9 0x14bd 0xa883864c @@ -99478,6 +124348,8 @@ 1 0 0 +0 +5 3 0xfafb 0x6f945040 @@ -99486,6 +124358,8 @@ 1 0 0 +0 +4 8 0xaa55 0x5795cbe3 @@ -99495,6 +124369,8 @@ 1 0 2 +4 +2 0xc16e 0x23141417 256 @@ -99502,6 +124378,8 @@ 0 0 0 +2 +3 6 0xf7de 0x67d9770e @@ -99510,6 +124388,8 @@ 0 0 0 +5 +5 9 0xa47c 0xe4c11e0f @@ -99519,6 +124399,8 @@ 1 0 2 +2 +2 0xa1a1 0x3b7b5413 256 @@ -99527,6 +124409,8 @@ 0 0 5 +1 +5 0xbbe5 0xf88e62ec 256 @@ -99534,6 +124418,8 @@ 0 0 0 +5 +1 1 0x4676 0x1dc59ef8 @@ -99543,6 +124429,8 @@ 0 0 3 +2 +3 0xb896 0x6e8a1433 256 @@ -99551,6 +124439,8 @@ 0 0 5 +2 +5 0xd787 0xc6873d2e 256 @@ -99558,6 +124448,8 @@ 0 0 0 +0 +0 2 0x65d9 0x33c9c64d @@ -99566,6 +124458,8 @@ 0 0 0 +4 +4 1 0xf597 0x9959d9c @@ -99574,6 +124468,8 @@ 0 0 0 +0 +5 5 0xc288 0xdce5dbf4 @@ -99582,6 +124478,8 @@ 1 1 0 +3 +2 8 0xd1ae 0x714a1558 @@ -99590,6 +124488,8 @@ 0 0 0 +0 +1 5 0x3a24 0xa502b7b7 @@ -99598,6 +124498,8 @@ 0 0 0 +4 +3 6 0x6805 0x1345b34a @@ -99606,6 +124508,8 @@ 0 0 0 +1 +3 3 0xe934 0xd7f67d78 @@ -99614,6 +124518,8 @@ 0 0 0 +3 +5 1 0xfe65 0x89397ba9 @@ -99622,6 +124528,8 @@ 0 0 0 +3 +1 10 0x53f8 0x14e7c06 @@ -99630,6 +124538,8 @@ 0 0 0 +0 +3 1 0xfa79 0xa365cef6 @@ -99638,6 +124548,8 @@ 1 0 0 +2 +5 7 0x7055 0xe1fbf7ab @@ -99646,6 +124558,8 @@ 1 1 0 +3 +0 8 0x1304 0xcacdc6c8 @@ -99655,6 +124569,8 @@ 1 0 2 +3 +2 0x82af 0xfd875c6f 256 @@ -99662,6 +124578,8 @@ 1 0 0 +1 +2 5 0x6c2a 0x82832a7f @@ -99671,6 +124589,8 @@ 0 0 4 +2 +4 0xb690 0x846ca6e4 256 @@ -99678,6 +124598,8 @@ 0 0 0 +4 +3 7 0x971b 0x922d4b06 @@ -99686,6 +124608,8 @@ 0 0 0 +0 +4 5 0xe2f0 0xe5b804c6 @@ -99694,6 +124618,8 @@ 1 1 0 +5 +3 2 0x6eeb 0x745174a4 @@ -99702,6 +124628,8 @@ 1 0 0 +3 +5 10 0x4e10 0x18345ffd @@ -99710,6 +124638,8 @@ 0 0 0 +5 +4 6 0xdccc 0xa7bd1e05 @@ -99718,6 +124648,8 @@ 0 0 0 +2 +4 3 0xedb3 0x66aea6ee @@ -99726,6 +124658,8 @@ 1 1 0 +4 +1 6 0xdb67 0xc047a83e @@ -99734,6 +124668,8 @@ 1 0 0 +0 +5 9 0xf005 0x6da7f795 @@ -99742,6 +124678,8 @@ 1 1 0 +1 +0 7 0x9d03 0xaa0dfe01 @@ -99750,6 +124688,8 @@ 0 0 0 +4 +2 2 0x96b8 0xb045266a @@ -99758,6 +124698,8 @@ 0 0 0 +4 +3 1 0xa153 0xb01b52aa @@ -99766,6 +124708,8 @@ 1 1 0 +3 +0 7 0x97d2 0x12d9af02 @@ -99774,6 +124718,8 @@ 0 0 0 +3 +4 8 0x4bcb 0x6b90395a @@ -99782,6 +124728,8 @@ 0 0 0 +1 +0 10 0x4260 0xd34f93a1 @@ -99790,6 +124738,8 @@ 1 0 0 +4 +1 2 0x5c3e 0xb3a3c3e @@ -99798,6 +124748,8 @@ 1 0 0 +3 +3 6 0x8fb0 0x8ebb8a93 @@ -99806,6 +124758,8 @@ 1 0 0 +1 +5 6 0x1e04 0xddf8e982 @@ -99814,6 +124768,8 @@ 1 1 0 +4 +2 1 0x5e9d 0xb8afd61f @@ -99822,6 +124778,8 @@ 1 1 0 +3 +0 7 0x45aa 0x13ebc349 @@ -99831,6 +124789,8 @@ 1 0 3 +2 +3 0x3d77 0x1d3537db 256 @@ -99838,6 +124798,8 @@ 0 0 0 +5 +3 3 0x763b 0x8259fac7 @@ -99846,6 +124808,8 @@ 1 1 0 +3 +2 10 0x84af 0x5af3fa05 @@ -99854,6 +124818,8 @@ 0 0 0 +3 +4 8 0xf3d9 0xf8b153f2 @@ -99862,6 +124828,8 @@ 1 0 0 +4 +2 8 0x5d4b 0xc03dbf85 @@ -99870,6 +124838,8 @@ 0 0 0 +4 +5 7 0x3575 0xe0e1cf90 @@ -99878,6 +124848,8 @@ 0 0 0 +2 +1 4 0x993b 0x703a220e @@ -99887,6 +124859,8 @@ 0 0 4 +4 +4 0x35c9 0x9a0819a5 256 @@ -99894,6 +124868,8 @@ 0 0 0 +0 +1 6 0x6095 0xebafa7c7 @@ -99902,6 +124878,8 @@ 0 0 0 +2 +5 5 0x195 0x2ba44b @@ -99910,6 +124888,8 @@ 1 1 0 +0 +4 2 0xf692 0xb51c144b @@ -99918,6 +124898,8 @@ 1 1 0 +5 +1 7 0x485c 0x4511eb80 @@ -99927,6 +124909,8 @@ 1 0 4 +1 +4 0xa78c 0x5fdcc8da 256 @@ -99934,6 +124918,8 @@ 1 1 0 +3 +5 8 0xbc98 0x886fc4ef @@ -99942,6 +124928,8 @@ 1 0 0 +1 +1 9 0x8eb0 0x40579cbe @@ -99950,6 +124938,8 @@ 1 1 0 +2 +5 7 0xf59e 0x888924ae @@ -99958,6 +124948,8 @@ 0 0 0 +2 +1 3 0x34bd 0x4dc8b832 @@ -99966,6 +124958,8 @@ 1 0 0 +4 +4 6 0xcf77 0xe83d5857 @@ -99974,6 +124968,8 @@ 1 1 0 +2 +1 8 0x6865 0x538a639e @@ -99982,6 +124978,8 @@ 1 1 0 +3 +5 6 0x5ff2 0xd0815ac4 @@ -99991,6 +124989,8 @@ 0 0 4 +5 +4 0x579f 0xc74d5d40 256 @@ -99998,6 +124998,8 @@ 0 0 0 +1 +2 8 0x5bca 0x1f9beb23 @@ -100006,6 +125008,8 @@ 1 0 0 +3 +1 4 0x73ee 0x912baffd @@ -100014,6 +125018,8 @@ 1 1 0 +5 +0 9 0xc9dd 0x45b68682 @@ -100022,6 +125028,8 @@ 1 0 0 +3 +4 2 0x67d7 0x3f0e1fa0 @@ -100030,6 +125038,8 @@ 1 0 0 +2 +0 7 0xdfb0 0x86bf058 @@ -100038,6 +125048,8 @@ 1 1 0 +3 +0 1 0xb3a5 0xec755bad @@ -100046,6 +125058,8 @@ 0 0 0 +5 +3 8 0x5fd5 0x16a20f9e @@ -100054,6 +125068,8 @@ 1 1 0 +0 +0 7 0x4171 0x7756b23f @@ -100062,6 +125078,8 @@ 0 0 0 +2 +0 6 0x7372 0x11acfd6a @@ -100070,6 +125088,8 @@ 1 0 0 +4 +5 2 0xbcaa 0x796e7b06 @@ -100079,6 +125099,8 @@ 0 0 3 +0 +3 0x676e 0xf6643765 256 @@ -100086,6 +125108,8 @@ 1 0 0 +3 +2 7 0x5916 0x173fb181 @@ -100094,6 +125118,8 @@ 0 0 0 +5 +1 8 0xf33f 0x630584ea @@ -100102,6 +125128,8 @@ 1 1 0 +5 +3 6 0xa143 0xc04dc18d @@ -100110,6 +125138,8 @@ 0 0 0 +1 +2 6 0xc517 0x447b3c6 @@ -100118,6 +125148,8 @@ 0 0 0 +0 +2 6 0xbcea 0x676a3681 @@ -100127,6 +125159,8 @@ 0 0 2 +4 +2 0x25ca 0x2c6caffa 256 @@ -100134,6 +125168,8 @@ 0 0 0 +5 +2 1 0xafa4 0xf393a7fd @@ -100143,6 +125179,8 @@ 0 0 1 +2 +1 0x1717 0x79021484 256 @@ -100150,6 +125188,8 @@ 0 0 0 +4 +0 6 0x2c1d 0x3f5e7e14 @@ -100158,6 +125198,8 @@ 1 1 0 +2 +2 7 0x1c75 0x75ece31e @@ -100166,6 +125208,8 @@ 0 0 0 +2 +1 6 0x3ee5 0x990929d2 @@ -100174,6 +125218,8 @@ 0 0 0 +2 +3 6 0xa993 0xd49a49d9 @@ -100183,6 +125229,8 @@ 1 0 2 +5 +2 0x2a10 0x4fdb3104 256 @@ -100190,6 +125238,8 @@ 0 0 0 +4 +4 8 0x3d7b 0x8ac49f74 @@ -100198,6 +125248,8 @@ 0 0 0 +1 +5 5 0x3c36 0xf7d00e4f @@ -100206,6 +125258,8 @@ 0 0 0 +1 +3 4 0xdd0c 0xe7bf619d @@ -100215,6 +125269,8 @@ 1 0 4 +1 +4 0xd5ed 0xca84f6bf 256 @@ -100222,6 +125278,8 @@ 0 0 0 +3 +1 4 0x651d 0x9be61d13 @@ -100230,6 +125288,8 @@ 0 0 0 +4 +4 5 0x574b 0x38b2480c @@ -100238,6 +125298,8 @@ 0 0 0 +2 +4 4 0xe9a6 0xa5a56d1 @@ -100246,6 +125308,8 @@ 1 1 0 +5 +3 7 0xdafd 0x8331bf52 @@ -100254,6 +125318,8 @@ 1 1 0 +0 +5 4 0xa0fc 0x5257f313 @@ -100263,6 +125329,8 @@ 0 0 1 +2 +1 0x2249 0x18b7fef 256 @@ -100270,6 +125338,8 @@ 0 0 0 +5 +3 7 0xf580 0xb0993bf0 @@ -100279,6 +125349,8 @@ 1 0 4 +4 +4 0xe950 0x2bc8fcb7 256 @@ -100286,6 +125358,8 @@ 1 1 0 +1 +3 3 0xaa02 0x39459df8 @@ -100294,6 +125368,8 @@ 1 0 0 +1 +5 7 0x1080 0xc07bd1c5 @@ -100302,6 +125378,8 @@ 0 0 0 +2 +2 5 0xf99 0x81362f75 @@ -100310,6 +125388,8 @@ 1 1 0 +2 +4 10 0xba65 0x7fb6a583 @@ -100318,6 +125398,8 @@ 0 0 0 +4 +5 6 0x7abe 0xba4887bb @@ -100326,6 +125408,8 @@ 1 1 0 +0 +5 1 0xda39 0x3f3a1168 @@ -100334,6 +125418,8 @@ 1 1 0 +3 +0 7 0x13d2 0x28c455b3 @@ -100342,6 +125428,8 @@ 0 0 0 +3 +0 4 0x768c 0x25781878 @@ -100350,6 +125438,8 @@ 1 1 0 +0 +1 1 0x37d3 0x3030b1ab @@ -100358,6 +125448,8 @@ 1 0 0 +4 +3 7 0x53c0 0xba0b475b @@ -100366,6 +125458,8 @@ 0 0 0 +4 +1 9 0x3f0d 0x16af4b6f @@ -100374,6 +125468,8 @@ 0 0 0 +0 +0 1 0xbf33 0x8f0242a9 @@ -100382,6 +125478,8 @@ 1 1 0 +1 +5 6 0x3b0d 0x72063599 @@ -100390,6 +125488,8 @@ 0 0 0 +2 +4 3 0xd8bd 0xa73b4684 @@ -100398,6 +125498,8 @@ 0 0 0 +1 +3 4 0x4746 0x431c23df @@ -100406,6 +125508,8 @@ 1 1 0 +5 +5 1 0x9365 0x3825402 @@ -100414,6 +125518,8 @@ 1 1 0 +3 +2 5 0x6d24 0x60597d14 @@ -100422,6 +125528,8 @@ 0 0 0 +2 +3 7 0x10c2 0x9e7d6d14 @@ -100430,6 +125538,8 @@ 1 0 0 +3 +4 10 0x5eb6 0xedb0ca9a @@ -100438,6 +125548,8 @@ 0 0 0 +4 +2 8 0xe793 0x674617c8 @@ -100446,6 +125558,8 @@ 1 1 0 +5 +3 3 0x2476 0x4adf4eb5 @@ -100454,6 +125568,8 @@ 1 0 0 +4 +1 7 0x3159 0xa71d815a @@ -100462,6 +125578,8 @@ 0 0 0 +2 +2 10 0x16df 0x90f8d492 @@ -100470,6 +125588,8 @@ 0 0 0 +0 +2 7 0xc28c 0x4a388683 @@ -100479,6 +125599,8 @@ 0 0 3 +3 +3 0xb104 0x27fa1211 256 @@ -100487,6 +125609,8 @@ 1 0 4 +4 +4 0xc484 0xdde63c7a 256 @@ -100494,6 +125618,8 @@ 1 1 0 +4 +1 7 0x80a5 0x3e91f3ca @@ -100502,6 +125628,8 @@ 0 0 0 +2 +1 7 0xa646 0xf4fb407d @@ -100510,6 +125638,8 @@ 1 1 0 +4 +5 2 0x636b 0x244e2e26 @@ -100518,6 +125648,8 @@ 0 0 0 +3 +1 7 0xcfe2 0xd3492639 @@ -100526,6 +125658,8 @@ 0 0 0 +1 +3 10 0xaa08 0xef31f104 @@ -100534,6 +125668,8 @@ 1 1 0 +1 +2 5 0x4f83 0xe1a4c0bc @@ -100542,6 +125678,8 @@ 0 0 0 +3 +2 9 0x6f19 0x8ef9d42a @@ -100550,6 +125688,8 @@ 0 0 0 +4 +2 6 0x1a01 0xfda5907d @@ -100558,6 +125698,8 @@ 0 0 0 +3 +1 4 0x985 0xd3c986c3 @@ -100566,6 +125708,8 @@ 0 0 0 +2 +5 6 0x28dd 0x8473c645 @@ -100574,6 +125718,8 @@ 1 1 0 +4 +1 7 0xc051 0xdee9ee16 @@ -100582,6 +125728,8 @@ 0 0 0 +5 +1 8 0x8bd0 0x4b988a91 @@ -100590,6 +125738,8 @@ 0 0 0 +2 +4 4 0x9190 0x129a22d0 @@ -100598,6 +125748,8 @@ 0 0 0 +3 +1 10 0x70ac 0x383e1195 @@ -100606,6 +125758,8 @@ 1 1 0 +3 +3 4 0x816a 0xb3c4e98b @@ -100614,6 +125768,8 @@ 0 0 0 +2 +5 10 0xc54e 0x8f5da5d6 @@ -100622,6 +125778,8 @@ 0 0 0 +4 +4 8 0xc3f3 0x118f380f @@ -100630,6 +125788,8 @@ 0 0 0 +1 +0 6 0x9e53 0xca2561bf @@ -100638,6 +125798,8 @@ 1 1 0 +2 +1 7 0x15e 0x396debe2 @@ -100646,6 +125808,8 @@ 0 0 0 +2 +0 4 0x9827 0x18c93634 @@ -100654,6 +125818,8 @@ 0 0 0 +5 +2 4 0x1b4 0xe1425846 @@ -100662,6 +125828,8 @@ 1 0 0 +0 +4 6 0x641e 0x746e89af @@ -100670,6 +125838,8 @@ 1 0 0 +2 +3 4 0xbef7 0x48cc2ca3 @@ -100678,6 +125848,8 @@ 0 0 0 +5 +5 6 0xb891 0xa477a2c3 @@ -100686,6 +125858,8 @@ 1 1 0 +1 +1 3 0x305c 0x81edfb3f @@ -100694,6 +125868,8 @@ 0 0 0 +0 +5 5 0xfe26 0x972f7cdf @@ -100702,6 +125878,8 @@ 1 1 0 +3 +4 8 0x81ad 0x1b9997e6 @@ -100710,6 +125888,8 @@ 0 0 0 +2 +1 7 0xcf2 0xb9b91aad @@ -100719,6 +125899,8 @@ 1 0 4 +3 +4 0xf2b0 0xcb3bb1c9 256 @@ -100726,6 +125908,8 @@ 1 1 0 +4 +4 9 0xae26 0x7b459da1 @@ -100734,6 +125918,8 @@ 0 0 0 +0 +0 1 0x4105 0x76d6f4ce @@ -100742,6 +125928,8 @@ 1 1 0 +5 +1 4 0x6782 0x14448e63 @@ -100751,6 +125939,8 @@ 0 0 1 +1 +1 0xed95 0x78c15693 256 @@ -100758,6 +125948,8 @@ 1 1 0 +1 +3 6 0x3965 0x77459281 @@ -100766,6 +125958,8 @@ 0 0 0 +4 +4 1 0x81b3 0x10a8db4f @@ -100774,6 +125968,8 @@ 0 0 0 +2 +4 9 0xa5d7 0x4150f776 @@ -100782,6 +125978,8 @@ 1 1 0 +4 +4 8 0xd51c 0x943d777 @@ -100790,6 +125988,8 @@ 0 0 0 +2 +2 3 0x56a4 0x2ae2c102 @@ -100798,6 +125998,8 @@ 0 0 0 +4 +3 6 0x8eec 0xcdc62a43 @@ -100806,6 +126008,8 @@ 0 0 0 +5 +2 8 0xe3ee 0xb8b4d60b @@ -100814,6 +126018,8 @@ 0 0 0 +4 +0 10 0xa34c 0xa23fad24 @@ -100822,6 +126028,8 @@ 1 1 0 +4 +5 8 0xa254 0x1705c1a0 @@ -100831,6 +126039,8 @@ 0 0 3 +5 +3 0xaa26 0xcef55d16 256 @@ -100838,6 +126048,8 @@ 0 0 0 +5 +4 4 0xdcb3 0x6359274 @@ -100846,6 +126058,8 @@ 1 1 0 +0 +0 1 0xcdc0 0xf162e0f9 @@ -100854,6 +126068,8 @@ 1 1 0 +4 +0 8 0x6dfa 0xcb7dd0ea @@ -100862,6 +126078,8 @@ 1 0 0 +5 +0 3 0xfc20 0x6bf26c42 @@ -100870,6 +126088,8 @@ 0 0 0 +0 +5 2 0x2a3d 0x62b7d85a @@ -100878,6 +126098,8 @@ 1 0 0 +4 +2 10 0xd815 0x45fbf37b @@ -100886,6 +126108,8 @@ 1 1 0 +4 +5 9 0x95ff 0x29ba86a5 @@ -100894,6 +126118,8 @@ 0 0 0 +5 +2 7 0xad7a 0xd80cec78 @@ -100902,6 +126128,8 @@ 1 0 0 +3 +3 9 0xc2f 0xdb98974f @@ -100911,6 +126139,8 @@ 0 0 4 +2 +4 0x6fbc 0xbe78e6b 256 @@ -100919,6 +126149,8 @@ 0 0 5 +4 +5 0x760d 0xe8aad622 256 @@ -100926,6 +126158,8 @@ 0 0 0 +5 +2 2 0x34da 0xb7c6042f @@ -100934,6 +126168,8 @@ 0 0 0 +3 +3 4 0xb2e3 0x730676a @@ -100942,6 +126178,8 @@ 0 0 0 +0 +4 10 0xff71 0x10ea4d19 @@ -100950,6 +126188,8 @@ 1 0 0 +0 +4 10 0x2392 0x1daccb67 @@ -100958,6 +126198,8 @@ 1 0 0 +1 +5 5 0x4df2 0x7acd4027 @@ -100966,6 +126208,8 @@ 1 0 0 +0 +5 4 0x6e5f 0xe8c42a4c @@ -100974,6 +126218,8 @@ 0 0 0 +0 +2 10 0xf88 0x1f8e7af3 @@ -100982,6 +126228,8 @@ 1 1 0 +4 +5 6 0x6d98 0xcc358e8e @@ -100991,6 +126239,8 @@ 0 0 1 +2 +1 0x4ce2 0x55e6ec8b 256 @@ -100998,6 +126248,8 @@ 1 0 0 +1 +2 3 0x574b 0x91dd44b4 @@ -101006,6 +126258,8 @@ 0 0 0 +1 +3 6 0xca78 0x1100283a @@ -101014,6 +126268,8 @@ 0 0 0 +3 +3 6 0x4dc4 0x4a087b6d @@ -101022,6 +126278,8 @@ 1 1 0 +5 +3 2 0x238f 0x3aea73c9 @@ -101031,6 +126289,8 @@ 1 0 3 +2 +3 0x6292 0x68733a9d 256 @@ -101038,6 +126298,8 @@ 1 1 0 +1 +5 3 0xfec 0xb869a24 @@ -101046,6 +126308,8 @@ 1 1 0 +1 +4 5 0xca91 0x1d7c84c0 @@ -101054,6 +126318,8 @@ 1 0 0 +5 +2 7 0xa8cf 0x888d7362 @@ -101062,6 +126328,8 @@ 0 0 0 +0 +4 2 0x61e9 0x65e9a870 @@ -101070,6 +126338,8 @@ 1 0 0 +1 +1 9 0x1344 0xe7233800 @@ -101078,6 +126348,8 @@ 1 0 0 +0 +3 8 0x592f 0xb5b9c182 @@ -101086,6 +126358,8 @@ 1 0 0 +5 +2 3 0x14c6 0x1ccb68ae @@ -101094,6 +126368,8 @@ 1 1 0 +1 +3 9 0x62c8 0x2f529845 @@ -101102,6 +126378,8 @@ 1 1 0 +0 +5 6 0xfec7 0x8758588a @@ -101110,6 +126388,8 @@ 1 0 0 +2 +4 5 0xf72f 0xcf2f1998 @@ -101118,6 +126398,8 @@ 0 0 0 +1 +3 7 0xa732 0x4b4d6ce6 @@ -101126,6 +126408,8 @@ 0 0 0 +5 +2 1 0x35bc 0xca8e8608 @@ -101134,6 +126418,8 @@ 1 1 0 +0 +5 5 0xf381 0x5c35788c @@ -101142,6 +126428,8 @@ 1 1 0 +2 +3 8 0x5413 0x9f30aebc @@ -101150,6 +126438,8 @@ 1 1 0 +2 +2 6 0xfb5c 0x4e9dc2f @@ -101158,6 +126448,8 @@ 0 0 0 +3 +2 2 0x66fb 0x66ab552e @@ -101167,6 +126459,8 @@ 0 0 4 +1 +4 0x83db 0x31f91f6d 256 @@ -101174,6 +126468,8 @@ 1 1 0 +3 +1 8 0xb61 0x7b30ffd3 @@ -101182,6 +126478,8 @@ 0 0 0 +1 +1 9 0x6cb3 0xab881fb9 @@ -101190,6 +126488,8 @@ 0 0 0 +0 +5 3 0xf3b1 0x47adfe19 @@ -101198,6 +126498,8 @@ 0 0 0 +3 +0 5 0x50c0 0x89b44131 @@ -101206,6 +126508,8 @@ 0 0 0 +4 +0 8 0xd55a 0x698cd3 @@ -101215,6 +126519,8 @@ 0 0 5 +2 +5 0x5553 0x18de93a3 256 @@ -101222,6 +126528,8 @@ 1 1 0 +0 +3 3 0x6c57 0x7eb69f18 @@ -101230,6 +126538,8 @@ 0 0 0 +3 +1 10 0x529e 0xb34e09c7 @@ -101238,6 +126548,8 @@ 0 0 0 +1 +2 5 0xf3d6 0xe0c4cb6c @@ -101246,6 +126558,8 @@ 1 0 0 +0 +1 1 0xe4d8 0x911293e4 @@ -101254,6 +126568,8 @@ 1 0 0 +0 +0 2 0x3de 0x5f545cc4 @@ -101262,6 +126578,8 @@ 0 0 0 +0 +4 2 0x7811 0xbbc02b56 @@ -101270,6 +126588,8 @@ 0 0 0 +1 +3 3 0x4047 0x91277083 @@ -101278,6 +126598,8 @@ 1 1 0 +5 +2 3 0xf97b 0x3e0ec09d @@ -101287,6 +126609,8 @@ 0 0 5 +0 +5 0x4910 0x4f0ab45d 256 @@ -101294,6 +126618,8 @@ 0 0 0 +3 +1 4 0x987b 0xfb4f5f08 @@ -101302,6 +126628,8 @@ 1 1 0 +5 +0 8 0x5796 0x2f2e16bd @@ -101310,6 +126638,8 @@ 0 0 0 +4 +1 10 0x8ceb 0x7d8e9f1c @@ -101318,6 +126648,8 @@ 0 0 0 +3 +2 2 0x5d64 0xae2768f9 @@ -101326,6 +126658,8 @@ 0 0 0 +1 +1 2 0x598e 0x13efd898 @@ -101334,6 +126668,8 @@ 1 0 0 +2 +2 5 0xd6eb 0x3ad995f1 @@ -101342,6 +126678,8 @@ 0 0 0 +3 +2 7 0x6afa 0xb9fcf888 @@ -101350,6 +126688,8 @@ 1 1 0 +0 +4 3 0xb7be 0x69cb12f2 @@ -101358,6 +126698,8 @@ 0 0 0 +1 +3 5 0x8b54 0x2c9ea78d @@ -101366,6 +126708,8 @@ 1 1 0 +1 +4 4 0x4112 0xff70b13e @@ -101375,6 +126719,8 @@ 1 0 3 +5 +3 0x49f 0x65399bae 256 @@ -101382,6 +126728,8 @@ 1 0 0 +5 +3 7 0xfb9d 0xf74c5447 @@ -101390,6 +126738,8 @@ 0 0 0 +1 +1 9 0x90f3 0xab925012 @@ -101398,6 +126748,8 @@ 0 0 0 +1 +1 5 0x8765 0x60669254 @@ -101407,6 +126759,8 @@ 0 0 1 +5 +1 0x41f3 0x67376ff9 256 @@ -101414,6 +126768,8 @@ 0 0 0 +1 +3 3 0xff37 0xd971ddfe @@ -101422,6 +126778,8 @@ 1 1 0 +5 +4 8 0xf40e 0x7057d3f @@ -101430,6 +126788,8 @@ 1 0 0 +4 +0 3 0xa760 0xbbd5cf7a @@ -101438,6 +126798,8 @@ 0 0 0 +5 +1 7 0xca93 0xd62665a2 @@ -101446,6 +126808,8 @@ 1 1 0 +2 +2 1 0x3f39 0x5b2802de @@ -101454,6 +126818,8 @@ 1 1 0 +3 +1 1 0xcac5 0xb7614702 @@ -101463,6 +126829,8 @@ 0 0 1 +4 +1 0x29 0xbf484668 256 @@ -101470,6 +126838,8 @@ 1 0 0 +1 +4 3 0x8c90 0xcf258049 @@ -101478,6 +126848,8 @@ 0 0 0 +1 +0 7 0xbdc6 0x11e9cc73 @@ -101486,6 +126858,8 @@ 1 0 0 +5 +1 3 0x3e22 0x11dc1f0c @@ -101494,6 +126868,8 @@ 1 1 0 +4 +4 3 0x29df 0xa2be6d79 @@ -101502,6 +126878,8 @@ 0 0 0 +0 +1 6 0xbbfb 0x9c553b22 @@ -101510,6 +126888,8 @@ 0 0 0 +1 +4 10 0x1af3 0xae3bfa6c @@ -101518,6 +126898,8 @@ 0 0 0 +0 +3 6 0xf5a1 0xe8c2a6aa @@ -101526,6 +126908,8 @@ 1 1 0 +2 +5 1 0xe75a 0xf2f89903 @@ -101534,6 +126918,8 @@ 0 0 0 +2 +0 4 0x2819 0x39a131bd @@ -101542,6 +126928,8 @@ 1 1 0 +2 +0 5 0xc8b8 0xc68a4a74 @@ -101550,6 +126938,8 @@ 0 0 0 +5 +3 9 0x3cae 0xee83833d @@ -101558,6 +126948,8 @@ 0 0 0 +3 +2 8 0xd086 0xee20ba6a @@ -101566,6 +126958,8 @@ 0 0 0 +0 +0 1 0x9065 0x46ee92ff @@ -101574,6 +126968,8 @@ 0 0 0 +0 +2 5 0x649c 0x69debdc8 @@ -101583,6 +126979,8 @@ 0 0 1 +4 +1 0x6b43 0xda623c4d 256 @@ -101590,6 +126988,8 @@ 0 0 0 +4 +4 10 0x273c 0xaff0cacf @@ -101598,6 +126998,8 @@ 0 0 0 +5 +3 4 0x71d 0xbe31246e @@ -101606,6 +127008,8 @@ 1 1 0 +2 +3 1 0x3720 0x866ee3dc @@ -101614,6 +127018,8 @@ 1 1 0 +2 +0 1 0xd1eb 0x4c7b5912 @@ -101622,6 +127028,8 @@ 1 0 0 +2 +5 4 0x9e8b 0xa8011270 @@ -101630,6 +127038,8 @@ 0 0 0 +4 +3 9 0x47d4 0x74bf2d13 @@ -101638,6 +127048,8 @@ 0 0 0 +2 +2 7 0xb443 0xcb3b7db6 @@ -101646,6 +127058,8 @@ 1 1 0 +4 +2 1 0xe570 0xe5f5db14 @@ -101654,6 +127068,8 @@ 1 0 0 +1 +2 10 0xff44 0x27faf7e @@ -101662,6 +127078,8 @@ 1 1 0 +2 +2 1 0x9033 0xf39129a2 @@ -101670,6 +127088,8 @@ 1 0 0 +4 +4 10 0x7b58 0x6e57774b @@ -101679,6 +127099,8 @@ 1 0 1 +5 +1 0x423b 0xe7af4f5a 256 @@ -101686,6 +127108,8 @@ 1 1 0 +5 +0 10 0xe43e 0x152398a0 @@ -101694,6 +127118,8 @@ 1 0 0 +4 +1 3 0x78df 0x5bbb1679 @@ -101702,6 +127128,8 @@ 0 0 0 +3 +5 8 0x4fbd 0x3070ac1f @@ -101710,6 +127138,8 @@ 1 1 0 +4 +0 3 0x5dc6 0x5877c57 @@ -101718,6 +127148,8 @@ 1 0 0 +4 +3 5 0x3af5 0xa10f9f7 @@ -101726,6 +127158,8 @@ 1 0 0 +0 +1 9 0x744 0x6758a921 @@ -101734,6 +127168,8 @@ 1 0 0 +0 +4 6 0xf5b1 0xaa4ebd3f @@ -101742,6 +127178,8 @@ 0 0 0 +5 +5 10 0xc860 0x8265b648 @@ -101750,6 +127188,8 @@ 0 0 0 +4 +1 9 0x7514 0x63256435 @@ -101758,6 +127198,8 @@ 0 0 0 +0 +3 5 0x15d1 0x38045db1 @@ -101767,6 +127209,8 @@ 0 0 4 +3 +4 0xaaed 0x6309de9f 256 @@ -101774,6 +127218,8 @@ 1 1 0 +0 +2 9 0xb9f2 0x8c38a4c2 @@ -101782,6 +127228,8 @@ 1 0 0 +4 +5 6 0x5a79 0x1d4dfc7a @@ -101790,6 +127238,8 @@ 0 0 0 +0 +4 5 0x4d13 0x71222d2d @@ -101798,6 +127248,8 @@ 0 0 0 +3 +2 8 0x2323 0xcf98c587 @@ -101806,6 +127258,8 @@ 1 1 0 +0 +5 10 0x61d 0x8080b4ba @@ -101814,6 +127268,8 @@ 0 0 0 +0 +4 2 0x82ff 0x1572c626 @@ -101822,6 +127278,8 @@ 0 0 0 +2 +3 4 0x3488 0xca5ae28c @@ -101830,6 +127288,8 @@ 0 0 0 +2 +4 6 0x1556 0x95bf98b9 @@ -101838,6 +127298,8 @@ 0 0 0 +2 +0 8 0x1ff0 0x4d7f7aea @@ -101846,6 +127308,8 @@ 1 0 0 +4 +0 9 0x33b5 0xe40ff68c @@ -101854,6 +127318,8 @@ 1 0 0 +0 +0 10 0x1c71 0x863b8326 @@ -101862,6 +127328,8 @@ 1 1 0 +1 +2 7 0xa777 0xb720e24a @@ -101870,6 +127338,8 @@ 0 0 0 +0 +2 10 0xb5f3 0x7734d5a @@ -101878,6 +127348,8 @@ 1 0 0 +4 +1 1 0xd2cf 0x2151725e @@ -101886,6 +127358,8 @@ 1 0 0 +0 +5 1 0x9696 0x959a293d @@ -101894,6 +127368,8 @@ 1 0 0 +3 +5 2 0xc9d7 0x46e534f6 @@ -101902,6 +127378,8 @@ 1 1 0 +3 +1 6 0x6bd9 0x10544c6 @@ -101910,6 +127388,8 @@ 0 0 0 +3 +1 1 0x1a30 0x3a5c26db @@ -101918,6 +127398,8 @@ 1 0 0 +1 +0 3 0x32be 0x3ca79000 @@ -101926,6 +127408,8 @@ 0 0 0 +3 +4 1 0xaa6e 0x2d719d0b @@ -101934,6 +127418,8 @@ 1 1 0 +0 +0 1 0xe8ce 0xa1e53b89 @@ -101942,6 +127428,8 @@ 1 0 0 +3 +1 8 0x9188 0x3f6fde29 @@ -101950,6 +127438,8 @@ 0 0 0 +0 +2 3 0xf431 0x3d3714b @@ -101958,6 +127448,8 @@ 1 0 0 +2 +4 4 0xbfd7 0xcc5304c9 @@ -101966,6 +127458,8 @@ 1 0 0 +1 +1 10 0xf673 0xe0cea8 @@ -101974,6 +127468,8 @@ 1 0 0 +4 +3 7 0xa2dc 0xf1c81747 @@ -101983,6 +127479,8 @@ 0 0 4 +3 +4 0x6c3b 0xbf5ae58c 256 @@ -101990,6 +127488,8 @@ 0 0 0 +2 +2 6 0xad55 0x8267648 @@ -101998,6 +127498,8 @@ 0 0 0 +1 +4 7 0xecd4 0xd0da147c @@ -102006,6 +127508,8 @@ 0 0 0 +1 +2 7 0xbcc8 0x77af1115 @@ -102014,6 +127518,8 @@ 1 1 0 +2 +1 5 0x1c35 0xe42f2c78 @@ -102022,6 +127528,8 @@ 1 1 0 +4 +5 9 0x7371 0x30f0a9bc @@ -102030,6 +127538,8 @@ 0 0 0 +0 +1 10 0x3dd4 0xc1f3d8d9 @@ -102038,6 +127548,8 @@ 1 1 0 +1 +0 3 0xbb28 0x69623364 @@ -102046,6 +127558,8 @@ 1 0 0 +3 +1 5 0xc1ff 0x6b983695 @@ -102054,6 +127568,8 @@ 1 1 0 +0 +5 1 0xf214 0xcacf068f @@ -102062,6 +127578,8 @@ 0 0 0 +5 +5 10 0xddcf 0xcf3487b @@ -102070,6 +127588,8 @@ 1 0 0 +4 +3 9 0xf930 0x4cb32264 @@ -102078,6 +127598,8 @@ 0 0 0 +1 +4 9 0x1efa 0xacace006 @@ -102086,6 +127608,8 @@ 1 0 0 +1 +5 2 0x2fae 0xec83538b @@ -102094,6 +127618,8 @@ 1 1 0 +0 +3 5 0x5fe7 0x4e24e937 @@ -102102,6 +127628,8 @@ 1 1 0 +1 +4 7 0x3fbf 0x5eaefa4a @@ -102110,6 +127638,8 @@ 1 0 0 +2 +1 8 0x9ee 0xb5c08695 @@ -102118,6 +127648,8 @@ 1 1 0 +3 +2 6 0xe6a6 0x14652980 @@ -102126,6 +127658,8 @@ 1 0 0 +1 +4 3 0xdefc 0xcec033d7 @@ -102134,6 +127668,8 @@ 1 1 0 +1 +3 10 0x2c3d 0x1be5ee82 @@ -102142,6 +127678,8 @@ 1 1 0 +2 +5 1 0xfcff 0x7a8c350 @@ -102151,6 +127689,8 @@ 0 0 5 +3 +5 0x7755 0x91aad508 256 @@ -102158,6 +127698,8 @@ 0 0 0 +5 +4 7 0x6f10 0x2e75c46 @@ -102166,6 +127708,8 @@ 1 1 0 +3 +3 10 0xef94 0xb7523006 @@ -102174,6 +127718,8 @@ 0 0 0 +2 +1 8 0xe235 0xd67bba66 @@ -102183,6 +127729,8 @@ 0 0 2 +5 +2 0xb570 0x3e220c0 256 @@ -102190,6 +127738,8 @@ 1 0 0 +5 +0 6 0x5a36 0xcb4f2f9e @@ -102198,6 +127748,8 @@ 1 1 0 +5 +4 8 0xf900 0x528bb1ba @@ -102206,6 +127758,8 @@ 1 1 0 +5 +5 4 0x41fd 0x4d4ceb6a @@ -102214,6 +127768,8 @@ 1 1 0 +5 +1 10 0x718 0x6a68812b @@ -102222,6 +127778,8 @@ 0 0 0 +1 +2 2 0xf5a8 0x46492e2a @@ -102230,6 +127788,8 @@ 1 0 0 +0 +3 5 0xb896 0x990705a @@ -102238,6 +127798,8 @@ 0 0 0 +2 +0 3 0x34eb 0x91fb9e87 @@ -102246,6 +127808,8 @@ 0 0 0 +0 +1 10 0x36e5 0xaabd6827 @@ -102254,6 +127818,8 @@ 1 1 0 +2 +5 7 0x8f94 0xdc49a048 @@ -102262,6 +127828,8 @@ 1 0 0 +5 +3 6 0x36e1 0xa57d9650 @@ -102270,6 +127838,8 @@ 1 1 0 +4 +4 7 0x6f58 0x361aaf20 @@ -102278,6 +127848,8 @@ 0 0 0 +5 +1 3 0xa9cf 0x5c3b0cc @@ -102286,6 +127858,8 @@ 0 0 0 +4 +0 6 0xe4fc 0xf8f31fb8 @@ -102294,6 +127868,8 @@ 1 1 0 +0 +2 6 0x27a9 0x6828ee86 @@ -102302,6 +127878,8 @@ 1 1 0 +5 +1 3 0xfdd7 0x27b2a180 @@ -102310,6 +127888,8 @@ 1 1 0 +5 +0 8 0x5de5 0x916c54cd @@ -102318,6 +127898,8 @@ 1 0 0 +4 +1 5 0xf88d 0xee3d56e5 @@ -102326,6 +127908,8 @@ 0 0 0 +2 +1 5 0x94fc 0xc9d539e1 @@ -102334,6 +127918,8 @@ 1 0 0 +3 +2 5 0x8324 0x94059ecc @@ -102342,6 +127928,8 @@ 1 0 0 +1 +5 10 0x48d3 0x65889dbb @@ -102350,6 +127938,8 @@ 0 0 0 +0 +3 8 0xb16a 0x525cffbd @@ -102358,6 +127948,8 @@ 1 1 0 +5 +0 7 0x62ee 0xd1aaa14c @@ -102366,6 +127958,8 @@ 1 1 0 +2 +5 4 0xad3c 0x72e4c061 @@ -102374,6 +127968,8 @@ 1 1 0 +5 +5 7 0x9f7e 0x634055aa @@ -102382,6 +127978,8 @@ 0 0 0 +3 +0 10 0x92f1 0x5dc0e386 @@ -102390,6 +127988,8 @@ 1 0 0 +0 +3 10 0xa1b8 0x9c9cd5f0 @@ -102398,6 +127998,8 @@ 0 0 0 +4 +2 2 0x621e 0x5afcc81b @@ -102406,6 +128008,8 @@ 1 0 0 +4 +4 2 0x2cbb 0x80f73b98 @@ -102414,6 +128018,8 @@ 1 0 0 +0 +0 3 0xd6b3 0x634e4d2d @@ -102422,6 +128028,8 @@ 1 1 0 +0 +4 6 0x35a3 0x42601a80 @@ -102430,6 +128038,8 @@ 1 0 0 +5 +1 7 0x2db 0xa9097b08 @@ -102439,6 +128049,8 @@ 0 0 5 +4 +5 0x3260 0xd0af1aff 256 @@ -102446,6 +128058,8 @@ 1 1 0 +1 +2 8 0x4e0d 0xbecf6f @@ -102454,6 +128068,8 @@ 1 1 0 +2 +4 5 0xe720 0xd8b05da2 @@ -102462,6 +128078,8 @@ 1 0 0 +4 +2 10 0xac9c 0xa9217de3 @@ -102470,6 +128088,8 @@ 0 0 0 +4 +2 1 0x7770 0x34bcfe20 @@ -102478,6 +128098,8 @@ 0 0 0 +0 +3 3 0x144e 0x464b5178 @@ -102486,6 +128108,8 @@ 1 0 0 +2 +5 6 0x7aa 0xb532d125 @@ -102495,6 +128119,8 @@ 1 0 2 +2 +2 0x4b0d 0x972fb91b 256 @@ -102502,6 +128128,8 @@ 1 1 0 +2 +2 7 0x983c 0x379203be @@ -102510,6 +128138,8 @@ 0 0 0 +5 +0 8 0xe802 0x729b84f4 @@ -102518,6 +128148,8 @@ 0 0 0 +1 +3 3 0xca69 0xe170ea7 @@ -102526,6 +128158,8 @@ 0 0 0 +1 +1 5 0x6617 0x183ea656 @@ -102534,6 +128168,8 @@ 1 0 0 +3 +0 2 0x86f4 0x2a01c4dc @@ -102542,6 +128178,8 @@ 1 1 0 +0 +2 4 0x9d4f 0x92ee7695 @@ -102550,6 +128188,8 @@ 0 0 0 +3 +3 10 0xef2b 0x9ce992a7 @@ -102558,6 +128198,8 @@ 1 1 0 +4 +0 7 0x94a0 0x21fb0c1f @@ -102566,6 +128208,8 @@ 1 1 0 +0 +1 6 0xeb06 0xd843176f @@ -102574,6 +128218,8 @@ 1 1 0 +0 +3 10 0xccfb 0xc068ce44 @@ -102582,6 +128228,8 @@ 1 0 0 +0 +0 6 0x8673 0x6a4b7234 @@ -102590,6 +128238,8 @@ 0 0 0 +2 +5 3 0xbd9 0x3827760f @@ -102598,6 +128248,8 @@ 1 0 0 +0 +2 3 0x6767 0xb3cf83c2 @@ -102606,6 +128258,8 @@ 1 0 0 +4 +1 3 0x6c4b 0x53e92111 @@ -102614,6 +128268,8 @@ 1 1 0 +0 +4 2 0x480f 0xd5592a23 @@ -102622,6 +128278,8 @@ 1 0 0 +5 +0 3 0x80f6 0xea8a5d3a @@ -102630,6 +128288,8 @@ 1 1 0 +1 +0 7 0x828e 0xf93218c3 @@ -102638,6 +128298,8 @@ 0 0 0 +1 +1 3 0x323e 0x37bf7817 @@ -102646,6 +128308,8 @@ 1 1 0 +0 +2 6 0xe7a7 0x5fb05519 @@ -102654,6 +128318,8 @@ 0 0 0 +0 +1 7 0x6ee5 0x78f476be @@ -102662,6 +128328,8 @@ 0 0 0 +4 +4 8 0xe869 0x170285d4 @@ -102670,6 +128338,8 @@ 1 0 0 +3 +3 5 0x9407 0x1ae569ea @@ -102678,6 +128348,8 @@ 0 0 0 +5 +0 4 0xcbe9 0xab30b0da @@ -102686,6 +128358,8 @@ 0 0 0 +0 +4 10 0x7bf1 0xbda99bda @@ -102694,6 +128368,8 @@ 1 1 0 +0 +4 2 0xa420 0xef9ab560 @@ -102702,6 +128378,8 @@ 0 0 0 +1 +5 8 0x61eb 0x5402cfd5 @@ -102710,6 +128388,8 @@ 0 0 0 +1 +5 4 0x6b82 0x8b6ba9cf @@ -102718,6 +128398,8 @@ 0 0 0 +2 +1 10 0x86e0 0xf426719d @@ -102726,6 +128408,8 @@ 0 0 0 +1 +1 3 0x8528 0x6f24cdc8 @@ -102734,6 +128418,8 @@ 1 1 0 +5 +0 2 0x287c 0xda0d5d01 @@ -102742,6 +128428,8 @@ 1 1 0 +1 +5 10 0xb3a3 0xd6b4133a @@ -102750,6 +128438,8 @@ 1 0 0 +4 +3 2 0xd2d6 0xdc42ec38 @@ -102758,6 +128448,8 @@ 0 0 0 +1 +1 10 0x25cb 0xfb3e08e2 @@ -102766,6 +128458,8 @@ 0 0 0 +3 +2 7 0xdadc 0xa4a35d99 @@ -102774,6 +128468,8 @@ 0 0 0 +2 +1 7 0x1532 0x94fec2d3 @@ -102783,6 +128479,8 @@ 0 0 1 +2 +1 0x47e7 0x6a51a142 256 @@ -102790,6 +128488,8 @@ 0 0 0 +3 +2 6 0xf137 0x2afa692c @@ -102798,6 +128498,8 @@ 0 0 0 +5 +2 3 0x203f 0xec9c950f @@ -102807,6 +128509,8 @@ 0 0 3 +1 +3 0x1b5b 0x22d61afd 256 @@ -102814,6 +128518,8 @@ 1 0 0 +5 +1 3 0xe8fa 0x77f35983 @@ -102822,6 +128528,8 @@ 1 0 0 +3 +0 6 0xa7b3 0x93f07134 @@ -102830,6 +128538,8 @@ 0 0 0 +0 +0 1 0x5a5a 0x5103687 @@ -102838,6 +128548,8 @@ 1 1 0 +4 +3 2 0xb04b 0x4305a189 @@ -102846,6 +128558,8 @@ 0 0 0 +2 +4 1 0xc7af 0xaf0d360 @@ -102854,6 +128568,8 @@ 1 0 0 +1 +1 9 0xe1bf 0xa152514f @@ -102862,6 +128578,8 @@ 1 0 0 +2 +5 7 0xe180 0xef4acbea @@ -102870,6 +128588,8 @@ 0 0 0 +0 +3 3 0x90c9 0x10088e14 @@ -102878,6 +128598,8 @@ 0 0 0 +3 +4 7 0xf45 0x4b1dde90 @@ -102886,6 +128608,8 @@ 0 0 0 +5 +0 3 0x20a5 0xff39cd1d @@ -102894,6 +128618,8 @@ 1 1 0 +4 +0 9 0xe87b 0x2cf219ad @@ -102902,6 +128628,8 @@ 1 1 0 +0 +2 1 0xef4a 0xf1d3f5ed @@ -102910,6 +128638,8 @@ 1 0 0 +4 +5 7 0xf71 0xb3300d00 @@ -102918,6 +128648,8 @@ 0 0 0 +5 +4 7 0x4e6 0xc2a20264 @@ -102926,6 +128658,8 @@ 1 0 0 +1 +5 5 0x11b9 0x62a42f36 @@ -102934,6 +128668,8 @@ 1 0 0 +1 +5 10 0xbdfb 0xc538b597 @@ -102942,6 +128678,8 @@ 0 0 0 +2 +2 7 0x8d12 0x3398b297 @@ -102950,6 +128688,8 @@ 0 0 0 +0 +0 10 0xeff9 0xa88046cb @@ -102959,6 +128699,8 @@ 0 0 2 +2 +2 0x3e 0x6a7f7dc0 256 @@ -102966,6 +128708,8 @@ 1 1 0 +4 +3 8 0xe4f 0x877a5906 @@ -102974,6 +128718,8 @@ 1 1 0 +0 +2 8 0x9493 0xa75762cb @@ -102982,6 +128728,8 @@ 1 1 0 +0 +1 7 0xe356 0xa195cf9 @@ -102990,6 +128738,8 @@ 0 0 0 +3 +1 5 0xe4da 0x37c509ed @@ -102998,6 +128748,8 @@ 0 0 0 +2 +1 10 0xdbfe 0x1ee5b3ad @@ -103007,6 +128759,8 @@ 0 0 3 +2 +3 0x5237 0xeb8f8ea7 256 @@ -103014,6 +128768,8 @@ 0 0 0 +0 +4 7 0xcd31 0xbf576d14 @@ -103022,6 +128778,8 @@ 0 0 0 +2 +2 7 0x29e5 0x7da13236 @@ -103030,6 +128788,8 @@ 0 0 0 +5 +3 6 0x877e 0x5d5adbb0 @@ -103038,6 +128798,8 @@ 0 0 0 +1 +1 6 0x74ba 0x4950d5f0 @@ -103046,6 +128808,8 @@ 1 0 0 +3 +3 5 0x8019 0x3c278580 @@ -103054,6 +128818,8 @@ 1 0 0 +4 +4 1 0x87cd 0xb755a8d0 @@ -103063,6 +128829,8 @@ 0 0 3 +3 +3 0x5b4 0xc7737dda 256 @@ -103070,6 +128838,8 @@ 1 1 0 +5 +4 2 0xadc9 0x2b350369 @@ -103078,6 +128848,8 @@ 1 0 0 +2 +3 4 0xc737 0xce6326ba @@ -103087,6 +128859,8 @@ 0 0 4 +5 +4 0x79b1 0x66eea1f 256 @@ -103094,6 +128868,8 @@ 1 0 0 +1 +3 4 0xf95a 0xbdd9c833 @@ -103102,6 +128878,8 @@ 0 0 0 +0 +0 1 0x6f61 0xc6d70465 @@ -103110,6 +128888,8 @@ 1 1 0 +0 +1 7 0x52a0 0x2558f3d9 @@ -103118,6 +128898,8 @@ 0 0 0 +1 +0 5 0xd180 0xa2847cd7 @@ -103126,6 +128908,8 @@ 0 0 0 +2 +2 6 0x91cb 0x2c627479 @@ -103134,6 +128918,8 @@ 1 0 0 +0 +1 8 0x5a34 0xc5471fe5 @@ -103142,6 +128928,8 @@ 0 0 0 +1 +5 2 0x488c 0x927c464f @@ -103150,6 +128938,8 @@ 0 0 0 +5 +2 10 0x15e5 0x144d0b4c @@ -103158,6 +128948,8 @@ 0 0 0 +0 +2 7 0x600d 0x8c8e7e4f @@ -103166,6 +128958,8 @@ 1 0 0 +3 +1 8 0xe04 0xfcaa0a51 @@ -103174,6 +128968,8 @@ 0 0 0 +0 +2 3 0x6286 0x7dbe6e9b @@ -103182,6 +128978,8 @@ 0 0 0 +4 +0 5 0xe4d 0xf9c25feb @@ -103190,6 +128988,8 @@ 1 0 0 +1 +3 5 0x46d3 0x636e1b63 @@ -103198,6 +128998,8 @@ 0 0 0 +5 +1 7 0x766c 0x8fb7a33f @@ -103206,6 +129008,8 @@ 1 1 0 +5 +5 2 0x63 0xfe4e8e3b @@ -103215,6 +129019,8 @@ 0 0 4 +0 +4 0xa90f 0x9e05dfd3 256 @@ -103222,6 +129028,8 @@ 0 0 0 +1 +0 10 0x1150 0xecc55368 @@ -103231,6 +129039,8 @@ 0 0 3 +4 +3 0xd45c 0x4fe81104 256 @@ -103239,6 +129049,8 @@ 1 0 4 +5 +4 0x5abe 0x8ceca919 256 @@ -103246,6 +129058,8 @@ 0 0 0 +3 +1 1 0x29d4 0xaa60fb3e @@ -103254,6 +129068,8 @@ 0 0 0 +5 +4 8 0x63e8 0xc8b0ef22 @@ -103263,6 +129079,8 @@ 0 0 4 +0 +4 0x5d15 0x709a23bc 256 @@ -103270,6 +129088,8 @@ 0 0 0 +5 +1 9 0xc6d8 0x61004616 @@ -103278,6 +129098,8 @@ 0 0 0 +5 +4 4 0x6a0d 0x757edcc1 @@ -103286,6 +129108,8 @@ 1 0 0 +5 +2 6 0xa3f 0x2a541387 @@ -103294,6 +129118,8 @@ 1 0 0 +0 +3 2 0x2b99 0x932cea2a @@ -103302,6 +129128,8 @@ 0 0 0 +5 +4 6 0x458c 0xa9b54fb7 @@ -103310,6 +129138,8 @@ 1 0 0 +0 +2 3 0x1d08 0xa2ae1763 @@ -103318,6 +129148,8 @@ 0 0 0 +2 +1 3 0x278a 0xc98a607a @@ -103326,6 +129158,8 @@ 1 0 0 +5 +4 6 0x7b55 0xb8d60a15 @@ -103334,6 +129168,8 @@ 1 1 0 +5 +0 9 0xdbdd 0x6f21896a @@ -103343,6 +129179,8 @@ 0 0 5 +0 +5 0xf2cb 0xfaf48ef3 256 @@ -103350,6 +129188,8 @@ 0 0 0 +2 +1 9 0x4996 0x9c74c0af @@ -103358,6 +129198,8 @@ 0 0 0 +5 +0 6 0xdeb4 0xbdbd49d0 @@ -103366,6 +129208,8 @@ 1 0 0 +1 +2 7 0x4887 0x71a870cf @@ -103374,6 +129218,8 @@ 0 0 0 +0 +0 5 0x1125 0xc9d78f8a @@ -103382,6 +129228,8 @@ 0 0 0 +5 +5 4 0xd449 0xa2dd9895 @@ -103391,6 +129239,8 @@ 1 0 3 +2 +3 0xe32a 0x7d948d87 256 @@ -103398,6 +129248,8 @@ 1 0 0 +2 +2 4 0xe95 0xdfeb2470 @@ -103406,6 +129258,8 @@ 1 0 0 +1 +2 10 0x1d84 0xcbedfb01 @@ -103414,6 +129268,8 @@ 1 0 0 +1 +4 5 0x38aa 0x52f7e44f @@ -103423,6 +129279,8 @@ 0 0 2 +2 +2 0x7dab 0x88ce93a2 256 @@ -103431,6 +129289,8 @@ 0 0 5 +2 +5 0xacd9 0x7f491dd3 256 @@ -103439,6 +129299,8 @@ 0 0 4 +3 +4 0x2d40 0xc055d774 256 @@ -103446,6 +129308,8 @@ 1 0 0 +5 +1 2 0xe8a7 0x9edf227 @@ -103454,6 +129318,8 @@ 1 1 0 +0 +4 1 0x9841 0xd7f92045 @@ -103462,6 +129328,8 @@ 1 1 0 +1 +0 2 0xfde0 0xde40acf8 @@ -103470,6 +129338,8 @@ 1 1 0 +5 +0 1 0x7ea4 0x6b06b832 @@ -103478,6 +129348,8 @@ 0 0 0 +1 +3 5 0x9b87 0xbd9d69ef @@ -103486,6 +129358,8 @@ 1 1 0 +4 +1 6 0x2c72 0xf3c14076 @@ -103494,6 +129368,8 @@ 0 0 0 +0 +3 5 0x9248 0x122e0a98 @@ -103502,6 +129378,8 @@ 0 0 0 +1 +1 9 0xea1d 0xf95eec23 @@ -103510,6 +129388,8 @@ 0 0 0 +2 +0 6 0x88cf 0xed92a06c @@ -103518,6 +129398,8 @@ 0 0 0 +0 +5 2 0x189f 0x42133ef1 @@ -103526,6 +129408,8 @@ 1 0 0 +2 +4 4 0xa079 0x8592ceb2 @@ -103534,6 +129418,8 @@ 1 1 0 +0 +1 4 0x2ad5 0xd1f28e2 @@ -103542,6 +129428,8 @@ 0 0 0 +5 +2 7 0x395 0x354caa79 @@ -103550,6 +129438,8 @@ 0 0 0 +1 +4 6 0x414f 0x98dcb2b6 @@ -103558,6 +129448,8 @@ 0 0 0 +2 +4 8 0x8d28 0x8fffb2d8 @@ -103566,6 +129458,8 @@ 0 0 0 +2 +3 7 0xbaa4 0x2378a1cd @@ -103574,6 +129468,8 @@ 0 0 0 +3 +4 2 0x71e1 0xa709528 @@ -103582,6 +129478,8 @@ 1 0 0 +5 +4 6 0x7ef2 0xd5775e17 @@ -103590,6 +129488,8 @@ 1 0 0 +5 +5 2 0xe674 0xad2c317e @@ -103598,6 +129498,8 @@ 0 0 0 +0 +5 3 0x2a32 0x38dcb733 @@ -103606,6 +129508,8 @@ 0 0 0 +4 +2 1 0x372 0xe3c63b65 @@ -103614,6 +129518,8 @@ 0 0 0 +5 +3 1 0x5a28 0xc384294a @@ -103622,6 +129528,8 @@ 1 0 0 +2 +5 8 0xb8bc 0x696a691f @@ -103630,6 +129538,8 @@ 0 0 0 +1 +2 5 0x174c 0x45632ad3 @@ -103638,6 +129548,8 @@ 1 1 0 +1 +0 8 0xf5fa 0xcde78143 @@ -103646,6 +129558,8 @@ 1 0 0 +5 +0 3 0x65a7 0xff5e2a39 @@ -103654,6 +129568,8 @@ 0 0 0 +4 +2 7 0x2302 0x931e4261 @@ -103662,6 +129578,8 @@ 1 1 0 +5 +1 4 0x2a14 0x52d4c6ff @@ -103671,6 +129589,8 @@ 0 0 3 +1 +3 0xeb98 0x8ed797fe 256 @@ -103678,6 +129598,8 @@ 0 0 0 +5 +5 1 0xfe3 0xd41f821c @@ -103686,6 +129608,8 @@ 0 0 0 +1 +1 7 0x244f 0xa1f343ea @@ -103695,6 +129619,8 @@ 1 0 4 +3 +4 0xc0c5 0x1d0b0327 256 @@ -103702,6 +129628,8 @@ 1 1 0 +2 +0 10 0xd2f3 0xc07a2ebb @@ -103710,6 +129638,8 @@ 1 0 0 +0 +2 3 0xf3b7 0xc2af0f5c @@ -103719,6 +129649,8 @@ 1 0 4 +1 +4 0xa008 0x9b55d00e 256 @@ -103726,6 +129658,8 @@ 0 0 0 +0 +3 2 0xacdd 0x680dea0d @@ -103734,6 +129668,8 @@ 0 0 0 +4 +1 9 0x76ab 0xc9701f30 @@ -103742,6 +129678,8 @@ 1 0 0 +5 +2 4 0x58ef 0x12d83d9d @@ -103750,6 +129688,8 @@ 1 1 0 +5 +0 7 0xbe75 0xe94a4d4c @@ -103758,6 +129698,8 @@ 1 1 0 +0 +3 10 0x115d 0x13b89eec @@ -103766,6 +129708,8 @@ 1 0 0 +3 +4 2 0x7e0a 0x1379d46c @@ -103774,6 +129718,8 @@ 0 0 0 +1 +1 6 0xa866 0x93cd91fe @@ -103782,6 +129728,8 @@ 1 1 0 +5 +2 3 0xe5b0 0x30364911 @@ -103790,6 +129738,8 @@ 0 0 0 +0 +2 9 0x5070 0x7e291bfd @@ -103798,6 +129748,8 @@ 1 1 0 +1 +0 2 0x7f2 0x6222363d @@ -103806,6 +129758,8 @@ 1 0 0 +0 +2 6 0x8d57 0x65d9b6c0 @@ -103814,6 +129768,8 @@ 0 0 0 +2 +0 10 0xfd01 0x42d8b1ed @@ -103823,6 +129779,8 @@ 0 0 1 +0 +1 0x2d05 0x5d311fe7 256 @@ -103830,6 +129788,8 @@ 0 0 0 +4 +5 6 0x5a6e 0x967e18d9 @@ -103838,6 +129798,8 @@ 0 0 0 +0 +4 9 0xb524 0xdd9596ce @@ -103846,6 +129808,8 @@ 1 0 0 +3 +1 9 0x27f7 0xa7c04968 @@ -103854,6 +129818,8 @@ 0 0 0 +0 +5 9 0x34ff 0x69836e2f @@ -103862,6 +129828,8 @@ 1 1 0 +5 +3 8 0x6bc7 0x20d6c143 @@ -103870,6 +129838,8 @@ 0 0 0 +3 +2 2 0x45b 0xa423ad78 @@ -103878,6 +129848,8 @@ 1 1 0 +5 +4 7 0x4adc 0x29d0d891 @@ -103886,6 +129858,8 @@ 1 0 0 +0 +1 2 0x450d 0x9d6ec2f7 @@ -103894,6 +129868,8 @@ 0 0 0 +2 +5 5 0x2196 0xc8f5f1e6 @@ -103903,6 +129879,8 @@ 0 0 1 +2 +1 0xf957 0x2f295bff 256 @@ -103910,6 +129888,8 @@ 1 0 0 +1 +5 6 0x2931 0x5b1f009e @@ -103918,6 +129898,8 @@ 1 0 0 +5 +5 9 0x5e37 0xcceaeb8d @@ -103926,6 +129908,8 @@ 1 1 0 +1 +1 2 0x417c 0x48c04154 @@ -103934,6 +129918,8 @@ 0 0 0 +1 +3 2 0x4df9 0xf8dc8508 @@ -103942,6 +129928,8 @@ 0 0 0 +3 +1 8 0x31a2 0xb4737cd @@ -103950,6 +129938,8 @@ 0 0 0 +1 +4 10 0xf879 0x6aa5a474 @@ -103958,6 +129948,8 @@ 0 0 0 +5 +4 3 0xc5b4 0xbdb55e6a @@ -103966,6 +129958,8 @@ 0 0 0 +2 +3 1 0x8cd4 0x96fa8961 @@ -103974,6 +129968,8 @@ 0 0 0 +0 +2 6 0x35a8 0xcfea4435 @@ -103982,6 +129978,8 @@ 1 0 0 +1 +1 9 0x2771 0x8be18ab5 @@ -103990,6 +129988,8 @@ 0 0 0 +5 +3 10 0x5f7d 0xf3c3fea4 @@ -103998,6 +129998,8 @@ 1 1 0 +1 +1 5 0x1497 0x7e18a869 @@ -104006,6 +130008,8 @@ 0 0 0 +4 +3 10 0x1241 0x3ef0cfc8 @@ -104014,6 +130018,8 @@ 1 1 0 +2 +5 3 0x595d 0x82c984e8 @@ -104022,6 +130028,8 @@ 1 1 0 +1 +5 5 0xa1a9 0x1550dd80 @@ -104030,6 +130038,8 @@ 0 0 0 +0 +4 5 0x1df2 0xed4c612 @@ -104038,6 +130048,8 @@ 0 0 0 +5 +5 3 0x5b2f 0x490a1225 @@ -104046,6 +130058,8 @@ 0 0 0 +5 +0 8 0x6d20 0xb6548566 @@ -104055,6 +130069,8 @@ 0 0 2 +5 +2 0x5f2a 0xf7a474f6 256 @@ -104062,6 +130078,8 @@ 1 1 0 +2 +5 4 0x24ea 0x25ce38a8 @@ -104070,6 +130088,8 @@ 0 0 0 +0 +3 9 0x3204 0xd504217c @@ -104078,6 +130098,8 @@ 0 0 0 +1 +5 2 0x65f9 0x2cf3515 @@ -104086,6 +130108,8 @@ 0 0 0 +3 +4 7 0xf8e 0xaadcda77 @@ -104094,6 +130118,8 @@ 0 0 0 +1 +2 8 0x9c9c 0xa5cc58f9 @@ -104102,6 +130128,8 @@ 0 0 0 +2 +1 4 0xa8b4 0x22fa65fc @@ -104110,6 +130138,8 @@ 0 0 0 +2 +5 7 0x2d7a 0x7ba4e411 @@ -104118,6 +130148,8 @@ 0 0 0 +0 +2 1 0xab17 0x9166e647 @@ -104126,6 +130158,8 @@ 1 1 0 +0 +0 9 0x1203 0x8c4ec038 @@ -104134,6 +130168,8 @@ 0 0 0 +1 +3 2 0xbb3c 0x9af56cfd @@ -104142,6 +130178,8 @@ 1 1 0 +1 +2 2 0x9f97 0x123865d @@ -104150,6 +130188,8 @@ 0 0 0 +3 +5 9 0x2fc6 0xd99bd296 @@ -104158,6 +130198,8 @@ 0 0 0 +1 +5 7 0xcf0c 0x1ccb8358 @@ -104167,6 +130209,8 @@ 0 0 2 +1 +2 0x4d2a 0x5d0226fa 256 @@ -104174,6 +130218,8 @@ 0 0 0 +5 +1 7 0xd26d 0x3fc67ef5 @@ -104183,6 +130229,8 @@ 1 0 4 +4 +4 0x5e79 0x48067efa 256 @@ -104191,6 +130239,8 @@ 0 0 1 +3 +1 0xf653 0x35560015 256 @@ -104198,6 +130248,8 @@ 1 1 0 +5 +0 3 0x3f02 0x58ba6a37 @@ -104206,6 +130258,8 @@ 0 0 0 +1 +1 5 0xf42b 0x31fe7045 @@ -104214,6 +130268,8 @@ 1 1 0 +0 +5 7 0xb0d0 0x806731ba @@ -104222,6 +130278,8 @@ 1 1 0 +4 +5 7 0xb817 0x71d0af50 @@ -104231,6 +130289,8 @@ 0 0 2 +1 +2 0xf18 0x679e096d 256 @@ -104238,6 +130298,8 @@ 0 0 0 +2 +4 7 0x6ea8 0xf1159e60 @@ -104246,6 +130308,8 @@ 1 0 0 +0 +5 2 0x90a1 0x19d97f77 @@ -104254,6 +130318,8 @@ 0 0 0 +5 +4 10 0x9bd0 0xbd9cb102 @@ -104262,6 +130328,8 @@ 0 0 0 +3 +1 7 0x36d9 0x23c4e9ed @@ -104270,6 +130338,8 @@ 0 0 0 +0 +1 6 0x1766 0xe6c1c8d0 @@ -104278,6 +130348,8 @@ 0 0 0 +3 +0 5 0x17d8 0x3a89c0da @@ -104286,6 +130358,8 @@ 1 0 0 +4 +4 9 0xd26d 0xdaf4b5ed @@ -104294,6 +130368,8 @@ 0 0 0 +1 +4 3 0x4ec6 0xf1e58caa @@ -104302,6 +130378,8 @@ 0 0 0 +0 +5 1 0x4df9 0x17d4cd22 @@ -104310,6 +130388,8 @@ 1 0 0 +0 +0 9 0x7366 0x4203c92d @@ -104319,6 +130399,8 @@ 0 0 2 +1 +2 0x127d 0x814a7625 256 @@ -104326,6 +130408,8 @@ 1 1 0 +2 +2 9 0x3691 0xa00e5ea1 @@ -104334,6 +130418,8 @@ 0 0 0 +1 +0 7 0x1f06 0x5be31ed6 @@ -104342,6 +130428,8 @@ 1 1 0 +1 +4 5 0xfc54 0xc327dd56 @@ -104350,6 +130438,8 @@ 1 0 0 +5 +0 4 0x7dc 0xbe001692 @@ -104358,6 +130448,8 @@ 1 0 0 +0 +4 8 0x4140 0xad51d455 @@ -104366,6 +130458,8 @@ 0 0 0 +2 +3 9 0xde66 0x6a4b3e23 @@ -104374,6 +130468,8 @@ 0 0 0 +3 +5 2 0x3da3 0x9d88d0bd @@ -104382,6 +130478,8 @@ 1 1 0 +3 +1 6 0x6594 0x79ac72dd @@ -104390,6 +130488,8 @@ 1 1 0 +4 +0 8 0x8069 0xbca05d65 @@ -104398,6 +130498,8 @@ 0 0 0 +3 +2 6 0xc1e4 0x3ee70868 @@ -104406,6 +130508,8 @@ 0 0 0 +0 +4 4 0x299a 0x45a77cb4 @@ -104414,6 +130518,8 @@ 0 0 0 +2 +5 6 0x803a 0x70ff3a7f @@ -104422,6 +130528,8 @@ 0 0 0 +3 +0 5 0xdf25 0x46c961ce @@ -104430,6 +130538,8 @@ 1 1 0 +3 +4 1 0xc1bb 0xde18dfe9 @@ -104439,6 +130549,8 @@ 0 0 2 +0 +2 0xe169 0x96997270 256 @@ -104447,6 +130559,8 @@ 0 0 3 +5 +3 0x2875 0xb20a604b 256 @@ -104454,6 +130568,8 @@ 0 0 0 +1 +4 5 0x89bb 0xeac0d8cc @@ -104463,6 +130579,8 @@ 0 0 2 +0 +2 0x5b17 0x1d6e9fbd 256 @@ -104470,6 +130588,8 @@ 1 1 0 +3 +5 1 0x8fdb 0xa2bc855f @@ -104479,6 +130599,8 @@ 1 0 1 +1 +1 0xdcc6 0xcceb412b 256 @@ -104486,6 +130608,8 @@ 1 1 0 +4 +2 8 0x77b7 0x57690fc7 @@ -104494,6 +130618,8 @@ 0 0 0 +3 +2 8 0x2337 0xe7b77abb @@ -104503,6 +130629,8 @@ 0 0 3 +5 +3 0xe749 0x580be828 256 @@ -104510,6 +130638,8 @@ 1 0 0 +5 +2 6 0xd5ab 0x6b40e882 @@ -104518,6 +130648,8 @@ 0 0 0 +4 +0 7 0xce65 0x2f487c3f @@ -104526,6 +130658,8 @@ 1 0 0 +5 +5 3 0x98b0 0xab0c6fb4 @@ -104534,6 +130668,8 @@ 1 0 0 +0 +2 8 0xd580 0x8e9ccc5c @@ -104542,6 +130678,8 @@ 1 1 0 +0 +3 3 0xadb0 0x9dcede52 @@ -104550,6 +130688,8 @@ 1 0 0 +0 +3 6 0x99ff 0xda1dd4ff @@ -104558,6 +130698,8 @@ 1 0 0 +4 +3 7 0x2010 0x15377a33 @@ -104566,6 +130708,8 @@ 0 0 0 +0 +4 1 0x4d0c 0x9caeec14 @@ -104574,6 +130718,8 @@ 1 1 0 +0 +5 1 0xc174 0x1e5783d2 @@ -104582,6 +130728,8 @@ 0 0 0 +5 +1 8 0xcccb 0xf708eba4 @@ -104590,6 +130738,8 @@ 0 0 0 +1 +2 3 0xf458 0x41097bb7 @@ -104599,6 +130749,8 @@ 0 0 3 +3 +3 0x3260 0x49043ba7 256 @@ -104606,6 +130758,8 @@ 1 0 0 +3 +0 10 0x7890 0x4d016361 @@ -104615,6 +130769,8 @@ 0 0 2 +0 +2 0xdadc 0x1c35c98d 256 @@ -104622,6 +130778,8 @@ 1 1 0 +0 +0 10 0xfadb 0x5fd85a14 @@ -104630,6 +130788,8 @@ 1 1 0 +5 +5 2 0x4c0d 0xa9617199 @@ -104638,6 +130798,8 @@ 0 0 0 +1 +3 3 0x6e91 0x78f87bb9 @@ -104646,6 +130808,8 @@ 1 0 0 +5 +2 8 0xd79e 0x22140f1d @@ -104654,6 +130818,8 @@ 0 0 0 +1 +0 2 0x1bad 0x9e27534c @@ -104662,6 +130828,8 @@ 0 0 0 +5 +1 9 0x8b02 0x60fc0a36 @@ -104670,6 +130838,8 @@ 1 1 0 +4 +3 3 0x1a1e 0xca19a5e9 @@ -104678,6 +130848,8 @@ 1 1 0 +4 +5 8 0xf635 0x3123bb9d @@ -104686,6 +130858,8 @@ 1 1 0 +0 +3 5 0xfca2 0x2e083193 @@ -104694,6 +130868,8 @@ 1 1 0 +4 +1 5 0x3dff 0x2528661c @@ -104702,6 +130878,8 @@ 0 0 0 +3 +3 4 0x1f81 0xc291638c @@ -104710,6 +130888,8 @@ 1 1 0 +3 +2 1 0xf420 0xf3eeac55 @@ -104718,6 +130898,8 @@ 1 1 0 +5 +0 8 0x7907 0x2c64fc38 @@ -104726,6 +130908,8 @@ 0 0 0 +0 +5 6 0x59ce 0x60919bd7 @@ -104734,6 +130918,8 @@ 1 1 0 +1 +0 2 0x2e7e 0x1802abb5 @@ -104742,6 +130928,8 @@ 0 0 0 +1 +4 9 0x701e 0x428ac23e @@ -104750,6 +130938,8 @@ 1 1 0 +3 +4 1 0x109 0x55228cf8 @@ -104758,6 +130948,8 @@ 0 0 0 +3 +3 10 0x1e0a 0x61123383 @@ -104766,6 +130958,8 @@ 0 0 0 +3 +0 10 0x32df 0xba267f8e @@ -104774,6 +130968,8 @@ 1 0 0 +4 +4 9 0x9fd8 0x923d4622 @@ -104782,6 +130978,8 @@ 1 0 0 +4 +3 1 0x6b31 0x394b12cc @@ -104790,6 +130988,8 @@ 1 0 0 +4 +3 9 0xc191 0xdbff4e87 @@ -104798,6 +130998,8 @@ 1 0 0 +5 +5 8 0x5bab 0xaabb0e5b @@ -104806,6 +131008,8 @@ 0 0 0 +4 +0 8 0x131f 0xced358d2 @@ -104814,6 +131018,8 @@ 0 0 0 +2 +0 5 0x5360 0xd3fae011 @@ -104822,6 +131028,8 @@ 1 0 0 +5 +3 2 0x657c 0xa36900cd @@ -104830,6 +131038,8 @@ 0 0 0 +5 +1 9 0xd4e3 0x2ede1316 @@ -104838,6 +131048,8 @@ 0 0 0 +5 +4 8 0xc548 0x50437542 @@ -104846,6 +131058,8 @@ 0 0 0 +0 +1 6 0x17b6 0x2415e6a5 @@ -104854,6 +131068,8 @@ 1 0 0 +4 +4 7 0x5db7 0xde263294 @@ -104862,6 +131078,8 @@ 0 0 0 +3 +3 9 0x1d69 0x4646e7da @@ -104870,6 +131088,8 @@ 0 0 0 +2 +0 3 0xcc81 0x4c889ba2 @@ -104878,6 +131098,8 @@ 0 0 0 +5 +5 8 0xcaa6 0x75c6339d @@ -104886,6 +131108,8 @@ 0 0 0 +1 +0 4 0xefc2 0xfdc098c8 @@ -104894,6 +131118,8 @@ 0 0 0 +3 +0 1 0x4107 0xa75d7e45 @@ -104902,6 +131128,8 @@ 0 0 0 +3 +5 4 0x9d29 0x363ed993 @@ -104910,6 +131138,8 @@ 0 0 0 +4 +5 2 0xeaa1 0xdb87ef02 @@ -104918,6 +131148,8 @@ 0 0 0 +1 +5 4 0xa482 0x7e9f189e @@ -104926,6 +131158,8 @@ 1 1 0 +3 +1 5 0x82ff 0x3d95ba66 @@ -104934,6 +131168,8 @@ 1 0 0 +5 +5 10 0x33f0 0xb947327f @@ -104942,6 +131178,8 @@ 1 0 0 +5 +1 9 0xf3f6 0xbb16f8ac @@ -104950,6 +131188,8 @@ 0 0 0 +3 +2 9 0xa211 0x3e72fc7e @@ -104958,6 +131198,8 @@ 1 0 0 +1 +0 10 0x3746 0xfc2d9a7c @@ -104967,6 +131209,8 @@ 0 0 3 +4 +3 0xab71 0x4a0efe00 256 @@ -104974,6 +131218,8 @@ 1 0 0 +3 +5 5 0x234b 0x5f98c161 @@ -104982,6 +131228,8 @@ 1 1 0 +0 +5 5 0x4602 0xafdce475 @@ -104990,6 +131238,8 @@ 1 1 0 +0 +3 5 0x6766 0xf56857 @@ -104998,6 +131248,8 @@ 1 1 0 +2 +3 9 0x1bcc 0xeeddc159 @@ -105006,6 +131258,8 @@ 1 0 0 +2 +0 9 0x5683 0xece1007 @@ -105014,6 +131268,8 @@ 1 1 0 +3 +4 1 0x3c9f 0xbb2f841c @@ -105022,6 +131278,8 @@ 1 0 0 +1 +1 3 0x91fa 0xb2bb47f @@ -105030,6 +131288,8 @@ 1 1 0 +0 +5 1 0xec42 0x9a89703f @@ -105038,6 +131298,8 @@ 1 1 0 +4 +2 8 0x50dd 0xd3cc2d53 @@ -105046,6 +131308,8 @@ 1 0 0 +2 +2 10 0xbfea 0x6999ec22 @@ -105055,6 +131319,8 @@ 0 0 1 +4 +1 0x37c6 0x99e1fe0d 256 @@ -105062,6 +131328,8 @@ 0 0 0 +0 +5 3 0xeb76 0xceb575c5 @@ -105071,6 +131339,8 @@ 1 0 3 +5 +3 0x3173 0xb8d3a721 256 @@ -105078,6 +131348,8 @@ 1 1 0 +4 +5 2 0x6437 0xa57e543f @@ -105086,6 +131358,8 @@ 1 0 0 +4 +3 9 0xd052 0xef4176a7 @@ -105094,6 +131368,8 @@ 0 0 0 +1 +3 4 0x7ff9 0xa7c4ae29 @@ -105102,6 +131378,8 @@ 1 0 0 +2 +1 10 0x51ae 0xb99bc8d4 @@ -105110,6 +131388,8 @@ 1 1 0 +2 +4 8 0x6e04 0x71169d0a @@ -105118,6 +131398,8 @@ 0 0 0 +2 +4 4 0xf34f 0xc247acc4 @@ -105126,6 +131408,8 @@ 1 0 0 +1 +0 10 0x4db4 0x63100171 @@ -105134,6 +131418,8 @@ 1 1 0 +3 +5 1 0x8985 0x1700189d @@ -105142,6 +131428,8 @@ 0 0 0 +1 +4 9 0x9eb5 0x91ae526d @@ -105150,6 +131438,8 @@ 1 1 0 +4 +1 2 0x4124 0xb7aa3660 @@ -105159,6 +131449,8 @@ 1 0 3 +2 +3 0xe94f 0xea5663ce 256 @@ -105166,6 +131458,8 @@ 0 0 0 +0 +1 10 0x483a 0x82f0d85c @@ -105175,6 +131469,8 @@ 0 0 1 +3 +1 0x3889 0xbe2dc194 256 @@ -105182,6 +131478,8 @@ 0 0 0 +5 +3 8 0xb20b 0xede9006c @@ -105190,6 +131488,8 @@ 1 0 0 +4 +4 2 0xf390 0xc29068a3 @@ -105198,6 +131498,8 @@ 1 1 0 +5 +1 10 0xb5dc 0x83dbf38e @@ -105206,6 +131508,8 @@ 0 0 0 +1 +0 8 0xf568 0x121eb6dc @@ -105214,6 +131518,8 @@ 0 0 0 +4 +0 3 0x6e74 0xacc515b4 @@ -105222,6 +131528,8 @@ 1 1 0 +0 +4 10 0x34ea 0x6c4dc27b @@ -105230,6 +131538,8 @@ 0 0 0 +4 +5 8 0x16b7 0xbf135dbb @@ -105238,6 +131548,8 @@ 1 1 0 +5 +4 8 0x9651 0xd82ab8e3 @@ -105246,6 +131558,8 @@ 1 1 0 +2 +0 4 0xa5eb 0xf757f1a5 @@ -105254,6 +131568,8 @@ 1 1 0 +1 +3 7 0x27c4 0xa9efea00 @@ -105262,6 +131578,8 @@ 1 0 0 +1 +3 10 0x1e4a 0x4b53024d @@ -105270,6 +131588,8 @@ 0 0 0 +4 +0 8 0x661f 0xf101c7a1 @@ -105278,6 +131598,8 @@ 0 0 0 +5 +1 7 0x2322 0x57496a42 @@ -105286,6 +131608,8 @@ 1 0 0 +5 +0 4 0x3acb 0xd3667d89 @@ -105294,6 +131618,8 @@ 0 0 0 +5 +0 9 0xa393 0xbd1e5be4 @@ -105302,6 +131628,8 @@ 0 0 0 +1 +0 6 0x36e9 0xc35f80c1 @@ -105310,6 +131638,8 @@ 1 1 0 +4 +2 2 0x8dc0 0x2a8ede54 @@ -105318,6 +131648,8 @@ 1 1 0 +3 +1 8 0x72fb 0x401fd1b3 @@ -105326,6 +131658,8 @@ 0 0 0 +1 +3 9 0xdc44 0x49fac19 @@ -105334,6 +131668,8 @@ 0 0 0 +0 +3 10 0xd1ed 0xa86dd42e @@ -105342,6 +131678,8 @@ 1 0 0 +3 +0 10 0xbd0a 0xc4bd4e92 @@ -105350,6 +131688,8 @@ 0 0 0 +3 +2 5 0xa177 0xb68e740d @@ -105358,6 +131698,8 @@ 1 0 0 +3 +4 7 0xe682 0xf8d28305 @@ -105366,6 +131708,8 @@ 1 1 0 +4 +3 10 0xfd13 0xaca754e7 @@ -105374,6 +131718,8 @@ 0 0 0 +0 +0 10 0x2512 0x1156138b @@ -105382,6 +131728,8 @@ 0 0 0 +1 +2 6 0x7b95 0x22523dad @@ -105390,6 +131738,8 @@ 1 1 0 +1 +1 10 0x637b 0x3174fae6 @@ -105399,6 +131749,8 @@ 1 0 4 +0 +4 0x9871 0xcd32b41b 256 @@ -105406,6 +131758,8 @@ 1 0 0 +4 +0 5 0xf54f 0xb90911f1 @@ -105414,6 +131768,8 @@ 1 0 0 +5 +5 4 0x5c99 0x5ba80225 @@ -105422,6 +131778,8 @@ 1 1 0 +0 +5 8 0x3d5c 0xde63c0f9 @@ -105430,6 +131788,8 @@ 1 0 0 +0 +5 2 0xdfb9 0x91bc07d0 @@ -105438,6 +131798,8 @@ 0 0 0 +2 +0 4 0x421b 0x8f25f3ec @@ -105446,6 +131808,8 @@ 1 0 0 +3 +0 9 0x2274 0xe9024c2b @@ -105454,6 +131818,8 @@ 0 0 0 +1 +5 10 0x5bac 0x749c591b @@ -105462,6 +131828,8 @@ 1 0 0 +0 +5 5 0x8a22 0x2ef05ab0 @@ -105470,6 +131838,8 @@ 1 1 0 +2 +3 7 0x9466 0x18a376d0 @@ -105478,6 +131848,8 @@ 0 0 0 +4 +2 9 0x366a 0xed4e7ba9 @@ -105486,6 +131858,8 @@ 0 0 0 +3 +2 1 0x36e1 0x8e05bf6d @@ -105494,6 +131868,8 @@ 0 0 0 +0 +5 9 0x3dd9 0x93e0622a @@ -105503,6 +131879,8 @@ 0 0 1 +2 +1 0xdfea 0x392d409d 256 @@ -105510,6 +131888,8 @@ 0 0 0 +3 +4 7 0x2225 0x1fd6b929 @@ -105518,6 +131898,8 @@ 0 0 0 +3 +1 6 0x4dc1 0x574d34f6 @@ -105526,6 +131908,8 @@ 0 0 0 +4 +0 7 0x6b36 0xa19e5b9 @@ -105534,6 +131918,8 @@ 1 0 0 +5 +2 10 0x3f2a 0x8c165e48 @@ -105542,6 +131928,8 @@ 1 0 0 +4 +0 3 0xb080 0x7eb77b50 @@ -105550,6 +131938,8 @@ 0 0 0 +0 +5 8 0x48c9 0xefbd3365 @@ -105558,6 +131948,8 @@ 1 1 0 +4 +5 6 0xf8ab 0x65046201 @@ -105566,6 +131958,8 @@ 1 1 0 +3 +0 1 0xf7de 0x7ddfa24c @@ -105574,6 +131968,8 @@ 0 0 0 +4 +4 10 0xfd88 0x50938ee1 @@ -105582,6 +131978,8 @@ 1 0 0 +2 +2 7 0x93d9 0xb2e0e0d3 @@ -105590,6 +131988,8 @@ 0 0 0 +0 +5 1 0x1db3 0xb7e3e3f1 @@ -105598,6 +131998,8 @@ 1 1 0 +0 +0 10 0xc590 0xc4822374 @@ -105606,6 +132008,8 @@ 1 0 0 +3 +0 6 0x79c7 0x46daa615 @@ -105614,6 +132018,8 @@ 0 0 0 +4 +2 2 0x38ad 0x99fb9a56 @@ -105622,6 +132028,8 @@ 1 0 0 +3 +3 6 0x37fe 0x3b57d878 @@ -105630,6 +132038,8 @@ 0 0 0 +1 +1 6 0x348e 0x611dc01e @@ -105638,6 +132048,8 @@ 0 0 0 +4 +5 8 0xfda 0xc8302ba0 @@ -105646,6 +132058,8 @@ 0 0 0 +1 +3 7 0xb869 0xbf02cc36 @@ -105654,6 +132068,8 @@ 1 0 0 +0 +0 8 0x5430 0xd00be767 @@ -105663,6 +132079,8 @@ 0 0 3 +1 +3 0xc46c 0x7aeb5bf1 256 @@ -105671,6 +132089,8 @@ 0 0 1 +4 +1 0x45fc 0x13a1b2df 256 @@ -105678,6 +132098,8 @@ 0 0 0 +5 +0 9 0xf06d 0x8cbd913 @@ -105686,6 +132108,8 @@ 1 1 0 +3 +0 6 0xcdfb 0x61639dc4 @@ -105694,6 +132118,8 @@ 1 0 0 +2 +5 6 0x8dd 0x5f24fa67 @@ -105702,6 +132128,8 @@ 0 0 0 +1 +1 7 0x254e 0x16fb737f @@ -105710,6 +132138,8 @@ 1 1 0 +1 +5 8 0x9c8f 0xffbf402a @@ -105718,6 +132148,8 @@ 1 1 0 +2 +3 10 0xe622 0xf6074aaf @@ -105726,6 +132158,8 @@ 0 0 0 +2 +2 5 0x308e 0x4faab4e1 @@ -105734,6 +132168,8 @@ 0 0 0 +2 +3 6 0x5fed 0xb98f46de @@ -105742,6 +132178,8 @@ 0 0 0 +5 +0 1 0x6f46 0x828d9f96 @@ -105750,6 +132188,8 @@ 0 0 0 +5 +3 2 0xd50 0xb7931f1a @@ -105758,6 +132198,8 @@ 1 1 0 +0 +3 9 0xdb7c 0x7e4a4b35 @@ -105766,6 +132208,8 @@ 1 0 0 +0 +1 6 0x480d 0xa0f32e6d @@ -105774,6 +132218,8 @@ 1 0 0 +2 +5 5 0x24ad 0x4b456a91 @@ -105782,6 +132228,8 @@ 0 0 0 +4 +4 8 0xa22d 0x82a60b4b @@ -105790,6 +132238,8 @@ 0 0 0 +1 +3 10 0x4474 0x8f75fbc9 @@ -105798,6 +132248,8 @@ 0 0 0 +3 +3 6 0x527e 0xa4068844 @@ -105806,6 +132258,8 @@ 1 1 0 +2 +0 3 0x2b24 0xfb837816 @@ -105814,6 +132268,8 @@ 0 0 0 +5 +0 2 0x85ad 0x8e4d5e6a @@ -105822,6 +132278,8 @@ 1 0 0 +5 +2 7 0x67cc 0xdf7eaf45 @@ -105830,6 +132288,8 @@ 0 0 0 +0 +5 7 0xa0cf 0xcbe7b5d3 @@ -105838,6 +132298,8 @@ 1 1 0 +3 +5 5 0xfaab 0x92094087 @@ -105846,6 +132308,8 @@ 1 1 0 +4 +1 2 0x5993 0x3344026 @@ -105854,6 +132318,8 @@ 0 0 0 +1 +4 2 0xffb0 0x17602469 @@ -105862,6 +132328,8 @@ 1 0 0 +3 +3 4 0x3979 0x160475b7 @@ -105870,6 +132338,8 @@ 0 0 0 +2 +0 10 0x2c8d 0x6f3c5ded @@ -105878,6 +132348,8 @@ 1 1 0 +3 +0 2 0xbf37 0xd3147240 @@ -105886,6 +132358,8 @@ 1 1 0 +1 +1 5 0xab8b 0xe647f2cb @@ -105894,6 +132368,8 @@ 0 0 0 +1 +0 3 0x7120 0xc5eed54d @@ -105902,6 +132378,8 @@ 0 0 0 +2 +1 10 0x7ddc 0xfd58d9c7 @@ -105910,6 +132388,8 @@ 1 1 0 +1 +5 3 0x1be8 0xcf435f66 @@ -105919,6 +132399,8 @@ 0 0 2 +3 +2 0x3734 0xf243009e 256 @@ -105927,6 +132409,8 @@ 0 0 4 +2 +4 0xc617 0xf90da7f9 256 @@ -105934,6 +132418,8 @@ 0 0 0 +3 +1 1 0x794e 0x9032c654 @@ -105942,6 +132428,8 @@ 1 1 0 +3 +3 10 0xc073 0x99823b8d @@ -105950,6 +132438,8 @@ 1 1 0 +4 +1 5 0x8a07 0x856c73a @@ -105958,6 +132448,8 @@ 1 0 0 +4 +4 1 0xb5b9 0xa6ebf664 @@ -105966,6 +132458,8 @@ 0 0 0 +3 +5 5 0xa781 0x8a43d23a @@ -105974,6 +132468,8 @@ 1 0 0 +5 +4 10 0x1603 0xca8c4841 @@ -105982,6 +132478,8 @@ 1 1 0 +1 +4 5 0x9b63 0x465a3d35 @@ -105990,6 +132488,8 @@ 1 0 0 +5 +5 10 0x430d 0xfd1db80c @@ -105998,6 +132498,8 @@ 1 0 0 +2 +3 4 0x31ee 0x52309791 @@ -106006,6 +132508,8 @@ 1 1 0 +2 +3 1 0xe1c9 0x3186ee95 @@ -106014,6 +132518,8 @@ 0 0 0 +5 +5 3 0x896b 0x478f35a2 @@ -106022,6 +132528,8 @@ 0 0 0 +0 +3 2 0x3541 0x217b902f @@ -106030,6 +132538,8 @@ 1 1 0 +0 +0 2 0x48d9 0xf3538584 @@ -106038,6 +132548,8 @@ 1 1 0 +1 +3 8 0x74f8 0x5dac240a @@ -106046,6 +132558,8 @@ 0 0 0 +5 +4 10 0x5a6b 0xaf8cb198 @@ -106054,6 +132568,8 @@ 0 0 0 +0 +4 10 0x4cb1 0x3d6260c5 @@ -106062,6 +132578,8 @@ 0 0 0 +3 +1 2 0xa429 0xf0c0410d @@ -106070,6 +132588,8 @@ 1 1 0 +5 +2 3 0x65c2 0xd5e37dd6 @@ -106078,6 +132598,8 @@ 0 0 0 +2 +3 8 0xfd87 0xfee6b216 @@ -106086,6 +132608,8 @@ 1 0 0 +3 +2 9 0x102e 0x6782c318 @@ -106094,6 +132618,8 @@ 1 0 0 +4 +2 9 0xa0cd 0x9594dff4 @@ -106102,6 +132628,8 @@ 1 1 0 +2 +1 9 0xfb41 0xacb7c25f @@ -106110,6 +132638,8 @@ 0 0 0 +5 +0 1 0x8828 0x555ded8c @@ -106118,6 +132648,8 @@ 1 1 0 +5 +3 2 0x7be5 0xd236ca56 @@ -106126,6 +132658,8 @@ 0 0 0 +0 +1 6 0xb9c5 0xe15ee64b @@ -106134,6 +132668,8 @@ 1 1 0 +2 +0 8 0x841 0xb179141c @@ -106142,6 +132678,8 @@ 1 0 0 +2 +0 6 0xa5c0 0x551afc18 @@ -106150,6 +132688,8 @@ 0 0 0 +0 +0 7 0x645f 0x252e4920 @@ -106158,6 +132698,8 @@ 1 1 0 +1 +2 10 0xba86 0x85fe4cfe @@ -106166,6 +132708,8 @@ 1 0 0 +4 +5 9 0x1639 0xe5cd0503 @@ -106174,6 +132718,8 @@ 0 0 0 +2 +2 1 0x1f5f 0xcab39744 @@ -106182,6 +132728,8 @@ 0 0 0 +3 +4 6 0x5253 0x9b77f006 @@ -106190,6 +132738,8 @@ 0 0 0 +4 +3 7 0x586 0xfba3d087 @@ -106198,6 +132748,8 @@ 1 1 0 +3 +0 8 0x906b 0x21afa3fd @@ -106206,6 +132758,8 @@ 0 0 0 +0 +1 10 0xa84f 0x580f02d8 @@ -106214,6 +132768,8 @@ 0 0 0 +2 +4 10 0xc2aa 0x8f8cfe1c @@ -106222,6 +132778,8 @@ 0 0 0 +2 +0 1 0xd06a 0x9f96239b @@ -106230,6 +132788,8 @@ 1 1 0 +5 +5 6 0xf99b 0xcb269bf4 @@ -106238,6 +132798,8 @@ 0 0 0 +2 +1 7 0xf0b0 0xa14c79ae @@ -106246,6 +132808,8 @@ 1 1 0 +0 +2 2 0xf47 0x63e30ce5 @@ -106254,6 +132818,8 @@ 0 0 0 +4 +1 10 0xf59c 0xe84197de @@ -106262,6 +132828,8 @@ 0 0 0 +2 +4 3 0x3874 0x30968ce5 @@ -106270,6 +132838,8 @@ 0 0 0 +4 +3 1 0xf6ab 0x3a8aaffb @@ -106278,6 +132848,8 @@ 0 0 0 +2 +3 1 0x96af 0x326eaf14 @@ -106286,6 +132858,8 @@ 0 0 0 +0 +5 9 0xd226 0x59acde99 @@ -106294,6 +132868,8 @@ 1 0 0 +3 +0 10 0x4017 0x3298c725 @@ -106302,6 +132878,8 @@ 1 1 0 +0 +3 8 0x793d 0xa4e8100a @@ -106310,6 +132888,8 @@ 1 1 0 +5 +1 3 0xe127 0x5a94f940 @@ -106318,6 +132898,8 @@ 1 1 0 +0 +2 3 0x7c 0xd368f84e @@ -106326,6 +132908,8 @@ 1 0 0 +1 +5 4 0xc467 0x8e152937 @@ -106334,6 +132918,8 @@ 0 0 0 +2 +0 5 0x62d6 0x2d44ee82 @@ -106342,6 +132928,8 @@ 1 0 0 +3 +2 7 0x1f64 0xe945da10 @@ -106350,6 +132938,8 @@ 1 0 0 +1 +5 8 0x8184 0x3883bd94 @@ -106358,6 +132948,8 @@ 1 0 0 +2 +3 10 0x679b 0xbbd4028d @@ -106366,6 +132958,8 @@ 1 1 0 +2 +5 9 0xf965 0xa8eea51b @@ -106374,6 +132968,8 @@ 1 1 0 +5 +2 10 0xe3f8 0x26af7907 @@ -106382,6 +132978,8 @@ 0 0 0 +4 +5 3 0x898e 0x3ebe4995 @@ -106390,6 +132988,8 @@ 0 0 0 +0 +0 7 0x120c 0xb628f2ea @@ -106398,6 +132998,8 @@ 1 1 0 +2 +0 4 0x71cc 0x5607d52 @@ -106406,6 +133008,8 @@ 0 0 0 +0 +3 7 0xb3f2 0x369b4726 @@ -106414,6 +133018,8 @@ 0 0 0 +0 +4 9 0xf71a 0xd428b948 @@ -106422,6 +133028,8 @@ 0 0 0 +0 +5 7 0x42ed 0x6dca0af6 @@ -106430,6 +133038,8 @@ 1 0 0 +4 +4 1 0x35cc 0x4a55a805 @@ -106439,6 +133049,8 @@ 0 0 5 +2 +5 0x66e4 0xfe8edad3 256 @@ -106446,6 +133058,8 @@ 1 1 0 +1 +0 3 0x788d 0xe556aa88 @@ -106454,6 +133068,8 @@ 0 0 0 +5 +1 3 0x667b 0xfc72458b @@ -106462,6 +133078,8 @@ 1 0 0 +5 +4 2 0xb474 0x12b06775 @@ -106470,6 +133088,8 @@ 1 0 0 +2 +2 3 0xcb24 0xb115da41 @@ -106478,6 +133098,8 @@ 0 0 0 +3 +0 5 0xa62f 0xa9898b50 @@ -106486,6 +133108,8 @@ 0 0 0 +1 +4 9 0xf89a 0x2491fc23 @@ -106494,6 +133118,8 @@ 0 0 0 +4 +3 3 0xb12a 0xb6d0f720 @@ -106502,6 +133128,8 @@ 0 0 0 +5 +0 6 0x852e 0x4c5e96c2 @@ -106510,6 +133138,8 @@ 0 0 0 +2 +5 1 0xe465 0xad924d47 @@ -106518,6 +133148,8 @@ 0 0 0 +4 +3 1 0x94e4 0xd0e93026 @@ -106526,6 +133158,8 @@ 1 0 0 +3 +0 5 0x4cf 0xda127e0 @@ -106534,6 +133168,8 @@ 1 0 0 +1 +1 7 0xdbc2 0x1e17bcae @@ -106542,6 +133178,8 @@ 1 1 0 +1 +1 2 0xc45e 0x4b1fcbf6 @@ -106550,6 +133188,8 @@ 0 0 0 +4 +4 10 0xe916 0x4558d6d8 @@ -106558,6 +133198,8 @@ 0 0 0 +1 +0 4 0x3ec5 0xe841a627 @@ -106567,6 +133209,8 @@ 0 0 5 +4 +5 0x9388 0x80b43795 256 @@ -106574,6 +133218,8 @@ 0 0 0 +1 +3 4 0xb19f 0x85d2a592 @@ -106583,6 +133229,8 @@ 0 0 3 +5 +3 0x8c0f 0xae2ab0c0 256 @@ -106590,6 +133238,8 @@ 1 0 0 +0 +2 6 0x5b65 0x76bfe233 @@ -106598,6 +133248,8 @@ 1 1 0 +0 +1 4 0x6d13 0x7454900d @@ -106606,6 +133258,8 @@ 0 0 0 +4 +0 6 0x10dd 0x2e4a4e60 @@ -106614,6 +133268,8 @@ 1 1 0 +5 +3 1 0x8fd1 0x7569f33c @@ -106622,6 +133278,8 @@ 0 0 0 +3 +2 8 0x1878 0xce05f218 @@ -106630,6 +133288,8 @@ 1 0 0 +2 +1 9 0x8468 0xb214dd22 @@ -106638,6 +133298,8 @@ 1 0 0 +3 +0 8 0x69e6 0x1d6868b6 @@ -106646,6 +133308,8 @@ 0 0 0 +2 +2 8 0x56dd 0x84ce2946 @@ -106655,6 +133319,8 @@ 0 0 2 +0 +2 0xa7bf 0x2f9124e3 256 @@ -106662,6 +133328,8 @@ 1 1 0 +2 +4 1 0x99da 0x9599d91f @@ -106670,6 +133338,8 @@ 1 1 0 +4 +0 8 0x3374 0xdfe77605 @@ -106678,6 +133348,8 @@ 1 0 0 +1 +0 9 0xc183 0xc03c55a8 @@ -106686,6 +133358,8 @@ 1 0 0 +0 +2 3 0x7661 0x3e477d2c @@ -106694,6 +133368,8 @@ 0 0 0 +4 +1 3 0x9f29 0xe59a9dd9 @@ -106702,6 +133378,8 @@ 0 0 0 +3 +3 7 0x5133 0x1e464f6 @@ -106710,6 +133388,8 @@ 1 1 0 +4 +0 5 0xccf1 0xb04ddee9 @@ -106719,6 +133399,8 @@ 0 0 3 +3 +3 0xc42e 0x2e9af3ef 256 @@ -106726,6 +133408,8 @@ 0 0 0 +2 +5 4 0x7afc 0xf58e3992 @@ -106734,6 +133418,8 @@ 0 0 0 +4 +0 10 0xc4ee 0x1f939440 @@ -106742,6 +133428,8 @@ 1 0 0 +5 +4 9 0x4477 0x210cb05d @@ -106750,6 +133438,8 @@ 0 0 0 +0 +0 7 0x4e0d 0x2de2b148 @@ -106758,6 +133448,8 @@ 0 0 0 +3 +2 9 0xf41b 0x2187a02a @@ -106766,6 +133458,8 @@ 1 1 0 +4 +4 10 0x5a5f 0xe5f1571b @@ -106774,6 +133468,8 @@ 0 0 0 +4 +1 1 0xf206 0xcb909582 @@ -106782,6 +133478,8 @@ 1 1 0 +3 +2 7 0xb485 0xced6ff73 @@ -106791,6 +133489,8 @@ 0 0 1 +4 +1 0xcb1d 0x23566500 256 @@ -106798,6 +133498,8 @@ 0 0 0 +4 +0 10 0xfa2a 0x693380d2 @@ -106806,6 +133508,8 @@ 1 0 0 +4 +3 9 0xfc32 0x934be3c9 @@ -106814,6 +133518,8 @@ 0 0 0 +0 +3 3 0xac3f 0xd52b00fc @@ -106822,6 +133528,8 @@ 0 0 0 +1 +5 7 0x3b6d 0xa728efa0 @@ -106830,6 +133538,8 @@ 0 0 0 +2 +3 8 0xa414 0xb6960319 @@ -106838,6 +133548,8 @@ 0 0 0 +0 +3 7 0x821f 0xb9ec560f @@ -106846,6 +133558,8 @@ 1 0 0 +5 +0 3 0x131a 0x215d3bb5 @@ -106854,6 +133568,8 @@ 0 0 0 +0 +2 10 0x8fa5 0xc0ab3823 @@ -106862,6 +133578,8 @@ 1 1 0 +4 +0 9 0x391d 0xe792d5d0 @@ -106870,6 +133588,8 @@ 1 1 0 +3 +5 4 0xaa5a 0xa7ae9b4 @@ -106878,6 +133598,8 @@ 1 0 0 +5 +3 6 0x5123 0x6c39e3af @@ -106886,6 +133608,8 @@ 1 0 0 +5 +0 7 0x707c 0x3a92d126 @@ -106894,6 +133618,8 @@ 0 0 0 +5 +0 4 0x6256 0x1b9fbe30 @@ -106902,6 +133628,8 @@ 1 1 0 +1 +1 2 0xad24 0x1e84c07 @@ -106910,6 +133638,8 @@ 1 0 0 +0 +4 8 0xc14 0xb5fd0810 @@ -106918,6 +133648,8 @@ 1 1 0 +4 +1 8 0x809a 0x2b0ff92c @@ -106927,6 +133659,8 @@ 0 0 4 +2 +4 0xb00f 0x2f25c91b 256 @@ -106934,6 +133668,8 @@ 1 0 0 +1 +2 2 0x222a 0xdf4ea58b @@ -106942,6 +133678,8 @@ 1 1 0 +1 +4 3 0xf4a2 0x4934fcd6 @@ -106950,6 +133688,8 @@ 0 0 0 +1 +1 5 0x8623 0x3ef148d3 @@ -106958,6 +133698,8 @@ 0 0 0 +0 +5 5 0x97f 0x2f8c7a37 @@ -106966,6 +133708,8 @@ 1 0 0 +3 +2 2 0xde5f 0x1553eabd @@ -106974,6 +133718,8 @@ 1 0 0 +5 +5 9 0x393a 0xa7f7215b @@ -106982,6 +133728,8 @@ 0 0 0 +3 +3 10 0xeba8 0x75c20462 @@ -106990,6 +133738,8 @@ 1 1 0 +0 +1 8 0x7dd3 0x7eaf3662 @@ -106998,6 +133748,8 @@ 0 0 0 +0 +1 5 0xeb37 0x65647087 @@ -107006,6 +133758,8 @@ 0 0 0 +2 +1 5 0x4b55 0xefc93189 @@ -107014,6 +133768,8 @@ 0 0 0 +0 +5 1 0x6171 0xd3731816 @@ -107022,6 +133778,8 @@ 1 0 0 +3 +0 5 0x7a5e 0x21c240f0 @@ -107030,6 +133788,8 @@ 1 0 0 +3 +1 1 0x1bb9 0xa9fd5d3c @@ -107038,6 +133798,8 @@ 1 0 0 +4 +3 1 0x7685 0xe12a3c7e @@ -107046,6 +133808,8 @@ 1 0 0 +0 +2 10 0x105f 0xf83d1280 @@ -107054,6 +133818,8 @@ 0 0 0 +5 +3 9 0x9f5 0x7a6078ea @@ -107062,6 +133828,8 @@ 1 0 0 +1 +4 2 0x7bbb 0xe395dd75 @@ -107070,6 +133838,8 @@ 1 1 0 +0 +1 3 0x7cb1 0x1fe2c8dc @@ -107078,6 +133848,8 @@ 0 0 0 +4 +0 6 0x23a5 0x51cbbba7 @@ -107086,6 +133858,8 @@ 0 0 0 +0 +1 9 0x9199 0x884f66d9 @@ -107094,6 +133868,8 @@ 1 0 0 +1 +4 3 0xd879 0x5a0644ed @@ -107102,6 +133878,8 @@ 0 0 0 +1 +4 4 0x7930 0x12ddfc5e @@ -107110,6 +133888,8 @@ 1 1 0 +2 +0 3 0xf0c7 0x3b556f8c @@ -107118,6 +133898,8 @@ 0 0 0 +5 +2 8 0x3352 0xec824cdc @@ -107126,6 +133908,8 @@ 1 0 0 +4 +4 5 0xfe3b 0x90e056f4 @@ -107134,6 +133918,8 @@ 1 1 0 +2 +3 7 0x2286 0x28aefcea @@ -107142,6 +133928,8 @@ 0 0 0 +5 +4 8 0x53ba 0x101a7aeb @@ -107150,6 +133938,8 @@ 0 0 0 +0 +2 7 0xec82 0x2b08ee5 @@ -107158,6 +133948,8 @@ 0 0 0 +4 +1 6 0x1002 0x18096252 @@ -107166,6 +133958,8 @@ 0 0 0 +4 +3 9 0x87d5 0x9e208946 @@ -107174,6 +133968,8 @@ 1 1 0 +5 +1 6 0x578a 0x410626f0 @@ -107182,6 +133978,8 @@ 0 0 0 +5 +1 1 0x176f 0x7cc2fba3 @@ -107190,6 +133988,8 @@ 1 1 0 +4 +4 10 0x8afe 0x4c26a8b3 @@ -107198,6 +133998,8 @@ 1 1 0 +0 +5 10 0xc077 0xa29d7cee @@ -107206,6 +134008,8 @@ 0 0 0 +1 +2 3 0xfc8a 0x27dc3ae1 @@ -107214,6 +134018,8 @@ 0 0 0 +5 +0 10 0x85ed 0x39b20240 @@ -107222,6 +134028,8 @@ 1 0 0 +5 +2 8 0x33a6 0xb5444a8 @@ -107230,6 +134038,8 @@ 0 0 0 +3 +5 4 0x15a2 0x97c8357 @@ -107238,6 +134048,8 @@ 1 1 0 +5 +0 6 0x9ed9 0xb540bad2 @@ -107246,6 +134058,8 @@ 1 0 0 +5 +4 3 0x96d 0x7826cabb @@ -107254,6 +134068,8 @@ 0 0 0 +1 +1 7 0x9ede 0x9b1fe329 @@ -107262,6 +134078,8 @@ 0 0 0 +2 +4 7 0xc4c6 0x49bd45e7 @@ -107270,6 +134088,8 @@ 0 0 0 +0 +0 2 0x226b 0xecac1f0a @@ -107278,6 +134098,8 @@ 1 1 0 +5 +2 3 0x2d76 0xda629658 @@ -107286,6 +134108,8 @@ 1 1 0 +5 +5 7 0x731b 0x26d781e0 @@ -107294,6 +134118,8 @@ 1 0 0 +3 +2 4 0x8adb 0xc987672b @@ -107302,6 +134128,8 @@ 0 0 0 +4 +3 6 0x39af 0x778d4cea @@ -107310,6 +134138,8 @@ 0 0 0 +4 +5 5 0x2ad8 0x3fc6d29e @@ -107318,6 +134148,8 @@ 0 0 0 +3 +3 2 0xceff 0x63bd4faf @@ -107326,6 +134158,8 @@ 1 1 0 +0 +1 6 0x136f 0x7367e49c @@ -107334,6 +134168,8 @@ 0 0 0 +1 +3 9 0x5603 0xcdd99381 @@ -107342,6 +134178,8 @@ 0 0 0 +0 +5 3 0x4245 0x957ced73 @@ -107351,6 +134189,8 @@ 1 0 4 +5 +4 0xac94 0xaec04e57 256 @@ -107358,6 +134198,8 @@ 0 0 0 +3 +5 9 0x7639 0x81f3ba91 @@ -107366,6 +134208,8 @@ 1 0 0 +3 +2 10 0xc617 0x476a63d7 @@ -107374,6 +134218,8 @@ 0 0 0 +4 +4 3 0x4636 0x52a3ad9b @@ -107382,6 +134228,8 @@ 1 1 0 +2 +2 9 0xc1a0 0xd6382d3a @@ -107390,6 +134238,8 @@ 1 0 0 +5 +3 7 0x39bd 0xaec98bb6 @@ -107398,6 +134248,8 @@ 0 0 0 +0 +1 3 0xd3df 0x14679635 @@ -107406,6 +134258,8 @@ 0 0 0 +4 +5 8 0x90f5 0x519c99ed @@ -107414,6 +134268,8 @@ 0 0 0 +3 +4 6 0x1c8c 0xf2304df6 @@ -107422,6 +134278,8 @@ 1 0 0 +2 +0 3 0xbc1 0xa3c06af4 @@ -107430,6 +134288,8 @@ 0 0 0 +4 +3 9 0xadc9 0x2104eca4 @@ -107438,6 +134298,8 @@ 1 1 0 +5 +5 10 0xa8ca 0x1553cb33 @@ -107446,6 +134308,8 @@ 1 1 0 +4 +2 7 0xc039 0x5ccbfc60 @@ -107454,6 +134318,8 @@ 1 0 0 +5 +4 2 0xa92a 0xddbe15c6 @@ -107462,6 +134328,8 @@ 1 0 0 +5 +2 4 0xef33 0x3fa473d0 @@ -107470,6 +134338,8 @@ 1 1 0 +1 +3 4 0x5da5 0xabc9fa96 @@ -107478,6 +134348,8 @@ 1 0 0 +4 +3 1 0xfcae 0x10124907 @@ -107486,6 +134358,8 @@ 0 0 0 +3 +4 7 0x7cc2 0xc7fc5446 @@ -107494,6 +134368,8 @@ 0 0 0 +1 +4 2 0xfb28 0xd09ac0d6 @@ -107503,6 +134379,8 @@ 1 0 3 +2 +3 0x30fb 0x5879f61e 256 @@ -107510,6 +134388,8 @@ 1 1 0 +4 +2 9 0xe663 0xc74a1e8f @@ -107518,6 +134398,8 @@ 0 0 0 +5 +2 6 0x4787 0x2b1c6cb @@ -107526,6 +134408,8 @@ 1 0 0 +4 +1 7 0x1159 0xd2e4ad49 @@ -107534,6 +134418,8 @@ 0 0 0 +2 +5 10 0xa658 0x58b1c5f3 @@ -107542,6 +134428,8 @@ 0 0 0 +3 +2 2 0x8dc3 0x935de5cd @@ -107550,6 +134438,8 @@ 1 1 0 +2 +0 9 0x7da7 0xa38054b0 @@ -107558,6 +134448,8 @@ 1 0 0 +5 +0 8 0x9107 0x6b395af4 @@ -107566,6 +134458,8 @@ 0 0 0 +2 +0 4 0x1d79 0xa2a7a94b @@ -107574,6 +134468,8 @@ 1 0 0 +5 +1 9 0x50e7 0xca49a13 @@ -107582,6 +134478,8 @@ 0 0 0 +3 +3 4 0x5c24 0xe543c5 @@ -107590,6 +134488,8 @@ 1 0 0 +4 +4 7 0x21a3 0xbe03cd34 @@ -107598,6 +134498,8 @@ 1 0 0 +2 +0 5 0xe97e 0xc00de119 @@ -107606,6 +134508,8 @@ 0 0 0 +3 +2 9 0xc6ed 0x5a4b887e @@ -107615,6 +134519,8 @@ 0 0 5 +5 +5 0xc3fb 0xf0841dd4 256 @@ -107622,6 +134528,8 @@ 1 0 0 +1 +1 6 0xfba7 0x2fc9cb9c @@ -107630,6 +134538,8 @@ 0 0 0 +5 +1 3 0xc6ac 0x5296bba1 @@ -107638,6 +134548,8 @@ 1 0 0 +1 +0 7 0x39c8 0x12088f30 @@ -107647,6 +134559,8 @@ 1 0 4 +1 +4 0x34ab 0xdc3cc99a 256 @@ -107654,6 +134568,8 @@ 1 0 0 +0 +1 1 0xe7af 0xecdb5666 @@ -107662,6 +134578,8 @@ 0 0 0 +0 +4 9 0xd42b 0x4fec3fa4 @@ -107670,6 +134588,8 @@ 0 0 0 +0 +1 6 0x583d 0x742b4034 @@ -107678,6 +134598,8 @@ 0 0 0 +1 +1 9 0xddd6 0x553d637d @@ -107686,6 +134608,8 @@ 1 1 0 +4 +3 8 0x422e 0xa4cb9c01 @@ -107694,6 +134618,8 @@ 0 0 0 +2 +4 8 0x849b 0xf4525b82 @@ -107702,6 +134628,8 @@ 1 1 0 +1 +5 2 0xc752 0x29b6c50f @@ -107710,6 +134638,8 @@ 0 0 0 +5 +4 8 0x1c26 0xc7ebbdc1 @@ -107718,6 +134648,8 @@ 1 1 0 +1 +1 9 0x8a17 0xa9006fd @@ -107726,6 +134658,8 @@ 1 0 0 +5 +5 4 0x788a 0xaf2ed282 @@ -107734,6 +134668,8 @@ 1 1 0 +5 +5 3 0xddcf 0x1b8c4b20 @@ -107742,6 +134678,8 @@ 0 0 0 +3 +2 2 0xb5da 0x5bbf000 @@ -107750,6 +134688,8 @@ 0 0 0 +2 +1 8 0x98a0 0x22b3b143 @@ -107758,6 +134698,8 @@ 1 0 0 +3 +2 8 0x43e 0x650538b1 @@ -107766,6 +134708,8 @@ 1 1 0 +0 +2 1 0x1387 0xd9e3a920 @@ -107774,6 +134718,8 @@ 0 0 0 +3 +5 9 0x931d 0xc8873951 @@ -107782,6 +134728,8 @@ 1 1 0 +2 +5 8 0xf8fd 0x26eb1ade @@ -107790,6 +134738,8 @@ 0 0 0 +0 +4 5 0x56f4 0xba8a4015 @@ -107799,6 +134749,8 @@ 1 0 2 +0 +2 0x461f 0x116d312f 256 @@ -107806,6 +134758,8 @@ 1 0 0 +3 +4 6 0x2d2d 0xa5e1b62a @@ -107814,6 +134768,8 @@ 1 1 0 +0 +0 7 0xb938 0x3c3a2e8f @@ -107822,6 +134778,8 @@ 0 0 0 +3 +1 8 0x3980 0x9c65ded @@ -107830,6 +134788,8 @@ 1 1 0 +3 +1 6 0x222d 0x555c404c @@ -107839,6 +134799,8 @@ 1 0 5 +3 +5 0x654e 0x9d79c329 256 @@ -107846,6 +134808,8 @@ 1 1 0 +3 +2 7 0xf751 0xf765cd10 @@ -107855,6 +134819,8 @@ 0 0 3 +2 +3 0xba34 0x6d528bf8 256 @@ -107862,6 +134828,8 @@ 1 1 0 +2 +1 10 0x27e3 0xdbc3115b @@ -107870,6 +134838,8 @@ 1 0 0 +5 +3 8 0xfe2 0x61e7af7a @@ -107878,6 +134848,8 @@ 1 1 0 +0 +1 5 0x108e 0x4f3a534b @@ -107886,6 +134858,8 @@ 0 0 0 +4 +3 10 0x4ce6 0xa788b42b @@ -107894,6 +134868,8 @@ 0 0 0 +0 +0 4 0x3c45 0xd3b761b8 @@ -107902,6 +134878,8 @@ 0 0 0 +4 +0 6 0x3fe0 0x82804678 @@ -107910,6 +134888,8 @@ 0 0 0 +0 +4 2 0x2ef5 0x88cc56e0 @@ -107918,6 +134898,8 @@ 1 1 0 +1 +3 9 0x15b2 0xbdf042ad @@ -107927,6 +134909,8 @@ 0 0 2 +5 +2 0xd1e9 0x2e42638 256 @@ -107934,6 +134918,8 @@ 1 1 0 +1 +1 10 0xb55e 0x86951212 @@ -107942,6 +134928,8 @@ 1 0 0 +4 +4 8 0x128e 0x4f7ad568 @@ -107950,6 +134938,8 @@ 0 0 0 +0 +1 6 0xf8f 0xd35afbf4 @@ -107958,6 +134948,8 @@ 0 0 0 +5 +1 8 0xf339 0xa5ae2f9b @@ -107966,6 +134958,8 @@ 1 1 0 +0 +2 9 0xed50 0x5814feea @@ -107975,6 +134969,8 @@ 0 0 4 +1 +4 0xd12f 0xbcaa4555 256 @@ -107982,6 +134978,8 @@ 1 1 0 +1 +2 5 0x8ac8 0xd9e3b269 @@ -107991,6 +134989,8 @@ 0 0 1 +5 +1 0x18f9 0x411b61d7 256 @@ -107998,6 +134998,8 @@ 1 1 0 +2 +1 5 0x652c 0x4d765606 @@ -108006,6 +135008,8 @@ 1 1 0 +3 +3 1 0xb8f2 0x5b1f6b98 @@ -108014,6 +135018,8 @@ 1 0 0 +2 +0 8 0xbd2f 0xe552dac5 @@ -108022,6 +135028,8 @@ 1 1 0 +2 +1 10 0x5b67 0xef990d7 @@ -108030,6 +135038,8 @@ 0 0 0 +5 +2 6 0xfb39 0x1c775456 @@ -108038,6 +135048,8 @@ 0 0 0 +4 +2 7 0xe131 0xa2fc8af2 @@ -108046,6 +135058,8 @@ 1 1 0 +5 +2 3 0xb240 0x3ce836a3 @@ -108054,6 +135068,8 @@ 0 0 0 +1 +3 4 0x8d0c 0xe0fa59a8 @@ -108062,6 +135078,8 @@ 0 0 0 +1 +1 7 0xd331 0xc1715afd @@ -108070,6 +135088,8 @@ 0 0 0 +5 +0 2 0x9456 0xa76c4a6b @@ -108079,6 +135099,8 @@ 0 0 2 +0 +2 0x6fea 0xffcb7b22 256 @@ -108086,6 +135108,8 @@ 1 1 0 +5 +2 8 0xdd23 0x2fdb2aaf @@ -108094,6 +135118,8 @@ 0 0 0 +0 +3 7 0x66be 0x5133fa71 @@ -108102,6 +135128,8 @@ 0 0 0 +5 +5 3 0x29eb 0x83809c90 @@ -108111,6 +135139,8 @@ 1 0 2 +0 +2 0xe5a2 0x26a19b 256 @@ -108118,6 +135148,8 @@ 1 1 0 +3 +5 5 0x66b3 0x6eb73081 @@ -108126,6 +135158,8 @@ 0 0 0 +4 +1 8 0x5400 0x333aece1 @@ -108134,6 +135168,8 @@ 0 0 0 +3 +4 9 0xb99d 0xeb58a924 @@ -108142,6 +135178,8 @@ 1 1 0 +0 +5 6 0xe32e 0xbadf8e7d @@ -108150,6 +135188,8 @@ 0 0 0 +5 +0 3 0x6698 0x68f58ebb @@ -108158,6 +135198,8 @@ 0 0 0 +1 +0 7 0x256e 0x5d6c8627 @@ -108166,6 +135208,8 @@ 1 1 0 +4 +2 8 0xe763 0xb9582eba @@ -108174,6 +135218,8 @@ 0 0 0 +2 +0 3 0x60db 0x22a3cd9b @@ -108182,6 +135228,8 @@ 0 0 0 +3 +0 4 0xd43f 0x1c88326 @@ -108190,6 +135238,8 @@ 0 0 0 +0 +5 8 0x7c02 0x3b2ea923 @@ -108198,6 +135248,8 @@ 1 0 0 +3 +2 5 0xcb8a 0x28a70e3f @@ -108206,6 +135258,8 @@ 0 0 0 +0 +1 1 0xf423 0x6036e415 @@ -108214,6 +135268,8 @@ 0 0 0 +3 +0 2 0xacf6 0xc31912b1 @@ -108222,6 +135278,8 @@ 1 0 0 +4 +5 1 0xd141 0xeefcee63 @@ -108230,6 +135288,8 @@ 1 0 0 +5 +5 9 0xb9f5 0xf01b365b @@ -108238,6 +135298,8 @@ 0 0 0 +2 +0 10 0x30b 0x683c2a9d @@ -108246,6 +135308,8 @@ 1 1 0 +5 +3 8 0xddbf 0x40f7c5ce @@ -108254,6 +135318,8 @@ 1 1 0 +4 +0 8 0xfa5e 0x384535a0 @@ -108263,6 +135329,8 @@ 0 0 4 +3 +4 0x71f5 0xf95d080a 256 @@ -108270,6 +135338,8 @@ 0 0 0 +1 +4 8 0x93bc 0xf5241597 @@ -108278,6 +135348,8 @@ 0 0 0 +5 +3 10 0xee5c 0x381560fb @@ -108286,6 +135358,8 @@ 1 1 0 +4 +5 6 0x4e08 0x2d44cc5 @@ -108294,6 +135368,8 @@ 1 0 0 +0 +1 9 0xd523 0x9a7a3eba @@ -108303,6 +135379,8 @@ 1 0 1 +1 +1 0xd959 0xf9111eb7 256 @@ -108310,6 +135388,8 @@ 0 0 0 +1 +0 10 0xf84 0xfbeb3c55 @@ -108318,6 +135398,8 @@ 1 0 0 +1 +3 2 0x5a4c 0x522b166d @@ -108326,6 +135408,8 @@ 0 0 0 +4 +1 9 0xdcfe 0x4d966750 @@ -108334,6 +135418,8 @@ 0 0 0 +4 +4 1 0xd1f7 0x3eee2233 @@ -108342,6 +135428,8 @@ 0 0 0 +5 +2 10 0xa5a0 0x6268172 @@ -108350,6 +135438,8 @@ 1 1 0 +3 +1 5 0x60ac 0x16a67575 @@ -108358,6 +135448,8 @@ 1 1 0 +2 +2 10 0x881f 0x33d3ba1b @@ -108366,6 +135458,8 @@ 1 0 0 +5 +4 10 0xf0f9 0xae6b158e @@ -108374,6 +135468,8 @@ 1 1 0 +4 +2 6 0x881a 0x5897da9f @@ -108382,6 +135478,8 @@ 1 0 0 +4 +3 8 0xd77e 0x33839bf8 @@ -108390,6 +135488,8 @@ 0 0 0 +4 +0 7 0x5ded 0x1d18727e @@ -108398,6 +135498,8 @@ 1 0 0 +1 +0 3 0xb557 0xc0b1a72b @@ -108406,6 +135508,8 @@ 0 0 0 +1 +1 9 0xbb33 0x9fabc6b6 @@ -108414,6 +135518,8 @@ 0 0 0 +4 +0 8 0x1f40 0x95cf6a41 @@ -108422,6 +135528,8 @@ 0 0 0 +2 +0 1 0x15d9 0x1635aa5 @@ -108430,6 +135538,8 @@ 0 0 0 +3 +1 9 0x796e 0xf32d7cf7 @@ -108438,6 +135548,8 @@ 0 0 0 +0 +0 10 0x996c 0x9b84e054 @@ -108446,6 +135558,8 @@ 1 0 0 +3 +0 4 0xaea0 0x8b7185ea @@ -108454,6 +135568,8 @@ 1 1 0 +4 +5 8 0x63a 0x6cd3d5cb @@ -108462,6 +135578,8 @@ 0 0 0 +1 +4 4 0x6156 0xd591a3fb @@ -108470,6 +135588,8 @@ 0 0 0 +3 +5 5 0x89c0 0x6ff2cc43 @@ -108478,6 +135598,8 @@ 1 0 0 +0 +5 7 0x4c37 0x30bae673 @@ -108486,6 +135608,8 @@ 0 0 0 +4 +0 10 0x9a3f 0x23aff248 @@ -108494,6 +135618,8 @@ 1 0 0 +1 +5 6 0x15fb 0x8a8f5eb0 @@ -108502,6 +135628,8 @@ 0 0 0 +5 +0 4 0x8a60 0x108dab98 @@ -108510,6 +135638,8 @@ 0 0 0 +3 +4 10 0x2fbc 0x6dce5d25 @@ -108518,6 +135648,8 @@ 1 1 0 +3 +3 9 0xebb9 0x9dcd5ed1 @@ -108526,6 +135658,8 @@ 0 0 0 +4 +2 6 0xfdf5 0x31680892 @@ -108534,6 +135668,8 @@ 1 0 0 +0 +5 7 0xb301 0x84f74e6a @@ -108542,6 +135678,8 @@ 1 1 0 +1 +5 4 0x8dd8 0x46ae4c33 @@ -108550,6 +135688,8 @@ 1 1 0 +4 +5 9 0x9301 0x48ee6813 @@ -108558,6 +135698,8 @@ 0 0 0 +3 +0 6 0x9e1e 0xea605910 @@ -108566,6 +135708,8 @@ 1 1 0 +5 +5 6 0x59bf 0x5801738b @@ -108574,6 +135718,8 @@ 0 0 0 +1 +2 4 0xb87 0xb5948dbd @@ -108582,6 +135728,8 @@ 0 0 0 +0 +3 6 0x1a46 0x89214d6c @@ -108590,6 +135738,8 @@ 0 0 0 +0 +3 8 0x5ca2 0x442f640d @@ -108598,6 +135748,8 @@ 1 1 0 +5 +4 4 0x11a6 0x3e52e97c @@ -108606,6 +135758,8 @@ 0 0 0 +5 +3 4 0x5715 0xf318de15 @@ -108614,6 +135768,8 @@ 1 0 0 +4 +1 7 0x283d 0xe6efae82 @@ -108622,6 +135778,8 @@ 0 0 0 +1 +2 9 0xfe3f 0xdb4d6a01 @@ -108630,6 +135788,8 @@ 1 1 0 +2 +2 7 0x355a 0x3701924c @@ -108638,6 +135798,8 @@ 1 1 0 +3 +1 7 0x33a5 0xcf55da3a @@ -108646,6 +135808,8 @@ 1 0 0 +5 +3 8 0xa93c 0xad152909 @@ -108654,6 +135818,8 @@ 1 1 0 +1 +3 10 0xc26d 0x72f1ccbf @@ -108662,6 +135828,8 @@ 1 1 0 +0 +1 9 0xac0d 0x572d8bca @@ -108670,6 +135838,8 @@ 1 0 0 +0 +5 4 0xbb40 0xa43f32fd @@ -108678,6 +135848,8 @@ 0 0 0 +5 +1 9 0x715 0x42e008c0 @@ -108686,6 +135858,8 @@ 1 0 0 +5 +4 7 0xa5b1 0x2936baa1 @@ -108694,6 +135868,8 @@ 1 1 0 +2 +0 6 0x5901 0x5ba8fa00 @@ -108702,6 +135878,8 @@ 1 0 0 +5 +1 7 0x555c 0xd678108c @@ -108710,6 +135888,8 @@ 1 0 0 +4 +5 2 0xfeef 0x8f69e835 @@ -108718,6 +135898,8 @@ 0 0 0 +3 +5 8 0x838d 0x425754ac @@ -108726,6 +135908,8 @@ 1 1 0 +5 +5 7 0x11f1 0xc07da4f4 @@ -108734,6 +135918,8 @@ 1 1 0 +2 +4 9 0xabc3 0xbcca9ebc @@ -108742,6 +135928,8 @@ 1 0 0 +1 +1 9 0xfaec 0x53f464d0 @@ -108750,6 +135938,8 @@ 1 0 0 +1 +2 4 0x902e 0xb3f84b52 @@ -108758,6 +135948,8 @@ 0 0 0 +5 +5 9 0x5c73 0x73682941 @@ -108766,6 +135958,8 @@ 1 1 0 +1 +5 2 0x601e 0x90f4f8cc @@ -108774,6 +135968,8 @@ 1 0 0 +0 +5 7 0xf5e5 0x49f8ad60 @@ -108782,6 +135978,8 @@ 1 1 0 +3 +4 2 0xbc72 0xdfffddd2 @@ -108790,6 +135988,8 @@ 1 1 0 +5 +0 9 0x3188 0xd57eeffc @@ -108798,6 +135998,8 @@ 0 0 0 +2 +2 6 0x6fbd 0x5cebf026 @@ -108806,6 +136008,8 @@ 1 1 0 +5 +3 10 0xf643 0x4355c5f5 @@ -108814,6 +136018,8 @@ 0 0 0 +1 +4 7 0xc5a7 0xe1767e80 @@ -108822,6 +136028,8 @@ 1 0 0 +4 +4 9 0xa34f 0x8e0a4226 @@ -108830,6 +136038,8 @@ 0 0 0 +0 +0 8 0xe3ba 0xe892e215 @@ -108838,6 +136048,8 @@ 0 0 0 +3 +0 5 0xcc0f 0xa63030ef @@ -108846,6 +136058,8 @@ 0 0 0 +2 +0 6 0xd608 0xaabda715 @@ -108854,6 +136068,8 @@ 0 0 0 +2 +3 5 0xf860 0x769c68b2 @@ -108862,6 +136078,8 @@ 0 0 0 +2 +2 3 0x9b3e 0x10a0792b @@ -108870,6 +136088,8 @@ 1 1 0 +2 +5 10 0xcf21 0x606bed0f @@ -108878,6 +136098,8 @@ 0 0 0 +2 +2 5 0xbc83 0xb2238cc5 @@ -108886,6 +136108,8 @@ 0 0 0 +1 +4 10 0xedc4 0xf4096ede @@ -108894,6 +136118,8 @@ 0 0 0 +0 +4 1 0x6636 0x3e9264be @@ -108902,6 +136128,8 @@ 1 0 0 +3 +4 6 0x1897 0xe091fd32 @@ -108910,6 +136138,8 @@ 1 1 0 +1 +5 9 0x394b 0x608d9f0a @@ -108918,6 +136148,8 @@ 1 0 0 +0 +4 7 0xade7 0xbd08d175 @@ -108926,6 +136158,8 @@ 0 0 0 +0 +5 8 0xa891 0xd91167d9 @@ -108934,6 +136168,8 @@ 0 0 0 +0 +2 10 0xe463 0x2af14b2f @@ -108943,6 +136179,8 @@ 1 0 1 +5 +1 0xdb57 0xcf7a523a 256 @@ -108950,6 +136188,8 @@ 1 0 0 +2 +1 6 0x5fad 0x498236f @@ -108958,6 +136198,8 @@ 0 0 0 +3 +3 10 0xbbb5 0x7c609b78 @@ -108967,6 +136209,8 @@ 0 0 2 +3 +2 0x496a 0x4ae58fb9 256 @@ -108974,6 +136218,8 @@ 0 0 0 +0 +5 9 0xad6a 0xdb6b104e @@ -108983,6 +136229,8 @@ 1 0 4 +0 +4 0xa82d 0x6df9f2fa 256 @@ -108990,6 +136238,8 @@ 1 0 0 +1 +2 7 0xf282 0xf8938a1a @@ -108998,6 +136248,8 @@ 1 1 0 +0 +2 4 0x9053 0x61003d5a @@ -109006,6 +136258,8 @@ 1 0 0 +5 +2 3 0x48c2 0x59f08ce2 @@ -109014,6 +136268,8 @@ 0 0 0 +1 +0 5 0x7725 0x17c55440 @@ -109022,6 +136278,8 @@ 0 0 0 +3 +5 6 0xaf7d 0x894e2d2d @@ -109030,6 +136288,8 @@ 0 0 0 +0 +1 8 0xd96a 0xf4f8e94b @@ -109038,6 +136298,8 @@ 1 1 0 +0 +1 7 0x53da 0xe6fe7d7b @@ -109046,6 +136308,8 @@ 0 0 0 +4 +4 9 0x7124 0x4a7382d3 @@ -109054,6 +136318,8 @@ 0 0 0 +2 +1 9 0xfc53 0x578e1fc2 @@ -109062,6 +136328,8 @@ 0 0 0 +2 +2 8 0xf87f 0x1d966b92 @@ -109070,6 +136338,8 @@ 1 0 0 +0 +5 6 0x1692 0x9504fdc3 @@ -109078,6 +136348,8 @@ 0 0 0 +4 +2 2 0xeaba 0x16ba0a7a @@ -109086,6 +136358,8 @@ 0 0 0 +0 +3 9 0xa4c0 0xa2a82b88 @@ -109094,6 +136368,8 @@ 1 1 0 +4 +0 2 0xe44d 0xf4dadee8 @@ -109102,6 +136378,8 @@ 1 0 0 +4 +3 2 0xf1d0 0xacc73c82 @@ -109110,6 +136388,8 @@ 1 0 0 +1 +0 2 0x9745 0x996a3c48 @@ -109118,6 +136398,8 @@ 1 0 0 +5 +4 2 0x7a89 0x17a5e904 @@ -109126,6 +136408,8 @@ 1 0 0 +2 +1 10 0x369e 0x88c0a1e4 @@ -109134,6 +136418,8 @@ 0 0 0 +2 +1 10 0x9aa0 0x13b38c75 @@ -109142,6 +136428,8 @@ 0 0 0 +0 +0 10 0x6af8 0xcf2618d2 @@ -109150,6 +136438,8 @@ 0 0 0 +4 +4 10 0xdb5f 0xa9130dff @@ -109158,6 +136448,8 @@ 0 0 0 +3 +4 4 0xb9b4 0x10139413 @@ -109166,6 +136458,8 @@ 1 0 0 +1 +2 10 0x488 0xeae2a49f @@ -109175,6 +136469,8 @@ 0 0 1 +3 +1 0x376 0x9871db38 256 @@ -109182,6 +136478,8 @@ 0 0 0 +4 +2 3 0xca85 0x90b75f00 @@ -109190,6 +136488,8 @@ 1 0 0 +2 +5 4 0xb523 0x2d546328 @@ -109198,6 +136498,8 @@ 1 1 0 +2 +3 5 0x1602 0xb3f6f3d9 @@ -109206,6 +136508,8 @@ 0 0 0 +0 +4 3 0x336b 0x171f2b22 @@ -109214,6 +136518,8 @@ 1 0 0 +5 +3 10 0x361b 0x47d91f6f @@ -109223,6 +136529,8 @@ 0 0 2 +2 +2 0xe1c2 0x109f6320 256 @@ -109230,6 +136538,8 @@ 1 0 0 +5 +1 8 0x98b2 0x39865ace @@ -109238,6 +136548,8 @@ 0 0 0 +3 +4 7 0x6e83 0xddd4e8b8 @@ -109246,6 +136558,8 @@ 1 0 0 +1 +3 2 0xb6b6 0xb4670df8 @@ -109254,6 +136568,8 @@ 0 0 0 +4 +4 9 0x476f 0xe7142912 @@ -109262,6 +136578,8 @@ 1 1 0 +0 +5 4 0x8342 0x37e8c9d6 @@ -109270,6 +136588,8 @@ 1 1 0 +2 +5 7 0xd434 0x33bd6258 @@ -109278,6 +136598,8 @@ 1 0 0 +5 +3 4 0x1674 0x4a1a2d6d @@ -109286,6 +136608,8 @@ 0 0 0 +0 +1 9 0xf75d 0xf8385611 @@ -109294,6 +136618,8 @@ 1 0 0 +3 +3 9 0x87e1 0x1aa1b493 @@ -109302,6 +136628,8 @@ 1 1 0 +0 +3 2 0x98e7 0xbcbbbd1f @@ -109310,6 +136638,8 @@ 1 1 0 +2 +5 9 0x2df1 0x47383db4 @@ -109318,6 +136648,8 @@ 0 0 0 +0 +1 9 0xd8c4 0xd7b0bf4c @@ -109326,6 +136658,8 @@ 0 0 0 +1 +1 9 0x6a37 0x7ddc4996 @@ -109334,6 +136668,8 @@ 1 1 0 +4 +4 5 0xf5aa 0x3a542429 @@ -109342,6 +136678,8 @@ 1 0 0 +2 +4 1 0x8f0c 0xa7992578 @@ -109350,6 +136688,8 @@ 0 0 0 +2 +0 9 0xfc76 0x5033e96 @@ -109358,6 +136698,8 @@ 0 0 0 +2 +1 1 0x5531 0xb41d60de @@ -109366,6 +136708,8 @@ 1 0 0 +4 +2 8 0x8b70 0x88223f52 @@ -109374,6 +136718,8 @@ 0 0 0 +4 +2 3 0x6b0c 0xf0ff7c24 @@ -109382,6 +136728,8 @@ 0 0 0 +3 +5 1 0x8999 0x51be996f @@ -109390,6 +136738,8 @@ 1 0 0 +2 +1 6 0x439 0x7c55b4c3 @@ -109398,6 +136748,8 @@ 1 1 0 +0 +4 3 0xa362 0xf59c5e10 @@ -109406,6 +136758,8 @@ 1 0 0 +1 +0 3 0x9f2d 0x8b809514 @@ -109414,6 +136768,8 @@ 0 0 0 +0 +1 2 0xf4dd 0x575454e6 @@ -109422,6 +136778,8 @@ 0 0 0 +2 +1 8 0x2462 0x246711a @@ -109430,6 +136788,8 @@ 1 0 0 +0 +0 6 0x3683 0x143dae1f @@ -109438,6 +136798,8 @@ 0 0 0 +5 +1 10 0xf917 0x13130ebf @@ -109446,6 +136808,8 @@ 1 1 0 +2 +0 8 0xcba 0x47ef4c27 @@ -109454,6 +136818,8 @@ 1 0 0 +4 +2 7 0x9bb4 0xf8ba6dad @@ -109462,6 +136828,8 @@ 0 0 0 +4 +4 5 0xbb4 0xaf771729 @@ -109470,6 +136838,8 @@ 0 0 0 +2 +5 9 0x9ff6 0xc33fc6e1 @@ -109478,6 +136848,8 @@ 0 0 0 +2 +0 10 0x47f7 0x1ed377c3 @@ -109486,6 +136858,8 @@ 0 0 0 +4 +3 1 0x62d1 0xce3622a7 @@ -109494,6 +136868,8 @@ 0 0 0 +5 +3 10 0x4a43 0x2f6bffeb @@ -109502,6 +136878,8 @@ 1 0 0 +3 +5 7 0xd7cb 0xbd3963af @@ -109510,6 +136888,8 @@ 1 1 0 +3 +4 8 0xa82e 0x6c845a2d @@ -109518,6 +136898,8 @@ 0 0 0 +5 +0 7 0x3d15 0xd952cf4f @@ -109526,6 +136908,8 @@ 0 0 0 +5 +4 3 0xd312 0xfb244a77 @@ -109534,6 +136918,8 @@ 0 0 0 +0 +1 9 0x6abe 0x7ee9fcf @@ -109542,6 +136928,8 @@ 1 1 0 +5 +1 7 0x6cb2 0x276dacea @@ -109550,6 +136938,8 @@ 0 0 0 +0 +5 7 0x3dc2 0x9a0985f0 @@ -109558,6 +136948,8 @@ 0 0 0 +0 +1 6 0xdd42 0x9b9e23d0 @@ -109566,6 +136958,8 @@ 1 1 0 +5 +2 2 0x57e7 0x21c4d849 @@ -109575,6 +136969,8 @@ 0 0 2 +3 +2 0xcbe4 0xd107e9c0 256 @@ -109582,6 +136978,8 @@ 1 1 0 +1 +1 8 0x49f7 0xae55bbee @@ -109590,6 +136988,8 @@ 1 0 0 +2 +0 5 0xb851 0x5e72178f @@ -109598,6 +136998,8 @@ 0 0 0 +2 +5 10 0x4213 0xff3d17df @@ -109606,6 +137008,8 @@ 1 1 0 +0 +3 4 0xe09a 0xd3d19af9 @@ -109614,6 +137018,8 @@ 0 0 0 +2 +5 5 0xdc3 0x7219d5 @@ -109622,6 +137028,8 @@ 1 1 0 +1 +3 6 0xb3bd 0x49a4afb2 @@ -109630,6 +137038,8 @@ 0 0 0 +4 +1 10 0xff0e 0xcf173b04 @@ -109638,6 +137048,8 @@ 0 0 0 +3 +3 6 0x6287 0xe237f8b @@ -109646,6 +137058,8 @@ 1 1 0 +3 +4 8 0x91c4 0x639215dd @@ -109654,6 +137068,8 @@ 1 1 0 +1 +4 8 0xd35f 0x9c0267b7 @@ -109662,6 +137078,8 @@ 1 0 0 +3 +3 7 0x5ff9 0xda704aa8 @@ -109670,6 +137088,8 @@ 0 0 0 +2 +3 8 0xeb33 0x55aa9bfa @@ -109678,6 +137098,8 @@ 0 0 0 +1 +3 8 0xf229 0x761a148e @@ -109686,6 +137108,8 @@ 1 1 0 +1 +4 5 0xe27a 0x9985168e @@ -109694,6 +137118,8 @@ 1 1 0 +2 +4 8 0x9188 0x4e5a04b6 @@ -109702,6 +137128,8 @@ 1 1 0 +3 +3 1 0x411b 0xc76c6c58 @@ -109710,6 +137138,8 @@ 1 1 0 +1 +0 5 0x72ec 0x8ba72b51 @@ -109718,6 +137148,8 @@ 1 1 0 +4 +1 7 0x42ca 0x6153858a @@ -109726,6 +137158,8 @@ 1 1 0 +5 +3 4 0xed1 0x6186f310 @@ -109734,6 +137168,8 @@ 1 0 0 +1 +0 5 0xde9 0xf296430e @@ -109742,6 +137178,8 @@ 1 1 0 +3 +2 4 0x238c 0x23aba94b @@ -109750,6 +137188,8 @@ 0 0 0 +4 +0 8 0xd9f3 0x4b947428 @@ -109758,6 +137198,8 @@ 1 0 0 +5 +1 2 0xc801 0x69158753 @@ -109766,6 +137208,8 @@ 0 0 0 +2 +4 10 0x3386 0x5181216d @@ -109774,6 +137218,8 @@ 0 0 0 +4 +4 8 0x3cb5 0x72179215 @@ -109782,6 +137228,8 @@ 1 1 0 +4 +0 6 0xa93a 0x3a26e498 @@ -109790,6 +137238,8 @@ 0 0 0 +5 +5 3 0x8b45 0x4dbc2e7a @@ -109798,6 +137248,8 @@ 1 0 0 +3 +0 9 0xd66c 0x70a2fcdc @@ -109806,6 +137258,8 @@ 1 1 0 +3 +1 2 0x8e9a 0x986ed1ba @@ -109814,6 +137268,8 @@ 1 1 0 +4 +3 5 0xf2c5 0x1c04f790 @@ -109823,6 +137279,8 @@ 0 0 3 +2 +3 0x8f4a 0xb146c8a6 256 @@ -109830,6 +137288,8 @@ 0 0 0 +1 +1 6 0x7573 0x664c70d6 @@ -109838,6 +137298,8 @@ 0 0 0 +5 +5 7 0x9d5f 0x58313bc4 @@ -109846,6 +137308,8 @@ 1 0 0 +2 +1 8 0x98f7 0xceb30ba0 @@ -109854,6 +137318,8 @@ 0 0 0 +0 +3 10 0x3fa8 0xd447a7ce @@ -109862,6 +137328,8 @@ 1 0 0 +3 +5 7 0xd629 0x9e606fa6 @@ -109870,6 +137338,8 @@ 1 0 0 +2 +1 7 0x9fbf 0x7260d995 @@ -109878,6 +137348,8 @@ 0 0 0 +3 +4 2 0x4a04 0x46186bed @@ -109886,6 +137358,8 @@ 1 0 0 +2 +3 10 0x55d1 0xeb9204a4 @@ -109894,6 +137368,8 @@ 0 0 0 +1 +1 8 0x27cc 0x2f8b8aa0 @@ -109902,6 +137378,8 @@ 0 0 0 +0 +5 5 0x9bda 0x4ff4ab55 @@ -109910,6 +137388,8 @@ 1 0 0 +4 +2 1 0x4b5f 0x4c7a1b73 @@ -109919,6 +137399,8 @@ 1 0 2 +2 +2 0xa8ac 0x6c2d02dc 256 @@ -109926,6 +137408,8 @@ 0 0 0 +0 +5 4 0xdff0 0xf8e5ed44 @@ -109934,6 +137418,8 @@ 1 0 0 +0 +5 1 0x6f3 0xb95a0d16 @@ -109942,6 +137428,8 @@ 0 0 0 +3 +3 4 0x7c31 0xa7cf6f2 @@ -109950,6 +137438,8 @@ 0 0 0 +3 +2 9 0x3215 0xcab40797 @@ -109958,6 +137448,8 @@ 1 0 0 +4 +1 10 0xda47 0x20ddd4fe @@ -109966,6 +137458,8 @@ 1 0 0 +5 +4 8 0x15b3 0x252d14ba @@ -109974,6 +137468,8 @@ 1 0 0 +4 +5 7 0x7094 0x860d73bb @@ -109982,6 +137478,8 @@ 0 0 0 +1 +2 4 0x7b93 0xb9f1f642 @@ -109990,6 +137488,8 @@ 0 0 0 +4 +5 8 0x8b3e 0x8c40dfe @@ -109998,6 +137498,8 @@ 1 0 0 +4 +2 3 0x13cb 0xc2fd2d36 @@ -110006,6 +137508,8 @@ 1 1 0 +0 +0 3 0x559e 0x9d04f034 @@ -110014,6 +137518,8 @@ 0 0 0 +1 +2 9 0xb36a 0xc449f38e @@ -110022,6 +137528,8 @@ 1 1 0 +1 +2 2 0xdc9b 0x93b359d9 @@ -110030,6 +137538,8 @@ 1 1 0 +3 +2 2 0x47b5 0x10afcc30 @@ -110038,6 +137548,8 @@ 0 0 0 +0 +4 10 0x5667 0xd89eef7b @@ -110046,6 +137558,8 @@ 1 0 0 +2 +4 5 0x9ba7 0x465da885 @@ -110054,6 +137568,8 @@ 1 1 0 +3 +3 2 0x4113 0x8675ca6c @@ -110062,6 +137578,8 @@ 1 1 0 +0 +5 6 0xa5cf 0xfb203c99 @@ -110070,6 +137588,8 @@ 1 0 0 +2 +4 3 0xcce1 0xf67a5f71 @@ -110078,6 +137598,8 @@ 0 0 0 +5 +4 1 0xe325 0xa0acf8f0 @@ -110086,6 +137608,8 @@ 1 0 0 +3 +3 9 0x754b 0xbb802bc0 @@ -110094,6 +137618,8 @@ 0 0 0 +5 +5 6 0x57e9 0xec2fc09e @@ -110102,6 +137628,8 @@ 0 0 0 +4 +5 6 0x3fe 0x87fc36a4 @@ -110110,6 +137638,8 @@ 1 1 0 +1 +4 7 0x8252 0x6a9087af @@ -110118,6 +137648,8 @@ 0 0 0 +3 +4 1 0xfa0e 0x786be38 @@ -110126,6 +137658,8 @@ 0 0 0 +2 +1 6 0x430c 0x8d5a7bfe @@ -110134,6 +137668,8 @@ 0 0 0 +4 +5 7 0x3c03 0xfa10084c @@ -110142,6 +137678,8 @@ 1 1 0 +5 +1 1 0x671 0xe2a3ae50 @@ -110150,6 +137688,8 @@ 0 0 0 +1 +2 2 0x15a4 0x83565073 @@ -110158,6 +137698,8 @@ 1 0 0 +1 +5 2 0xdf8f 0x6f06d510 @@ -110166,6 +137708,8 @@ 1 0 0 +5 +0 4 0x48b2 0xe4cb18f3 @@ -110174,6 +137718,8 @@ 1 0 0 +2 +0 4 0xc1bd 0xfbd6f0b4 @@ -110182,6 +137728,8 @@ 0 0 0 +1 +4 10 0xd53b 0x1113c652 @@ -110190,6 +137738,8 @@ 1 1 0 +2 +5 6 0xee83 0xf009117 @@ -110198,6 +137748,8 @@ 1 0 0 +4 +0 8 0x93c5 0x50dcecf7 @@ -110207,6 +137759,8 @@ 1 0 2 +0 +2 0x2cc1 0xccc595d7 256 @@ -110214,6 +137768,8 @@ 0 0 0 +0 +3 10 0xa6e8 0xd8be0167 @@ -110222,6 +137778,8 @@ 1 0 0 +5 +4 10 0xfec3 0x3d6bced4 @@ -110230,6 +137788,8 @@ 0 0 0 +0 +5 5 0xc140 0x6220b18d @@ -110238,6 +137798,8 @@ 0 0 0 +3 +1 7 0x40de 0x4e0304fd @@ -110246,6 +137808,8 @@ 1 1 0 +4 +3 2 0x12f7 0xc00bb950 @@ -110254,6 +137818,8 @@ 0 0 0 +1 +1 5 0x947a 0xb07de13d @@ -110262,6 +137828,8 @@ 0 0 0 +0 +3 6 0x1b32 0x9333dceb @@ -110270,6 +137838,8 @@ 0 0 0 +3 +2 5 0x49fb 0x79434053 @@ -110278,6 +137848,8 @@ 1 1 0 +0 +0 9 0x944d 0xc7af632a @@ -110286,6 +137858,8 @@ 1 0 0 +3 +1 2 0xe21a 0x21aa8b50 @@ -110294,6 +137868,8 @@ 1 0 0 +5 +4 8 0x3106 0x16c14bbd @@ -110302,6 +137878,8 @@ 0 0 0 +3 +2 10 0x22a0 0x28034ec @@ -110311,6 +137889,8 @@ 0 0 5 +0 +5 0xc458 0x377f3c92 256 @@ -110318,6 +137898,8 @@ 1 1 0 +5 +0 2 0x1b6 0x404c888e @@ -110326,6 +137908,8 @@ 0 0 0 +1 +3 4 0xd4f6 0x76e4f372 @@ -110334,6 +137918,8 @@ 0 0 0 +2 +2 1 0x8af6 0x107c0d09 @@ -110342,6 +137928,8 @@ 1 0 0 +1 +1 9 0x9a4 0x4eec3bb8 @@ -110350,6 +137938,8 @@ 0 0 0 +5 +1 4 0xdf53 0x318ed3eb @@ -110358,6 +137948,8 @@ 1 0 0 +4 +1 7 0xc2f2 0x5f9263 @@ -110366,6 +137958,8 @@ 1 1 0 +4 +0 6 0x275e 0xc55e1e61 @@ -110374,6 +137968,8 @@ 1 1 0 +1 +0 9 0x6107 0xb54799fe @@ -110382,6 +137978,8 @@ 1 1 0 +4 +3 1 0x68f5 0x36321fef @@ -110390,6 +137988,8 @@ 1 0 0 +4 +4 1 0xa673 0xee0f8ecf @@ -110398,6 +137998,8 @@ 1 0 0 +1 +0 4 0x6d6 0xf918dcd5 @@ -110406,6 +138008,8 @@ 0 0 0 +2 +5 1 0xbdc2 0x7118e90f @@ -110414,6 +138018,8 @@ 1 1 0 +3 +4 4 0xdb02 0xc59e827d @@ -110423,6 +138029,8 @@ 0 0 1 +1 +1 0xdcb6 0x20a133b6 256 @@ -110430,6 +138038,8 @@ 1 1 0 +3 +4 1 0x1f2f 0x136077b3 @@ -110438,6 +138048,8 @@ 0 0 0 +3 +3 2 0xec64 0x66e1a6c5 @@ -110446,6 +138058,8 @@ 1 0 0 +2 +3 4 0xbd0c 0x24504e4a @@ -110454,6 +138068,8 @@ 1 0 0 +3 +5 6 0x197b 0x519e6e65 @@ -110462,6 +138078,8 @@ 1 1 0 +4 +2 1 0x5205 0x6974bc09 @@ -110470,6 +138088,8 @@ 1 0 0 +4 +5 9 0x71ea 0xba0e8071 @@ -110478,6 +138098,8 @@ 1 1 0 +5 +4 8 0xbdf9 0x1e2bfd6 @@ -110486,6 +138108,8 @@ 1 0 0 +4 +1 9 0xb359 0xca771a7f @@ -110494,6 +138118,8 @@ 0 0 0 +1 +5 5 0x1d69 0x4dc2efd5 @@ -110502,6 +138128,8 @@ 1 1 0 +4 +0 8 0x83ee 0xa9707220 @@ -110510,6 +138138,8 @@ 1 1 0 +3 +4 6 0x803 0xe2533f2e @@ -110518,6 +138148,8 @@ 1 1 0 +5 +1 3 0xea06 0x14df0c64 @@ -110527,6 +138159,8 @@ 0 0 4 +2 +4 0x3a57 0xd8e22ff0 256 @@ -110534,6 +138168,8 @@ 1 1 0 +0 +0 8 0xc142 0x5f1af9b5 @@ -110543,6 +138179,8 @@ 0 0 1 +1 +1 0x13bc 0xc65e5376 256 @@ -110550,6 +138188,8 @@ 0 0 0 +5 +5 4 0xe62a 0x47fa00d8 @@ -110558,6 +138198,8 @@ 0 0 0 +4 +3 5 0xc25b 0x647185eb @@ -110566,6 +138208,8 @@ 0 0 0 +3 +1 6 0xa930 0x22f6550b @@ -110574,6 +138218,8 @@ 0 0 0 +3 +4 5 0xe418 0x36961586 @@ -110583,6 +138229,8 @@ 1 0 1 +4 +1 0xae29 0x5f0f141a 256 @@ -110590,6 +138238,8 @@ 1 1 0 +2 +0 6 0x38de 0x3fe3c763 @@ -110598,6 +138248,8 @@ 1 1 0 +4 +4 8 0x8f4c 0x29af9720 @@ -110606,6 +138258,8 @@ 1 0 0 +2 +2 3 0xe41c 0x607af778 @@ -110615,6 +138269,8 @@ 0 0 1 +0 +1 0x25dd 0x23cc2913 256 @@ -110622,6 +138278,8 @@ 0 0 0 +5 +1 4 0xb52c 0x39423b9b @@ -110630,6 +138288,8 @@ 1 0 0 +3 +1 2 0x88b2 0xeb85d082 @@ -110638,6 +138298,8 @@ 1 1 0 +1 +0 2 0x2a5d 0x53fa4e3e @@ -110646,6 +138308,8 @@ 1 0 0 +1 +3 5 0xa87b 0x18fac254 @@ -110654,6 +138318,8 @@ 0 0 0 +0 +5 9 0x1cc4 0x140be1d3 @@ -110662,6 +138328,8 @@ 1 0 0 +0 +2 4 0x55bd 0x9f439dd5 @@ -110670,6 +138338,8 @@ 0 0 0 +4 +0 6 0x2af9 0x58511551 @@ -110678,6 +138348,8 @@ 1 0 0 +3 +5 4 0x17ee 0xdd179a6f @@ -110686,6 +138358,8 @@ 0 0 0 +3 +4 7 0x9258 0xf981eb02 @@ -110694,6 +138368,8 @@ 1 1 0 +2 +2 8 0xa6a7 0xca529b96 @@ -110702,6 +138378,8 @@ 1 1 0 +3 +3 7 0x14f9 0xeace9c7f @@ -110710,6 +138388,8 @@ 0 0 0 +2 +0 9 0x417d 0x4a08fb9f @@ -110718,6 +138398,8 @@ 1 1 0 +0 +4 7 0x29f1 0x88150ca0 @@ -110726,6 +138408,8 @@ 0 0 0 +0 +3 1 0xb096 0xdd65af49 @@ -110734,6 +138418,8 @@ 0 0 0 +4 +3 1 0x1778 0x2ab3502c @@ -110742,6 +138428,8 @@ 1 0 0 +3 +2 7 0xcd29 0x42f913 @@ -110750,6 +138438,8 @@ 0 0 0 +5 +3 2 0xf592 0x62a1abd @@ -110758,6 +138448,8 @@ 1 1 0 +5 +0 9 0xf5d5 0xe44c3009 @@ -110766,6 +138458,8 @@ 0 0 0 +1 +2 3 0xa121 0xa51f8f64 @@ -110774,6 +138468,8 @@ 1 1 0 +0 +3 6 0xdf2d 0xeb0171ed @@ -110782,6 +138478,8 @@ 0 0 0 +1 +3 5 0xd5f3 0x993a9397 @@ -110790,6 +138488,8 @@ 0 0 0 +2 +1 9 0x19b1 0xd8783c03 @@ -110798,6 +138498,8 @@ 0 0 0 +2 +1 7 0x2d26 0xe67f59cb @@ -110806,6 +138508,8 @@ 1 1 0 +0 +2 5 0xfc5f 0xf9ce36bd @@ -110814,6 +138518,8 @@ 1 0 0 +5 +4 10 0xef72 0x5f9627a8 @@ -110822,6 +138528,8 @@ 1 1 0 +0 +4 1 0xf375 0xc9df07ad @@ -110830,6 +138538,8 @@ 0 0 0 +0 +5 1 0x5d89 0xbd66219c @@ -110838,6 +138548,8 @@ 1 1 0 +1 +1 10 0x98b3 0x7938be1f @@ -110846,6 +138558,8 @@ 0 0 0 +0 +0 4 0x13a3 0x76db8d9f @@ -110854,6 +138568,8 @@ 1 1 0 +0 +4 7 0x3b64 0x3058e7dd @@ -110862,6 +138578,8 @@ 0 0 0 +4 +1 9 0x7a3b 0x6ba8e576 @@ -110870,6 +138588,8 @@ 0 0 0 +4 +4 2 0x2e35 0x75060835 @@ -110879,6 +138599,8 @@ 0 0 3 +5 +3 0xff51 0xc824873e 256 @@ -110886,6 +138608,8 @@ 1 1 0 +4 +2 2 0xa721 0xa1c9cd0d @@ -110894,6 +138618,8 @@ 0 0 0 +0 +1 2 0x3820 0xb9649f74 @@ -110902,6 +138628,8 @@ 1 1 0 +4 +3 10 0xce38 0xa50fb0ee @@ -110910,6 +138638,8 @@ 1 1 0 +0 +4 9 0xce1 0xf8b321d1 @@ -110918,6 +138648,8 @@ 0 0 0 +1 +4 2 0x33a1 0x1004668e @@ -110926,6 +138658,8 @@ 1 0 0 +5 +5 10 0x5c2a 0x246ab0f1 @@ -110934,6 +138668,8 @@ 1 0 0 +3 +0 10 0x8842 0xf4024095 @@ -110942,6 +138678,8 @@ 0 0 0 +2 +0 9 0x29b2 0xc0845e77 @@ -110950,6 +138688,8 @@ 0 0 0 +3 +5 8 0x14d3 0xcc94f29 @@ -110958,6 +138698,8 @@ 0 0 0 +3 +3 6 0xf62f 0xebefbeaa @@ -110966,6 +138708,8 @@ 0 0 0 +1 +3 10 0x2720 0x8830c151 @@ -110974,6 +138718,8 @@ 0 0 0 +3 +4 7 0x1b2c 0xa27a2b34 @@ -110982,6 +138728,8 @@ 0 0 0 +0 +0 3 0xa182 0x42417a62 @@ -110990,6 +138738,8 @@ 0 0 0 +0 +5 4 0x2419 0x39cd70ef @@ -110998,6 +138748,8 @@ 1 0 0 +5 +2 8 0xb48f 0x4cf468af @@ -111007,6 +138759,8 @@ 0 0 1 +1 +1 0xa0 0xe0f09da8 256 @@ -111014,6 +138768,8 @@ 0 0 0 +1 +5 6 0x2fab 0x14215332 @@ -111022,6 +138778,8 @@ 1 0 0 +4 +3 2 0x34ee 0x49fa950e @@ -111030,6 +138788,8 @@ 1 0 0 +5 +1 6 0xc20 0xb787e7b5 @@ -111038,6 +138798,8 @@ 0 0 0 +4 +4 7 0x8245 0x7aec58b9 @@ -111046,6 +138808,8 @@ 1 0 0 +0 +4 5 0xdc4e 0xee9e2e63 @@ -111054,6 +138818,8 @@ 1 1 0 +0 +0 10 0x9610 0x839800bb @@ -111062,6 +138828,8 @@ 0 0 0 +1 +3 7 0x2a6f 0xf29b9f8a @@ -111070,6 +138838,8 @@ 1 1 0 +2 +4 5 0x80f3 0xa4b4a19a @@ -111078,6 +138848,8 @@ 1 0 0 +0 +3 2 0xb0b9 0xb2d8eeb7 @@ -111086,6 +138858,8 @@ 1 0 0 +1 +0 8 0x9249 0x19e0a129 @@ -111094,6 +138868,8 @@ 1 1 0 +4 +4 1 0x4cfb 0xb83b17c9 @@ -111102,6 +138878,8 @@ 0 0 0 +3 +5 7 0x86f7 0xfb01a499 @@ -111110,6 +138888,8 @@ 0 0 0 +4 +4 6 0xc653 0xaa5b22fc @@ -111119,6 +138899,8 @@ 0 0 5 +0 +5 0x819b 0x60d1a900 256 @@ -111126,6 +138908,8 @@ 1 1 0 +0 +1 2 0xaffd 0x675898a1 @@ -111134,6 +138918,8 @@ 1 1 0 +2 +0 9 0x4946 0xe78e048a @@ -111142,6 +138928,8 @@ 0 0 0 +5 +2 10 0xe159 0x8f767e30 @@ -111150,6 +138938,8 @@ 0 0 0 +2 +5 9 0x1859 0x5ed8966e @@ -111158,6 +138948,8 @@ 1 1 0 +0 +1 4 0xaae7 0xc8fc2b5 @@ -111166,6 +138958,8 @@ 1 1 0 +1 +3 10 0xcff3 0xd30c99f2 @@ -111174,6 +138968,8 @@ 1 0 0 +5 +3 7 0x51c0 0x254700e @@ -111182,6 +138978,8 @@ 1 0 0 +0 +4 1 0x1a2d 0xcb0740c6 @@ -111190,6 +138988,8 @@ 0 0 0 +2 +4 6 0xf8f8 0xcd74e5a8 @@ -111198,6 +138998,8 @@ 0 0 0 +1 +2 7 0xaf2a 0x6ed1a4c @@ -111206,6 +139008,8 @@ 1 0 0 +2 +0 8 0x193c 0xb262b7ac @@ -111214,6 +139018,8 @@ 0 0 0 +4 +2 3 0x64aa 0x89b0a539 @@ -111222,6 +139028,8 @@ 0 0 0 +0 +4 1 0x61f7 0xd45cef0a @@ -111230,6 +139038,8 @@ 0 0 0 +5 +1 2 0x9ccb 0x29187ec @@ -111239,6 +139049,8 @@ 0 0 1 +0 +1 0xa380 0xdf596408 256 @@ -111246,6 +139058,8 @@ 0 0 0 +4 +4 1 0x4a76 0x8619146c @@ -111254,6 +139068,8 @@ 0 0 0 +3 +2 6 0xe498 0x6ef86d10 @@ -111262,6 +139078,8 @@ 0 0 0 +3 +5 2 0x5fb4 0x26a3bebd @@ -111270,6 +139088,8 @@ 1 0 0 +4 +4 5 0x2ff7 0x3b76e87c @@ -111278,6 +139098,8 @@ 0 0 0 +4 +2 10 0x21b1 0x152dae9d @@ -111286,6 +139108,8 @@ 0 0 0 +4 +5 7 0xf175 0xd8bd3678 @@ -111294,6 +139118,8 @@ 1 0 0 +5 +5 10 0xcd0f 0x9d183bb5 @@ -111302,6 +139128,8 @@ 1 0 0 +3 +3 9 0x7d0b 0x80fb9d72 @@ -111310,6 +139138,8 @@ 1 1 0 +0 +0 4 0xb25e 0xa63b9434 @@ -111318,6 +139148,8 @@ 0 0 0 +1 +1 10 0xd531 0x449bdeb8 @@ -111326,6 +139158,8 @@ 1 0 0 +0 +1 6 0xe6 0x7cb13615 @@ -111334,6 +139168,8 @@ 0 0 0 +5 +3 9 0x52d4 0x260b55a2 @@ -111342,6 +139178,8 @@ 0 0 0 +4 +5 6 0x8d20 0x2d1d1ef1 @@ -111350,6 +139188,8 @@ 1 1 0 +5 +4 7 0x6c8b 0x366205fa @@ -111358,6 +139198,8 @@ 1 0 0 +3 +3 2 0x305e 0xc960b9f1 @@ -111366,6 +139208,8 @@ 1 0 0 +4 +0 10 0x750f 0xf5540d65 @@ -111374,6 +139218,8 @@ 1 1 0 +5 +5 3 0xe250 0x345a467e @@ -111382,6 +139228,8 @@ 0 0 0 +2 +3 6 0x9116 0x3c888dd6 @@ -111390,6 +139238,8 @@ 0 0 0 +0 +1 7 0x701a 0x68e57f43 @@ -111398,6 +139248,8 @@ 1 0 0 +4 +1 6 0xec84 0xddbf3220 @@ -111406,6 +139258,8 @@ 0 0 0 +0 +2 7 0x20d2 0x37d23172 @@ -111415,6 +139269,8 @@ 0 0 4 +2 +4 0x5c01 0xec38d409 256 @@ -111423,6 +139279,8 @@ 0 0 4 +2 +4 0x54b8 0xabc3655a 256 @@ -111430,6 +139288,8 @@ 0 0 0 +1 +3 10 0x97b3 0x72a9edbb @@ -111438,6 +139298,8 @@ 1 0 0 +0 +1 6 0x547f 0x94a5ce49 @@ -111446,6 +139308,8 @@ 1 0 0 +0 +4 10 0x83e3 0xb42198df @@ -111454,6 +139318,8 @@ 1 0 0 +0 +3 7 0xbee 0x3e831c86 @@ -111462,6 +139328,8 @@ 0 0 0 +2 +0 7 0x7ed4 0xa43126dc @@ -111470,6 +139338,8 @@ 1 0 0 +1 +4 3 0x393a 0xbff505d3 @@ -111479,6 +139349,8 @@ 0 0 4 +3 +4 0xffe3 0x7a69d612 256 @@ -111486,6 +139358,8 @@ 0 0 0 +3 +2 9 0xfa22 0x248b0b25 @@ -111494,6 +139368,8 @@ 0 0 0 +5 +2 2 0xc22 0xb9327279 @@ -111502,6 +139378,8 @@ 1 1 0 +4 +4 9 0x5da5 0xfb6d649 @@ -111510,6 +139388,8 @@ 0 0 0 +0 +0 7 0x2812 0x9c17900a @@ -111518,6 +139398,8 @@ 0 0 0 +2 +0 3 0xd86e 0x9e067a5b @@ -111526,6 +139408,8 @@ 1 1 0 +1 +4 9 0xbe71 0xa68cfd39 @@ -111534,6 +139418,8 @@ 0 0 0 +1 +3 6 0x2dd2 0xade52a34 @@ -111542,6 +139428,8 @@ 1 0 0 +4 +0 10 0x7b74 0x3cd9fd75 @@ -111550,6 +139438,8 @@ 0 0 0 +1 +1 3 0xdb6b 0x313cb14c @@ -111558,6 +139448,8 @@ 0 0 0 +2 +0 1 0xce63 0xc6f9fba2 @@ -111566,6 +139458,8 @@ 1 0 0 +0 +3 9 0x7e86 0x994fd2d @@ -111574,6 +139468,8 @@ 0 0 0 +1 +5 4 0x9177 0xdda4085c @@ -111582,6 +139478,8 @@ 0 0 0 +5 +2 10 0x7e38 0x2a7cda61 @@ -111591,6 +139489,8 @@ 0 0 3 +1 +3 0xb751 0x1797c09f 256 @@ -111599,6 +139499,8 @@ 0 0 1 +2 +1 0x5c66 0xde9ca6ac 256 @@ -111606,6 +139508,8 @@ 1 0 0 +4 +0 2 0x909b 0x854018b1 @@ -111614,6 +139518,8 @@ 0 0 0 +0 +4 7 0xf697 0x54b20f20 @@ -111622,6 +139528,8 @@ 0 0 0 +5 +2 1 0x1d69 0x50a5e73f @@ -111630,6 +139538,8 @@ 0 0 0 +1 +1 7 0x3baf 0x7f9fb080 @@ -111638,6 +139548,8 @@ 1 0 0 +2 +3 6 0xa9b0 0x809f1cef @@ -111646,6 +139558,8 @@ 0 0 0 +0 +0 4 0xf48b 0x3ef3668e @@ -111654,6 +139568,8 @@ 1 1 0 +1 +4 3 0x5b49 0x77c78995 @@ -111662,6 +139578,8 @@ 1 0 0 +0 +5 2 0x3b19 0x5070cf6d @@ -111670,6 +139588,8 @@ 1 1 0 +1 +3 7 0x6bca 0x1eed6372 @@ -111678,6 +139598,8 @@ 0 0 0 +0 +1 8 0x8b20 0xf3f94542 @@ -111686,6 +139608,8 @@ 0 0 0 +4 +2 6 0xc137 0x34ef41bb @@ -111694,6 +139618,8 @@ 0 0 0 +3 +3 6 0xf351 0x493f7766 @@ -111702,6 +139628,8 @@ 1 1 0 +1 +3 4 0x9df7 0x7351ab33 @@ -111711,6 +139639,8 @@ 0 0 4 +2 +4 0x3ae 0x7d80a3ff 256 @@ -111718,6 +139648,8 @@ 0 0 0 +5 +2 3 0x2f20 0x67ac1f90 @@ -111726,6 +139658,8 @@ 1 0 0 +5 +5 9 0x4651 0xf7f2886 @@ -111734,6 +139668,8 @@ 1 1 0 +0 +5 3 0xcc8 0x8f3f734a @@ -111742,6 +139678,8 @@ 0 0 0 +2 +4 5 0xf3ee 0x8eb88468 @@ -111750,6 +139688,8 @@ 0 0 0 +3 +1 4 0x8d52 0x91e64796 @@ -111759,6 +139699,8 @@ 1 0 4 +0 +4 0xdcd2 0x4f2bfa47 256 @@ -111766,6 +139708,8 @@ 1 1 0 +5 +0 9 0x439c 0xcff9bbe3 @@ -111774,6 +139718,8 @@ 1 0 0 +2 +4 8 0x6e8c 0x2a82584e @@ -111782,6 +139728,8 @@ 0 0 0 +0 +0 5 0x4d05 0xdafc8508 @@ -111790,6 +139738,8 @@ 0 0 0 +1 +5 5 0xab4c 0xabddb0da @@ -111798,6 +139748,8 @@ 1 0 0 +2 +2 3 0x9616 0xc4caf380 @@ -111806,6 +139758,8 @@ 1 1 0 +0 +1 10 0x1e2a 0xa82e7e91 @@ -111814,6 +139768,8 @@ 0 0 0 +3 +4 5 0xb36e 0x1268e0c2 @@ -111822,6 +139778,8 @@ 1 0 0 +3 +4 9 0x7712 0x870371eb @@ -111830,6 +139788,8 @@ 0 0 0 +1 +2 2 0x1c04 0xfc0fbef @@ -111838,6 +139798,8 @@ 1 0 0 +3 +0 2 0x167f 0x97fe752 @@ -111846,6 +139808,8 @@ 0 0 0 +2 +3 5 0xc135 0x40134fdd @@ -111854,6 +139818,8 @@ 0 0 0 +5 +1 6 0x6ea3 0xcdea4da8 @@ -111862,6 +139828,8 @@ 1 0 0 +1 +4 10 0xfc11 0x1b274ba1 @@ -111870,6 +139838,8 @@ 0 0 0 +0 +3 1 0xf4c3 0xeaf8534b @@ -111878,6 +139848,8 @@ 0 0 0 +5 +2 9 0x7b03 0x7784e81d @@ -111886,6 +139858,8 @@ 0 0 0 +0 +0 6 0x1ade 0x1e1cc2bc @@ -111894,6 +139868,8 @@ 0 0 0 +1 +0 3 0x93a 0x59fa3f7e @@ -111902,6 +139878,8 @@ 1 0 0 +0 +3 9 0x998a 0xa58c6e61 @@ -111910,6 +139888,8 @@ 1 1 0 +1 +2 5 0xac13 0x3418464e @@ -111918,6 +139898,8 @@ 1 0 0 +5 +4 8 0xd726 0xf377c9e9 @@ -111926,6 +139908,8 @@ 0 0 0 +2 +3 3 0xd7bd 0xb04d5d7 @@ -111934,6 +139918,8 @@ 0 0 0 +3 +5 4 0xfaeb 0xdaebdb6a @@ -111942,6 +139928,8 @@ 1 0 0 +4 +0 6 0xddad 0x1ea776ec @@ -111950,6 +139938,8 @@ 1 1 0 +5 +5 9 0x78ba 0xfc0a9b87 @@ -111958,6 +139948,8 @@ 1 0 0 +2 +3 7 0xa6c 0xfb408223 @@ -111966,6 +139958,8 @@ 1 1 0 +5 +3 4 0x344b 0x4f5aecdc @@ -111974,6 +139968,8 @@ 1 1 0 +0 +0 10 0x3a5e 0xb998aa69 @@ -111982,6 +139978,8 @@ 1 0 0 +2 +2 1 0xfdc7 0x95830e4c @@ -111990,6 +139988,8 @@ 1 1 0 +1 +1 8 0xf5b 0x3d44ed62 @@ -111998,6 +139998,8 @@ 0 0 0 +0 +2 5 0xb422 0x97096fac @@ -112006,6 +140008,8 @@ 1 0 0 +3 +3 8 0x627a 0x443ab5cd @@ -112014,6 +140018,8 @@ 1 1 0 +3 +4 6 0xcbca 0x22cb945c @@ -112022,6 +140028,8 @@ 1 1 0 +1 +1 7 0xf3bf 0x4175d15f @@ -112031,6 +140039,8 @@ 0 0 1 +2 +1 0x1159 0xedfaa1c 256 @@ -112038,6 +140048,8 @@ 0 0 0 +5 +2 8 0x5027 0x4a94a593 @@ -112046,6 +140058,8 @@ 1 1 0 +3 +5 4 0x51a 0xec8634cc @@ -112054,6 +140068,8 @@ 1 0 0 +5 +3 6 0xc817 0xd0b69df2 @@ -112062,6 +140078,8 @@ 1 0 0 +1 +0 6 0xe00d 0xd87d43f2 @@ -112070,6 +140088,8 @@ 0 0 0 +0 +1 8 0x6ef0 0xe98dc6ba @@ -112079,6 +140099,8 @@ 0 0 5 +5 +5 0x7b26 0xad3a1881 256 @@ -112086,6 +140108,8 @@ 0 0 0 +5 +0 10 0x5e51 0x78f81f17 @@ -112094,6 +140118,8 @@ 0 0 0 +4 +5 3 0x3b0d 0x6b947497 @@ -112102,6 +140128,8 @@ 1 0 0 +2 +5 3 0x3cde 0x3ab5e8f3 @@ -112111,6 +140139,8 @@ 0 0 3 +1 +3 0x4098 0x36c3fe34 256 @@ -112118,6 +140148,8 @@ 1 1 0 +5 +4 2 0xf026 0x1d758266 @@ -112127,6 +140159,8 @@ 0 0 1 +4 +1 0xf4bd 0xb816a094 256 @@ -112134,6 +140168,8 @@ 1 1 0 +5 +0 9 0xa63f 0x338c0c3d @@ -112142,6 +140178,8 @@ 0 0 0 +4 +1 5 0x4122 0x9e2020c4 @@ -112150,6 +140188,8 @@ 0 0 0 +2 +2 7 0xa7c2 0x52a8ff79 @@ -112158,6 +140198,8 @@ 0 0 0 +1 +2 9 0x538d 0x6acff8b7 @@ -112166,6 +140208,8 @@ 1 1 0 +2 +5 3 0x6610 0xaefd8c35 @@ -112174,6 +140218,8 @@ 1 1 0 +3 +2 1 0xa9f8 0x427f71fe @@ -112182,6 +140228,8 @@ 1 0 0 +4 +4 3 0xa040 0xdea31a3e @@ -112190,6 +140238,8 @@ 1 1 0 +1 +3 8 0x311f 0xb11efc6e @@ -112199,6 +140249,8 @@ 1 0 3 +2 +3 0xfaa7 0x73ec9577 256 @@ -112206,6 +140258,8 @@ 0 0 0 +4 +4 6 0x430d 0x4fd7d57d @@ -112214,6 +140268,8 @@ 1 0 0 +0 +3 6 0x69d9 0x4c335f52 @@ -112222,6 +140278,8 @@ 1 0 0 +4 +3 1 0xda29 0x51a06f @@ -112230,6 +140288,8 @@ 1 0 0 +2 +3 1 0xe85a 0x33f3be73 @@ -112238,6 +140298,8 @@ 1 0 0 +3 +0 10 0xed30 0x197f4871 @@ -112246,6 +140308,8 @@ 0 0 0 +0 +1 4 0x990e 0x5e6a5e11 @@ -112254,6 +140318,8 @@ 1 1 0 +1 +3 4 0x80b 0x7a937f2d @@ -112262,6 +140328,8 @@ 0 0 0 +5 +2 2 0x8ddf 0xb5b5b835 @@ -112270,6 +140338,8 @@ 0 0 0 +2 +0 10 0xb92e 0x602b5763 @@ -112278,6 +140348,8 @@ 1 1 0 +5 +0 3 0x9956 0x82746335 @@ -112286,6 +140358,8 @@ 1 0 0 +4 +1 10 0x9c8f 0xe5489acc @@ -112295,6 +140369,8 @@ 0 0 5 +4 +5 0x4dfb 0x4494da3e 256 @@ -112302,6 +140378,8 @@ 1 1 0 +1 +3 5 0x88ed 0x73fd53c9 @@ -112310,6 +140388,8 @@ 0 0 0 +0 +5 6 0x81af 0xa9811264 @@ -112318,6 +140398,8 @@ 1 1 0 +0 +4 8 0x7406 0xe6be445 @@ -112326,6 +140408,8 @@ 1 1 0 +1 +0 8 0xa430 0xd74546f @@ -112334,6 +140418,8 @@ 0 0 0 +0 +4 9 0x794d 0x55a9b3f1 @@ -112342,6 +140428,8 @@ 1 1 0 +3 +2 8 0xaddd 0xddba38b2 @@ -112350,6 +140438,8 @@ 0 0 0 +5 +5 8 0x2ab9 0x625197e3 @@ -112358,6 +140448,8 @@ 1 1 0 +1 +1 6 0x20a2 0x9b2d4549 @@ -112366,6 +140458,8 @@ 0 0 0 +2 +2 8 0xd14 0xa08e22d7 @@ -112374,6 +140468,8 @@ 1 0 0 +2 +5 1 0x78c0 0x3011cc2a @@ -112382,6 +140478,8 @@ 1 1 0 +4 +5 7 0xd768 0x94bd2774 @@ -112390,6 +140488,8 @@ 1 1 0 +1 +5 9 0xf044 0x22b40ad0 @@ -112398,6 +140498,8 @@ 1 0 0 +1 +4 6 0xa7a5 0xfe37f576 @@ -112406,6 +140508,8 @@ 0 0 0 +4 +4 8 0x4d75 0x37fd12c @@ -112414,6 +140518,8 @@ 1 0 0 +4 +3 2 0xa372 0xa2a08ff7 @@ -112422,6 +140528,8 @@ 0 0 0 +1 +1 2 0x259d 0xef49edf4 @@ -112430,6 +140538,8 @@ 1 0 0 +3 +0 2 0x4d56 0x4e041b7d @@ -112438,6 +140548,8 @@ 0 0 0 +3 +4 6 0xdfad 0x8b9c07ed @@ -112447,6 +140559,8 @@ 0 0 3 +0 +3 0xc0d3 0xcd70eb54 256 @@ -112454,6 +140568,8 @@ 0 0 0 +1 +5 2 0x2438 0x7fb43de2 @@ -112462,6 +140578,8 @@ 0 0 0 +3 +0 2 0xb0a3 0x904877c8 @@ -112470,6 +140588,8 @@ 1 0 0 +0 +1 1 0x9c88 0xfd36685e @@ -112478,6 +140598,8 @@ 1 0 0 +1 +2 5 0x723b 0x1f7de7b1 @@ -112486,6 +140608,8 @@ 0 0 0 +2 +4 6 0x4716 0x94465962 @@ -112494,6 +140618,8 @@ 0 0 0 +4 +5 8 0x470f 0x4721c98e @@ -112502,6 +140628,8 @@ 1 0 0 +1 +4 7 0xe0e6 0x8790ad45 @@ -112510,6 +140638,8 @@ 1 1 0 +1 +4 9 0x6c5a 0x43e3ac46 @@ -112518,6 +140648,8 @@ 0 0 0 +1 +2 7 0xb05f 0x9791f928 @@ -112526,6 +140658,8 @@ 1 0 0 +3 +4 8 0xcfc3 0x46603aa @@ -112534,6 +140668,8 @@ 1 1 0 +5 +0 1 0x5db 0x89148927 @@ -112542,6 +140678,8 @@ 0 0 0 +3 +4 7 0xec6a 0x680d72a1 @@ -112550,6 +140688,8 @@ 0 0 0 +3 +0 6 0x4c3a 0x579a0d89 @@ -112559,6 +140699,8 @@ 0 0 1 +1 +1 0x87d6 0x83a08dfc 256 @@ -112566,6 +140708,8 @@ 0 0 0 +2 +5 8 0x49c5 0x190a5b2b @@ -112574,6 +140718,8 @@ 1 1 0 +4 +0 1 0xadde 0xb48cf0df @@ -112582,6 +140728,8 @@ 1 1 0 +2 +1 5 0xd8ba 0x922d7823 @@ -112590,6 +140738,8 @@ 0 0 0 +3 +3 7 0x727b 0xa527f320 @@ -112598,6 +140748,8 @@ 1 1 0 +1 +3 3 0xa6e3 0x2810a5aa @@ -112606,6 +140758,8 @@ 0 0 0 +1 +2 7 0xcc66 0x61ea4db4 @@ -112614,6 +140768,8 @@ 0 0 0 +4 +0 3 0x9d3c 0x5678b111 @@ -112622,6 +140778,8 @@ 1 1 0 +3 +3 7 0xf97f 0x9377801f @@ -112630,6 +140788,8 @@ 0 0 0 +0 +3 4 0x7f48 0xb7620d40 @@ -112638,6 +140798,8 @@ 1 0 0 +0 +5 7 0x8b8d 0xf8d45da0 @@ -112646,6 +140808,8 @@ 0 0 0 +0 +2 8 0x863a 0x3a667c67 @@ -112654,6 +140818,8 @@ 0 0 0 +5 +3 1 0x8de3 0x3c38f942 @@ -112662,6 +140828,8 @@ 1 0 0 +2 +3 5 0xe2a0 0xf9bfd669 @@ -112670,6 +140838,8 @@ 0 0 0 +2 +0 7 0x1dd5 0x36e34567 @@ -112678,6 +140848,8 @@ 0 0 0 +5 +3 10 0xf518 0xc6796009 @@ -112686,6 +140858,8 @@ 0 0 0 +4 +3 6 0x6f9b 0x926f24ae @@ -112694,6 +140868,8 @@ 0 0 0 +0 +1 2 0x16e1 0xe3d5123b @@ -112702,6 +140878,8 @@ 0 0 0 +1 +4 9 0x77e 0xc619c774 @@ -112710,6 +140888,8 @@ 1 1 0 +0 +2 5 0x8753 0x63fdd0f8 @@ -112718,6 +140898,8 @@ 0 0 0 +0 +3 4 0xd860 0x1138ed7 @@ -112726,6 +140908,8 @@ 1 0 0 +4 +4 6 0x74c 0xc2faa564 @@ -112734,6 +140918,8 @@ 0 0 0 +3 +0 2 0xf7d6 0x7d37cc2b @@ -112742,6 +140928,8 @@ 1 1 0 +5 +2 2 0x8c59 0xab1ff986 @@ -112750,6 +140938,8 @@ 1 1 0 +4 +1 5 0x4d6c 0x8b7c5140 @@ -112758,6 +140948,8 @@ 0 0 0 +1 +3 10 0x54b5 0xedae531f @@ -112766,6 +140958,8 @@ 0 0 0 +4 +5 7 0xd99b 0xc00ff909 @@ -112774,6 +140968,8 @@ 0 0 0 +2 +1 6 0x7163 0x73d14016 @@ -112782,6 +140978,8 @@ 1 1 0 +2 +5 4 0x9a8e 0xd3dde382 @@ -112790,6 +140988,8 @@ 1 0 0 +5 +0 6 0xe0f8 0x1b28e5a8 @@ -112798,6 +140998,8 @@ 0 0 0 +4 +0 7 0x767a 0xbf7aed96 @@ -112806,6 +141008,8 @@ 0 0 0 +1 +4 9 0x9df4 0x4022ac59 @@ -112814,6 +141018,8 @@ 0 0 0 +1 +1 3 0x2740 0x3127eaa9 @@ -112822,6 +141028,8 @@ 1 0 0 +2 +5 10 0xcc9e 0xb799ce2f @@ -112830,6 +141038,8 @@ 0 0 0 +1 +5 9 0x7cc9 0x920ac980 @@ -112838,6 +141048,8 @@ 1 0 0 +0 +5 8 0xf6f8 0xba085798 @@ -112846,6 +141058,8 @@ 0 0 0 +4 +0 9 0xccfd 0xe8fd6418 @@ -112854,6 +141068,8 @@ 0 0 0 +5 +5 3 0xb32f 0x9e80b627 @@ -112862,6 +141078,8 @@ 1 1 0 +4 +1 9 0x1c59 0x51d2952e @@ -112870,6 +141088,8 @@ 1 1 0 +4 +1 1 0x78b9 0x7a673d90 @@ -112878,6 +141098,8 @@ 0 0 0 +2 +1 5 0x8f8c 0x8d45c9a3 @@ -112886,6 +141108,8 @@ 1 1 0 +0 +3 6 0xc5d3 0x3bf51ee0 @@ -112894,6 +141118,8 @@ 0 0 0 +4 +3 2 0x9378 0xf74afa0e @@ -112902,6 +141128,8 @@ 1 0 0 +2 +5 6 0xaf11 0x1ca8ae6e @@ -112910,6 +141138,8 @@ 1 0 0 +2 +0 7 0xbe0d 0x7d8b5cc6 @@ -112919,6 +141149,8 @@ 0 0 1 +3 +1 0x474e 0xb38075fe 256 @@ -112926,6 +141158,8 @@ 1 1 0 +4 +1 9 0x199 0x5ee5c7f9 @@ -112934,6 +141168,8 @@ 1 0 0 +5 +0 2 0xabe 0xc3bed7ff @@ -112942,6 +141178,8 @@ 1 1 0 +3 +1 2 0xee3a 0x424316e5 @@ -112950,6 +141188,8 @@ 1 0 0 +0 +1 4 0x393f 0x8e835051 @@ -112958,6 +141198,8 @@ 0 0 0 +3 +4 2 0x9948 0x9ad42c51 @@ -112966,6 +141208,8 @@ 1 1 0 +4 +5 9 0x4861 0x505b61e1 @@ -112974,6 +141218,8 @@ 1 0 0 +5 +0 2 0xc797 0xfbc5987c @@ -112982,6 +141228,8 @@ 0 0 0 +4 +5 1 0xb843 0x96ac2b1 @@ -112990,6 +141238,8 @@ 0 0 0 +0 +1 10 0xcf63 0x2f27703b @@ -112998,6 +141248,8 @@ 1 0 0 +3 +0 1 0xcedb 0x282271b1 @@ -113006,6 +141258,8 @@ 0 0 0 +4 +3 5 0xb533 0x7c6cd4ba @@ -113014,6 +141268,8 @@ 1 0 0 +4 +3 6 0x8fdb 0xa3c2625f @@ -113022,6 +141278,8 @@ 1 1 0 +3 +0 8 0x3553 0x4610a728 @@ -113031,6 +141289,8 @@ 0 0 3 +3 +3 0xc65a 0xd2c7a1ff 256 @@ -113038,6 +141298,8 @@ 1 0 0 +5 +0 9 0xd48c 0x4d7e5d70 @@ -113046,6 +141308,8 @@ 1 1 0 +1 +4 8 0x3969 0xb6251ee0 @@ -113054,6 +141318,8 @@ 1 0 0 +3 +2 9 0xcf7c 0xcd47540a @@ -113062,6 +141328,8 @@ 1 0 0 +0 +3 9 0x70 0xb1e1478e @@ -113070,6 +141338,8 @@ 1 0 0 +0 +3 8 0x409 0x7598c76c @@ -113078,6 +141348,8 @@ 0 0 0 +2 +0 5 0xc9bc 0xeb83c1c9 @@ -113086,6 +141358,8 @@ 1 1 0 +0 +3 3 0xd282 0x9dff7820 @@ -113094,6 +141368,8 @@ 1 0 0 +2 +1 3 0x2f10 0x1960e02d @@ -113102,6 +141378,8 @@ 1 0 0 +1 +3 9 0x1d4c 0x6186689c @@ -113110,6 +141388,8 @@ 0 0 0 +2 +0 7 0xe4dc 0x8b92f1eb @@ -113118,6 +141398,8 @@ 0 0 0 +1 +3 9 0x2c3e 0xe796d908 @@ -113126,6 +141408,8 @@ 0 0 0 +3 +1 2 0x7027 0xa33e2bde @@ -113134,6 +141418,8 @@ 1 1 0 +3 +4 7 0xa493 0x4d75cf42 @@ -113142,6 +141428,8 @@ 1 1 0 +1 +1 4 0xcea1 0x245a0561 @@ -113150,6 +141438,8 @@ 1 1 0 +3 +1 10 0xb0d5 0x63b1876e @@ -113158,6 +141448,8 @@ 1 1 0 +0 +2 5 0x32de 0xe163fe47 @@ -113166,6 +141458,8 @@ 1 0 0 +1 +2 5 0xcbff 0x9259ef2a @@ -113174,6 +141468,8 @@ 1 0 0 +4 +3 6 0x1ac0 0x56a30b4b @@ -113183,6 +141479,8 @@ 0 0 1 +5 +1 0x1339 0x5132828a 256 @@ -113190,6 +141488,8 @@ 0 0 0 +3 +5 7 0xc46c 0xa3cefc41 @@ -113198,6 +141498,8 @@ 1 1 0 +2 +1 6 0xa5f9 0xc3efb8a @@ -113206,6 +141508,8 @@ 0 0 0 +4 +0 5 0xc43f 0x2fb2268d @@ -113214,6 +141518,8 @@ 0 0 0 +2 +0 3 0xe326 0xce9712bd @@ -113222,6 +141528,8 @@ 1 1 0 +5 +5 10 0xe882 0x281d2dea @@ -113230,6 +141538,8 @@ 1 1 0 +3 +1 9 0xe27e 0xaa27599c @@ -113238,6 +141548,8 @@ 0 0 0 +1 +0 7 0x4671 0xa7f0f894 @@ -113246,6 +141558,8 @@ 1 0 0 +1 +3 2 0x5dc1 0xaf2ff663 @@ -113254,6 +141568,8 @@ 1 0 0 +4 +0 6 0x89ef 0x2d87c2cf @@ -113262,6 +141578,8 @@ 0 0 0 +1 +3 5 0xa106 0xf5f38d1b @@ -113270,6 +141588,8 @@ 0 0 0 +0 +4 1 0x46ff 0x6c308251 @@ -113278,6 +141598,8 @@ 0 0 0 +0 +1 3 0xf799 0xd17f6695 @@ -113286,6 +141608,8 @@ 0 0 0 +0 +0 6 0x5209 0x4e7192d8 @@ -113294,6 +141618,8 @@ 0 0 0 +3 +1 2 0x3d7e 0xb2e637fb @@ -113302,6 +141628,8 @@ 1 1 0 +0 +1 2 0x6b4d 0x5f4e7684 @@ -113310,6 +141638,8 @@ 0 0 0 +5 +1 1 0xbc6b 0x7e7bdaac @@ -113318,6 +141648,8 @@ 0 0 0 +1 +3 2 0x4661 0x6a54bc61 @@ -113326,6 +141658,8 @@ 1 0 0 +0 +4 6 0x726e 0xd154c78c @@ -113334,6 +141668,8 @@ 1 0 0 +1 +2 7 0xc151 0xe560974f @@ -113342,6 +141678,8 @@ 1 1 0 +1 +3 6 0x2a1f 0x8dc88214 @@ -113350,6 +141688,8 @@ 1 0 0 +0 +4 4 0xba94 0x50335e4e @@ -113358,6 +141698,8 @@ 0 0 0 +4 +4 1 0x3adc 0x32d1b752 @@ -113366,6 +141708,8 @@ 0 0 0 +0 +3 5 0x9343 0xb7937265 @@ -113374,6 +141718,8 @@ 0 0 0 +1 +2 9 0x4e3a 0x9ef3c3c5 @@ -113382,6 +141728,8 @@ 0 0 0 +5 +0 10 0x2998 0xbc3869a @@ -113390,6 +141738,8 @@ 1 1 0 +3 +1 9 0xbb3a 0x6681b290 @@ -113398,6 +141748,8 @@ 0 0 0 +2 +1 1 0xc656 0x60c8f6fa @@ -113406,6 +141758,8 @@ 0 0 0 +3 +1 9 0x339c 0xd9270cc3 @@ -113415,6 +141769,8 @@ 0 0 5 +5 +5 0xca93 0x1889a63 256 @@ -113422,6 +141778,8 @@ 1 0 0 +4 +5 5 0x79e9 0xfa3e00e9 @@ -113430,6 +141788,8 @@ 0 0 0 +5 +5 3 0x5a5f 0x27960d08 @@ -113438,6 +141798,8 @@ 0 0 0 +2 +2 10 0x5641 0xb09e43f8 @@ -113446,6 +141808,8 @@ 1 1 0 +3 +0 2 0x828d 0xf5a5ae5a @@ -113455,6 +141819,8 @@ 1 0 3 +2 +3 0x1940 0x9de49af6 256 @@ -113462,6 +141828,8 @@ 0 0 0 +0 +5 4 0xbab 0x1a322f19 @@ -113470,6 +141838,8 @@ 1 0 0 +3 +3 5 0x6219 0xdd9abe47 @@ -113478,6 +141848,8 @@ 0 0 0 +1 +5 6 0x4774 0xb08366c7 @@ -113486,6 +141858,8 @@ 1 0 0 +5 +5 9 0xbd79 0xcaaca468 @@ -113494,6 +141868,8 @@ 1 1 0 +3 +5 10 0xff48 0x7bc7e140 @@ -113502,6 +141878,8 @@ 1 1 0 +2 +0 1 0x6c30 0x1952e289 @@ -113511,6 +141889,8 @@ 0 0 2 +3 +2 0xfdc3 0x49bb9434 256 @@ -113518,6 +141898,8 @@ 1 1 0 +2 +0 3 0xc693 0x95bd33e4 @@ -113526,6 +141908,8 @@ 1 1 0 +0 +3 9 0x78cc 0x5a87b19b @@ -113534,6 +141918,8 @@ 0 0 0 +0 +2 5 0x5494 0x20e56ff6 @@ -113543,6 +141929,8 @@ 1 0 2 +0 +2 0x112d 0x21341e8f 256 @@ -113550,6 +141938,8 @@ 0 0 0 +1 +1 9 0xb5da 0x2a691847 @@ -113558,6 +141948,8 @@ 0 0 0 +0 +0 2 0xde8c 0x64349520 @@ -113566,6 +141958,8 @@ 0 0 0 +3 +5 8 0x52a8 0xee83befc @@ -113574,6 +141968,8 @@ 0 0 0 +4 +1 2 0xf525 0x85dc7b7f @@ -113582,6 +141978,8 @@ 0 0 0 +5 +2 8 0x9a4c 0x2010737a @@ -113590,6 +141988,8 @@ 0 0 0 +3 +5 5 0xc87c 0x787660ec @@ -113598,6 +141998,8 @@ 0 0 0 +0 +2 5 0xbb30 0x97a1e358 @@ -113606,6 +142008,8 @@ 0 0 0 +4 +4 2 0xdf6d 0x8bb6e23c @@ -113614,6 +142018,8 @@ 1 0 0 +4 +1 3 0xf3bf 0x84c5ec58 @@ -113622,6 +142028,8 @@ 1 0 0 +0 +2 2 0x56d3 0x11c7a2ed @@ -113630,6 +142038,8 @@ 0 0 0 +1 +4 2 0xfd98 0xa8b89bec @@ -113638,6 +142048,8 @@ 0 0 0 +1 +2 8 0x6ca 0x6bd1c5be @@ -113646,6 +142058,8 @@ 1 0 0 +1 +5 8 0xcaf5 0xd596177 @@ -113655,6 +142069,8 @@ 0 0 1 +0 +1 0xc514 0x7d6c0d1a 256 @@ -113662,6 +142078,8 @@ 1 1 0 +3 +2 10 0x81b6 0xe2307332 @@ -113670,6 +142088,8 @@ 1 0 0 +1 +3 4 0x159f 0x857de3cb @@ -113678,6 +142098,8 @@ 0 0 0 +2 +5 8 0x5920 0x9efe915f @@ -113686,6 +142108,8 @@ 0 0 0 +4 +0 10 0xbb00 0x4ace50aa @@ -113694,6 +142118,8 @@ 0 0 0 +4 +2 3 0x31ec 0x7a7c8d54 @@ -113702,6 +142128,8 @@ 1 1 0 +4 +4 2 0xb39a 0x341fcc12 @@ -113710,6 +142138,8 @@ 0 0 0 +5 +0 10 0x61cd 0x6dcf10bf @@ -113718,6 +142148,8 @@ 1 1 0 +2 +5 4 0xc133 0xf26462d0 @@ -113726,6 +142158,8 @@ 1 0 0 +3 +3 6 0x35a4 0x417b0a4f @@ -113734,6 +142168,8 @@ 1 1 0 +4 +3 7 0xe177 0xea68332f @@ -113742,6 +142178,8 @@ 1 0 0 +4 +3 8 0x1b13 0x4879d423 @@ -113751,6 +142189,8 @@ 0 0 5 +0 +5 0x3095 0x7cd1cae0 256 @@ -113759,6 +142199,8 @@ 0 0 2 +0 +2 0x197e 0x700e0ee0 256 @@ -113766,6 +142208,8 @@ 0 0 0 +0 +0 4 0x7e49 0x8f3b0003 @@ -113774,6 +142218,8 @@ 1 0 0 +0 +0 2 0x964a 0x7ff90631 @@ -113782,6 +142228,8 @@ 0 0 0 +3 +4 7 0x13db 0xbbfdece2 @@ -113790,6 +142238,8 @@ 0 0 0 +4 +1 6 0xe5d 0x12f0789 @@ -113798,6 +142248,8 @@ 0 0 0 +0 +5 2 0xbaf3 0x3c940376 @@ -113806,6 +142258,8 @@ 1 0 0 +1 +2 5 0xa5b1 0x4312230 @@ -113814,6 +142268,8 @@ 1 1 0 +5 +2 3 0x180a 0x2d8cfd46 @@ -113822,6 +142278,8 @@ 1 0 0 +4 +4 9 0xc14c 0xb476a6bc @@ -113830,6 +142288,8 @@ 1 1 0 +4 +0 6 0x6974 0x66e12f4e @@ -113839,6 +142299,8 @@ 0 0 4 +1 +4 0x3d3b 0x36c1ceb 256 @@ -113846,6 +142308,8 @@ 1 0 0 +4 +4 5 0x5314 0x258d2922 @@ -113854,6 +142318,8 @@ 0 0 0 +4 +4 1 0xe18c 0xfbaa9ea1 @@ -113862,6 +142328,8 @@ 1 0 0 +4 +5 6 0xc78d 0x82ff19da @@ -113870,6 +142338,8 @@ 1 1 0 +1 +4 3 0xbcb8 0x8058bbf7 @@ -113878,6 +142348,8 @@ 1 1 0 +1 +2 3 0x70b2 0x9cd859da @@ -113886,6 +142358,8 @@ 0 0 0 +1 +5 7 0x29b2 0xb88a5e81 @@ -113894,6 +142368,8 @@ 0 0 0 +1 +5 2 0x74ea 0x71452547 @@ -113902,6 +142378,8 @@ 0 0 0 +1 +1 5 0xc4ff 0x2f96f53c @@ -113911,6 +142389,8 @@ 1 0 5 +0 +5 0x1bd5 0x2aaecc9f 256 @@ -113918,6 +142398,8 @@ 0 0 0 +0 +4 6 0x8ab8 0x671245e7 @@ -113926,6 +142408,8 @@ 1 1 0 +0 +2 9 0x17e7 0xdc4bc797 @@ -113934,6 +142418,8 @@ 1 1 0 +5 +5 8 0xb864 0x191422a2 @@ -113942,6 +142428,8 @@ 0 0 0 +3 +4 6 0xcb20 0xcd0e6a0e @@ -113950,6 +142438,8 @@ 0 0 0 +3 +4 8 0x786e 0x829aa797 @@ -113958,6 +142448,8 @@ 1 0 0 +1 +5 2 0x45a9 0x562ac60d @@ -113966,6 +142458,8 @@ 0 0 0 +4 +1 8 0x2efc 0xfb06e084 @@ -113975,6 +142469,8 @@ 0 0 5 +2 +5 0x7287 0xc4af034 256 @@ -113982,6 +142478,8 @@ 1 1 0 +3 +4 5 0x98e0 0x269cf9f6 @@ -113990,6 +142488,8 @@ 1 1 0 +3 +5 10 0xe86e 0x95121e6 @@ -113998,6 +142498,8 @@ 0 0 0 +4 +5 5 0xcd1e 0x6214be13 @@ -114006,6 +142508,8 @@ 0 0 0 +3 +2 1 0x5d30 0x1bc38f88 @@ -114014,6 +142518,8 @@ 0 0 0 +5 +3 3 0x476f 0x65386831 @@ -114022,6 +142528,8 @@ 0 0 0 +2 +4 9 0x5ff7 0xce232bda @@ -114030,6 +142538,8 @@ 1 1 0 +3 +5 2 0xb017 0x151641e2 @@ -114038,6 +142548,8 @@ 1 0 0 +5 +2 7 0x9e8e 0xdedb2bb0 @@ -114046,6 +142558,8 @@ 1 0 0 +5 +0 10 0xf34b 0x98a5d80e @@ -114054,6 +142568,8 @@ 1 0 0 +5 +5 3 0x12fb 0xf89afc5f @@ -114062,6 +142578,8 @@ 1 0 0 +2 +5 7 0xeb05 0x9b419461 @@ -114070,6 +142588,8 @@ 1 1 0 +4 +3 9 0x6c8c 0x6f5df137 @@ -114078,6 +142598,8 @@ 0 0 0 +3 +5 5 0xbbb1 0x1ccd5d87 @@ -114086,6 +142608,8 @@ 1 1 0 +3 +2 4 0xc212 0x4e81ed22 @@ -114094,6 +142618,8 @@ 1 1 0 +5 +2 2 0x6f29 0xcbaa375c @@ -114102,6 +142628,8 @@ 1 0 0 +4 +2 9 0x7682 0xf606d9da @@ -114111,6 +142639,8 @@ 0 0 2 +2 +2 0x7a10 0xf914855a 256 @@ -114118,6 +142648,8 @@ 1 1 0 +5 +2 1 0x9690 0x5a640b6e @@ -114127,6 +142659,8 @@ 0 0 5 +1 +5 0x99fa 0xf21f1850 256 @@ -114134,6 +142668,8 @@ 0 0 0 +0 +4 3 0x841e 0xa741e7c9 @@ -114142,6 +142678,8 @@ 0 0 0 +5 +0 6 0xa6df 0xe195cac8 @@ -114150,6 +142688,8 @@ 1 1 0 +1 +3 9 0x3d61 0x1c726de4 @@ -114158,6 +142698,8 @@ 0 0 0 +3 +0 2 0x81bc 0x5f93acab @@ -114166,6 +142708,8 @@ 1 0 0 +3 +2 5 0xbcdb 0xd1140821 @@ -114174,6 +142718,8 @@ 1 1 0 +5 +3 1 0xe38 0xee868f28 @@ -114182,6 +142728,8 @@ 0 0 0 +5 +3 2 0xbbb 0x674aae3b @@ -114190,6 +142738,8 @@ 0 0 0 +2 +0 5 0xb179 0x162f9d53 @@ -114199,6 +142749,8 @@ 0 0 1 +4 +1 0x3104 0x9134e1a0 256 @@ -114206,6 +142758,8 @@ 0 0 0 +5 +1 2 0x1a1e 0xe886bd6d @@ -114214,6 +142768,8 @@ 1 1 0 +0 +2 7 0x4d76 0xe649ef05 @@ -114222,6 +142778,8 @@ 1 1 0 +2 +4 4 0x50e8 0xccba3dd4 @@ -114230,6 +142788,8 @@ 0 0 0 +5 +4 9 0x9d 0x767c7830 @@ -114238,6 +142798,8 @@ 0 0 0 +0 +2 6 0x6f41 0xa08dacfa @@ -114246,6 +142808,8 @@ 0 0 0 +3 +3 8 0xb40 0x8df5a84c @@ -114254,6 +142818,8 @@ 0 0 0 +4 +3 7 0x381d 0x77562d03 @@ -114262,6 +142828,8 @@ 1 0 0 +1 +1 9 0xb20a 0x62e29105 @@ -114270,6 +142838,8 @@ 0 0 0 +3 +5 2 0x2018 0xc04ad441 @@ -114278,6 +142848,8 @@ 1 1 0 +4 +1 7 0x420d 0x64406b4f @@ -114286,6 +142858,8 @@ 0 0 0 +1 +1 2 0xa067 0xcf91ccaf @@ -114294,6 +142868,8 @@ 0 0 0 +3 +1 10 0xeac7 0x11ddcedb @@ -114302,6 +142878,8 @@ 0 0 0 +0 +1 9 0xd594 0xb6fae86d @@ -114310,6 +142888,8 @@ 0 0 0 +1 +4 10 0xa71a 0xa8090ea8 @@ -114318,6 +142898,8 @@ 0 0 0 +4 +5 9 0x1a02 0x4bc537f7 @@ -114326,6 +142908,8 @@ 1 1 0 +0 +1 7 0xfd9f 0x47e73123 @@ -114334,6 +142918,8 @@ 1 0 0 +1 +1 2 0xaec7 0xbc39f649 @@ -114342,6 +142928,8 @@ 1 0 0 +3 +1 9 0x7e9c 0xc070b6e9 @@ -114351,6 +142939,8 @@ 0 0 2 +3 +2 0x9733 0x2f069eb9 256 @@ -114358,6 +142948,8 @@ 1 0 0 +1 +2 6 0xf8b9 0x639a24a3 @@ -114366,6 +142958,8 @@ 0 0 0 +0 +0 9 0x9324 0x9d444139 @@ -114374,6 +142968,8 @@ 0 0 0 +3 +3 2 0x631c 0xcce12b83 @@ -114382,6 +142978,8 @@ 0 0 0 +3 +3 2 0xc5b2 0xd35accb2 @@ -114390,6 +142988,8 @@ 1 0 0 +4 +4 7 0xf5a8 0xe86d1e08 @@ -114398,6 +142998,8 @@ 1 0 0 +4 +5 5 0x8e96 0xb03f337f @@ -114406,6 +143008,8 @@ 1 0 0 +2 +1 4 0x778c 0x748b8c55 @@ -114414,6 +143018,8 @@ 1 1 0 +1 +5 3 0x77b7 0x1292b06b @@ -114422,6 +143028,8 @@ 1 0 0 +5 +1 8 0x53de 0xb86c9887 @@ -114430,6 +143038,8 @@ 0 0 0 +0 +1 1 0xca36 0x77ff11a6 @@ -114438,6 +143048,8 @@ 1 1 0 +4 +2 10 0x970b 0xf7cad6d @@ -114446,6 +143058,8 @@ 0 0 0 +0 +3 7 0x5b65 0xc47aa34c @@ -114454,6 +143068,8 @@ 1 1 0 +3 +5 5 0x1ae1 0x4af701b2 @@ -114462,6 +143078,8 @@ 0 0 0 +4 +4 7 0x4f1c 0xb4e9990b @@ -114470,6 +143088,8 @@ 1 1 0 +5 +2 2 0x5a4e 0x40dcfc46 @@ -114478,6 +143098,8 @@ 1 1 0 +2 +0 1 0x83e9 0xe29329b7 @@ -114486,6 +143108,8 @@ 1 1 0 +1 +3 7 0x89f4 0x1a5e97c3 @@ -114494,6 +143118,8 @@ 1 0 0 +1 +2 10 0x2730 0xae61d51d @@ -114502,6 +143128,8 @@ 1 1 0 +5 +1 9 0x6d3a 0x944ed270 @@ -114510,6 +143138,8 @@ 0 0 0 +3 +1 4 0x9c98 0xb43e15a8 @@ -114518,6 +143148,8 @@ 0 0 0 +3 +5 1 0xef99 0xd95f85b @@ -114526,6 +143158,8 @@ 0 0 0 +0 +2 2 0xe077 0x14d38971 @@ -114534,6 +143168,8 @@ 0 0 0 +1 +2 4 0xbd52 0x1f76fa1c @@ -114542,6 +143178,8 @@ 0 0 0 +4 +5 5 0xceca 0xd9502547 @@ -114550,6 +143188,8 @@ 1 0 0 +1 +5 4 0x22f7 0xd89c8da2 @@ -114558,6 +143198,8 @@ 1 0 0 +0 +4 10 0x93b2 0x9d099feb @@ -114566,6 +143208,8 @@ 1 1 0 +0 +3 5 0xb072 0x41c393b7 @@ -114575,6 +143219,8 @@ 0 0 5 +0 +5 0x4571 0x31ae38be 256 @@ -114582,6 +143228,8 @@ 0 0 0 +4 +0 1 0x7ac2 0x3c42f555 @@ -114590,6 +143238,8 @@ 1 1 0 +3 +2 4 0x6a25 0x58ee7a10 @@ -114598,6 +143248,8 @@ 0 0 0 +5 +4 7 0xa3b5 0x238cedfe @@ -114606,6 +143258,8 @@ 1 1 0 +1 +3 2 0x2cc0 0x6f0b2956 @@ -114614,6 +143268,8 @@ 1 0 0 +0 +4 9 0x3022 0x1f58a6c3 @@ -114622,6 +143278,8 @@ 0 0 0 +2 +0 5 0x44ec 0x682ef9a8 @@ -114630,6 +143288,8 @@ 0 0 0 +1 +2 2 0x87f 0xf12a92b8 @@ -114638,6 +143298,8 @@ 1 0 0 +0 +5 3 0xe8c8 0xadebfafa @@ -114646,6 +143308,8 @@ 1 1 0 +5 +3 3 0xdbda 0x9360ad5d @@ -114654,6 +143318,8 @@ 1 0 0 +4 +5 8 0xcb9a 0x17fefefe @@ -114662,6 +143328,8 @@ 1 1 0 +5 +0 8 0xd00f 0xc5d1725a @@ -114670,6 +143338,8 @@ 0 0 0 +2 +5 7 0xd20e 0xe958dd02 @@ -114678,6 +143348,8 @@ 1 1 0 +4 +3 7 0x314d 0xc4cd4b5e @@ -114687,6 +143359,8 @@ 0 0 2 +5 +2 0x65dd 0xa601ef18 256 @@ -114694,6 +143368,8 @@ 0 0 0 +5 +3 3 0xa617 0x4241953a @@ -114702,6 +143378,8 @@ 0 0 0 +4 +4 7 0x549a 0xea267f61 @@ -114710,6 +143388,8 @@ 1 0 0 +3 +4 8 0x77d6 0xc2786909 @@ -114718,6 +143398,8 @@ 1 0 0 +0 +5 5 0x2c95 0x54e934ee @@ -114726,6 +143408,8 @@ 0 0 0 +5 +1 8 0xa76d 0x932fde44 @@ -114734,6 +143418,8 @@ 1 0 0 +5 +1 7 0x1937 0xdd39f10a @@ -114742,6 +143428,8 @@ 0 0 0 +2 +5 7 0x601 0x2b896e46 @@ -114750,6 +143438,8 @@ 1 1 0 +0 +5 5 0xeee8 0x187e8696 @@ -114759,6 +143449,8 @@ 0 0 3 +2 +3 0xa0ac 0x19888bf6 256 @@ -114766,6 +143458,8 @@ 0 0 0 +1 +1 5 0x192c 0xa6706321 @@ -114774,6 +143468,8 @@ 0 0 0 +0 +2 4 0xbf18 0x4c4eab95 @@ -114782,6 +143478,8 @@ 0 0 0 +3 +0 5 0x5345 0xbd650ba7 @@ -114790,6 +143488,8 @@ 1 1 0 +0 +2 2 0x63e0 0xf4bb4dce @@ -114798,6 +143498,8 @@ 0 0 0 +2 +3 10 0xa40 0x5bfc2211 @@ -114806,6 +143508,8 @@ 0 0 0 +0 +0 9 0x8852 0xfa2a4385 @@ -114814,6 +143518,8 @@ 0 0 0 +0 +2 3 0x4ae9 0x28deca37 @@ -114823,6 +143529,8 @@ 1 0 5 +3 +5 0x76ae 0x4fc58795 256 @@ -114830,6 +143538,8 @@ 0 0 0 +0 +1 5 0xb8d5 0xc9429e6a @@ -114838,6 +143548,8 @@ 0 0 0 +0 +2 6 0x1b5a 0x8f07b0e0 @@ -114846,6 +143558,8 @@ 1 1 0 +3 +4 7 0x9bca 0xcdec813a @@ -114854,6 +143568,8 @@ 1 1 0 +4 +1 8 0x8b66 0xc89c5e06 @@ -114862,6 +143578,8 @@ 0 0 0 +3 +4 10 0xe06b 0xd5903457 @@ -114870,6 +143588,8 @@ 1 1 0 +2 +4 8 0xc768 0x4f095a1e @@ -114878,6 +143598,8 @@ 1 0 0 +0 +1 1 0xcd89 0x203a88da @@ -114886,6 +143608,8 @@ 0 0 0 +2 +2 9 0xe68f 0x1abb0c1d @@ -114894,6 +143618,8 @@ 0 0 0 +5 +0 4 0xcba2 0x4d254d1d @@ -114903,6 +143629,8 @@ 0 0 1 +5 +1 0xb82b 0x459e0b28 256 @@ -114910,6 +143638,8 @@ 0 0 0 +1 +1 2 0x8764 0x57b05e02 @@ -114918,6 +143648,8 @@ 0 0 0 +0 +4 2 0xfe65 0x2664a743 @@ -114926,6 +143658,8 @@ 1 0 0 +5 +2 3 0xd107 0x11d7dd0 @@ -114934,6 +143668,8 @@ 0 0 0 +3 +3 7 0x9a2 0x87388973 @@ -114942,6 +143678,8 @@ 0 0 0 +2 +1 4 0x42a6 0xd740bd57 @@ -114950,6 +143688,8 @@ 0 0 0 +1 +0 9 0xde8a 0x299994c8 @@ -114958,6 +143698,8 @@ 1 1 0 +2 +0 9 0x4f5c 0xb241e8bb @@ -114966,6 +143708,8 @@ 0 0 0 +5 +4 10 0x3d07 0x95d522cd @@ -114974,6 +143718,8 @@ 0 0 0 +4 +1 8 0x467 0x69105b2 @@ -114982,6 +143728,8 @@ 1 1 0 +1 +5 6 0x5db5 0x98fb841f @@ -114991,6 +143739,8 @@ 1 0 2 +4 +2 0xbd72 0xc1cdb46d 256 @@ -114998,6 +143748,8 @@ 0 0 0 +4 +3 9 0x5e3c 0x4a4012e2 @@ -115006,6 +143758,8 @@ 0 0 0 +1 +2 4 0xb166 0x5fda01f7 @@ -115014,6 +143768,8 @@ 0 0 0 +5 +5 1 0x3e7e 0x4bffe88b @@ -115022,6 +143778,8 @@ 0 0 0 +3 +5 1 0x5702 0x4ac78483 @@ -115030,6 +143788,8 @@ 0 0 0 +5 +2 1 0xcad5 0xc43aea16 @@ -115038,6 +143798,8 @@ 0 0 0 +0 +4 3 0x2702 0xccad287e @@ -115046,6 +143808,8 @@ 1 0 0 +3 +3 9 0x948d 0x810a62c1 @@ -115054,6 +143818,8 @@ 1 1 0 +0 +4 10 0x1fc0 0xf64352f8 @@ -115062,6 +143828,8 @@ 0 0 0 +4 +5 5 0x6726 0xb7c9ddc6 @@ -115070,6 +143838,8 @@ 0 0 0 +1 +5 3 0x9a67 0x58eeda27 @@ -115078,6 +143848,8 @@ 1 0 0 +0 +2 10 0xdf40 0x9cdda9d1 @@ -115086,6 +143858,8 @@ 1 1 0 +5 +3 9 0xf1da 0xca46526c @@ -115094,6 +143868,8 @@ 1 0 0 +5 +0 7 0xee36 0x1ba8b4a9 @@ -115102,6 +143878,8 @@ 1 1 0 +0 +5 3 0xe9ea 0x3ea8ead @@ -115110,6 +143888,8 @@ 1 1 0 +3 +1 2 0x3fca 0xb784fbad @@ -115118,6 +143898,8 @@ 1 0 0 +1 +2 3 0x146c 0xa4b5dcb3 @@ -115126,6 +143908,8 @@ 1 1 0 +1 +5 8 0xcf2a 0x13548eae @@ -115134,6 +143918,8 @@ 1 1 0 +4 +2 2 0xe6b4 0x842dc4f3 @@ -115142,6 +143928,8 @@ 1 0 0 +0 +2 6 0x8462 0xce35f212 @@ -115150,6 +143938,8 @@ 1 0 0 +1 +3 10 0x271a 0xd2559ab2 @@ -115158,6 +143948,8 @@ 1 0 0 +0 +0 4 0x98ad 0x9a1d201c @@ -115166,6 +143958,8 @@ 1 1 0 +1 +4 2 0x7558 0xac091146 @@ -115174,6 +143968,8 @@ 1 1 0 +2 +4 5 0x6521 0xb565449a @@ -115182,6 +143978,8 @@ 1 0 0 +1 +2 9 0x2174 0x828e9b78 @@ -115190,6 +143988,8 @@ 0 0 0 +5 +2 6 0x9069 0xad6740da @@ -115198,6 +143998,8 @@ 0 0 0 +3 +0 10 0xffa7 0xe5e2164d @@ -115206,6 +144008,8 @@ 1 1 0 +1 +1 2 0xc16e 0x683df114 @@ -115214,6 +144018,8 @@ 0 0 0 +5 +4 8 0x38fa 0x69ff9f6f @@ -115222,6 +144028,8 @@ 1 0 0 +2 +0 5 0xe8e3 0x9c191454 @@ -115230,6 +144038,8 @@ 0 0 0 +1 +1 8 0x91a 0xded1e96c @@ -115239,6 +144049,8 @@ 0 0 1 +2 +1 0x4193 0x2284bb5c 256 @@ -115246,6 +144058,8 @@ 0 0 0 +1 +5 5 0x5f0e 0x53bdec5c @@ -115254,6 +144068,8 @@ 0 0 0 +5 +3 9 0xcdb 0xccf0b553 @@ -115262,6 +144078,8 @@ 1 0 0 +0 +5 5 0xf794 0xdf86eaa2 @@ -115270,6 +144088,8 @@ 0 0 0 +3 +5 4 0xc1b5 0x70a2f0f @@ -115278,6 +144098,8 @@ 0 0 0 +4 +3 7 0x2035 0xbe96bf09 @@ -115286,6 +144108,8 @@ 0 0 0 +4 +0 8 0xa157 0xa35d9810 @@ -115294,6 +144118,8 @@ 1 0 0 +0 +2 7 0x3432 0x1cd0bb54 @@ -115302,6 +144128,8 @@ 0 0 0 +4 +4 5 0xb9bb 0x6be14d9a @@ -115310,6 +144138,8 @@ 1 1 0 +5 +5 6 0x51ed 0xaefe2e64 @@ -115318,6 +144148,8 @@ 0 0 0 +1 +5 2 0x61fb 0x41de5ba5 @@ -115326,6 +144158,8 @@ 1 1 0 +0 +0 10 0xf2e2 0x2a1e20f5 @@ -115334,6 +144168,8 @@ 1 1 0 +5 +1 4 0x7458 0x3e7c3133 @@ -115342,6 +144178,8 @@ 0 0 0 +4 +0 10 0x1bcd 0xf84069d7 @@ -115350,6 +144188,8 @@ 0 0 0 +0 +1 6 0x7d02 0x79410b42 @@ -115358,6 +144198,8 @@ 1 1 0 +1 +2 2 0x6d18 0x87fded9a @@ -115366,6 +144208,8 @@ 0 0 0 +1 +5 2 0xd82e 0xa657823e @@ -115374,6 +144218,8 @@ 0 0 0 +3 +4 9 0x1b7e 0xdbf28939 @@ -115382,6 +144228,8 @@ 0 0 0 +1 +5 2 0x61b2 0xa5efd858 @@ -115390,6 +144238,8 @@ 1 0 0 +5 +2 2 0x5bd6 0xb3dab9a6 @@ -115398,6 +144248,8 @@ 1 0 0 +3 +5 4 0xd9fd 0x572a5ad0 @@ -115406,6 +144258,8 @@ 0 0 0 +4 +4 5 0x8ce5 0x785b6c92 @@ -115415,6 +144269,8 @@ 0 0 1 +5 +1 0x9794 0x7aa493d5 256 @@ -115422,6 +144278,8 @@ 0 0 0 +0 +0 7 0xe6bb 0xc008bf5c @@ -115430,6 +144288,8 @@ 0 0 0 +3 +3 1 0x278b 0xed6dac8d @@ -115438,6 +144298,8 @@ 1 0 0 +2 +0 4 0x7ba1 0x8bff9ac6 @@ -115446,6 +144308,8 @@ 0 0 0 +5 +2 2 0x19dd 0x82ca8f17 @@ -115454,6 +144318,8 @@ 0 0 0 +0 +1 4 0x2ad6 0x2e601da3 @@ -115462,6 +144328,8 @@ 1 1 0 +4 +2 1 0x2c72 0x9a1d270d @@ -115470,6 +144338,8 @@ 0 0 0 +4 +2 1 0xc6c7 0xd9fcc59b @@ -115478,6 +144348,8 @@ 1 1 0 +1 +0 6 0xfebd 0x109636c5 @@ -115486,6 +144358,8 @@ 0 0 0 +0 +3 1 0xdcce 0xfe251af9 @@ -115494,6 +144368,8 @@ 1 0 0 +5 +2 6 0x50ca 0x3f215acb @@ -115502,6 +144378,8 @@ 0 0 0 +5 +1 9 0x57d1 0xd46aed67 @@ -115510,6 +144388,8 @@ 0 0 0 +5 +1 3 0xb702 0xf71c9686 @@ -115518,6 +144398,8 @@ 0 0 0 +1 +1 7 0x225 0x3af94944 @@ -115527,6 +144409,8 @@ 0 0 3 +0 +3 0xa262 0xe7369c28 256 @@ -115534,6 +144418,8 @@ 0 0 0 +3 +4 1 0xd360 0xddad804 @@ -115542,6 +144428,8 @@ 0 0 0 +2 +4 8 0x7cce 0x43d57d17 @@ -115550,6 +144438,8 @@ 1 1 0 +0 +5 10 0xa485 0x98191f1f @@ -115558,6 +144448,8 @@ 1 0 0 +5 +1 1 0x3e4a 0xf38a7427 @@ -115566,6 +144458,8 @@ 1 1 0 +0 +5 3 0x6021 0x92d5bdfd @@ -115574,6 +144468,8 @@ 1 0 0 +5 +1 1 0xc318 0xf2a02d33 @@ -115582,6 +144478,8 @@ 1 0 0 +1 +0 5 0xab44 0xe5e7c15b @@ -115590,6 +144488,8 @@ 0 0 0 +3 +5 4 0x3894 0xafc083c2 @@ -115598,6 +144498,8 @@ 0 0 0 +1 +1 3 0x8c12 0x4b4bf2d4 @@ -115606,6 +144508,8 @@ 0 0 0 +5 +0 9 0x3ac4 0x45c98450 @@ -115614,6 +144518,8 @@ 1 1 0 +5 +5 4 0x171a 0x1a7e9a25 @@ -115622,6 +144528,8 @@ 0 0 0 +5 +5 6 0x9da6 0x46227d9a @@ -115630,6 +144538,8 @@ 1 1 0 +0 +3 3 0xf96b 0x749323fa @@ -115638,6 +144548,8 @@ 0 0 0 +2 +2 7 0xf414 0xf2445f6a @@ -115647,6 +144559,8 @@ 0 0 4 +5 +4 0xcb15 0x47640ad1 256 @@ -115654,6 +144568,8 @@ 0 0 0 +5 +2 6 0xa72c 0x8e339584 @@ -115662,6 +144578,8 @@ 0 0 0 +4 +0 8 0x3dfd 0xf31e0b9d @@ -115670,6 +144588,8 @@ 0 0 0 +1 +5 8 0x1228 0x8fc97d6 @@ -115678,6 +144598,8 @@ 0 0 0 +1 +0 9 0x941e 0x4193f67f @@ -115686,6 +144608,8 @@ 0 0 0 +0 +3 6 0x35c 0x5d41b79f @@ -115694,6 +144618,8 @@ 0 0 0 +0 +5 3 0xa82a 0x9d882a24 @@ -115702,6 +144628,8 @@ 1 0 0 +4 +3 10 0x209b 0x15988f8b @@ -115710,6 +144638,8 @@ 0 0 0 +0 +2 10 0xe785 0x48e189d7 @@ -115718,6 +144648,8 @@ 0 0 0 +4 +5 8 0xf26a 0x4594d270 @@ -115726,6 +144658,8 @@ 1 1 0 +4 +2 8 0xe6ee 0x124579d0 @@ -115734,6 +144668,8 @@ 0 0 0 +3 +2 8 0x4ebf 0xa833fdfe @@ -115742,6 +144678,8 @@ 1 1 0 +3 +5 10 0xd3d2 0xfefee481 @@ -115750,6 +144688,8 @@ 1 1 0 +3 +5 7 0x1e6e 0xeace5df2 @@ -115758,6 +144698,8 @@ 0 0 0 +0 +0 3 0x2d9 0xba9390f2 @@ -115766,6 +144708,8 @@ 1 1 0 +4 +0 3 0x2461 0x3020a005 @@ -115774,6 +144718,8 @@ 0 0 0 +2 +4 6 0x3aed 0xf74bfb7 @@ -115782,6 +144728,8 @@ 1 1 0 +1 +2 5 0x899c 0x115bfa7b @@ -115790,6 +144738,8 @@ 0 0 0 +5 +2 8 0xa1f6 0x9b10bc25 @@ -115798,6 +144748,8 @@ 1 0 0 +0 +1 4 0xab15 0x9741ddd3 @@ -115806,6 +144758,8 @@ 0 0 0 +4 +1 6 0x658d 0x51a7febd @@ -115814,6 +144768,8 @@ 1 0 0 +4 +4 6 0xabbf 0x8a729702 @@ -115823,6 +144779,8 @@ 0 0 2 +5 +2 0x52f8 0x294d81dc 256 @@ -115830,6 +144788,8 @@ 0 0 0 +2 +5 6 0xab38 0xd4ec0f63 @@ -115838,6 +144798,8 @@ 1 0 0 +1 +3 6 0xa9d1 0xaa91cc5f @@ -115846,6 +144808,8 @@ 1 1 0 +0 +4 6 0x795 0xb558c76c @@ -115854,6 +144818,8 @@ 0 0 0 +5 +4 7 0x8f4 0x4be2ed29 @@ -115862,6 +144828,8 @@ 1 0 0 +4 +1 10 0x730e 0x4481f6c6 @@ -115870,6 +144838,8 @@ 1 1 0 +2 +3 8 0x7aec 0xf4113f4b @@ -115878,6 +144848,8 @@ 0 0 0 +2 +4 9 0x697e 0x8debbfc6 @@ -115886,6 +144858,8 @@ 1 1 0 +1 +5 3 0x240d 0x946bceed @@ -115894,6 +144868,8 @@ 1 0 0 +3 +0 10 0xc62 0xb26557af @@ -115902,6 +144878,8 @@ 0 0 0 +2 +2 10 0xdab8 0x166c8c7d @@ -115910,6 +144888,8 @@ 1 0 0 +2 +5 5 0xad89 0x2cfb34e7 @@ -115918,6 +144898,8 @@ 1 1 0 +2 +2 1 0x35ac 0xf13234f0 @@ -115927,6 +144909,8 @@ 0 0 2 +4 +2 0xc43f 0x67edbd5a 256 @@ -115934,6 +144918,8 @@ 0 0 0 +5 +5 10 0xa932 0xd9a47398 @@ -115942,6 +144928,8 @@ 1 0 0 +4 +1 6 0x78ef 0x6803ac78 @@ -115950,6 +144938,8 @@ 1 1 0 +2 +3 1 0xb9df 0xbe08158f @@ -115959,6 +144949,8 @@ 0 0 2 +0 +2 0x6c49 0xef1dfeb 256 @@ -115966,6 +144958,8 @@ 1 0 0 +0 +2 10 0xb9ec 0x7627c67d @@ -115974,6 +144968,8 @@ 1 1 0 +1 +0 3 0x2cb7 0xc0e2780 @@ -115982,6 +144978,8 @@ 0 0 0 +5 +0 1 0x557 0x59cdf45c @@ -115990,6 +144988,8 @@ 0 0 0 +4 +4 7 0xd5c4 0x289b7997 @@ -115998,6 +144998,8 @@ 1 1 0 +5 +1 6 0x6c3a 0xed2b512a @@ -116006,6 +145008,8 @@ 1 1 0 +4 +3 8 0x30d5 0xd9e73c90 @@ -116014,6 +145018,8 @@ 1 0 0 +4 +0 1 0xcc12 0x9a1060a3 @@ -116022,6 +145028,8 @@ 1 0 0 +1 +3 3 0xc89 0xf7f870d @@ -116030,6 +145038,8 @@ 1 1 0 +4 +4 1 0xaeef 0xd646bb2 @@ -116038,6 +145048,8 @@ 0 0 0 +2 +3 8 0xdefc 0x9dc97936 @@ -116046,6 +145058,8 @@ 1 1 0 +2 +4 9 0x47f3 0x2defc7a1 @@ -116054,6 +145068,8 @@ 1 0 0 +0 +0 1 0x48d8 0xdbcf5a9 @@ -116062,6 +145078,8 @@ 0 0 0 +0 +2 5 0xbecd 0x3a7f7eee @@ -116070,6 +145088,8 @@ 1 1 0 +2 +2 7 0x284b 0xc68336ec @@ -116078,6 +145098,8 @@ 0 0 0 +4 +5 3 0x67aa 0x8cd941e @@ -116086,6 +145108,8 @@ 1 0 0 +4 +2 1 0xa08c 0x66b07ce9 @@ -116094,6 +145118,8 @@ 0 0 0 +2 +3 8 0x81f0 0xaa10ed20 @@ -116102,6 +145128,8 @@ 1 1 0 +2 +3 5 0xdae5 0x69a78943 @@ -116110,6 +145138,8 @@ 0 0 0 +0 +3 10 0x1492 0xc91401f3 @@ -116118,6 +145148,8 @@ 1 1 0 +0 +3 3 0xaa07 0xa9d64f3 @@ -116126,6 +145158,8 @@ 1 1 0 +1 +0 9 0xc9ad 0x49756c34 @@ -116134,6 +145168,8 @@ 0 0 0 +0 +1 9 0xb3d8 0x469a4e1b @@ -116142,6 +145178,8 @@ 1 1 0 +5 +3 8 0x6f80 0x31f9f123 @@ -116150,6 +145188,8 @@ 1 0 0 +4 +0 10 0x9934 0xfb8ade43 @@ -116158,6 +145198,8 @@ 0 0 0 +5 +3 8 0xab0d 0x5684ff80 @@ -116166,6 +145208,8 @@ 1 1 0 +3 +4 2 0x6a5 0xf177af64 @@ -116174,6 +145218,8 @@ 0 0 0 +4 +5 3 0x2c9b 0x42a7b43e @@ -116182,6 +145228,8 @@ 1 0 0 +1 +3 7 0xafc2 0xf985a2fe @@ -116190,6 +145238,8 @@ 1 0 0 +5 +3 3 0x3859 0x33f6f24d @@ -116198,6 +145248,8 @@ 1 1 0 +5 +0 6 0x4cfa 0xd603dc12 @@ -116206,6 +145258,8 @@ 1 1 0 +1 +1 9 0x1799 0x2463215d @@ -116214,6 +145268,8 @@ 0 0 0 +0 +3 4 0xb2f 0x9001e66f @@ -116222,6 +145278,8 @@ 0 0 0 +5 +2 1 0x8704 0xec95578a @@ -116230,6 +145288,8 @@ 1 0 0 +4 +4 2 0x91c7 0xbd9bd320 @@ -116238,6 +145298,8 @@ 1 1 0 +5 +4 9 0xed5d 0x9b82f673 @@ -116246,6 +145308,8 @@ 0 0 0 +1 +1 7 0xa487 0xdb4b6df7 @@ -116254,6 +145318,8 @@ 1 1 0 +0 +5 10 0x610f 0xc796f4f7 @@ -116262,6 +145328,8 @@ 0 0 0 +0 +3 5 0xc284 0x901e4477 @@ -116270,6 +145338,8 @@ 1 0 0 +0 +0 6 0x27a8 0x63770f5b @@ -116278,6 +145348,8 @@ 0 0 0 +1 +1 10 0x7066 0x53121782 @@ -116286,6 +145358,8 @@ 0 0 0 +2 +0 6 0x2b07 0x260167b5 @@ -116295,6 +145369,8 @@ 0 0 3 +3 +3 0xa987 0xbfef79db 256 @@ -116302,6 +145378,8 @@ 1 0 0 +1 +5 6 0x4f59 0xb44ea4e2 @@ -116310,6 +145388,8 @@ 0 0 0 +3 +3 7 0x7804 0x6add83d @@ -116318,6 +145398,8 @@ 0 0 0 +5 +4 6 0x2b7 0x87317dc3 @@ -116326,6 +145408,8 @@ 1 1 0 +0 +2 1 0xa92a 0x6f8f9e55 @@ -116334,6 +145418,8 @@ 1 0 0 +0 +2 2 0x9293 0xcfe3773b @@ -116342,6 +145428,8 @@ 0 0 0 +0 +0 10 0x93b3 0x7e352955 @@ -116350,6 +145438,8 @@ 0 0 0 +1 +1 8 0xe9f9 0xb2be9b4 @@ -116358,6 +145448,8 @@ 0 0 0 +5 +3 7 0x9c48 0xb9d785f5 @@ -116366,6 +145458,8 @@ 1 0 0 +4 +0 7 0x5a73 0x1e57b543 @@ -116374,6 +145468,8 @@ 1 1 0 +1 +0 3 0x5814 0x819575a @@ -116382,6 +145478,8 @@ 0 0 0 +3 +3 6 0x7590 0xc3cb4004 @@ -116390,6 +145488,8 @@ 0 0 0 +2 +0 5 0x9e9 0x127b9737 @@ -116398,6 +145498,8 @@ 0 0 0 +5 +1 9 0x18c5 0x9c96fe92 @@ -116406,6 +145508,8 @@ 1 0 0 +3 +0 7 0x30c6 0x43089909 @@ -116414,6 +145518,8 @@ 0 0 0 +0 +3 7 0x4610 0x267f103a @@ -116422,6 +145528,8 @@ 1 1 0 +1 +1 8 0x8651 0x8b7c13a3 @@ -116430,6 +145538,8 @@ 1 0 0 +0 +2 7 0xb8ea 0xf2628e29 @@ -116438,6 +145548,8 @@ 0 0 0 +1 +1 9 0xba74 0x30a2b5ad @@ -116446,6 +145558,8 @@ 0 0 0 +1 +3 3 0xa1f8 0xcc568df @@ -116454,6 +145568,8 @@ 0 0 0 +0 +1 8 0xd71f 0xf01faa6e @@ -116462,6 +145578,8 @@ 0 0 0 +0 +5 7 0x948b 0x7d6ea316 @@ -116470,6 +145588,8 @@ 1 0 0 +5 +2 8 0x2318 0xe87aa541 @@ -116478,6 +145598,8 @@ 0 0 0 +1 +0 3 0xce0b 0x4f99586b @@ -116486,6 +145608,8 @@ 0 0 0 +0 +0 1 0x60a5 0xf7e8a2a2 @@ -116494,6 +145618,8 @@ 1 0 0 +4 +1 5 0x367a 0x4b9b8452 @@ -116502,6 +145628,8 @@ 1 1 0 +0 +0 9 0x1193 0x2a22b65f @@ -116510,6 +145638,8 @@ 1 1 0 +5 +5 6 0x5d8c 0xb57f225f @@ -116518,6 +145648,8 @@ 1 0 0 +1 +2 7 0x9920 0xdaa0d4ee @@ -116526,6 +145658,8 @@ 0 0 0 +0 +0 3 0xcfcd 0x6adee80a @@ -116534,6 +145668,8 @@ 0 0 0 +1 +0 8 0xfb3f 0x41a35fdc @@ -116542,6 +145678,8 @@ 0 0 0 +2 +4 8 0x5cdb 0x5be1458f @@ -116550,6 +145688,8 @@ 0 0 0 +0 +0 5 0xc7b0 0x8decbd28 @@ -116558,6 +145698,8 @@ 0 0 0 +3 +5 1 0x8171 0x753850c @@ -116566,6 +145708,8 @@ 0 0 0 +5 +0 2 0xee35 0xcc4f17d4 @@ -116574,6 +145718,8 @@ 1 1 0 +5 +3 9 0x7e2a 0xbb9974d4 @@ -116582,6 +145728,8 @@ 1 1 0 +3 +1 1 0x7f2d 0x94dc18f5 @@ -116590,6 +145738,8 @@ 0 0 0 +0 +3 4 0xf3d7 0xd409a38b @@ -116598,6 +145748,8 @@ 1 1 0 +2 +3 8 0xc7e8 0xf625faa9 @@ -116606,6 +145758,8 @@ 0 0 0 +3 +5 5 0x820d 0x1f652b9 @@ -116614,6 +145768,8 @@ 0 0 0 +0 +3 1 0x2691 0x8ecf0007 @@ -116622,6 +145778,8 @@ 0 0 0 +0 +4 7 0x15e5 0xe530cf3c @@ -116630,6 +145788,8 @@ 1 0 0 +1 +1 4 0x3a9f 0x8172c597 @@ -116638,6 +145798,8 @@ 0 0 0 +4 +5 5 0xce21 0xfa1b650f @@ -116646,6 +145808,8 @@ 1 1 0 +4 +3 3 0xaad5 0xeb6cbb03 @@ -116654,6 +145818,8 @@ 1 1 0 +4 +4 10 0x33f0 0x506171a @@ -116662,6 +145828,8 @@ 1 1 0 +2 +4 7 0x55d4 0x73d01cb9 @@ -116670,6 +145838,8 @@ 1 0 0 +4 +1 6 0xe31c 0xc4b146eb @@ -116678,6 +145848,8 @@ 0 0 0 +1 +2 7 0x8efb 0x403de282 @@ -116687,6 +145859,8 @@ 0 0 1 +1 +1 0x37ea 0xa9271513 256 @@ -116694,6 +145868,8 @@ 1 0 0 +0 +1 8 0xdee1 0x3e2630da @@ -116702,6 +145878,8 @@ 1 1 0 +2 +4 5 0x5ec2 0x590aa8b6 @@ -116710,6 +145888,8 @@ 0 0 0 +5 +4 1 0xfa59 0xb5ca938f @@ -116718,6 +145898,8 @@ 0 0 0 +0 +5 9 0xdd81 0xdc2f0402 @@ -116726,6 +145908,8 @@ 1 1 0 +1 +3 7 0xa397 0x2926dc1b @@ -116734,6 +145918,8 @@ 1 0 0 +4 +3 2 0x2ada 0x95114c6b @@ -116742,6 +145928,8 @@ 0 0 0 +5 +4 7 0x17a3 0x922601cf @@ -116750,6 +145938,8 @@ 0 0 0 +4 +4 3 0xce8e 0x2622a549 @@ -116758,6 +145948,8 @@ 0 0 0 +4 +3 9 0xc70b 0xe4e7b013 @@ -116766,6 +145958,8 @@ 0 0 0 +1 +2 2 0xcbfc 0x9f531372 @@ -116774,6 +145968,8 @@ 1 1 0 +1 +5 8 0xc6bf 0x470e9365 @@ -116783,6 +145979,8 @@ 0 0 4 +3 +4 0xdf29 0xccf58a0e 256 @@ -116790,6 +145988,8 @@ 1 0 0 +4 +0 8 0x3417 0x8cefdcc4 @@ -116798,6 +145998,8 @@ 1 1 0 +0 +4 6 0xed88 0x2490a6bd @@ -116806,6 +146008,8 @@ 1 0 0 +5 +2 7 0xc1ba 0x5617028 @@ -116814,6 +146018,8 @@ 1 1 0 +2 +2 10 0xea86 0x211c9ea2 @@ -116822,6 +146028,8 @@ 1 1 0 +4 +0 3 0xc019 0x264009ca @@ -116830,6 +146038,8 @@ 0 0 0 +0 +4 4 0xaff7 0x5d8e9497 @@ -116838,6 +146048,8 @@ 1 0 0 +4 +4 5 0xc95c 0x849c713e @@ -116846,6 +146058,8 @@ 1 1 0 +0 +1 6 0xabec 0x70b8fd9f @@ -116854,6 +146068,8 @@ 1 1 0 +5 +5 8 0xc68a 0x9194cb35 @@ -116862,6 +146078,8 @@ 0 0 0 +0 +5 1 0x254c 0xb3218c94 @@ -116870,6 +146088,8 @@ 1 0 0 +4 +5 2 0xb880 0x97006b33 @@ -116878,6 +146098,8 @@ 1 0 0 +1 +2 5 0xccf5 0x88d806a5 @@ -116886,6 +146108,8 @@ 0 0 0 +2 +5 7 0xd443 0x61736871 @@ -116894,6 +146118,8 @@ 0 0 0 +1 +3 2 0xb8ae 0xc2ad7b05 @@ -116902,6 +146128,8 @@ 1 1 0 +3 +3 2 0x76f9 0x6fa04394 @@ -116910,6 +146138,8 @@ 1 1 0 +5 +1 6 0x736a 0x9972359b @@ -116918,6 +146148,8 @@ 0 0 0 +3 +3 5 0x96f 0x7054d400 @@ -116926,6 +146158,8 @@ 1 0 0 +5 +2 8 0x825f 0x7a21842 @@ -116934,6 +146168,8 @@ 0 0 0 +4 +5 9 0xfe6f 0xeb064725 @@ -116942,6 +146178,8 @@ 1 0 0 +3 +4 1 0x2ba3 0x410e41af @@ -116950,6 +146188,8 @@ 1 1 0 +5 +3 6 0xe087 0x1de0144a @@ -116958,6 +146198,8 @@ 1 1 0 +3 +0 7 0x4ee5 0xca5965cb @@ -116966,6 +146208,8 @@ 1 1 0 +4 +4 7 0x1b7e 0x37f7a27e @@ -116975,6 +146219,8 @@ 0 0 4 +3 +4 0xcf64 0x322a31a6 256 @@ -116982,6 +146228,8 @@ 0 0 0 +2 +4 7 0xf272 0x92ec2e15 @@ -116990,6 +146238,8 @@ 0 0 0 +0 +5 6 0xb92 0x86239db4 @@ -116998,6 +146248,8 @@ 0 0 0 +5 +1 1 0xd509 0xe2d506d @@ -117006,6 +146258,8 @@ 1 0 0 +2 +5 4 0xbb97 0xf373c422 @@ -117014,6 +146268,8 @@ 0 0 0 +4 +2 2 0x7a6e 0x67ce0a75 @@ -117022,6 +146278,8 @@ 1 1 0 +3 +3 2 0xe7ef 0xfe3b27ef @@ -117030,6 +146288,8 @@ 1 0 0 +4 +3 2 0xa848 0x7d5c672f @@ -117038,6 +146298,8 @@ 1 1 0 +2 +2 10 0x1fba 0x44bf33ca @@ -117046,6 +146308,8 @@ 0 0 0 +5 +0 9 0x931 0xffc72837 @@ -117054,6 +146318,8 @@ 1 0 0 +5 +4 8 0x5399 0xa753ba89 @@ -117062,6 +146328,8 @@ 1 1 0 +1 +5 7 0x7216 0xd88dad6d @@ -117070,6 +146338,8 @@ 0 0 0 +5 +5 1 0xed70 0xb9c557f0 @@ -117078,6 +146348,8 @@ 0 0 0 +0 +4 10 0xdfb0 0xf5469e9c @@ -117086,6 +146358,8 @@ 1 1 0 +3 +0 9 0x4165 0x6ab3498 @@ -117094,6 +146368,8 @@ 0 0 0 +0 +3 8 0xc182 0xb0c0973b @@ -117102,6 +146378,8 @@ 0 0 0 +2 +2 7 0xbeed 0xfe5d9c2d @@ -117110,6 +146388,8 @@ 1 1 0 +5 +3 1 0x2b9 0x964bb5ee @@ -117118,6 +146398,8 @@ 1 1 0 +0 +4 10 0x205f 0xa6010391 @@ -117126,6 +146408,8 @@ 0 0 0 +5 +0 1 0xdd38 0xab0ba9ff @@ -117134,6 +146418,8 @@ 0 0 0 +5 +0 3 0xc51e 0x2bc33c78 @@ -117142,6 +146428,8 @@ 0 0 0 +3 +5 4 0x8485 0x17a3b656 @@ -117150,6 +146438,8 @@ 1 1 0 +0 +0 1 0xa99b 0x32dd7aba @@ -117158,6 +146448,8 @@ 0 0 0 +3 +3 7 0x666 0x77d477e2 @@ -117166,6 +146458,8 @@ 1 0 0 +5 +5 6 0x2818 0x794bdab2 @@ -117174,6 +146468,8 @@ 1 1 0 +1 +3 2 0xbcb7 0xd7cd2d46 @@ -117182,6 +146478,8 @@ 1 0 0 +5 +3 8 0x3595 0xd1c00772 @@ -117191,6 +146489,8 @@ 1 0 4 +3 +4 0x7410 0xe1490b9b 256 @@ -117199,6 +146499,8 @@ 0 0 5 +0 +5 0x2064 0xbfec942e 256 @@ -117206,6 +146508,8 @@ 1 0 0 +4 +1 7 0xe5a5 0xfa7f691b @@ -117214,6 +146518,8 @@ 1 1 0 +5 +5 6 0xb609 0x8dc0a4b5 @@ -117222,6 +146528,8 @@ 1 1 0 +4 +2 9 0xb562 0x4d2ddeb @@ -117231,6 +146539,8 @@ 0 0 2 +4 +2 0xa735 0x18a89269 256 @@ -117238,6 +146548,8 @@ 1 0 0 +0 +5 2 0xd91c 0xd1346ead @@ -117246,6 +146558,8 @@ 1 0 0 +0 +0 8 0x3673 0x6e5a84d8 @@ -117254,6 +146568,8 @@ 0 0 0 +5 +2 2 0xb389 0xe3504adb @@ -117262,6 +146578,8 @@ 1 1 0 +1 +0 3 0xb4b3 0xc1492768 @@ -117270,6 +146588,8 @@ 1 1 0 +4 +1 10 0x9e17 0xb980469f @@ -117278,6 +146598,8 @@ 0 0 0 +0 +1 7 0x6c39 0xd58cdc39 @@ -117286,6 +146608,8 @@ 1 0 0 +1 +2 2 0x320c 0xba7cf479 @@ -117294,6 +146618,8 @@ 0 0 0 +3 +0 9 0x6dbd 0xd4e9355f @@ -117302,6 +146628,8 @@ 0 0 0 +4 +3 5 0xdd59 0x2bacbde1 @@ -117310,6 +146638,8 @@ 1 1 0 +3 +3 8 0xccb6 0xd40e9af9 @@ -117318,6 +146648,8 @@ 0 0 0 +1 +3 3 0xfdda 0xdf9e1764 @@ -117326,6 +146658,8 @@ 1 1 0 +2 +0 5 0x25be 0xd06e8908 @@ -117335,6 +146669,8 @@ 0 0 2 +2 +2 0x6878 0x94928308 256 @@ -117342,6 +146678,8 @@ 0 0 0 +0 +4 2 0x5b54 0x27a62af1 @@ -117350,6 +146688,8 @@ 1 0 0 +4 +2 6 0x44a 0x17da501e @@ -117358,6 +146698,8 @@ 0 0 0 +5 +2 7 0xbe9f 0x7903581 @@ -117366,6 +146708,8 @@ 1 0 0 +1 +4 9 0x6dfd 0x15b880df @@ -117374,6 +146718,8 @@ 1 0 0 +2 +2 5 0x2d1 0xf3729e74 @@ -117382,6 +146728,8 @@ 1 1 0 +3 +0 7 0x6ab3 0xd9363c19 @@ -117390,6 +146738,8 @@ 1 0 0 +5 +2 8 0x44b9 0x56c0cb41 @@ -117398,6 +146748,8 @@ 1 1 0 +5 +3 2 0x9fd4 0xefe8236a @@ -117406,6 +146758,8 @@ 1 0 0 +1 +2 6 0x8cb5 0xec46892a @@ -117414,6 +146768,8 @@ 0 0 0 +1 +4 3 0x9021 0x59c49083 @@ -117422,6 +146778,8 @@ 0 0 0 +5 +0 6 0x1376 0x5970c06a @@ -117430,6 +146788,8 @@ 0 0 0 +0 +2 6 0x9586 0xf6a737e2 @@ -117438,6 +146798,8 @@ 0 0 0 +3 +1 7 0x42a3 0xca60f7d2 @@ -117447,6 +146809,8 @@ 0 0 1 +3 +1 0xd0ed 0x55375ef 256 @@ -117455,6 +146819,8 @@ 0 0 1 +2 +1 0x37cc 0xbc063c0f 256 @@ -117462,6 +146828,8 @@ 1 0 0 +2 +0 6 0x8998 0x12ea4405 @@ -117470,6 +146838,8 @@ 0 0 0 +1 +0 3 0xd509 0xf62eabce @@ -117478,6 +146848,8 @@ 1 1 0 +2 +3 10 0x7603 0xa2d9c477 @@ -117486,6 +146858,8 @@ 0 0 0 +3 +3 4 0x1f7d 0x34a13cb5 @@ -117494,6 +146868,8 @@ 0 0 0 +2 +5 6 0x2e5e 0x7b658f7d @@ -117502,6 +146878,8 @@ 0 0 0 +4 +4 9 0x78df 0x756c0fa9 @@ -117510,6 +146888,8 @@ 0 0 0 +1 +5 4 0xa35 0xe65c3b4d @@ -117518,6 +146898,8 @@ 0 0 0 +5 +4 10 0x6a9f 0x42644824 @@ -117526,6 +146908,8 @@ 0 0 0 +2 +2 9 0x8549 0x13e1d9c @@ -117534,6 +146918,8 @@ 0 0 0 +2 +5 9 0xeafe 0xabcdde53 @@ -117542,6 +146928,8 @@ 0 0 0 +4 +3 10 0x823d 0x6d24ceef @@ -117550,6 +146938,8 @@ 1 0 0 +0 +2 2 0x8b2e 0xaefe8a51 @@ -117558,6 +146948,8 @@ 0 0 0 +5 +5 2 0x8a23 0xd67887de @@ -117567,6 +146959,8 @@ 0 0 4 +4 +4 0xcb1f 0xadc55aff 256 @@ -117574,6 +146968,8 @@ 1 1 0 +3 +1 6 0x54f8 0x65a1fc41 @@ -117582,6 +146978,8 @@ 0 0 0 +5 +1 7 0x839f 0x795a36d1 @@ -117590,6 +146988,8 @@ 1 0 0 +1 +5 7 0x4c0 0x38f09995 @@ -117598,6 +146998,8 @@ 1 0 0 +4 +3 3 0x9914 0x844d4ffe @@ -117607,6 +147009,8 @@ 0 0 3 +4 +3 0x9fd7 0x1d852fa9 256 @@ -117614,6 +147018,8 @@ 0 0 0 +4 +0 1 0x85f6 0x6bf05677 @@ -117622,6 +147028,8 @@ 0 0 0 +5 +5 9 0xbf6 0x39daf455 @@ -117630,6 +147038,8 @@ 0 0 0 +2 +5 9 0x5e23 0x1674c14b @@ -117638,6 +147048,8 @@ 1 1 0 +2 +1 6 0x1cfb 0x931450b @@ -117646,6 +147058,8 @@ 1 0 0 +3 +4 6 0xcae6 0x7641ea30 @@ -117654,6 +147068,8 @@ 1 0 0 +4 +2 8 0x45cb 0x94fc96c @@ -117662,6 +147078,8 @@ 1 1 0 +5 +0 1 0x25f4 0x5bbca6e2 @@ -117670,6 +147088,8 @@ 0 0 0 +0 +5 4 0x3677 0xea6e8d91 @@ -117678,6 +147098,8 @@ 1 0 0 +5 +1 10 0xb51a 0xac5f583 @@ -117686,6 +147108,8 @@ 1 0 0 +3 +2 2 0x14b4 0xc0894790 @@ -117694,6 +147118,8 @@ 1 1 0 +2 +0 6 0xc9ef 0x269251d7 @@ -117702,6 +147128,8 @@ 0 0 0 +0 +5 5 0xbcb3 0xeeb46b22 @@ -117710,6 +147138,8 @@ 0 0 0 +5 +2 9 0x1aa7 0x588fa4c0 @@ -117718,6 +147148,8 @@ 1 0 0 +5 +3 2 0xf655 0x6990e42f @@ -117726,6 +147158,8 @@ 0 0 0 +3 +5 1 0x881a 0xf2f8e1 @@ -117734,6 +147168,8 @@ 1 1 0 +0 +4 10 0xe06e 0x29e6aae8 @@ -117742,6 +147178,8 @@ 0 0 0 +0 +5 4 0xc2a7 0x8e527aff @@ -117750,6 +147188,8 @@ 0 0 0 +4 +3 10 0x4b53 0xb8e64c18 @@ -117758,6 +147198,8 @@ 0 0 0 +4 +4 1 0xbf43 0xb93748a9 @@ -117767,6 +147209,8 @@ 0 0 3 +3 +3 0x2284 0xda9bfddf 256 @@ -117774,6 +147218,8 @@ 0 0 0 +1 +2 4 0x6d96 0x2e6a9b7d @@ -117782,6 +147228,8 @@ 1 1 0 +0 +0 1 0xe308 0xa7dca42e @@ -117790,6 +147238,8 @@ 0 0 0 +5 +1 7 0x1af4 0x2460d067 @@ -117798,6 +147248,8 @@ 1 1 0 +3 +1 1 0x7493 0x4357d809 @@ -117806,6 +147258,8 @@ 1 0 0 +0 +0 8 0xd6bc 0x7f221f04 @@ -117814,6 +147268,8 @@ 0 0 0 +5 +2 4 0xede1 0x63d8fce @@ -117822,6 +147278,8 @@ 0 0 0 +3 +5 7 0xbb4e 0x3ffd0ed1 @@ -117830,6 +147288,8 @@ 0 0 0 +5 +1 2 0x24cb 0x4d02dc1c @@ -117838,6 +147298,8 @@ 1 1 0 +3 +4 7 0xaaa7 0x202d5fe6 @@ -117846,6 +147308,8 @@ 0 0 0 +5 +5 4 0xfd73 0x3a888c9c @@ -117854,6 +147318,8 @@ 1 1 0 +1 +5 10 0xe478 0x1865c61b @@ -117862,6 +147328,8 @@ 0 0 0 +0 +5 4 0x958d 0xbd743497 @@ -117870,6 +147338,8 @@ 0 0 0 +3 +0 2 0x8d8e 0x21ed8906 @@ -117878,6 +147348,8 @@ 0 0 0 +5 +2 7 0xfa5d 0x65154b42 @@ -117886,6 +147358,8 @@ 0 0 0 +2 +3 7 0x2abe 0xf209d1d6 @@ -117894,6 +147368,8 @@ 1 1 0 +0 +2 9 0x4bd5 0x50da8f3a @@ -117902,6 +147378,8 @@ 0 0 0 +3 +5 6 0x2be3 0x6a44d04f @@ -117910,6 +147388,8 @@ 0 0 0 +0 +4 5 0x17e7 0xa8af9e84 @@ -117918,6 +147398,8 @@ 1 1 0 +1 +3 9 0xd0f1 0xda089edb @@ -117926,6 +147408,8 @@ 1 1 0 +4 +2 9 0x85ed 0x2f05cc6e @@ -117934,6 +147418,8 @@ 0 0 0 +2 +2 4 0x4ba7 0x7c3d6c6a @@ -117942,6 +147428,8 @@ 1 0 0 +5 +0 9 0x222d 0x6aaab48d @@ -117950,6 +147438,8 @@ 1 0 0 +1 +2 10 0xe767 0x8eceadbe @@ -117958,6 +147448,8 @@ 0 0 0 +0 +3 7 0x17af 0xea1e0ef8 @@ -117966,6 +147458,8 @@ 0 0 0 +5 +2 2 0x52de 0x1e0fd1b4 @@ -117974,6 +147468,8 @@ 0 0 0 +4 +1 7 0x8245 0x85d70931 @@ -117982,6 +147478,8 @@ 1 1 0 +3 +5 2 0xd452 0xc1369b93 @@ -117990,6 +147488,8 @@ 1 0 0 +3 +4 10 0x3c25 0x3befc8d7 @@ -117998,6 +147498,8 @@ 1 1 0 +2 +5 3 0xe2ab 0xf4907b89 @@ -118006,6 +147508,8 @@ 0 0 0 +2 +3 5 0xc00 0xe87fd526 @@ -118014,6 +147518,8 @@ 1 0 0 +5 +4 4 0x8304 0xfbcb3944 @@ -118022,6 +147528,8 @@ 1 1 0 +1 +3 4 0xb1df 0x7648016e @@ -118030,6 +147538,8 @@ 1 0 0 +5 +1 8 0x6486 0x65ee4265 @@ -118038,6 +147548,8 @@ 0 0 0 +3 +4 1 0xc073 0xd8665c24 @@ -118046,6 +147558,8 @@ 0 0 0 +4 +0 5 0x57e0 0x2fc9f9f1 @@ -118054,6 +147568,8 @@ 0 0 0 +3 +5 1 0xcf59 0x53f88a99 @@ -118062,6 +147578,8 @@ 0 0 0 +5 +3 9 0x30c6 0xdfe2e5b2 @@ -118070,6 +147588,8 @@ 1 0 0 +3 +1 1 0xf29a 0xe4b073f0 @@ -118078,6 +147598,8 @@ 1 0 0 +4 +0 2 0x7e 0xaa8d7dd4 @@ -118086,6 +147608,8 @@ 1 1 0 +0 +3 2 0x50eb 0x282d1b76 @@ -118094,6 +147618,8 @@ 0 0 0 +3 +0 4 0x1bbc 0xdfb3bf4a @@ -118102,6 +147628,8 @@ 0 0 0 +0 +0 8 0xbc7d 0x1916fcdc @@ -118110,6 +147638,8 @@ 0 0 0 +1 +3 4 0x4bf6 0xaa16264a @@ -118118,6 +147648,8 @@ 0 0 0 +5 +5 7 0x8ad4 0xabac29e6 @@ -118126,6 +147658,8 @@ 1 1 0 +2 +3 3 0x1d00 0x38aa7646 @@ -118134,6 +147668,8 @@ 0 0 0 +2 +0 8 0x1e45 0xb2fe1cb @@ -118142,6 +147678,8 @@ 0 0 0 +5 +4 7 0xd1c7 0xe10ac67a @@ -118150,6 +147688,8 @@ 0 0 0 +5 +4 7 0x61a 0x3588c38b @@ -118158,6 +147698,8 @@ 0 0 0 +3 +3 4 0xc414 0x718368b6 @@ -118166,6 +147708,8 @@ 1 0 0 +2 +2 5 0xcb6d 0xc02adea3 @@ -118174,6 +147718,8 @@ 0 0 0 +4 +3 6 0xa6ff 0x6f08d2df @@ -118182,6 +147728,8 @@ 1 0 0 +4 +1 10 0x806d 0x551a6e39 @@ -118190,6 +147738,8 @@ 1 1 0 +5 +4 6 0x6a9a 0xff92a7ee @@ -118198,6 +147748,8 @@ 0 0 0 +3 +5 9 0xc98 0xb670f80c @@ -118206,6 +147758,8 @@ 0 0 0 +2 +2 5 0x4804 0x5c70b4d7 @@ -118215,6 +147769,8 @@ 0 0 5 +0 +5 0x4ab2 0x4f211b71 256 @@ -118222,6 +147778,8 @@ 1 1 0 +4 +2 2 0x9a0a 0xe91b93ec @@ -118230,6 +147788,8 @@ 1 0 0 +5 +0 2 0xd965 0xb75b0f2e @@ -118238,6 +147798,8 @@ 0 0 0 +1 +3 6 0xd1f8 0xf82bebde @@ -118246,6 +147808,8 @@ 0 0 0 +3 +3 4 0x14f8 0x5f5c45d @@ -118254,6 +147818,8 @@ 1 0 0 +0 +2 3 0xb99d 0xe337fcd @@ -118262,6 +147828,8 @@ 0 0 0 +3 +1 10 0x1829 0xad2db3af @@ -118271,6 +147839,8 @@ 0 0 2 +5 +2 0x8408 0xa297e233 256 @@ -118278,6 +147848,8 @@ 0 0 0 +0 +4 6 0x1516 0xef2b5559 @@ -118286,6 +147858,8 @@ 0 0 0 +5 +3 1 0xef3b 0x4a9a737f @@ -118294,6 +147868,8 @@ 1 0 0 +1 +1 5 0x4335 0xb57de2da @@ -118302,6 +147878,8 @@ 1 0 0 +0 +1 9 0xc394 0x42e0012b @@ -118311,6 +147889,8 @@ 0 0 4 +0 +4 0x7993 0xd386df28 256 @@ -118318,6 +147898,8 @@ 0 0 0 +2 +0 5 0x8e62 0x12d980cc @@ -118327,6 +147909,8 @@ 0 0 1 +0 +1 0x379c 0x3dc26eae 256 @@ -118334,6 +147918,8 @@ 0 0 0 +5 +4 8 0xee2e 0xaae356b0 @@ -118342,6 +147928,8 @@ 1 0 0 +4 +1 3 0x2af7 0xaa8aba9a @@ -118350,6 +147938,8 @@ 1 1 0 +4 +4 8 0xe099 0xcb49df63 @@ -118359,6 +147949,8 @@ 1 0 2 +5 +2 0x6a3a 0xf6acc57 256 @@ -118366,6 +147958,8 @@ 1 0 0 +0 +3 4 0x162b 0x12425e43 @@ -118374,6 +147968,8 @@ 0 0 0 +5 +4 4 0x4f32 0xe015f9b8 @@ -118382,6 +147978,8 @@ 1 1 0 +4 +1 5 0xe3c0 0xdc28e55d @@ -118390,6 +147988,8 @@ 0 0 0 +4 +5 6 0xb282 0x5030fb0a @@ -118398,6 +147998,8 @@ 0 0 0 +4 +3 1 0x4c01 0xa7990e30 @@ -118406,6 +148008,8 @@ 0 0 0 +3 +5 8 0xabc8 0x7dc826ed @@ -118414,6 +148018,8 @@ 1 0 0 +0 +1 1 0xb8a8 0x75cd1eeb @@ -118422,6 +148028,8 @@ 1 0 0 +2 +4 9 0xea17 0xb98ee656 @@ -118431,6 +148039,8 @@ 0 0 4 +2 +4 0xb449 0x5230080f 256 @@ -118438,6 +148048,8 @@ 0 0 0 +1 +1 4 0xdf6d 0x19308f8f @@ -118446,6 +148058,8 @@ 1 0 0 +5 +1 3 0xe13c 0x48d57b15 @@ -118454,6 +148068,8 @@ 0 0 0 +2 +4 7 0x2d16 0x3e09f84c @@ -118463,6 +148079,8 @@ 1 0 5 +4 +5 0xc0dc 0xc01eee32 256 @@ -118470,6 +148088,8 @@ 1 1 0 +5 +1 7 0x9a1b 0xba2b69f2 @@ -118478,6 +148098,8 @@ 1 0 0 +2 +3 7 0x3908 0xe0703a8f @@ -118486,6 +148108,8 @@ 1 1 0 +4 +5 5 0xfcdf 0x7ff425e9 @@ -118494,6 +148118,8 @@ 1 0 0 +3 +3 10 0xb80c 0xeea1940d @@ -118502,6 +148128,8 @@ 1 0 0 +2 +1 4 0xfe59 0x644fd426 @@ -118510,6 +148138,8 @@ 1 1 0 +1 +1 3 0x11ef 0x38b2f5ea @@ -118518,6 +148148,8 @@ 1 0 0 +2 +0 1 0x31e9 0x95fbcec6 @@ -118526,6 +148158,8 @@ 0 0 0 +0 +4 1 0x5d21 0x53e0bdde @@ -118534,6 +148168,8 @@ 1 1 0 +1 +4 6 0xcc82 0xdcb76bd4 @@ -118542,6 +148178,8 @@ 1 1 0 +1 +3 9 0x7a09 0xcec9cc1d @@ -118550,6 +148188,8 @@ 0 0 0 +5 +0 6 0x15a 0xd591f922 @@ -118558,6 +148198,8 @@ 0 0 0 +1 +3 10 0x1ff3 0x736439d8 @@ -118566,6 +148208,8 @@ 0 0 0 +3 +3 1 0xb95f 0x7eda8ba @@ -118574,6 +148218,8 @@ 0 0 0 +1 +0 10 0x147 0x8309f2c2 @@ -118582,6 +148228,8 @@ 1 0 0 +0 +4 4 0x77f2 0x908cc5ef @@ -118590,6 +148238,8 @@ 0 0 0 +1 +5 3 0xe235 0x4327b58a @@ -118598,6 +148248,8 @@ 1 0 0 +3 +3 10 0xa6ec 0xbf6beaeb @@ -118606,6 +148258,8 @@ 1 1 0 +1 +4 3 0x553 0x80fca4d8 @@ -118614,6 +148268,8 @@ 0 0 0 +3 +0 2 0x3aa3 0x5c7fb264 @@ -118622,6 +148278,8 @@ 0 0 0 +3 +3 2 0x5711 0x8389b7bd @@ -118630,6 +148288,8 @@ 0 0 0 +4 +4 7 0x6a09 0xad4b8dbb @@ -118638,6 +148298,8 @@ 1 0 0 +2 +5 7 0xf2f8 0x695040f8 @@ -118646,6 +148308,8 @@ 1 1 0 +0 +4 8 0xdeb5 0x4d4b7675 @@ -118654,6 +148318,8 @@ 0 0 0 +5 +5 8 0x6bb5 0x57482ca8 @@ -118662,6 +148328,8 @@ 0 0 0 +2 +1 4 0x8894 0xa537cbb7 @@ -118670,6 +148338,8 @@ 1 0 0 +3 +4 9 0x1366 0x61d24dfa @@ -118678,6 +148348,8 @@ 0 0 0 +2 +1 5 0x4f8d 0x7f3c4aa3 @@ -118686,6 +148358,8 @@ 1 1 0 +0 +1 3 0x28f5 0x7a1e81f @@ -118694,6 +148368,8 @@ 1 0 0 +5 +3 7 0x14e5 0xb28b2338 @@ -118702,6 +148378,8 @@ 0 0 0 +0 +5 2 0x8773 0xcad3c3ed @@ -118710,6 +148388,8 @@ 1 0 0 +2 +3 6 0x1d88 0xdd2c872a @@ -118718,6 +148398,8 @@ 1 0 0 +3 +1 2 0xe7f6 0x91679e36 @@ -118726,6 +148408,8 @@ 1 0 0 +3 +5 1 0xa06f 0x888dd35d @@ -118734,6 +148418,8 @@ 1 1 0 +2 +4 10 0x8532 0x8457bb39 @@ -118742,6 +148428,8 @@ 0 0 0 +0 +3 6 0x8c09 0xe33bccf1 @@ -118750,6 +148438,8 @@ 0 0 0 +4 +2 2 0xbce2 0xd5fb2c1c @@ -118758,6 +148448,8 @@ 0 0 0 +0 +1 2 0xeb80 0xe8f22f4 @@ -118767,6 +148459,8 @@ 0 0 2 +3 +2 0xefe9 0x429e1f9c 256 @@ -118774,6 +148468,8 @@ 1 0 0 +2 +3 9 0xde6e 0x23f20f4d @@ -118783,6 +148479,8 @@ 0 0 5 +4 +5 0xc540 0x73c1f7b9 256 @@ -118790,6 +148488,8 @@ 1 1 0 +4 +1 10 0xa499 0x8a0be381 @@ -118798,6 +148498,8 @@ 0 0 0 +4 +2 2 0xcd11 0xfe508591 @@ -118806,6 +148508,8 @@ 0 0 0 +2 +3 1 0x16a3 0xd321d442 @@ -118815,6 +148519,8 @@ 0 0 3 +2 +3 0xdc97 0x85b0a78e 256 @@ -118822,6 +148528,8 @@ 0 0 0 +1 +5 6 0x8407 0x19e19f75 @@ -118830,6 +148538,8 @@ 0 0 0 +5 +5 9 0x298f 0x51b9b85 @@ -118838,6 +148548,8 @@ 0 0 0 +3 +0 2 0x5103 0x9e683e42 @@ -118846,6 +148558,8 @@ 1 0 0 +4 +4 8 0xe2a9 0x8b5ba8ce @@ -118854,6 +148568,8 @@ 1 0 0 +5 +4 7 0x7068 0xff04d0bf @@ -118862,6 +148578,8 @@ 1 1 0 +2 +0 1 0x3a98 0xcf6b96a3 @@ -118870,6 +148588,8 @@ 0 0 0 +2 +2 3 0xe00 0x8d1e6ff5 @@ -118878,6 +148598,8 @@ 0 0 0 +3 +4 6 0x6849 0xa77ac0bc @@ -118886,6 +148608,8 @@ 1 1 0 +0 +5 4 0x2f0 0xc05358cc @@ -118894,6 +148618,8 @@ 0 0 0 +1 +0 4 0x8967 0x6d2ca6be @@ -118902,6 +148628,8 @@ 0 0 0 +2 +1 3 0xe739 0x9c33ef69 @@ -118910,6 +148638,8 @@ 0 0 0 +5 +3 3 0x460b 0x4d89544f @@ -118918,6 +148648,8 @@ 0 0 0 +1 +0 10 0x118b 0x67615761 @@ -118926,6 +148658,8 @@ 0 0 0 +4 +3 8 0x5565 0xc02968a9 @@ -118934,6 +148668,8 @@ 1 0 0 +5 +2 7 0xffcd 0xf2eaf27 @@ -118942,6 +148678,8 @@ 0 0 0 +2 +5 4 0xa63 0xf2fb822d @@ -118950,6 +148688,8 @@ 1 0 0 +2 +5 9 0x5950 0x2aaa39e9 @@ -118958,6 +148698,8 @@ 0 0 0 +4 +3 7 0xc3ec 0xcdd80b50 @@ -118967,6 +148709,8 @@ 1 0 4 +4 +4 0x1876 0xf9156972 256 @@ -118974,6 +148718,8 @@ 0 0 0 +4 +0 7 0x3497 0xce831d71 @@ -118982,6 +148728,8 @@ 1 1 0 +2 +4 6 0xfe8c 0xb3d8de50 @@ -118990,6 +148738,8 @@ 1 0 0 +1 +2 9 0x7d8d 0x6d905685 @@ -118998,6 +148748,8 @@ 0 0 0 +3 +0 7 0xbb57 0x897ced2c @@ -119006,6 +148758,8 @@ 0 0 0 +2 +3 5 0xc4e9 0xde7212e4 @@ -119014,6 +148768,8 @@ 1 0 0 +2 +4 10 0xbd26 0x7bd6159f @@ -119022,6 +148778,8 @@ 0 0 0 +3 +0 6 0xd100 0x922b3089 @@ -119030,6 +148788,8 @@ 0 0 0 +2 +1 6 0xc3a5 0x3e200a23 @@ -119038,6 +148798,8 @@ 1 0 0 +0 +1 2 0x9658 0x74ff5de3 @@ -119046,6 +148808,8 @@ 1 1 0 +5 +4 7 0x3385 0x34bfb94c @@ -119054,6 +148818,8 @@ 1 0 0 +3 +1 5 0x4067 0x2d95cce6 @@ -119062,6 +148828,8 @@ 1 0 0 +5 +2 4 0x7c6b 0xf83020d @@ -119070,6 +148838,8 @@ 1 0 0 +4 +5 1 0x79c1 0xece07b17 @@ -119078,6 +148848,8 @@ 0 0 0 +2 +3 8 0xd76 0x8fda6ef4 @@ -119086,6 +148858,8 @@ 1 1 0 +1 +5 3 0x21a7 0x9bd1d890 @@ -119094,6 +148868,8 @@ 0 0 0 +1 +3 10 0xc546 0x763a692a @@ -119102,6 +148878,8 @@ 0 0 0 +2 +0 5 0xe8f7 0xaf66a73c @@ -119110,6 +148888,8 @@ 0 0 0 +5 +3 2 0xd734 0x59ab27d4 @@ -119118,6 +148898,8 @@ 1 0 0 +0 +3 3 0x2177 0xb1590a0d @@ -119126,6 +148908,8 @@ 1 1 0 +0 +5 9 0xb21f 0x5f703f4c @@ -119134,6 +148918,8 @@ 1 1 0 +0 +1 4 0x7d4d 0x35068c27 @@ -119142,6 +148928,8 @@ 0 0 0 +1 +5 4 0x578a 0x25e2f026 @@ -119150,6 +148938,8 @@ 1 1 0 +3 +0 6 0xf0e3 0xb6619731 @@ -119158,6 +148948,8 @@ 1 1 0 +5 +0 6 0x3040 0x322d493e @@ -119166,6 +148958,8 @@ 0 0 0 +4 +0 1 0x7f22 0x2b836d4c @@ -119174,6 +148968,8 @@ 1 1 0 +0 +4 4 0x904b 0xa9c82d1d @@ -119182,6 +148978,8 @@ 1 1 0 +5 +1 9 0x43a3 0xb9e189fd @@ -119190,6 +148988,8 @@ 0 0 0 +0 +0 5 0x7cd 0x9e02cbd7 @@ -119198,6 +148998,8 @@ 0 0 0 +5 +4 8 0xadb4 0xd170b939 @@ -119206,6 +149008,8 @@ 1 1 0 +0 +2 8 0x4dd 0xa48f6468 @@ -119215,6 +149019,8 @@ 0 0 1 +3 +1 0x83a3 0x57136388 256 @@ -119222,6 +149028,8 @@ 1 0 0 +5 +1 1 0xe8c5 0x698094c @@ -119230,6 +149038,8 @@ 0 0 0 +2 +4 4 0x482a 0xb8f40821 @@ -119238,6 +149048,8 @@ 0 0 0 +1 +3 8 0x609 0x21dd46e9 @@ -119246,6 +149058,8 @@ 0 0 0 +0 +0 8 0xd8eb 0x227f9a8d @@ -119254,6 +149068,8 @@ 0 0 0 +3 +0 10 0xe46f 0xadf6582 @@ -119262,6 +149078,8 @@ 1 1 0 +2 +3 5 0x90e2 0x7a31186f @@ -119270,6 +149088,8 @@ 0 0 0 +5 +0 7 0x9321 0x594bb59d @@ -119278,6 +149098,8 @@ 0 0 0 +4 +3 1 0xa35d 0xe35c9305 @@ -119287,6 +149109,8 @@ 0 0 5 +3 +5 0xede4 0x8398eac5 256 @@ -119294,6 +149118,8 @@ 1 0 0 +5 +0 3 0x93cd 0xe72626e7 @@ -119303,6 +149129,8 @@ 0 0 2 +1 +2 0xecfc 0xbf680b9c 256 @@ -119310,6 +149138,8 @@ 0 0 0 +4 +2 1 0xdcc8 0xe311563e @@ -119318,6 +149148,8 @@ 1 0 0 +2 +0 5 0x1b7f 0xf96e1831 @@ -119326,6 +149158,8 @@ 0 0 0 +5 +1 8 0xbe7b 0xd6747db @@ -119334,6 +149168,8 @@ 1 1 0 +2 +3 1 0xf929 0x9de5a7df @@ -119342,6 +149178,8 @@ 0 0 0 +3 +3 9 0x8bfc 0xa798f94a @@ -119350,6 +149188,8 @@ 0 0 0 +0 +2 10 0x4b72 0x6c26f8fe @@ -119358,6 +149198,8 @@ 0 0 0 +4 +0 3 0xb76d 0x21bdcdc3 @@ -119366,6 +149208,8 @@ 0 0 0 +3 +0 9 0x156e 0x59cd0522 @@ -119374,6 +149218,8 @@ 0 0 0 +4 +2 5 0xf707 0xb72dfe4a @@ -119382,6 +149228,8 @@ 0 0 0 +1 +5 2 0x3f4 0x7502bfcb @@ -119390,6 +149238,8 @@ 0 0 0 +4 +2 2 0xfe03 0x8beffcad @@ -119398,6 +149248,8 @@ 0 0 0 +2 +4 1 0xee36 0x2b43a6ac @@ -119407,6 +149259,8 @@ 1 0 4 +0 +4 0xc13e 0x8bd3f377 256 @@ -119414,6 +149268,8 @@ 0 0 0 +5 +2 9 0x44c 0xb25ad386 @@ -119423,6 +149279,8 @@ 0 0 2 +2 +2 0xd05c 0xcd413b49 256 @@ -119431,6 +149289,8 @@ 0 0 3 +2 +3 0x67ba 0x15819618 256 @@ -119438,6 +149298,8 @@ 1 1 0 +1 +1 8 0x6987 0xacce9eec @@ -119446,6 +149308,8 @@ 0 0 0 +1 +0 6 0x8a35 0x4d634d39 @@ -119454,6 +149318,8 @@ 0 0 0 +1 +0 6 0xb0d 0x74272086 @@ -119462,6 +149328,8 @@ 0 0 0 +0 +3 5 0x270e 0xdd23772c @@ -119470,6 +149338,8 @@ 1 0 0 +4 +5 9 0x257e 0xf3a42653 @@ -119478,6 +149348,8 @@ 0 0 0 +3 +4 7 0x482c 0x5a4cb2a3 @@ -119486,6 +149358,8 @@ 1 1 0 +3 +1 7 0xe111 0xa5a4d742 @@ -119494,6 +149368,8 @@ 1 1 0 +4 +5 6 0x7b10 0x5c92d578 @@ -119502,6 +149378,8 @@ 0 0 0 +1 +2 4 0x6483 0xe37125a9 @@ -119511,6 +149389,8 @@ 0 0 4 +2 +4 0xbbb2 0xa5210bf0 256 @@ -119518,6 +149398,8 @@ 0 0 0 +5 +0 3 0x242e 0xdd22589 @@ -119526,6 +149408,8 @@ 0 0 0 +1 +4 3 0xdb1b 0xebb8b207 @@ -119534,6 +149418,8 @@ 1 1 0 +2 +1 5 0x2a0d 0x9986f742 @@ -119542,6 +149428,8 @@ 1 0 0 +3 +0 6 0xbd81 0xb1b5b498 @@ -119550,6 +149438,8 @@ 0 0 0 +5 +2 7 0x5d 0x98732bf6 @@ -119559,6 +149449,8 @@ 0 0 2 +1 +2 0x9a66 0x5b6f17ae 256 @@ -119566,6 +149458,8 @@ 1 1 0 +2 +3 9 0x131e 0x29e1eb4d @@ -119574,6 +149468,8 @@ 0 0 0 +1 +3 4 0x4708 0x1aea4298 @@ -119583,6 +149479,8 @@ 0 0 4 +3 +4 0x9811 0x5c085ba7 256 @@ -119590,6 +149488,8 @@ 1 0 0 +2 +1 10 0xdc72 0x806c5fdb @@ -119598,6 +149498,8 @@ 0 0 0 +3 +4 1 0xbaa9 0x3e25b009 @@ -119606,6 +149508,8 @@ 0 0 0 +2 +1 6 0x8815 0xc61f0ff8 @@ -119614,6 +149518,8 @@ 0 0 0 +1 +0 6 0x4d6b 0xb853b04d @@ -119622,6 +149528,8 @@ 1 0 0 +2 +3 1 0x4f4f 0x43da823f @@ -119630,6 +149538,8 @@ 1 0 0 +2 +3 3 0x196d 0xc62db355 @@ -119638,6 +149548,8 @@ 1 1 0 +0 +4 1 0xed77 0x67f5dafe @@ -119646,6 +149558,8 @@ 0 0 0 +0 +2 7 0xe422 0x5a94a474 @@ -119654,6 +149568,8 @@ 1 0 0 +4 +1 3 0xbb9 0x6aa73d92 @@ -119662,6 +149578,8 @@ 1 0 0 +3 +1 1 0x2a4e 0x4ceaa241 @@ -119670,6 +149588,8 @@ 1 1 0 +5 +4 6 0x9491 0xeba76074 @@ -119678,6 +149598,8 @@ 1 0 0 +4 +4 3 0x1e83 0x790dd891 @@ -119686,6 +149608,8 @@ 1 0 0 +4 +0 8 0x1c60 0x1b4d25ae @@ -119694,6 +149618,8 @@ 1 1 0 +2 +5 10 0x10a 0xfec9e333 @@ -119702,6 +149628,8 @@ 1 0 0 +3 +2 8 0xd940 0xfbf0f708 @@ -119710,6 +149638,8 @@ 1 0 0 +5 +3 4 0x7db5 0x85ab1364 @@ -119718,6 +149648,8 @@ 0 0 0 +2 +0 8 0xedc5 0x5ad7741b @@ -119726,6 +149658,8 @@ 0 0 0 +2 +1 7 0x5f3c 0x72124431 @@ -119734,6 +149668,8 @@ 1 1 0 +0 +4 8 0x9c03 0x73a04cf0 @@ -119742,6 +149678,8 @@ 1 1 0 +2 +0 1 0xb1f 0xa2b468f6 @@ -119750,6 +149688,8 @@ 1 0 0 +2 +5 4 0x1eac 0x7c720844 @@ -119758,6 +149698,8 @@ 1 1 0 +0 +4 1 0x8ea9 0x4e5ae834 @@ -119766,6 +149708,8 @@ 1 1 0 +0 +0 5 0xcf8b 0x207c704b @@ -119774,6 +149718,8 @@ 0 0 0 +4 +5 10 0x5540 0x8702c8d0 @@ -119782,6 +149728,8 @@ 1 0 0 +5 +1 2 0x4cda 0x695050fc @@ -119790,6 +149738,8 @@ 1 0 0 +5 +1 3 0xe6f8 0x79a9ee4a @@ -119798,6 +149748,8 @@ 0 0 0 +1 +2 10 0xe87e 0xe7ba2644 @@ -119806,6 +149758,8 @@ 1 0 0 +1 +1 5 0x639c 0x88e20afa @@ -119814,6 +149768,8 @@ 0 0 0 +4 +1 2 0x3ebb 0xf010d787 @@ -119822,6 +149778,8 @@ 1 0 0 +5 +5 7 0xb4ee 0xf1f806ff @@ -119830,6 +149788,8 @@ 1 1 0 +4 +4 1 0xb329 0x6912589 @@ -119838,6 +149798,8 @@ 0 0 0 +4 +5 10 0xb668 0x2d95a74c @@ -119846,6 +149808,8 @@ 1 0 0 +5 +3 9 0x8b29 0x113e978b @@ -119854,6 +149818,8 @@ 1 0 0 +1 +2 8 0x6724 0x11228829 @@ -119862,6 +149828,8 @@ 1 1 0 +5 +4 9 0x53a 0xa704c4bb @@ -119870,6 +149838,8 @@ 0 0 0 +4 +2 9 0x2bc5 0x9608972a @@ -119878,6 +149848,8 @@ 0 0 0 +0 +4 3 0xf5b6 0xfb7ca9f7 @@ -119887,6 +149859,8 @@ 0 0 3 +0 +3 0x4f08 0x7ab8ea34 256 @@ -119894,6 +149868,8 @@ 1 0 0 +1 +0 9 0xb8b 0x9f5cae96 @@ -119902,6 +149878,8 @@ 0 0 0 +1 +5 8 0x1f35 0xf18156ea @@ -119910,6 +149888,8 @@ 0 0 0 +2 +5 5 0x5c5e 0x684420a0 @@ -119918,6 +149898,8 @@ 0 0 0 +5 +5 8 0x76c2 0x7eae1b3c @@ -119927,6 +149909,8 @@ 0 0 4 +0 +4 0xf2a6 0x558e8f16 256 @@ -119934,6 +149918,8 @@ 1 0 0 +3 +0 2 0xf980 0x8901b5cf @@ -119942,6 +149928,8 @@ 0 0 0 +1 +5 5 0xbc8c 0x7d04a335 @@ -119950,6 +149938,8 @@ 0 0 0 +1 +4 4 0x25ca 0x596d54c5 @@ -119958,6 +149948,8 @@ 0 0 0 +5 +3 3 0x6c1a 0xc5c9c3bf @@ -119966,6 +149958,8 @@ 1 1 0 +2 +3 4 0x5595 0xfbf99e43 @@ -119974,6 +149968,8 @@ 0 0 0 +4 +4 5 0x26c1 0x9349315e @@ -119983,6 +149979,8 @@ 0 0 3 +3 +3 0xf7b3 0x6c4d12c7 256 @@ -119990,6 +149988,8 @@ 0 0 0 +4 +4 5 0xbd31 0x4abd0f62 @@ -119998,6 +149998,8 @@ 0 0 0 +0 +4 6 0x860d 0x7217cf58 @@ -120006,6 +150008,8 @@ 0 0 0 +4 +0 3 0xfbd7 0xc6c0359 @@ -120014,6 +150018,8 @@ 1 1 0 +2 +5 8 0xf66 0x66e9f6bb @@ -120022,6 +150028,8 @@ 1 0 0 +0 +3 5 0x1e62 0x8f39be26 @@ -120030,6 +150038,8 @@ 1 1 0 +4 +3 3 0x1631 0xd8cbea89 @@ -120038,6 +150048,8 @@ 1 1 0 +3 +2 6 0xc87d 0x53645645 @@ -120046,6 +150058,8 @@ 0 0 0 +5 +0 6 0xdfab 0x81b32d52 @@ -120054,6 +150068,8 @@ 0 0 0 +5 +0 8 0xdef6 0x6e4a9a75 @@ -120063,6 +150079,8 @@ 1 0 4 +0 +4 0x6367 0x9d53e849 256 @@ -120070,6 +150088,8 @@ 0 0 0 +4 +3 2 0xa236 0x35707994 @@ -120078,6 +150098,8 @@ 0 0 0 +2 +4 6 0xf6b4 0xba2aa253 @@ -120086,6 +150108,8 @@ 1 1 0 +0 +0 9 0xac3e 0xa2fc6f98 @@ -120094,6 +150118,8 @@ 0 0 0 +5 +0 10 0x5792 0xa10ec64a @@ -120102,6 +150128,8 @@ 0 0 0 +0 +5 2 0xf582 0xa9ebd921 @@ -120110,6 +150138,8 @@ 0 0 0 +2 +2 6 0xbf4 0xcd9879fc @@ -120118,6 +150148,8 @@ 0 0 0 +5 +5 9 0xe7d3 0x7b3c785c @@ -120126,6 +150158,8 @@ 1 1 0 +3 +2 10 0x1fed 0xb07f4d59 @@ -120134,6 +150168,8 @@ 0 0 0 +5 +2 4 0xee82 0x27a9425 @@ -120142,6 +150178,8 @@ 1 0 0 +0 +2 1 0x7363 0x8e446967 @@ -120150,6 +150188,8 @@ 0 0 0 +1 +4 3 0xa92d 0xb4f09ddf @@ -120158,6 +150198,8 @@ 1 1 0 +0 +1 8 0x75af 0xc8711e6 @@ -120166,6 +150208,8 @@ 1 0 0 +5 +0 2 0xf37 0x9f5ac622 @@ -120175,6 +150219,8 @@ 1 0 5 +1 +5 0x22a4 0xb8dd966f 256 @@ -120182,6 +150228,8 @@ 1 0 0 +0 +0 8 0x9eeb 0x1a70e67c @@ -120190,6 +150238,8 @@ 1 0 0 +5 +2 7 0x9ce9 0xcbc9b2e3 @@ -120198,6 +150248,8 @@ 1 0 0 +4 +5 8 0x6333 0x90c741ea @@ -120206,6 +150258,8 @@ 0 0 0 +1 +3 7 0x603f 0x7ebc11b6 @@ -120214,6 +150268,8 @@ 1 0 0 +1 +4 3 0x663f 0xd2585d79 @@ -120222,6 +150278,8 @@ 1 0 0 +4 +1 5 0x310d 0xe2083575 @@ -120231,6 +150289,8 @@ 0 0 2 +3 +2 0xe6f0 0xa269d604 256 @@ -120238,6 +150298,8 @@ 0 0 0 +1 +5 8 0xa341 0x2dfbf706 @@ -120246,6 +150308,8 @@ 0 0 0 +1 +3 8 0xe338 0x65ccb9f4 @@ -120254,6 +150318,8 @@ 0 0 0 +2 +1 8 0x8022 0x67db4967 @@ -120262,6 +150328,8 @@ 0 0 0 +0 +3 4 0xf239 0xc8bd2ec8 @@ -120271,6 +150339,8 @@ 1 0 2 +3 +2 0x94c4 0xe5432227 256 @@ -120278,6 +150348,8 @@ 0 0 0 +5 +1 1 0xbfdb 0x3a8ff37d @@ -120286,6 +150358,8 @@ 1 0 0 +2 +4 1 0xb0b5 0xfb776bee @@ -120294,6 +150368,8 @@ 1 1 0 +3 +5 5 0x517a 0x686964cb @@ -120302,6 +150378,8 @@ 1 1 0 +0 +0 7 0x3c1f 0xa80b7ce3 @@ -120310,6 +150388,8 @@ 1 0 0 +5 +5 4 0x1ac9 0x20245aa9 @@ -120319,6 +150399,8 @@ 1 0 2 +5 +2 0xa15 0xca5bc327 256 @@ -120326,6 +150408,8 @@ 0 0 0 +3 +3 4 0x9bfe 0x8c9c9635 @@ -120334,6 +150418,8 @@ 0 0 0 +1 +1 7 0x70b2 0xdcc67d58 @@ -120342,6 +150428,8 @@ 1 1 0 +0 +4 7 0x6b00 0x6317df8e @@ -120350,6 +150438,8 @@ 0 0 0 +0 +1 2 0xec9 0x12051845 @@ -120358,6 +150448,8 @@ 0 0 0 +5 +0 9 0x1bf3 0x458d0b11 @@ -120366,6 +150458,8 @@ 1 1 0 +0 +3 1 0x9296 0x26975799 @@ -120374,6 +150468,8 @@ 0 0 0 +1 +5 3 0xd5f2 0xee701904 @@ -120382,6 +150478,8 @@ 1 0 0 +1 +1 7 0xa5f2 0x92a27590 @@ -120390,6 +150488,8 @@ 1 0 0 +3 +2 9 0x861f 0x3f93365e @@ -120398,6 +150498,8 @@ 1 0 0 +0 +0 3 0xc2ef 0x45a4fa6b @@ -120406,6 +150508,8 @@ 0 0 0 +1 +0 4 0x87d8 0xa4683068 @@ -120414,6 +150518,8 @@ 1 1 0 +5 +2 3 0xfa57 0x801970b9 @@ -120422,6 +150528,8 @@ 0 0 0 +0 +2 4 0x6d94 0x6f4126d1 @@ -120430,6 +150538,8 @@ 0 0 0 +0 +1 2 0xcd5b 0xd98dd090 @@ -120438,6 +150548,8 @@ 1 0 0 +1 +5 6 0x1e05 0x14e998e4 @@ -120447,6 +150559,8 @@ 0 0 1 +2 +1 0x492 0x4a32360 256 @@ -120454,6 +150568,8 @@ 1 0 0 +3 +1 4 0x9743 0x5f6e820a @@ -120462,6 +150578,8 @@ 1 0 0 +2 +2 5 0x12f7 0xe0a68403 @@ -120470,6 +150588,8 @@ 1 0 0 +2 +1 3 0x88b2 0xeef2b4dc @@ -120478,6 +150598,8 @@ 1 0 0 +3 +2 4 0xeadc 0x6228c6e2 @@ -120487,6 +150609,8 @@ 0 0 1 +4 +1 0xb418 0xd4724902 256 @@ -120494,6 +150618,8 @@ 1 0 0 +5 +2 10 0xc6c6 0x153563a3 @@ -120502,6 +150628,8 @@ 1 1 0 +3 +3 5 0x616a 0xd146699e @@ -120510,6 +150638,8 @@ 1 0 0 +1 +1 8 0x1ea4 0xd56f78ff @@ -120518,6 +150648,8 @@ 1 0 0 +3 +1 2 0x52a0 0x44eafc88 @@ -120526,6 +150658,8 @@ 0 0 0 +2 +0 6 0x4393 0x96bc72d8 @@ -120534,6 +150668,8 @@ 0 0 0 +4 +4 9 0x7ce 0x6c41af3a @@ -120542,6 +150678,8 @@ 0 0 0 +1 +5 2 0xd0e0 0xdf1d14b1 @@ -120550,6 +150688,8 @@ 0 0 0 +2 +5 3 0x88a8 0xc88be024 @@ -120558,6 +150698,8 @@ 0 0 0 +4 +1 9 0xae3d 0xe503427 @@ -120566,6 +150708,8 @@ 0 0 0 +4 +1 10 0xc2f1 0x9f8d393f @@ -120574,6 +150718,8 @@ 0 0 0 +3 +3 6 0x5384 0xbe04a19e @@ -120582,6 +150728,8 @@ 1 0 0 +4 +1 3 0xd883 0xe47893e @@ -120590,6 +150738,8 @@ 1 1 0 +2 +3 1 0x3e25 0xd9ce5136 @@ -120599,6 +150749,8 @@ 0 0 4 +5 +4 0x486e 0x2fe5f406 256 @@ -120606,6 +150758,8 @@ 1 1 0 +1 +3 10 0x30f6 0x2d086ad7 @@ -120615,6 +150769,8 @@ 0 0 4 +2 +4 0x1a94 0x730dc8b6 256 @@ -120622,6 +150778,8 @@ 1 0 0 +1 +3 5 0x734 0xa79a9dce @@ -120630,6 +150788,8 @@ 1 0 0 +5 +5 7 0xab14 0x1ee57410 @@ -120638,6 +150798,8 @@ 1 1 0 +2 +4 7 0xcb85 0xc27192af @@ -120646,6 +150808,8 @@ 0 0 0 +3 +2 2 0x35d2 0xa511c7a5 @@ -120655,6 +150819,8 @@ 0 0 3 +1 +3 0xed2c 0x1070e626 256 @@ -120663,6 +150829,8 @@ 0 0 1 +0 +1 0x7438 0x8c04a95e 256 @@ -120670,6 +150838,8 @@ 0 0 0 +4 +1 9 0xa4fc 0x6be188 @@ -120678,6 +150848,8 @@ 1 0 0 +3 +3 2 0xbf2b 0xf484f6c9 @@ -120686,6 +150858,8 @@ 0 0 0 +5 +5 6 0xc8f4 0xd6aa76f9 @@ -120694,6 +150868,8 @@ 0 0 0 +2 +5 10 0x967b 0x768bd9d7 @@ -120702,6 +150878,8 @@ 0 0 0 +4 +5 5 0x48db 0x4eaf750a @@ -120710,6 +150888,8 @@ 0 0 0 +2 +5 3 0x6744 0xbefac1e @@ -120718,6 +150898,8 @@ 1 0 0 +5 +4 7 0x1e08 0xfda813de @@ -120726,6 +150908,8 @@ 0 0 0 +1 +4 7 0x2060 0xb137d6b9 @@ -120734,6 +150918,8 @@ 0 0 0 +4 +4 2 0x8ae2 0xf2e12aaa @@ -120742,6 +150928,8 @@ 1 1 0 +1 +1 2 0x1911 0xff5be198 @@ -120750,6 +150938,8 @@ 0 0 0 +3 +0 7 0xb7ca 0x8e8d8276 @@ -120758,6 +150948,8 @@ 1 0 0 +4 +2 5 0xedab 0x533877d4 @@ -120766,6 +150958,8 @@ 1 1 0 +5 +2 9 0xcf3d 0x72315d34 @@ -120774,6 +150968,8 @@ 0 0 0 +5 +3 8 0x94f5 0xb826e5eb @@ -120782,6 +150978,8 @@ 1 1 0 +1 +0 7 0x747 0xc4f11d28 @@ -120790,6 +150988,8 @@ 0 0 0 +2 +3 8 0x61c5 0xc4095fe8 @@ -120798,6 +150998,8 @@ 1 1 0 +3 +5 4 0xbf4f 0x8fdaa5c9 @@ -120806,6 +151008,8 @@ 1 1 0 +4 +5 2 0xc21a 0x1d268394 @@ -120814,6 +151018,8 @@ 0 0 0 +4 +5 7 0xe0dd 0x7fd083b2 @@ -120822,6 +151028,8 @@ 1 0 0 +4 +0 8 0x2192 0xacda7159 @@ -120830,6 +151038,8 @@ 0 0 0 +2 +5 5 0x1690 0x7b088c3a @@ -120838,6 +151048,8 @@ 0 0 0 +2 +2 1 0x6cbe 0xa9fb89d @@ -120846,6 +151058,8 @@ 0 0 0 +1 +4 5 0x3c0 0x481d2506 @@ -120854,6 +151068,8 @@ 0 0 0 +4 +2 6 0x7d6c 0xd6a9bed2 @@ -120862,6 +151078,8 @@ 1 0 0 +2 +3 4 0x6a26 0xcec97f90 @@ -120870,6 +151088,8 @@ 1 0 0 +5 +2 2 0x1358 0x557a1984 @@ -120878,6 +151098,8 @@ 1 1 0 +3 +0 10 0x358f 0xb7a80515 @@ -120886,6 +151108,8 @@ 1 1 0 +3 +5 5 0xec3b 0xc4db5504 @@ -120894,6 +151118,8 @@ 1 1 0 +3 +3 6 0x7ca0 0x606fbcf5 @@ -120902,6 +151128,8 @@ 0 0 0 +4 +4 1 0x1731 0x5189e58e @@ -120910,6 +151138,8 @@ 1 1 0 +3 +2 7 0xaaf5 0x9aaea396 @@ -120918,6 +151148,8 @@ 1 0 0 +0 +3 5 0x9cfa 0x172a6934 @@ -120926,6 +151158,8 @@ 0 0 0 +3 +3 6 0x2645 0xaadec00a @@ -120934,6 +151168,8 @@ 1 1 0 +1 +1 8 0x63ce 0x83adeeb1 @@ -120942,6 +151178,8 @@ 0 0 0 +2 +5 3 0xaf2c 0xbb0cb9d7 @@ -120950,6 +151188,8 @@ 1 0 0 +2 +1 4 0xa654 0x194293dd @@ -120958,6 +151198,8 @@ 1 1 0 +0 +5 5 0x97c4 0x810eb43b @@ -120966,6 +151208,8 @@ 0 0 0 +0 +0 9 0x6a66 0x3a521ae5 @@ -120974,6 +151218,8 @@ 0 0 0 +0 +2 9 0xf026 0x662ef2e9 @@ -120982,6 +151228,8 @@ 0 0 0 +5 +3 7 0x2b83 0x877b524e @@ -120990,6 +151238,8 @@ 1 0 0 +4 +1 10 0x742f 0xacd65de2 @@ -120998,6 +151248,8 @@ 0 0 0 +0 +1 1 0x5c26 0xebf61f72 @@ -121007,6 +151259,8 @@ 1 0 4 +2 +4 0x3e7e 0x4c7be8da 256 @@ -121014,6 +151268,8 @@ 1 0 0 +4 +1 7 0xab72 0xb0721a2f @@ -121022,6 +151278,8 @@ 0 0 0 +4 +0 3 0x8d14 0x1d848b99 @@ -121030,6 +151288,8 @@ 1 1 0 +1 +0 7 0x13a2 0xfd733e9d @@ -121038,6 +151298,8 @@ 1 1 0 +2 +5 10 0x3d2b 0x21a7deb7 @@ -121046,6 +151308,8 @@ 1 0 0 +4 +3 5 0xb419 0xe1698aed @@ -121054,6 +151318,8 @@ 1 0 0 +2 +2 10 0xed6e 0xb5c805a9 @@ -121062,6 +151328,8 @@ 0 0 0 +1 +2 9 0xbb6d 0x56af9c46 @@ -121070,6 +151338,8 @@ 1 1 0 +5 +1 7 0xf68f 0xfafcd556 @@ -121079,6 +151349,8 @@ 0 0 2 +3 +2 0x9f20 0x8006d7f5 256 @@ -121086,6 +151358,8 @@ 0 0 0 +5 +2 4 0x249b 0xce1c235b @@ -121094,6 +151368,8 @@ 0 0 0 +2 +5 9 0xba0b 0x1eb794c3 @@ -121102,6 +151378,8 @@ 1 1 0 +1 +4 8 0xca54 0x33c4f7a0 @@ -121111,6 +151389,8 @@ 0 0 4 +5 +4 0xb73 0x2c3e76c8 256 @@ -121118,6 +151398,8 @@ 1 1 0 +0 +2 1 0x6e3 0xe27a942 @@ -121126,6 +151408,8 @@ 0 0 0 +5 +0 7 0xb056 0x67e07b9d @@ -121134,6 +151418,8 @@ 1 1 0 +3 +2 2 0x358f 0x5c564a97 @@ -121142,6 +151428,8 @@ 1 1 0 +2 +0 9 0x5a92 0xb0f37a8 @@ -121150,6 +151438,8 @@ 0 0 0 +1 +3 9 0x5939 0x3e9b54fe @@ -121158,6 +151448,8 @@ 0 0 0 +2 +2 10 0xfca1 0xdea09ec7 @@ -121166,6 +151458,8 @@ 0 0 0 +2 +0 1 0x7d24 0x2f419a1 @@ -121174,6 +151468,8 @@ 0 0 0 +2 +3 10 0x6835 0x29ec8f24 @@ -121182,6 +151478,8 @@ 1 0 0 +0 +1 6 0xdce4 0xfd6a8f27 @@ -121190,6 +151488,8 @@ 0 0 0 +0 +3 2 0x6ec 0xaef3d131 @@ -121198,6 +151498,8 @@ 1 0 0 +1 +3 4 0xb7dc 0xb5f418cc @@ -121206,6 +151508,8 @@ 0 0 0 +3 +4 1 0xb67 0x1c51c98a @@ -121214,6 +151518,8 @@ 1 0 0 +5 +2 8 0x1fca 0xa5381d13 @@ -121222,6 +151528,8 @@ 0 0 0 +4 +5 2 0x6fb8 0x7404339 @@ -121230,6 +151538,8 @@ 0 0 0 +2 +1 10 0x13a2 0x4718cefa @@ -121238,6 +151548,8 @@ 1 0 0 +5 +1 9 0xd76a 0x9db18fd4 @@ -121246,6 +151558,8 @@ 0 0 0 +2 +5 4 0xfeca 0x3ebba660 @@ -121254,6 +151568,8 @@ 0 0 0 +0 +3 1 0x508e 0x8af966a9 @@ -121263,6 +151579,8 @@ 0 0 2 +5 +2 0xb7c8 0x643c51f8 256 @@ -121271,6 +151589,8 @@ 0 0 1 +2 +1 0xebdc 0xb12542d8 256 @@ -121278,6 +151598,8 @@ 1 1 0 +0 +1 4 0x786 0x6e41c8c0 @@ -121286,6 +151608,8 @@ 1 0 0 +3 +5 4 0x4d74 0x9ae55e57 @@ -121294,6 +151618,8 @@ 0 0 0 +2 +5 7 0xd677 0xc444ba5b @@ -121302,6 +151628,8 @@ 1 1 0 +3 +0 4 0x5b4c 0x8c1080b8 @@ -121310,6 +151638,8 @@ 0 0 0 +0 +0 10 0x193f 0x780721a3 @@ -121318,6 +151648,8 @@ 1 1 0 +3 +1 5 0xd6f3 0x6d30716b @@ -121326,6 +151658,8 @@ 0 0 0 +4 +4 7 0xc6dd 0x6b6595ab @@ -121334,6 +151668,8 @@ 1 0 0 +5 +2 4 0xee74 0x6b9944 @@ -121342,6 +151678,8 @@ 0 0 0 +2 +0 6 0x739b 0x2e423fd3 @@ -121350,6 +151688,8 @@ 0 0 0 +0 +3 4 0x3ab1 0xe23b1ea7 @@ -121358,6 +151698,8 @@ 1 1 0 +1 +1 4 0xf750 0x5e0f2eba @@ -121366,6 +151708,8 @@ 1 0 0 +3 +1 10 0xf558 0x1bb113e8 @@ -121374,6 +151718,8 @@ 0 0 0 +5 +1 7 0x143b 0xf5c521c1 @@ -121382,6 +151728,8 @@ 1 0 0 +4 +2 9 0xcd00 0x221fdc95 @@ -121390,6 +151738,8 @@ 0 0 0 +2 +2 4 0xd20a 0x795882eb @@ -121398,6 +151748,8 @@ 0 0 0 +0 +3 1 0x69c0 0x8d879c83 @@ -121406,6 +151758,8 @@ 0 0 0 +0 +5 7 0x81b 0x6753a8bb @@ -121414,6 +151768,8 @@ 1 1 0 +2 +5 1 0xb10b 0x3aedac5b @@ -121422,6 +151778,8 @@ 0 0 0 +2 +3 4 0x5fb1 0x7b3268ee @@ -121430,6 +151788,8 @@ 0 0 0 +2 +2 8 0x515 0x179d104e @@ -121439,6 +151799,8 @@ 0 0 4 +5 +4 0xaea3 0xbcc5f758 256 @@ -121446,6 +151808,8 @@ 1 1 0 +3 +4 1 0x7994 0xa354f57 @@ -121454,6 +151818,8 @@ 0 0 0 +4 +3 10 0x91dd 0xa501a78a @@ -121462,6 +151828,8 @@ 0 0 0 +4 +5 10 0xc31d 0x85e659a6 @@ -121470,6 +151838,8 @@ 0 0 0 +2 +4 8 0x3c78 0x623c843f @@ -121478,6 +151848,8 @@ 1 1 0 +1 +3 4 0xd36e 0x80149c6f @@ -121486,6 +151858,8 @@ 1 1 0 +2 +4 3 0x789a 0x4cf3df38 @@ -121494,6 +151868,8 @@ 1 1 0 +5 +5 4 0x347c 0x5b081d49 @@ -121502,6 +151878,8 @@ 0 0 0 +1 +1 8 0xb5f1 0x6dd872c4 @@ -121510,6 +151888,8 @@ 0 0 0 +3 +5 5 0xf1e1 0x5c5cb405 @@ -121518,6 +151898,8 @@ 1 1 0 +3 +3 10 0xeb15 0xb9aa8961 @@ -121526,6 +151908,8 @@ 0 0 0 +5 +3 2 0xde80 0x73d178c8 @@ -121534,6 +151918,8 @@ 1 0 0 +0 +3 4 0xa469 0xb99cef2e @@ -121542,6 +151928,8 @@ 0 0 0 +3 +4 1 0x2a90 0xc102f47f @@ -121550,6 +151938,8 @@ 1 1 0 +5 +0 6 0x3775 0x546b8e21 @@ -121558,6 +151948,8 @@ 0 0 0 +2 +4 5 0x7213 0x47241149 @@ -121566,6 +151958,8 @@ 0 0 0 +1 +0 8 0xc569 0x7f6bac9c @@ -121574,6 +151968,8 @@ 0 0 0 +0 +5 8 0x4f44 0x4f840a46 @@ -121582,6 +151978,8 @@ 0 0 0 +0 +5 7 0xe4e0 0xa427d29 @@ -121590,6 +151988,8 @@ 1 1 0 +2 +0 6 0xc49e 0x926050e7 @@ -121598,6 +151998,8 @@ 1 0 0 +5 +1 8 0x1705 0x29d7092a @@ -121606,6 +152008,8 @@ 0 0 0 +5 +3 4 0x2b45 0x1d25d04f @@ -121614,6 +152018,8 @@ 0 0 0 +2 +3 3 0x26c4 0xbb284696 @@ -121622,6 +152028,8 @@ 1 0 0 +1 +2 6 0xe97c 0x638720bf @@ -121630,6 +152038,8 @@ 0 0 0 +4 +3 3 0x5e29 0xd946a924 @@ -121638,6 +152048,8 @@ 0 0 0 +3 +5 2 0x3502 0x542d5be5 @@ -121646,6 +152058,8 @@ 0 0 0 +2 +4 6 0xf95b 0x57f6234f @@ -121654,6 +152068,8 @@ 1 0 0 +0 +5 2 0xbfad 0xb7407c0 @@ -121662,6 +152078,8 @@ 1 1 0 +5 +3 9 0x82b5 0x88e80c8d @@ -121670,6 +152088,8 @@ 0 0 0 +3 +5 1 0x51d3 0x5145e3f7 @@ -121678,6 +152098,8 @@ 1 1 0 +0 +2 3 0xd32c 0x1894a68d @@ -121686,6 +152108,8 @@ 0 0 0 +1 +4 6 0x1691 0x6a215ce2 @@ -121694,6 +152118,8 @@ 1 1 0 +1 +0 9 0xb466 0x4b092fbd @@ -121702,6 +152128,8 @@ 0 0 0 +1 +3 5 0x6e2b 0xfb7d275b @@ -121711,6 +152139,8 @@ 0 0 3 +1 +3 0x1ad7 0xccedf97e 256 @@ -121718,6 +152148,8 @@ 1 1 0 +2 +2 3 0xe158 0xc6bdc61 @@ -121726,6 +152158,8 @@ 0 0 0 +4 +2 7 0x6efa 0x20b797a0 @@ -121734,6 +152168,8 @@ 1 1 0 +3 +0 9 0x4459 0xb31bd9f4 @@ -121743,6 +152179,8 @@ 0 0 1 +5 +1 0xf0b6 0xe68668df 256 @@ -121750,6 +152188,8 @@ 0 0 0 +5 +4 8 0xe612 0xf8a8bcc3 @@ -121758,6 +152198,8 @@ 1 1 0 +1 +1 4 0x8a2a 0xd112c481 @@ -121766,6 +152208,8 @@ 1 1 0 +0 +3 10 0xf6e0 0xa49c29d @@ -121774,6 +152218,8 @@ 0 0 0 +0 +2 8 0x64c5 0xecf5403c @@ -121782,6 +152228,8 @@ 1 1 0 +3 +0 4 0xbbce 0xad9a5e61 @@ -121790,6 +152238,8 @@ 0 0 0 +4 +1 3 0xe843 0x77030167 @@ -121798,6 +152248,8 @@ 0 0 0 +2 +2 8 0x5cf1 0xfc224ed2 @@ -121806,6 +152258,8 @@ 1 0 0 +1 +0 8 0xf478 0xdd59d74c @@ -121814,6 +152268,8 @@ 1 1 0 +1 +1 10 0xe20e 0xa726d2b6 @@ -121822,6 +152278,8 @@ 0 0 0 +1 +2 7 0x61e2 0x1ef1856a @@ -121830,6 +152288,8 @@ 0 0 0 +1 +5 9 0x8a4 0x42c753a9 @@ -121838,6 +152298,8 @@ 1 0 0 +5 +2 9 0xd69b 0x95a75c46 @@ -121846,6 +152308,8 @@ 0 0 0 +0 +0 5 0x3e30 0x4e24a730 @@ -121855,6 +152319,8 @@ 1 0 3 +4 +3 0x84a6 0xf6bea3c4 256 @@ -121862,6 +152328,8 @@ 1 0 0 +4 +2 5 0xaec1 0x4112cfea @@ -121870,6 +152338,8 @@ 0 0 0 +3 +3 2 0x98be 0x57d454af @@ -121878,6 +152348,8 @@ 0 0 0 +1 +0 4 0xb1b3 0xdc59e762 @@ -121886,6 +152358,8 @@ 0 0 0 +0 +4 3 0x3d7f 0x505a82ad @@ -121894,6 +152368,8 @@ 1 0 0 +4 +5 9 0xd28d 0xc46d3916 @@ -121902,6 +152378,8 @@ 0 0 0 +0 +5 6 0xdf30 0x9ac30783 @@ -121910,6 +152388,8 @@ 0 0 0 +3 +1 1 0x3e77 0xc61ff4fe @@ -121918,6 +152398,8 @@ 0 0 0 +2 +4 3 0xb893 0x76f6d44b @@ -121926,6 +152408,8 @@ 1 0 0 +1 +4 5 0x8a5e 0x22a31619 @@ -121934,6 +152418,8 @@ 0 0 0 +5 +4 10 0x18de 0xeef17ffd @@ -121942,6 +152428,8 @@ 0 0 0 +0 +0 9 0xef7c 0x51fdba6c @@ -121950,6 +152438,8 @@ 0 0 0 +1 +3 3 0xa661 0x74e99c48 @@ -121958,6 +152448,8 @@ 1 1 0 +4 +2 7 0xbeed 0xf6395eff @@ -121966,6 +152458,8 @@ 1 1 0 +1 +5 7 0xa4ec 0x9de5b778 @@ -121974,6 +152468,8 @@ 0 0 0 +0 +1 2 0xd1c0 0xc488747a @@ -121982,6 +152478,8 @@ 1 0 0 +0 +2 5 0x4f1b 0x2e78c2fd @@ -121990,6 +152488,8 @@ 1 0 0 +3 +5 2 0xa51c 0xa01e3696 @@ -121998,6 +152498,8 @@ 1 0 0 +4 +0 6 0x2f3b 0x782b9ae3 @@ -122006,6 +152508,8 @@ 0 0 0 +3 +4 6 0x2276 0x794fe63e @@ -122014,6 +152518,8 @@ 0 0 0 +5 +4 6 0xe5d0 0x2f797c03 @@ -122022,6 +152528,8 @@ 1 0 0 +1 +4 6 0x7561 0x69279cfe @@ -122030,6 +152538,8 @@ 1 1 0 +1 +1 4 0x5b7d 0x533c8f5b @@ -122038,6 +152548,8 @@ 1 1 0 +2 +1 1 0x89cf 0xcc34f2c @@ -122047,6 +152559,8 @@ 0 0 5 +1 +5 0x564e 0xafaf6bc1 256 @@ -122054,6 +152568,8 @@ 1 0 0 +0 +5 10 0xf7d6 0xe7ddc1e0 @@ -122062,6 +152578,8 @@ 1 1 0 +1 +0 6 0x1a14 0xb3979f44 @@ -122071,6 +152589,8 @@ 1 0 1 +4 +1 0x8efd 0x318ba0d4 256 @@ -122078,6 +152598,8 @@ 1 0 0 +1 +2 3 0x5f2a 0x6f31226f @@ -122086,6 +152608,8 @@ 0 0 0 +0 +3 9 0x5a3 0x22092d75 @@ -122094,6 +152618,8 @@ 0 0 0 +0 +0 9 0x5756 0xe0af1106 @@ -122102,6 +152628,8 @@ 0 0 0 +2 +5 3 0x134e 0x901a839a @@ -122110,6 +152638,8 @@ 0 0 0 +3 +4 2 0x4b5f 0x48f2ef35 @@ -122118,6 +152648,8 @@ 1 0 0 +0 +1 4 0x9378 0x920b84db @@ -122126,6 +152658,8 @@ 1 0 0 +1 +4 6 0x50d7 0x826f6495 @@ -122134,6 +152668,8 @@ 0 0 0 +0 +1 6 0x7d4 0xb91fc121 @@ -122142,6 +152678,8 @@ 0 0 0 +0 +4 6 0x2106 0xe292a29b @@ -122150,6 +152688,8 @@ 1 1 0 +0 +4 2 0xa490 0x126277f1 @@ -122158,6 +152698,8 @@ 1 0 0 +5 +0 10 0x6d87 0x8ef2f7d1 @@ -122167,6 +152709,8 @@ 0 0 1 +5 +1 0xe111 0xa4f41200 256 @@ -122174,6 +152718,8 @@ 1 1 0 +1 +3 3 0xfb14 0xde9d1a9f @@ -122182,6 +152728,8 @@ 0 0 0 +1 +1 4 0xedb1 0x74bc5325 @@ -122190,6 +152738,8 @@ 0 0 0 +5 +1 10 0x3cbf 0xb8588999 @@ -122198,6 +152748,8 @@ 0 0 0 +3 +0 8 0x1260 0x26da1354 @@ -122206,6 +152758,8 @@ 1 1 0 +1 +5 2 0x108b 0xb4a39036 @@ -122214,6 +152768,8 @@ 0 0 0 +2 +1 5 0xc624 0x17734326 @@ -122222,6 +152778,8 @@ 1 1 0 +5 +0 6 0x5116 0xc545a051 @@ -122230,6 +152788,8 @@ 0 0 0 +4 +1 8 0x93da 0x14ff071f @@ -122238,6 +152798,8 @@ 0 0 0 +0 +4 1 0x423d 0xe3f1b625 @@ -122246,6 +152808,8 @@ 0 0 0 +3 +4 4 0x6068 0xfb90b7ea @@ -122254,6 +152818,8 @@ 1 1 0 +1 +0 3 0x4bba 0xf9b678f @@ -122262,6 +152828,8 @@ 1 1 0 +4 +3 7 0x40ed 0xf60e4709 @@ -122271,6 +152839,8 @@ 0 0 1 +1 +1 0x4aa9 0x63c4fd98 256 @@ -122278,6 +152848,8 @@ 1 0 0 +1 +3 5 0xe96b 0x33e484b5 @@ -122286,6 +152858,8 @@ 1 0 0 +2 +2 1 0x4c7 0x299b9953 @@ -122294,6 +152868,8 @@ 1 1 0 +5 +0 1 0xc883 0xb305eed3 @@ -122302,6 +152878,8 @@ 1 0 0 +1 +0 2 0x3dd4 0x1b2515a9 @@ -122310,6 +152888,8 @@ 1 1 0 +2 +1 5 0x3836 0x46854b6d @@ -122318,6 +152898,8 @@ 1 0 0 +2 +1 4 0x795d 0xd0de0c1f @@ -122326,6 +152908,8 @@ 1 0 0 +5 +0 3 0xab99 0x9e8b4ca7 @@ -122334,6 +152918,8 @@ 0 0 0 +2 +3 8 0xa1f1 0x1d101a0d @@ -122342,6 +152928,8 @@ 1 1 0 +0 +2 10 0x96b3 0x67e2eb10 @@ -122350,6 +152938,8 @@ 1 0 0 +4 +5 5 0xcec8 0x331fd854 @@ -122358,6 +152948,8 @@ 0 0 0 +5 +1 2 0x5bdb 0x45d78f1f @@ -122366,6 +152958,8 @@ 1 1 0 +3 +3 1 0x31bd 0x73f0c711 @@ -122374,6 +152968,8 @@ 0 0 0 +5 +5 3 0x3b95 0x554bc360 @@ -122382,6 +152978,8 @@ 1 0 0 +1 +1 6 0x3bde 0xc0c3e126 @@ -122390,6 +152988,8 @@ 1 0 0 +0 +5 6 0xd0cc 0x43604203 @@ -122398,6 +152998,8 @@ 0 0 0 +0 +2 9 0x94ac 0xeed1e839 @@ -122406,6 +153008,8 @@ 0 0 0 +0 +0 2 0x6768 0xc15228a9 @@ -122414,6 +153018,8 @@ 0 0 0 +0 +1 4 0xbb69 0x3e815a28 @@ -122422,6 +153028,8 @@ 0 0 0 +1 +2 7 0xd6f0 0xd4b95eb0 @@ -122430,6 +153038,8 @@ 0 0 0 +0 +2 2 0x487f 0xb6a31c99 @@ -122439,6 +153049,8 @@ 0 0 3 +1 +3 0x65a9 0xa1a21d68 256 @@ -122446,6 +153058,8 @@ 0 0 0 +3 +5 9 0xa6d0 0xf5a0d43 @@ -122454,6 +153068,8 @@ 1 0 0 +1 +0 8 0xb001 0x40524f3f @@ -122462,6 +153078,8 @@ 0 0 0 +2 +1 3 0x8c05 0xdd0643f @@ -122470,6 +153088,8 @@ 0 0 0 +3 +2 7 0xec99 0x7c7ce1b2 @@ -122478,6 +153098,8 @@ 0 0 0 +3 +3 7 0xfe6a 0xad5aed6b @@ -122486,6 +153108,8 @@ 1 0 0 +5 +3 2 0x8391 0xfe4f8ef9 @@ -122494,6 +153118,8 @@ 1 0 0 +2 +3 4 0x80d2 0x738934d0 @@ -122502,6 +153128,8 @@ 0 0 0 +1 +4 7 0x6d98 0x568ef292 @@ -122510,6 +153138,8 @@ 0 0 0 +3 +1 9 0xfb9 0xd42a821a @@ -122518,6 +153148,8 @@ 1 0 0 +0 +5 8 0xf0a2 0xde7d618a @@ -122526,6 +153158,8 @@ 0 0 0 +5 +2 4 0xcb9 0x87513e5c @@ -122534,6 +153168,8 @@ 0 0 0 +1 +5 9 0x9c8d 0xdb2ac6b7 @@ -122543,6 +153179,8 @@ 0 0 4 +1 +4 0x142a 0x4c55c2c8 256 @@ -122550,6 +153188,8 @@ 1 1 0 +1 +2 9 0x96ea 0x311e516e @@ -122558,6 +153198,8 @@ 0 0 0 +0 +5 2 0xdee6 0x646ceedb @@ -122566,6 +153208,8 @@ 0 0 0 +5 +1 1 0x3ecf 0x5a60acc7 @@ -122574,6 +153218,8 @@ 1 1 0 +5 +1 1 0x684e 0xf592af40 @@ -122582,6 +153228,8 @@ 1 0 0 +4 +4 9 0x7ab5 0xa05f67d1 @@ -122590,6 +153238,8 @@ 0 0 0 +0 +2 6 0xe9a6 0x51cdd9d7 @@ -122598,6 +153248,8 @@ 1 0 0 +4 +2 1 0xca21 0x2776d6ac @@ -122606,6 +153258,8 @@ 1 1 0 +2 +5 6 0xbac1 0xe31cdabc @@ -122614,6 +153268,8 @@ 0 0 0 +4 +3 6 0x2dfc 0xd1c3cec5 @@ -122622,6 +153278,8 @@ 0 0 0 +5 +0 4 0x8c2b 0x4aa4b25f @@ -122631,6 +153289,8 @@ 0 0 2 +4 +2 0x746e 0x8217477c 256 @@ -122638,6 +153298,8 @@ 1 1 0 +5 +4 1 0x7d2d 0x7f6cf93 @@ -122646,6 +153308,8 @@ 0 0 0 +0 +2 4 0xbf61 0xe3070c6f @@ -122654,6 +153318,8 @@ 0 0 0 +2 +5 7 0xd320 0x18d1362e @@ -122662,6 +153328,8 @@ 1 1 0 +5 +5 8 0x2b7b 0x7159b3be @@ -122670,6 +153338,8 @@ 1 1 0 +1 +4 10 0x9079 0x36fb61f5 @@ -122678,6 +153348,8 @@ 1 1 0 +1 +4 6 0xd5d1 0x7f3a8c23 @@ -122686,6 +153358,8 @@ 0 0 0 +1 +1 10 0x2615 0x1dc0c12a @@ -122694,6 +153368,8 @@ 1 0 0 +3 +0 6 0x5a77 0x5ae39a1 @@ -122702,6 +153378,8 @@ 0 0 0 +0 +5 6 0x64c5 0x89dd3e82 @@ -122710,6 +153388,8 @@ 0 0 0 +2 +5 5 0x4911 0x4f8ac28b @@ -122718,6 +153398,8 @@ 0 0 0 +3 +5 8 0x7ab4 0xf05413a2 @@ -122726,6 +153408,8 @@ 1 1 0 +3 +2 6 0xe554 0x9a7c5664 @@ -122734,6 +153418,8 @@ 0 0 0 +1 +3 7 0xcd94 0xc9810171 @@ -122742,6 +153428,8 @@ 0 0 0 +0 +2 6 0x463a 0xd8550238 @@ -122750,6 +153438,8 @@ 0 0 0 +0 +0 1 0xf7a8 0x1d338bde @@ -122758,6 +153448,8 @@ 1 1 0 +4 +4 3 0xfeec 0x7c56d0ce @@ -122766,6 +153458,8 @@ 0 0 0 +0 +2 8 0x21e5 0xc708dab5 @@ -122774,6 +153468,8 @@ 1 1 0 +3 +4 7 0x7a6d 0x3d5f088e @@ -122782,6 +153478,8 @@ 0 0 0 +2 +0 1 0x951f 0xefadb098 @@ -122790,6 +153488,8 @@ 1 1 0 +4 +0 10 0x647c 0x26bdb477 @@ -122798,6 +153498,8 @@ 0 0 0 +0 +5 3 0xac6a 0x46aac76d @@ -122806,6 +153508,8 @@ 0 0 0 +0 +3 10 0x2b2d 0x44ef0ff9 @@ -122814,6 +153518,8 @@ 1 1 0 +3 +3 9 0xdaac 0xadcf43f5 @@ -122822,6 +153528,8 @@ 0 0 0 +4 +1 9 0x8fbc 0x5f5ec563 @@ -122830,6 +153538,8 @@ 1 0 0 +5 +1 3 0x1f71 0x9056419c @@ -122838,6 +153548,8 @@ 0 0 0 +5 +0 3 0x4599 0xcc578dd3 @@ -122847,6 +153559,8 @@ 1 0 3 +2 +3 0xa8b8 0x517078e0 256 @@ -122855,6 +153569,8 @@ 1 0 3 +2 +3 0x8ccc 0x36c5caaa 256 @@ -122862,6 +153578,8 @@ 1 1 0 +3 +3 5 0x8195 0xd024debd @@ -122870,6 +153588,8 @@ 0 0 0 +3 +2 7 0xe65e 0xede58eaa @@ -122878,6 +153598,8 @@ 0 0 0 +1 +2 3 0xde9b 0x8f6d5812 @@ -122886,6 +153608,8 @@ 1 1 0 +2 +3 5 0x6ffe 0xd9458fd9 @@ -122894,6 +153618,8 @@ 1 0 0 +4 +0 2 0x9a78 0xe396b880 @@ -122902,6 +153628,8 @@ 1 0 0 +0 +4 9 0x715e 0x89d9aad2 @@ -122910,6 +153638,8 @@ 0 0 0 +2 +2 10 0x5c6c 0x4bf57433 @@ -122918,6 +153648,8 @@ 1 0 0 +0 +5 2 0x9f95 0x9ebb092e @@ -122926,6 +153658,8 @@ 1 1 0 +4 +3 10 0xa683 0x8614e7b7 @@ -122934,6 +153668,8 @@ 0 0 0 +4 +2 9 0xb70c 0xf15f76cb @@ -122942,6 +153678,8 @@ 0 0 0 +3 +4 2 0x740e 0x4c32a726 @@ -122950,6 +153688,8 @@ 0 0 0 +4 +4 6 0xee49 0x9c54b913 @@ -122958,6 +153698,8 @@ 1 0 0 +5 +3 3 0x4ef0 0x6074fb88 @@ -122966,6 +153708,8 @@ 0 0 0 +2 +2 9 0x9121 0xfd0c789d @@ -122974,6 +153718,8 @@ 1 0 0 +3 +4 1 0x2237 0x84db3f04 @@ -122982,6 +153728,8 @@ 1 0 0 +0 +5 5 0x54fc 0xf0a81dd3 @@ -122990,6 +153738,8 @@ 1 0 0 +2 +4 6 0x7425 0xfa288f42 @@ -122998,6 +153748,8 @@ 0 0 0 +2 +1 4 0x998e 0x194612c @@ -123006,6 +153758,8 @@ 0 0 0 +1 +4 4 0x913d 0x1bd092c9 @@ -123014,6 +153768,8 @@ 1 1 0 +2 +0 9 0x8fb0 0xfc42bf5d @@ -123023,6 +153779,8 @@ 0 0 5 +0 +5 0x1d7e 0xd8ac032c 256 @@ -123030,6 +153788,8 @@ 1 0 0 +2 +1 5 0x4d33 0x53d923e4 @@ -123038,6 +153798,8 @@ 0 0 0 +3 +1 5 0x88c7 0xc7535df8 @@ -123047,6 +153809,8 @@ 0 0 3 +5 +3 0x1a77 0x65ac3f7b 256 @@ -123054,6 +153818,8 @@ 1 0 0 +0 +2 3 0x8570 0xaad3fd6e @@ -123063,6 +153829,8 @@ 1 0 1 +1 +1 0xdf9c 0x10df546b 256 @@ -123070,6 +153838,8 @@ 1 1 0 +5 +2 7 0xb5cf 0xbd5878cb @@ -123078,6 +153848,8 @@ 0 0 0 +5 +1 2 0x966f 0x9ee854d4 @@ -123086,6 +153858,8 @@ 0 0 0 +2 +3 5 0x1330 0xb83ed2c6 @@ -123094,6 +153868,8 @@ 0 0 0 +1 +3 3 0xaafb 0xd22796eb @@ -123102,6 +153878,8 @@ 1 0 0 +0 +2 7 0x95c5 0xc84b8e35 @@ -123110,6 +153888,8 @@ 0 0 0 +1 +0 9 0xefe2 0x182001eb @@ -123118,6 +153898,8 @@ 1 0 0 +2 +2 4 0xf79c 0xd7612fb1 @@ -123126,6 +153908,8 @@ 1 0 0 +2 +4 10 0x4080 0x4bed08d3 @@ -123134,6 +153918,8 @@ 1 1 0 +2 +0 6 0x51bc 0x977c610b @@ -123142,6 +153928,8 @@ 0 0 0 +0 +1 10 0xe78e 0xd38955ac @@ -123150,6 +153938,8 @@ 1 1 0 +0 +0 2 0x5008 0xc8de70f2 @@ -123158,6 +153948,8 @@ 1 0 0 +1 +1 8 0x3db2 0xe92a7593 @@ -123166,6 +153958,8 @@ 1 0 0 +1 +1 3 0x9368 0x5577bf6f @@ -123175,6 +153969,8 @@ 1 0 1 +2 +1 0x4acf 0x65a5a895 256 @@ -123182,6 +153978,8 @@ 1 1 0 +5 +1 8 0x2781 0xe0aa27e6 @@ -123190,6 +153988,8 @@ 0 0 0 +2 +0 9 0x4684 0xbd2b71d9 @@ -123198,6 +153998,8 @@ 1 0 0 +4 +2 2 0xfdc 0xc8811be1 @@ -123206,6 +154008,8 @@ 0 0 0 +2 +2 7 0x4f11 0xf3e7ff1c @@ -123214,6 +154018,8 @@ 1 1 0 +3 +5 6 0xc8b9 0x4f14a691 @@ -123222,6 +154028,8 @@ 1 0 0 +0 +5 9 0x61b1 0xd77fcf00 @@ -123230,6 +154038,8 @@ 1 0 0 +4 +4 2 0x7203 0x136767cc @@ -123238,6 +154048,8 @@ 1 1 0 +1 +0 6 0xdcee 0xffc8dd0 @@ -123246,6 +154058,8 @@ 0 0 0 +0 +1 4 0xc1b3 0x6b1d7317 @@ -123254,6 +154068,8 @@ 1 0 0 +4 +3 9 0xc832 0x9edf01c4 @@ -123262,6 +154078,8 @@ 1 1 0 +3 +1 5 0x4a76 0x5f5d0c17 @@ -123270,6 +154088,8 @@ 1 0 0 +5 +5 2 0xa427 0xca2f9d5c @@ -123279,6 +154099,8 @@ 1 0 1 +0 +1 0x82b4 0xe935d692 256 @@ -123286,6 +154108,8 @@ 0 0 0 +3 +0 4 0xd4ba 0x5f1b5342 @@ -123295,6 +154119,8 @@ 0 0 1 +0 +1 0x89ad 0x9f78203a 256 @@ -123302,6 +154128,8 @@ 1 1 0 +5 +3 2 0x2da2 0x1aeb1ecf @@ -123310,6 +154138,8 @@ 1 0 0 +3 +3 9 0x9c2d 0xecb63bba @@ -123318,6 +154148,8 @@ 0 0 0 +0 +0 4 0xa4c0 0x1d722167 @@ -123326,6 +154158,8 @@ 0 0 0 +4 +3 1 0x57f1 0x3ae1a6f5 @@ -123334,6 +154168,8 @@ 1 0 0 +4 +4 2 0x6a43 0x9bc22231 @@ -123342,6 +154178,8 @@ 0 0 0 +0 +4 5 0xecec 0xc2d0663f @@ -123350,6 +154188,8 @@ 1 0 0 +0 +2 5 0xce2e 0xdc902c23 @@ -123358,6 +154198,8 @@ 1 1 0 +3 +0 10 0x2409 0x47e05655 @@ -123366,6 +154208,8 @@ 0 0 0 +3 +1 2 0x699a 0xd049d2bf @@ -123374,6 +154218,8 @@ 0 0 0 +3 +3 6 0x81e6 0x9ac1c2da @@ -123382,6 +154228,8 @@ 1 1 0 +3 +4 10 0xb2cc 0x7e0ccbe4 @@ -123390,6 +154238,8 @@ 0 0 0 +0 +2 4 0x5840 0x6f41653e @@ -123398,6 +154248,8 @@ 1 1 0 +4 +2 1 0xee08 0x52a9825b @@ -123406,6 +154258,8 @@ 1 1 0 +3 +0 4 0x3d98 0x530d5ebc @@ -123415,6 +154269,8 @@ 0 0 2 +3 +2 0x2d4e 0x397a02d6 256 @@ -123422,6 +154278,8 @@ 0 0 0 +3 +1 1 0xa54a 0x11f4046c @@ -123430,6 +154288,8 @@ 1 1 0 +5 +1 7 0x6f5 0x72c7c0b6 @@ -123438,6 +154298,8 @@ 1 1 0 +0 +0 4 0xf954 0x30040727 @@ -123446,6 +154308,8 @@ 1 0 0 +4 +1 3 0xe74 0x849cbd9 @@ -123454,6 +154318,8 @@ 1 1 0 +0 +4 3 0x9c4 0x994d28fd @@ -123462,6 +154328,8 @@ 0 0 0 +2 +5 6 0x49b8 0x88e6a3d5 @@ -123470,6 +154338,8 @@ 0 0 0 +4 +1 8 0x1375 0xc525f5e6 @@ -123478,6 +154348,8 @@ 1 1 0 +3 +1 4 0x2824 0x1593d7d2 @@ -123486,6 +154358,8 @@ 1 1 0 +1 +2 8 0xd44a 0x62bc870c @@ -123494,6 +154368,8 @@ 0 0 0 +4 +0 2 0x4414 0x6054ce54 @@ -123502,6 +154378,8 @@ 0 0 0 +1 +1 3 0x8863 0x265990b0 @@ -123511,6 +154389,8 @@ 0 0 1 +1 +1 0x676e 0xaf7adef2 256 @@ -123518,6 +154398,8 @@ 0 0 0 +1 +4 10 0x4e5e 0x3a88bffc @@ -123526,6 +154408,8 @@ 1 1 0 +5 +3 9 0xb643 0x6bc40387 @@ -123534,6 +154418,8 @@ 0 0 0 +4 +5 5 0x3998 0x1ac46cc7 @@ -123542,6 +154428,8 @@ 0 0 0 +4 +3 6 0x6dfc 0x41e2f58e @@ -123550,6 +154438,8 @@ 0 0 0 +4 +3 6 0x2d33 0xae1493f3 @@ -123558,6 +154448,8 @@ 1 0 0 +1 +4 2 0xfe3c 0xf105cb94 @@ -123566,6 +154458,8 @@ 1 0 0 +0 +2 3 0x13c8 0x4d298c6f @@ -123574,6 +154468,8 @@ 1 0 0 +5 +3 6 0x370 0x2ef62b3d @@ -123582,6 +154478,8 @@ 1 1 0 +0 +1 2 0xa5b6 0x2ec3f5d9 @@ -123590,6 +154488,8 @@ 1 1 0 +2 +3 8 0xda90 0x159f7a27 @@ -123598,6 +154498,8 @@ 0 0 0 +1 +0 5 0x7f1c 0x6d943dfd @@ -123606,6 +154508,8 @@ 0 0 0 +0 +1 8 0xc32f 0xfef25346 @@ -123614,6 +154518,8 @@ 0 0 0 +4 +0 7 0x3f29 0xc81532db @@ -123622,6 +154528,8 @@ 0 0 0 +2 +1 6 0xb037 0xea9cd188 @@ -123630,6 +154538,8 @@ 1 1 0 +1 +0 5 0xb7ed 0xe79af591 @@ -123638,6 +154548,8 @@ 0 0 0 +5 +0 6 0x9843 0xaffb7645 @@ -123647,6 +154559,8 @@ 0 0 4 +3 +4 0xbd38 0x26a2bc54 256 @@ -123655,6 +154569,8 @@ 0 0 3 +1 +3 0x6578 0xd2690009 256 @@ -123662,6 +154578,8 @@ 1 1 0 +0 +4 3 0x4dc1 0xb36c2551 @@ -123670,6 +154588,8 @@ 1 1 0 +3 +3 2 0xb08d 0xe0d77224 @@ -123678,6 +154598,8 @@ 1 1 0 +1 +4 4 0xc040 0xe8ea004f @@ -123686,6 +154608,8 @@ 0 0 0 +1 +4 9 0xd8c8 0x98d47316 @@ -123694,6 +154618,8 @@ 1 1 0 +1 +1 4 0xefd7 0x87c38061 @@ -123702,6 +154628,8 @@ 0 0 0 +3 +5 7 0x672c 0x19d3387d @@ -123710,6 +154638,8 @@ 1 0 0 +1 +0 9 0x662e 0x14f3b942 @@ -123718,6 +154648,8 @@ 1 0 0 +2 +5 10 0xb29f 0x7a99574f @@ -123726,6 +154658,8 @@ 0 0 0 +2 +1 4 0x5d83 0xa0dc27f2 @@ -123734,6 +154668,8 @@ 0 0 0 +5 +3 1 0xe394 0x44a9d1d @@ -123742,6 +154678,8 @@ 0 0 0 +4 +4 5 0xa91a 0x4cdebb @@ -123750,6 +154688,8 @@ 0 0 0 +4 +0 5 0x8f81 0x7d19c0ca @@ -123758,6 +154698,8 @@ 0 0 0 +0 +5 4 0xde2c 0xf87348e7 @@ -123766,6 +154708,8 @@ 0 0 0 +0 +2 1 0x705f 0x304355d @@ -123774,6 +154718,8 @@ 1 1 0 +1 +4 8 0x174f 0xcfec0257 @@ -123782,6 +154728,8 @@ 0 0 0 +1 +0 6 0xf794 0x5e8d4d96 @@ -123790,6 +154738,8 @@ 0 0 0 +3 +4 4 0xc241 0x35658acf @@ -123798,6 +154748,8 @@ 1 1 0 +1 +5 3 0xd3a9 0xa029a205 @@ -123806,6 +154758,8 @@ 0 0 0 +2 +2 10 0x4b64 0x9afbb492 @@ -123814,6 +154768,8 @@ 0 0 0 +4 +1 1 0xafe3 0xa0f91f9f @@ -123822,6 +154778,8 @@ 0 0 0 +3 +5 9 0x80ea 0xdf5ffba7 @@ -123830,6 +154788,8 @@ 1 1 0 +1 +3 5 0xb054 0x65d07649 @@ -123838,6 +154798,8 @@ 0 0 0 +4 +2 6 0xf9ee 0x17b08f1f @@ -123846,6 +154808,8 @@ 0 0 0 +3 +0 1 0x2787 0x9a066812 @@ -123854,6 +154818,8 @@ 0 0 0 +1 +1 4 0x5bc9 0xb813f290 @@ -123862,6 +154828,8 @@ 1 1 0 +3 +5 1 0xac3 0xcf8a5e61 @@ -123870,6 +154838,8 @@ 0 0 0 +4 +1 5 0x76dc 0xd90c5c58 @@ -123878,6 +154848,8 @@ 1 0 0 +2 +1 1 0xa371 0xa80e1114 @@ -123886,6 +154858,8 @@ 0 0 0 +0 +2 3 0xdeac 0xb8d03750 @@ -123894,6 +154868,8 @@ 0 0 0 +5 +0 9 0x16e3 0x3e4311b2 @@ -123902,6 +154878,8 @@ 1 1 0 +0 +3 6 0xa6c7 0x61f85379 @@ -123910,6 +154888,8 @@ 1 0 0 +3 +3 10 0xa192 0x846b85f1 @@ -123919,6 +154899,8 @@ 0 0 2 +5 +2 0x4fa4 0x2ae5f981 256 @@ -123926,6 +154908,8 @@ 0 0 0 +5 +1 6 0x9e15 0x53503048 @@ -123935,6 +154919,8 @@ 1 0 2 +5 +2 0x163c 0x911ede61 256 @@ -123942,6 +154928,8 @@ 1 0 0 +4 +5 6 0xcc45 0xdeeff458 @@ -123951,6 +154939,8 @@ 0 0 5 +1 +5 0x9cd2 0xa6ee4c80 256 @@ -123958,6 +154948,8 @@ 1 1 0 +4 +3 8 0xb24 0xaf065b3 @@ -123966,6 +154958,8 @@ 0 0 0 +2 +4 5 0xf6e1 0x33af21f4 @@ -123974,6 +154968,8 @@ 0 0 0 +2 +0 8 0xff26 0xfd2cafb8 @@ -123983,6 +154979,8 @@ 1 0 4 +5 +4 0x8737 0x15403b64 256 @@ -123990,6 +154988,8 @@ 0 0 0 +0 +0 1 0x702a 0xb02a1c39 @@ -123999,6 +154999,8 @@ 0 0 1 +5 +1 0x4373 0xd960fc28 256 @@ -124006,6 +155008,8 @@ 1 0 0 +0 +4 10 0x9db8 0x6c167a65 @@ -124014,6 +155018,8 @@ 1 0 0 +0 +1 4 0xf687 0x3bca3a22 @@ -124022,6 +155028,8 @@ 1 0 0 +2 +4 1 0x1a19 0x7c688e42 @@ -124030,6 +155038,8 @@ 1 0 0 +2 +1 7 0xd41b 0xc3c24505 @@ -124039,6 +155049,8 @@ 0 0 1 +5 +1 0xcd2d 0xaa9cb9c1 256 @@ -124046,6 +155058,8 @@ 1 1 0 +1 +4 6 0xc11a 0x2bb7316e @@ -124055,6 +155069,8 @@ 0 0 1 +5 +1 0x43c4 0x82f191f1 256 @@ -124062,6 +155078,8 @@ 1 0 0 +4 +1 1 0x64c1 0x52bc663f @@ -124070,6 +155088,8 @@ 1 1 0 +2 +4 7 0xf70c 0x1fc2ecbf @@ -124078,6 +155098,8 @@ 1 1 0 +0 +0 3 0xe126 0xce0a64e @@ -124086,6 +155108,8 @@ 1 0 0 +4 +4 7 0x30ea 0x7903bbe9 @@ -124094,6 +155118,8 @@ 1 0 0 +0 +5 4 0x4ddc 0x91379ba @@ -124102,6 +155128,8 @@ 0 0 0 +0 +4 10 0x8bae 0x2796402e @@ -124110,6 +155138,8 @@ 0 0 0 +5 +1 1 0xc456 0x9c71e65 @@ -124118,6 +155148,8 @@ 1 0 0 +1 +5 3 0xf67a 0x9d0cebe0 @@ -124126,6 +155158,8 @@ 1 0 0 +5 +1 1 0x530a 0xeb089a41 @@ -124135,6 +155169,8 @@ 0 0 3 +1 +3 0xb3b6 0x492c8559 256 @@ -124142,6 +155178,8 @@ 0 0 0 +1 +5 4 0x6108 0x8fc4c5a5 @@ -124150,6 +155188,8 @@ 1 0 0 +5 +3 7 0xa58c 0xf26e080f @@ -124158,6 +155198,8 @@ 1 0 0 +1 +3 4 0x60d9 0x8cf7f4ce @@ -124166,6 +155208,8 @@ 1 0 0 +1 +2 8 0x7748 0xe6b3f060 @@ -124174,6 +155218,8 @@ 1 1 0 +3 +2 8 0xb705 0xac934b6b @@ -124182,6 +155228,8 @@ 0 0 0 +4 +5 5 0xe2b0 0x41b6256e @@ -124190,6 +155238,8 @@ 0 0 0 +4 +2 9 0x186c 0xd04f050e @@ -124198,6 +155248,8 @@ 1 0 0 +5 +1 2 0x6dd3 0x2ae59db0 @@ -124206,6 +155258,8 @@ 1 0 0 +0 +2 10 0x1578 0xb2e9d309 @@ -124214,6 +155268,8 @@ 1 1 0 +0 +1 6 0x6b26 0x6e299d6d @@ -124222,6 +155278,8 @@ 0 0 0 +1 +2 10 0x8042 0xb314dd6c @@ -124230,6 +155288,8 @@ 1 0 0 +0 +0 2 0x51ee 0xfe3f9407 @@ -124239,6 +155299,8 @@ 0 0 3 +0 +3 0xa4ca 0x35658ebd 256 @@ -124246,6 +155308,8 @@ 0 0 0 +0 +0 3 0x3f6 0xc8201826 @@ -124255,6 +155319,8 @@ 0 0 2 +1 +2 0xafd6 0x945c3d35 256 @@ -124262,6 +155328,8 @@ 0 0 0 +0 +1 2 0x8444 0xadeb45e7 @@ -124270,6 +155338,8 @@ 0 0 0 +0 +3 6 0xb1e7 0xc5150a90 @@ -124278,6 +155348,8 @@ 1 1 0 +4 +0 5 0xbb9c 0x6588729a @@ -124286,6 +155358,8 @@ 0 0 0 +1 +3 6 0xe6af 0xc9f0183f @@ -124294,6 +155368,8 @@ 1 1 0 +3 +3 2 0x47d4 0x25a5b530 @@ -124302,6 +155378,8 @@ 1 0 0 +2 +4 9 0x8508 0xaaf0f7a7 @@ -124310,6 +155388,8 @@ 0 0 0 +0 +5 5 0x783b 0x47c29103 @@ -124318,6 +155398,8 @@ 1 1 0 +4 +2 2 0x55ba 0x24d5a2b3 @@ -124326,6 +155408,8 @@ 1 0 0 +4 +4 2 0x449 0xe0f98d97 @@ -124335,6 +155419,8 @@ 0 0 3 +1 +3 0x969a 0xb594494a 256 @@ -124342,6 +155428,8 @@ 0 0 0 +4 +0 5 0xa32d 0x2665128f @@ -124350,6 +155438,8 @@ 0 0 0 +2 +4 3 0x95b4 0x43c4e628 @@ -124358,6 +155448,8 @@ 0 0 0 +5 +5 4 0xf9a3 0x5c82d35e @@ -124366,6 +155458,8 @@ 1 1 0 +0 +3 10 0x81f4 0xdef5187e @@ -124375,6 +155469,8 @@ 1 0 2 +1 +2 0x1879 0xd225112a 256 @@ -124382,6 +155478,8 @@ 0 0 0 +2 +3 7 0x701b 0xd01d0bc0 @@ -124390,6 +155488,8 @@ 0 0 0 +3 +4 5 0x5eb6 0xd90bc6fe @@ -124398,6 +155498,8 @@ 1 1 0 +5 +1 9 0x1464 0x64fdf9af @@ -124406,6 +155508,8 @@ 0 0 0 +0 +2 10 0x85e0 0xfe7bebd6 @@ -124414,6 +155518,8 @@ 1 0 0 +2 +1 4 0x8b8 0xfd89afb8 @@ -124422,6 +155528,8 @@ 1 0 0 +1 +3 5 0xef8 0xdafd46c5 @@ -124430,6 +155538,8 @@ 1 0 0 +0 +1 9 0x5567 0x60daa54e @@ -124438,6 +155548,8 @@ 1 1 0 +0 +0 1 0xc1df 0x200b222e @@ -124446,6 +155558,8 @@ 1 0 0 +0 +1 10 0x6d4 0xd55ff3cb @@ -124454,6 +155568,8 @@ 0 0 0 +3 +1 2 0xd155 0x7cab41bb @@ -124462,6 +155578,8 @@ 1 1 0 +1 +1 8 0xbb52 0xd83ad3d2 @@ -124470,6 +155588,8 @@ 1 1 0 +4 +2 9 0x7f6c 0x9f957475 @@ -124478,6 +155598,8 @@ 0 0 0 +0 +5 4 0x72d9 0xf2c026c2 @@ -124486,6 +155608,8 @@ 0 0 0 +5 +5 3 0x6f0b 0xb9a01e92 @@ -124494,6 +155618,8 @@ 1 1 0 +2 +3 6 0xa54f 0x78a69d2d @@ -124502,6 +155628,8 @@ 1 0 0 +5 +4 1 0x843b 0x8b0e401f @@ -124510,6 +155638,8 @@ 1 0 0 +2 +1 4 0xf45e 0xa00467a6 @@ -124519,6 +155649,8 @@ 0 0 2 +3 +2 0xdf3d 0x529d756c 256 @@ -124526,6 +155658,8 @@ 1 1 0 +3 +3 8 0x4522 0x3d9a4f62 @@ -124534,6 +155668,8 @@ 0 0 0 +3 +5 4 0xbb72 0x5006f446 @@ -124542,6 +155678,8 @@ 1 0 0 +2 +5 7 0x42e9 0xe70febf8 @@ -124550,6 +155688,8 @@ 1 0 0 +0 +1 9 0x3a12 0x4e1fb0c4 @@ -124559,6 +155699,8 @@ 0 0 5 +2 +5 0x948f 0x7eb17ebf 256 @@ -124566,6 +155708,8 @@ 1 0 0 +5 +0 2 0x4544 0xef57f2e7 @@ -124575,6 +155719,8 @@ 0 0 3 +5 +3 0x14bc 0xd8a9b161 256 @@ -124582,6 +155728,8 @@ 0 0 0 +3 +1 1 0x4a61 0x56fb277b @@ -124590,6 +155738,8 @@ 0 0 0 +5 +1 3 0x6624 0x1ed4d3b5 @@ -124598,6 +155748,8 @@ 0 0 0 +0 +1 6 0x1f5a 0x8dffe5c2 @@ -124606,6 +155758,8 @@ 0 0 0 +4 +3 3 0x616f 0x5777ae94 @@ -124614,6 +155768,8 @@ 1 1 0 +1 +5 8 0x86df 0x5cc03f0f @@ -124622,6 +155778,8 @@ 0 0 0 +0 +5 8 0xf6f6 0x1a15dfcd @@ -124630,6 +155788,8 @@ 1 0 0 +5 +0 8 0x4552 0xbc6e74df @@ -124638,6 +155798,8 @@ 0 0 0 +0 +4 3 0xd0e5 0x89675eb4 @@ -124646,6 +155808,8 @@ 0 0 0 +1 +3 7 0xc402 0x4acb830 @@ -124654,6 +155818,8 @@ 0 0 0 +0 +4 10 0x7daa 0x44d7baf1 @@ -124662,6 +155828,8 @@ 1 1 0 +2 +3 5 0x54a5 0x884358c7 @@ -124670,6 +155838,8 @@ 1 1 0 +4 +2 5 0x8e5b 0xe9b4959a @@ -124678,6 +155848,8 @@ 0 0 0 +5 +1 10 0xc7 0xffa4882d @@ -124686,6 +155858,8 @@ 0 0 0 +3 +4 5 0x16c1 0x4d85f7bd @@ -124694,6 +155868,8 @@ 0 0 0 +5 +1 9 0xe88a 0xc65bbdd2 @@ -124702,6 +155878,8 @@ 1 1 0 +5 +4 3 0x2724 0x4f260c6b @@ -124710,6 +155888,8 @@ 0 0 0 +5 +1 6 0x7dc3 0x71b28788 @@ -124718,6 +155898,8 @@ 1 0 0 +2 +0 6 0xb005 0xbb27a58c @@ -124726,6 +155908,8 @@ 1 1 0 +0 +1 5 0x88db 0x81465732 @@ -124734,6 +155918,8 @@ 1 1 0 +4 +1 2 0xe263 0x59c9d777 @@ -124742,6 +155928,8 @@ 1 1 0 +0 +5 1 0x9f74 0x751ff288 @@ -124750,6 +155938,8 @@ 0 0 0 +3 +3 6 0xad4e 0x7428dd2a @@ -124759,6 +155949,8 @@ 0 0 3 +5 +3 0x1c1d 0xd94267d3 256 @@ -124766,6 +155958,8 @@ 1 0 0 +5 +5 2 0x609e 0x7896c3a9 @@ -124774,6 +155968,8 @@ 0 0 0 +2 +0 4 0xabb7 0xafd640a @@ -124782,6 +155978,8 @@ 1 0 0 +0 +2 10 0x6e42 0x89c9f153 @@ -124790,6 +155988,8 @@ 1 1 0 +4 +4 3 0xa549 0x18c092ed @@ -124798,6 +155998,8 @@ 0 0 0 +3 +5 8 0xa75f 0xaeaac3af @@ -124806,6 +156008,8 @@ 0 0 0 +3 +1 2 0x8834 0xdac21d81 @@ -124814,6 +156018,8 @@ 0 0 0 +1 +3 8 0xac4e 0x4b92b040 @@ -124822,6 +156028,8 @@ 1 1 0 +2 +4 1 0xa5d7 0x64bbf8b4 @@ -124830,6 +156038,8 @@ 1 1 0 +4 +0 2 0x428f 0x85ed0267 @@ -124838,6 +156048,8 @@ 1 1 0 +5 +2 9 0xf9f 0x12633359 @@ -124846,6 +156058,8 @@ 1 1 0 +5 +4 9 0x65c9 0xfa49c7fb @@ -124855,6 +156069,8 @@ 0 0 5 +0 +5 0xdf5f 0x78995d3d 256 @@ -124862,6 +156078,8 @@ 1 1 0 +2 +3 9 0x77f4 0x676d868c @@ -124870,6 +156088,8 @@ 1 1 0 +0 +1 7 0x7320 0x998c0d0a @@ -124878,6 +156098,8 @@ 0 0 0 +4 +4 9 0xcd67 0xddbc5a82 @@ -124886,6 +156108,8 @@ 0 0 0 +5 +3 6 0xebd5 0x3033083b @@ -124894,6 +156118,8 @@ 1 1 0 +5 +0 4 0x2347 0x2dfd6b8 @@ -124902,6 +156128,8 @@ 0 0 0 +2 +4 3 0x9643 0xc10bacb5 @@ -124910,6 +156138,8 @@ 1 1 0 +2 +0 5 0xa2a7 0xfb507573 @@ -124918,6 +156148,8 @@ 0 0 0 +3 +2 9 0xaf76 0x2299651b @@ -124926,6 +156158,8 @@ 1 1 0 +2 +1 3 0x567c 0xe7d06228 @@ -124934,6 +156168,8 @@ 1 0 0 +0 +2 1 0x2bc3 0xcb96c4bd @@ -124942,6 +156178,8 @@ 1 1 0 +2 +3 5 0xc5dd 0x292d5e2e @@ -124950,6 +156188,8 @@ 0 0 0 +5 +3 3 0x8c29 0x7412ffe7 @@ -124958,6 +156198,8 @@ 0 0 0 +1 +5 2 0xefb8 0x910401be @@ -124966,6 +156208,8 @@ 0 0 0 +2 +1 7 0xd1da 0x9eaa2c47 @@ -124974,6 +156218,8 @@ 1 0 0 +1 +0 8 0xceb5 0xf28981fa @@ -124982,6 +156228,8 @@ 0 0 0 +2 +4 7 0x1789 0xd9b940f5 @@ -124990,6 +156238,8 @@ 1 1 0 +2 +1 9 0x20a3 0x99e87ace @@ -124998,6 +156248,8 @@ 1 1 0 +0 +4 5 0xec58 0xaec80bfe @@ -125006,6 +156258,8 @@ 0 0 0 +4 +2 8 0xfd70 0x440e6af7 @@ -125015,6 +156269,8 @@ 0 0 4 +0 +4 0x279b 0xef952b29 256 @@ -125022,6 +156278,8 @@ 0 0 0 +5 +0 8 0x59c5 0xaaee7b1e @@ -125030,6 +156288,8 @@ 1 1 0 +4 +4 6 0xb551 0xd3378dc3 @@ -125038,6 +156298,8 @@ 1 0 0 +5 +1 2 0xdfb6 0x68f4e97 @@ -125046,6 +156308,8 @@ 0 0 0 +3 +0 9 0x6ed0 0xee3452b0 @@ -125054,6 +156318,8 @@ 0 0 0 +1 +2 8 0x50c1 0x5902be78 @@ -125062,6 +156328,8 @@ 0 0 0 +4 +4 2 0xb5d4 0x4b192107 @@ -125070,6 +156338,8 @@ 0 0 0 +1 +3 3 0xb2a2 0x3a6e4c3a @@ -125078,6 +156348,8 @@ 0 0 0 +5 +2 2 0x74ef 0x21fbddf0 @@ -125086,6 +156358,8 @@ 0 0 0 +2 +5 6 0xa412 0x9d1aa8a3 @@ -125094,6 +156368,8 @@ 0 0 0 +0 +4 5 0x9247 0xca2210e5 @@ -125102,6 +156378,8 @@ 1 0 0 +5 +5 9 0x3ea3 0xb760f7de @@ -125110,6 +156388,8 @@ 1 0 0 +1 +5 2 0x28b 0x30a3bcd9 @@ -125118,6 +156398,8 @@ 1 0 0 +1 +4 4 0x75aa 0x620a1c6f @@ -125126,6 +156408,8 @@ 1 0 0 +0 +2 9 0xa9b8 0x6591f299 @@ -125134,6 +156418,8 @@ 0 0 0 +2 +5 4 0x681f 0x19c517b3 @@ -125142,6 +156428,8 @@ 1 0 0 +0 +2 8 0xf824 0xafe387c3 @@ -125150,6 +156438,8 @@ 1 1 0 +2 +1 1 0x11d1 0xd2cfa070 @@ -125158,6 +156448,8 @@ 0 0 0 +1 +4 6 0x76d9 0x585a743 @@ -125166,6 +156458,8 @@ 1 0 0 +5 +1 3 0x743a 0x22cae18d @@ -125174,6 +156468,8 @@ 0 0 0 +2 +2 5 0x8c41 0xd122cba0 @@ -125182,6 +156478,8 @@ 1 0 0 +0 +4 6 0x6661 0x1fd1f6ab @@ -125190,6 +156488,8 @@ 0 0 0 +2 +2 6 0x1b2f 0x634fcf58 @@ -125198,6 +156498,8 @@ 0 0 0 +3 +5 4 0xc660 0x1290dea6 @@ -125206,6 +156508,8 @@ 1 0 0 +0 +5 2 0x7822 0xeb2a67d @@ -125215,6 +156519,8 @@ 0 0 1 +5 +1 0x9cc9 0x360e1cb8 256 @@ -125222,6 +156528,8 @@ 1 0 0 +0 +2 6 0xcfff 0xca3bf2db @@ -125230,6 +156538,8 @@ 0 0 0 +1 +1 7 0xf06 0x98fb5f50 @@ -125238,6 +156548,8 @@ 1 0 0 +0 +1 3 0x8483 0x91c5f705 @@ -125246,6 +156558,8 @@ 0 0 0 +0 +4 9 0x1ea4 0xee70d221 @@ -125254,6 +156568,8 @@ 0 0 0 +0 +0 8 0xba36 0x70465b8d @@ -125262,6 +156578,8 @@ 0 0 0 +0 +5 2 0xaebf 0x84261303 @@ -125270,6 +156588,8 @@ 0 0 0 +1 +5 5 0xafeb 0x8a9d8cd0 @@ -125278,6 +156598,8 @@ 0 0 0 +3 +0 10 0x7fd3 0xdeb2329d @@ -125286,6 +156608,8 @@ 1 1 0 +3 +5 7 0x988b 0x8bd77980 @@ -125294,6 +156618,8 @@ 1 1 0 +3 +4 7 0x22eb 0x5a6f4344 @@ -125302,6 +156628,8 @@ 1 0 0 +0 +4 9 0xb018 0x6dce67c1 @@ -125310,6 +156638,8 @@ 1 1 0 +1 +0 9 0xce12 0xbe5f4b69 @@ -125318,6 +156648,8 @@ 1 0 0 +1 +0 6 0xe369 0x2a682c49 @@ -125326,6 +156658,8 @@ 0 0 0 +2 +1 1 0xb9e4 0x3bf0a8eb @@ -125334,6 +156668,8 @@ 1 1 0 +5 +1 2 0x9777 0xe90a7be9 @@ -125342,6 +156678,8 @@ 0 0 0 +0 +2 8 0x74da 0x1457e72b @@ -125351,6 +156689,8 @@ 0 0 3 +5 +3 0xb095 0x22cc158f 256 @@ -125358,6 +156698,8 @@ 1 0 0 +4 +4 1 0xbde 0xa8a9dc1 @@ -125366,6 +156708,8 @@ 0 0 0 +3 +0 4 0x6ef2 0x153570b3 @@ -125374,6 +156718,8 @@ 0 0 0 +3 +5 10 0x5db3 0xda96aca6 @@ -125382,6 +156728,8 @@ 0 0 0 +4 +3 8 0xe6e8 0xe7ec546b @@ -125390,6 +156738,8 @@ 0 0 0 +5 +0 4 0x7312 0x20b7dc5b @@ -125398,6 +156748,8 @@ 0 0 0 +1 +5 7 0x8d05 0xd75aed10 @@ -125406,6 +156758,8 @@ 1 1 0 +5 +5 6 0xf61f 0xdb697a25 @@ -125414,6 +156768,8 @@ 1 0 0 +0 +3 8 0x2620 0x5e8fe008 @@ -125422,6 +156778,8 @@ 1 1 0 +1 +3 4 0xf607 0xa2db1c28 @@ -125430,6 +156788,8 @@ 0 0 0 +5 +3 4 0x623 0x648dc9b1 @@ -125438,6 +156798,8 @@ 1 1 0 +5 +0 2 0x547b 0x8f3d83f @@ -125446,6 +156808,8 @@ 0 0 0 +0 +2 2 0x5f62 0xbf4f0d19 @@ -125454,6 +156818,8 @@ 0 0 0 +2 +4 10 0x3792 0x94f30541 @@ -125462,6 +156828,8 @@ 1 1 0 +4 +0 10 0xb964 0xe6dc1148 @@ -125471,6 +156839,8 @@ 0 0 5 +1 +5 0xef78 0x63ca3aef 256 @@ -125478,6 +156848,8 @@ 1 0 0 +1 +5 6 0x657c 0x1a125183 @@ -125487,6 +156859,8 @@ 1 0 2 +5 +2 0x7b91 0x71c84d3b 256 @@ -125494,6 +156868,8 @@ 0 0 0 +1 +5 6 0xcdd0 0x1705d2e4 @@ -125502,6 +156878,8 @@ 0 0 0 +2 +3 4 0x989d 0x8ae5204b @@ -125510,6 +156888,8 @@ 1 1 0 +4 +3 3 0x2991 0xcf621c0f @@ -125518,6 +156898,8 @@ 1 0 0 +1 +1 4 0x6c50 0x6cd0c3c5 @@ -125526,6 +156908,8 @@ 1 0 0 +3 +0 4 0xcd2a 0x68a59f4 @@ -125534,6 +156918,8 @@ 1 1 0 +0 +5 1 0x1a47 0x36e47d68 @@ -125542,6 +156928,8 @@ 0 0 0 +1 +0 9 0xbd9d 0x6f93fa33 @@ -125550,6 +156938,8 @@ 0 0 0 +4 +0 2 0x5f34 0xa22e1c97 @@ -125558,6 +156948,8 @@ 1 1 0 +3 +4 7 0xcec 0x68554c6c @@ -125566,6 +156958,8 @@ 1 0 0 +0 +0 9 0xebe1 0xd77435be @@ -125574,6 +156968,8 @@ 0 0 0 +4 +4 7 0x21c0 0x33999d7d @@ -125582,6 +156978,8 @@ 0 0 0 +0 +1 4 0x3c8f 0x82c67274 @@ -125590,6 +156988,8 @@ 1 0 0 +5 +1 6 0x9951 0xd13d98d6 @@ -125598,6 +156998,8 @@ 1 1 0 +1 +1 7 0x32ef 0xcb79de9a @@ -125606,6 +157008,8 @@ 0 0 0 +5 +4 10 0xee2f 0x697e14bd @@ -125614,6 +157018,8 @@ 0 0 0 +0 +5 8 0x7d3f 0xcc68d4d8 @@ -125623,6 +157029,8 @@ 1 0 1 +3 +1 0xdb41 0xd9cf7ba9 256 @@ -125631,6 +157039,8 @@ 1 0 5 +2 +5 0x7d8d 0x2245d474 256 @@ -125638,6 +157048,8 @@ 1 1 0 +3 +0 1 0x806f 0xbec0b398 @@ -125646,6 +157058,8 @@ 1 0 0 +2 +4 8 0x1976 0x4eb3b8ea @@ -125654,6 +157068,8 @@ 0 0 0 +4 +4 5 0x5d2b 0xb2353af9 @@ -125662,6 +157078,8 @@ 0 0 0 +1 +2 3 0x5d2a 0xc9da06aa @@ -125670,6 +157088,8 @@ 1 1 0 +5 +5 10 0xfaf8 0x3122db38 @@ -125678,6 +157098,8 @@ 0 0 0 +0 +3 10 0xf254 0x3883e854 @@ -125686,6 +157108,8 @@ 0 0 0 +0 +1 9 0xfa28 0x91516cb8 @@ -125694,6 +157118,8 @@ 0 0 0 +2 +3 3 0xe74b 0xca0b315e @@ -125702,6 +157128,8 @@ 1 0 0 +2 +1 6 0xa230 0xa4fdb998 @@ -125710,6 +157138,8 @@ 1 0 0 +5 +4 1 0xd25c 0x370a9bf9 @@ -125718,6 +157148,8 @@ 0 0 0 +3 +2 6 0x75e6 0x6932204f @@ -125726,6 +157158,8 @@ 1 1 0 +5 +3 10 0x8ece 0xe2a96263 @@ -125734,6 +157168,8 @@ 1 0 0 +4 +0 6 0x762c 0xd583296e @@ -125742,6 +157178,8 @@ 1 0 0 +3 +1 1 0xdf76 0xde4c64a6 @@ -125750,6 +157188,8 @@ 0 0 0 +2 +5 6 0xb1e3 0x24e83c93 @@ -125758,6 +157198,8 @@ 1 0 0 +4 +5 10 0x4205 0x768ad0a9 @@ -125766,6 +157208,8 @@ 1 0 0 +3 +0 2 0x63ec 0xe7d0f925 @@ -125774,6 +157218,8 @@ 0 0 0 +0 +4 1 0x7fcf 0x8b04ff34 @@ -125782,6 +157228,8 @@ 1 0 0 +5 +4 7 0xccec 0x96d2a5b0 @@ -125790,6 +157238,8 @@ 1 0 0 +5 +1 2 0xfe91 0xedc71bee @@ -125798,6 +157248,8 @@ 0 0 0 +2 +0 8 0x33a7 0x1f17e748 @@ -125806,6 +157258,8 @@ 1 0 0 +2 +4 8 0xd658 0xfc7fa6fc @@ -125814,6 +157268,8 @@ 1 0 0 +0 +3 1 0x58b2 0xf84e55b6 @@ -125822,6 +157278,8 @@ 1 0 0 +3 +0 4 0x39bc 0xc455f095 @@ -125830,6 +157288,8 @@ 0 0 0 +4 +5 3 0x1e38 0x8344f1d1 @@ -125838,6 +157298,8 @@ 0 0 0 +4 +1 6 0x36fb 0x8011ae2f @@ -125846,6 +157308,8 @@ 1 0 0 +0 +3 6 0xd1ca 0x16d41f3e @@ -125854,6 +157318,8 @@ 0 0 0 +1 +0 10 0xb63d 0xb38541a5 @@ -125862,6 +157328,8 @@ 1 1 0 +5 +4 9 0xdedc 0x50165fc3 @@ -125870,6 +157338,8 @@ 1 1 0 +2 +5 3 0xd46 0x4aee78df @@ -125878,6 +157348,8 @@ 1 0 0 +0 +1 10 0x7781 0xaaee3920 @@ -125886,6 +157358,8 @@ 0 0 0 +2 +1 7 0x6715 0xa91fb37f @@ -125894,6 +157368,8 @@ 1 0 0 +0 +2 5 0x1475 0x60425a68 @@ -125902,6 +157378,8 @@ 0 0 0 +4 +0 8 0x6966 0x5f29a225 @@ -125910,6 +157388,8 @@ 1 0 0 +4 +3 3 0xf365 0xfb811e79 @@ -125918,6 +157398,8 @@ 0 0 0 +4 +0 9 0xc29 0x8a48d0a5 @@ -125926,6 +157408,8 @@ 0 0 0 +3 +3 5 0xefc0 0x563e5c8d @@ -125934,6 +157418,8 @@ 0 0 0 +3 +2 6 0xe08b 0x555d15f5 @@ -125943,6 +157429,8 @@ 0 0 5 +0 +5 0xe5c1 0xae69fee9 256 @@ -125950,6 +157438,8 @@ 0 0 0 +4 +0 9 0x43ff 0x4d11928b @@ -125958,6 +157448,8 @@ 1 0 0 +4 +0 10 0x6885 0x28596d52 @@ -125966,6 +157458,8 @@ 0 0 0 +3 +0 7 0x435f 0xf898738f @@ -125974,6 +157468,8 @@ 1 0 0 +1 +2 3 0x806 0xe13748a2 @@ -125982,6 +157478,8 @@ 0 0 0 +3 +5 7 0xf357 0xfcf9459c @@ -125990,6 +157488,8 @@ 1 0 0 +2 +5 9 0xb49a 0x248ebc11 @@ -125998,6 +157498,8 @@ 1 1 0 +5 +0 10 0x7641 0xfa60a6a1 @@ -126006,6 +157508,8 @@ 1 0 0 +1 +3 3 0x7454 0x703ab187 @@ -126014,6 +157518,8 @@ 1 0 0 +1 +5 4 0xd436 0x9fcdd02e @@ -126022,6 +157528,8 @@ 0 0 0 +2 +5 3 0x456a 0xd8403e8e @@ -126030,6 +157538,8 @@ 0 0 0 +2 +4 9 0xdd03 0xb8a95e69 @@ -126038,6 +157548,8 @@ 0 0 0 +1 +1 8 0x5d08 0x8d2288fd @@ -126046,6 +157558,8 @@ 1 0 0 +3 +1 6 0x15b3 0x796f719d @@ -126054,6 +157568,8 @@ 0 0 0 +1 +4 4 0xe167 0x6d78203a @@ -126063,6 +157579,8 @@ 1 0 2 +3 +2 0x4570 0xe6dbd7b8 256 @@ -126070,6 +157588,8 @@ 1 1 0 +0 +4 2 0x5732 0xf4899d3f @@ -126078,6 +157598,8 @@ 0 0 0 +3 +2 5 0xb7a3 0x48ee14f8 @@ -126087,6 +157609,8 @@ 0 0 3 +5 +3 0xc2ad 0xf5d84e09 256 @@ -126094,6 +157618,8 @@ 0 0 0 +2 +1 3 0x3750 0xe8a910ff @@ -126102,6 +157628,8 @@ 0 0 0 +0 +0 1 0x3bc0 0xecf150b8 @@ -126110,6 +157638,8 @@ 0 0 0 +0 +1 3 0xbf6d 0x983e02ae @@ -126118,6 +157648,8 @@ 0 0 0 +4 +2 3 0xd25a 0x5d605c8d @@ -126126,6 +157658,8 @@ 1 0 0 +4 +4 5 0x4de9 0x53bac775 @@ -126134,6 +157668,8 @@ 1 1 0 +5 +4 10 0x4801 0xf59dd0b3 @@ -126142,6 +157678,8 @@ 1 1 0 +0 +0 6 0xb234 0xe6b40b57 @@ -126150,6 +157688,8 @@ 0 0 0 +2 +5 4 0x1828 0xe5cca7d5 @@ -126158,6 +157698,8 @@ 0 0 0 +0 +1 8 0x13e8 0xeb307b7d @@ -126166,6 +157708,8 @@ 1 0 0 +4 +1 7 0x47c4 0x7b292465 @@ -126174,6 +157718,8 @@ 1 1 0 +4 +5 5 0x669a 0x1757ef18 @@ -126183,6 +157729,8 @@ 0 0 1 +0 +1 0xf5f7 0xc806e3be 256 @@ -126190,6 +157738,8 @@ 1 1 0 +0 +2 1 0xffc 0x3d5a729 @@ -126198,6 +157748,8 @@ 1 1 0 +1 +4 6 0x2c02 0xbda7695a @@ -126206,6 +157758,8 @@ 0 0 0 +5 +1 7 0x9990 0x32888168 @@ -126214,6 +157768,8 @@ 0 0 0 +4 +4 5 0x41ef 0x90ace260 @@ -126222,6 +157778,8 @@ 1 1 0 +4 +2 8 0x7902 0xeece0b4f @@ -126230,6 +157788,8 @@ 1 1 0 +5 +1 8 0x492f 0x845ad146 @@ -126239,6 +157799,8 @@ 0 0 2 +5 +2 0x8e0c 0x5af390a 256 @@ -126246,6 +157808,8 @@ 1 1 0 +3 +3 8 0x5746 0x61e72204 @@ -126254,6 +157818,8 @@ 0 0 0 +5 +0 4 0x1aab 0xefa0b3cf @@ -126262,6 +157828,8 @@ 1 1 0 +2 +5 6 0x51b5 0xf3b8dc83 @@ -126271,6 +157839,8 @@ 0 0 4 +0 +4 0xaebc 0xb5b111ed 256 @@ -126279,6 +157849,8 @@ 0 0 4 +1 +4 0xfb2a 0xb2ffdb73 256 @@ -126286,6 +157858,8 @@ 1 1 0 +5 +5 3 0xabb6 0x71c7af6f @@ -126294,6 +157868,8 @@ 1 0 0 +0 +2 1 0xf0b7 0x32835a4c @@ -126302,6 +157878,8 @@ 1 0 0 +0 +4 7 0x6efd 0xb5da6798 @@ -126310,6 +157888,8 @@ 1 1 0 +0 +3 8 0x29f6 0xde2674b4 @@ -126318,6 +157898,8 @@ 0 0 0 +0 +1 6 0x6206 0x85810936 @@ -126326,6 +157908,8 @@ 1 1 0 +3 +4 7 0xc487 0xc92fb496 @@ -126334,6 +157918,8 @@ 1 0 0 +5 +2 1 0xe0e9 0xebccdb40 @@ -126342,6 +157928,8 @@ 1 0 0 +0 +1 5 0xf1fe 0x28f84e23 @@ -126350,6 +157938,8 @@ 1 1 0 +4 +5 2 0x9866 0xa40c08ef @@ -126358,6 +157948,8 @@ 1 0 0 +3 +5 1 0xf739 0x36f749ff @@ -126366,6 +157958,8 @@ 1 0 0 +5 +1 2 0x1ae8 0x8a6c690e @@ -126375,6 +157969,8 @@ 1 0 3 +4 +3 0x657 0x78012706 256 @@ -126382,6 +157978,8 @@ 1 1 0 +2 +2 3 0xd9b 0xb2e9ce3e @@ -126390,6 +157988,8 @@ 1 1 0 +3 +5 4 0x773e 0x57019fd6 @@ -126398,6 +157998,8 @@ 1 1 0 +2 +4 8 0x8567 0xf9ca02c @@ -126406,6 +158008,8 @@ 0 0 0 +5 +2 2 0x2524 0x379eb514 @@ -126414,6 +158018,8 @@ 1 0 0 +5 +1 6 0x640d 0x29bf709c @@ -126422,6 +158028,8 @@ 1 0 0 +5 +4 3 0x667c 0xc7bbadca @@ -126430,6 +158038,8 @@ 0 0 0 +3 +2 8 0x9aa4 0x27c8531e @@ -126439,6 +158049,8 @@ 1 0 3 +2 +3 0x3a8 0xfe279295 256 @@ -126446,6 +158058,8 @@ 0 0 0 +5 +3 9 0x83a6 0x231f5d93 @@ -126454,6 +158068,8 @@ 0 0 0 +4 +1 9 0x2985 0x39b0a25a @@ -126462,6 +158078,8 @@ 0 0 0 +5 +3 9 0xe0ed 0x445f3c44 @@ -126470,6 +158088,8 @@ 0 0 0 +4 +1 7 0xf430 0x7f67ff31 @@ -126478,6 +158098,8 @@ 0 0 0 +1 +3 9 0x1629 0x7f920535 @@ -126486,6 +158108,8 @@ 1 0 0 +4 +5 6 0xb677 0x49acd27b @@ -126494,6 +158118,8 @@ 0 0 0 +5 +1 9 0xa2b9 0xa57dbc7b @@ -126502,6 +158128,8 @@ 0 0 0 +1 +4 7 0x70b4 0xf991debf @@ -126510,6 +158138,8 @@ 1 1 0 +5 +3 6 0xeeeb 0x911d03eb @@ -126518,6 +158148,8 @@ 1 1 0 +0 +3 9 0x975d 0xb55954e5 @@ -126526,6 +158158,8 @@ 1 1 0 +0 +2 10 0x184c 0x817e8d8a @@ -126534,6 +158168,8 @@ 1 0 0 +4 +2 3 0xee8f 0x3ab52af4 @@ -126542,6 +158178,8 @@ 1 0 0 +3 +2 7 0xb96a 0x582eca17 @@ -126550,6 +158188,8 @@ 0 0 0 +1 +0 9 0xc858 0xd3dc2c89 @@ -126558,6 +158198,8 @@ 1 0 0 +3 +5 8 0xdc50 0x42526c9e @@ -126566,6 +158208,8 @@ 0 0 0 +5 +1 1 0xe923 0x3c74efe6 @@ -126574,6 +158218,8 @@ 0 0 0 +3 +2 8 0x7824 0x37139f13 @@ -126582,6 +158228,8 @@ 0 0 0 +1 +5 6 0x8886 0x86f887f4 @@ -126590,6 +158238,8 @@ 0 0 0 +3 +4 6 0x9b08 0xa15b750e @@ -126598,6 +158248,8 @@ 1 1 0 +0 +4 4 0x4902 0xe11d4be @@ -126606,6 +158258,8 @@ 1 1 0 +0 +5 1 0x1c92 0x5278c005 @@ -126614,6 +158268,8 @@ 0 0 0 +0 +0 3 0xc914 0x608a9f5f @@ -126622,6 +158278,8 @@ 1 1 0 +3 +5 7 0x70e0 0xfb6e2d96 @@ -126630,6 +158288,8 @@ 1 0 0 +1 +5 7 0x9331 0x804ce257 @@ -126639,6 +158299,8 @@ 1 0 3 +5 +3 0x955a 0x7045500a 256 @@ -126646,6 +158308,8 @@ 1 1 0 +1 +1 8 0x1a69 0xbca98f5c @@ -126654,6 +158318,8 @@ 1 1 0 +4 +4 2 0xab74 0x30b9d8de @@ -126662,6 +158328,8 @@ 1 0 0 +5 +2 8 0xe4b2 0x19898937 @@ -126670,6 +158338,8 @@ 1 1 0 +4 +1 8 0xff18 0x31ab5636 @@ -126678,6 +158348,8 @@ 1 1 0 +4 +0 10 0x1dbd 0x5f766c47 @@ -126686,6 +158358,8 @@ 0 0 0 +4 +3 9 0xea26 0x8a42eacb @@ -126694,6 +158368,8 @@ 1 1 0 +1 +0 6 0xf6b1 0x4af72ba4 @@ -126702,6 +158378,8 @@ 1 0 0 +3 +3 1 0xf2e4 0x46d77f5c @@ -126710,6 +158388,8 @@ 1 1 0 +2 +4 8 0xeb78 0xc9f66b86 @@ -126719,6 +158399,8 @@ 0 0 2 +2 +2 0x81b2 0x166e19a0 256 @@ -126726,6 +158408,8 @@ 1 1 0 +0 +2 8 0x8e92 0x2cb060db @@ -126734,6 +158418,8 @@ 0 0 0 +5 +3 1 0x6b74 0x1df52068 @@ -126742,6 +158428,8 @@ 0 0 0 +4 +0 3 0x3d76 0x861b32b3 @@ -126750,6 +158438,8 @@ 1 1 0 +0 +3 2 0xd28 0x7f058786 @@ -126758,6 +158448,8 @@ 1 1 0 +5 +4 6 0xac90 0xcff5ab29 @@ -126766,6 +158458,8 @@ 0 0 0 +1 +3 7 0xbd1 0x6b237e7d @@ -126774,6 +158468,8 @@ 0 0 0 +2 +5 10 0x7256 0x145524f5 @@ -126782,6 +158478,8 @@ 0 0 0 +1 +5 10 0x30ae 0x21389d8a @@ -126790,6 +158488,8 @@ 0 0 0 +5 +0 3 0xbfaa 0xf6bba1d3 @@ -126798,6 +158498,8 @@ 0 0 0 +3 +4 6 0x5405 0x2f794917 @@ -126806,6 +158508,8 @@ 1 1 0 +1 +5 7 0x50a 0x8622ebd9 @@ -126814,6 +158518,8 @@ 1 1 0 +3 +5 2 0xc00c 0xcff1a1f5 @@ -126822,6 +158528,8 @@ 0 0 0 +2 +0 8 0x9cd9 0x61cdf01e @@ -126830,6 +158538,8 @@ 0 0 0 +4 +2 6 0x1b83 0x38f72a41 @@ -126838,6 +158548,8 @@ 1 0 0 +5 +0 10 0x9eb2 0xf3f32615 @@ -126846,6 +158558,8 @@ 1 0 0 +3 +2 8 0xd336 0x87c010b @@ -126854,6 +158568,8 @@ 0 0 0 +3 +1 4 0xfdb8 0x4ad62459 @@ -126862,6 +158578,8 @@ 0 0 0 +4 +0 1 0xd964 0xc6a1539d @@ -126870,6 +158588,8 @@ 1 1 0 +5 +2 3 0x74f8 0x78661518 @@ -126878,6 +158598,8 @@ 1 1 0 +0 +5 2 0xb249 0x82e5fbdd @@ -126886,6 +158608,8 @@ 0 0 0 +5 +3 3 0x6765 0x761af40c @@ -126894,6 +158618,8 @@ 0 0 0 +2 +5 6 0x5e90 0xc7e4876e @@ -126902,6 +158628,8 @@ 0 0 0 +0 +1 7 0xa5e0 0x64716090 @@ -126910,6 +158638,8 @@ 0 0 0 +5 +4 8 0x7a4b 0x1b99497f @@ -126918,6 +158648,8 @@ 1 0 0 +4 +5 3 0xff5b 0xdb55173d @@ -126926,6 +158658,8 @@ 0 0 0 +0 +4 1 0x65fa 0x1a5470a1 @@ -126934,6 +158668,8 @@ 0 0 0 +5 +4 10 0x8c65 0x9009bff9 @@ -126942,6 +158678,8 @@ 1 1 0 +3 +4 7 0x18ac 0xb8837dca @@ -126950,6 +158688,8 @@ 0 0 0 +5 +3 8 0x36e8 0xd44314be @@ -126958,6 +158698,8 @@ 1 0 0 +2 +5 5 0x4c22 0xe9d34822 @@ -126966,6 +158708,8 @@ 1 1 0 +3 +0 1 0xc091 0x86afed1b @@ -126974,6 +158718,8 @@ 0 0 0 +5 +1 3 0x9393 0x75945edb @@ -126982,6 +158728,8 @@ 1 0 0 +4 +2 7 0x66a9 0xb187808 @@ -126990,6 +158738,8 @@ 1 0 0 +3 +5 5 0x560e 0x2d045f4d @@ -126998,6 +158748,8 @@ 0 0 0 +5 +2 8 0x50d0 0x7d5266a9 @@ -127006,6 +158758,8 @@ 1 1 0 +1 +3 5 0xdb4 0x4c9ea9f6 @@ -127014,6 +158768,8 @@ 1 0 0 +3 +3 9 0x24c8 0x8dd8ddcf @@ -127022,6 +158778,8 @@ 1 0 0 +2 +0 8 0x5361 0x1f10839c @@ -127030,6 +158788,8 @@ 0 0 0 +5 +0 8 0x244b 0xa58d23ac @@ -127038,6 +158798,8 @@ 1 0 0 +0 +0 6 0xed1d 0xad30a0f3 @@ -127046,6 +158808,8 @@ 1 0 0 +0 +5 4 0xa92e 0xef7d2fbb @@ -127054,6 +158818,8 @@ 0 0 0 +0 +4 7 0x35f7 0x998f9da3 @@ -127062,6 +158828,8 @@ 0 0 0 +5 +3 7 0xb91c 0x45d5233d @@ -127070,6 +158838,8 @@ 1 1 0 +5 +5 3 0x62c7 0x11a9d43c @@ -127078,6 +158848,8 @@ 1 0 0 +4 +0 3 0xebbe 0xe4407551 @@ -127086,6 +158858,8 @@ 0 0 0 +3 +5 1 0xd305 0x141cd4ce @@ -127094,6 +158868,8 @@ 1 1 0 +2 +3 6 0x7615 0x7a0dbdd0 @@ -127102,6 +158878,8 @@ 0 0 0 +4 +2 9 0xecae 0xdb7e09a @@ -127110,6 +158888,8 @@ 0 0 0 +1 +4 9 0x1863 0x447f5c1 @@ -127118,6 +158898,8 @@ 0 0 0 +3 +0 10 0x3998 0x6b3b2609 @@ -127126,6 +158908,8 @@ 1 0 0 +0 +1 3 0x2573 0xb47ae92a @@ -127134,6 +158918,8 @@ 1 0 0 +0 +2 3 0xa9ee 0x5b037875 @@ -127142,6 +158928,8 @@ 1 1 0 +2 +3 1 0x472 0x804047ca @@ -127151,6 +158939,8 @@ 1 0 3 +0 +3 0xf0bb 0x21749bb0 256 @@ -127158,6 +158948,8 @@ 1 1 0 +4 +2 1 0x46b1 0x3c60b7ef @@ -127166,6 +158958,8 @@ 1 1 0 +2 +5 9 0x44d3 0xc705af4a @@ -127174,6 +158968,8 @@ 1 0 0 +2 +2 1 0x58ed 0x1bdeedfa @@ -127182,6 +158978,8 @@ 1 1 0 +5 +4 1 0x4fac 0x3f7a6843 @@ -127190,6 +158988,8 @@ 0 0 0 +2 +5 7 0xe4fc 0xffba0be6 @@ -127199,6 +158999,8 @@ 0 0 5 +4 +5 0xef95 0xb759be28 256 @@ -127206,6 +159008,8 @@ 0 0 0 +1 +4 5 0xcff6 0x45b1879f @@ -127214,6 +159018,8 @@ 0 0 0 +2 +3 4 0x9365 0x2e4925a2 @@ -127222,6 +159028,8 @@ 0 0 0 +1 +1 2 0x17c9 0x6234f901 @@ -127230,6 +159038,8 @@ 0 0 0 +0 +0 2 0x3b97 0xdbb25527 @@ -127238,6 +159048,8 @@ 0 0 0 +2 +2 5 0xef6c 0x2b680f89 @@ -127246,6 +159058,8 @@ 1 0 0 +3 +3 9 0x571d 0x5659b9be @@ -127254,6 +159068,8 @@ 1 0 0 +3 +4 1 0x4b63 0x605df7e1 @@ -127262,6 +159078,8 @@ 0 0 0 +3 +1 8 0xc23b 0xeaab9c2d @@ -127270,6 +159088,8 @@ 1 0 0 +3 +5 6 0xa89a 0x4f88483d @@ -127278,6 +159098,8 @@ 0 0 0 +1 +0 6 0x99a4 0xef7b00e6 @@ -127286,6 +159108,8 @@ 0 0 0 +0 +0 2 0x5d2d 0xb522ba9f @@ -127294,6 +159118,8 @@ 0 0 0 +1 +4 4 0xfd64 0x886e3595 @@ -127302,6 +159128,8 @@ 1 1 0 +4 +2 5 0xf543 0x15b23acc @@ -127310,6 +159138,8 @@ 0 0 0 +0 +4 3 0xe7b7 0x355a1bcb @@ -127318,6 +159148,8 @@ 0 0 0 +5 +0 10 0xa1ae 0xc6d9b8e3 @@ -127326,6 +159158,8 @@ 0 0 0 +2 +4 10 0x8057 0x6c3f0670 @@ -127334,6 +159168,8 @@ 0 0 0 +1 +5 4 0x888f 0x3c05bd17 @@ -127342,6 +159178,8 @@ 0 0 0 +4 +3 8 0x4896 0x78509ecb @@ -127350,6 +159188,8 @@ 0 0 0 +3 +0 7 0x67ec 0x3993146d @@ -127358,6 +159198,8 @@ 1 0 0 +2 +0 1 0x1ede 0x25336fe5 @@ -127366,6 +159208,8 @@ 1 1 0 +0 +3 7 0x3ded 0x8b133be7 @@ -127374,6 +159218,8 @@ 1 1 0 +1 +2 7 0x151a 0x89b6645e @@ -127382,6 +159228,8 @@ 1 1 0 +1 +3 8 0xdb94 0x180813ae @@ -127390,6 +159238,8 @@ 1 1 0 +0 +1 7 0xf33c 0xf987d5ad @@ -127398,6 +159248,8 @@ 1 1 0 +2 +4 9 0x9ee5 0x40ddc14c @@ -127406,6 +159258,8 @@ 1 1 0 +1 +1 9 0x3827 0x8e6bbdaf @@ -127415,6 +159269,8 @@ 1 0 3 +5 +3 0xe5c1 0x9d602660 256 @@ -127422,6 +159278,8 @@ 0 0 0 +1 +1 3 0x941 0xe3d0f8c1 @@ -127430,6 +159288,8 @@ 1 0 0 +4 +2 6 0xe783 0x7ddd0751 @@ -127438,6 +159298,8 @@ 0 0 0 +5 +2 7 0xbf09 0xdd6affc7 @@ -127446,6 +159308,8 @@ 0 0 0 +5 +5 8 0x3e3a 0x2d241d90 @@ -127454,6 +159318,8 @@ 1 0 0 +5 +1 7 0xdd0 0xcd37ed6d @@ -127462,6 +159328,8 @@ 1 1 0 +3 +2 7 0x3d23 0xa0f3f0e6 @@ -127470,6 +159338,8 @@ 1 0 0 +4 +4 2 0xc6c4 0xf9d10ddb @@ -127478,6 +159348,8 @@ 1 1 0 +4 +4 5 0xf15c 0xf03bf11a @@ -127486,6 +159358,8 @@ 1 0 0 +3 +4 2 0x30d3 0x502fa1f8 @@ -127494,6 +159368,8 @@ 1 1 0 +1 +5 9 0x4a92 0xd7e00ec1 @@ -127502,6 +159378,8 @@ 0 0 0 +3 +2 2 0x209e 0x72a3bf9b @@ -127510,6 +159388,8 @@ 1 1 0 +4 +5 5 0x5d4c 0x9cf2334 @@ -127518,6 +159398,8 @@ 1 0 0 +2 +0 8 0xd26d 0x9c9cbf5 @@ -127526,6 +159408,8 @@ 1 1 0 +4 +5 2 0xee51 0xea51fa08 @@ -127534,6 +159418,8 @@ 0 0 0 +2 +2 6 0xae6b 0x882a8d9b @@ -127542,6 +159428,8 @@ 0 0 0 +1 +1 3 0x2d6d 0xa62fa7c4 @@ -127550,6 +159438,8 @@ 0 0 0 +5 +3 2 0x764c 0xf72cff85 @@ -127558,6 +159448,8 @@ 1 0 0 +5 +3 2 0x2035 0x28bb96ef @@ -127566,6 +159458,8 @@ 1 0 0 +0 +3 8 0x6f7f 0x4f23acd2 @@ -127574,6 +159468,8 @@ 0 0 0 +2 +5 5 0x4c8c 0xdfb5cf07 @@ -127583,6 +159479,8 @@ 0 0 2 +2 +2 0xc01a 0x15bd47db 256 @@ -127590,6 +159488,8 @@ 0 0 0 +3 +2 9 0xad45 0x2fd85fda @@ -127598,6 +159498,8 @@ 1 1 0 +1 +4 4 0x4f44 0x833b24d4 @@ -127606,6 +159508,8 @@ 1 1 0 +4 +5 9 0x533f 0x6aee8f4c @@ -127615,6 +159519,8 @@ 1 0 4 +4 +4 0x58bd 0x8130b550 256 @@ -127622,6 +159528,8 @@ 0 0 0 +2 +3 5 0xf285 0xcfff1f11 @@ -127630,6 +159538,8 @@ 0 0 0 +3 +1 8 0x7f2d 0xf6b75d41 @@ -127638,6 +159548,8 @@ 1 0 0 +5 +3 2 0x5f9 0x74af197c @@ -127646,6 +159558,8 @@ 1 0 0 +1 +1 4 0xb679 0x22306275 @@ -127654,6 +159568,8 @@ 1 1 0 +2 +0 6 0x7f64 0x3e7baf57 @@ -127662,6 +159578,8 @@ 1 0 0 +0 +3 5 0xae19 0xc116a5db @@ -127670,6 +159588,8 @@ 0 0 0 +0 +4 4 0xaa39 0xc265ab2e @@ -127678,6 +159598,8 @@ 1 1 0 +5 +0 10 0xb192 0x414e7f88 @@ -127686,6 +159608,8 @@ 0 0 0 +2 +5 5 0xff8c 0x27082e36 @@ -127694,6 +159618,8 @@ 1 0 0 +3 +2 8 0x2d48 0x9dd49521 @@ -127702,6 +159628,8 @@ 1 0 0 +4 +3 2 0x97b5 0xccb95bad @@ -127710,6 +159638,8 @@ 0 0 0 +1 +0 6 0x39d9 0x3cb7a53a @@ -127718,6 +159648,8 @@ 0 0 0 +2 +5 1 0xf44f 0xc42c877c @@ -127726,6 +159658,8 @@ 1 0 0 +3 +2 8 0x303c 0x78f8afa5 @@ -127734,6 +159668,8 @@ 1 1 0 +0 +1 9 0xd4b7 0x16b2afb1 @@ -127742,6 +159678,8 @@ 1 1 0 +0 +4 10 0x3587 0xfef17420 @@ -127750,6 +159688,8 @@ 0 0 0 +3 +1 7 0xe761 0x265d9e45 @@ -127758,6 +159698,8 @@ 0 0 0 +2 +1 10 0xf36 0xc13a29ef @@ -127766,6 +159708,8 @@ 1 1 0 +2 +1 4 0xd10a 0x52f9943a @@ -127775,6 +159719,8 @@ 1 0 1 +4 +1 0x4a63 0x81e512e 256 @@ -127782,6 +159728,8 @@ 0 0 0 +2 +0 1 0x520 0xeeb9c46e @@ -127790,6 +159738,8 @@ 1 0 0 +2 +3 3 0x238a 0x37f18f38 @@ -127798,6 +159748,8 @@ 0 0 0 +1 +0 7 0x4c4f 0xf35bfe8b @@ -127806,6 +159758,8 @@ 0 0 0 +5 +5 4 0x9058 0xe5b1f9d4 @@ -127814,6 +159768,8 @@ 0 0 0 +3 +5 10 0x5106 0xe2814404 @@ -127822,6 +159778,8 @@ 1 1 0 +2 +5 6 0xe3b 0xc36514b0 @@ -127830,6 +159788,8 @@ 1 1 0 +1 +3 10 0xd225 0x49d18e94 @@ -127838,6 +159798,8 @@ 0 0 0 +3 +4 10 0x5b6d 0x96319b99 @@ -127846,6 +159808,8 @@ 0 0 0 +2 +4 9 0x4ff8 0xb51b5818 @@ -127855,6 +159819,8 @@ 0 0 2 +3 +2 0x82e1 0x73461fce 256 @@ -127862,6 +159828,8 @@ 1 0 0 +0 +2 7 0xa0f1 0x5ba37727 @@ -127871,6 +159839,8 @@ 0 0 2 +0 +2 0xfa91 0x7c135dcb 256 @@ -127878,6 +159848,8 @@ 0 0 0 +0 +0 1 0xbd9a 0xf51312ac @@ -127886,6 +159858,8 @@ 0 0 0 +5 +2 3 0x253d 0xca8a5132 @@ -127894,6 +159868,8 @@ 0 0 0 +5 +3 9 0x6309 0x3b892038 @@ -127902,6 +159878,8 @@ 1 1 0 +0 +3 8 0xee60 0x4a396758 @@ -127910,6 +159888,8 @@ 0 0 0 +5 +2 7 0xb786 0xe2f0955 @@ -127918,6 +159898,8 @@ 1 1 0 +0 +4 6 0x9f51 0xe7a33a4a @@ -127926,6 +159908,8 @@ 0 0 0 +2 +3 7 0xc8a0 0x4d6cba05 @@ -127934,6 +159918,8 @@ 1 0 0 +3 +5 7 0xa6ae 0xca5f2263 @@ -127942,6 +159928,8 @@ 1 1 0 +2 +2 8 0x6ca7 0x67ba456c @@ -127950,6 +159938,8 @@ 1 1 0 +2 +1 5 0x94d5 0xb4b9906c @@ -127959,6 +159949,8 @@ 1 0 2 +0 +2 0x3a25 0x59cb0eaf 256 @@ -127966,6 +159958,8 @@ 1 1 0 +5 +3 4 0x99f 0xcc2b505a @@ -127974,6 +159968,8 @@ 1 0 0 +3 +2 6 0x500d 0xf997afc6 @@ -127983,6 +159979,8 @@ 1 0 5 +4 +5 0xe88b 0x6d674ecf 256 @@ -127990,6 +159988,8 @@ 0 0 0 +0 +2 2 0xb26 0x6739e396 @@ -127998,6 +159998,8 @@ 0 0 0 +4 +3 6 0x7689 0x9a617fe2 @@ -128006,6 +160008,8 @@ 0 0 0 +4 +3 1 0x39e2 0xb5a8afa6 @@ -128014,6 +160018,8 @@ 0 0 0 +1 +1 7 0x2a0f 0xc1ce2816 @@ -128023,6 +160029,8 @@ 1 0 2 +5 +2 0xe249 0xa8f8eea6 256 @@ -128031,6 +160039,8 @@ 0 0 5 +0 +5 0xcf84 0xda9e6a3 256 @@ -128038,6 +160048,8 @@ 0 0 0 +5 +0 8 0xd80e 0x3a3a28b8 @@ -128046,6 +160058,8 @@ 1 0 0 +2 +2 1 0xe7d1 0x10912b95 @@ -128054,6 +160068,8 @@ 0 0 0 +3 +4 6 0xd843 0x45ad1f1e @@ -128062,6 +160078,8 @@ 1 0 0 +1 +2 9 0xc442 0x20029eb2 @@ -128070,6 +160088,8 @@ 1 0 0 +2 +2 10 0xa0f1 0x1ab8f86a @@ -128078,6 +160098,8 @@ 1 1 0 +2 +5 9 0xbb25 0x4d4106ed @@ -128086,6 +160108,8 @@ 1 1 0 +3 +0 5 0x10a5 0xb2eb2419 @@ -128094,6 +160118,8 @@ 0 0 0 +1 +5 4 0x6cbb 0x4ce74f8e @@ -128102,6 +160128,8 @@ 0 0 0 +1 +0 8 0x7a2b 0x3080c25c @@ -128110,6 +160138,8 @@ 1 0 0 +0 +0 10 0x3f81 0x979fe850 @@ -128118,6 +160148,8 @@ 0 0 0 +2 +5 4 0x600a 0x6a39347c @@ -128126,6 +160158,8 @@ 1 1 0 +5 +2 6 0x17f 0xb357134d @@ -128134,6 +160168,8 @@ 1 0 0 +0 +3 9 0x9d55 0x86ee3609 @@ -128142,6 +160178,8 @@ 0 0 0 +3 +2 5 0xdc97 0x70aa0f46 @@ -128150,6 +160188,8 @@ 1 1 0 +0 +3 4 0x5579 0xa82078f4 @@ -128158,6 +160198,8 @@ 0 0 0 +1 +0 8 0x5446 0x4ab0c6f9 @@ -128166,6 +160208,8 @@ 1 1 0 +3 +0 8 0xefbb 0xa275b704 @@ -128174,6 +160218,8 @@ 1 0 0 +5 +3 3 0xa820 0x8f6a6186 @@ -128182,6 +160228,8 @@ 1 1 0 +0 +2 7 0xa19b 0xa657b34 @@ -128191,6 +160239,8 @@ 1 0 4 +2 +4 0x1b23 0x880179b1 256 @@ -128198,6 +160248,8 @@ 0 0 0 +1 +3 10 0xe352 0x19517072 @@ -128206,6 +160258,8 @@ 1 1 0 +1 +4 6 0xc237 0x1eb8d0aa @@ -128215,6 +160269,8 @@ 0 0 1 +1 +1 0x2714 0xce1577f0 256 @@ -128222,6 +160278,8 @@ 0 0 0 +0 +1 9 0x4a9e 0xa0ac84ce @@ -128230,6 +160288,8 @@ 1 1 0 +3 +1 5 0xb0df 0xe640a508 @@ -128238,6 +160298,8 @@ 1 0 0 +0 +3 7 0x8af7 0x9ea1a1ab @@ -128246,6 +160308,8 @@ 1 1 0 +2 +0 8 0xcd6f 0x5d37ee1 @@ -128255,6 +160319,8 @@ 1 0 1 +2 +1 0x292b 0x6e43879b 256 @@ -128262,6 +160328,8 @@ 0 0 0 +3 +2 9 0xb9e3 0x945b98cd @@ -128270,6 +160338,8 @@ 1 1 0 +2 +4 10 0xe0a6 0x3cd37cb5 @@ -128278,6 +160348,8 @@ 0 0 0 +0 +1 5 0xa6ef 0xd4c32fac @@ -128286,6 +160358,8 @@ 0 0 0 +3 +5 1 0xa4d3 0x6f5ea30 @@ -128294,6 +160368,8 @@ 1 0 0 +5 +0 1 0xd4ea 0x51e576ea @@ -128303,6 +160379,8 @@ 0 0 1 +2 +1 0x32ec 0x8dfd50bc 256 @@ -128310,6 +160388,8 @@ 0 0 0 +0 +1 3 0x138e 0x77e2baeb @@ -128318,6 +160398,8 @@ 1 0 0 +0 +2 6 0xa73a 0xf1381321 @@ -128326,6 +160408,8 @@ 0 0 0 +3 +1 8 0xbf36 0x27ce55df @@ -128334,6 +160418,8 @@ 1 1 0 +4 +2 3 0xf1ae 0xa4a2c35 @@ -128342,6 +160428,8 @@ 0 0 0 +0 +4 6 0xf116 0x52ea2568 @@ -128350,6 +160438,8 @@ 1 0 0 +1 +0 10 0x6e61 0xa03c9a25 @@ -128359,6 +160449,8 @@ 0 0 5 +1 +5 0x4ada 0xec4165b6 256 @@ -128366,6 +160458,8 @@ 1 1 0 +3 +5 1 0x4a8b 0x67f11f6c @@ -128374,6 +160468,8 @@ 1 1 0 +0 +1 7 0x61a1 0xb1288782 @@ -128382,6 +160478,8 @@ 0 0 0 +0 +2 8 0xbcfb 0x528781b8 @@ -128390,6 +160488,8 @@ 0 0 0 +0 +3 4 0xefdd 0x7ea8e504 @@ -128398,6 +160498,8 @@ 0 0 0 +2 +2 4 0xea00 0x112c331e @@ -128406,6 +160508,8 @@ 0 0 0 +0 +0 2 0xb865 0xaa4b47ec @@ -128414,6 +160518,8 @@ 1 1 0 +2 +0 7 0x6831 0xdcde1f1b @@ -128422,6 +160528,8 @@ 0 0 0 +5 +5 8 0xe1a 0x8035eba @@ -128430,6 +160538,8 @@ 1 0 0 +2 +3 5 0x6571 0xf416ea2d @@ -128438,6 +160548,8 @@ 1 1 0 +1 +3 7 0xa982 0x4b476c6e @@ -128446,6 +160558,8 @@ 0 0 0 +2 +5 4 0x429a 0x3a9fefad @@ -128454,6 +160568,8 @@ 0 0 0 +3 +2 6 0xfb37 0x29151840 @@ -128462,6 +160578,8 @@ 0 0 0 +2 +5 7 0xfddf 0x4e8ed6d6 @@ -128470,6 +160588,8 @@ 1 1 0 +4 +0 10 0x2d4 0xc9a33cc0 @@ -128478,6 +160598,8 @@ 1 1 0 +4 +5 5 0xcfdc 0xc0b896a7 @@ -128486,6 +160608,8 @@ 0 0 0 +2 +5 9 0x2247 0xb13c6325 @@ -128494,6 +160618,8 @@ 1 0 0 +3 +2 5 0x4df6 0x8c671adc @@ -128502,6 +160628,8 @@ 1 1 0 +4 +5 10 0x64a 0x8eec2b4f @@ -128510,6 +160638,8 @@ 1 1 0 +5 +1 9 0x7776 0xba9caee7 @@ -128518,6 +160648,8 @@ 1 0 0 +4 +4 8 0xf74b 0x152ac14a @@ -128527,6 +160659,8 @@ 0 0 2 +4 +2 0xaeec 0x2abf3978 256 @@ -128534,6 +160668,8 @@ 1 1 0 +0 +4 2 0x2d87 0xddad6a5c @@ -128543,6 +160679,8 @@ 0 0 4 +0 +4 0x2d57 0x778fbe89 256 @@ -128550,6 +160688,8 @@ 0 0 0 +1 +1 9 0x860f 0x81169643 @@ -128558,6 +160698,8 @@ 0 0 0 +1 +5 8 0xe34 0x2168f645 @@ -128566,6 +160708,8 @@ 1 1 0 +1 +2 5 0x30d2 0x55d5895f @@ -128574,6 +160718,8 @@ 1 1 0 +4 +1 5 0xa93e 0x302041a8 @@ -128582,6 +160728,8 @@ 1 1 0 +1 +1 2 0xa6a0 0x13fca6a8 @@ -128590,6 +160738,8 @@ 0 0 0 +2 +4 3 0xf224 0xe087070c @@ -128598,6 +160748,8 @@ 0 0 0 +1 +5 6 0x2810 0x26fd482d @@ -128606,6 +160758,8 @@ 0 0 0 +5 +4 9 0x6315 0x175ee3c7 @@ -128614,6 +160768,8 @@ 0 0 0 +2 +4 10 0xbc69 0xd0b5b028 @@ -128622,6 +160778,8 @@ 1 1 0 +1 +2 5 0xfef4 0x82e0825d @@ -128630,6 +160788,8 @@ 1 1 0 +3 +1 9 0x66a8 0x8f23239c @@ -128639,6 +160799,8 @@ 0 0 1 +3 +1 0xf8e 0x28462a11 256 @@ -128646,6 +160808,8 @@ 1 0 0 +4 +0 9 0x86cf 0xb475afdf @@ -128654,6 +160818,8 @@ 1 1 0 +1 +5 3 0xd36c 0x8ad75d7a @@ -128662,6 +160828,8 @@ 1 0 0 +3 +0 8 0x45bb 0xbcf4b2f9 @@ -128670,6 +160838,8 @@ 0 0 0 +5 +3 3 0xdda1 0x9c2e00c2 @@ -128678,6 +160848,8 @@ 0 0 0 +2 +1 10 0x5a3c 0x226b6ee5 @@ -128686,6 +160858,8 @@ 0 0 0 +4 +4 10 0xe75c 0x2b454618 @@ -128694,6 +160868,8 @@ 1 1 0 +4 +3 7 0x1164 0x60961ba5 @@ -128702,6 +160878,8 @@ 1 1 0 +0 +1 2 0xc82 0x5da7c02f @@ -128710,6 +160888,8 @@ 1 1 0 +1 +2 3 0xcb40 0x4a3ec5a4 @@ -128718,6 +160898,8 @@ 0 0 0 +5 +0 6 0xa355 0xcef1cb27 @@ -128726,6 +160908,8 @@ 1 0 0 +3 +1 9 0x1824 0x25da8fce @@ -128734,6 +160918,8 @@ 1 0 0 +0 +5 1 0xa84e 0x9ce1acc5 @@ -128742,6 +160928,8 @@ 0 0 0 +5 +4 10 0x8051 0x61eaa9b @@ -128750,6 +160938,8 @@ 1 1 0 +4 +2 1 0x6e65 0xade44c68 @@ -128758,6 +160948,8 @@ 1 1 0 +4 +3 6 0xd7cb 0xd37b32f7 @@ -128766,6 +160958,8 @@ 1 1 0 +4 +1 6 0xf515 0xf97b75db @@ -128774,6 +160968,8 @@ 1 0 0 +4 +3 6 0x424 0xf5d11b9a @@ -128782,6 +160978,8 @@ 0 0 0 +1 +5 3 0xa498 0x210f2de0 @@ -128790,6 +160988,8 @@ 0 0 0 +2 +0 9 0x4f49 0xb044bb6a @@ -128798,6 +160998,8 @@ 1 0 0 +5 +5 1 0x4576 0x19004398 @@ -128806,6 +161008,8 @@ 0 0 0 +1 +0 5 0x54d8 0x71fa2979 @@ -128814,6 +161018,8 @@ 0 0 0 +4 +0 7 0x87fd 0x998a05a2 @@ -128822,6 +161028,8 @@ 1 1 0 +4 +3 7 0x6001 0xdebb9969 @@ -128830,6 +161038,8 @@ 1 0 0 +0 +0 3 0x21f 0xf21ce47d @@ -128838,6 +161048,8 @@ 1 1 0 +3 +4 10 0xdf6a 0x2c75661 @@ -128847,6 +161059,8 @@ 0 0 2 +2 +2 0x404f 0x8d506859 256 @@ -128854,6 +161068,8 @@ 1 1 0 +3 +0 2 0x1b2a 0x644eb6c5 @@ -128862,6 +161078,8 @@ 1 0 0 +1 +1 9 0x975c 0x6a488ccf @@ -128870,6 +161088,8 @@ 1 0 0 +0 +2 6 0x2cb9 0x46801f0b @@ -128878,6 +161098,8 @@ 0 0 0 +1 +3 7 0xc001 0x2a9fa677 @@ -128886,6 +161108,8 @@ 0 0 0 +0 +3 6 0x989b 0x94530b6e @@ -128894,6 +161118,8 @@ 1 1 0 +0 +3 10 0x95c5 0xa07772a0 @@ -128902,6 +161128,8 @@ 1 1 0 +4 +0 6 0xb5f0 0xcbe321c @@ -128910,6 +161138,8 @@ 1 1 0 +5 +5 1 0x72eb 0x68207170 @@ -128918,6 +161148,8 @@ 1 0 0 +5 +2 2 0xba6f 0x13ce7c69 @@ -128926,6 +161158,8 @@ 0 0 0 +5 +0 6 0xdf2 0x90c5e9e @@ -128934,6 +161168,8 @@ 1 1 0 +5 +5 8 0xd4de 0xc65e96ef @@ -128942,6 +161178,8 @@ 0 0 0 +0 +5 2 0xf0de 0xcbb63ea9 @@ -128950,6 +161188,8 @@ 0 0 0 +5 +3 1 0xb328 0x8efef796 @@ -128958,6 +161198,8 @@ 0 0 0 +0 +4 4 0x30ef 0x472925a8 @@ -128966,6 +161208,8 @@ 0 0 0 +5 +2 4 0x2eef 0x250c8b5f @@ -128974,6 +161218,8 @@ 1 1 0 +0 +0 7 0x15ef 0x13ae3235 @@ -128982,6 +161228,8 @@ 1 0 0 +4 +3 3 0x14e1 0xb77533c0 @@ -128990,6 +161238,8 @@ 1 0 0 +0 +0 10 0xd07b 0xaa65c54c @@ -128998,6 +161248,8 @@ 1 0 0 +3 +4 9 0x941a 0xd14ccb84 @@ -129006,6 +161258,8 @@ 1 1 0 +4 +1 8 0xe3c 0xfb9b5512 @@ -129014,6 +161268,8 @@ 1 1 0 +2 +2 5 0xa536 0x2e044372 @@ -129022,6 +161278,8 @@ 1 0 0 +4 +3 6 0xd71a 0x3fd3404b @@ -129030,6 +161288,8 @@ 0 0 0 +2 +1 10 0xebc8 0x6f681706 @@ -129038,6 +161298,8 @@ 1 1 0 +0 +4 4 0x99ce 0x141f9d35 @@ -129046,6 +161308,8 @@ 1 1 0 +1 +2 7 0xf07a 0xa92f4801 @@ -129054,6 +161318,8 @@ 0 0 0 +0 +1 5 0x48c1 0x217388d1 @@ -129062,6 +161328,8 @@ 1 0 0 +1 +5 4 0xe3d3 0x5936dfbf @@ -129070,6 +161338,8 @@ 1 1 0 +0 +4 10 0x61bc 0x7732900a @@ -129078,6 +161348,8 @@ 0 0 0 +0 +5 3 0xad3d 0xaa101779 @@ -129086,6 +161358,8 @@ 0 0 0 +4 +0 2 0x10b6 0xd96ce726 @@ -129094,6 +161368,8 @@ 1 1 0 +4 +5 7 0xf20b 0xc452b0b @@ -129103,6 +161379,8 @@ 0 0 2 +5 +2 0x3d9c 0xfff256a9 256 @@ -129110,6 +161388,8 @@ 0 0 0 +2 +1 6 0x306b 0xed466d7b @@ -129118,6 +161398,8 @@ 1 1 0 +3 +3 4 0xd34a 0x12aca145 @@ -129126,6 +161408,8 @@ 0 0 0 +1 +4 10 0x6c6b 0xc4268d00 @@ -129134,6 +161418,8 @@ 0 0 0 +5 +2 10 0x20b3 0x67cabcd9 @@ -129142,6 +161428,8 @@ 1 0 0 +4 +5 10 0x5405 0xf47ee977 @@ -129150,6 +161438,8 @@ 1 1 0 +5 +1 9 0x3b95 0x1bcf78e9 @@ -129158,6 +161448,8 @@ 1 0 0 +3 +3 6 0xdd5e 0x11ed215a @@ -129166,6 +161458,8 @@ 0 0 0 +5 +1 3 0x1b5d 0x965f1aaa @@ -129174,6 +161468,8 @@ 0 0 0 +0 +2 7 0x61c9 0xd7aa9cda @@ -129182,6 +161478,8 @@ 0 0 0 +1 +4 4 0xfa8 0x1ed3753d @@ -129190,6 +161488,8 @@ 0 0 0 +4 +1 9 0xde82 0x4a224a78 @@ -129198,6 +161498,8 @@ 1 1 0 +3 +1 1 0x116b 0x788a3b85 @@ -129206,6 +161508,8 @@ 0 0 0 +0 +2 7 0xab6c 0xf5a19b34 @@ -129214,6 +161518,8 @@ 1 0 0 +1 +0 4 0x95e9 0xf3dd55ed @@ -129222,6 +161528,8 @@ 1 0 0 +4 +3 6 0xfde1 0x667f4d0a @@ -129230,6 +161538,8 @@ 0 0 0 +2 +3 1 0xb8f7 0x5462df00 @@ -129238,6 +161548,8 @@ 1 1 0 +5 +0 4 0xa5c 0x2f873376 @@ -129246,6 +161558,8 @@ 0 0 0 +0 +0 10 0x9bf0 0x8942df25 @@ -129254,6 +161568,8 @@ 0 0 0 +5 +1 2 0x9a8f 0xc2b85e5 @@ -129262,6 +161578,8 @@ 0 0 0 +0 +3 6 0xe3cc 0x450f6577 @@ -129270,6 +161588,8 @@ 1 0 0 +3 +3 4 0x1c2d 0x55acb3e0 @@ -129278,6 +161598,8 @@ 0 0 0 +3 +5 10 0x5fee 0xc73fb410 @@ -129286,6 +161608,8 @@ 1 1 0 +5 +5 2 0x2a7d 0xf894ce06 @@ -129294,6 +161618,8 @@ 1 1 0 +3 +2 10 0xc049 0xfc6be672 @@ -129302,6 +161628,8 @@ 1 1 0 +5 +0 6 0x5176 0xe5cf130d @@ -129310,6 +161638,8 @@ 0 0 0 +2 +5 1 0x973a 0x6ec0cdec @@ -129318,6 +161648,8 @@ 1 1 0 +5 +2 4 0xbfe1 0xc044a90 @@ -129326,6 +161658,8 @@ 1 0 0 +2 +4 5 0x2cd 0x7dabb2 @@ -129334,6 +161668,8 @@ 0 0 0 +2 +5 3 0x2bfe 0x7e09f189 @@ -129342,6 +161678,8 @@ 0 0 0 +4 +2 8 0x6a2d 0x18419438 @@ -129351,6 +161689,8 @@ 0 0 5 +1 +5 0xb6a2 0x15c4d4c4 256 @@ -129358,6 +161698,8 @@ 0 0 0 +0 +3 3 0xa24a 0xa6639528 @@ -129366,6 +161708,8 @@ 1 0 0 +4 +2 8 0x20cd 0x7ca93c03 @@ -129374,6 +161718,8 @@ 0 0 0 +3 +2 2 0xfac3 0x10429747 @@ -129382,6 +161728,8 @@ 0 0 0 +5 +3 10 0x6fe7 0xf4358198 @@ -129390,6 +161738,8 @@ 0 0 0 +4 +3 1 0x1f8d 0xe307ea4f @@ -129398,6 +161748,8 @@ 0 0 0 +5 +1 1 0xa8d1 0x7b69a4cf @@ -129406,6 +161758,8 @@ 1 1 0 +0 +2 8 0xfb3a 0xa915bb7c @@ -129414,6 +161768,8 @@ 1 0 0 +4 +0 2 0x3926 0xe5779b51 @@ -129423,6 +161779,8 @@ 1 0 3 +5 +3 0x4dbe 0xa7c6bcd2 256 @@ -129430,6 +161788,8 @@ 0 0 0 +4 +5 7 0xe290 0xd6b8c24d @@ -129438,6 +161798,8 @@ 1 1 0 +0 +3 5 0xdb97 0x48523d77 @@ -129447,6 +161809,8 @@ 0 0 1 +5 +1 0xdebf 0x75c292fa 256 @@ -129454,6 +161818,8 @@ 1 1 0 +0 +1 9 0xaa34 0x4cee4e63 @@ -129462,6 +161828,8 @@ 1 1 0 +5 +5 3 0xf1fb 0x18015892 @@ -129470,6 +161838,8 @@ 1 0 0 +3 +3 2 0x5691 0x1ad28db0 @@ -129478,6 +161848,8 @@ 0 0 0 +4 +2 3 0xb4dc 0xd4eaa653 @@ -129486,6 +161858,8 @@ 0 0 0 +1 +4 2 0xe58f 0x921da306 @@ -129494,6 +161868,8 @@ 0 0 0 +4 +3 10 0xc201 0xea496fda @@ -129502,6 +161878,8 @@ 0 0 0 +2 +0 3 0x690e 0x36c4ae05 @@ -129510,6 +161888,8 @@ 0 0 0 +4 +4 10 0xe0d6 0x4139f43c @@ -129518,6 +161898,8 @@ 0 0 0 +4 +5 9 0xff32 0x1f9866c2 @@ -129526,6 +161908,8 @@ 0 0 0 +4 +5 10 0x5684 0x3749acb6 @@ -129534,6 +161918,8 @@ 1 1 0 +2 +5 1 0x9ad 0x6d47c844 @@ -129543,6 +161929,8 @@ 0 0 5 +4 +5 0x7c33 0x43d6b06c 256 @@ -129550,6 +161938,8 @@ 1 1 0 +2 +1 6 0x24bb 0x47ac1597 @@ -129558,6 +161948,8 @@ 0 0 0 +4 +3 5 0x5462 0x53e49066 @@ -129566,6 +161958,8 @@ 1 0 0 +2 +5 1 0x49f1 0x6cacce85 @@ -129574,6 +161968,8 @@ 1 1 0 +4 +4 8 0x1b8c 0x29c7b443 @@ -129582,6 +161978,8 @@ 0 0 0 +2 +4 8 0x7edd 0x52640b3a @@ -129590,6 +161988,8 @@ 1 0 0 +3 +3 5 0xc283 0x786068b0 @@ -129598,6 +161998,8 @@ 1 1 0 +3 +3 5 0xad2c 0x696f00ca @@ -129606,6 +162008,8 @@ 0 0 0 +5 +1 2 0xc0be 0x892ee489 @@ -129614,6 +162018,8 @@ 1 1 0 +1 +5 9 0xd7f5 0x1ee9bd94 @@ -129622,6 +162028,8 @@ 0 0 0 +2 +3 9 0x24b1 0x52354a1c @@ -129630,6 +162038,8 @@ 0 0 0 +4 +5 3 0x30c 0xeb57f8dc @@ -129638,6 +162048,8 @@ 0 0 0 +0 +1 10 0xfa62 0x91b13bc1 @@ -129646,6 +162058,8 @@ 0 0 0 +0 +1 6 0x8502 0x66620dcd @@ -129654,6 +162068,8 @@ 1 1 0 +1 +4 5 0xed7f 0x8980bbee @@ -129662,6 +162078,8 @@ 0 0 0 +2 +4 9 0x9515 0x8cbd8ed2 @@ -129670,6 +162088,8 @@ 0 0 0 +4 +4 5 0xcf7b 0x36c8d695 @@ -129678,6 +162098,8 @@ 0 0 0 +1 +4 10 0x282 0x25912f7c @@ -129686,6 +162108,8 @@ 0 0 0 +5 +2 9 0x7d63 0x17d3d06e @@ -129694,6 +162118,8 @@ 0 0 0 +0 +3 4 0x52cd 0xefa90a4 @@ -129702,6 +162128,8 @@ 0 0 0 +1 +4 3 0xbc5 0x8aeb6694 @@ -129710,6 +162138,8 @@ 0 0 0 +3 +3 5 0xd664 0x60aa1ac0 @@ -129718,6 +162148,8 @@ 1 1 0 +5 +2 10 0xf0ee 0xec766010 @@ -129726,6 +162158,8 @@ 0 0 0 +1 +5 3 0xd80e 0x91348eab @@ -129735,6 +162169,8 @@ 0 0 4 +5 +4 0x353e 0x106db10f 256 @@ -129742,6 +162178,8 @@ 1 0 0 +4 +5 5 0x46e1 0x34adcf62 @@ -129751,6 +162189,8 @@ 0 0 3 +3 +3 0x7d3 0xc02fdf3e 256 @@ -129758,6 +162198,8 @@ 1 0 0 +1 +3 3 0xfe45 0x7fdd4a19 @@ -129766,6 +162208,8 @@ 0 0 0 +2 +1 7 0x2fdf 0x56c9d25 @@ -129774,6 +162218,8 @@ 1 0 0 +5 +5 7 0x9939 0xd3dea947 @@ -129782,6 +162228,8 @@ 0 0 0 +4 +4 5 0xcc62 0xcfe320bf @@ -129790,6 +162238,8 @@ 0 0 0 +3 +0 6 0x7de7 0x398aed6c @@ -129798,6 +162248,8 @@ 1 0 0 +3 +0 9 0x8508 0x6a0082ea @@ -129806,6 +162258,8 @@ 0 0 0 +3 +4 9 0x316c 0x5f32cea @@ -129814,6 +162268,8 @@ 1 1 0 +3 +3 7 0x7741 0x7fca6b92 @@ -129822,6 +162278,8 @@ 0 0 0 +0 +5 6 0xa496 0x8f9140ac @@ -129830,6 +162288,8 @@ 1 0 0 +0 +5 3 0xcece 0x1ef9af5c @@ -129838,6 +162298,8 @@ 1 0 0 +0 +4 1 0x7775 0x5465087b @@ -129846,6 +162308,8 @@ 1 0 0 +1 +5 9 0x4402 0x63f785cd @@ -129854,6 +162318,8 @@ 1 1 0 +2 +1 9 0xabec 0x536f08d7 @@ -129862,6 +162328,8 @@ 1 0 0 +1 +3 8 0xc00a 0xecea3412 @@ -129870,6 +162338,8 @@ 0 0 0 +2 +0 6 0xe01e 0x820502a4 @@ -129878,6 +162348,8 @@ 1 1 0 +2 +1 9 0x9d79 0x5ea0e2c0 @@ -129886,6 +162358,8 @@ 1 1 0 +3 +3 2 0x36eb 0xafed3025 @@ -129894,6 +162368,8 @@ 0 0 0 +4 +3 1 0x8ea8 0xd6b47f32 @@ -129902,6 +162378,8 @@ 1 0 0 +4 +1 10 0xbb76 0x282156d6 @@ -129910,6 +162388,8 @@ 0 0 0 +3 +2 1 0x35a4 0x10d95066 @@ -129919,6 +162399,8 @@ 1 0 5 +0 +5 0x67f1 0xe8c3d36 256 @@ -129926,6 +162408,8 @@ 0 0 0 +1 +1 10 0x11ef 0x138236a4 @@ -129934,6 +162418,8 @@ 0 0 0 +4 +3 10 0x7abd 0xb96345af @@ -129942,6 +162428,8 @@ 1 1 0 +0 +5 8 0xfeb3 0x4d2a985c @@ -129950,6 +162438,8 @@ 0 0 0 +2 +0 7 0xb6b9 0xa00b1847 @@ -129958,6 +162448,8 @@ 1 1 0 +3 +1 1 0x6ebf 0x1167ab1e @@ -129966,6 +162458,8 @@ 0 0 0 +4 +0 1 0x8881 0x19fda198 @@ -129974,6 +162468,8 @@ 1 0 0 +0 +0 2 0xca89 0x18ffbf45 @@ -129982,6 +162478,8 @@ 1 0 0 +5 +1 9 0xd565 0x5d6d8f56 @@ -129990,6 +162488,8 @@ 0 0 0 +4 +3 3 0x5971 0x6372656b @@ -129998,6 +162498,8 @@ 1 1 0 +4 +3 1 0x433f 0xf57e2154 @@ -130006,6 +162508,8 @@ 0 0 0 +1 +3 5 0x9470 0xd75f5f52 @@ -130014,6 +162518,8 @@ 1 0 0 +1 +5 7 0xb5a3 0x7c8135da @@ -130022,6 +162528,8 @@ 0 0 0 +0 +0 8 0xb1d6 0xe5cacc38 @@ -130030,6 +162538,8 @@ 0 0 0 +3 +3 5 0x3d89 0x10b2801e @@ -130038,6 +162548,8 @@ 1 1 0 +0 +5 6 0x98ed 0x89db398f @@ -130046,6 +162558,8 @@ 1 0 0 +1 +5 4 0x93b2 0xccf17f13 @@ -130054,6 +162568,8 @@ 0 0 0 +0 +4 7 0xd798 0xc04fe955 @@ -130062,6 +162578,8 @@ 1 1 0 +4 +1 6 0x54e1 0x5ae67385 @@ -130070,6 +162588,8 @@ 1 1 0 +0 +5 6 0xa521 0xc818d1c1 @@ -130078,6 +162598,8 @@ 1 1 0 +4 +1 5 0xd539 0x261907d3 @@ -130086,6 +162608,8 @@ 0 0 0 +5 +0 1 0x48cd 0x2eb78e9 @@ -130094,6 +162618,8 @@ 1 1 0 +2 +1 10 0xbcab 0x92291ae1 @@ -130102,6 +162628,8 @@ 0 0 0 +4 +5 1 0x30d 0x3e4fc37 @@ -130110,6 +162638,8 @@ 1 1 0 +2 +4 9 0xd798 0x1cfd3ee @@ -130118,6 +162648,8 @@ 0 0 0 +4 +1 10 0xaae6 0x7ec5e684 @@ -130126,6 +162658,8 @@ 0 0 0 +5 +1 7 0x5413 0x90d8236d @@ -130134,6 +162668,8 @@ 1 0 0 +1 +3 8 0x6066 0x4ab341d4 @@ -130142,6 +162678,8 @@ 0 0 0 +1 +3 9 0x81e1 0xbda7f8c0 @@ -130150,6 +162688,8 @@ 0 0 0 +3 +1 2 0xf65c 0x2c4afb07 @@ -130158,6 +162698,8 @@ 0 0 0 +2 +4 10 0xd1a4 0xc1c2a41a @@ -130166,6 +162708,8 @@ 0 0 0 +3 +0 9 0x48c6 0xfc5f15cf @@ -130174,6 +162718,8 @@ 0 0 0 +0 +1 7 0x688d 0x71ff160 @@ -130182,6 +162728,8 @@ 1 0 0 +0 +5 4 0x580a 0x3f9d7cda @@ -130190,6 +162738,8 @@ 1 0 0 +3 +0 2 0xeacf 0x80d116b8 @@ -130198,6 +162748,8 @@ 0 0 0 +1 +1 5 0x127c 0xd1ea8758 @@ -130206,6 +162758,8 @@ 1 1 0 +1 +1 3 0x5912 0x70453b3c @@ -130214,6 +162768,8 @@ 1 1 0 +3 +1 5 0xff6 0xd409f1bd @@ -130222,6 +162778,8 @@ 0 0 0 +1 +4 6 0xdf3e 0x3fa85613 @@ -130230,6 +162788,8 @@ 0 0 0 +4 +4 7 0xf036 0x543d0989 @@ -130238,6 +162798,8 @@ 1 1 0 +0 +5 6 0x2744 0x29003f55 @@ -130246,6 +162808,8 @@ 1 1 0 +4 +4 6 0xaeca 0x47e57953 @@ -130254,6 +162818,8 @@ 1 0 0 +0 +2 2 0x3a7f 0x1d1c59be @@ -130262,6 +162828,8 @@ 0 0 0 +4 +0 5 0xde86 0x4a751608 @@ -130270,6 +162838,8 @@ 1 0 0 +3 +4 8 0xf91e 0x15152ae7 @@ -130278,6 +162848,8 @@ 1 0 0 +4 +3 2 0x2f1d 0x11ee7cc2 @@ -130286,6 +162858,8 @@ 1 1 0 +0 +5 1 0x7d7c 0x9fdf0525 @@ -130294,6 +162868,8 @@ 0 0 0 +2 +0 10 0xbb60 0x1798b6b5 @@ -130302,6 +162878,8 @@ 1 0 0 +5 +2 6 0x50b7 0xd83a90eb @@ -130311,6 +162889,8 @@ 0 0 5 +2 +5 0x160e 0x56097303 256 @@ -130318,6 +162898,8 @@ 1 1 0 +3 +3 8 0x18a5 0x904e0dfb @@ -130326,6 +162908,8 @@ 0 0 0 +2 +1 3 0x5ed2 0xc843447e @@ -130334,6 +162918,8 @@ 0 0 0 +4 +3 6 0xdcaa 0xe268023a @@ -130342,6 +162928,8 @@ 0 0 0 +1 +3 2 0xdca6 0x6f69dc4c @@ -130350,6 +162938,8 @@ 0 0 0 +4 +0 6 0xa453 0x8e2c9d8 @@ -130358,6 +162948,8 @@ 0 0 0 +2 +0 4 0x6201 0xe8b6f322 @@ -130367,6 +162959,8 @@ 0 0 2 +1 +2 0x6b6e 0xf36ba1a1 256 @@ -130374,6 +162968,8 @@ 0 0 0 +1 +3 5 0xc167 0x4793307c @@ -130382,6 +162978,8 @@ 0 0 0 +5 +1 1 0x88cb 0xf2878e95 @@ -130390,6 +162988,8 @@ 0 0 0 +2 +4 4 0xbde8 0x9c9a079a @@ -130398,6 +162998,8 @@ 1 1 0 +3 +3 4 0xa5c5 0x16845cba @@ -130406,6 +163008,8 @@ 0 0 0 +4 +1 5 0xdc82 0x6d738f62 @@ -130414,6 +163018,8 @@ 1 0 0 +2 +5 3 0xf331 0xd064022f @@ -130422,6 +163028,8 @@ 0 0 0 +5 +5 2 0xb02e 0x176b75ef @@ -130430,6 +163038,8 @@ 0 0 0 +5 +0 6 0xbaad 0xb7c9d162 @@ -130438,6 +163048,8 @@ 0 0 0 +0 +1 5 0x24f6 0xff3fe418 @@ -130446,6 +163058,8 @@ 1 1 0 +4 +1 1 0xf8a3 0xee7854c3 @@ -130454,6 +163068,8 @@ 0 0 0 +0 +4 9 0xd0b8 0x19d08eca @@ -130462,6 +163078,8 @@ 1 1 0 +0 +5 6 0x2e7e 0x68c72148 @@ -130470,6 +163088,8 @@ 0 0 0 +1 +5 2 0xeb91 0x192a2c50 @@ -130478,6 +163098,8 @@ 0 0 0 +2 +1 9 0xf5c1 0xd8ee339e @@ -130486,6 +163108,8 @@ 1 0 0 +4 +3 8 0x9607 0x79aec50a @@ -130494,6 +163118,8 @@ 0 0 0 +0 +5 4 0xab1f 0xc7020d10 @@ -130502,6 +163128,8 @@ 0 0 0 +0 +4 8 0xdaea 0xf592c8a1 @@ -130510,6 +163138,8 @@ 1 0 0 +1 +4 10 0xc66 0x63095d8d @@ -130518,6 +163148,8 @@ 1 1 0 +3 +1 7 0x2e2d 0xab6e0259 @@ -130526,6 +163158,8 @@ 0 0 0 +0 +0 1 0xdf48 0x96b6888 @@ -130534,6 +163168,8 @@ 1 0 0 +4 +2 3 0x9314 0x4aaa4781 @@ -130542,6 +163178,8 @@ 0 0 0 +0 +2 10 0xd3e7 0xdbbd6708 @@ -130550,6 +163188,8 @@ 0 0 0 +0 +5 7 0x8af4 0x94049cac @@ -130558,6 +163198,8 @@ 0 0 0 +4 +2 9 0x5956 0x6ab4eb99 @@ -130566,6 +163208,8 @@ 1 0 0 +5 +3 7 0x1c63 0x778053bc @@ -130575,6 +163219,8 @@ 0 0 3 +5 +3 0x1d55 0xf2248c16 256 @@ -130582,6 +163228,8 @@ 0 0 0 +2 +2 3 0xdad5 0x36443c14 @@ -130590,6 +163238,8 @@ 0 0 0 +4 +5 10 0xaa3b 0xef9c1b6e @@ -130598,6 +163248,8 @@ 1 0 0 +0 +5 10 0x4b85 0x9caf1022 @@ -130607,6 +163259,8 @@ 0 0 4 +4 +4 0x8b9b 0x4c70006f 256 @@ -130614,6 +163268,8 @@ 1 1 0 +4 +5 6 0x2975 0x4a1a549b @@ -130622,6 +163278,8 @@ 1 0 0 +0 +1 2 0x66d4 0x6d87b9fc @@ -130631,6 +163289,8 @@ 1 0 4 +1 +4 0xfbf7 0x304e3ef5 256 @@ -130638,6 +163298,8 @@ 1 1 0 +2 +1 6 0x5e3c 0x477e2ac0 @@ -130646,6 +163308,8 @@ 1 1 0 +5 +5 4 0x5096 0xeda2574b @@ -130654,6 +163318,8 @@ 0 0 0 +5 +2 3 0x2855 0xb515638 @@ -130662,6 +163328,8 @@ 0 0 0 +4 +0 3 0xe526 0x8991f414 @@ -130670,6 +163338,8 @@ 0 0 0 +1 +0 6 0x67ba 0xa2007995 @@ -130678,6 +163348,8 @@ 1 1 0 +0 +1 6 0xa4c5 0x1287dc15 @@ -130686,6 +163358,8 @@ 1 0 0 +1 +1 3 0x999d 0xeada83b5 @@ -130694,6 +163368,8 @@ 1 1 0 +4 +3 7 0xd7bf 0x7cad2996 @@ -130702,6 +163378,8 @@ 1 0 0 +5 +2 4 0x9e66 0xfaab3ec @@ -130710,6 +163388,8 @@ 0 0 0 +4 +5 3 0xb7bb 0x544a3a0c @@ -130718,6 +163398,8 @@ 0 0 0 +2 +1 7 0xe380 0xfb9810b2 @@ -130726,6 +163408,8 @@ 1 0 0 +1 +3 4 0x5c98 0x68a018f5 @@ -130734,6 +163418,8 @@ 1 0 0 +1 +4 4 0x489d 0x155ed5d7 @@ -130742,6 +163428,8 @@ 1 1 0 +1 +0 4 0x3b91 0x7e27c958 @@ -130750,6 +163438,8 @@ 1 0 0 +0 +1 3 0xa69e 0x1a787f6e @@ -130759,6 +163449,8 @@ 0 0 2 +5 +2 0xbccb 0x1c76d6c5 256 @@ -130766,6 +163458,8 @@ 0 0 0 +5 +3 6 0xfd82 0x542497da @@ -130774,6 +163468,8 @@ 0 0 0 +5 +0 4 0x58a7 0x881813b8 @@ -130782,6 +163478,8 @@ 0 0 0 +5 +1 4 0xdd70 0x48e4a41b @@ -130790,6 +163488,8 @@ 0 0 0 +1 +2 3 0x6f20 0xa48b971a @@ -130799,6 +163499,8 @@ 0 0 2 +3 +2 0x4d9c 0x3b446efd 256 @@ -130806,6 +163508,8 @@ 0 0 0 +5 +2 4 0x3d62 0xaa0d08fb @@ -130814,6 +163518,8 @@ 1 1 0 +0 +5 7 0xd185 0x8c05e359 @@ -130822,6 +163528,8 @@ 1 0 0 +1 +4 5 0x260a 0x2adfa06 @@ -130830,6 +163538,8 @@ 0 0 0 +5 +3 1 0x8491 0xd5526eec @@ -130839,6 +163549,8 @@ 0 0 4 +5 +4 0x5116 0x332b51e1 256 @@ -130846,6 +163558,8 @@ 0 0 0 +5 +1 3 0x51f9 0x915fc8d8 @@ -130854,6 +163568,8 @@ 0 0 0 +2 +0 9 0x6c3b 0x1fdf07da @@ -130862,6 +163578,8 @@ 1 0 0 +0 +1 2 0x84 0xd84d78fe @@ -130870,6 +163588,8 @@ 0 0 0 +2 +4 10 0x1625 0xb7e0f9df @@ -130878,6 +163598,8 @@ 0 0 0 +3 +3 8 0xb025 0x6e2765ba @@ -130886,6 +163608,8 @@ 0 0 0 +0 +0 5 0xdb9 0xfee5e5d1 @@ -130894,6 +163618,8 @@ 1 0 0 +2 +3 6 0x11b4 0xaf1b6064 @@ -130902,6 +163628,8 @@ 0 0 0 +5 +2 10 0xf440 0x4ebd18a5 @@ -130911,6 +163639,8 @@ 0 0 3 +5 +3 0xba11 0xb7a842dd 256 @@ -130918,6 +163648,8 @@ 1 0 0 +0 +1 5 0xdf35 0x95fbda3a @@ -130926,6 +163658,8 @@ 0 0 0 +3 +1 1 0xfc4c 0xc7cfe24c @@ -130934,6 +163668,8 @@ 1 1 0 +0 +4 2 0x9592 0xdffb132b @@ -130942,6 +163678,8 @@ 0 0 0 +1 +4 6 0x15dd 0x4a002e70 @@ -130950,6 +163688,8 @@ 0 0 0 +0 +1 7 0x3cdc 0xb413a411 @@ -130958,6 +163698,8 @@ 1 0 0 +0 +4 4 0x1ef0 0xb989c62a @@ -130966,6 +163708,8 @@ 1 0 0 +5 +4 9 0x51b2 0x251c154b @@ -130974,6 +163718,8 @@ 1 1 0 +3 +0 10 0xc312 0x66ee8445 @@ -130982,6 +163728,8 @@ 0 0 0 +0 +1 7 0xb93a 0xa6d44222 @@ -130990,6 +163738,8 @@ 0 0 0 +3 +2 2 0xa955 0xe97336f8 @@ -130998,6 +163748,8 @@ 1 0 0 +1 +3 8 0x478 0x4f3ad5c9 @@ -131006,6 +163758,8 @@ 0 0 0 +4 +2 3 0x93de 0xa36ac073 @@ -131014,6 +163768,8 @@ 1 0 0 +0 +3 8 0xb47e 0xd3ecd7dc @@ -131022,6 +163778,8 @@ 0 0 0 +3 +1 7 0xa910 0xf7606197 @@ -131030,6 +163788,8 @@ 1 1 0 +3 +2 2 0x5852 0xd121b03c @@ -131038,6 +163798,8 @@ 0 0 0 +3 +5 1 0x3c4f 0xc0a25782 @@ -131046,6 +163808,8 @@ 1 1 0 +5 +5 3 0xedb2 0xd320fd37 @@ -131054,6 +163818,8 @@ 0 0 0 +2 +0 6 0x56a7 0xf0e08be @@ -131062,6 +163828,8 @@ 1 1 0 +5 +4 3 0x6aff 0xa1acbaac @@ -131070,6 +163838,8 @@ 1 0 0 +3 +0 9 0x36a4 0x62591ff8 @@ -131078,6 +163848,8 @@ 0 0 0 +5 +0 6 0x6f2d 0x92718102 @@ -131086,6 +163858,8 @@ 0 0 0 +4 +1 3 0xdb1e 0x93af3db0 @@ -131094,6 +163868,8 @@ 0 0 0 +3 +1 9 0x7b73 0xdc62c1be @@ -131102,6 +163878,8 @@ 0 0 0 +3 +5 1 0x7be1 0xf26963f0 @@ -131110,6 +163888,8 @@ 1 0 0 +0 +3 8 0xade9 0x200e91c6 @@ -131118,6 +163898,8 @@ 1 0 0 +2 +0 7 0x7669 0x24b158f @@ -131126,6 +163908,8 @@ 1 1 0 +0 +4 2 0xc895 0x6b020ad2 @@ -131134,6 +163918,8 @@ 1 0 0 +3 +0 5 0xe5c 0x80d5e3bc @@ -131143,6 +163929,8 @@ 0 0 4 +1 +4 0x3647 0x4b2266da 256 @@ -131150,6 +163938,8 @@ 0 0 0 +2 +2 3 0x141d 0xa56b365b @@ -131158,6 +163948,8 @@ 0 0 0 +3 +0 10 0x9d24 0x1aa589e6 @@ -131166,6 +163958,8 @@ 1 1 0 +1 +2 8 0x2ded 0x6b129392 @@ -131174,6 +163968,8 @@ 1 0 0 +0 +5 1 0x8587 0x62b86e26 @@ -131182,6 +163978,8 @@ 1 1 0 +2 +5 10 0x5187 0xcc4ae5ae @@ -131190,6 +163988,8 @@ 0 0 0 +2 +0 7 0x9570 0x7176bd6a @@ -131198,6 +163998,8 @@ 0 0 0 +0 +0 5 0x1425 0x4885e38e @@ -131206,6 +164008,8 @@ 1 1 0 +5 +4 2 0xe4e2 0xf84f09c @@ -131214,6 +164018,8 @@ 0 0 0 +4 +3 8 0x2268 0x3d37c46f @@ -131222,6 +164028,8 @@ 1 1 0 +1 +1 9 0x9e0b 0xa5173c0b @@ -131230,6 +164038,8 @@ 0 0 0 +1 +0 3 0xdd56 0x90f17445 @@ -131238,6 +164048,8 @@ 1 1 0 +2 +4 7 0xb3b9 0xef9b2798 @@ -131246,6 +164058,8 @@ 0 0 0 +5 +3 4 0x3e2f 0x32dde712 @@ -131254,6 +164068,8 @@ 1 0 0 +3 +0 5 0xb448 0x7575ced8 @@ -131262,6 +164078,8 @@ 1 1 0 +3 +0 6 0xbca0 0x25d5f8c7 @@ -131270,6 +164088,8 @@ 1 1 0 +2 +4 8 0x7320 0xc100733f @@ -131278,6 +164098,8 @@ 1 1 0 +1 +4 5 0xd9b7 0x1ae3d050 @@ -131286,6 +164108,8 @@ 0 0 0 +5 +4 8 0x2305 0x620add40 @@ -131294,6 +164118,8 @@ 0 0 0 +0 +3 4 0x119b 0x2ba85055 @@ -131302,6 +164128,8 @@ 0 0 0 +3 +4 2 0x5e30 0x11513e1e @@ -131311,6 +164139,8 @@ 0 0 5 +3 +5 0x3762 0x791dae29 256 @@ -131318,6 +164148,8 @@ 1 1 0 +0 +0 7 0x13d2 0x9a913080 @@ -131326,6 +164158,8 @@ 1 0 0 +1 +4 2 0x34a8 0x73a952ac @@ -131334,6 +164168,8 @@ 1 1 0 +1 +5 4 0x7219 0x6a35c5d @@ -131342,6 +164178,8 @@ 0 0 0 +0 +2 6 0xc4a5 0xc2c78cd1 @@ -131350,6 +164188,8 @@ 0 0 0 +0 +5 2 0x6148 0xddd22044 @@ -131359,6 +164199,8 @@ 0 0 2 +1 +2 0xe5c6 0xa668e7e7 256 @@ -131366,6 +164208,8 @@ 1 1 0 +1 +2 5 0x19ad 0xf2548dbb @@ -131374,6 +164218,8 @@ 0 0 0 +4 +0 3 0x3181 0x7e88405b @@ -131383,6 +164229,8 @@ 0 0 3 +1 +3 0x815f 0x2f5b8209 256 @@ -131390,6 +164238,8 @@ 0 0 0 +3 +1 4 0xbf19 0x2197d898 @@ -131399,6 +164249,8 @@ 0 0 1 +4 +1 0x12b8 0x5f2e006b 256 @@ -131406,6 +164258,8 @@ 1 0 0 +1 +3 6 0x757d 0xacb40292 @@ -131414,6 +164268,8 @@ 1 0 0 +3 +2 5 0x6d77 0x595b6646 @@ -131422,6 +164278,8 @@ 0 0 0 +0 +5 3 0x8976 0x3db638fa @@ -131430,6 +164288,8 @@ 0 0 0 +0 +4 1 0x404e 0x6801d3f9 @@ -131438,6 +164298,8 @@ 0 0 0 +0 +2 7 0xd498 0xd88c6d26 @@ -131446,6 +164308,8 @@ 1 0 0 +2 +1 1 0x1865 0xdc76278b @@ -131454,6 +164318,8 @@ 1 0 0 +3 +5 9 0xd90e 0x31a14917 @@ -131462,6 +164328,8 @@ 1 0 0 +2 +1 8 0xad5b 0x51381781 @@ -131470,6 +164338,8 @@ 1 1 0 +4 +0 6 0x5a2f 0x334c30f7 @@ -131478,6 +164348,8 @@ 0 0 0 +0 +4 4 0x3645 0x4ac6d9ad @@ -131486,6 +164358,8 @@ 0 0 0 +0 +3 4 0x87a7 0xdfb89d4 @@ -131494,6 +164368,8 @@ 1 0 0 +1 +3 5 0x94b 0x891cbd67 @@ -131502,6 +164378,8 @@ 1 0 0 +0 +3 8 0xd40f 0xc47b245f @@ -131511,6 +164389,8 @@ 0 0 5 +5 +5 0x9ee6 0x98b6ca59 256 @@ -131518,6 +164398,8 @@ 1 0 0 +5 +2 1 0xdb1a 0xce2d5c4a @@ -131526,6 +164408,8 @@ 0 0 0 +2 +1 9 0xcb35 0x505f6f1d @@ -131534,6 +164418,8 @@ 0 0 0 +1 +0 7 0x3d96 0x13a10d0 @@ -131542,6 +164428,8 @@ 0 0 0 +4 +2 10 0xbda1 0xba22faf9 @@ -131550,6 +164438,8 @@ 1 0 0 +1 +0 6 0xa1e2 0x39d36610 @@ -131558,6 +164448,8 @@ 1 1 0 +1 +4 2 0xca4d 0x4b0f9f7e @@ -131566,6 +164458,8 @@ 0 0 0 +3 +4 6 0xeee9 0x2dd1dc32 @@ -131574,6 +164468,8 @@ 0 0 0 +0 +0 9 0x83ec 0x66c6211e @@ -131582,6 +164478,8 @@ 0 0 0 +3 +4 4 0x23a6 0x16a16c7c @@ -131590,6 +164488,8 @@ 0 0 0 +2 +5 1 0x520b 0xf6a0d21c @@ -131599,6 +164499,8 @@ 0 0 2 +3 +2 0x164e 0x17057b2e 256 @@ -131606,6 +164508,8 @@ 1 0 0 +0 +3 5 0x8ef0 0x669e95cf @@ -131614,6 +164518,8 @@ 0 0 0 +3 +4 9 0x2960 0xae034dfc @@ -131622,6 +164528,8 @@ 1 0 0 +2 +4 6 0x4b90 0x16da681f @@ -131630,6 +164538,8 @@ 1 0 0 +1 +3 8 0x1757 0xbb5af12e @@ -131638,6 +164548,8 @@ 0 0 0 +0 +4 10 0x5ce2 0x25b3628b @@ -131646,6 +164558,8 @@ 0 0 0 +3 +4 1 0x2b15 0x603c2813 @@ -131654,6 +164568,8 @@ 1 1 0 +5 +5 7 0x90a9 0x9ab2a885 @@ -131663,6 +164579,8 @@ 0 0 1 +1 +1 0xe531 0xe0c6dcd5 256 @@ -131670,6 +164588,8 @@ 0 0 0 +1 +4 8 0xab31 0x9e4df630 @@ -131678,6 +164598,8 @@ 1 1 0 +3 +2 2 0x1bbd 0xc3eeac51 @@ -131686,6 +164608,8 @@ 0 0 0 +5 +0 7 0x9918 0x668595c1 @@ -131694,6 +164618,8 @@ 0 0 0 +0 +1 10 0x5750 0xf08df06d @@ -131702,6 +164628,8 @@ 0 0 0 +0 +3 5 0xe1c8 0x7158c743 @@ -131711,6 +164639,8 @@ 0 0 5 +2 +5 0xb0a5 0xfe1b4db1 256 @@ -131718,6 +164648,8 @@ 1 0 0 +3 +2 8 0x46e5 0x96b9e837 @@ -131726,6 +164658,8 @@ 1 1 0 +4 +1 6 0x504a 0x564f76ae @@ -131734,6 +164668,8 @@ 0 0 0 +5 +1 8 0x28c6 0x5bf9e631 @@ -131742,6 +164678,8 @@ 1 0 0 +1 +0 8 0xedd2 0x767c50ce @@ -131750,6 +164688,8 @@ 0 0 0 +3 +2 10 0x5bc 0xfaaf8e8d @@ -131758,6 +164698,8 @@ 0 0 0 +3 +1 5 0xe8e4 0x7a6e69c0 @@ -131766,6 +164708,8 @@ 1 0 0 +3 +5 8 0x9ade 0x51c4cc75 @@ -131774,6 +164718,8 @@ 0 0 0 +5 +1 1 0xd4b0 0x4d736c53 @@ -131782,6 +164728,8 @@ 1 0 0 +0 +5 5 0xda3d 0xdb1babe0 @@ -131790,6 +164738,8 @@ 1 1 0 +5 +5 4 0x289d 0xedc518e1 @@ -131798,6 +164748,8 @@ 0 0 0 +3 +1 6 0xa9dc 0xb2bd2fd4 @@ -131806,6 +164758,8 @@ 1 0 0 +1 +1 7 0x4af9 0x765e612c @@ -131814,6 +164768,8 @@ 1 1 0 +2 +1 1 0x97f7 0x882eaf65 @@ -131822,6 +164778,8 @@ 0 0 0 +1 +5 5 0x4596 0x25c75ccf @@ -131831,6 +164789,8 @@ 0 0 1 +1 +1 0x3ff2 0x37e7d45 256 @@ -131838,6 +164798,8 @@ 0 0 0 +4 +0 8 0x740c 0x4fcc0110 @@ -131846,6 +164808,8 @@ 0 0 0 +3 +1 7 0x2de2 0xb4321817 @@ -131854,6 +164818,8 @@ 0 0 0 +2 +3 1 0x1373 0x87bcb2ed @@ -131862,6 +164828,8 @@ 0 0 0 +3 +5 9 0x4344 0x3464665 @@ -131870,6 +164838,8 @@ 0 0 0 +4 +0 10 0x3ac1 0xab044276 @@ -131878,6 +164848,8 @@ 0 0 0 +2 +2 3 0x245f 0xcfc7126 @@ -131886,6 +164858,8 @@ 1 1 0 +3 +2 7 0xd81e 0x466c4049 @@ -131894,6 +164868,8 @@ 0 0 0 +4 +0 3 0x4d1c 0x87169577 @@ -131902,6 +164878,8 @@ 1 1 0 +1 +2 4 0x9b83 0x31eac952 @@ -131910,6 +164888,8 @@ 1 1 0 +5 +2 10 0x30a8 0x4f074c86 @@ -131918,6 +164898,8 @@ 1 0 0 +5 +2 6 0x67b8 0xcf135122 @@ -131926,6 +164908,8 @@ 1 1 0 +0 +1 5 0xedcb 0xbdbab21a @@ -131934,6 +164918,8 @@ 1 1 0 +2 +2 6 0x9e2b 0x686179e1 @@ -131942,6 +164928,8 @@ 1 0 0 +2 +3 10 0xd260 0xeb8ac11e @@ -131950,6 +164938,8 @@ 1 0 0 +1 +1 6 0xbd30 0x68bb93aa @@ -131958,6 +164948,8 @@ 1 0 0 +0 +2 7 0xc26a 0x1d362e4c @@ -131966,6 +164958,8 @@ 1 0 0 +2 +3 8 0x2e01 0xf5cfb1b1 @@ -131974,6 +164968,8 @@ 1 1 0 +2 +2 10 0x3c34 0x2df5b359 @@ -131982,6 +164978,8 @@ 0 0 0 +5 +2 8 0x18db 0xd931d95e @@ -131990,6 +164988,8 @@ 0 0 0 +3 +0 10 0x8f63 0x1094abfe @@ -131998,6 +164998,8 @@ 0 0 0 +1 +2 6 0x37b6 0xc29512f7 @@ -132006,6 +165008,8 @@ 0 0 0 +1 +5 5 0x977a 0x72563114 @@ -132014,6 +165018,8 @@ 1 1 0 +1 +3 8 0x1dbc 0x4e66ba54 @@ -132023,6 +165029,8 @@ 0 0 1 +3 +1 0x698b 0x29147885 256 @@ -132030,6 +165038,8 @@ 1 1 0 +4 +3 6 0xe88f 0xe7de3072 @@ -132038,6 +165048,8 @@ 0 0 0 +0 +2 4 0x4481 0x72c63b5b @@ -132046,6 +165058,8 @@ 1 1 0 +2 +0 4 0x6449 0x34ada125 @@ -132054,6 +165068,8 @@ 1 0 0 +0 +4 1 0x32d1 0xa12bc582 @@ -132062,6 +165078,8 @@ 1 1 0 +2 +5 1 0x589c 0x2b9ed2ce @@ -132070,6 +165088,8 @@ 1 0 0 +0 +4 2 0xde22 0xb7503665 @@ -132078,6 +165098,8 @@ 1 1 0 +0 +0 2 0xa3c2 0x73ec8bcd @@ -132086,6 +165108,8 @@ 1 0 0 +3 +5 7 0x7aa3 0x82c58c90 @@ -132094,6 +165118,8 @@ 1 0 0 +3 +1 8 0xb575 0x1fba4c0d @@ -132102,6 +165128,8 @@ 0 0 0 +2 +2 10 0x28e 0x637fcb9f @@ -132110,6 +165138,8 @@ 0 0 0 +0 +0 8 0x6737 0x41029afc @@ -132118,6 +165148,8 @@ 0 0 0 +2 +5 3 0x508 0x286eef48 @@ -132126,6 +165158,8 @@ 0 0 0 +3 +5 8 0x7bb8 0x14030eb2 @@ -132134,6 +165168,8 @@ 0 0 0 +2 +4 8 0x67ee 0x70d6ddea @@ -132142,6 +165178,8 @@ 0 0 0 +2 +5 7 0x55a8 0x483eb199 @@ -132150,6 +165188,8 @@ 0 0 0 +2 +0 5 0x4541 0xde052d2c @@ -132159,6 +165199,8 @@ 0 0 3 +4 +3 0xcafc 0x44cdd1ba 256 @@ -132166,6 +165208,8 @@ 1 1 0 +0 +5 9 0x1ea2 0xa282b124 @@ -132174,6 +165218,8 @@ 0 0 0 +4 +5 5 0x8839 0x659636d1 @@ -132182,6 +165228,8 @@ 1 1 0 +4 +1 6 0x6520 0x71b259cd @@ -132190,6 +165238,8 @@ 1 1 0 +4 +3 10 0x6256 0x2dbda162 @@ -132199,6 +165249,8 @@ 1 0 1 +1 +1 0xdc93 0xeed53e44 256 @@ -132207,6 +165259,8 @@ 1 0 3 +3 +3 0x1d33 0x6c5b7351 256 @@ -132214,6 +165268,8 @@ 1 1 0 +4 +1 9 0x50ba 0x53f075f3 @@ -132222,6 +165278,8 @@ 1 1 0 +2 +2 8 0xad4c 0x72968b90 @@ -132230,6 +165288,8 @@ 0 0 0 +3 +3 1 0x5337 0x59fd3069 @@ -132238,6 +165298,8 @@ 1 0 0 +0 +4 8 0x3f2 0xc6911721 @@ -132246,6 +165308,8 @@ 1 0 0 +5 +5 9 0x2b61 0xece1df2c @@ -132254,6 +165318,8 @@ 0 0 0 +1 +2 2 0xb9c0 0x8ccd4f8d @@ -132263,6 +165329,8 @@ 1 0 4 +3 +4 0x21af 0x5c7186a 256 @@ -132270,6 +165338,8 @@ 1 1 0 +1 +2 6 0x26ed 0x69b61c29 @@ -132278,6 +165348,8 @@ 0 0 0 +3 +5 6 0x3193 0x64b09d18 @@ -132286,6 +165358,8 @@ 1 0 0 +4 +5 10 0x1232 0x3fc808e9 @@ -132294,6 +165368,8 @@ 0 0 0 +4 +0 1 0xbca 0xacef7d09 @@ -132302,6 +165378,8 @@ 1 1 0 +5 +0 6 0x37e4 0xbf79e34a @@ -132310,6 +165388,8 @@ 0 0 0 +0 +4 5 0x304 0xc27c65a8 @@ -132319,6 +165399,8 @@ 1 0 3 +4 +3 0x3b2e 0x403cdf54 256 @@ -132326,6 +165408,8 @@ 1 1 0 +5 +2 3 0xa3f4 0x59c15d4 @@ -132334,6 +165418,8 @@ 1 1 0 +4 +1 6 0x12c7 0x4ba3c61a @@ -132342,6 +165428,8 @@ 1 1 0 +0 +0 6 0x6fd9 0x1722a911 @@ -132350,6 +165438,8 @@ 0 0 0 +5 +3 4 0x72f6 0x30353553 @@ -132358,6 +165448,8 @@ 1 0 0 +1 +0 10 0xcb41 0x32abe6be @@ -132367,6 +165459,8 @@ 0 0 5 +1 +5 0x1ef4 0x843ed49 256 @@ -132374,6 +165468,8 @@ 1 1 0 +4 +3 8 0xb3f2 0x2db0b783 @@ -132382,6 +165478,8 @@ 0 0 0 +4 +2 7 0xd89 0xc980114e @@ -132390,6 +165488,8 @@ 1 0 0 +1 +4 3 0xa6a0 0x76dbe484 @@ -132398,6 +165498,8 @@ 1 0 0 +3 +3 7 0xa201 0x29130463 @@ -132406,6 +165508,8 @@ 0 0 0 +0 +4 1 0x582a 0xd8d415b9 @@ -132414,6 +165518,8 @@ 0 0 0 +3 +1 5 0xab87 0xee47f567 @@ -132422,6 +165528,8 @@ 1 0 0 +3 +5 8 0x18d5 0x5d99c86 @@ -132430,6 +165538,8 @@ 0 0 0 +2 +5 6 0xd969 0xcd1ecbf4 @@ -132439,6 +165549,8 @@ 0 0 3 +1 +3 0x475b 0x806d38e8 256 @@ -132446,6 +165558,8 @@ 0 0 0 +3 +3 6 0x2f21 0xfd45f147 @@ -132454,6 +165568,8 @@ 1 0 0 +5 +0 6 0x1fd8 0xa2d3d2cd @@ -132462,6 +165578,8 @@ 1 1 0 +2 +0 9 0x20cc 0x34e4bd8 @@ -132470,6 +165588,8 @@ 1 1 0 +2 +0 6 0xc165 0xe08c2245 @@ -132478,6 +165598,8 @@ 0 0 0 +2 +5 1 0x7695 0x367a2acb @@ -132486,6 +165608,8 @@ 1 0 0 +1 +0 8 0x334d 0x6123e003 @@ -132494,6 +165618,8 @@ 0 0 0 +1 +0 2 0x7e72 0x16420a62 @@ -132502,6 +165628,8 @@ 1 1 0 +0 +4 1 0xa2dc 0x396df9a6 @@ -132510,6 +165638,8 @@ 0 0 0 +5 +3 7 0xbb4f 0xfe074e2d @@ -132518,6 +165648,8 @@ 1 1 0 +3 +5 2 0x32f4 0x8514fa9b @@ -132526,6 +165658,8 @@ 0 0 0 +0 +2 3 0xb58f 0xbd5b3f0f @@ -132534,6 +165668,8 @@ 1 0 0 +0 +5 2 0xaf13 0x1d8c9412 @@ -132542,6 +165678,8 @@ 1 1 0 +5 +0 6 0x5ebb 0x1bdb33cf @@ -132550,6 +165688,8 @@ 0 0 0 +4 +1 10 0x8025 0x57da3ebb @@ -132558,6 +165698,8 @@ 0 0 0 +3 +3 1 0x4836 0xc052a7a @@ -132566,6 +165708,8 @@ 0 0 0 +0 +2 4 0x358c 0xc9822365 @@ -132575,6 +165719,8 @@ 1 0 4 +1 +4 0xb356 0x9a939b09 256 @@ -132582,6 +165728,8 @@ 1 0 0 +5 +2 9 0xb115 0xa9f06d90 @@ -132590,6 +165738,8 @@ 0 0 0 +4 +3 9 0xef3b 0xadeccd04 @@ -132598,6 +165748,8 @@ 0 0 0 +0 +3 8 0x65d8 0x77c72a67 @@ -132606,6 +165758,8 @@ 1 1 0 +1 +5 4 0x3299 0x2844fbb7 @@ -132614,6 +165768,8 @@ 1 1 0 +1 +5 6 0xff27 0xdab9c6ce @@ -132622,6 +165778,8 @@ 0 0 0 +0 +4 8 0x8187 0xcc757175 @@ -132630,6 +165788,8 @@ 0 0 0 +5 +4 3 0x49fd 0xa5187d32 @@ -132638,6 +165798,8 @@ 1 1 0 +1 +5 5 0xb0c3 0x786af5b1 @@ -132646,6 +165808,8 @@ 1 1 0 +1 +3 3 0xbcd7 0xe4fc2c79 @@ -132654,6 +165818,8 @@ 0 0 0 +0 +0 2 0xe264 0x2526e530 @@ -132662,6 +165828,8 @@ 1 0 0 +1 +3 7 0x139b 0x67a12940 @@ -132670,6 +165838,8 @@ 0 0 0 +3 +3 5 0xf673 0xe7241c47 @@ -132678,6 +165848,8 @@ 1 1 0 +1 +1 2 0xe3cb 0xff996353 @@ -132686,6 +165858,8 @@ 0 0 0 +2 +5 5 0xa8c6 0x4f985e13 @@ -132694,6 +165868,8 @@ 0 0 0 +1 +0 5 0x1365 0x527ad01b @@ -132702,6 +165878,8 @@ 1 1 0 +5 +0 3 0x8888 0xba74533c @@ -132710,6 +165888,8 @@ 0 0 0 +4 +4 8 0xae0c 0x7dcb9f06 @@ -132718,6 +165898,8 @@ 0 0 0 +3 +5 9 0x97ce 0x5a87d88c @@ -132726,6 +165908,8 @@ 0 0 0 +4 +4 9 0x366a 0x165c9959 @@ -132734,6 +165918,8 @@ 1 1 0 +0 +3 4 0xed05 0x837a9c38 @@ -132742,6 +165928,8 @@ 0 0 0 +4 +4 9 0x3138 0x805271b5 @@ -132750,6 +165938,8 @@ 0 0 0 +0 +0 5 0xc35d 0x10c3c303 @@ -132758,6 +165948,8 @@ 0 0 0 +3 +1 9 0x9aa1 0x11f8f24 @@ -132766,6 +165958,8 @@ 1 1 0 +0 +3 1 0x4ba0 0x946b8806 @@ -132774,6 +165968,8 @@ 1 1 0 +5 +2 2 0xb02 0x8cc0239d @@ -132782,6 +165978,8 @@ 1 1 0 +2 +0 3 0x1a03 0x97caf352 @@ -132790,6 +165988,8 @@ 0 0 0 +1 +3 2 0x4682 0xfa9949f0 @@ -132799,6 +165999,8 @@ 0 0 4 +3 +4 0xb754 0x7d95e298 256 @@ -132806,6 +166008,8 @@ 0 0 0 +2 +0 10 0xcc03 0xbcfd70f9 @@ -132814,6 +166018,8 @@ 0 0 0 +5 +0 1 0xdf 0x122a58ef @@ -132822,6 +166028,8 @@ 0 0 0 +5 +4 10 0x11a9 0xb39ba83 @@ -132830,6 +166038,8 @@ 1 0 0 +4 +2 6 0x6958 0xdbd6a800 @@ -132839,6 +166049,8 @@ 0 0 1 +3 +1 0x41d7 0xe03f9690 256 @@ -132846,6 +166058,8 @@ 0 0 0 +1 +4 5 0xc0d8 0x217d7740 @@ -132854,6 +166068,8 @@ 1 0 0 +0 +1 10 0x4d46 0xefabb553 @@ -132862,6 +166078,8 @@ 0 0 0 +2 +3 5 0xf153 0x631499b4 @@ -132870,6 +166088,8 @@ 1 0 0 +3 +2 8 0xaebb 0x1b55d37a @@ -132878,6 +166098,8 @@ 0 0 0 +2 +0 8 0x56b4 0xdec4fe54 @@ -132886,6 +166108,8 @@ 0 0 0 +0 +0 10 0xa292 0x2e45763e @@ -132894,6 +166118,8 @@ 1 0 0 +4 +3 10 0xe5eb 0x9a3934a4 @@ -132902,6 +166128,8 @@ 0 0 0 +0 +5 4 0xd2ec 0xc6fd8d93 @@ -132910,6 +166138,8 @@ 1 1 0 +2 +1 10 0x7d5b 0x2015ad6f @@ -132918,6 +166148,8 @@ 0 0 0 +4 +2 7 0x52d3 0xc8883df @@ -132926,6 +166158,8 @@ 0 0 0 +4 +0 10 0x21c3 0xe99cb4e8 @@ -132934,6 +166168,8 @@ 0 0 0 +5 +3 2 0xc71a 0x89775d39 @@ -132942,6 +166178,8 @@ 1 0 0 +4 +2 1 0xe1f8 0xd85e4f8c @@ -132950,6 +166188,8 @@ 1 0 0 +4 +0 3 0xb40c 0xd41d3a51 @@ -132958,6 +166198,8 @@ 1 1 0 +2 +3 1 0x663f 0x4313aeb7 @@ -132966,6 +166208,8 @@ 1 1 0 +1 +2 6 0x258b 0x99f507bc @@ -132974,6 +166218,8 @@ 1 1 0 +2 +0 8 0x5a97 0x9b8a19ea @@ -132982,6 +166228,8 @@ 0 0 0 +0 +1 3 0x8e62 0xa8bf23d3 @@ -132990,6 +166238,8 @@ 1 1 0 +1 +2 2 0x7878 0x85913f67 @@ -132998,6 +166248,8 @@ 0 0 0 +4 +0 3 0x70fe 0xb659e87b @@ -133006,6 +166258,8 @@ 1 1 0 +0 +0 10 0x7b25 0x513d9129 @@ -133014,6 +166268,8 @@ 0 0 0 +1 +2 3 0x77d1 0xd6f780e6 @@ -133022,6 +166278,8 @@ 0 0 0 +1 +1 8 0x5f5b 0x325a9834 @@ -133030,6 +166288,8 @@ 1 1 0 +4 +1 5 0xb33b 0x58b17aa9 @@ -133038,6 +166298,8 @@ 0 0 0 +3 +3 5 0x73fe 0x3c383bae @@ -133046,6 +166308,8 @@ 0 0 0 +0 +0 4 0x3584 0xf0f64424 @@ -133054,6 +166318,8 @@ 1 0 0 +4 +3 1 0xf9d7 0xaaa4554e @@ -133062,6 +166328,8 @@ 1 0 0 +2 +2 5 0xe5f4 0xd3e1b153 @@ -133070,6 +166338,8 @@ 1 0 0 +1 +5 10 0xea94 0x3584c5f1 @@ -133078,6 +166348,8 @@ 0 0 0 +5 +5 4 0x7602 0xab29d65b @@ -133086,6 +166358,8 @@ 1 0 0 +3 +3 5 0xc5f3 0xac9dd83b @@ -133094,6 +166368,8 @@ 1 1 0 +0 +2 5 0xe740 0x129a160 @@ -133102,6 +166378,8 @@ 1 0 0 +5 +2 6 0xf93f 0x286f716d @@ -133110,6 +166388,8 @@ 0 0 0 +2 +3 4 0xa3f8 0x4709827d @@ -133118,6 +166398,8 @@ 1 1 0 +4 +4 6 0x2b1c 0x61dbc3d @@ -133126,6 +166408,8 @@ 1 1 0 +5 +4 3 0x70df 0x216a3dce @@ -133135,6 +166419,8 @@ 1 0 2 +2 +2 0xc410 0xd4bdf047 256 @@ -133142,6 +166428,8 @@ 1 0 0 +5 +2 8 0x2055 0xff17b97a @@ -133150,6 +166438,8 @@ 0 0 0 +0 +3 1 0x211e 0x6dedcd36 @@ -133158,6 +166448,8 @@ 1 0 0 +0 +1 1 0xe57f 0x3407238a @@ -133166,6 +166458,8 @@ 0 0 0 +3 +4 10 0x1227 0x327c8a5a @@ -133174,6 +166468,8 @@ 0 0 0 +5 +4 8 0x784e 0x1936c088 @@ -133182,6 +166478,8 @@ 0 0 0 +0 +2 3 0xd947 0xefee0f38 @@ -133190,6 +166488,8 @@ 0 0 0 +2 +4 3 0x73d9 0x2fccdaf0 @@ -133198,6 +166498,8 @@ 0 0 0 +2 +0 5 0xb638 0x7c0a7701 @@ -133206,6 +166508,8 @@ 1 0 0 +2 +1 1 0x61c5 0xe635e80b @@ -133214,6 +166518,8 @@ 0 0 0 +4 +1 10 0x6c3d 0xda970b49 @@ -133222,6 +166528,8 @@ 1 0 0 +4 +1 8 0xe1 0xa0797201 @@ -133230,6 +166538,8 @@ 1 1 0 +0 +5 3 0x6244 0x5c8e43e6 @@ -133238,6 +166548,8 @@ 0 0 0 +1 +1 10 0x4715 0x81cf43a1 @@ -133246,6 +166558,8 @@ 1 0 0 +3 +3 4 0xfc78 0x10622132 @@ -133254,6 +166568,8 @@ 1 1 0 +5 +1 9 0x1161 0x1532a732 @@ -133262,6 +166578,8 @@ 0 0 0 +1 +5 10 0xa11a 0xc669bfbb @@ -133270,6 +166588,8 @@ 0 0 0 +0 +2 2 0xb76d 0x7f6ace0e @@ -133279,6 +166599,8 @@ 0 0 5 +2 +5 0x5492 0xb09f0406 256 @@ -133286,6 +166608,8 @@ 1 1 0 +5 +3 2 0x60bd 0x64b6be53 @@ -133294,6 +166618,8 @@ 1 0 0 +5 +2 7 0x5ade 0x3fe41ea8 @@ -133302,6 +166628,8 @@ 1 0 0 +4 +1 6 0xb996 0x970bd09 @@ -133310,6 +166638,8 @@ 0 0 0 +2 +0 8 0x3c89 0x3e8876b1 @@ -133318,6 +166648,8 @@ 1 1 0 +2 +3 8 0x6c0c 0x29dbdbbc @@ -133326,6 +166658,8 @@ 0 0 0 +4 +2 1 0x8ba7 0xd6769a3a @@ -133334,6 +166668,8 @@ 1 0 0 +5 +0 1 0x346c 0xb6777881 @@ -133342,6 +166678,8 @@ 0 0 0 +4 +4 3 0xdcd1 0xb0c8e53c @@ -133350,6 +166688,8 @@ 1 1 0 +1 +4 3 0x2389 0x1bcc8575 @@ -133358,6 +166698,8 @@ 1 1 0 +1 +0 10 0x628 0xf4f47467 @@ -133367,6 +166709,8 @@ 0 0 3 +0 +3 0x5e4 0xd9a70335 256 @@ -133375,6 +166719,8 @@ 0 0 2 +0 +2 0xec32 0xc48ee9bb 256 @@ -133382,6 +166728,8 @@ 0 0 0 +0 +1 6 0xcd8a 0x78ce1c9e @@ -133390,6 +166738,8 @@ 1 1 0 +0 +3 8 0xe442 0x319df8e3 @@ -133398,6 +166748,8 @@ 0 0 0 +4 +1 9 0x50ad 0x55c3a814 @@ -133406,6 +166758,8 @@ 1 1 0 +2 +5 9 0xec5b 0xe3351788 @@ -133414,6 +166768,8 @@ 1 1 0 +0 +1 9 0xe01b 0xbbdde15a @@ -133422,6 +166778,8 @@ 0 0 0 +1 +3 2 0xc821 0x97b408f7 @@ -133430,6 +166788,8 @@ 0 0 0 +4 +4 3 0xa906 0xc74c4f98 @@ -133438,6 +166798,8 @@ 0 0 0 +4 +3 3 0x9de2 0x40292179 @@ -133446,6 +166808,8 @@ 0 0 0 +2 +4 5 0x84aa 0x5180a34d @@ -133454,6 +166818,8 @@ 1 0 0 +1 +3 6 0x8617 0xeedca2fd @@ -133462,6 +166828,8 @@ 1 1 0 +4 +3 2 0x7a63 0xc60da2c4 @@ -133470,6 +166838,8 @@ 0 0 0 +3 +5 6 0xc478 0xf9272561 @@ -133478,6 +166848,8 @@ 1 1 0 +3 +4 8 0x8515 0x3b6e9749 @@ -133486,6 +166858,8 @@ 1 0 0 +3 +1 1 0x5070 0xcb115670 @@ -133494,6 +166868,8 @@ 0 0 0 +3 +5 2 0x8749 0x2b32240f @@ -133502,6 +166878,8 @@ 0 0 0 +4 +5 6 0x6555 0xfa7f5a95 @@ -133510,6 +166888,8 @@ 0 0 0 +5 +3 7 0xb51a 0x88df1ddc @@ -133518,6 +166898,8 @@ 0 0 0 +5 +5 10 0xe2f7 0xde0e5df4 @@ -133526,6 +166908,8 @@ 0 0 0 +4 +5 5 0x6c05 0x738566f8 @@ -133534,6 +166918,8 @@ 1 0 0 +2 +1 10 0x79fd 0xd95898b1 @@ -133542,6 +166928,8 @@ 1 1 0 +5 +0 9 0x7102 0xec55610b @@ -133551,6 +166939,8 @@ 0 0 3 +3 +3 0x5df4 0xe3e85209 256 @@ -133558,6 +166948,8 @@ 1 0 0 +0 +4 4 0xe68 0x3c3f94c6 @@ -133566,6 +166958,8 @@ 1 1 0 +2 +4 3 0x41d5 0xe0acef9b @@ -133574,6 +166968,8 @@ 1 0 0 +2 +1 5 0xa563 0x2bc1bc1c @@ -133582,6 +166978,8 @@ 0 0 0 +3 +2 6 0xc2d1 0xb21da155 @@ -133590,6 +166988,8 @@ 1 0 0 +5 +5 1 0x4d15 0x36d6b865 @@ -133598,6 +166998,8 @@ 0 0 0 +2 +5 5 0xbe56 0x7c278854 @@ -133606,6 +167008,8 @@ 0 0 0 +5 +3 2 0x1f03 0x74ff113b @@ -133614,6 +167018,8 @@ 0 0 0 +0 +5 3 0xe0fa 0x340e875d @@ -133622,6 +167028,8 @@ 0 0 0 +2 +1 7 0x1aa6 0x3795c6ea @@ -133630,6 +167038,8 @@ 1 0 0 +2 +2 7 0x5917 0x8c096765 @@ -133638,6 +167048,8 @@ 0 0 0 +0 +0 4 0x5eb0 0xf2a040d4 @@ -133646,6 +167058,8 @@ 0 0 0 +0 +4 10 0x419c 0xfceb5d1e @@ -133654,6 +167068,8 @@ 0 0 0 +0 +5 8 0x2d5e 0x30baf6c9 @@ -133663,6 +167079,8 @@ 0 0 4 +2 +4 0x80a0 0x7beaafb9 256 @@ -133670,6 +167088,8 @@ 1 1 0 +0 +1 10 0x2359 0x39f34049 @@ -133678,6 +167098,8 @@ 0 0 0 +0 +5 4 0xfd13 0x5d72420b @@ -133686,6 +167108,8 @@ 1 1 0 +1 +5 2 0xc49e 0xe7f4538e @@ -133694,6 +167118,8 @@ 1 1 0 +0 +0 7 0xfa92 0xc7ade609 @@ -133703,6 +167129,8 @@ 0 0 3 +2 +3 0xb92 0xd43089b4 256 @@ -133710,6 +167138,8 @@ 0 0 0 +1 +4 3 0x41ab 0xdbcef1c3 @@ -133718,6 +167148,8 @@ 1 1 0 +5 +5 9 0x3bb1 0x4087df86 @@ -133727,6 +167159,8 @@ 0 0 3 +0 +3 0x638b 0x49fccbfe 256 @@ -133734,6 +167168,8 @@ 1 1 0 +4 +2 10 0x4f20 0xcc2efef8 @@ -133742,6 +167178,8 @@ 0 0 0 +4 +0 7 0xf5dc 0xee7787f2 @@ -133750,6 +167188,8 @@ 0 0 0 +3 +4 9 0x537d 0xdfe3c537 @@ -133758,6 +167198,8 @@ 0 0 0 +1 +1 3 0x2195 0xf14da8ca @@ -133767,6 +167209,8 @@ 0 0 3 +3 +3 0x22ac 0xe667404f 256 @@ -133774,6 +167218,8 @@ 0 0 0 +0 +2 8 0x7a50 0xead0296d @@ -133782,6 +167228,8 @@ 0 0 0 +1 +3 7 0x2d2b 0x54081284 @@ -133790,6 +167238,8 @@ 1 1 0 +5 +4 1 0x5d80 0xc6bd986f @@ -133798,6 +167248,8 @@ 0 0 0 +0 +5 10 0xcf11 0x4eaaa511 @@ -133807,6 +167259,8 @@ 0 0 4 +1 +4 0x5bf0 0xdb8683a5 256 @@ -133814,6 +167268,8 @@ 0 0 0 +1 +5 6 0xa936 0x388a0eed @@ -133822,6 +167278,8 @@ 1 0 0 +0 +0 4 0xa256 0x2cf8276a @@ -133830,6 +167288,8 @@ 1 1 0 +4 +1 10 0x523f 0x69450936 @@ -133838,6 +167298,8 @@ 0 0 0 +0 +0 10 0x50a6 0x4345bcfa @@ -133846,6 +167308,8 @@ 1 0 0 +3 +0 8 0x2b41 0x7bca345e @@ -133854,6 +167318,8 @@ 1 0 0 +1 +3 5 0x936f 0x1aa42c7e @@ -133862,6 +167328,8 @@ 0 0 0 +3 +5 8 0xd0b1 0x805611ef @@ -133870,6 +167338,8 @@ 0 0 0 +4 +1 2 0x286d 0x9148fb2e @@ -133878,6 +167348,8 @@ 0 0 0 +3 +2 6 0xff1e 0x4c266be0 @@ -133886,6 +167358,8 @@ 1 1 0 +2 +2 1 0xe215 0xf8747eb6 @@ -133894,6 +167368,8 @@ 1 0 0 +3 +2 4 0xab54 0x2d156ece @@ -133902,6 +167378,8 @@ 0 0 0 +5 +2 3 0x899c 0x24cd4766 @@ -133910,6 +167388,8 @@ 1 1 0 +2 +3 9 0x1ade 0x26706650 @@ -133919,6 +167399,8 @@ 0 0 1 +5 +1 0x242c 0xda009eec 256 @@ -133926,6 +167408,8 @@ 0 0 0 +2 +4 4 0xc5ed 0x8053a649 @@ -133934,6 +167418,8 @@ 0 0 0 +3 +2 1 0x1c7a 0x9feb5743 @@ -133942,6 +167428,8 @@ 1 1 0 +3 +5 2 0x60c 0x56c37125 @@ -133950,6 +167438,8 @@ 1 0 0 +5 +4 4 0x7202 0xb368c581 @@ -133958,6 +167448,8 @@ 0 0 0 +0 +1 7 0x92cc 0x806c2e62 @@ -133966,6 +167458,8 @@ 1 1 0 +3 +5 7 0x3b84 0xdb51a266 @@ -133974,6 +167468,8 @@ 1 1 0 +4 +3 5 0xcd57 0xcaefee23 @@ -133982,6 +167478,8 @@ 1 1 0 +2 +2 1 0x86a 0x50440666 @@ -133990,6 +167488,8 @@ 0 0 0 +2 +2 3 0x8b28 0xca32adad @@ -133998,6 +167498,8 @@ 0 0 0 +1 +0 7 0x2885 0xdb5d8ed1 @@ -134006,6 +167508,8 @@ 0 0 0 +4 +5 3 0x81bc 0x96c7557c @@ -134014,6 +167518,8 @@ 1 1 0 +2 +1 1 0x4f03 0x9312681 @@ -134022,6 +167528,8 @@ 0 0 0 +1 +0 6 0x9e97 0x4a1e702a @@ -134030,6 +167538,8 @@ 1 0 0 +5 +5 8 0xec65 0xcfc3e480 @@ -134039,6 +167549,8 @@ 1 0 2 +4 +2 0x43c 0xe8799b09 256 @@ -134047,6 +167559,8 @@ 0 0 3 +1 +3 0x6751 0x7fca79d4 256 @@ -134054,6 +167568,8 @@ 1 0 0 +4 +3 6 0x1f3d 0x3d2ffc5c @@ -134062,6 +167578,8 @@ 1 1 0 +1 +5 6 0x375a 0x2a3316b6 @@ -134070,6 +167588,8 @@ 0 0 0 +5 +3 7 0xd22b 0x61825104 @@ -134078,6 +167598,8 @@ 0 0 0 +2 +2 5 0xcd83 0x2584f66f @@ -134086,6 +167608,8 @@ 1 0 0 +2 +0 1 0xdce3 0xa39a4511 @@ -134095,6 +167619,8 @@ 0 0 2 +1 +2 0x8ee3 0xa4386d25 256 @@ -134102,6 +167628,8 @@ 1 0 0 +4 +5 9 0xe9d7 0x1970b7cf @@ -134110,6 +167638,8 @@ 1 0 0 +1 +0 6 0x916d 0xdf83d2a8 @@ -134118,6 +167648,8 @@ 1 0 0 +4 +5 2 0x283e 0x2588c248 @@ -134127,6 +167659,8 @@ 1 0 1 +1 +1 0xf7f 0x66d47c9e 256 @@ -134135,6 +167669,8 @@ 0 0 4 +1 +4 0x817c 0xcdabdbcd 256 @@ -134142,6 +167678,8 @@ 0 0 0 +2 +2 10 0xeabd 0x78efcc3 @@ -134150,6 +167688,8 @@ 0 0 0 +5 +4 7 0x9a58 0xfa7d14f @@ -134159,6 +167699,8 @@ 0 0 3 +3 +3 0xa0c7 0x479c4070 256 @@ -134166,6 +167708,8 @@ 1 0 0 +0 +2 4 0xda23 0x410005b4 @@ -134174,6 +167718,8 @@ 0 0 0 +4 +2 9 0x3ae3 0xf136ba71 @@ -134182,6 +167728,8 @@ 1 0 0 +3 +3 2 0x1100 0xcd6fe7f4 @@ -134190,6 +167738,8 @@ 1 0 0 +5 +0 2 0x98f4 0x2a55eda2 @@ -134198,6 +167748,8 @@ 0 0 0 +1 +4 2 0xdd62 0x4c6d70a @@ -134206,6 +167758,8 @@ 1 1 0 +3 +3 9 0x8b1b 0xf57dcced @@ -134214,6 +167768,8 @@ 1 1 0 +0 +2 1 0x1da7 0x96812d79 @@ -134223,6 +167779,8 @@ 1 0 5 +3 +5 0x43be 0xfbc9325d 256 @@ -134230,6 +167788,8 @@ 0 0 0 +0 +0 8 0xa7a0 0x3904ba2c @@ -134238,6 +167798,8 @@ 1 1 0 +1 +2 7 0x50b 0x6dd2e546 @@ -134247,6 +167809,8 @@ 1 0 5 +4 +5 0xb55c 0xba45467b 256 @@ -134254,6 +167818,8 @@ 0 0 0 +4 +4 1 0xed47 0x1449932b @@ -134262,6 +167828,8 @@ 0 0 0 +3 +0 6 0x7cc0 0xe0a6d3 @@ -134270,6 +167838,8 @@ 1 0 0 +3 +1 6 0xe12e 0xf8fc23fc @@ -134278,6 +167848,8 @@ 1 1 0 +5 +0 4 0x5f62 0xe4d214d3 @@ -134286,6 +167858,8 @@ 0 0 0 +0 +3 8 0xdeb6 0x5889c097 @@ -134294,6 +167868,8 @@ 0 0 0 +3 +2 2 0x233a 0x292f2a92 @@ -134302,6 +167878,8 @@ 1 1 0 +3 +2 5 0x99bd 0xa9e978f7 @@ -134310,6 +167888,8 @@ 1 0 0 +4 +5 9 0x2288 0x298f500 @@ -134318,6 +167898,8 @@ 1 0 0 +2 +1 1 0x8161 0x81906512 @@ -134327,6 +167909,8 @@ 1 0 2 +3 +2 0xcaac 0xfd188f7e 256 @@ -134334,6 +167918,8 @@ 0 0 0 +0 +5 6 0xe6eb 0xddfb6420 @@ -134342,6 +167928,8 @@ 1 1 0 +2 +4 5 0x5485 0x5529c6cd @@ -134350,6 +167938,8 @@ 1 1 0 +3 +4 2 0xcd56 0x3a2bc32f @@ -134358,6 +167948,8 @@ 0 0 0 +3 +4 6 0xafef 0x81216361 @@ -134366,6 +167958,8 @@ 0 0 0 +1 +5 9 0x79ab 0x7d172871 @@ -134374,6 +167968,8 @@ 0 0 0 +5 +0 8 0xc917 0xcddab930 @@ -134382,6 +167978,8 @@ 1 0 0 +0 +3 3 0x7ac4 0x52902fde @@ -134390,6 +167988,8 @@ 0 0 0 +0 +5 2 0x1012 0x4872d070 @@ -134398,6 +167998,8 @@ 0 0 0 +5 +2 2 0xe24b 0x47a35aa7 @@ -134406,6 +168008,8 @@ 1 1 0 +5 +1 2 0x4617 0x5c4bcecd @@ -134414,6 +168018,8 @@ 1 1 0 +0 +1 1 0xfa0b 0xaa84b3d5 @@ -134422,6 +168028,8 @@ 0 0 0 +0 +0 2 0xafa9 0x44f9baa0 @@ -134430,6 +168038,8 @@ 1 0 0 +5 +2 6 0x1671 0xa3e55c03 @@ -134438,6 +168048,8 @@ 1 1 0 +1 +2 8 0xdd88 0xc37f06a @@ -134446,6 +168058,8 @@ 0 0 0 +1 +0 5 0x9013 0x115ef7ee @@ -134454,6 +168068,8 @@ 0 0 0 +4 +0 8 0xcd04 0x3d76f767 @@ -134462,6 +168078,8 @@ 1 0 0 +0 +2 1 0xcf2d 0x3ac567ae @@ -134470,6 +168088,8 @@ 1 0 0 +3 +4 9 0x3b2b 0x39c63a17 @@ -134478,6 +168098,8 @@ 0 0 0 +1 +0 8 0x76af 0x18e1f4e6 @@ -134486,6 +168108,8 @@ 1 1 0 +0 +1 6 0xef7b 0x52728d82 @@ -134494,6 +168118,8 @@ 0 0 0 +2 +4 3 0x2404 0x30c36ae8 @@ -134502,6 +168128,8 @@ 1 0 0 +3 +3 8 0x83e6 0x2fb819bf @@ -134510,6 +168138,8 @@ 1 0 0 +2 +2 9 0xf1f5 0xc6e9a0f3 @@ -134518,6 +168148,8 @@ 0 0 0 +2 +5 6 0x6419 0xd264bd13 @@ -134526,6 +168158,8 @@ 1 1 0 +0 +3 4 0x50e6 0xec98378e @@ -134534,6 +168168,8 @@ 0 0 0 +2 +5 1 0x6fb4 0x566f24ee @@ -134542,6 +168178,8 @@ 0 0 0 +3 +2 1 0x6976 0xa5fdcf77 @@ -134550,6 +168188,8 @@ 1 1 0 +4 +5 1 0x3d89 0x5dde64f1 @@ -134558,6 +168198,8 @@ 0 0 0 +4 +4 6 0x9933 0x3964a542 @@ -134567,6 +168209,8 @@ 0 0 2 +5 +2 0x8f5f 0x1fd721e4 256 @@ -134574,6 +168218,8 @@ 0 0 0 +1 +5 3 0xc355 0x8761d654 @@ -134582,6 +168228,8 @@ 1 0 0 +0 +0 2 0xefc7 0x70297a2f @@ -134590,6 +168238,8 @@ 0 0 0 +2 +1 10 0xc74d 0x361682e3 @@ -134598,6 +168248,8 @@ 1 0 0 +5 +4 8 0x8cf6 0x38d69490 @@ -134606,6 +168258,8 @@ 0 0 0 +1 +2 3 0x7da0 0x75e2bc6 @@ -134614,6 +168268,8 @@ 1 0 0 +5 +5 8 0xfafc 0xe5c40d8a @@ -134622,6 +168278,8 @@ 0 0 0 +0 +3 8 0x84ba 0xbf07f248 @@ -134630,6 +168288,8 @@ 1 0 0 +4 +3 1 0x511f 0x1317ff4b @@ -134638,6 +168298,8 @@ 0 0 0 +5 +3 10 0xb74e 0x85e6b201 @@ -134646,6 +168308,8 @@ 0 0 0 +0 +1 2 0xa27e 0x91298cac @@ -134654,6 +168318,8 @@ 0 0 0 +1 +4 8 0xe743 0xe0afe9dd @@ -134662,6 +168328,8 @@ 0 0 0 +2 +0 1 0x28b7 0xc9a2ff91 @@ -134670,6 +168338,8 @@ 0 0 0 +0 +0 2 0x2d3e 0x87095d49 @@ -134679,6 +168349,8 @@ 0 0 2 +4 +2 0xdd62 0xb3a36f8d 256 @@ -134686,6 +168358,8 @@ 1 0 0 +4 +5 10 0x276b 0x84968492 @@ -134694,6 +168368,8 @@ 0 0 0 +5 +2 8 0x96ac 0x94695344 @@ -134702,6 +168378,8 @@ 1 0 0 +4 +0 8 0xeb78 0x2d888d41 @@ -134710,6 +168388,8 @@ 1 1 0 +1 +5 9 0xfb70 0x47734706 @@ -134718,6 +168398,8 @@ 0 0 0 +0 +0 2 0xdcd1 0xa687f2eb @@ -134726,6 +168408,8 @@ 1 0 0 +0 +2 1 0x6a88 0x17c35b1f @@ -134734,6 +168418,8 @@ 1 0 0 +5 +2 9 0x6317 0x7914b09e @@ -134742,6 +168428,8 @@ 0 0 0 +0 +3 5 0x3200 0xa2f856d3 @@ -134750,6 +168438,8 @@ 0 0 0 +5 +2 6 0x6e3b 0xabf941f4 @@ -134758,6 +168448,8 @@ 1 0 0 +1 +3 8 0x5bf5 0x62c04f12 @@ -134766,6 +168458,8 @@ 0 0 0 +0 +4 8 0x74ea 0xf19f648a @@ -134774,6 +168468,8 @@ 0 0 0 +2 +1 10 0x7ff0 0xbcf240a1 @@ -134782,6 +168478,8 @@ 1 1 0 +4 +3 8 0x1822 0x2037581f @@ -134790,6 +168488,8 @@ 0 0 0 +0 +0 3 0x82c1 0x27edd0d8 @@ -134798,6 +168498,8 @@ 0 0 0 +0 +2 6 0xffb0 0xdbc5ca5a @@ -134806,6 +168508,8 @@ 1 0 0 +1 +3 7 0xa6d5 0xe136906b @@ -134814,6 +168518,8 @@ 1 1 0 +0 +0 2 0x2cc4 0x6ec7c8a3 @@ -134823,6 +168529,8 @@ 0 0 5 +3 +5 0xa367 0xfe81318b 256 @@ -134830,6 +168538,8 @@ 1 1 0 +5 +1 3 0xeed7 0xd15f3bb6 @@ -134838,6 +168548,8 @@ 1 1 0 +4 +1 10 0x7825 0xbbfd18c @@ -134846,6 +168558,8 @@ 1 1 0 +0 +2 6 0x7d19 0x82566ba3 @@ -134854,6 +168568,8 @@ 1 0 0 +0 +5 4 0x675d 0x81f295e5 @@ -134862,6 +168578,8 @@ 0 0 0 +5 +3 10 0x4583 0x272a77b7 @@ -134870,6 +168588,8 @@ 0 0 0 +1 +3 9 0x8669 0x3037822c @@ -134878,6 +168598,8 @@ 0 0 0 +5 +0 9 0x30c4 0x7b6bf1fd @@ -134886,6 +168608,8 @@ 0 0 0 +3 +5 2 0xe205 0xbb6ddff8 @@ -134894,6 +168618,8 @@ 1 1 0 +5 +5 8 0xc659 0xffe832fc @@ -134902,6 +168628,8 @@ 1 0 0 +1 +0 2 0xedd1 0xda886798 @@ -134910,6 +168638,8 @@ 1 0 0 +3 +0 9 0xc12e 0xf6e59715 @@ -134918,6 +168648,8 @@ 1 1 0 +4 +1 10 0xdc9f 0x3bd95b3b @@ -134926,6 +168658,8 @@ 1 1 0 +5 +3 3 0xfea7 0xe3b5e0f @@ -134934,6 +168668,8 @@ 1 0 0 +4 +0 3 0x7fc4 0x8fa4ab40 @@ -134942,6 +168678,8 @@ 1 0 0 +3 +5 9 0x251 0x2e759e14 @@ -134950,6 +168688,8 @@ 1 0 0 +1 +5 8 0x60aa 0x8b7b9350 @@ -134958,6 +168698,8 @@ 0 0 0 +5 +0 10 0x7079 0xda527bf0 @@ -134967,6 +168709,8 @@ 0 0 1 +1 +1 0xead6 0x47ae780f 256 @@ -134974,6 +168718,8 @@ 1 1 0 +2 +3 7 0x8d3b 0xda54e689 @@ -134983,6 +168729,8 @@ 0 0 3 +4 +3 0x3718 0x46c39e39 256 @@ -134990,6 +168738,8 @@ 0 0 0 +5 +5 8 0x40bf 0xe9f65538 @@ -134998,6 +168748,8 @@ 1 0 0 +4 +1 8 0x8d27 0xcc45d5ed @@ -135006,6 +168758,8 @@ 1 1 0 +5 +1 8 0x6d37 0xc75d4ea7 @@ -135014,6 +168768,8 @@ 0 0 0 +4 +4 2 0xd19 0x3cf526aa @@ -135022,6 +168778,8 @@ 0 0 0 +5 +4 7 0x642d 0x209558fe @@ -135030,6 +168788,8 @@ 0 0 0 +2 +3 7 0x5200 0x62c4050a @@ -135039,6 +168799,8 @@ 0 0 2 +5 +2 0x70f6 0x5c1cdc2b 256 @@ -135046,6 +168808,8 @@ 1 0 0 +3 +4 7 0x215c 0x5002de37 @@ -135054,6 +168818,8 @@ 0 0 0 +1 +3 6 0x1cb1 0x29df7f17 @@ -135062,6 +168828,8 @@ 0 0 0 +2 +4 1 0xcc38 0xddef1304 @@ -135071,6 +168839,8 @@ 1 0 1 +3 +1 0xc5a7 0x14690499 256 @@ -135078,6 +168848,8 @@ 0 0 0 +5 +1 3 0xfcd4 0xe719441c @@ -135086,6 +168858,8 @@ 1 0 0 +3 +2 6 0x4b6b 0x1b8ddd5a @@ -135095,6 +168869,8 @@ 0 0 5 +4 +5 0x671e 0x3bd6b240 256 @@ -135102,6 +168878,8 @@ 0 0 0 +3 +5 6 0xb3d7 0x9520c701 @@ -135110,6 +168888,8 @@ 1 0 0 +2 +4 7 0x1a29 0x254fca47 @@ -135118,6 +168898,8 @@ 1 0 0 +1 +3 8 0xc498 0x54886ca3 @@ -135126,6 +168908,8 @@ 0 0 0 +5 +0 8 0x2d40 0xf1bd638f @@ -135134,6 +168918,8 @@ 1 1 0 +5 +2 9 0x3cf4 0x1409ab1b @@ -135142,6 +168928,8 @@ 1 0 0 +2 +4 1 0x4ecc 0x4b6e931f @@ -135150,6 +168938,8 @@ 1 1 0 +0 +2 7 0x4313 0xb29261 @@ -135158,6 +168948,8 @@ 0 0 0 +4 +5 1 0x45bd 0xfa263c40 @@ -135166,6 +168958,8 @@ 1 0 0 +2 +4 10 0x67f7 0x256ddfa7 @@ -135174,6 +168968,8 @@ 0 0 0 +0 +4 10 0x8c57 0x40e879e8 @@ -135182,6 +168978,8 @@ 0 0 0 +0 +1 6 0x7923 0xc1f669e3 @@ -135190,6 +168988,8 @@ 1 1 0 +1 +0 4 0x846e 0x2f0f9dd0 @@ -135198,6 +168998,8 @@ 0 0 0 +3 +3 4 0x1a9e 0x4d784914 @@ -135206,6 +169008,8 @@ 1 1 0 +4 +1 3 0xb85b 0xfd3d4cb2 @@ -135214,6 +169018,8 @@ 0 0 0 +5 +4 7 0xf123 0xea72ed5c @@ -135222,6 +169028,8 @@ 0 0 0 +1 +4 6 0x1b66 0xc16b5a23 @@ -135230,6 +169038,8 @@ 0 0 0 +2 +5 10 0x6d74 0x9a1f495d @@ -135238,6 +169048,8 @@ 0 0 0 +5 +5 4 0x918c 0xed01b757 @@ -135246,6 +169058,8 @@ 1 1 0 +1 +4 5 0xb5cd 0xee33dfc6 @@ -135254,6 +169068,8 @@ 0 0 0 +0 +1 5 0xeaff 0x80be87ce @@ -135262,6 +169078,8 @@ 1 0 0 +3 +3 5 0x2b2a 0x4798fbc2 @@ -135270,6 +169088,8 @@ 0 0 0 +2 +3 4 0x4cf4 0x80de6efc @@ -135278,6 +169098,8 @@ 1 0 0 +3 +0 8 0x78ec 0x29adfa74 @@ -135286,6 +169108,8 @@ 0 0 0 +1 +3 10 0x2d46 0xd7f94ec @@ -135294,6 +169118,8 @@ 0 0 0 +5 +3 3 0xe019 0xb8fc576f @@ -135302,6 +169128,8 @@ 1 1 0 +1 +0 8 0xf0b 0xc7e8e577 @@ -135310,6 +169138,8 @@ 0 0 0 +4 +0 7 0x2768 0x4c970c4b @@ -135318,6 +169148,8 @@ 0 0 0 +4 +2 7 0x37c4 0xf024f1de @@ -135326,6 +169158,8 @@ 1 0 0 +5 +4 8 0x229d 0x50f56a9d @@ -135334,6 +169168,8 @@ 0 0 0 +3 +1 5 0x5050 0xa9060ed1 @@ -135342,6 +169178,8 @@ 0 0 0 +3 +2 1 0xdcd1 0xb00fe83b @@ -135350,6 +169188,8 @@ 0 0 0 +0 +2 7 0x8110 0x8d7f829 @@ -135358,6 +169198,8 @@ 0 0 0 +2 +2 6 0x6297 0xcd9438df @@ -135366,6 +169208,8 @@ 1 0 0 +3 +0 10 0xc61f 0x2f394cec @@ -135374,6 +169218,8 @@ 1 1 0 +0 +4 1 0x9c75 0x9ba75e52 @@ -135382,6 +169228,8 @@ 1 1 0 +1 +3 5 0x9e5e 0xb1ff2b4d @@ -135390,6 +169238,8 @@ 0 0 0 +5 +5 6 0xa0fb 0xc8781c3b @@ -135398,6 +169248,8 @@ 0 0 0 +4 +4 1 0x7304 0xed9c25ba @@ -135406,6 +169258,8 @@ 1 1 0 +0 +3 1 0x91f2 0x7dad5a27 @@ -135414,6 +169268,8 @@ 1 1 0 +2 +4 5 0x379e 0x6778d434 @@ -135422,6 +169278,8 @@ 1 1 0 +3 +1 10 0x8f81 0x260a4e34 @@ -135430,6 +169288,8 @@ 0 0 0 +2 +3 8 0x4a73 0x6d91e66a @@ -135438,6 +169298,8 @@ 0 0 0 +0 +4 2 0x486d 0x98b9b951 @@ -135446,6 +169308,8 @@ 0 0 0 +1 +1 7 0xdcd5 0x4bc83f05 @@ -135454,6 +169318,8 @@ 0 0 0 +3 +2 10 0x3f9a 0xa0cd1863 @@ -135463,6 +169329,8 @@ 0 0 4 +0 +4 0x8823 0x22b564c 256 @@ -135470,6 +169338,8 @@ 0 0 0 +4 +4 7 0x1a0d 0x6dabc8d2 @@ -135478,6 +169348,8 @@ 1 0 0 +5 +1 10 0x691c 0x3aa3fe23 @@ -135486,6 +169358,8 @@ 1 1 0 +2 +0 5 0x8746 0x6d047c5 @@ -135494,6 +169368,8 @@ 0 0 0 +5 +2 9 0x3793 0xc5da9009 @@ -135502,6 +169378,8 @@ 1 1 0 +0 +1 6 0x3ea1 0x430fd35 @@ -135510,6 +169388,8 @@ 0 0 0 +5 +0 4 0x9155 0x9418cc7c @@ -135518,6 +169398,8 @@ 1 0 0 +4 +1 6 0x1aad 0xe3835258 @@ -135526,6 +169408,8 @@ 1 0 0 +3 +3 9 0x7f85 0x1ecc0a4c @@ -135534,6 +169418,8 @@ 1 0 0 +0 +5 10 0x717 0x139629b1 @@ -135542,6 +169428,8 @@ 0 0 0 +3 +4 4 0x87ec 0xe66d46fb @@ -135550,6 +169438,8 @@ 0 0 0 +5 +2 1 0xa3e9 0x7b4ec38c @@ -135558,6 +169448,8 @@ 1 0 0 +3 +2 1 0xebd1 0x1ba7b5f9 @@ -135566,6 +169458,8 @@ 1 1 0 +2 +2 6 0xab25 0xed74909b @@ -135574,6 +169468,8 @@ 0 0 0 +2 +2 1 0x2bd4 0xc2a0a52f @@ -135582,6 +169478,8 @@ 1 0 0 +2 +1 8 0x9485 0x1106a12a @@ -135590,6 +169488,8 @@ 1 1 0 +0 +1 8 0xda91 0xb9b8a9e @@ -135598,6 +169498,8 @@ 1 1 0 +3 +5 6 0xb49c 0x28c5e7cc @@ -135606,6 +169508,8 @@ 0 0 0 +4 +4 5 0xfa75 0xdc96069c @@ -135614,6 +169518,8 @@ 0 0 0 +2 +4 9 0x8690 0x33ed9bee @@ -135623,6 +169529,8 @@ 1 0 5 +1 +5 0x2483 0x54ff64fb 256 @@ -135630,6 +169538,8 @@ 1 0 0 +4 +4 6 0x2d58 0x85478ac9 @@ -135639,6 +169549,8 @@ 0 0 2 +0 +2 0x1674 0x35bc9a15 256 @@ -135646,6 +169558,8 @@ 1 1 0 +1 +5 10 0x63c4 0x2037ac6 @@ -135654,6 +169568,8 @@ 0 0 0 +2 +5 9 0xcb74 0xf8bd6236 @@ -135662,6 +169578,8 @@ 1 0 0 +3 +5 4 0xaafa 0x28aa2832 @@ -135670,6 +169588,8 @@ 1 1 0 +3 +5 4 0x3196 0xe6ea8f80 @@ -135678,6 +169598,8 @@ 1 0 0 +1 +3 6 0xb8ea 0x40d0f148 @@ -135686,6 +169608,8 @@ 1 0 0 +1 +0 10 0xb8e0 0x86ab23da @@ -135694,6 +169618,8 @@ 0 0 0 +0 +3 1 0x9bb5 0xc772457d @@ -135702,6 +169628,8 @@ 1 0 0 +0 +4 4 0xe41c 0x13642d88 @@ -135710,6 +169638,8 @@ 1 0 0 +2 +5 9 0x53ea 0x877fd152 @@ -135718,6 +169648,8 @@ 0 0 0 +5 +3 4 0xe09a 0x7a6e3599 @@ -135726,6 +169658,8 @@ 0 0 0 +0 +3 9 0x9d82 0x336409ae @@ -135734,6 +169668,8 @@ 1 0 0 +1 +2 8 0x2fd3 0xcdd5b151 @@ -135743,6 +169679,8 @@ 0 0 3 +2 +3 0xdd9e 0x33153b38 256 @@ -135750,6 +169688,8 @@ 1 1 0 +2 +3 4 0x499a 0xc5eee4ce @@ -135758,6 +169698,8 @@ 1 1 0 +1 +1 7 0xa75c 0xd9274f6 @@ -135766,6 +169708,8 @@ 0 0 0 +2 +0 5 0xd3b9 0xbb025d55 @@ -135774,6 +169718,8 @@ 1 1 0 +3 +5 7 0x9049 0xf62ce556 @@ -135782,6 +169728,8 @@ 0 0 0 +0 +0 6 0x1df8 0x82c2b552 @@ -135790,6 +169738,8 @@ 1 0 0 +2 +5 1 0xcaaa 0x84b94004 @@ -135798,6 +169748,8 @@ 1 1 0 +3 +1 10 0x58d1 0xb89738a5 @@ -135806,6 +169758,8 @@ 0 0 0 +1 +5 2 0x7a8b 0x5b1d7c48 @@ -135815,6 +169769,8 @@ 0 0 4 +4 +4 0x2cbc 0x70dcd193 256 @@ -135822,6 +169778,8 @@ 0 0 0 +1 +4 4 0xfd32 0xe11e9e03 @@ -135830,6 +169788,8 @@ 0 0 0 +0 +5 8 0xd63e 0xc98faff6 @@ -135838,6 +169798,8 @@ 0 0 0 +5 +4 7 0xf700 0x58ebe07d @@ -135846,6 +169808,8 @@ 0 0 0 +2 +2 1 0x407c 0xa668a350 @@ -135854,6 +169818,8 @@ 0 0 0 +0 +4 7 0xe2dc 0xcff54bc8 @@ -135862,6 +169828,8 @@ 1 0 0 +3 +5 8 0x9cb8 0x96d32e1f @@ -135871,6 +169839,8 @@ 0 0 1 +4 +1 0xc8e6 0xcba0b2ee 256 @@ -135878,6 +169848,8 @@ 0 0 0 +0 +1 10 0x266c 0x53b16578 @@ -135886,6 +169858,8 @@ 0 0 0 +1 +2 9 0xc25b 0x43ccdde6 @@ -135894,6 +169868,8 @@ 1 1 0 +1 +4 7 0x666f 0xb9e450ae @@ -135902,6 +169878,8 @@ 0 0 0 +0 +3 9 0xf0ef 0xebd723b7 @@ -135910,6 +169888,8 @@ 1 0 0 +4 +4 7 0xe667 0xb82b0622 @@ -135918,6 +169898,8 @@ 1 1 0 +4 +3 9 0x4879 0x964b6ae @@ -135926,6 +169908,8 @@ 0 0 0 +0 +4 4 0xc230 0xfc9595c4 @@ -135934,6 +169918,8 @@ 1 0 0 +5 +1 2 0x9c3c 0xeae572c4 @@ -135942,6 +169928,8 @@ 0 0 0 +2 +0 5 0xe179 0xba847aa @@ -135950,6 +169938,8 @@ 1 1 0 +0 +0 2 0x52a 0xf3596f2b @@ -135958,6 +169948,8 @@ 1 1 0 +1 +2 4 0x41e6 0x728a80fe @@ -135966,6 +169958,8 @@ 1 0 0 +2 +0 5 0xcc18 0x87ef3c3 @@ -135974,6 +169968,8 @@ 1 1 0 +1 +5 8 0x5c2f 0xac87a304 @@ -135982,6 +169978,8 @@ 1 0 0 +4 +3 6 0x32da 0x97656f0a @@ -135990,6 +169988,8 @@ 0 0 0 +5 +2 9 0xe9ee 0xfc990ba2 @@ -135998,6 +169998,8 @@ 1 1 0 +2 +1 10 0xfde4 0xecfeff21 @@ -136006,6 +170008,8 @@ 1 1 0 +5 +1 4 0x2d2a 0x325dd457 @@ -136014,6 +170018,8 @@ 1 1 0 +5 +4 3 0x68b1 0x4f1870b6 @@ -136022,6 +170028,8 @@ 0 0 0 +5 +0 2 0x37f3 0xbdfea424 @@ -136031,6 +170039,8 @@ 0 0 2 +0 +2 0x7b60 0x5ae31534 256 @@ -136038,6 +170048,8 @@ 1 1 0 +1 +0 5 0xb0ec 0x9419cc9d @@ -136046,6 +170058,8 @@ 1 0 0 +5 +3 8 0xf04e 0x4a530a44 @@ -136054,6 +170068,8 @@ 1 0 0 +1 +4 6 0x9cba 0x9dc6b0eb @@ -136062,6 +170078,8 @@ 0 0 0 +5 +3 9 0x91ff 0xabfef40f @@ -136070,6 +170088,8 @@ 1 1 0 +2 +5 3 0xd2dd 0x7706950b @@ -136078,6 +170098,8 @@ 1 1 0 +2 +4 7 0x104d 0x2ba3158a @@ -136086,6 +170108,8 @@ 1 0 0 +4 +2 10 0xf323 0x3f108b8b @@ -136094,6 +170118,8 @@ 1 0 0 +0 +5 1 0x98f5 0x18fe4cf8 @@ -136102,6 +170128,8 @@ 0 0 0 +3 +3 4 0x6a39 0xf602c0cd @@ -136110,6 +170138,8 @@ 0 0 0 +5 +1 6 0x6df9 0xefc2b2f @@ -136118,6 +170148,8 @@ 0 0 0 +0 +4 4 0xdf41 0x86ce304 @@ -136126,6 +170158,8 @@ 0 0 0 +0 +2 6 0xe312 0xc9b0c007 @@ -136134,6 +170168,8 @@ 0 0 0 +2 +5 6 0x8993 0x7dd7a39e @@ -136142,6 +170178,8 @@ 1 0 0 +3 +4 7 0x67b7 0xdbed4d5a @@ -136150,6 +170188,8 @@ 1 0 0 +3 +5 2 0xb7c3 0x8167eda8 @@ -136158,6 +170198,8 @@ 1 1 0 +3 +2 7 0xa1cd 0xfed43145 @@ -136166,6 +170208,8 @@ 1 1 0 +4 +4 8 0x3e63 0x19660daf @@ -136174,6 +170218,8 @@ 1 0 0 +2 +4 5 0x6681 0x3f2e071c @@ -136182,6 +170228,8 @@ 0 0 0 +3 +4 5 0x8650 0x605c7ea @@ -136190,6 +170238,8 @@ 1 0 0 +2 +3 3 0x180d 0x4cb5801 @@ -136198,6 +170248,8 @@ 0 0 0 +1 +1 10 0x18a1 0x2ffa519d @@ -136206,6 +170258,8 @@ 0 0 0 +4 +0 2 0x61e7 0x65d1e8ba @@ -136214,6 +170268,8 @@ 1 1 0 +5 +3 10 0x36a9 0xfb5a0c2c @@ -136222,6 +170278,8 @@ 0 0 0 +5 +3 8 0x6e74 0x5fb15e74 @@ -136230,6 +170288,8 @@ 0 0 0 +4 +1 8 0xe685 0xe5f85195 @@ -136238,6 +170298,8 @@ 1 0 0 +5 +0 4 0x4aab 0x1b783b9a @@ -136246,6 +170308,8 @@ 0 0 0 +4 +1 6 0x3a76 0xe218a948 @@ -136254,6 +170318,8 @@ 0 0 0 +1 +5 6 0x1d58 0xc092647 @@ -136262,6 +170328,8 @@ 0 0 0 +1 +4 9 0xc696 0xe74238fb @@ -136270,6 +170338,8 @@ 1 0 0 +1 +5 8 0x7fc3 0x358329b3 @@ -136278,6 +170348,8 @@ 0 0 0 +3 +3 4 0x7872 0x90715856 @@ -136286,6 +170358,8 @@ 1 1 0 +4 +4 10 0x4dd9 0xb3907445 @@ -136294,6 +170368,8 @@ 0 0 0 +2 +5 6 0x1b55 0x4f9da228 @@ -136302,6 +170378,8 @@ 1 0 0 +0 +4 2 0x8a1f 0x85e4b18d @@ -136310,6 +170388,8 @@ 0 0 0 +4 +0 2 0xfea6 0x5f094d8e @@ -136318,6 +170398,8 @@ 0 0 0 +1 +0 4 0xe4f9 0x9f127acc @@ -136326,6 +170408,8 @@ 1 1 0 +1 +4 6 0x4aad 0x7bc832e2 @@ -136334,6 +170418,8 @@ 0 0 0 +4 +0 7 0x8579 0xff53171b @@ -136342,6 +170428,8 @@ 0 0 0 +4 +4 8 0xe800 0x6aa513ef @@ -136350,6 +170438,8 @@ 0 0 0 +4 +1 3 0xcb87 0xe071e051 @@ -136358,6 +170448,8 @@ 0 0 0 +1 +2 4 0xf5c0 0x5264e99e @@ -136366,6 +170458,8 @@ 0 0 0 +0 +0 7 0x4a0 0x8fcb03e1 @@ -136374,6 +170468,8 @@ 1 0 0 +0 +3 7 0xbac7 0x7ca55519 @@ -136382,6 +170478,8 @@ 0 0 0 +0 +0 5 0x65c6 0xbf903813 @@ -136390,6 +170488,8 @@ 0 0 0 +4 +5 6 0x8159 0x4c29f374 @@ -136398,6 +170498,8 @@ 1 1 0 +3 +1 4 0x4f53 0xbef972c5 @@ -136406,6 +170508,8 @@ 0 0 0 +1 +2 8 0x2e8b 0x50550b81 @@ -136414,6 +170518,8 @@ 1 1 0 +1 +2 5 0x8367 0x829d5ef3 @@ -136422,6 +170528,8 @@ 1 1 0 +4 +2 2 0x51cf 0xcbe3d914 @@ -136430,6 +170538,8 @@ 0 0 0 +4 +0 6 0xde2b 0x6000a53f @@ -136438,6 +170548,8 @@ 1 1 0 +0 +5 9 0xc67f 0x592c299d @@ -136446,6 +170558,8 @@ 0 0 0 +1 +2 6 0x5e6d 0x3857a06a @@ -136454,6 +170568,8 @@ 1 0 0 +0 +1 5 0xb5c0 0x3bef43bb @@ -136462,6 +170578,8 @@ 1 1 0 +2 +4 9 0x829a 0xecbcf312 @@ -136470,6 +170588,8 @@ 0 0 0 +0 +5 5 0x6140 0x7511c1de @@ -136478,6 +170598,8 @@ 1 0 0 +1 +3 10 0x8d01 0x3c10c26f @@ -136486,6 +170608,8 @@ 0 0 0 +3 +0 4 0x178d 0xd6a1c675 @@ -136494,6 +170618,8 @@ 0 0 0 +0 +2 6 0x8184 0xda2f47d7 @@ -136503,6 +170629,8 @@ 1 0 2 +2 +2 0x758 0x6d1013ae 256 @@ -136510,6 +170638,8 @@ 1 1 0 +1 +1 4 0x7141 0xb0b5a66c @@ -136519,6 +170649,8 @@ 0 0 4 +4 +4 0xf0f4 0x51f07966 256 @@ -136527,6 +170659,8 @@ 1 0 3 +1 +3 0x5391 0x24a57d5c 256 @@ -136534,6 +170668,8 @@ 0 0 0 +3 +0 7 0xb578 0x50e8d2dd @@ -136542,6 +170678,8 @@ 1 0 0 +1 +0 5 0x7ab0 0x56af117e @@ -136550,6 +170688,8 @@ 1 0 0 +5 +1 3 0x914e 0x5830009c @@ -136558,6 +170698,8 @@ 0 0 0 +0 +3 10 0xec54 0xeb750d26 @@ -136566,6 +170708,8 @@ 1 1 0 +4 +0 8 0xfbba 0xe793d244 @@ -136574,6 +170718,8 @@ 1 1 0 +2 +1 1 0x133f 0x4a37e46f @@ -136582,6 +170728,8 @@ 1 0 0 +5 +5 4 0x540b 0x12c0c524 @@ -136590,6 +170738,8 @@ 0 0 0 +3 +1 10 0x38bb 0x7d09df72 @@ -136598,6 +170748,8 @@ 1 1 0 +0 +2 2 0x9ebc 0x2f5f97f1 @@ -136606,6 +170758,8 @@ 0 0 0 +3 +3 1 0x1a4a 0xae5ea757 @@ -136614,6 +170768,8 @@ 0 0 0 +5 +3 1 0xa959 0x412025cc @@ -136623,6 +170779,8 @@ 1 0 3 +4 +3 0xeb9a 0x4bab97df 256 @@ -136630,6 +170788,8 @@ 1 1 0 +3 +1 4 0xbe8c 0x57df6e2a @@ -136638,6 +170798,8 @@ 1 1 0 +5 +0 8 0xc855 0xb3ecc8b0 @@ -136646,6 +170808,8 @@ 1 0 0 +5 +5 4 0xd694 0x13186a75 @@ -136654,6 +170818,8 @@ 1 0 0 +0 +4 6 0xfdf9 0x84e3c0e2 @@ -136662,6 +170828,8 @@ 0 0 0 +1 +3 9 0xe01d 0xc1402ef1 @@ -136670,6 +170838,8 @@ 1 1 0 +2 +3 5 0xd820 0x5843ff67 @@ -136678,6 +170848,8 @@ 0 0 0 +4 +3 9 0xd28 0x3c8131ba @@ -136686,6 +170858,8 @@ 1 1 0 +0 +4 6 0x6344 0x78153ac5 @@ -136694,6 +170868,8 @@ 1 0 0 +1 +3 8 0xf7c4 0x385d9f8a @@ -136702,6 +170878,8 @@ 1 1 0 +4 +0 1 0x8a98 0x855c55ba @@ -136710,6 +170888,8 @@ 1 1 0 +5 +0 2 0x7ed0 0xde65ceb2 @@ -136719,6 +170899,8 @@ 1 0 3 +0 +3 0xa99a 0x6e577e9d 256 @@ -136727,6 +170909,8 @@ 0 0 1 +1 +1 0xcac0 0x7e45cbf7 256 @@ -136734,6 +170918,8 @@ 1 0 0 +2 +1 8 0x1363 0xdeac0b87 @@ -136742,6 +170928,8 @@ 1 1 0 +2 +3 8 0xa151 0x9c6a472a @@ -136750,6 +170938,8 @@ 0 0 0 +0 +2 4 0x868b 0xb2222a20 @@ -136758,6 +170948,8 @@ 0 0 0 +0 +0 5 0x37f3 0xd179a2b7 @@ -136766,6 +170958,8 @@ 0 0 0 +1 +2 8 0xa9b2 0x2ab669a6 @@ -136774,6 +170968,8 @@ 1 1 0 +3 +1 1 0x2bef 0x8e5c5fe7 @@ -136782,6 +170978,8 @@ 1 1 0 +5 +2 3 0xbee8 0xae2d5def @@ -136790,6 +170988,8 @@ 1 0 0 +1 +0 5 0x3e06 0x641be8d @@ -136798,6 +170998,8 @@ 1 0 0 +3 +0 2 0xa2da 0x1c17b50c @@ -136806,6 +171008,8 @@ 0 0 0 +5 +2 7 0xa265 0xe55ee74b @@ -136814,6 +171018,8 @@ 1 1 0 +3 +4 7 0x1707 0xd20ad1e4 @@ -136822,6 +171028,8 @@ 1 0 0 +5 +4 10 0x24af 0x4bfd31c5 @@ -136830,6 +171038,8 @@ 1 1 0 +0 +3 5 0x157d 0xc7427122 @@ -136838,6 +171048,8 @@ 1 1 0 +5 +3 1 0x4e89 0x225937cf @@ -136846,6 +171058,8 @@ 0 0 0 +5 +3 9 0x14dc 0xb963e6d1 @@ -136854,6 +171068,8 @@ 0 0 0 +0 +1 6 0xe232 0x2fd2be44 @@ -136862,6 +171078,8 @@ 1 0 0 +0 +5 9 0x905d 0xae64f10c @@ -136870,6 +171088,8 @@ 1 0 0 +2 +2 5 0xa1c 0xc4d853c2 @@ -136878,6 +171098,8 @@ 1 0 0 +0 +3 7 0x6c23 0x5694260e @@ -136886,6 +171108,8 @@ 0 0 0 +5 +3 6 0xc68c 0x7c38cb26 @@ -136895,6 +171119,8 @@ 0 0 1 +4 +1 0xf760 0x4869954d 256 @@ -136902,6 +171128,8 @@ 1 1 0 +4 +1 1 0x9b7e 0x7bf4073a @@ -136910,6 +171138,8 @@ 1 0 0 +1 +1 2 0xa6f 0xa1c2234a @@ -136918,6 +171148,8 @@ 1 0 0 +5 +5 1 0x8ac1 0x85c7f773 @@ -136926,6 +171158,8 @@ 0 0 0 +5 +0 8 0x8f5d 0xad214e90 @@ -136934,6 +171168,8 @@ 1 0 0 +5 +3 1 0xc5d5 0x809d593e @@ -136942,6 +171178,8 @@ 1 1 0 +2 +5 5 0xfc54 0x24bbfef8 @@ -136950,6 +171188,8 @@ 0 0 0 +4 +0 3 0x750d 0xc296c5ba @@ -136958,6 +171198,8 @@ 0 0 0 +3 +1 10 0x4487 0xd9512ea3 @@ -136966,6 +171208,8 @@ 1 1 0 +1 +5 8 0x5da1 0x31b3cd5f @@ -136974,6 +171218,8 @@ 0 0 0 +4 +5 6 0xf012 0x90ec123c @@ -136982,6 +171228,8 @@ 1 0 0 +4 +0 6 0xa068 0x26dab4ee @@ -136991,6 +171239,8 @@ 1 0 1 +1 +1 0xb92b 0x37a799bc 256 @@ -136998,6 +171248,8 @@ 0 0 0 +5 +1 3 0x7150 0x4027ab56 @@ -137006,6 +171258,8 @@ 0 0 0 +2 +0 6 0x3704 0x76d16ba0 @@ -137014,6 +171268,8 @@ 0 0 0 +5 +5 6 0xa101 0xc6c97f0c @@ -137023,6 +171279,8 @@ 0 0 1 +3 +1 0x28df 0xa9bd547e 256 @@ -137030,6 +171288,8 @@ 1 1 0 +2 +1 7 0xa20a 0x61cdfb15 @@ -137039,6 +171299,8 @@ 0 0 1 +4 +1 0x2924 0x395c75cf 256 @@ -137046,6 +171308,8 @@ 0 0 0 +1 +4 5 0xcaa7 0x16a61a78 @@ -137054,6 +171318,8 @@ 0 0 0 +2 +3 7 0x92d7 0x767a073b @@ -137062,6 +171328,8 @@ 1 0 0 +5 +1 10 0xd2a5 0x3c10b1ff @@ -137070,6 +171338,8 @@ 1 1 0 +0 +4 6 0xc29f 0x2d47eba @@ -137078,6 +171348,8 @@ 0 0 0 +4 +5 3 0xff52 0xdb34316c @@ -137086,6 +171358,8 @@ 0 0 0 +0 +3 10 0x98cf 0xaf160db7 @@ -137095,6 +171369,8 @@ 1 0 5 +1 +5 0x4a14 0xa98fe532 256 @@ -137102,6 +171378,8 @@ 0 0 0 +5 +1 2 0x853e 0x4fd6178a @@ -137110,6 +171388,8 @@ 0 0 0 +5 +2 7 0x878a 0x16853bb3 @@ -137118,6 +171398,8 @@ 0 0 0 +5 +0 8 0x5f6e 0x649e5f2d @@ -137126,6 +171408,8 @@ 0 0 0 +2 +1 4 0x230c 0xdc28a43d @@ -137134,6 +171418,8 @@ 1 0 0 +2 +2 1 0x14ba 0x86780585 @@ -137142,6 +171428,8 @@ 1 0 0 +3 +1 4 0xa02c 0x20e67d84 @@ -137150,6 +171438,8 @@ 1 0 0 +3 +4 6 0x5213 0xca013a8b @@ -137158,6 +171448,8 @@ 0 0 0 +3 +2 6 0xfd2b 0x2d44cb9f @@ -137166,6 +171458,8 @@ 1 1 0 +5 +5 4 0xc43 0x37d9e928 @@ -137174,6 +171468,8 @@ 1 0 0 +0 +0 7 0xb5b3 0x2289d433 @@ -137182,6 +171478,8 @@ 1 1 0 +5 +5 4 0x75e 0x7836d5e7 @@ -137190,6 +171488,8 @@ 0 0 0 +1 +5 9 0x1c7b 0xa936628a @@ -137198,6 +171498,8 @@ 1 0 0 +0 +1 2 0x8358 0xaa2c1ca8 @@ -137206,6 +171508,8 @@ 0 0 0 +3 +3 8 0x68bc 0x64335b59 @@ -137214,6 +171518,8 @@ 0 0 0 +2 +0 4 0x6110 0xa3554b00 @@ -137222,6 +171528,8 @@ 1 0 0 +5 +3 7 0x3a5c 0x9af6200 @@ -137230,6 +171538,8 @@ 1 1 0 +1 +2 9 0x29f6 0x9b5a628a @@ -137238,6 +171548,8 @@ 0 0 0 +1 +0 10 0x4a2 0xe8555fb6 @@ -137247,6 +171559,8 @@ 0 0 1 +4 +1 0x2a4f 0xfd2f4a8f 256 @@ -137254,6 +171568,8 @@ 1 0 0 +2 +0 3 0x393a 0x863772f7 @@ -137262,6 +171578,8 @@ 1 0 0 +0 +4 6 0x6c44 0x8a7c16b4 @@ -137271,6 +171589,8 @@ 0 0 2 +5 +2 0xd1df 0x6cba87d7 256 @@ -137278,6 +171598,8 @@ 0 0 0 +2 +0 5 0x56c9 0x4be17698 @@ -137286,6 +171608,8 @@ 1 1 0 +3 +2 10 0x57ba 0x39aadccf @@ -137294,6 +171618,8 @@ 1 1 0 +1 +1 2 0x812 0x63cb449d @@ -137302,6 +171628,8 @@ 1 0 0 +3 +5 6 0xfcd0 0xbc0c0d18 @@ -137310,6 +171638,8 @@ 0 0 0 +4 +4 9 0x19f9 0x4aade5e7 @@ -137318,6 +171648,8 @@ 0 0 0 +5 +4 9 0xa676 0x56d8f2ee @@ -137326,6 +171658,8 @@ 1 1 0 +5 +0 4 0xeddc 0x9014ef9f @@ -137334,6 +171668,8 @@ 0 0 0 +5 +4 6 0xcc30 0x1c2dc8f2 @@ -137342,6 +171678,8 @@ 1 0 0 +0 +0 8 0x47ff 0xd0e07ae5 @@ -137350,6 +171688,8 @@ 0 0 0 +5 +2 7 0xfab3 0x49695b88 @@ -137358,6 +171698,8 @@ 1 1 0 +5 +2 4 0x7445 0x4d22e467 @@ -137366,6 +171708,8 @@ 0 0 0 +1 +5 9 0x511a 0xd6528601 @@ -137374,6 +171718,8 @@ 0 0 0 +2 +3 7 0xd1a0 0xb282d534 @@ -137382,6 +171728,8 @@ 1 0 0 +0 +2 8 0x699d 0x7eadb557 @@ -137390,6 +171738,8 @@ 0 0 0 +2 +1 9 0x11bd 0x3c2b889 @@ -137398,6 +171748,8 @@ 0 0 0 +2 +0 3 0x72b4 0x29477192 @@ -137406,6 +171758,8 @@ 0 0 0 +3 +3 9 0xc52 0xd463834f @@ -137414,6 +171768,8 @@ 1 0 0 +2 +4 8 0x6560 0x7f7e21fb @@ -137422,6 +171778,8 @@ 0 0 0 +0 +1 10 0xaf6f 0x82b271df @@ -137430,6 +171788,8 @@ 1 0 0 +0 +1 4 0xf0b3 0x9fd6ef17 @@ -137438,6 +171798,8 @@ 0 0 0 +4 +2 10 0x219d 0x1ed15d38 @@ -137447,6 +171809,8 @@ 0 0 4 +3 +4 0x691c 0xfb3a046 256 @@ -137454,6 +171818,8 @@ 0 0 0 +1 +4 8 0x932a 0xcd9a430b @@ -137462,6 +171828,8 @@ 1 1 0 +4 +1 1 0xf2b8 0xac19c0e1 @@ -137470,6 +171838,8 @@ 1 1 0 +4 +4 7 0x8b2f 0x7806cedb @@ -137478,6 +171848,8 @@ 0 0 0 +5 +4 8 0x64c8 0xc58a97d6 @@ -137487,6 +171859,8 @@ 1 0 4 +5 +4 0x197f 0x7eb3f8d9 256 @@ -137494,6 +171868,8 @@ 0 0 0 +2 +0 9 0x13f5 0xaeed88e7 @@ -137502,6 +171878,8 @@ 1 1 0 +4 +5 3 0xe7f7 0x50a7ef03 @@ -137510,6 +171888,8 @@ 1 0 0 +1 +1 6 0x42f3 0x988eeb74 @@ -137518,6 +171898,8 @@ 1 1 0 +5 +1 8 0x71d5 0xe5481828 @@ -137527,6 +171909,8 @@ 1 0 1 +2 +1 0xec5a 0x8b00edad 256 @@ -137534,6 +171918,8 @@ 1 1 0 +3 +1 4 0xf417 0xe94695af @@ -137542,6 +171928,8 @@ 0 0 0 +4 +0 3 0xfc58 0xa8086506 @@ -137550,6 +171938,8 @@ 0 0 0 +1 +1 10 0x82af 0xb012c353 @@ -137558,6 +171948,8 @@ 1 0 0 +0 +0 5 0x58f9 0x28091d46 @@ -137566,6 +171958,8 @@ 0 0 0 +2 +5 6 0x2332 0x7c13f47c @@ -137574,6 +171968,8 @@ 0 0 0 +5 +2 2 0x8ac2 0x3d47232d @@ -137582,6 +171978,8 @@ 1 0 0 +4 +2 9 0x4011 0x8b713186 @@ -137590,6 +171988,8 @@ 0 0 0 +4 +0 8 0x3b3c 0xbd2e2d7e @@ -137598,6 +171998,8 @@ 0 0 0 +5 +5 1 0x1c25 0x4752ab3e @@ -137607,6 +172009,8 @@ 0 0 1 +4 +1 0x1c38 0x6efa1f0a 256 @@ -137614,6 +172018,8 @@ 0 0 0 +2 +1 8 0x8a66 0x4b79d916 @@ -137622,6 +172028,8 @@ 1 1 0 +4 +2 6 0x5364 0xae13c0aa @@ -137630,6 +172038,8 @@ 0 0 0 +4 +1 3 0xf0d2 0x4ab7536 @@ -137638,6 +172048,8 @@ 1 1 0 +3 +2 8 0x5af8 0x784122cc @@ -137646,6 +172058,8 @@ 1 1 0 +5 +5 6 0x7be0 0x1ccb962f @@ -137654,6 +172068,8 @@ 1 0 0 +5 +5 10 0xfdd5 0xa2e1abe2 @@ -137662,6 +172078,8 @@ 1 0 0 +0 +2 3 0x42a6 0xe56ec858 @@ -137671,6 +172089,8 @@ 1 0 2 +2 +2 0x24bd 0x843b9f76 256 @@ -137678,6 +172098,8 @@ 0 0 0 +3 +4 4 0xb259 0xa3a00895 @@ -137686,6 +172108,8 @@ 1 0 0 +5 +2 4 0x3621 0xfe96c014 @@ -137694,6 +172118,8 @@ 1 1 0 +1 +1 3 0x19ae 0x1e685ae8 @@ -137702,6 +172128,8 @@ 0 0 0 +4 +3 3 0x7da5 0x2c271465 @@ -137710,6 +172138,8 @@ 1 0 0 +3 +3 4 0x5f1e 0xf0065df3 @@ -137718,6 +172148,8 @@ 1 0 0 +5 +5 4 0xf15c 0xe33e1373 @@ -137726,6 +172158,8 @@ 1 1 0 +3 +3 5 0xd786 0x4a89a941 @@ -137734,6 +172168,8 @@ 1 0 0 +2 +5 3 0xa22b 0xd65f5ea8 @@ -137742,6 +172178,8 @@ 1 0 0 +4 +1 8 0xd95c 0x601215b9 @@ -137750,6 +172188,8 @@ 0 0 0 +5 +5 6 0xfff9 0x75f38b72 @@ -137758,6 +172198,8 @@ 1 0 0 +5 +1 9 0x9b78 0x2516aca0 @@ -137766,6 +172208,8 @@ 1 0 0 +1 +0 6 0x343a 0x4cffcc05 @@ -137774,6 +172218,8 @@ 0 0 0 +0 +2 8 0x2fa4 0xa9af22a5 @@ -137782,6 +172228,8 @@ 1 0 0 +0 +2 1 0x61d0 0x9018340c @@ -137790,6 +172238,8 @@ 1 1 0 +1 +3 4 0xa1f6 0x1fe921cd @@ -137798,6 +172248,8 @@ 1 1 0 +3 +5 6 0xf784 0x80be1ed5 @@ -137806,6 +172258,8 @@ 1 0 0 +5 +3 9 0xca15 0xfa244d2e @@ -137815,6 +172269,8 @@ 0 0 4 +5 +4 0xba98 0xeaed0793 256 @@ -137822,6 +172278,8 @@ 1 0 0 +3 +2 5 0xfb01 0x5538c84c @@ -137830,6 +172288,8 @@ 1 0 0 +1 +0 6 0x1b1e 0x8b63ef45 @@ -137838,6 +172298,8 @@ 1 1 0 +2 +2 3 0x9d43 0xe824e1c6 @@ -137846,6 +172308,8 @@ 1 1 0 +1 +5 6 0xa92d 0xa6e65846 @@ -137854,6 +172318,8 @@ 1 0 0 +1 +0 8 0x888b 0xe972290f @@ -137862,6 +172328,8 @@ 0 0 0 +4 +1 1 0x5d70 0xe5f58a79 @@ -137870,6 +172338,8 @@ 0 0 0 +4 +5 5 0xf168 0xee8335b @@ -137878,6 +172348,8 @@ 1 0 0 +2 +2 9 0xb87 0x17dc7f90 @@ -137886,6 +172358,8 @@ 0 0 0 +3 +1 10 0x2d70 0xfcf66c6f @@ -137894,6 +172368,8 @@ 1 0 0 +3 +3 9 0x815 0x25932c7a @@ -137902,6 +172378,8 @@ 0 0 0 +5 +2 4 0xc4ff 0x3a65e463 @@ -137910,6 +172388,8 @@ 1 0 0 +0 +5 9 0xe431 0x3ef5e62d @@ -137919,6 +172399,8 @@ 0 0 1 +3 +1 0x9437 0x9882bec5 256 @@ -137926,6 +172408,8 @@ 1 1 0 +5 +1 1 0x829c 0x4e819771 @@ -137934,6 +172418,8 @@ 0 0 0 +3 +5 1 0x1adf 0x36df337e @@ -137942,6 +172428,8 @@ 0 0 0 +0 +5 10 0x8a34 0xabaa36e @@ -137950,6 +172438,8 @@ 0 0 0 +3 +4 7 0x874c 0x38fb0533 @@ -137959,6 +172449,8 @@ 0 0 1 +2 +1 0xac78 0xceb0d490 256 @@ -137966,6 +172458,8 @@ 1 1 0 +4 +5 6 0x3764 0x9d8b3426 @@ -137974,6 +172468,8 @@ 0 0 0 +5 +3 6 0xf2b3 0xa893fc87 @@ -137982,6 +172478,8 @@ 0 0 0 +3 +3 4 0x89ca 0xa03b26b0 @@ -137990,6 +172488,8 @@ 0 0 0 +3 +0 4 0x712b 0x92aad726 @@ -137999,6 +172499,8 @@ 0 0 4 +3 +4 0x6de8 0x7826c1ae 256 @@ -138006,6 +172508,8 @@ 1 0 0 +2 +0 9 0x270a 0x3e29dd6c @@ -138014,6 +172518,8 @@ 0 0 0 +3 +4 2 0x13eb 0xaa5d4fab @@ -138022,6 +172528,8 @@ 0 0 0 +0 +5 7 0x261b 0x467f8a05 @@ -138030,6 +172538,8 @@ 1 1 0 +0 +1 9 0xc3a3 0x755cd6f6 @@ -138038,6 +172548,8 @@ 0 0 0 +0 +5 2 0xa7ab 0x3fee058 @@ -138046,6 +172558,8 @@ 1 1 0 +5 +5 4 0x6bc9 0x66013a6a @@ -138054,6 +172568,8 @@ 1 0 0 +4 +5 1 0x352f 0x3274d0a9 @@ -138062,6 +172578,8 @@ 0 0 0 +2 +2 7 0x9448 0x83646184 @@ -138071,6 +172589,8 @@ 1 0 1 +3 +1 0xfe75 0xd8bc9387 256 @@ -138079,6 +172599,8 @@ 0 0 5 +3 +5 0xd0df 0x70fdc6af 256 @@ -138086,6 +172608,8 @@ 1 0 0 +1 +1 3 0x3bb7 0x8bac4474 @@ -138094,6 +172618,8 @@ 1 0 0 +3 +4 2 0x9f6a 0xda977301 @@ -138102,6 +172628,8 @@ 1 0 0 +5 +4 4 0xa91b 0xe7c7ca85 @@ -138110,6 +172638,8 @@ 0 0 0 +2 +2 6 0xda10 0x6d60fd8e @@ -138118,6 +172648,8 @@ 1 0 0 +2 +1 7 0xfaf0 0x1c7d2ec3 @@ -138126,6 +172658,8 @@ 0 0 0 +0 +2 3 0x804f 0xaaf0cbe @@ -138134,6 +172668,8 @@ 1 1 0 +1 +4 6 0x3791 0x4c5b3768 @@ -138142,6 +172678,8 @@ 0 0 0 +0 +2 1 0x5072 0xf2e685f7 @@ -138150,6 +172688,8 @@ 1 1 0 +1 +3 7 0xe8bb 0x9a9f282b @@ -138158,6 +172698,8 @@ 1 1 0 +0 +2 6 0x6e5e 0x8cca539d @@ -138166,6 +172708,8 @@ 0 0 0 +1 +2 3 0x58a7 0xb82a1121 @@ -138174,6 +172718,8 @@ 0 0 0 +2 +4 7 0x32b6 0xdf2fc0f4 @@ -138182,6 +172728,8 @@ 0 0 0 +0 +0 1 0x13c0 0x54430ec5 @@ -138190,6 +172738,8 @@ 0 0 0 +5 +3 9 0xc7f1 0xa2e27df9 @@ -138198,6 +172748,8 @@ 0 0 0 +2 +2 5 0x178e 0x76169812 @@ -138206,6 +172758,8 @@ 0 0 0 +2 +5 8 0xab6e 0x5a5b1ecf @@ -138214,6 +172768,8 @@ 1 1 0 +5 +0 2 0x925f 0x264dae22 @@ -138222,6 +172778,8 @@ 1 0 0 +2 +5 3 0x1e2f 0xe25ee082 @@ -138230,6 +172788,8 @@ 0 0 0 +4 +5 5 0xeb42 0x76ea2062 @@ -138239,6 +172799,8 @@ 0 0 3 +5 +3 0xab7 0x446d9f5a 256 @@ -138246,6 +172808,8 @@ 0 0 0 +0 +2 6 0xfab1 0x716f2958 @@ -138254,6 +172818,8 @@ 0 0 0 +3 +4 7 0x5625 0x736eb6ab @@ -138262,6 +172828,8 @@ 1 0 0 +1 +4 9 0xb1b1 0x4814cb1a @@ -138270,6 +172838,8 @@ 0 0 0 +1 +2 6 0xd821 0xc04c0eba @@ -138278,6 +172848,8 @@ 1 0 0 +3 +5 10 0x184a 0xded3c80a @@ -138286,6 +172858,8 @@ 1 0 0 +2 +0 5 0x175f 0x1ee19405 @@ -138294,6 +172868,8 @@ 0 0 0 +2 +1 1 0x2155 0xa6ea14ab @@ -138302,6 +172878,8 @@ 0 0 0 +3 +0 9 0xdb72 0xd62ed201 @@ -138310,6 +172888,8 @@ 0 0 0 +2 +1 10 0x74e2 0x5afd6a7c @@ -138318,6 +172898,8 @@ 1 1 0 +5 +4 6 0x5f34 0xb8047704 @@ -138326,6 +172908,8 @@ 1 1 0 +1 +3 3 0xcee5 0x873348af @@ -138334,6 +172918,8 @@ 0 0 0 +1 +5 10 0xe25c 0x52d0b8a7 @@ -138342,6 +172928,8 @@ 0 0 0 +5 +4 7 0xf78 0x2c6c11fb @@ -138350,6 +172938,8 @@ 0 0 0 +3 +2 7 0xe48d 0x7c0d96da @@ -138358,6 +172948,8 @@ 1 0 0 +0 +5 7 0xe6ac 0xb1ca6207 @@ -138366,6 +172958,8 @@ 0 0 0 +4 +3 7 0xefb9 0x77e36485 @@ -138374,6 +172968,8 @@ 0 0 0 +4 +4 1 0xf9af 0x157ed84a @@ -138382,6 +172978,8 @@ 0 0 0 +4 +3 6 0x2784 0x50245c1b @@ -138390,6 +172988,8 @@ 1 0 0 +2 +5 1 0x45f3 0xeb30eaeb @@ -138398,6 +172998,8 @@ 0 0 0 +5 +3 7 0x351f 0x58863b59 @@ -138406,6 +173008,8 @@ 0 0 0 +2 +0 10 0x50c9 0x9961f5f3 @@ -138414,6 +173018,8 @@ 0 0 0 +0 +4 7 0xb0c5 0x6543b8b3 @@ -138423,6 +173029,8 @@ 0 0 2 +5 +2 0x957d 0x36a6d467 256 @@ -138430,6 +173038,8 @@ 0 0 0 +3 +0 1 0x400b 0xdb8e1fd9 @@ -138438,6 +173048,8 @@ 0 0 0 +0 +2 2 0x8ed4 0xb1aac840 @@ -138446,6 +173058,8 @@ 0 0 0 +0 +1 1 0x3dc8 0xe017d12f @@ -138454,6 +173068,8 @@ 0 0 0 +5 +4 8 0x5cf9 0x44c5e138 @@ -138462,6 +173078,8 @@ 1 0 0 +0 +1 5 0x5c62 0xb45bd2e4 @@ -138470,6 +173088,8 @@ 1 1 0 +0 +5 2 0x109f 0x53521ae7 @@ -138478,6 +173098,8 @@ 1 0 0 +1 +5 8 0x4559 0x72f73682 @@ -138486,6 +173108,8 @@ 1 0 0 +1 +1 10 0xc34a 0x4584d562 @@ -138494,6 +173118,8 @@ 0 0 0 +2 +4 5 0xf79 0xee619f84 @@ -138502,6 +173128,8 @@ 0 0 0 +5 +3 6 0x4f8d 0x90d18558 @@ -138510,6 +173138,8 @@ 1 0 0 +5 +4 9 0xc98f 0x1aca8455 @@ -138518,6 +173148,8 @@ 1 1 0 +2 +4 6 0xfb7a 0x25ce3a57 @@ -138526,6 +173158,8 @@ 1 0 0 +3 +0 1 0xf9c 0x32e7aa33 @@ -138535,6 +173169,8 @@ 0 0 2 +4 +2 0xdd1d 0xef67dd64 256 @@ -138542,6 +173178,8 @@ 1 0 0 +2 +2 8 0x324b 0xa3b2caaf @@ -138550,6 +173188,8 @@ 0 0 0 +3 +2 6 0xebdd 0x6a86d189 @@ -138558,6 +173198,8 @@ 0 0 0 +0 +1 6 0xcc27 0x8c1550fe @@ -138566,6 +173208,8 @@ 1 0 0 +2 +1 8 0xcb71 0x98c47ab5 @@ -138574,6 +173218,8 @@ 1 0 0 +4 +2 10 0xcf7b 0x1bee3b02 @@ -138582,6 +173228,8 @@ 0 0 0 +2 +1 9 0xd249 0x2573f3cd @@ -138590,6 +173238,8 @@ 0 0 0 +4 +0 6 0x4bd7 0x3d6133cd @@ -138598,6 +173248,8 @@ 0 0 0 +4 +2 8 0x4f56 0x837567dd @@ -138607,6 +173259,8 @@ 0 0 4 +5 +4 0x4537 0x74db7aca 256 @@ -138614,6 +173268,8 @@ 1 1 0 +1 +2 8 0x7bf2 0x806e11aa @@ -138622,6 +173278,8 @@ 0 0 0 +1 +1 4 0x1eea 0xdf19b1c8 @@ -138630,6 +173288,8 @@ 1 1 0 +4 +2 6 0xe70a 0xd1f78eff @@ -138638,6 +173298,8 @@ 0 0 0 +4 +3 9 0x84ef 0x828ab6c3 @@ -138646,6 +173308,8 @@ 1 1 0 +0 +3 6 0xc50c 0x158cf913 @@ -138654,6 +173318,8 @@ 0 0 0 +0 +0 6 0xbe11 0x89af3b41 @@ -138662,6 +173328,8 @@ 1 0 0 +2 +5 4 0x2100 0x7855ecb8 @@ -138670,6 +173338,8 @@ 1 0 0 +3 +0 7 0x1edf 0x26ea52c4 @@ -138678,6 +173348,8 @@ 0 0 0 +5 +0 9 0xe229 0x4e1cf610 @@ -138686,6 +173358,8 @@ 0 0 0 +0 +1 6 0xc681 0x8e367296 @@ -138694,6 +173368,8 @@ 1 1 0 +0 +5 8 0x4280 0x45635931 @@ -138702,6 +173378,8 @@ 0 0 0 +3 +5 4 0xb506 0x5a862fe7 @@ -138710,6 +173388,8 @@ 1 0 0 +3 +1 4 0xd37a 0x55cd357b @@ -138718,6 +173398,8 @@ 1 0 0 +1 +0 2 0xdc76 0xe3bdbc55 @@ -138727,6 +173409,8 @@ 1 0 5 +3 +5 0x2fe9 0x2e59872d 256 @@ -138734,6 +173418,8 @@ 1 1 0 +0 +1 10 0xce1f 0x843989ef @@ -138743,6 +173429,8 @@ 0 0 2 +4 +2 0xb98 0xc849656e 256 @@ -138750,6 +173438,8 @@ 1 0 0 +1 +1 8 0xceb0 0x36a66339 @@ -138758,6 +173448,8 @@ 0 0 0 +3 +5 8 0xdfd9 0xa853dfb4 @@ -138766,6 +173458,8 @@ 1 0 0 +4 +3 8 0x47d 0x3e781e5d @@ -138775,6 +173469,8 @@ 0 0 1 +0 +1 0xa639 0xea88695b 256 @@ -138782,6 +173478,8 @@ 1 0 0 +2 +5 7 0x2103 0x552f9bbe @@ -138790,6 +173488,8 @@ 1 1 0 +1 +0 10 0x6099 0x3986c600 @@ -138798,6 +173498,8 @@ 0 0 0 +1 +3 9 0x6b2 0xfb48b655 @@ -138806,6 +173508,8 @@ 0 0 0 +4 +3 9 0x2e67 0xb59435c4 @@ -138815,6 +173519,8 @@ 1 0 2 +5 +2 0xb1d 0xac8da3d4 256 @@ -138822,6 +173528,8 @@ 1 1 0 +2 +2 10 0x5f4e 0xba75ec79 @@ -138830,6 +173538,8 @@ 1 0 0 +2 +2 10 0x75fe 0xaf8b5242 @@ -138838,6 +173548,8 @@ 1 0 0 +3 +4 2 0x1f28 0x93173427 @@ -138846,6 +173558,8 @@ 1 1 0 +0 +1 4 0x8561 0x7837f2fa @@ -138854,6 +173568,8 @@ 1 1 0 +2 +0 1 0x6e01 0x26074261 @@ -138862,6 +173578,8 @@ 0 0 0 +0 +1 1 0x9e78 0xae7574f9 @@ -138870,6 +173588,8 @@ 1 1 0 +0 +2 4 0x7832 0x1663b58d @@ -138878,6 +173598,8 @@ 1 1 0 +3 +3 10 0x3ba6 0x3b95caf5 @@ -138886,6 +173608,8 @@ 0 0 0 +5 +3 6 0x5e2f 0xf5059c12 @@ -138894,6 +173618,8 @@ 0 0 0 +3 +0 9 0x4144 0x2a35f962 @@ -138902,6 +173628,8 @@ 1 0 0 +5 +5 2 0xe0d4 0x1a2dba99 @@ -138910,6 +173638,8 @@ 0 0 0 +2 +4 4 0x1dba 0xff8b0f1 @@ -138918,6 +173648,8 @@ 0 0 0 +5 +1 6 0xc5b7 0x601ba096 @@ -138926,6 +173658,8 @@ 1 1 0 +0 +0 6 0x313a 0x6b0d49c6 @@ -138934,6 +173668,8 @@ 0 0 0 +0 +5 10 0xc9f5 0x36de5e99 @@ -138942,6 +173678,8 @@ 0 0 0 +4 +4 8 0xd324 0x318e4971 @@ -138951,6 +173689,8 @@ 0 0 2 +4 +2 0x7893 0x5112bd60 256 @@ -138958,6 +173698,8 @@ 1 0 0 +2 +5 5 0xa826 0x59b9c9d9 @@ -138966,6 +173708,8 @@ 1 0 0 +2 +2 10 0xc6cc 0x8228e0d7 @@ -138974,6 +173718,8 @@ 1 0 0 +3 +3 10 0xc789 0xf2b9ee2a @@ -138982,6 +173728,8 @@ 1 0 0 +0 +1 2 0xfed9 0xe9229da1 @@ -138990,6 +173738,8 @@ 1 1 0 +5 +2 4 0x218c 0x7e73d8d6 @@ -138999,6 +173749,8 @@ 1 0 4 +3 +4 0x472b 0x34455403 256 @@ -139007,6 +173759,8 @@ 0 0 3 +5 +3 0x80b7 0x3cdf2e19 256 @@ -139014,6 +173768,8 @@ 0 0 0 +3 +1 9 0xb3e 0xdbf2a1e5 @@ -139022,6 +173778,8 @@ 1 1 0 +5 +4 1 0x998f 0x2955767 @@ -139030,6 +173788,8 @@ 1 0 0 +5 +1 4 0x2f29 0x871dc65d @@ -139039,6 +173799,8 @@ 0 0 4 +2 +4 0xbe98 0x6103cf46 256 @@ -139046,6 +173808,8 @@ 0 0 0 +0 +3 9 0x27eb 0x180f98aa @@ -139055,6 +173819,8 @@ 0 0 1 +2 +1 0x20f3 0x1557e846 256 @@ -139062,6 +173828,8 @@ 0 0 0 +4 +1 6 0x9930 0x6e9a5c39 @@ -139070,6 +173838,8 @@ 0 0 0 +1 +0 2 0x8e66 0x9152963f @@ -139078,6 +173848,8 @@ 1 1 0 +1 +3 2 0xd099 0x334c782d @@ -139086,6 +173858,8 @@ 0 0 0 +2 +2 10 0x175b 0x74bee698 @@ -139094,6 +173868,8 @@ 1 1 0 +4 +5 10 0x2f33 0x75eb5aa7 @@ -139103,6 +173879,8 @@ 0 0 2 +3 +2 0xc8e2 0xb2628b0d 256 @@ -139110,6 +173888,8 @@ 1 0 0 +4 +2 2 0xc1f3 0x38b970fa @@ -139118,6 +173898,8 @@ 0 0 0 +5 +3 2 0x762f 0x2043591b @@ -139126,6 +173908,8 @@ 0 0 0 +2 +2 1 0xfdfe 0xd6e7d686 @@ -139134,6 +173918,8 @@ 1 0 0 +0 +2 4 0xb5d9 0xead9af42 @@ -139142,6 +173928,8 @@ 1 1 0 +4 +5 6 0x2781 0xfd725796 @@ -139150,6 +173938,8 @@ 1 0 0 +0 +4 10 0x4a 0x13eccc16 @@ -139158,6 +173948,8 @@ 1 0 0 +3 +5 6 0x3d1f 0x5f2d6310 @@ -139166,6 +173958,8 @@ 0 0 0 +5 +3 8 0x44ad 0x596a9de5 @@ -139174,6 +173968,8 @@ 1 0 0 +2 +5 5 0xc954 0x42b04d54 @@ -139182,6 +173978,8 @@ 0 0 0 +0 +5 5 0x845c 0x9ee4b65d @@ -139190,6 +173988,8 @@ 0 0 0 +2 +4 3 0x780d 0x7578b1ac @@ -139198,6 +173998,8 @@ 0 0 0 +1 +3 3 0xbd60 0x2636196f @@ -139206,6 +174008,8 @@ 0 0 0 +3 +1 6 0x101e 0xc50094e5 @@ -139214,6 +174018,8 @@ 0 0 0 +1 +5 9 0x27d3 0x5146e4bf @@ -139222,6 +174028,8 @@ 1 0 0 +3 +4 8 0x6589 0xd05b4a84 @@ -139231,6 +174039,8 @@ 0 0 3 +1 +3 0xf142 0x992f49be 256 @@ -139238,6 +174048,8 @@ 1 1 0 +1 +2 4 0xb5dd 0x38935924 @@ -139246,6 +174058,8 @@ 1 0 0 +3 +4 5 0xae9c 0x15630062 @@ -139254,6 +174068,8 @@ 0 0 0 +3 +3 4 0x20ec 0x5bff09ff @@ -139262,6 +174078,8 @@ 0 0 0 +0 +4 2 0x65f9 0x5cde21e9 @@ -139271,6 +174089,8 @@ 0 0 5 +2 +5 0x8a5d 0x4539399f 256 @@ -139278,6 +174098,8 @@ 1 1 0 +5 +5 10 0xf700 0x2370d517 @@ -139286,6 +174108,8 @@ 0 0 0 +1 +0 7 0x151 0x777eb645 @@ -139294,6 +174118,8 @@ 1 1 0 +0 +0 9 0x53a1 0x9b40a85c @@ -139302,6 +174128,8 @@ 1 1 0 +5 +1 3 0xbd9a 0xcb07092f @@ -139310,6 +174138,8 @@ 1 0 0 +5 +0 7 0xefde 0xb7275c4c @@ -139318,6 +174148,8 @@ 1 0 0 +2 +3 10 0x9c3f 0x8835589f @@ -139326,6 +174158,8 @@ 1 1 0 +2 +2 8 0xdd21 0xfdb217a3 @@ -139334,6 +174168,8 @@ 0 0 0 +0 +3 7 0x9e00 0xe5f0aa89 @@ -139342,6 +174178,8 @@ 1 0 0 +1 +4 3 0x1bfd 0xc5cbf571 @@ -139350,6 +174188,8 @@ 1 0 0 +1 +0 3 0xda26 0xf1b9a58 @@ -139358,6 +174198,8 @@ 1 0 0 +1 +4 8 0xb1ad 0xdf55810d @@ -139366,6 +174208,8 @@ 0 0 0 +0 +0 7 0xfb9b 0xb8c3894d @@ -139374,6 +174218,8 @@ 0 0 0 +5 +5 9 0x7be1 0xeed02fc8 @@ -139382,6 +174228,8 @@ 1 0 0 +1 +0 3 0xcae6 0xf1f42243 @@ -139390,6 +174238,8 @@ 0 0 0 +0 +5 6 0x5009 0x238c22c2 @@ -139398,6 +174248,8 @@ 0 0 0 +3 +4 8 0x15ca 0x99bff59b @@ -139406,6 +174258,8 @@ 0 0 0 +1 +0 6 0xfaa6 0xe9387e41 @@ -139414,6 +174268,8 @@ 0 0 0 +0 +4 4 0x3d3d 0x6a854d5d @@ -139422,6 +174278,8 @@ 0 0 0 +0 +2 10 0xc5a4 0x21958fab @@ -139430,6 +174288,8 @@ 1 0 0 +4 +1 10 0x9284 0x968e50cb @@ -139438,6 +174298,8 @@ 0 0 0 +0 +0 10 0x7b47 0x9c621d28 @@ -139446,6 +174308,8 @@ 1 0 0 +5 +3 2 0xd78e 0x4891e7ed @@ -139454,6 +174318,8 @@ 0 0 0 +4 +1 10 0x8d22 0x5e655159 @@ -139462,6 +174328,8 @@ 1 1 0 +5 +1 6 0x3297 0xf1a2a8dd @@ -139470,6 +174338,8 @@ 1 0 0 +0 +0 1 0xf13b 0xe99abfb5 @@ -139478,6 +174348,8 @@ 1 1 0 +4 +2 6 0xaf4c 0xae12ed36 @@ -139486,6 +174358,8 @@ 0 0 0 +1 +4 10 0x824b 0x6ce686d1 @@ -139494,6 +174368,8 @@ 1 1 0 +4 +3 2 0xd718 0x43a35970 @@ -139502,6 +174378,8 @@ 0 0 0 +5 +3 8 0x966e 0xa85168c8 @@ -139511,6 +174389,8 @@ 0 0 1 +3 +1 0x4cba 0x176887cc 256 @@ -139518,6 +174398,8 @@ 1 0 0 +1 +5 10 0x943b 0xe165bd8f @@ -139526,6 +174408,8 @@ 0 0 0 +3 +2 4 0x9fbd 0x7341d88e @@ -139534,6 +174418,8 @@ 0 0 0 +4 +2 5 0xfeae 0x21ae0be5 @@ -139542,6 +174428,8 @@ 1 1 0 +0 +3 3 0xec13 0x518b284a @@ -139550,6 +174438,8 @@ 1 0 0 +3 +1 8 0x5a41 0x6e5e79ca @@ -139558,6 +174448,8 @@ 1 0 0 +1 +5 4 0x352f 0xfe8f930a @@ -139566,6 +174458,8 @@ 1 1 0 +2 +5 1 0x3c25 0xdfe743fd @@ -139574,6 +174468,8 @@ 1 1 0 +4 +5 1 0x3dc6 0xacdb5660 @@ -139582,6 +174478,8 @@ 0 0 0 +1 +4 5 0xef33 0x5858fb08 @@ -139590,6 +174488,8 @@ 0 0 0 +5 +2 7 0x70fa 0x73cbc73e @@ -139599,6 +174499,8 @@ 0 0 1 +5 +1 0xbc2c 0x42c1d139 256 @@ -139606,6 +174508,8 @@ 0 0 0 +2 +4 1 0x49f4 0x277fa6dd @@ -139614,6 +174518,8 @@ 0 0 0 +4 +4 5 0xbfa 0xd0cda26f @@ -139623,6 +174529,8 @@ 0 0 4 +2 +4 0xae94 0xad84603a 256 @@ -139630,6 +174538,8 @@ 1 1 0 +4 +5 6 0xcd88 0x38402dfc @@ -139638,6 +174548,8 @@ 0 0 0 +0 +5 1 0x38e0 0x656de466 @@ -139646,6 +174558,8 @@ 0 0 0 +1 +3 4 0xb675 0xf316ccba @@ -139654,6 +174568,8 @@ 0 0 0 +5 +1 7 0x808f 0xd5834a88 @@ -139663,6 +174579,8 @@ 0 0 3 +0 +3 0x9842 0xb573d242 256 @@ -139670,6 +174588,8 @@ 1 0 0 +2 +1 3 0xb9f3 0x20b28edf @@ -139678,6 +174598,8 @@ 0 0 0 +3 +4 10 0xf714 0xaa5fd560 @@ -139686,6 +174608,8 @@ 0 0 0 +2 +5 1 0x8814 0x50fe6e6d @@ -139694,6 +174618,8 @@ 0 0 0 +3 +1 7 0x2706 0xd432ec04 @@ -139702,6 +174628,8 @@ 0 0 0 +2 +4 9 0x9dfd 0x2d92c8ae @@ -139710,6 +174638,8 @@ 1 0 0 +3 +1 10 0x918d 0xa5d43d08 @@ -139718,6 +174648,8 @@ 1 0 0 +5 +3 6 0xdf5a 0x4c46f128 @@ -139726,6 +174658,8 @@ 1 0 0 +1 +2 4 0x3d5b 0x106ca0a @@ -139735,6 +174669,8 @@ 0 0 3 +2 +3 0xfa80 0x4641062e 256 @@ -139742,6 +174678,8 @@ 0 0 0 +1 +4 6 0xd057 0x66b03706 @@ -139750,6 +174688,8 @@ 1 0 0 +1 +0 3 0x397c 0x38d8ee4 @@ -139758,6 +174698,8 @@ 0 0 0 +1 +1 2 0x2306 0x6a526341 @@ -139766,6 +174708,8 @@ 1 1 0 +3 +3 8 0x397a 0x25efb7e9 @@ -139774,6 +174718,8 @@ 1 0 0 +4 +0 3 0x6eeb 0xedccba2d @@ -139782,6 +174728,8 @@ 1 0 0 +0 +4 3 0x2130 0xbd1bcf4e @@ -139790,6 +174738,8 @@ 1 0 0 +1 +1 4 0x2db9 0x3b2ccb27 @@ -139798,6 +174748,8 @@ 0 0 0 +1 +3 6 0x5e9b 0x8ceb5463 @@ -139806,6 +174758,8 @@ 0 0 0 +1 +5 10 0xd2bf 0x96ef2ebf @@ -139814,6 +174768,8 @@ 1 1 0 +5 +1 1 0x1aaa 0x90186942 @@ -139822,6 +174778,8 @@ 1 0 0 +2 +3 6 0xced4 0x308c734d @@ -139830,6 +174788,8 @@ 1 0 0 +3 +4 8 0x9a54 0xdb1bc9fc @@ -139838,6 +174798,8 @@ 1 0 0 +4 +2 9 0xb7ff 0xded360d @@ -139846,6 +174808,8 @@ 1 1 0 +4 +1 6 0xe8ab 0xbfd00dc3 @@ -139854,6 +174818,8 @@ 1 0 0 +2 +0 3 0xe8ba 0x301adc70 @@ -139862,6 +174828,8 @@ 1 1 0 +4 +5 10 0xd9e8 0x20f01c96 @@ -139870,6 +174838,8 @@ 1 0 0 +5 +3 4 0x6f17 0x43c4a551 @@ -139878,6 +174848,8 @@ 0 0 0 +1 +4 4 0xe76 0x87352345 @@ -139886,6 +174858,8 @@ 1 1 0 +0 +0 8 0x262d 0x155a891a @@ -139894,6 +174868,8 @@ 0 0 0 +2 +5 3 0xf85a 0xd790d9b6 @@ -139902,6 +174878,8 @@ 0 0 0 +4 +5 9 0x7836 0x4f2ac1ab @@ -139910,6 +174888,8 @@ 0 0 0 +0 +0 8 0xdefb 0xf9488d34 @@ -139918,6 +174898,8 @@ 0 0 0 +4 +3 6 0x90e1 0xfc2e8182 @@ -139926,6 +174908,8 @@ 0 0 0 +2 +5 3 0x1e2c 0xae2e6507 @@ -139934,6 +174918,8 @@ 0 0 0 +3 +3 8 0x7d37 0x11c0f471 @@ -139942,6 +174928,8 @@ 0 0 0 +2 +5 10 0x35d1 0x9a374fd3 @@ -139950,6 +174938,8 @@ 0 0 0 +0 +3 1 0x9830 0x83e8f33c @@ -139958,6 +174948,8 @@ 1 0 0 +5 +0 7 0x1f8b 0xa5444eea @@ -139966,6 +174958,8 @@ 1 0 0 +0 +0 3 0x3de3 0xf2ef4880 @@ -139974,6 +174968,8 @@ 1 0 0 +0 +1 3 0x7c60 0xf5164368 @@ -139982,6 +174978,8 @@ 1 1 0 +3 +3 8 0x8d0a 0x5fadff0c @@ -139990,6 +174988,8 @@ 1 1 0 +0 +1 8 0xe2b1 0xe2e02f1e @@ -139998,6 +174998,8 @@ 1 1 0 +1 +1 2 0x3d12 0xc8c3a245 @@ -140006,6 +175008,8 @@ 1 0 0 +3 +1 4 0x83c6 0x3274638c @@ -140014,6 +175018,8 @@ 1 0 0 +0 +0 7 0x5a28 0x762c1b94 @@ -140022,6 +175028,8 @@ 1 1 0 +4 +4 7 0x6528 0x4df160d9 @@ -140030,6 +175038,8 @@ 1 1 0 +3 +0 2 0x82f3 0xd3010b2d @@ -140038,6 +175048,8 @@ 0 0 0 +5 +3 10 0xfda1 0x3f28919c @@ -140046,6 +175058,8 @@ 1 1 0 +3 +2 4 0x94f7 0x2d735c53 @@ -140054,6 +175068,8 @@ 1 0 0 +0 +3 5 0x5bb6 0x3b1a2249 @@ -140062,6 +175078,8 @@ 1 0 0 +1 +3 9 0x6fb2 0xa228e23b @@ -140070,6 +175088,8 @@ 0 0 0 +3 +3 8 0xd7a9 0xcce8c2b4 @@ -140078,6 +175098,8 @@ 1 1 0 +2 +1 1 0xed07 0x6fa36642 @@ -140086,6 +175108,8 @@ 1 0 0 +1 +0 2 0x843d 0x8afa1375 @@ -140094,6 +175118,8 @@ 1 0 0 +3 +4 10 0xc7c2 0x30463d7a @@ -140102,6 +175128,8 @@ 0 0 0 +2 +2 8 0x8c63 0xd6a0d810 @@ -140110,6 +175138,8 @@ 0 0 0 +3 +2 1 0x8bc6 0x80fdaaba @@ -140118,6 +175148,8 @@ 1 0 0 +5 +2 2 0xfa5 0xf6d78389 @@ -140126,6 +175158,8 @@ 1 0 0 +2 +0 8 0xd8b1 0x8c52aea @@ -140134,6 +175168,8 @@ 1 1 0 +0 +4 8 0x8b1f 0x3fc356e2 @@ -140142,6 +175178,8 @@ 0 0 0 +3 +0 9 0xf990 0xc67d6f40 @@ -140150,6 +175188,8 @@ 0 0 0 +2 +0 6 0x9bc5 0xe9d46e57 @@ -140158,6 +175198,8 @@ 1 0 0 +1 +2 8 0xdad3 0xf4a58e62 @@ -140166,6 +175208,8 @@ 1 1 0 +2 +0 10 0xb0f4 0x50f38644 @@ -140175,6 +175219,8 @@ 0 0 5 +1 +5 0xa5a4 0xfa5c91fc 256 @@ -140182,6 +175228,8 @@ 0 0 0 +3 +1 9 0x64bb 0x14370dac @@ -140190,6 +175238,8 @@ 1 1 0 +5 +2 2 0x8566 0x489d638 @@ -140199,6 +175249,8 @@ 0 0 2 +4 +2 0x10f1 0xadef7fdd 256 @@ -140206,6 +175258,8 @@ 0 0 0 +5 +1 10 0xd506 0xe3bfa337 @@ -140214,6 +175268,8 @@ 0 0 0 +0 +4 2 0x1a88 0x756c03ab @@ -140222,6 +175278,8 @@ 1 0 0 +1 +3 3 0x806a 0xd4a473a @@ -140230,6 +175288,8 @@ 0 0 0 +5 +0 8 0x6a9a 0x52bb1d10 @@ -140238,6 +175298,8 @@ 0 0 0 +3 +4 10 0xa9da 0x9e099938 @@ -140246,6 +175308,8 @@ 1 1 0 +4 +3 2 0x9b56 0xa4d8f259 @@ -140254,6 +175318,8 @@ 1 0 0 +1 +2 10 0x81e5 0xa30c0bc3 @@ -140262,6 +175328,8 @@ 0 0 0 +4 +2 6 0x26b9 0x73e3b9f1 @@ -140270,6 +175338,8 @@ 0 0 0 +4 +2 6 0x3e67 0xd2711e27 @@ -140278,6 +175348,8 @@ 1 0 0 +2 +5 8 0xb588 0x5ff24c1 @@ -140286,6 +175358,8 @@ 0 0 0 +0 +0 9 0xfc23 0x46ae7059 @@ -140294,6 +175368,8 @@ 0 0 0 +5 +5 10 0xc7fb 0x25268c25 @@ -140302,6 +175378,8 @@ 1 1 0 +3 +1 4 0xcd9e 0x37cf19d0 @@ -140310,6 +175388,8 @@ 1 1 0 +5 +1 4 0x7833 0xf5184936 @@ -140318,6 +175398,8 @@ 1 1 0 +0 +4 8 0xe67c 0x8ca2794f @@ -140326,6 +175408,8 @@ 0 0 0 +2 +3 10 0x5dd1 0xbd963635 @@ -140334,6 +175418,8 @@ 1 0 0 +4 +1 7 0xfa45 0xff44ac22 @@ -140342,6 +175428,8 @@ 0 0 0 +1 +3 6 0x13da 0xb9d41a3 @@ -140350,6 +175438,8 @@ 0 0 0 +4 +2 7 0x37eb 0x5ab89be8 @@ -140358,6 +175448,8 @@ 1 0 0 +4 +4 5 0x5f8a 0xe70ba3da @@ -140366,6 +175458,8 @@ 1 1 0 +4 +5 7 0xd1ef 0x27e873be @@ -140375,6 +175469,8 @@ 0 0 2 +3 +2 0x156e 0x189a3702 256 @@ -140382,6 +175478,8 @@ 0 0 0 +4 +2 5 0xd31 0x49e8d1a @@ -140390,6 +175488,8 @@ 1 0 0 +1 +0 9 0x5e11 0xb945791b @@ -140398,6 +175498,8 @@ 0 0 0 +1 +2 9 0xaee1 0xea49c33a @@ -140406,6 +175508,8 @@ 1 1 0 +5 +2 1 0xe252 0x25c0967d @@ -140414,6 +175518,8 @@ 0 0 0 +1 +3 8 0x3888 0xcae73b64 @@ -140423,6 +175529,8 @@ 1 0 4 +4 +4 0x58e8 0x8c1c1c24 256 @@ -140430,6 +175538,8 @@ 1 1 0 +0 +0 1 0xb6a3 0x3d56df03 @@ -140438,6 +175548,8 @@ 1 1 0 +3 +5 6 0x4f9f 0x5b49ac56 @@ -140446,6 +175558,8 @@ 0 0 0 +4 +5 10 0x19db 0x53a3422f @@ -140454,6 +175568,8 @@ 1 1 0 +5 +5 2 0x2316 0xf2be2a32 @@ -140462,6 +175578,8 @@ 1 1 0 +0 +4 2 0x4706 0x5a7e994e @@ -140470,6 +175588,8 @@ 1 0 0 +1 +1 8 0x2117 0x58c75445 @@ -140478,6 +175598,8 @@ 0 0 0 +2 +5 1 0x95b8 0x9e464b69 @@ -140486,6 +175608,8 @@ 0 0 0 +0 +5 1 0xcf86 0xd20e7cbb @@ -140494,6 +175618,8 @@ 1 1 0 +2 +3 8 0xafb5 0x93fb1c12 @@ -140502,6 +175628,8 @@ 0 0 0 +0 +0 1 0x11d 0xae1b4cf6 @@ -140510,6 +175638,8 @@ 1 0 0 +5 +0 2 0xd6cc 0xe3e5522e @@ -140518,6 +175648,8 @@ 0 0 0 +5 +0 4 0xdfaf 0x9d2ce686 @@ -140526,6 +175658,8 @@ 0 0 0 +0 +4 5 0x3567 0x4b10ae66 @@ -140534,6 +175668,8 @@ 0 0 0 +4 +5 7 0x1c3a 0xf18cbba4 @@ -140542,6 +175678,8 @@ 0 0 0 +3 +5 7 0x928 0x5cb0a758 @@ -140550,6 +175688,8 @@ 1 1 0 +4 +0 7 0x3c86 0x525e8bfa @@ -140559,6 +175699,8 @@ 0 0 3 +4 +3 0xbe23 0x9367cd50 256 @@ -140566,6 +175708,8 @@ 1 0 0 +4 +2 1 0x5a04 0x4e5b2fd1 @@ -140574,6 +175718,8 @@ 0 0 0 +3 +0 2 0x1b38 0xa1a93ba6 @@ -140582,6 +175728,8 @@ 0 0 0 +2 +0 6 0xc50c 0xda395cf7 @@ -140591,6 +175739,8 @@ 0 0 1 +0 +1 0x1c49 0xdbaf423b 256 @@ -140598,6 +175748,8 @@ 1 1 0 +4 +0 9 0xd033 0x69b955ce @@ -140606,6 +175758,8 @@ 0 0 0 +2 +0 9 0x854f 0x3c7d32e @@ -140614,6 +175768,8 @@ 1 0 0 +5 +2 4 0xe9b1 0xcb4d06c7 @@ -140622,6 +175778,8 @@ 0 0 0 +3 +1 6 0x3a77 0xb97f26cc @@ -140630,6 +175788,8 @@ 0 0 0 +1 +4 3 0x11bd 0x30bbc53c @@ -140638,6 +175798,8 @@ 1 1 0 +3 +5 10 0x8783 0x9dc3a42e @@ -140646,6 +175808,8 @@ 0 0 0 +1 +2 2 0x5a83 0x296eb9bc @@ -140654,6 +175818,8 @@ 1 1 0 +3 +3 1 0x107 0x2e63e533 @@ -140662,6 +175828,8 @@ 1 0 0 +3 +3 4 0xb0da 0x9eddd1eb @@ -140670,6 +175838,8 @@ 0 0 0 +5 +4 8 0x867a 0x197bfb58 @@ -140679,6 +175849,8 @@ 0 0 4 +3 +4 0xb540 0x4945ea32 256 @@ -140686,6 +175858,8 @@ 0 0 0 +5 +5 1 0x8ae5 0x7c8ad64a @@ -140694,6 +175868,8 @@ 1 1 0 +4 +5 1 0x342f 0x5f23adf9 @@ -140702,6 +175878,8 @@ 1 0 0 +1 +3 8 0x69dd 0xd68e609d @@ -140710,6 +175888,8 @@ 0 0 0 +5 +2 10 0x37ce 0xdd6648d5 @@ -140718,6 +175898,8 @@ 1 0 0 +4 +2 9 0x65f7 0xbfd77e23 @@ -140726,6 +175908,8 @@ 0 0 0 +5 +2 1 0x4988 0xd70533ed @@ -140734,6 +175918,8 @@ 0 0 0 +3 +3 8 0x9ced 0x1ae6d53c @@ -140742,6 +175928,8 @@ 1 1 0 +2 +0 3 0xb8d2 0x1c8b52aa @@ -140750,6 +175938,8 @@ 1 1 0 +1 +2 6 0x622f 0x6800b308 @@ -140758,6 +175948,8 @@ 0 0 0 +4 +5 5 0x8e1f 0x33c88137 @@ -140766,6 +175958,8 @@ 1 1 0 +2 +1 6 0xa395 0x3fd5d36a @@ -140774,6 +175968,8 @@ 0 0 0 +5 +3 7 0xb36b 0x4aa42c70 @@ -140782,6 +175978,8 @@ 1 0 0 +0 +5 9 0x2a7b 0xb150d5b4 @@ -140790,6 +175988,8 @@ 1 0 0 +0 +0 1 0x93a8 0xf7412e6 @@ -140798,6 +175998,8 @@ 1 1 0 +0 +3 10 0x250 0x7d7eb6a8 @@ -140806,6 +176008,8 @@ 0 0 0 +4 +2 9 0xa593 0xc28df23f @@ -140814,6 +176018,8 @@ 0 0 0 +5 +2 1 0xce9b 0xb917081e @@ -140822,6 +176028,8 @@ 0 0 0 +4 +1 2 0xc4d9 0x726ef254 @@ -140830,6 +176038,8 @@ 0 0 0 +2 +3 8 0x89ad 0xd445e31 @@ -140838,6 +176048,8 @@ 0 0 0 +3 +4 5 0xc0c2 0x5168a108 @@ -140846,6 +176058,8 @@ 0 0 0 +0 +0 5 0xc5eb 0x92cbaddc @@ -140854,6 +176068,8 @@ 0 0 0 +5 +0 6 0x59b3 0x8d9ec87e @@ -140862,6 +176078,8 @@ 0 0 0 +2 +4 3 0x8537 0x403fae70 @@ -140870,6 +176088,8 @@ 0 0 0 +1 +1 4 0xb245 0x9af42137 @@ -140878,6 +176098,8 @@ 0 0 0 +3 +4 2 0xb4e 0x68063c20 @@ -140886,6 +176108,8 @@ 0 0 0 +3 +1 10 0xde9d 0x25d8f6e5 @@ -140894,6 +176118,8 @@ 1 0 0 +0 +2 2 0xfc0a 0xc91391d3 @@ -140902,6 +176128,8 @@ 1 0 0 +0 +5 2 0xa589 0x6897a447 @@ -140910,6 +176138,8 @@ 0 0 0 +3 +4 8 0xd2bd 0xdbe9f99 @@ -140918,6 +176148,8 @@ 0 0 0 +1 +2 8 0x5316 0x2587675c @@ -140926,6 +176158,8 @@ 0 0 0 +4 +1 3 0xc58f 0xd2d2c90d @@ -140934,6 +176168,8 @@ 1 0 0 +4 +5 8 0xc502 0x996ae829 @@ -140942,6 +176178,8 @@ 1 1 0 +2 +1 4 0x914c 0x9caac0f6 @@ -140950,6 +176188,8 @@ 0 0 0 +4 +3 10 0xec3d 0xc4e010a6 @@ -140958,6 +176198,8 @@ 1 0 0 +1 +4 7 0xc132 0x8bd62466 @@ -140966,6 +176208,8 @@ 1 1 0 +5 +0 3 0x9b24 0xb2312166 @@ -140974,6 +176218,8 @@ 0 0 0 +1 +0 6 0xe1e6 0x14cf67ea @@ -140982,6 +176228,8 @@ 1 1 0 +2 +3 5 0x70d2 0xec152f8d @@ -140991,6 +176239,8 @@ 1 0 1 +1 +1 0x7b9f 0x310f8a8d 256 @@ -140998,6 +176248,8 @@ 1 1 0 +0 +3 8 0xcbcd 0xae7e8b9 @@ -141006,6 +176258,8 @@ 1 0 0 +0 +5 1 0xe6d5 0xc4fcec6a @@ -141014,6 +176268,8 @@ 1 0 0 +2 +3 3 0xc47a 0x480fd0a2 @@ -141022,6 +176278,8 @@ 0 0 0 +2 +0 10 0xd029 0xaee6184 @@ -141030,6 +176288,8 @@ 1 0 0 +5 +4 10 0xb8ee 0x4797a64f @@ -141038,6 +176298,8 @@ 0 0 0 +2 +1 4 0x82bf 0x815db5e7 @@ -141046,6 +176308,8 @@ 1 1 0 +2 +2 6 0xdc7b 0x87b763e3 @@ -141054,6 +176318,8 @@ 1 0 0 +2 +4 3 0x53af 0x555fb68 @@ -141063,6 +176329,8 @@ 0 0 4 +4 +4 0x8458 0xa68048cb 256 @@ -141070,6 +176338,8 @@ 0 0 0 +2 +5 6 0x5914 0x989ebd32 @@ -141078,6 +176348,8 @@ 1 1 0 +5 +3 8 0xe318 0xfe720a23 @@ -141086,6 +176358,8 @@ 0 0 0 +4 +1 7 0xc90d 0xa247c8a @@ -141094,6 +176368,8 @@ 1 1 0 +5 +2 7 0xb5e 0x8014e430 @@ -141102,6 +176378,8 @@ 0 0 0 +1 +0 2 0x3056 0x452091db @@ -141110,6 +176388,8 @@ 0 0 0 +5 +3 8 0x952c 0x74435d98 @@ -141118,6 +176398,8 @@ 0 0 0 +1 +0 7 0x6395 0xe97c1b84 @@ -141126,6 +176408,8 @@ 0 0 0 +3 +5 5 0x7b60 0x4f8c1918 @@ -141134,6 +176418,8 @@ 1 0 0 +1 +4 9 0x89ef 0xad11ae8 @@ -141142,6 +176428,8 @@ 1 0 0 +0 +4 10 0x8a5e 0xd7740047 @@ -141150,6 +176438,8 @@ 0 0 0 +4 +4 10 0xb90a 0x6e962ae5 @@ -141158,6 +176448,8 @@ 0 0 0 +1 +2 3 0xe14 0x68c31dda @@ -141166,6 +176458,8 @@ 1 1 0 +5 +4 9 0x6dfc 0x664d11c7 @@ -141174,6 +176468,8 @@ 0 0 0 +5 +2 9 0xcefc 0xf6874d75 @@ -141182,6 +176478,8 @@ 1 0 0 +4 +2 10 0x9a1a 0x8342d308 @@ -141190,6 +176488,8 @@ 1 1 0 +3 +1 1 0x5c73 0x95340e45 @@ -141198,6 +176498,8 @@ 1 0 0 +4 +2 5 0xb89c 0x31210161 @@ -141206,6 +176508,8 @@ 0 0 0 +5 +2 2 0x5184 0xa3f2068d @@ -141214,6 +176518,8 @@ 1 0 0 +5 +4 3 0xf56e 0x59e569d1 @@ -141222,6 +176528,8 @@ 0 0 0 +2 +3 6 0x695d 0x65e17f94 @@ -141231,6 +176539,8 @@ 0 0 3 +0 +3 0x150f 0xed335599 256 @@ -141238,6 +176548,8 @@ 0 0 0 +5 +5 4 0x48b5 0x92231cd9 @@ -141246,6 +176558,8 @@ 1 1 0 +1 +3 3 0x2c52 0xea54032b @@ -141254,6 +176568,8 @@ 0 0 0 +4 +4 8 0xb2e7 0x43aa635a @@ -141262,6 +176578,8 @@ 0 0 0 +4 +3 2 0xa803 0x5cbd94f7 @@ -141270,6 +176588,8 @@ 0 0 0 +2 +5 5 0x1ec5 0x96b6b664 @@ -141278,6 +176598,8 @@ 0 0 0 +2 +3 5 0xb103 0x86d0bd12 @@ -141286,6 +176608,8 @@ 1 0 0 +4 +4 8 0xe7ad 0x155b8443 @@ -141294,6 +176618,8 @@ 1 0 0 +4 +0 1 0x4327 0x8dd17a65 @@ -141302,6 +176628,8 @@ 1 0 0 +1 +4 3 0x987 0x5a285f8e @@ -141310,6 +176638,8 @@ 1 1 0 +5 +2 10 0xe765 0x5edaa2cb @@ -141318,6 +176648,8 @@ 1 0 0 +4 +0 10 0xc850 0x6578ad8c @@ -141326,6 +176658,8 @@ 0 0 0 +3 +0 1 0x8ef2 0xe2d90155 @@ -141334,6 +176668,8 @@ 1 0 0 +1 +0 5 0xe285 0x514a6a6a @@ -141342,6 +176678,8 @@ 1 0 0 +5 +3 1 0xf7dd 0xdf49b276 @@ -141350,6 +176688,8 @@ 1 1 0 +5 +4 4 0x63c6 0x44b957e8 @@ -141358,6 +176698,8 @@ 1 1 0 +5 +3 4 0xb4ca 0x152633f0 @@ -141366,6 +176708,8 @@ 0 0 0 +0 +5 8 0x8930 0x2f807248 @@ -141374,6 +176718,8 @@ 0 0 0 +1 +2 10 0xbcae 0x5f8a15e @@ -141382,6 +176728,8 @@ 1 0 0 +3 +4 8 0x295 0x3c6cb71e @@ -141390,6 +176738,8 @@ 0 0 0 +2 +3 8 0xeb62 0xe7c622a1 @@ -141398,6 +176748,8 @@ 1 0 0 +4 +4 5 0xbea5 0xab3a60c5 @@ -141406,6 +176758,8 @@ 0 0 0 +2 +1 6 0xf632 0xa97267c4 @@ -141414,6 +176768,8 @@ 1 1 0 +5 +4 1 0xc082 0x126bbe39 @@ -141422,6 +176778,8 @@ 0 0 0 +5 +3 1 0x49c4 0x184d69bd @@ -141430,6 +176788,8 @@ 1 0 0 +2 +3 7 0xe687 0x4ade4be3 @@ -141438,6 +176798,8 @@ 1 1 0 +4 +3 2 0x3c7e 0xbae71ae5 @@ -141446,6 +176808,8 @@ 0 0 0 +3 +3 9 0x304c 0xe74f0b84 @@ -141454,6 +176818,8 @@ 0 0 0 +1 +1 3 0x115d 0x20f92964 @@ -141463,6 +176829,8 @@ 0 0 4 +3 +4 0x9ea2 0xdf382765 256 @@ -141470,6 +176838,8 @@ 1 1 0 +5 +0 4 0xca0e 0xe249c1c1 @@ -141478,6 +176848,8 @@ 1 1 0 +0 +3 7 0xadcf 0x236dae4f @@ -141486,6 +176858,8 @@ 0 0 0 +0 +4 5 0x69e3 0xd2038ca0 @@ -141494,6 +176868,8 @@ 0 0 0 +0 +0 3 0xfb4f 0x4d315858 @@ -141502,6 +176878,8 @@ 0 0 0 +1 +1 3 0x5123 0x6d418698 @@ -141511,6 +176889,8 @@ 1 0 5 +2 +5 0x5b5d 0x8d82f5ff 256 @@ -141519,6 +176899,8 @@ 1 0 4 +2 +4 0xd2da 0x1b496248 256 @@ -141526,6 +176908,8 @@ 1 1 0 +2 +5 10 0xe7c5 0xf9434372 @@ -141534,6 +176918,8 @@ 1 0 0 +0 +2 8 0x5ded 0xe1eaa846 @@ -141542,6 +176928,8 @@ 1 0 0 +5 +1 8 0xb6f1 0xed0861b0 @@ -141551,6 +176939,8 @@ 0 0 4 +1 +4 0xc10b 0xe228ce41 256 @@ -141558,6 +176948,8 @@ 1 0 0 +0 +3 4 0x6b87 0x2f9d39e6 @@ -141566,6 +176958,8 @@ 0 0 0 +1 +4 9 0xcdc5 0xb7eadbdb @@ -141574,6 +176968,8 @@ 0 0 0 +2 +2 6 0x12ec 0xf5cd602a @@ -141583,6 +176979,8 @@ 1 0 5 +2 +5 0x36fb 0x16d665e2 256 @@ -141590,6 +176988,8 @@ 0 0 0 +1 +3 9 0x9a30 0xb6e8a2d2 @@ -141599,6 +176999,8 @@ 0 0 4 +5 +4 0xc851 0x7db910e0 256 @@ -141606,6 +177008,8 @@ 1 1 0 +4 +1 8 0x7acf 0x53069ca5 @@ -141614,6 +177018,8 @@ 1 1 0 +4 +0 8 0xe0c4 0x35d70033 @@ -141622,6 +177028,8 @@ 1 1 0 +3 +5 10 0xd0e3 0x59bb0c7 @@ -141631,6 +177039,8 @@ 0 0 3 +3 +3 0xcb54 0xfb8d314e 256 @@ -141638,6 +177048,8 @@ 1 1 0 +2 +2 3 0x3f25 0x96d2119e @@ -141646,6 +177058,8 @@ 1 1 0 +3 +0 9 0x9d53 0xc49b6c4d @@ -141654,6 +177068,8 @@ 1 0 0 +3 +0 7 0xcb55 0x3e71ccff @@ -141662,6 +177078,8 @@ 1 0 0 +2 +4 1 0x7d29 0x300fe51e @@ -141670,6 +177088,8 @@ 1 1 0 +4 +1 5 0x6c27 0x2d0f54b9 @@ -141678,6 +177098,8 @@ 0 0 0 +0 +5 4 0xaed6 0x4eee6edc @@ -141686,6 +177108,8 @@ 0 0 0 +4 +4 6 0x18d2 0xd6e34d42 @@ -141694,6 +177118,8 @@ 1 1 0 +3 +3 4 0x8cdc 0xc36f2154 @@ -141702,6 +177128,8 @@ 1 1 0 +2 +2 10 0xac38 0x33647b8 @@ -141710,6 +177138,8 @@ 0 0 0 +0 +3 8 0xb333 0xb2268a18 @@ -141718,6 +177148,8 @@ 0 0 0 +0 +4 3 0x6904 0x15f0ee47 @@ -141726,6 +177158,8 @@ 1 1 0 +0 +0 1 0x4bb1 0x46b6dd2 @@ -141734,6 +177168,8 @@ 1 1 0 +4 +3 3 0x9de2 0x9e5cea7a @@ -141743,6 +177179,8 @@ 0 0 3 +5 +3 0x5130 0x9336c65a 256 @@ -141750,6 +177188,8 @@ 0 0 0 +0 +5 5 0x3503 0xabb76ee9 @@ -141758,6 +177198,8 @@ 1 0 0 +2 +4 7 0xdcba 0x7b476411 @@ -141766,6 +177208,8 @@ 1 0 0 +0 +4 10 0xc80f 0x5dca5df2 @@ -141774,6 +177218,8 @@ 1 1 0 +3 +4 8 0xd305 0x6502ca30 @@ -141782,6 +177228,8 @@ 1 1 0 +1 +1 8 0xd206 0x6d220886 @@ -141790,6 +177238,8 @@ 0 0 0 +1 +1 3 0x6198 0x9723a416 @@ -141798,6 +177248,8 @@ 0 0 0 +3 +0 5 0xfab7 0xed5017be @@ -141806,6 +177258,8 @@ 1 0 0 +2 +3 5 0x9409 0xe7132728 @@ -141814,6 +177268,8 @@ 1 0 0 +3 +0 9 0x51ab 0x5a085bf7 @@ -141822,6 +177278,8 @@ 0 0 0 +4 +0 9 0x376a 0xbde28d80 @@ -141830,6 +177288,8 @@ 1 0 0 +2 +3 4 0x463d 0x49aa1e06 @@ -141838,6 +177298,8 @@ 1 1 0 +1 +5 9 0xea9 0xca875552 @@ -141846,6 +177308,8 @@ 0 0 0 +4 +2 10 0x713f 0x1ad050f5 @@ -141854,6 +177318,8 @@ 0 0 0 +5 +0 9 0x1ade 0x51a3bf6 @@ -141862,6 +177328,8 @@ 0 0 0 +2 +1 8 0x6575 0xd5acf70 @@ -141870,6 +177338,8 @@ 0 0 0 +4 +3 7 0xd494 0xcde571da @@ -141878,6 +177348,8 @@ 0 0 0 +4 +3 9 0x3b3e 0x2e64e962 @@ -141886,6 +177358,8 @@ 1 1 0 +5 +5 10 0xb317 0xaab4d6cb @@ -141894,6 +177368,8 @@ 0 0 0 +3 +4 7 0xf91f 0x7720a357 @@ -141902,6 +177378,8 @@ 0 0 0 +4 +4 9 0x1969 0xf7108a7f @@ -141910,6 +177388,8 @@ 1 0 0 +4 +5 9 0x1dae 0x3e8a48a7 @@ -141918,6 +177398,8 @@ 1 0 0 +2 +5 5 0xebfc 0xd2ad1d50 @@ -141926,6 +177408,8 @@ 0 0 0 +1 +4 3 0xe3bc 0x92d7c387 @@ -141934,6 +177418,8 @@ 0 0 0 +3 +3 7 0xad9f 0x3d523282 @@ -141943,6 +177429,8 @@ 0 0 3 +0 +3 0x4335 0xc8b3971c 256 @@ -141950,6 +177438,8 @@ 1 1 0 +3 +4 10 0xd915 0x32b59217 @@ -141958,6 +177448,8 @@ 0 0 0 +0 +1 10 0x4f75 0x5989bd80 @@ -141966,6 +177458,8 @@ 0 0 0 +1 +5 4 0xba08 0x93f2074b @@ -141974,6 +177468,8 @@ 1 1 0 +2 +2 10 0xf959 0xf31d72b1 @@ -141982,6 +177478,8 @@ 1 0 0 +4 +1 5 0xbc42 0x4f66f91c @@ -141990,6 +177488,8 @@ 1 1 0 +1 +4 4 0x6b84 0xeec7b7b4 @@ -141998,6 +177498,8 @@ 1 0 0 +5 +3 4 0x18dc 0x65891be @@ -142006,6 +177508,8 @@ 1 0 0 +5 +5 7 0x4f0e 0x9e105f45 @@ -142014,6 +177518,8 @@ 1 0 0 +4 +4 1 0xee20 0x8429e32e @@ -142023,6 +177529,8 @@ 1 0 2 +1 +2 0x5010 0x56a67b3f 256 @@ -142031,6 +177539,8 @@ 0 0 2 +1 +2 0x528a 0x1256babe 256 @@ -142039,6 +177549,8 @@ 0 0 4 +1 +4 0x1823 0xf039f097 256 @@ -142046,6 +177558,8 @@ 1 1 0 +3 +1 10 0x1e51 0xb69d6fdc @@ -142054,6 +177568,8 @@ 1 1 0 +0 +5 9 0x1ccf 0xa2a0f3a4 @@ -142062,6 +177578,8 @@ 1 1 0 +0 +0 6 0x974b 0x75dfc884 @@ -142070,6 +177588,8 @@ 1 0 0 +1 +0 10 0x397 0xf5448da3 @@ -142078,6 +177598,8 @@ 1 1 0 +1 +0 2 0x17a4 0xcf58ce @@ -142086,6 +177608,8 @@ 1 0 0 +2 +2 9 0x53bf 0x2fa6bd65 @@ -142094,6 +177618,8 @@ 1 1 0 +0 +2 10 0xd446 0xeb455ce1 @@ -142102,6 +177628,8 @@ 1 0 0 +0 +2 7 0x5aee 0x36c80fbd @@ -142110,6 +177638,8 @@ 0 0 0 +2 +5 4 0xa38d 0x8f375dd7 @@ -142118,6 +177648,8 @@ 0 0 0 +4 +0 2 0x2712 0xc79b2a29 @@ -142126,6 +177658,8 @@ 0 0 0 +2 +5 6 0x5158 0x66f1efe0 @@ -142134,6 +177668,8 @@ 1 1 0 +1 +2 9 0xc4c 0x5626cab2 @@ -142142,6 +177678,8 @@ 1 0 0 +0 +0 3 0x2431 0xb4b8f62e @@ -142151,6 +177689,8 @@ 1 0 1 +4 +1 0x696e 0x8f14fabe 256 @@ -142158,6 +177698,8 @@ 0 0 0 +0 +1 9 0xecd2 0xfa9554a2 @@ -142166,6 +177708,8 @@ 1 1 0 +5 +3 6 0x90b7 0xf7afd26d @@ -142174,6 +177718,8 @@ 1 1 0 +0 +2 10 0x45aa 0x10e59f1d @@ -142182,6 +177728,8 @@ 0 0 0 +5 +1 10 0xae8d 0xb0adcaa9 @@ -142190,6 +177738,8 @@ 1 1 0 +5 +3 1 0x66d 0x68d48765 @@ -142198,6 +177748,8 @@ 0 0 0 +2 +3 4 0x6c57 0x13e8e1ba @@ -142206,6 +177758,8 @@ 0 0 0 +2 +4 1 0xdd72 0xdb58b96 @@ -142214,6 +177768,8 @@ 1 1 0 +4 +5 10 0x903e 0xb86f1579 @@ -142222,6 +177778,8 @@ 0 0 0 +0 +1 5 0x55b4 0xa34d7549 @@ -142230,6 +177788,8 @@ 1 0 0 +5 +0 2 0x335 0x567abb52 @@ -142238,6 +177798,8 @@ 0 0 0 +4 +4 2 0x426b 0x74217f2a @@ -142246,6 +177808,8 @@ 0 0 0 +2 +3 9 0x2b71 0x40a6e8a4 @@ -142254,6 +177818,8 @@ 1 0 0 +3 +2 1 0x1e86 0x56a8544f @@ -142262,6 +177828,8 @@ 0 0 0 +1 +1 8 0x107a 0xaf0eb3f9 @@ -142270,6 +177838,8 @@ 1 1 0 +2 +2 8 0xc93f 0xfb6303fb @@ -142278,6 +177848,8 @@ 1 1 0 +0 +5 3 0xcef9 0xcda9e22d @@ -142286,6 +177858,8 @@ 0 0 0 +2 +5 7 0x878d 0x29e69176 @@ -142294,6 +177868,8 @@ 0 0 0 +2 +1 7 0xfbfc 0xc296e26d @@ -142302,6 +177878,8 @@ 0 0 0 +0 +3 4 0xd53b 0x18ae40f7 @@ -142310,6 +177888,8 @@ 1 1 0 +1 +2 6 0x7c10 0xb8b2331b @@ -142318,6 +177898,8 @@ 1 1 0 +5 +2 1 0xe83 0xf357ec46 @@ -142326,6 +177908,8 @@ 1 0 0 +2 +1 3 0xf2b9 0xdc9593e4 @@ -142334,6 +177918,8 @@ 1 0 0 +3 +2 7 0x5b8a 0x3ea36d49 @@ -142342,6 +177928,8 @@ 1 0 0 +1 +5 3 0xf5c4 0x384f083e @@ -142350,6 +177938,8 @@ 1 1 0 +0 +5 2 0xa806 0x7d47df60 @@ -142358,6 +177948,8 @@ 1 0 0 +4 +2 5 0xdabe 0x2a72d6c8 @@ -142366,6 +177958,8 @@ 0 0 0 +1 +5 6 0xf612 0x479192e8 @@ -142374,6 +177968,8 @@ 1 0 0 +1 +3 4 0xbe60 0x85501f10 @@ -142382,6 +177978,8 @@ 0 0 0 +2 +3 4 0x620c 0xa97e4081 @@ -142390,6 +177988,8 @@ 0 0 0 +4 +4 5 0x203 0x57154c2f @@ -142398,6 +177998,8 @@ 0 0 0 +5 +3 1 0xa765 0x1b4ee2a0 @@ -142407,6 +178009,8 @@ 0 0 4 +3 +4 0x1a94 0x11de08db 256 @@ -142414,6 +178018,8 @@ 1 1 0 +3 +2 7 0xf26a 0x19821239 @@ -142422,6 +178028,8 @@ 0 0 0 +2 +3 9 0x1806 0x3756ca27 @@ -142430,6 +178038,8 @@ 0 0 0 +4 +2 1 0xb699 0x342ca48b @@ -142438,6 +178048,8 @@ 1 1 0 +1 +4 10 0x5042 0x507b00c5 @@ -142446,6 +178058,8 @@ 1 1 0 +0 +2 8 0x9836 0x28d2e3a5 @@ -142454,6 +178068,8 @@ 0 0 0 +4 +5 7 0x3eb9 0xf40edcef @@ -142462,6 +178078,8 @@ 1 0 0 +3 +2 1 0xcaf2 0xa91116d2 @@ -142470,6 +178088,8 @@ 0 0 0 +4 +0 8 0x1192 0xd4ee06af @@ -142478,6 +178098,8 @@ 0 0 0 +4 +4 2 0xf88b 0xce8dffb5 @@ -142486,6 +178108,8 @@ 1 0 0 +4 +1 3 0xa534 0xe53b4bde @@ -142494,6 +178118,8 @@ 1 1 0 +4 +0 2 0xc2a3 0x1f747040 @@ -142502,6 +178128,8 @@ 1 1 0 +2 +0 8 0x2591 0x99409031 @@ -142510,6 +178138,8 @@ 0 0 0 +1 +3 8 0xf0f6 0x39f41641 @@ -142518,6 +178148,8 @@ 0 0 0 +3 +2 7 0xf507 0x37bc45b1 @@ -142526,6 +178158,8 @@ 0 0 0 +4 +5 5 0x6d4a 0x5a6d87c0 @@ -142534,6 +178168,8 @@ 0 0 0 +0 +1 4 0xb487 0xf03d0730 @@ -142542,6 +178178,8 @@ 0 0 0 +4 +0 10 0xc593 0x4a1e7914 @@ -142550,6 +178188,8 @@ 1 0 0 +0 +5 6 0xd9fc 0xee1f0652 @@ -142558,6 +178198,8 @@ 0 0 0 +3 +0 8 0x5ae0 0x8d5972c9 @@ -142566,6 +178208,8 @@ 0 0 0 +0 +0 2 0x9dda 0x7e9e4a29 @@ -142574,6 +178218,8 @@ 1 0 0 +3 +5 6 0xee75 0xd5009354 @@ -142582,6 +178228,8 @@ 0 0 0 +1 +4 5 0x21b7 0x94173db3 @@ -142591,6 +178239,8 @@ 1 0 5 +0 +5 0x2e7b 0xb0a95903 256 @@ -142598,6 +178248,8 @@ 0 0 0 +3 +1 4 0x9631 0x296771f9 @@ -142606,6 +178258,8 @@ 0 0 0 +1 +0 9 0x6183 0xc58bf149 @@ -142614,6 +178268,8 @@ 0 0 0 +5 +0 7 0xa866 0xfc09c659 @@ -142622,6 +178278,8 @@ 1 1 0 +1 +5 4 0x8c19 0xa0677499 @@ -142630,6 +178288,8 @@ 0 0 0 +2 +2 7 0x116e 0x9b8a22e1 @@ -142638,6 +178298,8 @@ 0 0 0 +5 +0 4 0x83a1 0xef43a842 @@ -142646,6 +178308,8 @@ 1 0 0 +1 +3 8 0x84da 0xa5c619be @@ -142654,6 +178318,8 @@ 0 0 0 +2 +2 1 0x58c8 0xba963b27 @@ -142662,6 +178328,8 @@ 1 0 0 +3 +3 1 0xe655 0x4c5ed90d @@ -142670,6 +178338,8 @@ 0 0 0 +0 +1 3 0x8495 0x799f1c8e @@ -142678,6 +178348,8 @@ 1 1 0 +1 +0 7 0x35ac 0xda7aebf3 @@ -142686,6 +178358,8 @@ 0 0 0 +4 +1 3 0x89ea 0x8024aa37 @@ -142694,6 +178368,8 @@ 1 1 0 +4 +0 7 0xf7a7 0x12d09b12 @@ -142702,6 +178378,8 @@ 1 0 0 +2 +1 6 0x2475 0x953e2d0a @@ -142710,6 +178388,8 @@ 0 0 0 +1 +5 3 0x8e73 0x99594023 @@ -142718,6 +178398,8 @@ 0 0 0 +4 +5 2 0x6d64 0x51394256 @@ -142726,6 +178408,8 @@ 1 1 0 +4 +5 3 0x1af9 0x51645f3 @@ -142734,6 +178418,8 @@ 1 0 0 +2 +5 9 0x41b2 0x7d42d1a0 @@ -142742,6 +178428,8 @@ 1 1 0 +4 +4 3 0x88d4 0x3d6af8af @@ -142751,6 +178439,8 @@ 1 0 5 +3 +5 0xc844 0xa8a7614b 256 @@ -142759,6 +178449,8 @@ 0 0 2 +4 +2 0x2069 0x8de37fa0 256 @@ -142766,6 +178458,8 @@ 0 0 0 +1 +5 7 0xbc2c 0x31fd14 @@ -142774,6 +178468,8 @@ 1 0 0 +4 +5 5 0xe5b6 0xe380505 @@ -142782,6 +178478,8 @@ 0 0 0 +4 +2 8 0x6a95 0x58bc230e @@ -142790,6 +178488,8 @@ 0 0 0 +1 +3 5 0x90b7 0x85bac613 @@ -142798,6 +178498,8 @@ 1 0 0 +1 +0 9 0x667b 0x9fe4f753 @@ -142806,6 +178508,8 @@ 0 0 0 +5 +2 9 0x369 0x398a9c17 @@ -142814,6 +178518,8 @@ 1 1 0 +5 +0 1 0xa41c 0xeb70b2cd @@ -142823,6 +178529,8 @@ 0 0 2 +2 +2 0x58a 0xa2f326ab 256 @@ -142830,6 +178538,8 @@ 0 0 0 +2 +3 5 0x1c2c 0xbd801905 @@ -142838,6 +178548,8 @@ 0 0 0 +4 +3 3 0xe24b 0x18025943 @@ -142846,6 +178558,8 @@ 1 1 0 +0 +5 6 0x52b1 0x3e88a645 @@ -142854,6 +178568,8 @@ 1 1 0 +0 +1 7 0x23ec 0x39692695 @@ -142862,6 +178578,8 @@ 0 0 0 +4 +1 8 0x49aa 0x9702d6a0 @@ -142870,6 +178588,8 @@ 0 0 0 +4 +3 1 0x8ded 0xe2dd729c @@ -142878,6 +178598,8 @@ 0 0 0 +0 +3 10 0xa108 0xd8fdb981 @@ -142886,6 +178608,8 @@ 0 0 0 +4 +1 6 0x96bb 0x2c1c9104 @@ -142894,6 +178618,8 @@ 0 0 0 +1 +2 2 0xff1c 0xfcbf6e33 @@ -142902,6 +178628,8 @@ 0 0 0 +2 +0 5 0xa1e5 0x63faa042 @@ -142910,6 +178638,8 @@ 0 0 0 +0 +1 3 0xf8cb 0x9b18ee81 @@ -142918,6 +178648,8 @@ 1 1 0 +0 +3 9 0x868 0x685c6fba @@ -142926,6 +178658,8 @@ 1 0 0 +4 +5 8 0x18f0 0x2ce9d7c5 @@ -142934,6 +178668,8 @@ 1 0 0 +3 +5 5 0x34a5 0x52e628ab @@ -142942,6 +178678,8 @@ 0 0 0 +3 +2 2 0xf913 0xfdd71346 @@ -142950,6 +178688,8 @@ 1 1 0 +5 +4 8 0xa759 0xa1fa00e4 @@ -142958,6 +178698,8 @@ 0 0 0 +0 +4 1 0x5018 0x11b7088 @@ -142966,6 +178708,8 @@ 0 0 0 +4 +2 8 0x3fdf 0xdf9ba89d @@ -142974,6 +178718,8 @@ 0 0 0 +4 +4 3 0x81ae 0x6893f66f @@ -142982,6 +178728,8 @@ 0 0 0 +5 +0 1 0x30ad 0x8e715a5c @@ -142990,6 +178738,8 @@ 0 0 0 +3 +2 2 0x3409 0x55adca71 @@ -142998,6 +178748,8 @@ 1 0 0 +0 +3 6 0xce68 0x4fd32720 @@ -143006,6 +178758,8 @@ 0 0 0 +1 +0 7 0xf050 0xbd2350e9 @@ -143014,6 +178768,8 @@ 1 1 0 +2 +0 5 0xbca0 0x14aaa87f @@ -143023,6 +178779,8 @@ 0 0 3 +4 +3 0xaa21 0xe2f3e935 256 @@ -143030,6 +178788,8 @@ 1 0 0 +0 +3 5 0x4202 0xb413112d @@ -143039,6 +178799,8 @@ 1 0 3 +4 +3 0x4387 0x80cfc570 256 @@ -143046,6 +178808,8 @@ 1 1 0 +1 +1 6 0xa252 0x3de10931 @@ -143054,6 +178818,8 @@ 1 1 0 +1 +1 9 0x8a97 0xfb0ac20a @@ -143062,6 +178828,8 @@ 0 0 0 +0 +1 3 0xc84d 0xfa3cc151 @@ -143070,6 +178838,8 @@ 0 0 0 +2 +1 7 0x2e7d 0xe2e8bb37 @@ -143078,6 +178848,8 @@ 0 0 0 +0 +0 6 0x3fbd 0xadb2a1f8 @@ -143086,6 +178858,8 @@ 1 1 0 +0 +0 5 0xd5cb 0xf4fc1adb @@ -143095,6 +178869,8 @@ 1 0 1 +3 +1 0x347a 0xafc7ffe9 256 @@ -143102,6 +178878,8 @@ 1 0 0 +1 +3 6 0xf960 0x456d496c @@ -143110,6 +178888,8 @@ 1 1 0 +2 +2 1 0xf57d 0x62d873e9 @@ -143118,6 +178898,8 @@ 1 0 0 +0 +2 3 0xbc31 0xea3e799e @@ -143126,6 +178908,8 @@ 1 0 0 +1 +5 9 0xbe64 0xefbe8231 @@ -143134,6 +178918,8 @@ 0 0 0 +1 +4 2 0x109c 0x8bc3e720 @@ -143142,6 +178928,8 @@ 1 1 0 +3 +3 5 0x2c83 0x99ca10ef @@ -143150,6 +178938,8 @@ 0 0 0 +3 +4 4 0x3eef 0x3f835152 @@ -143158,6 +178948,8 @@ 1 0 0 +4 +4 2 0xc500 0x9af8c550 @@ -143166,6 +178958,8 @@ 0 0 0 +2 +0 10 0x8e86 0x17a08bd1 @@ -143174,6 +178968,8 @@ 0 0 0 +1 +1 2 0x4599 0x9eb5d21 @@ -143182,6 +178978,8 @@ 1 0 0 +0 +0 6 0x4171 0xd3d9c46a @@ -143190,6 +178988,8 @@ 0 0 0 +1 +3 6 0xe7c5 0x2a1b2be6 @@ -143198,6 +178998,8 @@ 1 1 0 +4 +4 5 0x46d 0x6e02281c @@ -143206,6 +179008,8 @@ 1 0 0 +1 +4 10 0x2370 0xc22d6bbf @@ -143214,6 +179018,8 @@ 0 0 0 +2 +5 3 0x4711 0x35c7b6e8 @@ -143222,6 +179028,8 @@ 1 0 0 +5 +3 9 0xde2c 0xf7b6d024 @@ -143230,6 +179038,8 @@ 0 0 0 +5 +1 9 0x54af 0xca1d647 @@ -143238,6 +179048,8 @@ 0 0 0 +1 +5 6 0x7d27 0xc5cb8d7e @@ -143246,6 +179058,8 @@ 0 0 0 +1 +0 10 0xef77 0x59d349f @@ -143254,6 +179068,8 @@ 1 1 0 +1 +3 7 0xf533 0x28061ee1 @@ -143262,6 +179078,8 @@ 0 0 0 +3 +5 10 0xbb3 0xb83124cf @@ -143270,6 +179088,8 @@ 1 1 0 +3 +4 7 0x5206 0x33802884 @@ -143278,6 +179098,8 @@ 0 0 0 +5 +5 6 0xaa0f 0xf6809e99 @@ -143286,6 +179108,8 @@ 0 0 0 +5 +5 9 0x6c6d 0x4b61416e @@ -143294,6 +179118,8 @@ 1 1 0 +1 +2 5 0xaab2 0xb75c8d7c @@ -143302,6 +179128,8 @@ 0 0 0 +3 +1 4 0x3f1a 0x512b245f @@ -143310,6 +179138,8 @@ 1 1 0 +4 +2 1 0x5102 0x387eab85 @@ -143318,6 +179148,8 @@ 0 0 0 +5 +2 3 0xb35f 0x6568089f @@ -143326,6 +179158,8 @@ 0 0 0 +3 +0 8 0x7aca 0x2ad2c1c7 @@ -143335,6 +179169,8 @@ 1 0 5 +1 +5 0xbb0e 0x4f8da6c5 256 @@ -143343,6 +179179,8 @@ 1 0 3 +0 +3 0xa048 0xbe80b14 256 @@ -143350,6 +179188,8 @@ 0 0 0 +1 +0 6 0x6962 0x47d5a0a0 @@ -143358,6 +179198,8 @@ 1 1 0 +5 +2 7 0xf1c4 0x3a718c1 @@ -143366,6 +179208,8 @@ 1 0 0 +3 +4 6 0xa1be 0xced00e15 @@ -143374,6 +179218,8 @@ 1 0 0 +2 +1 4 0xb2a 0xd9b3251 @@ -143382,6 +179228,8 @@ 1 1 0 +1 +2 7 0x86e4 0xe22d4d83 @@ -143390,6 +179238,8 @@ 1 1 0 +3 +2 10 0x3efd 0xdf06fa10 @@ -143398,6 +179248,8 @@ 0 0 0 +3 +4 8 0xea6a 0x95db60dc @@ -143406,6 +179258,8 @@ 1 0 0 +1 +3 3 0x9142 0x8eb05f0b @@ -143415,6 +179269,8 @@ 0 0 5 +2 +5 0xe513 0x5005deeb 256 @@ -143422,6 +179278,8 @@ 0 0 0 +5 +2 6 0xe918 0x76feb587 @@ -143430,6 +179288,8 @@ 1 0 0 +2 +3 10 0x42d2 0x97cb13e @@ -143438,6 +179298,8 @@ 0 0 0 +0 +5 9 0x3659 0x79b7f156 @@ -143446,6 +179308,8 @@ 1 1 0 +3 +5 9 0x3074 0x238f706f @@ -143454,6 +179318,8 @@ 1 1 0 +2 +1 6 0xcea4 0xc452ccdb @@ -143462,6 +179328,8 @@ 1 1 0 +2 +1 4 0x3fa1 0x9da437b8 @@ -143470,6 +179338,8 @@ 1 1 0 +1 +3 3 0xfcbe 0x3ac1da53 @@ -143478,6 +179348,8 @@ 0 0 0 +3 +0 9 0x8e77 0x1150e751 @@ -143486,6 +179358,8 @@ 1 0 0 +3 +2 9 0xa4e4 0x648896a4 @@ -143494,6 +179368,8 @@ 1 0 0 +3 +4 2 0x3c3a 0x8b38d8fa @@ -143502,6 +179378,8 @@ 1 0 0 +4 +3 7 0xaea9 0xc4aea166 @@ -143511,6 +179389,8 @@ 0 0 5 +3 +5 0xd00c 0xc2e72cce 256 @@ -143518,6 +179398,8 @@ 1 0 0 +3 +1 9 0xc7f3 0x729a389e @@ -143526,6 +179408,8 @@ 0 0 0 +1 +0 2 0xa777 0x8f97b2cb @@ -143534,6 +179418,8 @@ 0 0 0 +5 +3 1 0x649d 0x211dd88f @@ -143542,6 +179428,8 @@ 0 0 0 +3 +1 8 0x60c6 0x288e5e65 @@ -143550,6 +179438,8 @@ 0 0 0 +0 +4 4 0x1ec0 0x8d571442 @@ -143558,6 +179448,8 @@ 1 1 0 +2 +3 1 0x307b 0x13248bb2 @@ -143567,6 +179459,8 @@ 1 0 2 +1 +2 0xbb29 0xad6f870 256 @@ -143574,6 +179468,8 @@ 1 1 0 +2 +3 7 0x8465 0xf63f3e8c @@ -143582,6 +179478,8 @@ 1 0 0 +2 +3 9 0x5666 0x244d8c2e @@ -143590,6 +179488,8 @@ 0 0 0 +0 +5 1 0xe750 0x3c7e5751 @@ -143598,6 +179498,8 @@ 0 0 0 +2 +3 5 0xcbc 0x318d83d5 @@ -143606,6 +179508,8 @@ 0 0 0 +4 +3 3 0xaf29 0x7d77dcce @@ -143614,6 +179518,8 @@ 0 0 0 +0 +3 5 0x9327 0xa2a41dba @@ -143622,6 +179528,8 @@ 0 0 0 +5 +5 9 0x5b44 0x8d01467d @@ -143630,6 +179538,8 @@ 0 0 0 +3 +3 10 0x5b15 0x15cc5c61 @@ -143638,6 +179548,8 @@ 1 1 0 +3 +5 6 0xf086 0xbbadfc6b @@ -143646,6 +179558,8 @@ 1 0 0 +4 +3 10 0xc677 0xb8e75d05 @@ -143654,6 +179568,8 @@ 1 1 0 +4 +4 6 0x35a0 0x7d2a278b @@ -143662,6 +179578,8 @@ 1 0 0 +1 +5 4 0xa34 0xc0187b2d @@ -143670,6 +179588,8 @@ 0 0 0 +0 +3 9 0x2a05 0x1e56d28e @@ -143678,6 +179598,8 @@ 1 1 0 +0 +4 7 0x71fa 0x54bb9013 @@ -143686,6 +179608,8 @@ 0 0 0 +3 +3 2 0xbabc 0x83fc72b @@ -143694,6 +179618,8 @@ 0 0 0 +3 +5 9 0x6688 0x32dca18f @@ -143702,6 +179628,8 @@ 0 0 0 +3 +1 5 0xaf62 0xdf7f974d @@ -143710,6 +179638,8 @@ 1 0 0 +0 +3 4 0x2dea 0xaa04d29 @@ -143718,6 +179648,8 @@ 0 0 0 +1 +2 5 0x373 0xdf178ec2 @@ -143726,6 +179658,8 @@ 1 1 0 +4 +1 5 0xd075 0x354b7afd @@ -143734,6 +179668,8 @@ 1 0 0 +4 +5 2 0x36a7 0x514bda71 @@ -143743,6 +179679,8 @@ 0 0 4 +5 +4 0x85d1 0xc7b9be9d 256 @@ -143750,6 +179688,8 @@ 0 0 0 +1 +5 9 0xf922 0x1e2f33f2 @@ -143758,6 +179698,8 @@ 0 0 0 +3 +1 8 0xdea5 0xb29a8841 @@ -143766,6 +179708,8 @@ 0 0 0 +4 +0 8 0x9303 0x3dc4214d @@ -143774,6 +179718,8 @@ 1 0 0 +1 +1 4 0x1750 0x8d1eef07 @@ -143782,6 +179728,8 @@ 1 1 0 +1 +3 8 0xb9cd 0xe88aab37 @@ -143790,6 +179738,8 @@ 1 0 0 +1 +1 3 0x8e15 0x178937a4 @@ -143798,6 +179748,8 @@ 0 0 0 +2 +2 10 0x417d 0xd50df6a @@ -143806,6 +179758,8 @@ 0 0 0 +3 +0 8 0x77ac 0x548c8f1d @@ -143815,6 +179769,8 @@ 0 0 3 +5 +3 0x949c 0x77ca54c4 256 @@ -143822,6 +179778,8 @@ 0 0 0 +1 +4 4 0x2164 0xf8f4e3db @@ -143830,6 +179788,8 @@ 0 0 0 +0 +1 9 0xfb16 0xdb20fda9 @@ -143839,6 +179799,8 @@ 0 0 3 +4 +3 0x8833 0x5c2135a 256 @@ -143846,6 +179808,8 @@ 0 0 0 +0 +3 4 0x41e0 0xb15fcba2 @@ -143854,6 +179818,8 @@ 0 0 0 +2 +1 1 0xf1e9 0x52a899f9 @@ -143862,6 +179828,8 @@ 1 1 0 +4 +3 10 0x6bb4 0xe56f8cd8 @@ -143871,6 +179839,8 @@ 0 0 1 +4 +1 0x889d 0xf85d8e24 256 @@ -143878,6 +179848,8 @@ 0 0 0 +3 +5 4 0xb925 0x5bee133d @@ -143886,6 +179858,8 @@ 0 0 0 +5 +0 10 0x1472 0xdf3065d0 @@ -143894,6 +179868,8 @@ 1 1 0 +2 +1 1 0xc4e2 0xe80e1dd @@ -143902,6 +179878,8 @@ 1 1 0 +3 +2 9 0x78f6 0xfaa37c01 @@ -143910,6 +179888,8 @@ 0 0 0 +2 +1 6 0xb361 0x634ba905 @@ -143919,6 +179899,8 @@ 0 0 2 +1 +2 0x3056 0xeddcd21a 256 @@ -143926,6 +179908,8 @@ 0 0 0 +5 +4 4 0x3b4a 0xbeea44c5 @@ -143934,6 +179918,8 @@ 0 0 0 +3 +4 7 0x3a95 0x14abc4e1 @@ -143942,6 +179928,8 @@ 1 1 0 +3 +1 5 0x354e 0x1de7cf22 @@ -143950,6 +179938,8 @@ 0 0 0 +2 +5 6 0x50e4 0x8ec08868 @@ -143958,6 +179948,8 @@ 1 0 0 +5 +4 4 0xcd8a 0xb7b7a9db @@ -143966,6 +179958,8 @@ 0 0 0 +3 +1 5 0xe1d1 0x72057715 @@ -143974,6 +179968,8 @@ 1 1 0 +3 +3 2 0x4962 0x5165879c @@ -143983,6 +179979,8 @@ 0 0 3 +2 +3 0x3fc0 0x6d71808c 256 @@ -143990,6 +179988,8 @@ 1 0 0 +2 +5 10 0x202b 0x9a662dfa @@ -143998,6 +179998,8 @@ 0 0 0 +2 +3 4 0xad23 0xa1106c1b @@ -144006,6 +180008,8 @@ 0 0 0 +2 +1 9 0xfd1e 0x9f6a135d @@ -144014,6 +180018,8 @@ 0 0 0 +0 +1 8 0x83b5 0x58de0948 @@ -144022,6 +180028,8 @@ 0 0 0 +5 +3 4 0x1c83 0x58f810ef @@ -144030,6 +180038,8 @@ 1 0 0 +0 +2 2 0xc03b 0xc730be2f @@ -144038,6 +180048,8 @@ 0 0 0 +1 +5 2 0x56f4 0x9040faf4 @@ -144046,6 +180058,8 @@ 0 0 0 +0 +1 4 0x81b4 0x4cd784c8 @@ -144054,6 +180068,8 @@ 0 0 0 +1 +5 2 0xa7e 0xf8240d1c @@ -144062,6 +180078,8 @@ 1 1 0 +1 +5 3 0x463d 0x1edc9d0d @@ -144070,6 +180088,8 @@ 0 0 0 +2 +0 7 0x9a28 0xa5e656d7 @@ -144078,6 +180098,8 @@ 1 0 0 +2 +2 3 0x63f1 0xd761355c @@ -144086,6 +180108,8 @@ 1 1 0 +5 +2 2 0xce70 0x77f674ac @@ -144094,6 +180118,8 @@ 0 0 0 +3 +5 7 0xdd3e 0xb12a8dd8 @@ -144102,6 +180128,8 @@ 1 1 0 +5 +3 10 0x2e14 0x3e1795aa @@ -144110,6 +180138,8 @@ 0 0 0 +0 +4 10 0xce24 0x7f034146 @@ -144118,6 +180148,8 @@ 1 1 0 +1 +0 8 0x3dd3 0x4f8c49be @@ -144126,6 +180158,8 @@ 1 0 0 +0 +5 1 0x7324 0xd8eabedf @@ -144134,6 +180168,8 @@ 0 0 0 +1 +0 5 0xd7b0 0x119c8736 @@ -144142,6 +180178,8 @@ 0 0 0 +5 +2 7 0xe3f 0x92753c0b @@ -144150,6 +180188,8 @@ 1 1 0 +4 +2 9 0xcd9a 0x29bed2da @@ -144158,6 +180198,8 @@ 0 0 0 +3 +4 5 0x4472 0x8d7d789a @@ -144166,6 +180208,8 @@ 1 0 0 +5 +0 1 0x369e 0xf9f7e506 @@ -144174,6 +180218,8 @@ 0 0 0 +2 +0 10 0xb121 0x76b805f4 @@ -144182,6 +180228,8 @@ 1 1 0 +2 +1 10 0x69a4 0x8e20dc25 @@ -144190,6 +180238,8 @@ 1 1 0 +0 +3 3 0x870a 0x55d6a4df @@ -144198,6 +180248,8 @@ 1 0 0 +3 +4 7 0xeda 0x3bf7970b @@ -144206,6 +180258,8 @@ 1 1 0 +5 +0 7 0x8f62 0x4687da2e @@ -144214,6 +180268,8 @@ 0 0 0 +2 +4 8 0xade 0x6ec9e4e4 @@ -144222,6 +180278,8 @@ 1 1 0 +4 +5 2 0x5930 0x70a2f7c4 @@ -144230,6 +180288,8 @@ 0 0 0 +0 +2 9 0xa9db 0xdac4409d @@ -144238,6 +180298,8 @@ 0 0 0 +2 +0 3 0x98bb 0x69a2c580 @@ -144246,6 +180308,8 @@ 1 1 0 +5 +4 9 0x9729 0xb368ec28 @@ -144254,6 +180318,8 @@ 1 0 0 +1 +5 4 0xfda0 0x5ac29c56 @@ -144262,6 +180328,8 @@ 0 0 0 +0 +4 7 0xe154 0xa2d873d8 @@ -144270,6 +180338,8 @@ 0 0 0 +2 +4 8 0xd370 0x5d124746 @@ -144278,6 +180348,8 @@ 0 0 0 +1 +5 3 0xd680 0xc69ac64f @@ -144286,6 +180358,8 @@ 0 0 0 +0 +0 6 0x9b39 0xc6f52db0 @@ -144294,6 +180368,8 @@ 1 0 0 +4 +4 7 0x26dd 0xa50f07a9 @@ -144302,6 +180378,8 @@ 0 0 0 +5 +4 10 0xb23e 0x887fe603 @@ -144310,6 +180388,8 @@ 1 1 0 +2 +4 10 0x36a4 0x924e275e @@ -144318,6 +180398,8 @@ 0 0 0 +4 +2 1 0xd3d6 0xd362cec5 @@ -144326,6 +180408,8 @@ 0 0 0 +5 +4 3 0xa61f 0x65dcd66 @@ -144334,6 +180418,8 @@ 0 0 0 +4 +2 2 0x816 0xe73bea68 @@ -144342,6 +180428,8 @@ 0 0 0 +2 +5 8 0x9427 0xea59ddf5 @@ -144350,6 +180438,8 @@ 0 0 0 +1 +0 8 0xcf0a 0x4ade8d4d @@ -144358,6 +180448,8 @@ 0 0 0 +3 +2 1 0xc3e5 0xa1b72f2d @@ -144366,6 +180458,8 @@ 1 0 0 +4 +5 5 0xd37f 0x995efc11 @@ -144374,6 +180468,8 @@ 1 0 0 +3 +2 2 0xc6c6 0xf3205fad @@ -144382,6 +180478,8 @@ 1 0 0 +2 +4 1 0xe332 0x25409673 @@ -144390,6 +180488,8 @@ 1 1 0 +5 +2 4 0x4bfe 0xe70ef3e1 @@ -144398,6 +180498,8 @@ 1 1 0 +1 +0 2 0x4f32 0xa096f30b @@ -144406,6 +180508,8 @@ 0 0 0 +0 +0 1 0x69f4 0xa2713a17 @@ -144414,6 +180518,8 @@ 1 1 0 +4 +5 3 0xf59 0xe838ff64 @@ -144422,6 +180528,8 @@ 0 0 0 +2 +1 9 0x6de8 0xb2284429 @@ -144430,6 +180538,8 @@ 0 0 0 +0 +5 2 0x589d 0xba5c2e25 @@ -144438,6 +180548,8 @@ 1 1 0 +2 +5 9 0x894 0x3690971e @@ -144446,6 +180558,8 @@ 1 0 0 +1 +1 2 0x61cc 0x4a4a674a @@ -144454,6 +180568,8 @@ 0 0 0 +3 +4 9 0x4bd 0x5ed9a02d @@ -144462,6 +180578,8 @@ 0 0 0 +0 +3 2 0xb9b1 0x3e4b3d48 @@ -144470,6 +180588,8 @@ 1 1 0 +1 +2 2 0x9776 0x55c6272 @@ -144478,6 +180598,8 @@ 1 0 0 +0 +3 4 0x2b5c 0x98043c6e @@ -144486,6 +180608,8 @@ 0 0 0 +5 +5 4 0x941b 0x2244cd31 @@ -144494,6 +180618,8 @@ 0 0 0 +4 +0 3 0xe388 0x8b0622f1 @@ -144502,6 +180628,8 @@ 1 0 0 +0 +3 9 0xce1d 0x3f6f9f37 @@ -144510,6 +180638,8 @@ 1 1 0 +1 +2 3 0xa5f5 0x325a1f43 @@ -144518,6 +180648,8 @@ 1 0 0 +5 +2 8 0x2f7a 0x2e231e0c @@ -144526,6 +180658,8 @@ 1 0 0 +1 +1 3 0x46e3 0x7fcadf96 @@ -144534,6 +180668,8 @@ 0 0 0 +1 +4 4 0x8adc 0x38f74b5f @@ -144543,6 +180679,8 @@ 1 0 2 +1 +2 0x7b9f 0x2e36e76c 256 @@ -144550,6 +180688,8 @@ 0 0 0 +1 +0 8 0xd919 0x5c167429 @@ -144558,6 +180698,8 @@ 1 0 0 +3 +4 1 0x7abe 0x5538aff7 @@ -144566,6 +180708,8 @@ 0 0 0 +0 +4 6 0x7f85 0xe10b4780 @@ -144574,6 +180718,8 @@ 0 0 0 +4 +4 9 0x88da 0x304744ff @@ -144582,6 +180728,8 @@ 0 0 0 +3 +4 9 0xb907 0xc5ffd3b @@ -144590,6 +180738,8 @@ 1 0 0 +4 +3 1 0xeaf9 0x1eeb3853 @@ -144598,6 +180748,8 @@ 1 0 0 +5 +5 7 0xe6e1 0xbd7b5824 @@ -144606,6 +180758,8 @@ 1 0 0 +1 +1 5 0xa8ee 0xff562222 @@ -144614,6 +180768,8 @@ 1 0 0 +1 +4 7 0x60b8 0x7bfca7a6 @@ -144622,6 +180778,8 @@ 1 0 0 +2 +0 3 0x642e 0x82eca779 @@ -144630,6 +180788,8 @@ 1 0 0 +4 +3 8 0x2757 0x3ad8daf1 @@ -144638,6 +180798,8 @@ 0 0 0 +1 +3 6 0xbfb1 0x55494962 @@ -144646,6 +180808,8 @@ 0 0 0 +4 +3 9 0xa13d 0x1dcee607 @@ -144654,6 +180818,8 @@ 0 0 0 +3 +0 5 0xf17d 0xccf84357 @@ -144662,6 +180828,8 @@ 0 0 0 +2 +4 7 0x6258 0xa6188b7e @@ -144670,6 +180838,8 @@ 1 0 0 +2 +2 4 0x2fd8 0x41b85ffc @@ -144679,6 +180849,8 @@ 1 0 2 +5 +2 0x3e68 0xd4ad9630 256 @@ -144686,6 +180858,8 @@ 0 0 0 +5 +3 10 0x77e5 0x35dd3916 @@ -144694,6 +180868,8 @@ 0 0 0 +1 +5 10 0x1a42 0xbd136591 @@ -144702,6 +180878,8 @@ 1 0 0 +4 +4 5 0xc1f2 0xb1887251 @@ -144710,6 +180888,8 @@ 1 1 0 +2 +3 3 0x8811 0x620ce550 @@ -144718,6 +180898,8 @@ 1 0 0 +5 +5 8 0xb4e 0x593e11e5 @@ -144726,6 +180908,8 @@ 0 0 0 +1 +0 4 0xaff1 0xb8c8cf98 @@ -144734,6 +180918,8 @@ 1 0 0 +3 +2 8 0x6be 0x15e2fd34 @@ -144742,6 +180928,8 @@ 1 0 0 +4 +1 6 0x1fd0 0x270e9757 @@ -144751,6 +180939,8 @@ 0 0 5 +4 +5 0xdf1e 0x5db99f3b 256 @@ -144758,6 +180948,8 @@ 1 1 0 +3 +2 6 0x6ab4 0x95378843 @@ -144766,6 +180958,8 @@ 1 1 0 +3 +2 5 0x181 0x89a6fa59 @@ -144774,6 +180968,8 @@ 1 0 0 +4 +0 1 0x8122 0x46574cf4 @@ -144782,6 +180978,8 @@ 0 0 0 +4 +3 9 0x3a8d 0x1248217d @@ -144791,6 +180989,8 @@ 0 0 1 +0 +1 0xae21 0xa5c9f181 256 @@ -144798,6 +180998,8 @@ 1 0 0 +1 +3 6 0xf30e 0x6806fb9e @@ -144807,6 +181009,8 @@ 0 0 1 +1 +1 0xdf53 0xb201e81c 256 @@ -144814,6 +181018,8 @@ 1 1 0 +3 +2 8 0x3b4c 0x518fdb57 @@ -144823,6 +181029,8 @@ 0 0 4 +1 +4 0xc951 0xa9b45ac3 256 @@ -144830,6 +181038,8 @@ 0 0 0 +3 +2 9 0xb432 0xc1f02140 @@ -144838,6 +181048,8 @@ 0 0 0 +1 +2 6 0x4e81 0xa8ef59ed @@ -144846,6 +181058,8 @@ 0 0 0 +5 +4 8 0xcacb 0x9c3d6124 @@ -144854,6 +181068,8 @@ 0 0 0 +3 +2 5 0x49b0 0x26a64e0f @@ -144862,6 +181078,8 @@ 0 0 0 +1 +1 10 0x8171 0xe65a9842 @@ -144870,6 +181088,8 @@ 0 0 0 +1 +3 3 0xa17c 0x3ea23570 @@ -144878,6 +181098,8 @@ 0 0 0 +5 +4 6 0x3054 0xc1674835 @@ -144886,6 +181108,8 @@ 0 0 0 +0 +3 9 0xfb67 0x8dda7239 @@ -144894,6 +181118,8 @@ 1 0 0 +3 +0 6 0x6ccf 0x9cce065c @@ -144902,6 +181128,8 @@ 0 0 0 +4 +2 9 0x6d43 0xbd3c294 @@ -144910,6 +181138,8 @@ 0 0 0 +4 +4 6 0xd4b9 0x204a9c6 @@ -144918,6 +181148,8 @@ 0 0 0 +1 +3 4 0x48d9 0xa7c6e317 @@ -144926,6 +181158,8 @@ 0 0 0 +2 +2 5 0x830b 0xd3055310 @@ -144934,6 +181168,8 @@ 1 1 0 +4 +4 5 0xa60 0x4e758053 @@ -144943,6 +181179,8 @@ 0 0 2 +2 +2 0x1a0b 0xa821e2f3 256 @@ -144951,6 +181189,8 @@ 0 0 3 +5 +3 0xbe4c 0x7dc73e0b 256 @@ -144958,6 +181198,8 @@ 0 0 0 +0 +1 7 0x3316 0x77744d9e @@ -144966,6 +181208,8 @@ 0 0 0 +3 +2 5 0x5d7e 0xc5122a31 @@ -144974,6 +181218,8 @@ 1 1 0 +3 +5 1 0xd47a 0xcaa8dc2c @@ -144982,6 +181228,8 @@ 1 0 0 +5 +2 2 0x8f10 0x4f79992a @@ -144990,6 +181238,8 @@ 0 0 0 +5 +5 4 0x470c 0x943d7f1b @@ -144998,6 +181248,8 @@ 0 0 0 +4 +3 5 0x3541 0x9f8c296f @@ -145006,6 +181258,8 @@ 0 0 0 +5 +5 6 0x58c4 0x33fe4766 @@ -145014,6 +181268,8 @@ 1 0 0 +5 +1 8 0xbb10 0x58f2b9b0 @@ -145022,6 +181278,8 @@ 0 0 0 +4 +5 3 0x3f0e 0x313d4cf7 @@ -145030,6 +181288,8 @@ 1 0 0 +0 +0 5 0x26ec 0x64f99cd2 @@ -145038,6 +181298,8 @@ 1 0 0 +3 +1 4 0x6ce 0xf1aa2046 @@ -145046,6 +181308,8 @@ 1 1 0 +4 +0 1 0x8e83 0x491fea46 @@ -145054,6 +181318,8 @@ 0 0 0 +1 +1 8 0xd7a7 0xf4c960a0 @@ -145062,6 +181328,8 @@ 1 1 0 +0 +1 2 0x60f 0x36b8d3c @@ -145070,6 +181338,8 @@ 1 1 0 +3 +5 10 0xba2f 0xabf4ee65 @@ -145078,6 +181348,8 @@ 0 0 0 +2 +0 1 0xad0 0x84dd795 @@ -145086,6 +181358,8 @@ 1 1 0 +4 +3 8 0x72da 0x8eec6a20 @@ -145094,6 +181368,8 @@ 0 0 0 +4 +0 9 0xb520 0x88e75df2 @@ -145102,6 +181378,8 @@ 0 0 0 +5 +1 7 0xac13 0x99f97c3f @@ -145110,6 +181388,8 @@ 0 0 0 +5 +1 9 0xf73f 0x5fc80663 @@ -145118,6 +181398,8 @@ 1 1 0 +2 +3 8 0x6f8 0x98a82a20 @@ -145126,6 +181408,8 @@ 0 0 0 +3 +0 1 0x5dbf 0x6c96b38b @@ -145134,6 +181418,8 @@ 1 0 0 +2 +1 10 0x280f 0xff37c838 @@ -145142,6 +181428,8 @@ 1 0 0 +0 +1 3 0x4dec 0xb05a5f11 @@ -145150,6 +181438,8 @@ 0 0 0 +5 +1 7 0x7133 0x3dba588e @@ -145158,6 +181448,8 @@ 0 0 0 +1 +0 7 0xee19 0xbe177677 @@ -145166,6 +181458,8 @@ 0 0 0 +5 +2 6 0x579e 0x18bc482c @@ -145174,6 +181468,8 @@ 1 1 0 +4 +3 10 0x3bf2 0xd7c444cc @@ -145182,6 +181478,8 @@ 0 0 0 +5 +2 9 0x527c 0x180e380a @@ -145190,6 +181488,8 @@ 1 0 0 +0 +3 2 0x5085 0x1d561885 @@ -145198,6 +181498,8 @@ 0 0 0 +4 +3 10 0xaa37 0x2b6ffd6 @@ -145206,6 +181508,8 @@ 0 0 0 +5 +1 2 0xecd4 0xacdd1ea @@ -145215,6 +181519,8 @@ 0 0 4 +0 +4 0x71d4 0x76a01bed 256 @@ -145222,6 +181528,8 @@ 1 1 0 +5 +4 4 0x1536 0xb630ed73 @@ -145230,6 +181538,8 @@ 1 1 0 +0 +3 10 0x4ef3 0x740b9e9b @@ -145238,6 +181548,8 @@ 0 0 0 +1 +2 3 0xe881 0xc8b41a44 @@ -145246,6 +181558,8 @@ 0 0 0 +4 +1 8 0x54a9 0xdc5b4327 @@ -145254,6 +181568,8 @@ 0 0 0 +5 +1 1 0x19ea 0x5aac5bbf @@ -145262,6 +181578,8 @@ 1 1 0 +3 +2 8 0x1e6b 0x71649315 @@ -145270,6 +181588,8 @@ 0 0 0 +3 +5 7 0xf713 0xf5aff25 @@ -145278,6 +181598,8 @@ 0 0 0 +1 +4 7 0xdfa3 0x9616ebdf @@ -145286,6 +181608,8 @@ 1 0 0 +3 +0 10 0xf325 0x5f31662f @@ -145294,6 +181618,8 @@ 0 0 0 +3 +3 4 0x70f7 0x94fe9d51 @@ -145302,6 +181628,8 @@ 0 0 0 +3 +1 5 0x8a7e 0x2f3d2711 @@ -145310,6 +181638,8 @@ 0 0 0 +3 +5 4 0x4955 0x146bf452 @@ -145318,6 +181648,8 @@ 0 0 0 +1 +0 9 0x50bc 0x12954c22 @@ -145326,6 +181658,8 @@ 1 1 0 +1 +5 4 0x7b4e 0xdb0cb0d6 @@ -145334,6 +181668,8 @@ 0 0 0 +0 +5 10 0xb052 0x29c2ac80 @@ -145342,6 +181678,8 @@ 0 0 0 +4 +4 7 0xfea0 0xe12a4bcf @@ -145350,6 +181688,8 @@ 0 0 0 +5 +2 3 0xbb99 0xafa44199 @@ -145358,6 +181698,8 @@ 0 0 0 +3 +1 7 0x5687 0xae26ebe1 @@ -145366,6 +181708,8 @@ 1 0 0 +4 +5 8 0xd173 0x3c2c30f4 @@ -145374,6 +181718,8 @@ 0 0 0 +0 +4 8 0xee6e 0x4bd22d41 @@ -145382,6 +181728,8 @@ 1 1 0 +0 +5 5 0x2ba6 0x5e1dd8e4 @@ -145390,6 +181738,8 @@ 0 0 0 +4 +2 6 0xf9fe 0xb5aa886b @@ -145398,6 +181748,8 @@ 1 0 0 +1 +0 5 0x4a2f 0x5375802f @@ -145406,6 +181758,8 @@ 1 0 0 +0 +1 4 0x211c 0xbdae29af @@ -145415,6 +181769,8 @@ 0 0 1 +4 +1 0xf50a 0xd2c72998 256 @@ -145422,6 +181778,8 @@ 1 1 0 +3 +1 8 0x87c6 0x34f96771 @@ -145430,6 +181788,8 @@ 1 1 0 +1 +1 6 0x9baa 0x3655367a @@ -145438,6 +181798,8 @@ 1 1 0 +5 +0 7 0x7157 0xf0de0da5 @@ -145446,6 +181808,8 @@ 0 0 0 +1 +3 4 0x3136 0x1da41c9c @@ -145454,6 +181818,8 @@ 0 0 0 +3 +4 7 0x3fd1 0x86582892 @@ -145462,6 +181828,8 @@ 1 1 0 +5 +2 8 0x915f 0x8538ed9c @@ -145471,6 +181839,8 @@ 1 0 3 +1 +3 0xccfd 0x67019a45 256 @@ -145478,6 +181848,8 @@ 1 0 0 +1 +2 10 0xc71a 0x33ba86f9 @@ -145486,6 +181858,8 @@ 1 1 0 +4 +2 9 0xf965 0xb31a689a @@ -145494,6 +181868,8 @@ 1 1 0 +2 +3 10 0x5b72 0xdacbb18e @@ -145503,6 +181879,8 @@ 0 0 1 +2 +1 0x8666 0xfc3b16a9 256 @@ -145510,6 +181888,8 @@ 1 1 0 +1 +0 4 0xe942 0xc8d9a3e4 @@ -145518,6 +181898,8 @@ 0 0 0 +0 +0 5 0x3f0f 0xe50b144c @@ -145527,6 +181909,8 @@ 0 0 4 +5 +4 0x1918 0xe84f989f 256 @@ -145534,6 +181918,8 @@ 1 1 0 +5 +3 6 0x7d3e 0xa65081f @@ -145542,6 +181928,8 @@ 0 0 0 +4 +4 2 0x9659 0xeff042d8 @@ -145550,6 +181938,8 @@ 0 0 0 +0 +3 7 0xccc2 0xff8c418f @@ -145558,6 +181948,8 @@ 0 0 0 +3 +2 5 0x61b7 0xeae2fda1 @@ -145566,6 +181958,8 @@ 0 0 0 +5 +5 7 0xc174 0xbcdcf84d @@ -145574,6 +181968,8 @@ 0 0 0 +0 +3 1 0xd555 0xe7e39885 @@ -145582,6 +181978,8 @@ 0 0 0 +3 +4 10 0x24eb 0x2751e1b5 @@ -145590,6 +181988,8 @@ 1 1 0 +0 +1 7 0x28aa 0xc9c747e3 @@ -145598,6 +181998,8 @@ 0 0 0 +0 +3 8 0x9c79 0xbe120dfc @@ -145606,6 +182008,8 @@ 0 0 0 +5 +1 1 0xbad6 0x68326c5f @@ -145614,6 +182018,8 @@ 1 1 0 +2 +0 8 0x6603 0xc36984e @@ -145622,6 +182028,8 @@ 1 0 0 +1 +1 7 0xbfa2 0xf49e09e4 @@ -145630,6 +182038,8 @@ 1 1 0 +3 +2 4 0xdae4 0xc78a244f @@ -145638,6 +182048,8 @@ 0 0 0 +3 +2 10 0xdc11 0xb86d3522 @@ -145646,6 +182058,8 @@ 1 0 0 +3 +0 4 0x41db 0xcf3b122c @@ -145654,6 +182068,8 @@ 0 0 0 +5 +2 4 0xcd5c 0x30a379c7 @@ -145662,6 +182078,8 @@ 1 0 0 +4 +4 1 0x6ba6 0xd2b3cab2 @@ -145670,6 +182088,8 @@ 1 1 0 +1 +1 7 0x1aa5 0x3d9e38f1 @@ -145678,6 +182098,8 @@ 0 0 0 +1 +3 5 0x4e4d 0x6499126b @@ -145686,6 +182108,8 @@ 0 0 0 +4 +4 10 0xcfaa 0xdeb89f9e @@ -145695,6 +182119,8 @@ 0 0 5 +1 +5 0xa85e 0x9eb55fbe 256 @@ -145702,6 +182128,8 @@ 0 0 0 +4 +4 1 0xda6c 0x635f0097 @@ -145710,6 +182138,8 @@ 1 1 0 +4 +1 6 0x6a8 0x9d3a878c @@ -145718,6 +182148,8 @@ 0 0 0 +5 +3 4 0x42ad 0xaf687154 @@ -145726,6 +182158,8 @@ 1 0 0 +5 +4 2 0x15fc 0x686da821 @@ -145734,6 +182168,8 @@ 1 0 0 +4 +3 1 0xd211 0x8f568e9a @@ -145742,6 +182178,8 @@ 0 0 0 +1 +3 3 0x136d 0xb95c2385 @@ -145751,6 +182189,8 @@ 0 0 4 +3 +4 0xbbb3 0x555ce713 256 @@ -145758,6 +182198,8 @@ 0 0 0 +1 +3 7 0x15ef 0x3688e840 @@ -145767,6 +182209,8 @@ 0 0 3 +4 +3 0x4003 0xc990956c 256 @@ -145775,6 +182219,8 @@ 0 0 3 +2 +3 0x22ec 0x44f6a206 256 @@ -145782,6 +182228,8 @@ 0 0 0 +5 +3 10 0xbb11 0xce6828cb @@ -145790,6 +182238,8 @@ 1 0 0 +0 +5 3 0xc794 0x92fffe1c @@ -145798,6 +182248,8 @@ 0 0 0 +1 +3 5 0x57f3 0x63be5efe @@ -145806,6 +182258,8 @@ 1 1 0 +0 +0 3 0xf573 0xb3531e0a @@ -145814,6 +182268,8 @@ 0 0 0 +0 +5 10 0x41dd 0xbf0dcde2 @@ -145822,6 +182278,8 @@ 1 1 0 +1 +1 3 0x59fd 0xf6fb8e9d @@ -145830,6 +182288,8 @@ 1 1 0 +0 +0 9 0x1e21 0x2f612c37 @@ -145839,6 +182299,8 @@ 0 0 4 +3 +4 0xd742 0xdd95e187 256 @@ -145846,6 +182308,8 @@ 0 0 0 +4 +2 6 0x2e02 0x1f51017f @@ -145854,6 +182318,8 @@ 1 1 0 +2 +2 6 0x6896 0x38cef80 @@ -145862,6 +182328,8 @@ 0 0 0 +2 +4 10 0xc58f 0x6a6590b0 @@ -145871,6 +182339,8 @@ 1 0 2 +5 +2 0x263a 0xa2bb3795 256 @@ -145879,6 +182349,8 @@ 1 0 3 +2 +3 0xe36a 0xd72155ac 256 @@ -145886,6 +182358,8 @@ 0 0 0 +5 +1 6 0xd0f1 0xb7733e2f @@ -145894,6 +182368,8 @@ 1 0 0 +2 +4 7 0x2042 0x99e78aa0 @@ -145902,6 +182378,8 @@ 1 0 0 +3 +3 7 0x6cbb 0xf565f119 @@ -145910,6 +182388,8 @@ 0 0 0 +0 +0 7 0x6689 0x48266d31 @@ -145919,6 +182399,8 @@ 0 0 4 +5 +4 0x17f4 0xef190c 256 @@ -145927,6 +182409,8 @@ 0 0 3 +4 +3 0x828a 0x236d8c00 256 @@ -145934,6 +182418,8 @@ 0 0 0 +0 +5 2 0xced5 0xd37081c9 @@ -145942,6 +182428,8 @@ 0 0 0 +2 +2 1 0x8373 0x17d79209 @@ -145951,6 +182439,8 @@ 0 0 3 +1 +3 0x4c8f 0xe53fd3ad 256 @@ -145958,6 +182448,8 @@ 1 1 0 +2 +0 8 0x4b66 0x54131cac @@ -145966,6 +182458,8 @@ 0 0 0 +2 +1 7 0xf8fc 0x4a2da21 @@ -145974,6 +182468,8 @@ 0 0 0 +1 +4 10 0xee22 0x5ca2b4de @@ -145982,6 +182478,8 @@ 0 0 0 +4 +5 8 0xa609 0xb86a2f5a @@ -145990,6 +182488,8 @@ 0 0 0 +1 +0 8 0x8d6 0xd0804a61 @@ -145998,6 +182498,8 @@ 0 0 0 +0 +0 2 0x6682 0x712e2ccb @@ -146006,6 +182508,8 @@ 0 0 0 +4 +0 1 0x7043 0x479fd5f4 @@ -146014,6 +182518,8 @@ 0 0 0 +4 +5 3 0xcf21 0x56b3c016 @@ -146022,6 +182528,8 @@ 0 0 0 +4 +4 10 0x98b4 0xc97d0d48 @@ -146030,6 +182538,8 @@ 0 0 0 +1 +2 4 0x154e 0xd6477e6a @@ -146038,6 +182548,8 @@ 0 0 0 +1 +0 3 0x17e7 0xec269bd @@ -146046,6 +182558,8 @@ 1 1 0 +4 +5 7 0xe931 0x609804a5 @@ -146054,6 +182568,8 @@ 0 0 0 +5 +0 9 0x8078 0x913dc3e9 @@ -146062,6 +182578,8 @@ 1 1 0 +1 +4 10 0x2df3 0x462db0f6 @@ -146071,6 +182589,8 @@ 0 0 3 +4 +3 0xaee1 0x75367391 256 @@ -146078,6 +182598,8 @@ 0 0 0 +4 +2 9 0x329 0xd730c818 @@ -146086,6 +182608,8 @@ 1 0 0 +4 +2 8 0xc227 0x714a0917 @@ -146094,6 +182618,8 @@ 0 0 0 +4 +2 9 0x83e4 0x53646ca7 @@ -146102,6 +182628,8 @@ 1 1 0 +2 +4 7 0xacfc 0x239585f0 @@ -146110,6 +182638,8 @@ 0 0 0 +0 +4 5 0x7bc8 0xd8e42340 @@ -146118,6 +182648,8 @@ 0 0 0 +0 +3 4 0xe4b3 0xf71e8580 @@ -146126,6 +182658,8 @@ 1 0 0 +1 +5 2 0x3337 0x958fec24 @@ -146134,6 +182668,8 @@ 0 0 0 +5 +0 9 0x5194 0x8544fe81 @@ -146142,6 +182678,8 @@ 0 0 0 +0 +2 1 0xabb0 0x9d7f6358 @@ -146150,6 +182688,8 @@ 0 0 0 +0 +4 3 0xc4e0 0x3a53ed8e @@ -146158,6 +182698,8 @@ 0 0 0 +4 +0 6 0x2527 0x2d32a0de @@ -146166,6 +182708,8 @@ 1 0 0 +0 +0 6 0x6413 0x9fa42631 @@ -146174,6 +182718,8 @@ 0 0 0 +3 +4 2 0x4432 0x76df1f43 @@ -146182,6 +182728,8 @@ 1 0 0 +2 +1 3 0x6440 0xefc65adb @@ -146190,6 +182738,8 @@ 0 0 0 +1 +1 4 0x410e 0xd864a813 @@ -146198,6 +182748,8 @@ 0 0 0 +4 +5 1 0xda51 0x46e92c0a @@ -146206,6 +182758,8 @@ 1 1 0 +2 +4 9 0x7acb 0xb2ee3534 @@ -146214,6 +182768,8 @@ 1 0 0 +5 +5 4 0x917 0x51e16c76 @@ -146223,6 +182779,8 @@ 0 0 2 +2 +2 0x1820 0x1a9f3e8c 256 @@ -146230,6 +182788,8 @@ 1 0 0 +1 +5 6 0xe805 0xd5dbd5bf @@ -146238,6 +182798,8 @@ 0 0 0 +5 +4 3 0x45b 0x87bc5268 @@ -146246,6 +182808,8 @@ 1 1 0 +0 +4 2 0x8eb9 0x63f72de0 @@ -146254,6 +182818,8 @@ 0 0 0 +4 +1 1 0xee2c 0x9093dce3 @@ -146262,6 +182828,8 @@ 1 1 0 +0 +4 9 0xd25 0x644b288a @@ -146271,6 +182839,8 @@ 0 0 2 +4 +2 0xb1bb 0x450f94d6 256 @@ -146278,6 +182848,8 @@ 0 0 0 +1 +5 2 0x934f 0x864ca021 @@ -146286,6 +182858,8 @@ 0 0 0 +4 +5 10 0xadd0 0x454ac806 @@ -146294,6 +182868,8 @@ 0 0 0 +4 +0 2 0x1f66 0x32536ec7 @@ -146302,6 +182878,8 @@ 1 0 0 +3 +2 5 0x27fc 0xb1b922fa @@ -146310,6 +182888,8 @@ 1 1 0 +3 +3 6 0x3de8 0xbac8693 @@ -146318,6 +182898,8 @@ 0 0 0 +2 +1 9 0xc167 0x24fc6825 @@ -146326,6 +182908,8 @@ 0 0 0 +5 +5 10 0xee48 0xde2b014d @@ -146334,6 +182918,8 @@ 1 0 0 +0 +2 10 0x89ac 0xa242b048 @@ -146342,6 +182928,8 @@ 1 0 0 +0 +3 4 0xa9c9 0x4f1e3eb6 @@ -146350,6 +182938,8 @@ 0 0 0 +4 +5 5 0xcc11 0x607604fe @@ -146358,6 +182948,8 @@ 0 0 0 +3 +4 2 0x74e0 0x4e0fb874 @@ -146366,6 +182958,8 @@ 0 0 0 +3 +1 5 0x7d81 0x86a3f440 @@ -146374,6 +182968,8 @@ 1 0 0 +3 +5 9 0x1ff8 0xb890537c @@ -146382,6 +182978,8 @@ 1 0 0 +3 +4 6 0xa2c2 0x978ac811 @@ -146390,6 +182988,8 @@ 0 0 0 +1 +1 2 0x5832 0x6bdbfe9a @@ -146399,6 +182999,8 @@ 0 0 5 +2 +5 0xb9a6 0x5cf07997 256 @@ -146406,6 +183008,8 @@ 0 0 0 +5 +4 2 0xdcf9 0xab860341 @@ -146414,6 +183018,8 @@ 0 0 0 +0 +5 9 0x13c 0xdfd6b6d6 @@ -146422,6 +183028,8 @@ 1 0 0 +1 +1 5 0x2fda 0xbde09cdc @@ -146430,6 +183038,8 @@ 1 0 0 +3 +0 7 0x8970 0x1420c672 @@ -146438,6 +183048,8 @@ 0 0 0 +1 +5 4 0x5b4b 0x3122a55f @@ -146446,6 +183058,8 @@ 0 0 0 +5 +4 2 0xa28f 0x5f690f45 @@ -146454,6 +183068,8 @@ 0 0 0 +2 +0 6 0xd486 0x50b23d04 @@ -146462,6 +183078,8 @@ 1 0 0 +2 +3 8 0x554e 0x40277a2a @@ -146470,6 +183088,8 @@ 1 0 0 +0 +5 2 0xfbda 0xe47a41cf @@ -146478,6 +183098,8 @@ 0 0 0 +4 +2 10 0xa04d 0x96b1b624 @@ -146486,6 +183108,8 @@ 1 0 0 +5 +4 1 0xfb85 0x1a2509b @@ -146494,6 +183118,8 @@ 0 0 0 +4 +0 2 0xb90e 0xd4b30809 @@ -146502,6 +183128,8 @@ 0 0 0 +4 +0 9 0xecaf 0x4d16869e @@ -146510,6 +183138,8 @@ 1 0 0 +4 +1 2 0xe385 0xc7a52551 @@ -146518,6 +183148,8 @@ 1 1 0 +2 +1 10 0xb847 0x7f1e2e6c @@ -146526,6 +183158,8 @@ 1 1 0 +3 +0 2 0xe71 0x3bd6a85a @@ -146534,6 +183168,8 @@ 1 1 0 +4 +5 2 0x7663 0xc47b78a4 @@ -146542,6 +183178,8 @@ 0 0 0 +0 +3 5 0x5225 0x376ac11f @@ -146550,6 +183188,8 @@ 0 0 0 +4 +1 9 0x4dba 0x60c2a6d5 @@ -146558,6 +183198,8 @@ 1 1 0 +1 +4 7 0xe4d5 0x3e6edecc @@ -146567,6 +183209,8 @@ 0 0 1 +3 +1 0x9b24 0x91d7b4cf 256 @@ -146574,6 +183218,8 @@ 1 0 0 +0 +4 1 0x48eb 0xa886a3b3 @@ -146582,6 +183228,8 @@ 0 0 0 +2 +3 4 0x9cc7 0x53db6455 @@ -146590,6 +183238,8 @@ 1 0 0 +3 +0 6 0xfe7 0xa6a80ae3 @@ -146598,6 +183248,8 @@ 1 1 0 +4 +3 1 0x1b64 0xd01532ff @@ -146606,6 +183258,8 @@ 1 1 0 +4 +2 2 0x5780 0xae1410ff @@ -146614,6 +183268,8 @@ 1 1 0 +3 +2 10 0x9943 0xa85f5711 @@ -146622,6 +183278,8 @@ 1 1 0 +2 +4 6 0x2a05 0xc12c7371 @@ -146630,6 +183288,8 @@ 0 0 0 +4 +4 3 0x12c4 0xd3683e1b @@ -146639,6 +183299,8 @@ 1 0 5 +1 +5 0xa16e 0x9b6ea00b 256 @@ -146646,6 +183308,8 @@ 1 0 0 +0 +4 3 0x4ec8 0x7649db3d @@ -146654,6 +183318,8 @@ 1 0 0 +0 +3 9 0x3509 0x7915d4ed @@ -146662,6 +183328,8 @@ 0 0 0 +0 +4 8 0xa28d 0xe0177de9 @@ -146670,6 +183338,8 @@ 0 0 0 +4 +3 7 0x579c 0x9b171c1d @@ -146678,6 +183348,8 @@ 0 0 0 +1 +1 10 0x584d 0x3bb2bab1 @@ -146686,6 +183358,8 @@ 1 1 0 +0 +0 2 0x1926 0x48a4e3a3 @@ -146694,6 +183368,8 @@ 1 1 0 +3 +0 6 0xb71e 0x82f74cdf @@ -146702,6 +183378,8 @@ 0 0 0 +4 +2 6 0x8009 0x6b39012e @@ -146710,6 +183388,8 @@ 1 1 0 +3 +4 8 0x2425 0x9b5688ee @@ -146718,6 +183398,8 @@ 0 0 0 +0 +0 10 0xe94c 0x36fbcad2 @@ -146726,6 +183408,8 @@ 1 0 0 +4 +5 8 0x16bf 0xbd364d1b @@ -146734,6 +183418,8 @@ 0 0 0 +1 +2 3 0x40a2 0x8ab987e5 @@ -146742,6 +183428,8 @@ 1 0 0 +5 +3 1 0xedff 0x9ea7b957 @@ -146750,6 +183438,8 @@ 0 0 0 +3 +3 6 0x6aaa 0x87b6f514 @@ -146758,6 +183448,8 @@ 0 0 0 +1 +2 4 0x2f9c 0x152c4678 @@ -146766,6 +183458,8 @@ 1 1 0 +4 +1 2 0x8634 0x41999112 @@ -146775,6 +183469,8 @@ 0 0 2 +4 +2 0xc7a2 0xc5bd8db5 256 @@ -146782,6 +183478,8 @@ 0 0 0 +2 +2 5 0xbeda 0xf8cb3d09 @@ -146790,6 +183488,8 @@ 0 0 0 +4 +2 1 0x18bc 0xe0086d6a @@ -146798,6 +183498,8 @@ 1 1 0 +2 +0 5 0x1f8f 0x2d05e089 @@ -146806,6 +183508,8 @@ 0 0 0 +2 +3 9 0xf72e 0x3c85e890 @@ -146814,6 +183518,8 @@ 0 0 0 +0 +5 3 0xb705 0x215fc400 @@ -146822,6 +183528,8 @@ 0 0 0 +0 +3 9 0x6779 0x33c08b01 @@ -146830,6 +183538,8 @@ 0 0 0 +4 +2 10 0x19d8 0x7e8cf6c9 @@ -146838,6 +183548,8 @@ 0 0 0 +0 +5 1 0x44a7 0xb5854f49 @@ -146846,6 +183558,8 @@ 1 1 0 +4 +4 9 0x2219 0x613f2994 @@ -146854,6 +183568,8 @@ 0 0 0 +3 +1 8 0x72ef 0x4a229d92 @@ -146862,6 +183578,8 @@ 1 0 0 +4 +2 2 0xd400 0x33518a91 @@ -146870,6 +183588,8 @@ 1 0 0 +3 +1 1 0xc569 0x837f394a @@ -146878,6 +183598,8 @@ 0 0 0 +2 +5 10 0x3026 0x4fbc1719 @@ -146886,6 +183608,8 @@ 0 0 0 +4 +1 1 0x7106 0xa31def4d @@ -146894,6 +183618,8 @@ 0 0 0 +3 +3 4 0x9769 0x3b5f43c0 @@ -146902,6 +183628,8 @@ 1 0 0 +3 +0 4 0xde43 0x1e1a3f4d @@ -146910,6 +183638,8 @@ 1 1 0 +2 +2 6 0x76d2 0xc3074e71 @@ -146918,6 +183648,8 @@ 1 0 0 +4 +0 9 0x79de 0xac52021b @@ -146926,6 +183658,8 @@ 1 0 0 +3 +4 4 0x992 0x1c11646a @@ -146934,6 +183668,8 @@ 0 0 0 +1 +3 4 0x5c41 0xfe8707d4 @@ -146942,6 +183678,8 @@ 0 0 0 +2 +0 8 0x1a7c 0x2694ff7f @@ -146950,6 +183688,8 @@ 1 0 0 +5 +3 10 0x59a5 0x2f4a5fab @@ -146958,6 +183698,8 @@ 1 1 0 +1 +2 7 0x4b6a 0xad9c3a12 @@ -146966,6 +183708,8 @@ 0 0 0 +0 +2 6 0xa141 0xf866465a @@ -146974,6 +183718,8 @@ 1 0 0 +0 +2 7 0xb8fd 0x9668a1eb @@ -146982,6 +183728,8 @@ 0 0 0 +3 +5 7 0x6ae4 0x50e954c7 @@ -146990,6 +183738,8 @@ 1 0 0 +3 +1 6 0x364d 0xc6c96721 @@ -146998,6 +183748,8 @@ 1 0 0 +5 +1 3 0xdbde 0x8e7f7946 @@ -147006,6 +183758,8 @@ 1 0 0 +1 +4 5 0x1c34 0x64cc4d95 @@ -147015,6 +183769,8 @@ 0 0 5 +1 +5 0x5599 0xf5d773d 256 @@ -147022,6 +183778,8 @@ 0 0 0 +5 +0 10 0xb347 0x733e25 @@ -147030,6 +183788,8 @@ 1 1 0 +4 +4 9 0xb27 0x8a5bf594 @@ -147038,6 +183798,8 @@ 1 0 0 +4 +1 5 0x8971 0xc980639f @@ -147046,6 +183808,8 @@ 1 1 0 +0 +5 2 0x2faf 0x485693ec @@ -147055,6 +183819,8 @@ 0 0 4 +1 +4 0xa460 0x27956924 256 @@ -147062,6 +183828,8 @@ 1 0 0 +2 +0 8 0x5019 0xb1754954 @@ -147070,6 +183838,8 @@ 0 0 0 +5 +1 6 0xf8a6 0xd04562d0 @@ -147078,6 +183848,8 @@ 0 0 0 +1 +4 7 0x52bf 0x8d188776 @@ -147087,6 +183859,8 @@ 0 0 3 +4 +3 0x3481 0x85868949 256 @@ -147094,6 +183868,8 @@ 0 0 0 +3 +2 2 0x7da1 0x7b6ae1a9 @@ -147102,6 +183878,8 @@ 1 1 0 +0 +0 10 0xe3d9 0x52c22793 @@ -147110,6 +183888,8 @@ 0 0 0 +5 +1 3 0xacb0 0xd0307466 @@ -147118,6 +183898,8 @@ 1 0 0 +0 +5 3 0xb3f6 0x71d2c050 @@ -147126,6 +183908,8 @@ 0 0 0 +2 +3 5 0xd5f7 0x706e9259 @@ -147134,6 +183918,8 @@ 1 1 0 +5 +0 9 0x711a 0xc6df876a @@ -147142,6 +183928,8 @@ 1 0 0 +3 +1 4 0xa439 0xae4e86d7 @@ -147150,6 +183938,8 @@ 0 0 0 +0 +1 7 0x3818 0x2a7b1f6e @@ -147158,6 +183948,8 @@ 0 0 0 +3 +4 1 0x9256 0xc92c1460 @@ -147166,6 +183958,8 @@ 1 0 0 +4 +0 7 0xa28e 0x7433fe9d @@ -147174,6 +183968,8 @@ 1 1 0 +5 +5 3 0x48f8 0x6d335077 @@ -147182,6 +183978,8 @@ 1 0 0 +2 +3 3 0x153d 0xf117cb3b @@ -147190,6 +183988,8 @@ 0 0 0 +0 +5 8 0xdf89 0xffe2d142 @@ -147198,6 +183998,8 @@ 0 0 0 +5 +4 10 0xfff0 0x73341ad0 @@ -147206,6 +184008,8 @@ 1 0 0 +0 +3 2 0x8a16 0xffed01c3 @@ -147214,6 +184018,8 @@ 0 0 0 +2 +1 7 0xfe78 0x47fa4ccd @@ -147222,6 +184028,8 @@ 0 0 0 +5 +2 4 0x4f69 0xd3ecaf50 @@ -147231,6 +184039,8 @@ 0 0 1 +0 +1 0x8180 0x31256608 256 @@ -147238,6 +184048,8 @@ 1 0 0 +1 +5 5 0xb51c 0x258f3906 @@ -147246,6 +184058,8 @@ 0 0 0 +3 +2 8 0x815 0xed1ba1da @@ -147254,6 +184068,8 @@ 1 0 0 +2 +0 10 0xa226 0x7f4cc73 @@ -147262,6 +184078,8 @@ 1 1 0 +5 +4 3 0xe310 0x7d18511f @@ -147270,6 +184088,8 @@ 1 0 0 +0 +1 2 0x8b55 0xc7443ff3 @@ -147278,6 +184098,8 @@ 1 0 0 +1 +4 3 0x96f5 0xa26b0b9c @@ -147286,6 +184108,8 @@ 1 0 0 +0 +4 6 0xb583 0x898c4c51 @@ -147294,6 +184118,8 @@ 0 0 0 +5 +0 10 0xf63a 0xaf9a6daa @@ -147302,6 +184128,8 @@ 0 0 0 +3 +3 1 0xb9bc 0xf4322a59 @@ -147310,6 +184138,8 @@ 0 0 0 +4 +1 10 0xf863 0xda5f2ea6 @@ -147318,6 +184148,8 @@ 1 0 0 +5 +1 1 0xbf39 0x30434953 @@ -147326,6 +184158,8 @@ 1 1 0 +0 +5 8 0x89a5 0xd5e6994 @@ -147334,6 +184168,8 @@ 0 0 0 +1 +2 10 0x8ecc 0x1e04d980 @@ -147342,6 +184178,8 @@ 0 0 0 +0 +1 6 0x5f28 0x37dd288c @@ -147350,6 +184188,8 @@ 1 1 0 +1 +5 9 0xe480 0x798e5042 @@ -147358,6 +184198,8 @@ 1 1 0 +0 +1 3 0xdd82 0x1ff7499 @@ -147366,6 +184208,8 @@ 0 0 0 +5 +2 6 0xd375 0x6c3b888f @@ -147374,6 +184218,8 @@ 0 0 0 +1 +2 2 0x3fe9 0xc2141716 @@ -147382,6 +184228,8 @@ 1 1 0 +0 +4 10 0x7151 0xf4fdac97 @@ -147390,6 +184238,8 @@ 1 1 0 +1 +0 3 0x89ad 0xee0dab58 @@ -147398,6 +184248,8 @@ 0 0 0 +1 +5 6 0x70f1 0x38bcfcd6 @@ -147406,6 +184258,8 @@ 0 0 0 +2 +0 6 0x2c4 0x350ca674 @@ -147414,6 +184268,8 @@ 0 0 0 +1 +2 4 0xd10a 0xfa7d840a @@ -147422,6 +184278,8 @@ 1 0 0 +4 +0 6 0x20a1 0x85c65aa1 @@ -147430,6 +184288,8 @@ 1 1 0 +1 +4 5 0x2505 0x45199152 @@ -147438,6 +184298,8 @@ 0 0 0 +1 +2 7 0xc51b 0x134cbc12 @@ -147446,6 +184308,8 @@ 1 0 0 +5 +4 3 0x474c 0x900f7d24 @@ -147454,6 +184318,8 @@ 0 0 0 +1 +1 7 0x45b0 0x4b69eec7 @@ -147462,6 +184328,8 @@ 0 0 0 +5 +3 6 0xa1dc 0xfb6eaa96 @@ -147470,6 +184338,8 @@ 0 0 0 +2 +2 4 0x1489 0x57eb734b @@ -147478,6 +184348,8 @@ 1 0 0 +2 +3 8 0xf340 0xac4bcbd5 @@ -147486,6 +184358,8 @@ 1 0 0 +3 +2 9 0xe7e1 0x21ad0219 @@ -147494,6 +184368,8 @@ 0 0 0 +5 +5 2 0xe080 0xdd1b6bae @@ -147502,6 +184378,8 @@ 0 0 0 +4 +2 8 0xb311 0x3d61d9f2 @@ -147510,6 +184388,8 @@ 1 0 0 +0 +5 10 0x461d 0xc3dc43a @@ -147518,6 +184398,8 @@ 0 0 0 +0 +5 6 0xe26a 0xc6f328aa @@ -147526,6 +184408,8 @@ 1 1 0 +2 +2 9 0x7ff3 0xcd40bac5 @@ -147534,6 +184418,8 @@ 1 1 0 +5 +0 2 0x60fc 0x9e78bdcd @@ -147542,6 +184428,8 @@ 0 0 0 +3 +3 10 0x3f02 0x82fceed7 @@ -147550,6 +184438,8 @@ 1 1 0 +5 +2 7 0xa183 0xab1b149d @@ -147558,6 +184448,8 @@ 0 0 0 +0 +3 4 0xad09 0xde2a3f5e @@ -147566,6 +184458,8 @@ 0 0 0 +2 +2 8 0x3d10 0x6cf334c0 @@ -147574,6 +184468,8 @@ 0 0 0 +5 +5 6 0x2293 0xfb2df7ae @@ -147582,6 +184478,8 @@ 1 0 0 +3 +3 9 0x5617 0x8b85cd4d @@ -147590,6 +184488,8 @@ 1 0 0 +1 +1 5 0xea51 0xf70f1ba9 @@ -147598,6 +184498,8 @@ 0 0 0 +5 +3 6 0xb144 0x8509ea2e @@ -147606,6 +184508,8 @@ 1 0 0 +2 +3 5 0xe292 0x581f1edb @@ -147614,6 +184518,8 @@ 1 1 0 +5 +3 7 0xaf6b 0xf9321140 @@ -147622,6 +184528,8 @@ 0 0 0 +5 +5 7 0x744f 0xc1cc54f8 @@ -147630,6 +184538,8 @@ 0 0 0 +4 +3 7 0x7d3f 0x334c9ea0 @@ -147638,6 +184548,8 @@ 1 1 0 +0 +5 4 0x5495 0x14e6539d @@ -147646,6 +184558,8 @@ 0 0 0 +5 +0 10 0x1950 0xbf5d3ba3 @@ -147654,6 +184568,8 @@ 1 1 0 +4 +3 1 0xe00b 0x6332e394 @@ -147662,6 +184578,8 @@ 0 0 0 +0 +5 4 0x22a8 0x417910e @@ -147671,6 +184589,8 @@ 0 0 4 +0 +4 0xbef2 0xf8c5c73d 256 @@ -147678,6 +184598,8 @@ 1 0 0 +1 +5 3 0x9db1 0xd450204d @@ -147686,6 +184608,8 @@ 1 0 0 +0 +1 8 0x6a2d 0x177ab99a @@ -147694,6 +184618,8 @@ 1 0 0 +2 +4 10 0x38ab 0xaf265208 @@ -147702,6 +184628,8 @@ 0 0 0 +0 +3 2 0xbd60 0x19f345b1 @@ -147710,6 +184638,8 @@ 1 1 0 +2 +2 9 0x1cf3 0xad87ad71 @@ -147718,6 +184648,8 @@ 1 0 0 +0 +2 8 0x94d 0xac345ee5 @@ -147726,6 +184658,8 @@ 1 1 0 +4 +3 10 0x33d9 0x58e004d9 @@ -147734,6 +184668,8 @@ 1 1 0 +1 +5 7 0xc540 0xcb174e18 @@ -147742,6 +184678,8 @@ 0 0 0 +0 +4 1 0x2890 0xb935d8f4 @@ -147750,6 +184688,8 @@ 1 1 0 +0 +2 6 0x9333 0xeafef579 @@ -147758,6 +184698,8 @@ 1 0 0 +5 +3 10 0x544b 0xf4f92083 @@ -147766,6 +184708,8 @@ 1 1 0 +1 +5 6 0x38f1 0x7b6ce9e3 @@ -147774,6 +184718,8 @@ 1 0 0 +1 +3 4 0xac6c 0x9bd4377d @@ -147783,6 +184729,8 @@ 1 0 5 +4 +5 0xb038 0xc5c42481 256 @@ -147790,6 +184738,8 @@ 0 0 0 +1 +4 6 0xbe36 0xa45cce40 @@ -147799,6 +184749,8 @@ 0 0 2 +1 +2 0x7196 0x4a49b017 256 @@ -147806,6 +184758,8 @@ 0 0 0 +4 +0 1 0x4a6c 0x2d2f2bfc @@ -147814,6 +184768,8 @@ 1 1 0 +3 +5 7 0x8e26 0x6337d51e @@ -147822,6 +184778,8 @@ 0 0 0 +3 +0 7 0xf6e7 0x579b68c7 @@ -147830,6 +184788,8 @@ 1 0 0 +1 +1 5 0x7bd5 0x743fcc0a @@ -147838,6 +184798,8 @@ 1 1 0 +4 +3 7 0x5a61 0xbefd92 @@ -147846,6 +184808,8 @@ 0 0 0 +3 +1 2 0x4c37 0x3a80dcb4 @@ -147854,6 +184818,8 @@ 1 0 0 +5 +3 3 0x914e 0x82366ba1 @@ -147862,6 +184828,8 @@ 1 0 0 +3 +4 8 0xda8 0x26869e7 @@ -147870,6 +184838,8 @@ 0 0 0 +2 +0 8 0xe38d 0xf0e0b5f @@ -147878,6 +184848,8 @@ 1 0 0 +2 +0 6 0x786a 0x4f8ffd8e @@ -147886,6 +184858,8 @@ 0 0 0 +1 +3 2 0xd0dc 0x9a09811b @@ -147894,6 +184868,8 @@ 1 0 0 +4 +4 3 0x7dbc 0x8d85cc87 @@ -147902,6 +184878,8 @@ 1 0 0 +1 +1 7 0xe5cc 0xf969cfe9 @@ -147910,6 +184888,8 @@ 1 0 0 +5 +2 6 0x8e0f 0x896471a0 @@ -147918,6 +184898,8 @@ 0 0 0 +4 +0 6 0x9ff5 0x1eb4bc2b @@ -147926,6 +184908,8 @@ 1 1 0 +1 +4 3 0x15da 0x2217826f @@ -147934,6 +184918,8 @@ 0 0 0 +0 +3 10 0xa0bf 0xdd19291d @@ -147942,6 +184928,8 @@ 0 0 0 +0 +2 3 0x7b49 0x872f05b9 @@ -147950,6 +184938,8 @@ 0 0 0 +1 +1 9 0x32ee 0xac5ca406 @@ -147958,6 +184948,8 @@ 1 1 0 +1 +5 5 0x6f55 0x7ee9ae0d @@ -147966,6 +184958,8 @@ 0 0 0 +0 +1 5 0x70e1 0xcc4dde03 @@ -147974,6 +184968,8 @@ 1 0 0 +0 +1 2 0xc953 0x92461949 @@ -147982,6 +184978,8 @@ 0 0 0 +0 +0 2 0xaaed 0xc3a1ad27 @@ -147990,6 +184988,8 @@ 1 0 0 +3 +1 5 0x49d5 0x14c2f1c @@ -147999,6 +184999,8 @@ 0 0 4 +2 +4 0x67ee 0xebdebc3d 256 @@ -148006,6 +185008,8 @@ 1 0 0 +2 +4 8 0x3263 0x3e34faad @@ -148014,6 +185018,8 @@ 1 1 0 +2 +2 1 0x6b82 0xaa2e7725 @@ -148022,6 +185028,8 @@ 1 0 0 +2 +4 5 0xaa0d 0xc6a0c617 @@ -148030,6 +185038,8 @@ 0 0 0 +5 +5 2 0xf098 0x311c7899 @@ -148038,6 +185048,8 @@ 0 0 0 +4 +3 6 0xcf60 0x75951f7a @@ -148047,6 +185059,8 @@ 0 0 5 +0 +5 0xa0e3 0x6f8fb7af 256 @@ -148054,6 +185068,8 @@ 1 0 0 +2 +1 4 0x89a7 0x6b5fdf0f @@ -148063,6 +185079,8 @@ 0 0 5 +3 +5 0x5008 0x625f8e85 256 @@ -148070,6 +185088,8 @@ 0 0 0 +4 +3 3 0xb07f 0x5612ef11 @@ -148078,6 +185098,8 @@ 0 0 0 +2 +4 4 0x919c 0xf6e54c90 @@ -148087,6 +185109,8 @@ 1 0 4 +5 +4 0x2d83 0x7e0d22b5 256 @@ -148094,6 +185118,8 @@ 0 0 0 +2 +5 1 0x1e8a 0xa17a106 @@ -148102,6 +185128,8 @@ 0 0 0 +1 +0 9 0x61b4 0xd1d49822 @@ -148110,6 +185138,8 @@ 1 0 0 +3 +4 1 0x8bc9 0xa167323f @@ -148118,6 +185148,8 @@ 0 0 0 +0 +5 1 0x6f2f 0x6a17bf00 @@ -148126,6 +185158,8 @@ 0 0 0 +1 +0 8 0xe52f 0x20a51eab @@ -148134,6 +185168,8 @@ 1 0 0 +4 +2 5 0x25c2 0x97014396 @@ -148142,6 +185178,8 @@ 1 1 0 +2 +1 9 0x4763 0xc60e1206 @@ -148150,6 +185188,8 @@ 1 1 0 +0 +0 7 0xc78 0x586a057f @@ -148158,6 +185198,8 @@ 1 1 0 +1 +3 6 0xe835 0x7d791134 @@ -148166,6 +185208,8 @@ 0 0 0 +2 +1 9 0x5118 0xa2fbb7d5 @@ -148175,6 +185219,8 @@ 0 0 2 +1 +2 0xc2be 0xb24c6b6a 256 @@ -148182,6 +185228,8 @@ 0 0 0 +0 +5 9 0xf963 0x6628331a @@ -148191,6 +185239,8 @@ 0 0 5 +1 +5 0x1471 0xddc10ab1 256 @@ -148198,6 +185248,8 @@ 1 0 0 +1 +1 6 0xc299 0x2420b275 @@ -148206,6 +185258,8 @@ 1 0 0 +0 +2 6 0x5ce6 0x619c6885 @@ -148214,6 +185268,8 @@ 0 0 0 +1 +5 5 0x9304 0x337873b5 @@ -148222,6 +185278,8 @@ 0 0 0 +3 +1 7 0x3cb8 0xb87420c7 @@ -148230,6 +185288,8 @@ 1 0 0 +1 +4 4 0x7484 0x4b3d70fb @@ -148238,6 +185298,8 @@ 0 0 0 +3 +3 5 0xb25a 0xa4f79896 @@ -148246,6 +185308,8 @@ 1 0 0 +5 +3 2 0xd564 0xa601173 @@ -148254,6 +185318,8 @@ 1 1 0 +3 +3 7 0xd0aa 0x3f467a4a @@ -148262,6 +185328,8 @@ 1 0 0 +1 +4 7 0x216b 0xd1e6e855 @@ -148270,6 +185338,8 @@ 0 0 0 +2 +0 8 0xd131 0x31efed88 @@ -148278,6 +185348,8 @@ 0 0 0 +5 +5 2 0x1006 0x89b2f931 @@ -148286,6 +185358,8 @@ 1 1 0 +3 +2 7 0xd6b 0xab701fcb @@ -148294,6 +185368,8 @@ 0 0 0 +3 +0 2 0x596c 0x949b6c4 @@ -148302,6 +185378,8 @@ 0 0 0 +4 +4 3 0x4db 0x1a5a8ace @@ -148310,6 +185388,8 @@ 1 0 0 +4 +3 9 0x2042 0xa8df9b06 @@ -148318,6 +185398,8 @@ 1 1 0 +0 +1 6 0x5cd3 0x6832b9d1 @@ -148326,6 +185408,8 @@ 0 0 0 +1 +4 3 0xd3d8 0xa059eaee @@ -148334,6 +185418,8 @@ 1 1 0 +5 +5 2 0x9773 0x75d92209 @@ -148342,6 +185428,8 @@ 1 1 0 +5 +2 4 0x65fa 0x5d5c020c @@ -148350,6 +185438,8 @@ 0 0 0 +0 +2 5 0x6756 0xb99716c5 @@ -148358,6 +185448,8 @@ 0 0 0 +1 +4 9 0x4d02 0x4dce8105 @@ -148366,6 +185458,8 @@ 1 1 0 +3 +0 1 0x9545 0xdeb0ff0e @@ -148374,6 +185468,8 @@ 0 0 0 +3 +3 10 0xdf1b 0x5d31fcd8 @@ -148382,6 +185478,8 @@ 1 0 0 +2 +5 1 0xd613 0xd6003ca1 @@ -148390,6 +185488,8 @@ 0 0 0 +3 +4 9 0x6bd1 0x703c6872 @@ -148398,6 +185498,8 @@ 0 0 0 +4 +2 10 0xfe51 0xf560daa4 @@ -148406,6 +185508,8 @@ 1 1 0 +3 +2 10 0x4049 0x76fce45d @@ -148414,6 +185518,8 @@ 1 0 0 +3 +1 1 0x26d4 0x3280461b @@ -148422,6 +185528,8 @@ 1 1 0 +0 +3 1 0x1d16 0x636b2b44 @@ -148430,6 +185538,8 @@ 0 0 0 +0 +2 6 0x3e31 0xaa7d7b3c @@ -148438,6 +185548,8 @@ 0 0 0 +1 +2 2 0x782a 0x17a3ee68 @@ -148446,6 +185558,8 @@ 0 0 0 +3 +4 7 0x9f99 0x5d347370 @@ -148455,6 +185569,8 @@ 0 0 4 +4 +4 0xd5ae 0x68814486 256 @@ -148462,6 +185578,8 @@ 1 0 0 +2 +5 5 0x7c1b 0x35fbf38d @@ -148470,6 +185588,8 @@ 0 0 0 +1 +0 7 0x9983 0x1749dfca @@ -148478,6 +185598,8 @@ 0 0 0 +4 +5 1 0x20b2 0x5610693e @@ -148486,6 +185608,8 @@ 0 0 0 +2 +4 1 0xda45 0x81684bb0 @@ -148494,6 +185618,8 @@ 1 0 0 +5 +3 6 0xa0b 0x7b5b4934 @@ -148502,6 +185628,8 @@ 0 0 0 +0 +4 2 0xd97 0xb7450288 @@ -148510,6 +185638,8 @@ 1 1 0 +2 +2 9 0xb273 0x4fe069fe @@ -148518,6 +185648,8 @@ 1 0 0 +2 +1 9 0x401f 0x9b114afe @@ -148526,6 +185658,8 @@ 1 1 0 +2 +5 10 0x11ff 0x13a3abb2 @@ -148534,6 +185668,8 @@ 1 0 0 +4 +3 1 0x7ab3 0x641f37fa @@ -148542,6 +185678,8 @@ 0 0 0 +2 +3 10 0x8310 0xfc725a46 @@ -148551,6 +185689,8 @@ 0 0 5 +1 +5 0x5b8f 0x177b1643 256 @@ -148558,6 +185698,8 @@ 0 0 0 +1 +4 2 0xa883 0x4f4255b6 @@ -148566,6 +185708,8 @@ 1 0 0 +0 +5 10 0x9633 0xf3c009d3 @@ -148574,6 +185718,8 @@ 0 0 0 +1 +1 4 0xc3cb 0x608b26e8 @@ -148582,6 +185728,8 @@ 0 0 0 +2 +0 6 0xf868 0xcfaa608 @@ -148591,6 +185739,8 @@ 1 0 3 +4 +3 0xe4b8 0x7f3a7c3b 256 @@ -148598,6 +185748,8 @@ 0 0 0 +0 +4 5 0x3609 0x37525277 @@ -148606,6 +185758,8 @@ 0 0 0 +0 +4 8 0x43bb 0x1c9b32d1 @@ -148614,6 +185768,8 @@ 0 0 0 +5 +5 10 0x9ee2 0xffb13c10 @@ -148622,6 +185778,8 @@ 0 0 0 +0 +2 5 0x37ce 0x74142651 @@ -148630,6 +185788,8 @@ 1 0 0 +5 +5 10 0xe917 0x956703c7 @@ -148638,6 +185798,8 @@ 0 0 0 +3 +3 2 0xb187 0xb332f700 @@ -148646,6 +185808,8 @@ 1 0 0 +4 +5 10 0xfb2a 0x78e654c @@ -148654,6 +185818,8 @@ 1 1 0 +0 +1 4 0x18ee 0x3e08be1f @@ -148662,6 +185828,8 @@ 1 0 0 +4 +1 6 0x41d1 0x98eeb41 @@ -148670,6 +185838,8 @@ 1 1 0 +3 +5 4 0xb682 0x6175e9f2 @@ -148678,6 +185848,8 @@ 1 0 0 +3 +5 5 0x7abb 0x6762a3 @@ -148687,6 +185859,8 @@ 0 0 2 +3 +2 0x992e 0x79abda62 256 @@ -148694,6 +185868,8 @@ 0 0 0 +5 +1 3 0xb2af 0xa6f62716 @@ -148702,6 +185878,8 @@ 1 0 0 +3 +5 10 0x3879 0x64f22deb @@ -148710,6 +185888,8 @@ 0 0 0 +1 +1 5 0x8865 0x9ed195d9 @@ -148718,6 +185898,8 @@ 1 1 0 +0 +4 8 0x65bd 0x875cabf7 @@ -148726,6 +185908,8 @@ 1 0 0 +0 +2 10 0x3383 0x2bdff1be @@ -148734,6 +185918,8 @@ 0 0 0 +3 +0 2 0x1579 0xf018a278 @@ -148742,6 +185928,8 @@ 1 1 0 +0 +5 10 0x278f 0xe0848941 @@ -148750,6 +185938,8 @@ 0 0 0 +0 +2 4 0x5904 0x6c62f2bd @@ -148758,6 +185948,8 @@ 0 0 0 +4 +2 7 0x1292 0xc312bd33 @@ -148766,6 +185958,8 @@ 0 0 0 +1 +5 7 0x9af7 0x499f82aa @@ -148774,6 +185968,8 @@ 0 0 0 +1 +3 9 0xfeb 0x78f8a25a @@ -148782,6 +185978,8 @@ 1 1 0 +5 +5 4 0x4d9f 0x3cc38fcb @@ -148790,6 +185988,8 @@ 0 0 0 +4 +4 6 0x3a2f 0x965f7f4 @@ -148798,6 +185998,8 @@ 0 0 0 +0 +1 2 0xacb3 0xd87f6e12 @@ -148807,6 +186009,8 @@ 0 0 5 +3 +5 0x1439 0x6f6374e4 256 @@ -148814,6 +186018,8 @@ 0 0 0 +1 +4 6 0x30ba 0x896e7ee4 @@ -148822,6 +186028,8 @@ 0 0 0 +1 +2 10 0x4540 0x6cb66383 @@ -148830,6 +186038,8 @@ 0 0 0 +1 +4 10 0xa010 0xeb3a53f3 @@ -148838,6 +186048,8 @@ 1 0 0 +0 +5 9 0xeaff 0xdbacce24 @@ -148847,6 +186059,8 @@ 0 0 4 +3 +4 0x6817 0x717f26df 256 @@ -148854,6 +186068,8 @@ 0 0 0 +1 +0 10 0x6324 0x91705369 @@ -148862,6 +186078,8 @@ 0 0 0 +3 +2 4 0x5918 0xec9a574b @@ -148870,6 +186088,8 @@ 0 0 0 +4 +2 6 0xb6f9 0x7cb1afce @@ -148879,6 +186099,8 @@ 0 0 1 +5 +1 0x96ca 0xf1a046d8 256 @@ -148886,6 +186108,8 @@ 0 0 0 +5 +0 1 0xd244 0x3eda1c10 @@ -148894,6 +186118,8 @@ 0 0 0 +2 +0 10 0x9749 0x6f9e6c5 @@ -148902,6 +186128,8 @@ 0 0 0 +1 +2 4 0xfdb4 0x73db46a2 @@ -148910,6 +186138,8 @@ 1 0 0 +5 +5 10 0x9c41 0x4697f0dc @@ -148918,6 +186148,8 @@ 1 1 0 +3 +3 6 0x42e7 0xae14e7f9 @@ -148926,6 +186158,8 @@ 1 1 0 +1 +2 7 0xdd9c 0xecc6b12c @@ -148935,6 +186169,8 @@ 0 0 3 +4 +3 0x4dfd 0x630e20f3 256 @@ -148942,6 +186178,8 @@ 1 0 0 +4 +5 2 0xb2eb 0xbe9ddf5d @@ -148951,6 +186189,8 @@ 0 0 4 +2 +4 0x63cd 0xdd65b282 256 @@ -148958,6 +186198,8 @@ 1 0 0 +1 +3 9 0x5749 0x7780564d @@ -148966,6 +186208,8 @@ 1 1 0 +5 +4 9 0x857 0x8ec5033a @@ -148975,6 +186219,8 @@ 0 0 3 +2 +3 0x1f55 0x76f3faa1 256 @@ -148982,6 +186228,8 @@ 0 0 0 +1 +5 6 0x8a1a 0x3dc04f18 @@ -148990,6 +186238,8 @@ 1 1 0 +2 +1 10 0xc26d 0x6a05ec18 @@ -148998,6 +186248,8 @@ 1 1 0 +0 +1 9 0x5514 0x8ddf12d5 @@ -149006,6 +186258,8 @@ 0 0 0 +2 +4 1 0x9e24 0x67c68304 @@ -149014,6 +186268,8 @@ 1 1 0 +1 +5 6 0xaf2e 0x871f1b9a @@ -149022,6 +186278,8 @@ 1 1 0 +0 +4 3 0x4d8a 0x1f108b41 @@ -149030,6 +186288,8 @@ 1 1 0 +5 +3 10 0x9a19 0xc0d70a41 @@ -149038,6 +186298,8 @@ 0 0 0 +1 +4 10 0xf3ac 0xeb1339a2 @@ -149046,6 +186308,8 @@ 0 0 0 +2 +4 4 0x89ac 0x43cd9982 @@ -149054,6 +186318,8 @@ 1 1 0 +0 +2 2 0xeba8 0x7f9df989 @@ -149062,6 +186328,8 @@ 1 1 0 +2 +1 5 0x34e5 0x819d5b8f @@ -149071,6 +186339,8 @@ 0 0 2 +5 +2 0xecf5 0x2396489e 256 @@ -149078,6 +186348,8 @@ 0 0 0 +0 +3 7 0xb509 0x41536b2a @@ -149086,6 +186358,8 @@ 1 0 0 +0 +5 7 0xa74a 0xa87c4d3a @@ -149094,6 +186368,8 @@ 0 0 0 +0 +5 5 0xf637 0x45993ab0 @@ -149102,6 +186378,8 @@ 1 0 0 +2 +5 7 0x99fb 0x9d13b332 @@ -149111,6 +186389,8 @@ 0 0 3 +3 +3 0x31f 0x848e7c8f 256 @@ -149118,6 +186398,8 @@ 0 0 0 +5 +4 3 0x205d 0x7f36db1 @@ -149126,6 +186408,8 @@ 1 0 0 +5 +1 1 0x8ff7 0xbc881a9e @@ -149134,6 +186418,8 @@ 0 0 0 +3 +3 5 0xf495 0x4e9eb12c @@ -149143,6 +186429,8 @@ 0 0 3 +4 +3 0xa8dc 0xfbdd82d1 256 @@ -149151,6 +186439,8 @@ 0 0 4 +4 +4 0xdffc 0xab6ca18f 256 @@ -149158,6 +186448,8 @@ 1 1 0 +3 +0 4 0x7dd3 0x16b18ed2 @@ -149166,6 +186458,8 @@ 0 0 0 +2 +1 9 0x481b 0xdcc94906 @@ -149175,6 +186469,8 @@ 1 0 5 +5 +5 0x1a51 0x9588c404 256 @@ -149182,6 +186478,8 @@ 1 1 0 +1 +5 8 0xa191 0xe08d80a1 @@ -149190,6 +186488,8 @@ 0 0 0 +3 +3 1 0x89a0 0xada28106 @@ -149198,6 +186498,8 @@ 1 0 0 +5 +0 2 0xadd8 0x87d0e5bb @@ -149206,6 +186508,8 @@ 0 0 0 +3 +2 4 0x8637 0x4ced680e @@ -149214,6 +186518,8 @@ 0 0 0 +1 +5 9 0x1466 0x57056882 @@ -149222,6 +186528,8 @@ 0 0 0 +3 +2 7 0x327e 0x48c24195 @@ -149230,6 +186538,8 @@ 1 1 0 +4 +2 9 0xe4d1 0xe9f96bd2 @@ -149238,6 +186548,8 @@ 1 0 0 +0 +2 9 0xa783 0xee0773a5 @@ -149246,6 +186558,8 @@ 1 1 0 +4 +1 5 0x8214 0x505bc10f @@ -149254,6 +186568,8 @@ 0 0 0 +0 +2 8 0x7cfa 0x8315bf6e @@ -149262,6 +186578,8 @@ 0 0 0 +1 +4 2 0x4e5e 0x619e8aa9 @@ -149270,6 +186588,8 @@ 1 1 0 +0 +0 3 0x419a 0xd7a7e1ab @@ -149278,6 +186598,8 @@ 1 0 0 +2 +3 1 0xeb72 0x146f60b9 @@ -149286,6 +186608,8 @@ 0 0 0 +5 +5 8 0x127c 0xb987b9ce @@ -149294,6 +186618,8 @@ 0 0 0 +0 +4 4 0x50c5 0xb8941924 @@ -149302,6 +186628,8 @@ 0 0 0 +2 +1 10 0xa5e8 0x6c2f10f @@ -149310,6 +186638,8 @@ 0 0 0 +5 +0 10 0x1f3c 0xfcfcd37e @@ -149318,6 +186648,8 @@ 1 0 0 +3 +2 4 0x8f91 0x1812b99e @@ -149326,6 +186658,8 @@ 1 0 0 +4 +0 9 0x442d 0x1f2bf932 @@ -149334,6 +186668,8 @@ 0 0 0 +4 +2 3 0xbc9e 0x8d1ab216 @@ -149342,6 +186678,8 @@ 0 0 0 +4 +5 9 0xa906 0x73455da2 @@ -149350,6 +186688,8 @@ 1 0 0 +2 +0 4 0x5083 0x2dcbf758 @@ -149358,6 +186698,8 @@ 0 0 0 +3 +5 2 0x1d11 0x77fb9744 @@ -149366,6 +186708,8 @@ 0 0 0 +3 +1 10 0xff21 0x24f93f35 @@ -149375,6 +186719,8 @@ 1 0 5 +3 +5 0xe01d 0xf015d915 256 @@ -149382,6 +186728,8 @@ 1 1 0 +1 +2 6 0x1366 0x58f8b479 @@ -149391,6 +186739,8 @@ 0 0 4 +4 +4 0x319c 0xf3856645 256 @@ -149398,6 +186748,8 @@ 1 0 0 +1 +1 5 0xc3c9 0xc00289a4 @@ -149406,6 +186758,8 @@ 1 1 0 +2 +1 9 0xd626 0x4e5d1287 @@ -149415,6 +186769,8 @@ 0 0 5 +3 +5 0x1451 0xe638e142 256 @@ -149422,6 +186778,8 @@ 0 0 0 +1 +4 9 0x8ea3 0x64f955f @@ -149430,6 +186788,8 @@ 1 0 0 +0 +1 4 0x4eeb 0xe1015bf3 @@ -149438,6 +186798,8 @@ 0 0 0 +3 +3 9 0x7f51 0xe8232d70 @@ -149446,6 +186808,8 @@ 1 1 0 +5 +5 9 0x23c2 0x7e0d370 @@ -149454,6 +186818,8 @@ 0 0 0 +0 +0 10 0xd901 0x7c5c0305 @@ -149463,6 +186829,8 @@ 1 0 5 +2 +5 0x200e 0x599b5644 256 @@ -149470,6 +186838,8 @@ 1 0 0 +4 +3 1 0x4617 0xb7bcaa2 @@ -149478,6 +186848,8 @@ 0 0 0 +0 +5 10 0xed75 0xee90411c @@ -149486,6 +186858,8 @@ 0 0 0 +4 +1 3 0x951c 0x29560333 @@ -149494,6 +186868,8 @@ 0 0 0 +5 +5 8 0xa310 0x573e3294 @@ -149502,6 +186878,8 @@ 1 0 0 +4 +1 7 0x1807 0x6606c234 @@ -149510,6 +186888,8 @@ 1 1 0 +3 +1 4 0xd886 0x6a670352 @@ -149518,6 +186898,8 @@ 0 0 0 +2 +2 7 0x489 0x655bf1be @@ -149526,6 +186908,8 @@ 1 1 0 +2 +5 6 0x803f 0xdf11255a @@ -149534,6 +186918,8 @@ 0 0 0 +5 +0 2 0xbba9 0x939105ec @@ -149542,6 +186928,8 @@ 0 0 0 +3 +4 10 0xb1e3 0xc3cc4fac @@ -149551,6 +186939,8 @@ 0 0 5 +2 +5 0x73a6 0x5b1c10d8 256 @@ -149558,6 +186948,8 @@ 1 0 0 +1 +5 9 0x20ab 0x19635ecf @@ -149566,6 +186958,8 @@ 1 1 0 +4 +1 1 0x4795 0x2c6265b0 @@ -149574,6 +186968,8 @@ 0 0 0 +4 +0 1 0x49bb 0x5278fdf7 @@ -149582,6 +186978,8 @@ 1 0 0 +5 +3 8 0xd160 0xee3e6860 @@ -149590,6 +186988,8 @@ 1 1 0 +3 +2 8 0x2ad7 0xa626bfed @@ -149598,6 +186998,8 @@ 1 1 0 +2 +3 6 0x5836 0xc7573168 @@ -149606,6 +187008,8 @@ 1 1 0 +3 +5 4 0xab9a 0x1df0167a @@ -149614,6 +187018,8 @@ 1 1 0 +2 +1 9 0x80d4 0x5d2ac0f2 @@ -149622,6 +187028,8 @@ 0 0 0 +0 +5 1 0x40aa 0xa63b4f41 @@ -149630,6 +187038,8 @@ 0 0 0 +0 +4 9 0xa55c 0x8e60aa27 @@ -149638,6 +187048,8 @@ 0 0 0 +1 +3 10 0xf8a0 0xdcf0fe5 @@ -149646,6 +187058,8 @@ 0 0 0 +3 +1 7 0x5920 0x35afdfc6 @@ -149654,6 +187068,8 @@ 1 1 0 +1 +5 8 0xff1c 0x666977ac @@ -149662,6 +187078,8 @@ 0 0 0 +1 +4 2 0x9995 0x1f21fd2f @@ -149670,6 +187088,8 @@ 0 0 0 +1 +0 5 0xfb6a 0xe5cd3577 @@ -149679,6 +187099,8 @@ 1 0 4 +0 +4 0x620f 0xcb8d9e79 256 @@ -149687,6 +187109,8 @@ 0 0 1 +4 +1 0x72c2 0x53bcdf6a 256 @@ -149694,6 +187118,8 @@ 1 1 0 +4 +5 5 0xb6c3 0xde74ca5a @@ -149702,6 +187128,8 @@ 1 0 0 +3 +1 4 0x63a5 0xb41e02ae @@ -149710,6 +187138,8 @@ 0 0 0 +4 +2 2 0xce3f 0xeb7b612e @@ -149718,6 +187148,8 @@ 1 0 0 +5 +0 8 0x91e1 0x2563e255 @@ -149726,6 +187158,8 @@ 1 1 0 +1 +3 5 0x5fa9 0x53428242 @@ -149734,6 +187168,8 @@ 0 0 0 +2 +3 1 0x15b3 0xfffd6afb @@ -149743,6 +187179,8 @@ 0 0 4 +1 +4 0x624a 0x7bfc8d96 256 @@ -149750,6 +187188,8 @@ 0 0 0 +2 +2 5 0xbecf 0xafc1a4b3 @@ -149758,6 +187198,8 @@ 0 0 0 +1 +0 7 0x2862 0x30777211 @@ -149766,6 +187208,8 @@ 1 1 0 +3 +1 7 0x4253 0x3c5d40aa @@ -149774,6 +187218,8 @@ 0 0 0 +4 +4 8 0x7b51 0x652ca32d @@ -149782,6 +187228,8 @@ 1 0 0 +3 +3 9 0xd2f2 0x3162c26d @@ -149790,6 +187238,8 @@ 0 0 0 +3 +3 4 0x105 0xe6ca3976 @@ -149798,6 +187248,8 @@ 1 1 0 +1 +5 6 0x4deb 0xc02b05b1 @@ -149806,6 +187258,8 @@ 0 0 0 +1 +2 9 0x63e6 0x85f1e721 @@ -149814,6 +187268,8 @@ 1 0 0 +3 +0 5 0x466b 0x22114d60 @@ -149822,6 +187278,8 @@ 0 0 0 +0 +1 4 0x584b 0xc83a5f51 @@ -149830,6 +187288,8 @@ 0 0 0 +4 +4 10 0xa833 0x7e28697d @@ -149838,6 +187298,8 @@ 1 0 0 +3 +0 5 0x4f62 0x8d6aa232 @@ -149846,6 +187308,8 @@ 1 0 0 +4 +5 10 0x98 0x8d10cd90 @@ -149854,6 +187318,8 @@ 1 1 0 +5 +3 9 0x5f4 0x9440ee78 @@ -149862,6 +187328,8 @@ 0 0 0 +2 +5 7 0xd169 0xfb9ab9b @@ -149870,6 +187338,8 @@ 0 0 0 +1 +3 6 0x627a 0x63b37836 @@ -149879,6 +187349,8 @@ 0 0 5 +0 +5 0xa884 0x4f2e994c 256 @@ -149887,6 +187359,8 @@ 1 0 5 +2 +5 0xbc3f 0x653e44b0 256 @@ -149894,6 +187368,8 @@ 1 0 0 +3 +5 4 0x2a6a 0x8c46caa2 @@ -149902,6 +187378,8 @@ 0 0 0 +0 +0 6 0x5ba4 0x58f3ef9b @@ -149910,6 +187388,8 @@ 1 0 0 +3 +3 9 0xf056 0xf30f81be @@ -149918,6 +187398,8 @@ 0 0 0 +2 +0 4 0x2950 0x2cdeee6d @@ -149926,6 +187408,8 @@ 0 0 0 +2 +2 7 0xc09 0x66d2fe9e @@ -149934,6 +187418,8 @@ 0 0 0 +0 +3 9 0xa0cf 0xa3e6e567 @@ -149942,6 +187428,8 @@ 1 1 0 +0 +0 8 0xe7f6 0x534d2462 @@ -149950,6 +187438,8 @@ 0 0 0 +5 +1 9 0x1c88 0x2eb66f2a @@ -149958,6 +187448,8 @@ 1 0 0 +2 +0 6 0x2d9b 0xd56a4fd5 @@ -149966,6 +187458,8 @@ 0 0 0 +3 +3 9 0xdee2 0x815063f @@ -149975,6 +187469,8 @@ 1 0 4 +3 +4 0x2bc5 0x56d7d8dd 256 @@ -149982,6 +187478,8 @@ 0 0 0 +3 +2 6 0x6c8b 0x43a9a7cb @@ -149990,6 +187488,8 @@ 0 0 0 +1 +5 6 0x3b48 0xf7cce844 @@ -149998,6 +187498,8 @@ 0 0 0 +5 +0 10 0x3357 0xfa03ea09 @@ -150006,6 +187508,8 @@ 1 1 0 +0 +0 7 0x846f 0xadd91e0 @@ -150014,6 +187518,8 @@ 0 0 0 +5 +1 6 0xbd80 0x4c86506c @@ -150022,6 +187528,8 @@ 1 1 0 +1 +4 2 0xb93c 0xb02490be @@ -150030,6 +187538,8 @@ 1 0 0 +3 +1 4 0xb8b5 0x5e4fe4b7 @@ -150038,6 +187548,8 @@ 1 0 0 +1 +1 7 0x6e28 0x7b4ff61e @@ -150046,6 +187558,8 @@ 1 0 0 +1 +1 9 0x8716 0x463999cc @@ -150054,6 +187568,8 @@ 0 0 0 +0 +4 8 0x1928 0x29fe9626 @@ -150062,6 +187578,8 @@ 1 1 0 +2 +4 7 0x3a62 0x6833fe4d @@ -150070,6 +187588,8 @@ 1 0 0 +4 +3 1 0x684e 0x1c2bcaf9 @@ -150078,6 +187598,8 @@ 1 1 0 +0 +0 2 0xfbef 0x9eea3dfe @@ -150086,6 +187608,8 @@ 0 0 0 +0 +0 1 0x5f6 0x66540a07 @@ -150094,6 +187618,8 @@ 1 1 0 +0 +4 2 0xd24c 0x5abe1e8 @@ -150102,6 +187628,8 @@ 1 1 0 +4 +3 2 0x7a1d 0xfb7a07db @@ -150110,6 +187638,8 @@ 1 0 0 +2 +1 7 0x82c2 0x4a53a6f4 @@ -150118,6 +187648,8 @@ 0 0 0 +2 +4 5 0xdb26 0x823d163d @@ -150126,6 +187658,8 @@ 1 0 0 +1 +3 2 0x3c83 0x47246976 @@ -150134,6 +187668,8 @@ 0 0 0 +5 +0 2 0x1694 0x4301125c @@ -150142,6 +187678,8 @@ 0 0 0 +0 +1 6 0x61e4 0x54715fa6 @@ -150150,6 +187688,8 @@ 0 0 0 +2 +0 1 0xa401 0x532e328a @@ -150158,6 +187698,8 @@ 0 0 0 +5 +3 8 0x1a04 0x85e1a9d8 @@ -150166,6 +187708,8 @@ 1 1 0 +5 +1 6 0x7b7e 0xe8aafa5d @@ -150174,6 +187718,8 @@ 0 0 0 +1 +2 6 0x1ed8 0xe1fc4578 @@ -150182,6 +187728,8 @@ 0 0 0 +2 +1 8 0xd251 0x90cdcac9 @@ -150190,6 +187738,8 @@ 1 0 0 +1 +1 9 0xa7b 0x21642810 @@ -150198,6 +187748,8 @@ 0 0 0 +5 +4 10 0xf1e6 0xb864aaba @@ -150206,6 +187758,8 @@ 1 0 0 +5 +3 8 0x3bff 0x66e6d @@ -150214,6 +187768,8 @@ 0 0 0 +3 +3 4 0xe39 0x6a408a4b @@ -150222,6 +187778,8 @@ 0 0 0 +5 +3 3 0xa8cc 0x156f343d @@ -150230,6 +187788,8 @@ 1 1 0 +5 +4 10 0xf0ba 0x57418b43 @@ -150238,6 +187798,8 @@ 0 0 0 +5 +4 4 0xfc2 0x32c71513 @@ -150246,6 +187808,8 @@ 0 0 0 +4 +1 2 0xa865 0xeea21ca2 @@ -150254,6 +187818,8 @@ 0 0 0 +2 +3 7 0xf0f0 0x77de5606 @@ -150262,6 +187828,8 @@ 1 1 0 +2 +2 7 0x185c 0xf9e6f286 @@ -150270,6 +187838,8 @@ 0 0 0 +1 +0 5 0x97bb 0x2212cde4 @@ -150278,6 +187848,8 @@ 1 1 0 +0 +3 1 0x3c19 0x5c409f1a @@ -150287,6 +187859,8 @@ 0 0 5 +5 +5 0x771d 0x874ce24d 256 @@ -150294,6 +187868,8 @@ 0 0 0 +5 +0 10 0xa200 0xcafe1d5d @@ -150302,6 +187878,8 @@ 1 0 0 +0 +1 10 0xade3 0x2d3aadc6 @@ -150310,6 +187888,8 @@ 1 0 0 +4 +0 8 0xe298 0x93b5af01 @@ -150318,6 +187898,8 @@ 0 0 0 +5 +0 7 0xbf35 0xb630dd13 @@ -150326,6 +187908,8 @@ 0 0 0 +4 +5 1 0x4730 0x1cef895b @@ -150334,6 +187918,8 @@ 1 1 0 +0 +3 1 0xcdd9 0xd3a9cc49 @@ -150342,6 +187928,8 @@ 0 0 0 +3 +0 10 0x396c 0x797fb14e @@ -150350,6 +187938,8 @@ 1 0 0 +2 +2 9 0x9103 0xae67a543 @@ -150359,6 +187949,8 @@ 1 0 5 +2 +5 0x6755 0x9f0a1f31 256 @@ -150366,6 +187958,8 @@ 1 1 0 +0 +5 4 0x4409 0xd07db7e0 @@ -150374,6 +187968,8 @@ 0 0 0 +4 +3 2 0x679d 0xe0fe952b @@ -150382,6 +187978,8 @@ 1 0 0 +1 +1 9 0x7fc0 0x4c58a19e @@ -150390,6 +187988,8 @@ 0 0 0 +3 +0 6 0x2704 0xdb1fd865 @@ -150398,6 +187998,8 @@ 0 0 0 +1 +5 6 0x2338 0x175e7be3 @@ -150406,6 +188008,8 @@ 1 1 0 +2 +4 4 0xc14e 0xe6b82dd8 @@ -150414,6 +188018,8 @@ 0 0 0 +4 +4 10 0xbd95 0xe7d6229a @@ -150422,6 +188028,8 @@ 0 0 0 +3 +0 10 0xbc3b 0xd3ed7c72 @@ -150430,6 +188038,8 @@ 1 0 0 +0 +1 1 0xbfe 0x453e1a22 @@ -150438,6 +188048,8 @@ 0 0 0 +0 +0 2 0x9282 0xba9af284 @@ -150446,6 +188058,8 @@ 1 1 0 +4 +0 8 0x9b35 0xb02df3a7 @@ -150454,6 +188068,8 @@ 0 0 0 +1 +5 8 0x37ba 0x927e008c @@ -150462,6 +188078,8 @@ 0 0 0 +5 +1 2 0x95f9 0x160a2c41 @@ -150470,6 +188088,8 @@ 1 1 0 +2 +3 10 0x384e 0x70113570 @@ -150478,6 +188098,8 @@ 0 0 0 +1 +5 3 0xd244 0xe96c2d55 @@ -150486,6 +188108,8 @@ 0 0 0 +5 +3 1 0x9e9b 0xb2eb7d6 @@ -150494,6 +188118,8 @@ 1 1 0 +2 +5 4 0xa02a 0xc9e59a48 @@ -150502,6 +188128,8 @@ 1 0 0 +1 +5 2 0xa154 0x3ba5cb29 @@ -150510,6 +188138,8 @@ 0 0 0 +2 +4 9 0x670e 0xee70989a @@ -150519,6 +188149,8 @@ 1 0 1 +5 +1 0x4ccd 0x2caba725 256 @@ -150526,6 +188158,8 @@ 1 0 0 +0 +1 7 0x9eb9 0xa941ae0d @@ -150535,6 +188169,8 @@ 0 0 1 +3 +1 0xb0c4 0x908578d2 256 @@ -150542,6 +188178,8 @@ 0 0 0 +1 +4 6 0xba96 0x3ff5e28c @@ -150550,6 +188188,8 @@ 0 0 0 +4 +2 10 0xb532 0x547d5e9d @@ -150558,6 +188198,8 @@ 1 0 0 +4 +5 9 0x2cb1 0xf54c96cb @@ -150566,6 +188208,8 @@ 0 0 0 +1 +2 7 0x32e4 0x1622d2b3 @@ -150575,6 +188219,8 @@ 0 0 5 +1 +5 0x5265 0xab82ff3e 256 @@ -150582,6 +188228,8 @@ 0 0 0 +4 +4 8 0xb12 0xb5b4f605 @@ -150590,6 +188238,8 @@ 1 0 0 +5 +0 10 0x3db5 0x6646416f @@ -150598,6 +188248,8 @@ 0 0 0 +3 +4 1 0xb13f 0x3b1e976c @@ -150607,6 +188259,8 @@ 1 0 1 +3 +1 0x93c5 0xa6aad46c 256 @@ -150614,6 +188268,8 @@ 1 0 0 +5 +2 7 0x7cac 0xebc07388 @@ -150622,6 +188278,8 @@ 0 0 0 +1 +4 10 0xff78 0xf0ff7df1 @@ -150630,6 +188288,8 @@ 0 0 0 +2 +4 6 0x5a56 0x52e2612d @@ -150638,6 +188298,8 @@ 0 0 0 +1 +1 9 0xb1e2 0x8072d5b2 @@ -150646,6 +188308,8 @@ 0 0 0 +5 +3 2 0x8607 0x6a892e4d @@ -150654,6 +188318,8 @@ 1 0 0 +3 +1 2 0xab28 0x9c675fe0 @@ -150662,6 +188328,8 @@ 0 0 0 +0 +4 4 0x15cd 0x3ffe8dbf @@ -150670,6 +188338,8 @@ 0 0 0 +4 +1 2 0x980a 0xa932bff0 @@ -150679,6 +188349,8 @@ 0 0 5 +3 +5 0x9de4 0x5bf1aa2 256 @@ -150686,6 +188358,8 @@ 1 0 0 +0 +4 3 0x3e2a 0x81862d47 @@ -150694,6 +188368,8 @@ 1 0 0 +3 +1 4 0xad00 0xeab63423 @@ -150702,6 +188378,8 @@ 1 1 0 +1 +0 10 0x9e54 0x3f30034 @@ -150710,6 +188388,8 @@ 0 0 0 +4 +5 1 0xd06f 0xa6f5d11 @@ -150718,6 +188398,8 @@ 0 0 0 +0 +5 4 0xf234 0xb9acf8b3 @@ -150726,6 +188408,8 @@ 1 1 0 +0 +1 6 0xd741 0xcfa8c9b5 @@ -150734,6 +188418,8 @@ 1 0 0 +2 +3 3 0xf088 0x4eb93505 @@ -150742,6 +188428,8 @@ 0 0 0 +3 +0 8 0xbb66 0x807c4979 @@ -150750,6 +188438,8 @@ 0 0 0 +4 +1 3 0xacf3 0xaecc9748 @@ -150759,6 +188449,8 @@ 0 0 2 +1 +2 0x9ea7 0x7b0c0e2 256 @@ -150766,6 +188458,8 @@ 1 0 0 +2 +2 5 0xa79 0x2e2df925 @@ -150774,6 +188468,8 @@ 0 0 0 +3 +1 8 0x6a1e 0x747fcc6 @@ -150782,6 +188478,8 @@ 1 1 0 +2 +0 7 0xa8f5 0x53a34cd2 @@ -150790,6 +188488,8 @@ 1 1 0 +1 +4 6 0xa40e 0xd3a346bb @@ -150798,6 +188498,8 @@ 1 1 0 +5 +2 3 0xf0a8 0xab236b81 @@ -150806,6 +188508,8 @@ 0 0 0 +4 +1 7 0xf0f8 0x245283db @@ -150814,6 +188518,8 @@ 0 0 0 +4 +3 6 0x9e54 0x36e2ac24 @@ -150823,6 +188529,8 @@ 0 0 3 +2 +3 0x9c09 0xcb1413af 256 @@ -150830,6 +188538,8 @@ 1 0 0 +5 +2 10 0xa77 0xf2d7dbe4 @@ -150838,6 +188548,8 @@ 1 1 0 +4 +2 8 0x3623 0x8abfed5d @@ -150846,6 +188558,8 @@ 1 0 0 +3 +4 10 0xd75b 0x48859253 @@ -150854,6 +188568,8 @@ 0 0 0 +1 +0 9 0x16a 0x1156dbf3 @@ -150862,6 +188578,8 @@ 0 0 0 +3 +3 6 0xcd6e 0x9add6ddf @@ -150870,6 +188588,8 @@ 1 1 0 +2 +2 10 0x6bcd 0x221d011a @@ -150878,6 +188598,8 @@ 1 1 0 +2 +0 1 0x25e3 0x19451f3d @@ -150886,6 +188608,8 @@ 0 0 0 +5 +0 7 0x2272 0x6d4b34ef @@ -150894,6 +188618,8 @@ 0 0 0 +4 +0 3 0x711b 0x701815d7 @@ -150902,6 +188628,8 @@ 0 0 0 +4 +1 3 0x4184 0x24effd46 @@ -150910,6 +188638,8 @@ 1 0 0 +4 +3 1 0x934a 0xfdf053ea @@ -150918,6 +188648,8 @@ 1 1 0 +5 +1 6 0x642a 0xf9baede0 @@ -150926,6 +188658,8 @@ 1 0 0 +0 +3 10 0x5c2a 0x25e0ca27 @@ -150935,6 +188669,8 @@ 0 0 4 +4 +4 0x927 0x2920e09 256 @@ -150942,6 +188678,8 @@ 0 0 0 +2 +2 10 0xad78 0x87ff4b72 @@ -150951,6 +188689,8 @@ 0 0 5 +2 +5 0x8e29 0x8e219124 256 @@ -150958,6 +188698,8 @@ 0 0 0 +1 +5 7 0xd344 0x28f4f6d7 @@ -150966,6 +188708,8 @@ 1 1 0 +0 +1 8 0x1d5d 0x77fd1ec3 @@ -150974,6 +188718,8 @@ 1 0 0 +5 +3 2 0x6539 0x1b99997 @@ -150982,6 +188728,8 @@ 1 1 0 +5 +3 3 0xa62 0xd1e5d5a @@ -150990,6 +188738,8 @@ 1 1 0 +3 +2 9 0x9557 0x336d9b34 @@ -150998,6 +188748,8 @@ 0 0 0 +0 +0 4 0xae6f 0x6240f5c3 @@ -151007,6 +188759,8 @@ 0 0 5 +2 +5 0x5645 0x48aa41f5 256 @@ -151014,6 +188768,8 @@ 0 0 0 +5 +0 4 0x3237 0x3fd883da @@ -151022,6 +188778,8 @@ 1 1 0 +0 +1 10 0x8ff9 0x3715d38a @@ -151030,6 +188788,8 @@ 1 1 0 +5 +2 2 0x2688 0xaf89af30 @@ -151038,6 +188798,8 @@ 1 1 0 +0 +3 1 0x134a 0xb2a5e4fb @@ -151046,6 +188808,8 @@ 0 0 0 +2 +0 5 0x2a14 0xc574283e @@ -151054,6 +188818,8 @@ 0 0 0 +2 +0 3 0xb10a 0x6cd72398 @@ -151062,6 +188828,8 @@ 1 1 0 +4 +4 10 0x594f 0xe73601d @@ -151070,6 +188838,8 @@ 1 1 0 +5 +2 8 0xb91a 0xa1732c69 @@ -151078,6 +188848,8 @@ 1 0 0 +5 +0 3 0x2533 0x395176f8 @@ -151086,6 +188858,8 @@ 0 0 0 +0 +4 2 0xfdf3 0x5c820012 @@ -151094,6 +188868,8 @@ 1 0 0 +0 +1 8 0x7eb 0xe46b65c7 @@ -151102,6 +188878,8 @@ 1 1 0 +5 +3 6 0x4ff9 0xda1caa1c @@ -151110,6 +188888,8 @@ 1 1 0 +0 +3 2 0x5086 0xa671c3f5 @@ -151118,6 +188898,8 @@ 0 0 0 +5 +4 9 0x2172 0x52f70cb7 @@ -151126,6 +188908,8 @@ 0 0 0 +5 +4 4 0xe46a 0xa46478e2 @@ -151134,6 +188918,8 @@ 0 0 0 +5 +0 1 0xe880 0xc965c4f7 @@ -151142,6 +188928,8 @@ 1 0 0 +4 +5 3 0x9150 0x217b36c7 @@ -151151,6 +188939,8 @@ 1 0 1 +1 +1 0x7c19 0x44742817 256 @@ -151158,6 +188948,8 @@ 0 0 0 +1 +2 4 0xb22a 0x775ae56 @@ -151166,6 +188958,8 @@ 0 0 0 +3 +2 7 0xfb5 0x128e48a @@ -151174,6 +188968,8 @@ 0 0 0 +3 +0 6 0x218f 0x813e3697 @@ -151182,6 +188978,8 @@ 0 0 0 +4 +2 5 0x9048 0xbf8922a @@ -151190,6 +188988,8 @@ 0 0 0 +2 +3 7 0x1456 0xdf121a77 @@ -151198,6 +188998,8 @@ 0 0 0 +1 +0 9 0xd801 0x700038d @@ -151206,6 +189008,8 @@ 1 1 0 +5 +5 4 0xebdd 0xb4de76c8 @@ -151214,6 +189018,8 @@ 0 0 0 +4 +3 2 0x459c 0xa7afe360 @@ -151222,6 +189028,8 @@ 0 0 0 +4 +4 10 0x61f6 0xb58deae3 @@ -151230,6 +189038,8 @@ 1 0 0 +2 +0 10 0x67d 0x37a83dda @@ -151238,6 +189048,8 @@ 1 1 0 +2 +1 1 0x6041 0x6528aa2c @@ -151246,6 +189058,8 @@ 0 0 0 +3 +5 10 0x45d4 0x7306fcb2 @@ -151254,6 +189068,8 @@ 0 0 0 +1 +4 8 0x51bd 0x3add10da @@ -151262,6 +189078,8 @@ 1 0 0 +5 +1 2 0x9148 0x8002739a @@ -151270,6 +189088,8 @@ 1 1 0 +2 +0 7 0x3d27 0x2adf6dd0 @@ -151279,6 +189099,8 @@ 0 0 1 +5 +1 0xe064 0x85f9efb5 256 @@ -151286,6 +189108,8 @@ 1 0 0 +3 +3 5 0xff1e 0xf95a24da @@ -151294,6 +189118,8 @@ 1 0 0 +4 +2 2 0x93e9 0xc04c732b @@ -151302,6 +189128,8 @@ 1 1 0 +0 +2 9 0x1a97 0x1d4d9358 @@ -151310,6 +189138,8 @@ 1 0 0 +4 +0 10 0x3f63 0x6f99ede9 @@ -151318,6 +189148,8 @@ 1 0 0 +3 +3 4 0x3245 0x21fb7c0f @@ -151326,6 +189158,8 @@ 1 0 0 +2 +3 4 0xcd9f 0x560c81d6 @@ -151334,6 +189168,8 @@ 0 0 0 +4 +4 10 0x3f10 0x36183853 @@ -151342,6 +189178,8 @@ 0 0 0 +4 +4 9 0x4737 0x255e97c6 @@ -151350,6 +189188,8 @@ 0 0 0 +4 +4 3 0x2859 0x62ddea11 @@ -151358,6 +189198,8 @@ 0 0 0 +3 +2 2 0x95fe 0x15d2abd7 @@ -151366,6 +189208,8 @@ 0 0 0 +0 +0 10 0x2cda 0x4fc2a2d1 @@ -151374,6 +189218,8 @@ 1 1 0 +5 +3 4 0x6694 0xaf0b6e45 @@ -151382,6 +189228,8 @@ 1 0 0 +4 +4 8 0x30cf 0xd63c5d4 @@ -151390,6 +189238,8 @@ 1 1 0 +0 +4 9 0x2dd2 0xc1d7dcb4 @@ -151398,6 +189248,8 @@ 1 1 0 +3 +5 5 0xaa40 0x44081dff @@ -151406,6 +189258,8 @@ 0 0 0 +5 +4 6 0x8124 0x9e92a5c2 @@ -151414,6 +189268,8 @@ 1 0 0 +0 +4 10 0x3216 0xf6b7674d @@ -151422,6 +189278,8 @@ 1 1 0 +1 +0 6 0xe1b7 0x5db6dfc7 @@ -151430,6 +189288,8 @@ 0 0 0 +2 +2 10 0x7c53 0x1591d2fc @@ -151438,6 +189298,8 @@ 0 0 0 +0 +5 4 0x50a7 0x273c2e60 @@ -151446,6 +189308,8 @@ 0 0 0 +2 +2 5 0x477b 0x39ac89eb @@ -151454,6 +189318,8 @@ 1 0 0 +2 +4 3 0xb7e6 0x74d43de9 @@ -151463,6 +189329,8 @@ 0 0 2 +1 +2 0x5f13 0xd39949b1 256 @@ -151470,6 +189338,8 @@ 0 0 0 +0 +3 6 0x62e9 0xf62ef432 @@ -151478,6 +189348,8 @@ 1 0 0 +5 +2 10 0xb7a4 0xc278c19e @@ -151487,6 +189359,8 @@ 0 0 2 +0 +2 0x54d0 0x97060e1 256 @@ -151494,6 +189368,8 @@ 0 0 0 +3 +1 5 0x5a16 0xae03592b @@ -151503,6 +189379,8 @@ 0 0 2 +0 +2 0x75c3 0xfe95c0de 256 @@ -151510,6 +189388,8 @@ 1 0 0 +2 +3 3 0xa5ac 0xa21b6da1 @@ -151518,6 +189398,8 @@ 1 0 0 +3 +1 2 0xfd46 0x6b1ac49d @@ -151526,6 +189408,8 @@ 1 0 0 +0 +5 4 0xacbb 0x70be3268 @@ -151534,6 +189418,8 @@ 0 0 0 +5 +0 6 0x2b11 0xb81762c @@ -151542,6 +189428,8 @@ 0 0 0 +2 +4 1 0xbe16 0xdfa7aad4 @@ -151550,6 +189438,8 @@ 1 1 0 +2 +4 4 0xa1cf 0x9816d238 @@ -151558,6 +189448,8 @@ 0 0 0 +3 +2 2 0x797a 0xfe203704 @@ -151566,6 +189458,8 @@ 0 0 0 +0 +0 9 0x9c27 0xd2f4d31d @@ -151574,6 +189468,8 @@ 1 1 0 +1 +4 7 0x1506 0xe88c584d @@ -151582,6 +189478,8 @@ 0 0 0 +5 +5 1 0xd464 0x94c5754c @@ -151590,6 +189488,8 @@ 0 0 0 +4 +5 1 0x7592 0xa2c03ae4 @@ -151598,6 +189498,8 @@ 1 0 0 +4 +4 6 0x88e3 0x1f60977f @@ -151606,6 +189508,8 @@ 1 1 0 +2 +1 1 0xe759 0x98b83ed2 @@ -151614,6 +189518,8 @@ 1 0 0 +1 +1 2 0xb70c 0x5152236c @@ -151622,6 +189528,8 @@ 0 0 0 +5 +3 2 0x8a8b 0x7b20e301 @@ -151630,6 +189538,8 @@ 0 0 0 +0 +0 1 0xeaaa 0x9b86c035 @@ -151638,6 +189548,8 @@ 0 0 0 +5 +2 2 0x83fb 0xe0d7ac50 @@ -151647,6 +189559,8 @@ 1 0 2 +3 +2 0x1c25 0xbb316dff 256 @@ -151654,6 +189568,8 @@ 0 0 0 +5 +1 2 0x6a6f 0x924015c8 @@ -151662,6 +189578,8 @@ 0 0 0 +4 +1 8 0xe45d 0xf1461cd7 @@ -151670,6 +189588,8 @@ 0 0 0 +0 +0 7 0x949e 0x91e70b28 @@ -151678,6 +189598,8 @@ 0 0 0 +2 +0 5 0xdf7 0x44f57b4f @@ -151686,6 +189608,8 @@ 1 1 0 +3 +0 8 0x3f2 0x77c8bb9a @@ -151695,6 +189619,8 @@ 0 0 4 +0 +4 0xe5c8 0x6ac4ede1 256 @@ -151702,6 +189628,8 @@ 1 1 0 +1 +3 2 0xc09a 0xf36e8d82 @@ -151710,6 +189638,8 @@ 1 0 0 +0 +4 4 0x6c9c 0x497b5b7f @@ -151718,6 +189648,8 @@ 1 1 0 +1 +0 8 0x7cfd 0x52800eab @@ -151726,6 +189658,8 @@ 0 0 0 +0 +1 6 0x48d5 0x6bc8977e @@ -151734,6 +189668,8 @@ 1 0 0 +3 +4 4 0x5d39 0xfcb4c49f @@ -151742,6 +189678,8 @@ 1 0 0 +2 +5 4 0xb5ee 0xbb7a851e @@ -151751,6 +189689,8 @@ 0 0 4 +1 +4 0xb9a1 0x59504339 256 @@ -151758,6 +189698,8 @@ 1 1 0 +3 +1 5 0x57aa 0x84d9072f @@ -151766,6 +189708,8 @@ 1 0 0 +1 +5 3 0xef49 0xd4d822fa @@ -151774,6 +189718,8 @@ 0 0 0 +0 +3 10 0xbc8c 0x119771e7 @@ -151782,6 +189728,8 @@ 1 1 0 +5 +2 10 0xfbfa 0x5f761378 @@ -151790,6 +189738,8 @@ 1 1 0 +1 +1 3 0xc05d 0x332d103d @@ -151798,6 +189748,8 @@ 0 0 0 +2 +2 8 0x8d4e 0x512cbca2 @@ -151806,6 +189758,8 @@ 1 0 0 +3 +1 10 0xfc23 0x5ddb3c5c @@ -151814,6 +189768,8 @@ 1 0 0 +3 +4 2 0x7d0b 0x5e4b6a31 @@ -151822,6 +189778,8 @@ 1 1 0 +5 +3 2 0x6b7e 0x1306ca1b @@ -151830,6 +189788,8 @@ 1 0 0 +2 +0 4 0x3126 0xceb261de @@ -151838,6 +189798,8 @@ 0 0 0 +5 +4 7 0xf3c9 0x5a2a85cf @@ -151846,6 +189808,8 @@ 0 0 0 +0 +3 4 0xbd58 0xafa1fd83 @@ -151854,6 +189818,8 @@ 1 0 0 +4 +5 1 0xdf55 0xa1a63bdc @@ -151862,6 +189828,8 @@ 1 1 0 +0 +4 6 0x39df 0x900e43fa @@ -151870,6 +189838,8 @@ 1 1 0 +4 +4 9 0xf9f6 0x9761a250 @@ -151878,6 +189848,8 @@ 0 0 0 +3 +2 5 0x7106 0x26f1f341 @@ -151886,6 +189858,8 @@ 0 0 0 +5 +3 2 0x86a7 0xa2a45fe0 @@ -151894,6 +189868,8 @@ 0 0 0 +2 +1 5 0x4e62 0x4a0f9997 @@ -151902,6 +189878,8 @@ 0 0 0 +0 +2 3 0x27c7 0xd14f51e4 @@ -151910,6 +189888,8 @@ 1 0 0 +0 +5 7 0xec7 0xa309f22c @@ -151918,6 +189898,8 @@ 1 0 0 +1 +4 5 0x7438 0xbecbe834 @@ -151926,6 +189908,8 @@ 1 1 0 +2 +1 9 0x8109 0x233ae630 @@ -151934,6 +189918,8 @@ 1 0 0 +2 +3 8 0x9a4a 0xfa1f1d70 @@ -151942,6 +189928,8 @@ 0 0 0 +4 +1 3 0x52bf 0xea2dd3d3 @@ -151950,6 +189938,8 @@ 0 0 0 +0 +0 7 0xcd3 0x7f4ff3df @@ -151958,6 +189948,8 @@ 0 0 0 +4 +5 8 0x25dd 0xdc96e6e9 @@ -151966,6 +189958,8 @@ 0 0 0 +2 +4 5 0xfeb6 0xc94ff3b @@ -151974,6 +189968,8 @@ 1 1 0 +1 +4 6 0xd9ed 0x80d5aaa6 @@ -151982,6 +189978,8 @@ 1 1 0 +4 +2 7 0x4d65 0x3b89b302 @@ -151990,6 +189988,8 @@ 0 0 0 +5 +0 3 0x70f8 0x4537a758 @@ -151998,6 +189998,8 @@ 0 0 0 +4 +4 6 0xe8f4 0x398b32d8 @@ -152006,6 +190008,8 @@ 1 1 0 +5 +3 9 0xf179 0x88aae308 @@ -152014,6 +190018,8 @@ 1 0 0 +4 +2 10 0xa8d0 0xacd8b206 @@ -152022,6 +190028,8 @@ 1 0 0 +0 +4 10 0xf0dd 0xe7dfa104 @@ -152030,6 +190038,8 @@ 0 0 0 +2 +1 5 0xb4cd 0xf72488f @@ -152038,6 +190048,8 @@ 1 0 0 +3 +3 6 0xa0c3 0xa3b97a8a @@ -152046,6 +190058,8 @@ 0 0 0 +2 +5 9 0x734a 0xf42c2ba6 @@ -152054,6 +190068,8 @@ 0 0 0 +1 +2 7 0xd454 0xc6f78013 @@ -152062,6 +190078,8 @@ 0 0 0 +1 +0 6 0xd107 0xe6e0d327 @@ -152070,6 +190088,8 @@ 0 0 0 +4 +1 5 0x7ea8 0x98819c80 @@ -152078,6 +190098,8 @@ 0 0 0 +0 +4 8 0xb551 0x2b09fdec @@ -152086,6 +190108,8 @@ 0 0 0 +0 +2 6 0xdd6b 0x8b631447 @@ -152094,6 +190118,8 @@ 0 0 0 +4 +3 10 0xfa13 0x884aff4f @@ -152102,6 +190128,8 @@ 1 0 0 +4 +5 8 0xfe08 0x7e59ea @@ -152110,6 +190138,8 @@ 0 0 0 +3 +2 7 0xb25f 0x657119c5 @@ -152118,6 +190148,8 @@ 1 1 0 +3 +0 4 0xb924 0xe1bcf0bf @@ -152126,6 +190158,8 @@ 0 0 0 +0 +1 8 0xfa32 0x6a1c30c2 @@ -152134,6 +190168,8 @@ 1 0 0 +0 +1 9 0xe892 0xe71fecd7 @@ -152143,6 +190179,8 @@ 1 0 1 +2 +1 0xaa24 0x20c0bd9 256 @@ -152150,6 +190188,8 @@ 1 0 0 +4 +1 10 0xb510 0x2b4938de @@ -152158,6 +190198,8 @@ 0 0 0 +0 +5 10 0x54bd 0x7bc4c8d8 @@ -152166,6 +190208,8 @@ 1 1 0 +0 +4 3 0x3fc2 0x38b30585 @@ -152174,6 +190218,8 @@ 0 0 0 +2 +2 6 0x45d3 0xcf75f1c3 @@ -152182,6 +190228,8 @@ 0 0 0 +4 +3 7 0xdd6f 0xe13a9e6b @@ -152190,6 +190238,8 @@ 0 0 0 +2 +1 6 0xfdf1 0x6e975f41 @@ -152198,6 +190248,8 @@ 0 0 0 +1 +0 10 0xe430 0x119a59cc @@ -152206,6 +190258,8 @@ 0 0 0 +4 +0 3 0x54e6 0xcce2b53e @@ -152214,6 +190268,8 @@ 1 1 0 +2 +3 6 0xf205 0x84dc9a9a @@ -152222,6 +190278,8 @@ 0 0 0 +3 +2 9 0x864b 0x5cc0c54f @@ -152230,6 +190288,8 @@ 0 0 0 +1 +3 4 0x3956 0x35e2c9c @@ -152238,6 +190298,8 @@ 0 0 0 +3 +0 5 0x4994 0x3f73703e @@ -152246,6 +190308,8 @@ 1 1 0 +1 +2 5 0x9095 0x25cc26fc @@ -152254,6 +190318,8 @@ 0 0 0 +0 +1 9 0xeb93 0x70c7e779 @@ -152262,6 +190328,8 @@ 0 0 0 +0 +0 8 0x8604 0xa91c6670 @@ -152270,6 +190338,8 @@ 0 0 0 +5 +1 8 0x3898 0x5ad97b80 @@ -152279,6 +190349,8 @@ 0 0 2 +4 +2 0x7643 0x686fb7c8 256 @@ -152286,6 +190358,8 @@ 0 0 0 +1 +2 5 0x9988 0x8658b3c0 @@ -152294,6 +190368,8 @@ 1 0 0 +4 +0 3 0xcd2e 0xe4f1b1ba @@ -152302,6 +190378,8 @@ 0 0 0 +0 +3 5 0x27cd 0xb5778719 @@ -152310,6 +190388,8 @@ 0 0 0 +0 +3 4 0x37b7 0x4e6c8f42 @@ -152318,6 +190398,8 @@ 1 0 0 +0 +2 7 0x69ea 0x4cac9d42 @@ -152326,6 +190408,8 @@ 1 0 0 +0 +2 6 0xda62 0x91944283 @@ -152334,6 +190418,8 @@ 1 1 0 +2 +1 1 0xab48 0x39072a6f @@ -152342,6 +190428,8 @@ 0 0 0 +1 +1 10 0x616b 0xea55fa53 @@ -152350,6 +190438,8 @@ 0 0 0 +5 +2 1 0x24d9 0x67f2922e @@ -152358,6 +190448,8 @@ 0 0 0 +3 +0 7 0xc0c0 0x95a68157 @@ -152366,6 +190458,8 @@ 1 0 0 +5 +3 3 0xf039 0x454829cd @@ -152374,6 +190468,8 @@ 1 1 0 +1 +4 7 0x46ec 0xc5b0dba8 @@ -152382,6 +190478,8 @@ 0 0 0 +0 +2 2 0x7827 0x3d18026e @@ -152390,6 +190488,8 @@ 1 0 0 +2 +3 8 0x1d73 0xd3a0ed2c @@ -152398,6 +190498,8 @@ 1 0 0 +1 +0 2 0x9e2a 0xe8ab3671 @@ -152406,6 +190508,8 @@ 1 0 0 +3 +5 7 0x6d81 0x66076b17 @@ -152414,6 +190518,8 @@ 0 0 0 +5 +3 1 0xca06 0xe1b62e9c @@ -152422,6 +190528,8 @@ 0 0 0 +3 +3 1 0xe817 0x2808953f @@ -152430,6 +190538,8 @@ 1 0 0 +3 +1 4 0x1359 0xd522c7c5 @@ -152438,6 +190548,8 @@ 0 0 0 +1 +1 3 0x4864 0x5f7dcf69 @@ -152446,6 +190558,8 @@ 0 0 0 +1 +2 9 0x529c 0xd99fb2da @@ -152454,6 +190568,8 @@ 0 0 0 +4 +5 3 0x5514 0x7ef0475f @@ -152462,6 +190578,8 @@ 0 0 0 +0 +0 2 0x830d 0xa9d9bfc6 @@ -152470,6 +190588,8 @@ 1 1 0 +5 +0 8 0x81b2 0xe682f35a @@ -152478,6 +190598,8 @@ 0 0 0 +0 +2 10 0x49dc 0xc9690230 @@ -152486,6 +190608,8 @@ 1 0 0 +1 +3 7 0x4f84 0xc6636f65 @@ -152494,6 +190618,8 @@ 1 1 0 +0 +3 6 0x2e83 0xa250c790 @@ -152503,6 +190629,8 @@ 0 0 2 +0 +2 0xa6e7 0x23e0e4f6 256 @@ -152510,6 +190638,8 @@ 1 1 0 +0 +4 3 0xe274 0xcaccb2e @@ -152518,6 +190648,8 @@ 1 1 0 +0 +3 4 0x5131 0xe43ced9d @@ -152527,6 +190659,8 @@ 1 0 3 +3 +3 0x4f65 0xd098be24 256 @@ -152534,6 +190668,8 @@ 0 0 0 +5 +5 8 0xdfde 0xed8bfbbd @@ -152542,6 +190678,8 @@ 0 0 0 +0 +3 10 0xc07b 0x549e616d @@ -152550,6 +190688,8 @@ 0 0 0 +0 +2 8 0xd79d 0x8dfe0705 @@ -152558,6 +190698,8 @@ 0 0 0 +1 +4 3 0x8111 0x19e568e1 @@ -152566,6 +190708,8 @@ 0 0 0 +5 +2 6 0x482e 0x1e228d7c @@ -152574,6 +190718,8 @@ 1 1 0 +0 +1 1 0x7dde 0xc691b3b4 @@ -152582,6 +190728,8 @@ 1 0 0 +2 +5 9 0x8d2 0xc9da2a09 @@ -152590,6 +190738,8 @@ 0 0 0 +5 +1 6 0x6bd9 0xb90c4a88 @@ -152598,6 +190748,8 @@ 1 0 0 +3 +5 2 0xf7c8 0x5e88c3e1 @@ -152606,6 +190758,8 @@ 0 0 0 +5 +1 3 0xc0ee 0xee87add5 @@ -152614,6 +190768,8 @@ 0 0 0 +0 +5 3 0x5ad3 0x9024eb52 @@ -152622,6 +190778,8 @@ 0 0 0 +2 +0 8 0xa32e 0x3b69925d @@ -152630,6 +190788,8 @@ 0 0 0 +1 +4 2 0x787b 0x31062b08 @@ -152638,6 +190798,8 @@ 0 0 0 +4 +3 6 0x7713 0x2332b629 @@ -152646,6 +190808,8 @@ 0 0 0 +1 +0 5 0x1ff5 0x7fe80403 @@ -152654,6 +190818,8 @@ 1 0 0 +5 +1 1 0x3bc4 0x5c16e3c2 @@ -152662,6 +190828,8 @@ 0 0 0 +1 +1 9 0x8c40 0x71100d97 @@ -152671,6 +190839,8 @@ 1 0 3 +2 +3 0x3be7 0x5f58460b 256 @@ -152678,6 +190848,8 @@ 0 0 0 +3 +0 6 0x3ee2 0xbffe598 @@ -152686,6 +190858,8 @@ 0 0 0 +0 +2 9 0x6f6a 0x19fc3d55 @@ -152694,6 +190868,8 @@ 1 1 0 +2 +4 6 0x41fd 0x6e676054 @@ -152702,6 +190878,8 @@ 1 0 0 +1 +1 4 0x7fa 0xc61d424d @@ -152710,6 +190888,8 @@ 1 1 0 +4 +4 5 0x67b4 0xd6958e6f @@ -152718,6 +190898,8 @@ 0 0 0 +5 +3 9 0x7588 0xfa7ee11d @@ -152726,6 +190908,8 @@ 1 1 0 +2 +0 10 0xc034 0x363ac321 @@ -152734,6 +190918,8 @@ 1 1 0 +1 +4 3 0xc006 0xb27c64e6 @@ -152742,6 +190928,8 @@ 0 0 0 +2 +3 8 0x363a 0x716760a1 @@ -152750,6 +190938,8 @@ 0 0 0 +0 +4 4 0x5deb 0x6b7166d @@ -152758,6 +190948,8 @@ 0 0 0 +3 +5 10 0x7ee3 0xd2ce6bdc @@ -152766,6 +190958,8 @@ 0 0 0 +4 +5 2 0xfb 0x74159aba @@ -152774,6 +190968,8 @@ 0 0 0 +5 +2 9 0x5771 0xd1260081 @@ -152782,6 +190978,8 @@ 1 1 0 +0 +5 7 0xb56e 0xed9121fa @@ -152790,6 +190988,8 @@ 1 1 0 +5 +3 4 0x8df6 0x5bf71ffc @@ -152798,6 +190998,8 @@ 0 0 0 +1 +3 9 0x59c9 0x37361dfa @@ -152806,6 +191008,8 @@ 1 0 0 +2 +4 3 0x3d76 0x1f1a0e9b @@ -152814,6 +191018,8 @@ 1 0 0 +4 +5 5 0xec62 0x81e6ad1d @@ -152822,6 +191028,8 @@ 1 0 0 +0 +5 3 0x35a5 0xbcadc202 @@ -152830,6 +191038,8 @@ 1 1 0 +5 +3 1 0x51b0 0x8ebf92a4 @@ -152838,6 +191048,8 @@ 0 0 0 +2 +3 10 0xdeb7 0x128e24e5 @@ -152846,6 +191058,8 @@ 1 1 0 +5 +1 9 0x84c7 0x27bbabc4 @@ -152854,6 +191068,8 @@ 0 0 0 +0 +2 4 0x5059 0x708b93ac @@ -152862,6 +191078,8 @@ 1 0 0 +0 +2 1 0x7b36 0x7942db40 @@ -152870,6 +191088,8 @@ 0 0 0 +1 +3 2 0xb735 0x429d2102 @@ -152878,6 +191098,8 @@ 1 1 0 +0 +1 3 0xb1ba 0xe9db583c @@ -152886,6 +191108,8 @@ 1 1 0 +0 +5 3 0xef32 0x3b0e2715 @@ -152894,6 +191118,8 @@ 1 0 0 +4 +0 9 0xb2ae 0xcf0d7a2 @@ -152902,6 +191128,8 @@ 0 0 0 +2 +1 3 0x394f 0x4c84410d @@ -152910,6 +191138,8 @@ 0 0 0 +5 +2 6 0xdb93 0x4c13ff2d @@ -152918,6 +191148,8 @@ 0 0 0 +3 +1 5 0xdce7 0xd8b204cf @@ -152926,6 +191158,8 @@ 0 0 0 +5 +4 1 0x5e93 0xe3001893 @@ -152934,6 +191168,8 @@ 0 0 0 +2 +0 10 0xd183 0xace9ffc1 @@ -152942,6 +191178,8 @@ 0 0 0 +0 +5 1 0x438 0x5bcc0490 @@ -152950,6 +191188,8 @@ 1 0 0 +1 +5 7 0x34ca 0x144788f @@ -152958,6 +191198,8 @@ 1 1 0 +1 +1 10 0xe08a 0x593d2a0 @@ -152966,6 +191208,8 @@ 1 1 0 +0 +3 8 0xb65b 0xd932a0dc @@ -152974,6 +191218,8 @@ 0 0 0 +5 +1 2 0xa0fd 0xfe4a0308 @@ -152983,6 +191229,8 @@ 1 0 3 +5 +3 0x4039 0xda91d948 256 @@ -152990,6 +191238,8 @@ 1 0 0 +0 +3 3 0xf524 0x29e9c2a9 @@ -152998,6 +191248,8 @@ 1 0 0 +5 +4 2 0x7308 0xb2c27bb5 @@ -153007,6 +191259,8 @@ 0 0 3 +1 +3 0xa301 0xba75e19d 256 @@ -153014,6 +191268,8 @@ 0 0 0 +1 +1 6 0xed96 0xf86f0052 @@ -153022,6 +191278,8 @@ 0 0 0 +1 +5 3 0x780 0x5e26d3c8 @@ -153030,6 +191288,8 @@ 1 1 0 +0 +3 3 0x5610 0xd7735ed8 @@ -153038,6 +191298,8 @@ 0 0 0 +2 +5 4 0x7f8b 0xddb6d0a1 @@ -153046,6 +191308,8 @@ 0 0 0 +0 +1 7 0x16d0 0xf6111c95 @@ -153054,6 +191318,8 @@ 1 0 0 +4 +1 1 0xf947 0x6c9aa000 @@ -153062,6 +191328,8 @@ 0 0 0 +5 +0 1 0x50b9 0xfc447539 @@ -153070,6 +191338,8 @@ 0 0 0 +4 +0 6 0x79f3 0x68e6769a @@ -153078,6 +191348,8 @@ 1 0 0 +1 +1 3 0x1bfa 0xfdc0e9e @@ -153086,6 +191358,8 @@ 0 0 0 +3 +3 9 0x8a12 0xaf83ec72 @@ -153094,6 +191368,8 @@ 1 1 0 +0 +5 6 0xe1be 0x256b80ed @@ -153102,6 +191378,8 @@ 0 0 0 +4 +0 10 0xa894 0x371116a8 @@ -153110,6 +191388,8 @@ 1 1 0 +2 +1 8 0x7f27 0x5b56ba01 @@ -153118,6 +191398,8 @@ 1 0 0 +4 +1 3 0x8b7d 0xd52ef060 @@ -153126,6 +191408,8 @@ 1 0 0 +0 +4 2 0xb29e 0x9516cb92 @@ -153134,6 +191418,8 @@ 1 0 0 +0 +0 9 0x1370 0x2189a7c0 @@ -153142,6 +191428,8 @@ 1 0 0 +4 +0 5 0x91e2 0x15585ea6 @@ -153150,6 +191438,8 @@ 0 0 0 +3 +2 5 0xf4f 0xa2b1aee2 @@ -153158,6 +191448,8 @@ 0 0 0 +1 +5 7 0x94be 0x93ae280d @@ -153166,6 +191458,8 @@ 0 0 0 +2 +0 8 0x2f1b 0x70157520 @@ -153174,6 +191468,8 @@ 0 0 0 +3 +1 1 0xd02d 0xd4763746 @@ -153182,6 +191478,8 @@ 0 0 0 +1 +0 4 0x75c3 0x36b4576c @@ -153190,6 +191488,8 @@ 0 0 0 +3 +0 8 0xb9b4 0xde6b54cf @@ -153198,6 +191498,8 @@ 1 1 0 +3 +2 9 0xb43b 0xa66330 @@ -153206,6 +191508,8 @@ 0 0 0 +2 +3 8 0x7e7 0x49a8afaf @@ -153214,6 +191518,8 @@ 1 1 0 +2 +3 7 0x8a24 0x1e032dac @@ -153223,6 +191529,8 @@ 0 0 1 +5 +1 0x688f 0x4029b1ef 256 @@ -153230,6 +191538,8 @@ 1 0 0 +1 +1 8 0x4e6a 0x14c1f806 @@ -153238,6 +191548,8 @@ 0 0 0 +1 +2 4 0xe4c9 0xcf621af4 @@ -153246,6 +191558,8 @@ 0 0 0 +0 +2 1 0xb7e 0xc093a085 @@ -153254,6 +191568,8 @@ 1 0 0 +5 +2 3 0xda8b 0x7bb7b3d7 @@ -153262,6 +191578,8 @@ 1 0 0 +2 +3 9 0xb908 0x20803116 @@ -153270,6 +191588,8 @@ 1 0 0 +5 +5 1 0x9309 0x1894e8d9 @@ -153278,6 +191598,8 @@ 1 0 0 +4 +0 8 0x2c0b 0x90ea3370 @@ -153286,6 +191608,8 @@ 1 0 0 +3 +5 4 0x6c62 0xd0be2299 @@ -153294,6 +191618,8 @@ 0 0 0 +3 +4 6 0x7bb0 0xfcb39452 @@ -153302,6 +191628,8 @@ 1 1 0 +3 +5 1 0x682e 0xcf683ada @@ -153311,6 +191639,8 @@ 0 0 1 +4 +1 0x7fe6 0xda336524 256 @@ -153318,6 +191648,8 @@ 1 0 0 +1 +3 5 0x5a6a 0x1d28d135 @@ -153326,6 +191658,8 @@ 0 0 0 +0 +1 6 0x7ed7 0xfa6fc290 @@ -153334,6 +191668,8 @@ 1 1 0 +5 +0 10 0xa2de 0xa73ebe54 @@ -153342,6 +191678,8 @@ 1 1 0 +2 +2 10 0x56be 0xce76795c @@ -153350,6 +191688,8 @@ 0 0 0 +0 +5 10 0x66e 0x75cd4f89 @@ -153359,6 +191699,8 @@ 0 0 5 +5 +5 0xc935 0x65a73f43 256 @@ -153366,6 +191708,8 @@ 0 0 0 +2 +0 3 0x5308 0xa66b6baa @@ -153374,6 +191718,8 @@ 0 0 0 +1 +4 2 0x49a5 0x55b5cba9 @@ -153382,6 +191728,8 @@ 1 0 0 +0 +2 1 0x4360 0x25b4bd88 @@ -153390,6 +191738,8 @@ 0 0 0 +5 +3 6 0x7833 0x6080fd84 @@ -153398,6 +191748,8 @@ 0 0 0 +0 +3 3 0xca55 0xbafe7b75 @@ -153406,6 +191758,8 @@ 0 0 0 +4 +2 3 0x5851 0x9c0d84ff @@ -153414,6 +191768,8 @@ 1 1 0 +2 +5 5 0x477d 0xdbc947c4 @@ -153422,6 +191778,8 @@ 0 0 0 +3 +2 7 0x8deb 0xe8302d84 @@ -153430,6 +191788,8 @@ 1 0 0 +3 +5 8 0x2ab2 0xe1077bac @@ -153439,6 +191799,8 @@ 1 0 5 +4 +5 0x7fc2 0xc053d04c 256 @@ -153446,6 +191808,8 @@ 0 0 0 +1 +0 4 0x42e3 0x4f6deff1 @@ -153454,6 +191818,8 @@ 0 0 0 +4 +2 8 0x524d 0xa8373961 @@ -153462,6 +191828,8 @@ 0 0 0 +3 +3 5 0xcb61 0x5960d622 @@ -153470,6 +191838,8 @@ 0 0 0 +2 +5 1 0x2996 0x5cdbcb09 @@ -153478,6 +191848,8 @@ 0 0 0 +1 +1 9 0x23f 0xba20e4c4 @@ -153487,6 +191859,8 @@ 0 0 1 +5 +1 0x82b3 0xe04238f6 256 @@ -153494,6 +191868,8 @@ 0 0 0 +4 +2 3 0x1176 0x413d6687 @@ -153502,6 +191878,8 @@ 0 0 0 +0 +4 1 0xfd47 0x8eaba05b @@ -153510,6 +191888,8 @@ 0 0 0 +5 +1 9 0xbfa4 0x3e07eb20 @@ -153518,6 +191898,8 @@ 0 0 0 +2 +4 7 0x1ce8 0xe2aceed5 @@ -153526,6 +191908,8 @@ 0 0 0 +2 +5 9 0x4233 0x9078f5c9 @@ -153534,6 +191918,8 @@ 1 0 0 +4 +1 8 0x5cd4 0x1c0ff4ca @@ -153543,6 +191929,8 @@ 1 0 5 +2 +5 0x6925 0x76de771a 256 @@ -153550,6 +191938,8 @@ 0 0 0 +5 +1 3 0x7077 0xb8b4ff14 @@ -153558,6 +191948,8 @@ 0 0 0 +0 +0 10 0x49fd 0xe3701a62 @@ -153566,6 +191958,8 @@ 1 1 0 +1 +3 7 0x57e7 0x9065f965 @@ -153574,6 +191968,8 @@ 0 0 0 +4 +2 8 0xd10d 0xb9c19b18 @@ -153582,6 +191978,8 @@ 0 0 0 +4 +0 8 0x3cb6 0x978d02f3 @@ -153590,6 +191988,8 @@ 0 0 0 +0 +2 10 0xc718 0x328d4731 @@ -153598,6 +191998,8 @@ 0 0 0 +1 +5 10 0xcf90 0xb2ef3415 @@ -153606,6 +192008,8 @@ 0 0 0 +3 +1 9 0x68d9 0x20020700 @@ -153615,6 +192019,8 @@ 0 0 1 +0 +1 0xb281 0x12bb6360 256 @@ -153622,6 +192028,8 @@ 0 0 0 +2 +2 4 0x3bc7 0x17451431 @@ -153630,6 +192038,8 @@ 1 1 0 +4 +1 9 0x11b3 0x855fb4ef @@ -153638,6 +192048,8 @@ 1 0 0 +2 +0 1 0xaeae 0x6d68a967 @@ -153646,6 +192058,8 @@ 1 0 0 +0 +1 8 0x6bc6 0x81faa1c4 @@ -153654,6 +192068,8 @@ 1 0 0 +4 +3 7 0x8a1e 0x55d9b00c @@ -153662,6 +192078,8 @@ 1 1 0 +3 +2 6 0xe5da 0xf827d737 @@ -153670,6 +192088,8 @@ 1 1 0 +1 +3 8 0xde35 0x46e39a58 @@ -153678,6 +192098,8 @@ 1 1 0 +2 +5 1 0x3108 0xb33f3abe @@ -153686,6 +192108,8 @@ 0 0 0 +0 +2 10 0xe8ef 0xcc8dd54 @@ -153694,6 +192118,8 @@ 1 0 0 +4 +1 2 0x6d87 0x3883629a @@ -153702,6 +192128,8 @@ 1 0 0 +1 +5 7 0xc895 0xeb90d289 @@ -153710,6 +192138,8 @@ 1 0 0 +3 +3 4 0x5dd0 0xe3dc4645 @@ -153718,6 +192148,8 @@ 0 0 0 +3 +5 1 0x1480 0xe23ba274 @@ -153726,6 +192158,8 @@ 1 1 0 +1 +2 2 0xa7d2 0xa468d42a @@ -153734,6 +192168,8 @@ 1 1 0 +2 +5 10 0x4d8 0xd743ed17 @@ -153742,6 +192178,8 @@ 1 1 0 +2 +2 1 0x48dd 0xd6896aad @@ -153750,6 +192188,8 @@ 1 1 0 +4 +0 3 0xa2c1 0x1626a5b8 @@ -153758,6 +192198,8 @@ 1 0 0 +3 +1 4 0x1873 0x2d8f0d0d @@ -153766,6 +192208,8 @@ 1 1 0 +2 +3 3 0x9bfe 0x9e53694d @@ -153774,6 +192218,8 @@ 1 1 0 +5 +0 9 0x9141 0x9fb07cea @@ -153782,6 +192228,8 @@ 1 0 0 +4 +3 8 0xec7 0xf9b6550c @@ -153790,6 +192238,8 @@ 1 1 0 +2 +1 3 0x79d 0xe00d848e @@ -153798,6 +192248,8 @@ 0 0 0 +2 +1 3 0x1116 0x32e86c0b @@ -153806,6 +192258,8 @@ 0 0 0 +5 +2 1 0xaed9 0x9e653846 @@ -153814,6 +192268,8 @@ 1 0 0 +1 +5 6 0x3db7 0xcb95c632 @@ -153822,6 +192278,8 @@ 1 1 0 +1 +3 9 0xf723 0xbcd50138 @@ -153830,6 +192288,8 @@ 1 1 0 +5 +2 2 0x418d 0x77331905 @@ -153838,6 +192298,8 @@ 0 0 0 +3 +5 2 0x11d0 0xa479cdc6 @@ -153846,6 +192308,8 @@ 1 1 0 +0 +3 10 0x1282 0xce57036a @@ -153854,6 +192318,8 @@ 1 1 0 +1 +4 9 0x73aa 0xb8c2cc56 @@ -153862,6 +192328,8 @@ 1 0 0 +4 +0 5 0x33b7 0xfe1971c0 @@ -153870,6 +192338,8 @@ 0 0 0 +4 +0 5 0xe742 0x4c4798dd @@ -153878,6 +192348,8 @@ 0 0 0 +2 +1 8 0x9317 0xb8c20cbb @@ -153887,6 +192359,8 @@ 0 0 5 +1 +5 0x38dc 0x96d8b8ad 256 @@ -153894,6 +192368,8 @@ 0 0 0 +4 +3 3 0xb55 0x9a24526a @@ -153902,6 +192378,8 @@ 1 0 0 +4 +5 9 0x7c06 0x3a4a2aad @@ -153910,6 +192388,8 @@ 0 0 0 +2 +1 4 0xe3ed 0xf7f69f4d @@ -153918,6 +192398,8 @@ 0 0 0 +0 +3 10 0xae07 0x8100a63d @@ -153926,6 +192408,8 @@ 1 1 0 +1 +3 3 0x3ec8 0xeb584c94 @@ -153934,6 +192418,8 @@ 1 1 0 +1 +3 6 0xa5fe 0xd907768f @@ -153943,6 +192429,8 @@ 0 0 4 +4 +4 0xff05 0x7985fd32 256 @@ -153950,6 +192438,8 @@ 0 0 0 +5 +3 6 0x6a67 0xf9902b5c @@ -153958,6 +192448,8 @@ 1 0 0 +5 +5 10 0xb2b5 0x30fc3457 @@ -153967,6 +192459,8 @@ 1 0 3 +4 +3 0x41d2 0x30cb7cf7 256 @@ -153974,6 +192468,8 @@ 1 1 0 +5 +0 7 0xdcbf 0x87282c08 @@ -153982,6 +192478,8 @@ 0 0 0 +0 +0 10 0xd3dc 0x5c9c923 @@ -153990,6 +192488,8 @@ 1 0 0 +0 +0 3 0xa141 0x5ee207fa @@ -153998,6 +192498,8 @@ 1 1 0 +2 +5 1 0x36ff 0x1934a328 @@ -154006,6 +192508,8 @@ 0 0 0 +0 +0 3 0xb50e 0xfbc6983e @@ -154014,6 +192518,8 @@ 1 1 0 +1 +0 2 0xaa46 0xe6d0e957 @@ -154022,6 +192528,8 @@ 1 1 0 +2 +3 3 0xb184 0xb3916867 @@ -154030,6 +192538,8 @@ 0 0 0 +2 +0 9 0x79f3 0xf03eeb09 @@ -154038,6 +192548,8 @@ 1 1 0 +3 +2 5 0xaaa5 0x3486c46a @@ -154046,6 +192558,8 @@ 1 0 0 +0 +1 1 0xcf06 0x88270f8c @@ -154054,6 +192568,8 @@ 1 0 0 +3 +0 8 0x42c4 0xaedf9eb7 @@ -154062,6 +192578,8 @@ 1 0 0 +3 +2 6 0xc057 0xe5bb4d01 @@ -154070,6 +192588,8 @@ 0 0 0 +2 +0 1 0x3460 0xcccb5e78 @@ -154078,6 +192598,8 @@ 0 0 0 +1 +0 7 0x8f7d 0xbc6e88d4 @@ -154087,6 +192609,8 @@ 0 0 4 +4 +4 0xd289 0x9d68e6f7 256 @@ -154094,6 +192618,8 @@ 1 0 0 +1 +2 5 0x95e9 0x7368e554 @@ -154102,6 +192628,8 @@ 0 0 0 +3 +5 10 0x557e 0x648c21b5 @@ -154110,6 +192638,8 @@ 0 0 0 +5 +0 1 0xe2c0 0xf7f861aa @@ -154118,6 +192648,8 @@ 1 1 0 +4 +1 6 0x6965 0x7248679b @@ -154126,6 +192658,8 @@ 1 1 0 +1 +4 2 0x65be 0xd39ff68e @@ -154134,6 +192668,8 @@ 1 0 0 +3 +3 8 0xfabc 0x3688b8ea @@ -154142,6 +192678,8 @@ 0 0 0 +4 +1 7 0x9c06 0x367a3784 @@ -154150,6 +192688,8 @@ 0 0 0 +1 +1 6 0xc6d9 0xb8a80e82 @@ -154158,6 +192698,8 @@ 1 0 0 +5 +2 10 0x36e0 0x76502a8b @@ -154166,6 +192708,8 @@ 0 0 0 +5 +1 2 0xb4e9 0x91da6032 @@ -154174,6 +192718,8 @@ 1 0 0 +3 +2 5 0x90ae 0xd8e2ce79 @@ -154182,6 +192728,8 @@ 1 1 0 +4 +0 1 0x9ff5 0xbd7123be @@ -154190,6 +192738,8 @@ 0 0 0 +3 +5 4 0x51db 0xa32b0dff @@ -154198,6 +192748,8 @@ 0 0 0 +5 +0 2 0xc73c 0x651bff28 @@ -154206,6 +192758,8 @@ 1 1 0 +5 +3 2 0xaada 0xede7d878 @@ -154214,6 +192768,8 @@ 1 1 0 +3 +5 1 0x8b3e 0x262c1d09 @@ -154222,6 +192778,8 @@ 1 0 0 +3 +4 6 0x7961 0xd2275717 @@ -154230,6 +192788,8 @@ 0 0 0 +2 +5 5 0xc3c1 0x9540897b @@ -154238,6 +192798,8 @@ 0 0 0 +1 +2 8 0x5f05 0x6113544a @@ -154246,6 +192808,8 @@ 0 0 0 +5 +0 4 0x6141 0xb08af3e1 @@ -154254,6 +192818,8 @@ 1 1 0 +0 +1 10 0x171d 0x6df67ee7 @@ -154262,6 +192828,8 @@ 0 0 0 +5 +2 3 0xa413 0xd60a4887 @@ -154270,6 +192838,8 @@ 0 0 0 +2 +5 7 0xfa78 0x20ace239 @@ -154278,6 +192848,8 @@ 0 0 0 +4 +3 6 0x318a 0xd9e758f6 @@ -154286,6 +192858,8 @@ 1 1 0 +0 +1 6 0xd76e 0x4199e8f6 @@ -154294,6 +192868,8 @@ 0 0 0 +3 +4 4 0xc34c 0xe2c09de7 @@ -154302,6 +192878,8 @@ 1 1 0 +4 +3 1 0x560c 0xcb1c4abb @@ -154310,6 +192888,8 @@ 1 1 0 +4 +5 2 0x6865 0x1728106a @@ -154318,6 +192898,8 @@ 1 1 0 +4 +3 8 0xafad 0xeca2e35b @@ -154326,6 +192908,8 @@ 1 0 0 +4 +2 2 0x5eb1 0x2c50925f @@ -154334,6 +192918,8 @@ 0 0 0 +0 +3 9 0x3787 0xf0d55762 @@ -154342,6 +192928,8 @@ 0 0 0 +1 +2 8 0x6ed7 0x3a3c84fb @@ -154350,6 +192938,8 @@ 1 0 0 +0 +3 10 0x8e84 0xc847258b @@ -154358,6 +192948,8 @@ 1 1 0 +0 +0 1 0x6bdc 0x74133932 @@ -154366,6 +192958,8 @@ 1 1 0 +5 +3 1 0xd201 0xd2130ac8 @@ -154374,6 +192968,8 @@ 0 0 0 +0 +2 8 0x975f 0xf9eba304 @@ -154382,6 +192978,8 @@ 0 0 0 +4 +5 1 0x849a 0xd1cf6b15 @@ -154390,6 +192988,8 @@ 1 0 0 +3 +5 10 0x3a77 0x3023318b @@ -154398,6 +192998,8 @@ 0 0 0 +3 +4 10 0xded 0xbe25f62e @@ -154406,6 +193008,8 @@ 0 0 0 +2 +2 5 0x4528 0x4c2b9232 @@ -154414,6 +193018,8 @@ 0 0 0 +3 +4 5 0x2711 0x3c6a1a80 @@ -154422,6 +193028,8 @@ 0 0 0 +2 +1 5 0xbcd5 0x7cc5dd44 @@ -154430,6 +193038,8 @@ 1 1 0 +3 +0 6 0x167f 0x900d659 @@ -154438,6 +193048,8 @@ 0 0 0 +0 +5 8 0x4102 0xc07c1638 @@ -154447,6 +193059,8 @@ 0 0 4 +4 +4 0x5768 0xa840efb 256 @@ -154454,6 +193068,8 @@ 1 0 0 +5 +2 2 0xe95 0x68d77a7 @@ -154462,6 +193078,8 @@ 1 0 0 +1 +2 2 0xed7e 0xed8b5380 @@ -154470,6 +193088,8 @@ 1 0 0 +1 +5 2 0x76fc 0xddad357d @@ -154478,6 +193098,8 @@ 1 1 0 +4 +3 10 0xfc3e 0x8dba6c81 @@ -154486,6 +193108,8 @@ 1 1 0 +5 +2 8 0xc5bb 0x8ab80fa @@ -154494,6 +193118,8 @@ 1 1 0 +3 +1 9 0x36a 0xa7620a49 @@ -154502,6 +193128,8 @@ 0 0 0 +3 +1 4 0x42f9 0x5364f7e8 @@ -154511,6 +193139,8 @@ 0 0 2 +0 +2 0x3d09 0x1020cf80 256 @@ -154518,6 +193148,8 @@ 0 0 0 +4 +4 7 0xff11 0x7b772e59 @@ -154526,6 +193158,8 @@ 0 0 0 +2 +1 8 0xd691 0x7a726feb @@ -154534,6 +193168,8 @@ 1 0 0 +1 +4 8 0xeccd 0x6724b037 @@ -154542,6 +193178,8 @@ 1 1 0 +4 +5 9 0xe080 0x29817dae @@ -154550,6 +193188,8 @@ 1 0 0 +5 +1 10 0xa7fa 0x2543f5d2 @@ -154558,6 +193198,8 @@ 0 0 0 +2 +3 4 0xd3f7 0xa77d8ffc @@ -154566,6 +193208,8 @@ 0 0 0 +3 +1 2 0xaa37 0xf64e4db6 @@ -154574,6 +193218,8 @@ 1 0 0 +0 +0 5 0x6e5e 0x1dd509bf @@ -154582,6 +193228,8 @@ 0 0 0 +4 +4 2 0xdbf8 0xbb7710a5 @@ -154590,6 +193238,8 @@ 1 1 0 +1 +5 6 0x1397 0x89faabab @@ -154598,6 +193248,8 @@ 0 0 0 +2 +3 5 0x41a4 0x1665fa41 @@ -154606,6 +193258,8 @@ 0 0 0 +2 +2 8 0xebf6 0x9a39038f @@ -154614,6 +193268,8 @@ 1 0 0 +0 +0 8 0x6c0d 0x52ac092 @@ -154622,6 +193278,8 @@ 0 0 0 +5 +5 8 0x3c0f 0x1c340a03 @@ -154630,6 +193288,8 @@ 1 1 0 +5 +2 1 0x8de9 0x114069fb @@ -154638,6 +193298,8 @@ 1 1 0 +4 +2 1 0xbd32 0x5421ae40 @@ -154646,6 +193308,8 @@ 0 0 0 +0 +0 1 0xec7 0xfd990384 @@ -154655,6 +193319,8 @@ 1 0 1 +2 +1 0xb559 0xb0e1da11 256 @@ -154662,6 +193328,8 @@ 1 0 0 +1 +5 5 0x25d2 0x61d2f0ff @@ -154671,6 +193339,8 @@ 0 0 3 +4 +3 0x1e3e 0x51b6cb95 256 @@ -154678,6 +193348,8 @@ 0 0 0 +1 +4 10 0x8825 0x721c7560 @@ -154686,6 +193358,8 @@ 0 0 0 +0 +1 8 0x807c 0x708967b6 @@ -154694,6 +193368,8 @@ 0 0 0 +2 +2 6 0x8d09 0xfd079ca0 @@ -154703,6 +193379,8 @@ 1 0 1 +4 +1 0x6a4e 0x954e422c 256 @@ -154710,6 +193388,8 @@ 0 0 0 +1 +4 6 0x4eee 0x15bbe1cb @@ -154718,6 +193398,8 @@ 1 1 0 +2 +2 8 0xc930 0x6447c342 @@ -154726,6 +193408,8 @@ 0 0 0 +2 +2 7 0xa61e 0xd1e019bf @@ -154734,6 +193418,8 @@ 1 0 0 +5 +1 7 0x4805 0xe05be6e2 @@ -154742,6 +193428,8 @@ 0 0 0 +2 +4 9 0xe0a5 0x8bc3b1fb @@ -154750,6 +193438,8 @@ 1 0 0 +5 +3 1 0x44a7 0x35b161d6 @@ -154758,6 +193448,8 @@ 1 0 0 +4 +2 8 0x22a5 0xaa7ac57c @@ -154766,6 +193458,8 @@ 0 0 0 +2 +1 8 0x13c4 0xf1a6c29e @@ -154775,6 +193469,8 @@ 0 0 2 +5 +2 0x10da 0x80449a9a 256 @@ -154782,6 +193478,8 @@ 1 1 0 +4 +0 5 0x11a5 0xa5b61a0a @@ -154790,6 +193488,8 @@ 0 0 0 +3 +3 9 0x82eb 0x460ebab2 @@ -154798,6 +193498,8 @@ 1 0 0 +4 +4 5 0x514e 0x2f1c379b @@ -154806,6 +193508,8 @@ 1 0 0 +5 +5 8 0x4594 0x9096b6d0 @@ -154814,6 +193518,8 @@ 1 0 0 +2 +1 8 0xe232 0x88efd3d7 @@ -154822,6 +193528,8 @@ 1 0 0 +2 +1 1 0x1d86 0x9ade178b @@ -154830,6 +193538,8 @@ 1 1 0 +2 +5 8 0xb5d9 0xb943f174 @@ -154838,6 +193548,8 @@ 1 0 0 +3 +0 6 0x690c 0x4d700a42 @@ -154846,6 +193558,8 @@ 0 0 0 +4 +2 8 0xba6 0x5879cec9 @@ -154854,6 +193568,8 @@ 1 1 0 +3 +0 2 0xedf3 0x72eaa0c4 @@ -154862,6 +193578,8 @@ 0 0 0 +1 +4 2 0xdf97 0x93297dce @@ -154870,6 +193588,8 @@ 1 1 0 +4 +1 9 0x1739 0x150d3d7a @@ -154878,6 +193598,8 @@ 1 0 0 +2 +0 1 0xfb21 0xfb72cfe5 @@ -154886,6 +193608,8 @@ 0 0 0 +4 +2 9 0x719a 0xa1a00589 @@ -154894,6 +193618,8 @@ 0 0 0 +4 +2 5 0xffec 0xcbdb0171 @@ -154902,6 +193628,8 @@ 1 0 0 +0 +5 6 0xe971 0xba2839bc @@ -154910,6 +193638,8 @@ 0 0 0 +5 +4 2 0x2ae6 0xa38509d8 @@ -154918,6 +193648,8 @@ 0 0 0 +0 +0 10 0xbbea 0x5322aebc @@ -154926,6 +193658,8 @@ 1 0 0 +1 +4 4 0x50f6 0xddd8717b @@ -154934,6 +193668,8 @@ 1 0 0 +3 +3 5 0xb557 0x1fee6be @@ -154942,6 +193678,8 @@ 1 0 0 +5 +3 4 0xc83d 0x5932732c @@ -154950,6 +193688,8 @@ 0 0 0 +1 +0 8 0x20ca 0xb559dcc1 @@ -154958,6 +193698,8 @@ 1 1 0 +1 +1 3 0xfe11 0x9cf22746 @@ -154966,6 +193708,8 @@ 1 0 0 +5 +2 9 0xfe86 0x5f2e483c @@ -154974,6 +193718,8 @@ 1 1 0 +4 +0 3 0x3335 0x6fb53db3 @@ -154982,6 +193728,8 @@ 0 0 0 +1 +5 6 0xb198 0xd81a3130 @@ -154990,6 +193738,8 @@ 0 0 0 +4 +3 9 0x2785 0xf0d91e33 @@ -154998,6 +193748,8 @@ 1 1 0 +0 +1 2 0x16e7 0xa35afa63 @@ -155006,6 +193758,8 @@ 0 0 0 +0 +5 3 0xe950 0xdf240b68 @@ -155014,6 +193768,8 @@ 0 0 0 +1 +0 2 0x7df7 0x2d9b68c8 @@ -155022,6 +193778,8 @@ 0 0 0 +3 +1 7 0x7fcf 0xe9d517fa @@ -155030,6 +193788,8 @@ 1 1 0 +1 +3 10 0x100c 0xf5efb0e @@ -155038,6 +193798,8 @@ 1 0 0 +0 +3 6 0x3318 0xbe643271 @@ -155046,6 +193808,8 @@ 1 0 0 +4 +0 9 0x7df 0x27f355f0 @@ -155054,6 +193818,8 @@ 0 0 0 +0 +2 5 0x3a0a 0x2d97b0cd @@ -155062,6 +193828,8 @@ 1 0 0 +3 +5 10 0x1147 0xd596693e @@ -155070,6 +193838,8 @@ 1 0 0 +4 +2 3 0x2ffb 0x569c1a00 @@ -155078,6 +193848,8 @@ 1 1 0 +0 +5 8 0x9505 0x16d6c957 @@ -155086,6 +193858,8 @@ 0 0 0 +0 +1 5 0xbdc1 0xa72f9c42 @@ -155095,6 +193869,8 @@ 0 0 3 +0 +3 0x365a 0x4ffd0015 256 @@ -155102,6 +193878,8 @@ 1 1 0 +4 +1 7 0xdaa2 0xa75a9ef6 @@ -155110,6 +193888,8 @@ 0 0 0 +2 +0 6 0x5aa3 0x3dbce0ff @@ -155118,6 +193898,8 @@ 0 0 0 +2 +1 3 0xbd4e 0xf598462 @@ -155126,6 +193908,8 @@ 1 1 0 +2 +5 4 0x48fa 0xd9c47477 @@ -155134,6 +193918,8 @@ 0 0 0 +4 +4 9 0x3b85 0x3ba332f @@ -155142,6 +193928,8 @@ 0 0 0 +1 +2 8 0xd566 0x1db0cf3f @@ -155151,6 +193939,8 @@ 0 0 1 +4 +1 0x1836 0x1db5eea8 256 @@ -155158,6 +193948,8 @@ 1 0 0 +5 +5 9 0xf9a3 0xff9426f8 @@ -155166,6 +193958,8 @@ 1 0 0 +4 +5 6 0xa59b 0x80942974 @@ -155174,6 +193968,8 @@ 0 0 0 +2 +0 10 0x2da8 0x55666060 @@ -155182,6 +193978,8 @@ 1 1 0 +1 +2 2 0x9d60 0x392e616c @@ -155190,6 +193988,8 @@ 0 0 0 +0 +4 3 0x9a23 0x6d9ef510 @@ -155198,6 +193998,8 @@ 0 0 0 +5 +2 3 0x2a55 0x24635082 @@ -155206,6 +194008,8 @@ 0 0 0 +1 +0 4 0xea19 0xc34f40de @@ -155214,6 +194018,8 @@ 1 1 0 +1 +5 3 0xca54 0x76b81b92 @@ -155222,6 +194028,8 @@ 1 0 0 +5 +0 8 0x7e6 0x99619f7a @@ -155230,6 +194038,8 @@ 0 0 0 +1 +0 2 0xf49e 0x773afe3b @@ -155238,6 +194048,8 @@ 1 0 0 +2 +1 7 0x62ba 0x3d7a99c8 @@ -155246,6 +194058,8 @@ 1 0 0 +3 +0 1 0xd21b 0x2731eaf1 @@ -155254,6 +194068,8 @@ 0 0 0 +2 +5 8 0xaa86 0xfa5cbfb5 @@ -155262,6 +194078,8 @@ 1 0 0 +3 +3 4 0x7ff0 0xfb8c7af4 @@ -155270,6 +194088,8 @@ 1 1 0 +4 +3 7 0x68d 0xf934097a @@ -155278,6 +194098,8 @@ 1 1 0 +1 +3 9 0xa809 0xd3e715f8 @@ -155286,6 +194108,8 @@ 1 0 0 +2 +4 1 0x9af 0x86af7814 @@ -155294,6 +194118,8 @@ 0 0 0 +3 +2 5 0xd19 0xc24c540e @@ -155302,6 +194128,8 @@ 1 1 0 +3 +0 10 0x9625 0xedbfd2fe @@ -155310,6 +194138,8 @@ 0 0 0 +0 +1 5 0xecda 0xd6be2abc @@ -155318,6 +194148,8 @@ 0 0 0 +4 +0 3 0xf30a 0xb8ac9ea7 @@ -155326,6 +194158,8 @@ 1 0 0 +4 +3 8 0xcf11 0x3c062257 @@ -155334,6 +194168,8 @@ 0 0 0 +2 +0 8 0x3101 0x4708a966 @@ -155342,6 +194178,8 @@ 1 1 0 +1 +1 7 0x12c 0x4fd46c9e @@ -155350,6 +194188,8 @@ 0 0 0 +3 +2 4 0xdc9a 0xed4ea17b @@ -155358,6 +194198,8 @@ 0 0 0 +0 +1 3 0xf7ec 0xbe007c2 @@ -155366,6 +194208,8 @@ 0 0 0 +1 +5 6 0x62c5 0x4079813b @@ -155374,6 +194218,8 @@ 0 0 0 +1 +2 2 0x48e2 0xfc0a25ae @@ -155382,6 +194228,8 @@ 0 0 0 +0 +3 6 0xb451 0xdf6f2ee2 @@ -155390,6 +194238,8 @@ 0 0 0 +2 +2 3 0x473e 0xa91e2f1f @@ -155398,6 +194248,8 @@ 0 0 0 +3 +3 6 0xc154 0x8e034b93 @@ -155406,6 +194258,8 @@ 0 0 0 +5 +4 6 0x12c5 0xe0e9b5a5 @@ -155414,6 +194268,8 @@ 0 0 0 +5 +5 7 0x2ee 0x842ffc78 @@ -155422,6 +194278,8 @@ 0 0 0 +4 +4 10 0x2c87 0xbab2b2ac @@ -155430,6 +194288,8 @@ 0 0 0 +3 +1 8 0xd4dc 0xa05dd179 @@ -155438,6 +194298,8 @@ 1 1 0 +0 +1 9 0x4f39 0x79ba217d @@ -155446,6 +194308,8 @@ 1 1 0 +5 +3 10 0xa258 0x90ff10a3 @@ -155454,6 +194318,8 @@ 0 0 0 +5 +5 1 0xe7cb 0x27eec6c9 @@ -155462,6 +194328,8 @@ 1 0 0 +2 +2 8 0xd89f 0xa195e905 @@ -155470,6 +194338,8 @@ 0 0 0 +4 +0 5 0x9de6 0x5c4565ac @@ -155478,6 +194348,8 @@ 1 1 0 +0 +3 10 0xcf5f 0x609df8cc @@ -155486,6 +194358,8 @@ 0 0 0 +2 +0 7 0x5b58 0x3fb72278 @@ -155494,6 +194368,8 @@ 1 0 0 +2 +1 7 0x2122 0x10aeb399 @@ -155503,6 +194379,8 @@ 0 0 1 +1 +1 0xe5ed 0x774685fd 256 @@ -155510,6 +194388,8 @@ 0 0 0 +1 +4 10 0xf4f4 0x83bdea9d @@ -155518,6 +194398,8 @@ 1 1 0 +4 +5 8 0xe493 0xc2b8336f @@ -155526,6 +194408,8 @@ 0 0 0 +3 +0 7 0x18fe 0x3816c222 @@ -155535,6 +194419,8 @@ 0 0 4 +0 +4 0xdb53 0x9991dd9f 256 @@ -155542,6 +194428,8 @@ 1 0 0 +1 +4 6 0x90fa 0x7a9e7210 @@ -155550,6 +194438,8 @@ 0 0 0 +4 +0 7 0x570e 0xaa41561d @@ -155558,6 +194448,8 @@ 1 1 0 +2 +0 6 0x34a8 0x64bc7200 @@ -155566,6 +194458,8 @@ 1 0 0 +3 +0 7 0x7899 0x5b427824 @@ -155574,6 +194468,8 @@ 1 1 0 +2 +4 9 0xf2ef 0xf20b5542 @@ -155582,6 +194478,8 @@ 1 0 0 +0 +3 3 0xe0ce 0x4a2654db @@ -155590,6 +194488,8 @@ 1 1 0 +3 +2 7 0x1c35 0x299223a9 @@ -155598,6 +194498,8 @@ 0 0 0 +5 +4 7 0xbcdd 0xe1512f5f @@ -155606,6 +194508,8 @@ 0 0 0 +0 +4 1 0xf228 0x23e0a8ae @@ -155615,6 +194519,8 @@ 0 0 5 +5 +5 0xe066 0x437dabb9 256 @@ -155622,6 +194528,8 @@ 0 0 0 +5 +0 6 0xb370 0x19a711a1 @@ -155631,6 +194539,8 @@ 1 0 3 +4 +3 0x23a7 0xa1a2f482 256 @@ -155638,6 +194548,8 @@ 1 1 0 +1 +1 3 0x56e4 0xe3e7c427 @@ -155646,6 +194558,8 @@ 1 0 0 +1 +3 2 0x2d5a 0x8e2921bb @@ -155654,6 +194568,8 @@ 1 0 0 +5 +4 6 0xaa6 0x7acd8c92 @@ -155662,6 +194578,8 @@ 1 0 0 +4 +0 6 0x50e6 0x7e110f4b @@ -155670,6 +194588,8 @@ 0 0 0 +2 +2 8 0xef2 0xc498f6d4 @@ -155678,6 +194598,8 @@ 1 1 0 +1 +2 10 0xc04b 0xfef0156a @@ -155686,6 +194608,8 @@ 0 0 0 +5 +5 8 0x4e36 0x53773ac5 @@ -155694,6 +194618,8 @@ 0 0 0 +4 +3 9 0x7fbb 0xaee768b6 @@ -155702,6 +194628,8 @@ 0 0 0 +2 +3 1 0x49f0 0x5724d11d @@ -155710,6 +194638,8 @@ 1 1 0 +1 +5 7 0x2c49 0x27903aed @@ -155718,6 +194648,8 @@ 0 0 0 +4 +4 8 0xca31 0x83773dcf @@ -155726,6 +194658,8 @@ 0 0 0 +4 +3 10 0xc048 0x6fafd5d8 @@ -155735,6 +194669,8 @@ 0 0 4 +4 +4 0x586f 0x6692ab0a 256 @@ -155742,6 +194678,8 @@ 0 0 0 +2 +2 10 0x2ca9 0xe93b12f1 @@ -155750,6 +194688,8 @@ 0 0 0 +3 +5 10 0xeb27 0x1897266 @@ -155758,6 +194698,8 @@ 0 0 0 +3 +4 8 0x6879 0xcec2fb47 @@ -155766,6 +194708,8 @@ 0 0 0 +5 +0 3 0xcd39 0xd75740c7 @@ -155774,6 +194718,8 @@ 0 0 0 +2 +2 7 0x3cf 0xfdfbab80 @@ -155782,6 +194728,8 @@ 1 1 0 +1 +0 5 0x67de 0xd9750e59 @@ -155790,6 +194738,8 @@ 1 1 0 +0 +2 9 0xf7e8 0x3fcf863 @@ -155798,6 +194748,8 @@ 1 0 0 +1 +2 8 0x4585 0x6fcf6523 @@ -155806,6 +194758,8 @@ 1 0 0 +4 +0 9 0x487d 0x738332ca @@ -155814,6 +194768,8 @@ 0 0 0 +5 +1 8 0x5b4b 0x3423b433 @@ -155822,6 +194778,8 @@ 1 1 0 +5 +5 4 0x557 0xf84414b0 @@ -155830,6 +194788,8 @@ 1 1 0 +5 +1 9 0x4d04 0x44a13398 @@ -155838,6 +194798,8 @@ 0 0 0 +1 +1 8 0xb1b6 0xfbcca2e8 @@ -155846,6 +194808,8 @@ 0 0 0 +0 +2 2 0xe915 0x4ae0d903 @@ -155854,6 +194818,8 @@ 0 0 0 +2 +2 1 0x8c83 0xd298354c @@ -155862,6 +194828,8 @@ 0 0 0 +3 +1 8 0x1811 0xcbcee66e @@ -155870,6 +194838,8 @@ 0 0 0 +4 +2 5 0x8589 0x3d96ac43 @@ -155878,6 +194848,8 @@ 0 0 0 +0 +5 7 0xc267 0x5e544d13 @@ -155886,6 +194858,8 @@ 1 1 0 +2 +0 5 0x13d 0xf2d46d1f @@ -155894,6 +194868,8 @@ 1 1 0 +4 +5 1 0x1636 0x1df37579 @@ -155902,6 +194878,8 @@ 1 1 0 +1 +2 2 0xf0a4 0x6c36bf51 @@ -155910,6 +194888,8 @@ 0 0 0 +2 +2 10 0xe600 0x39c29f92 @@ -155918,6 +194898,8 @@ 0 0 0 +2 +4 6 0x9223 0x37ffff0c @@ -155927,6 +194909,8 @@ 0 0 4 +5 +4 0xab5f 0xfe9b1015 256 @@ -155934,6 +194918,8 @@ 1 1 0 +1 +5 7 0xb2ad 0x1c5c6572 @@ -155942,6 +194928,8 @@ 0 0 0 +1 +4 9 0x4b89 0xf4b875b3 @@ -155950,6 +194938,8 @@ 0 0 0 +1 +2 6 0x2261 0x7a5919d9 @@ -155958,6 +194948,8 @@ 1 1 0 +1 +0 8 0xbc8 0x922abf78 @@ -155966,6 +194958,8 @@ 1 1 0 +4 +2 7 0xdf94 0xee8e2d1c @@ -155974,6 +194968,8 @@ 0 0 0 +5 +5 10 0x4565 0x51fe6acd @@ -155982,6 +194978,8 @@ 0 0 0 +2 +2 1 0xc09c 0xae645a21 @@ -155990,6 +194988,8 @@ 0 0 0 +0 +0 8 0x20f2 0x98855cc @@ -155998,6 +194998,8 @@ 1 0 0 +0 +4 9 0x98ef 0xad5b2369 @@ -156006,6 +195008,8 @@ 0 0 0 +2 +4 8 0xbdca 0xd7c73c0c @@ -156015,6 +195019,8 @@ 0 0 2 +1 +2 0x114b 0x79a37396 256 @@ -156022,6 +195028,8 @@ 1 1 0 +5 +2 10 0x89b6 0x302c2d4 @@ -156030,6 +195038,8 @@ 1 1 0 +5 +2 4 0x189c 0x1ef4dac7 @@ -156038,6 +195048,8 @@ 0 0 0 +0 +1 3 0x66e5 0xf8bf0a01 @@ -156046,6 +195058,8 @@ 1 0 0 +1 +4 10 0x760b 0x9f3069bb @@ -156054,6 +195068,8 @@ 0 0 0 +0 +4 4 0xd88d 0x8a701434 @@ -156062,6 +195078,8 @@ 1 0 0 +2 +0 9 0xa04d 0xdb97a3d5 @@ -156070,6 +195088,8 @@ 1 0 0 +5 +2 9 0xdd37 0x42f8bda3 @@ -156078,6 +195098,8 @@ 1 1 0 +0 +0 10 0x8a98 0xcf600abd @@ -156086,6 +195108,8 @@ 0 0 0 +3 +1 6 0xecac 0x3fb2daab @@ -156094,6 +195118,8 @@ 1 0 0 +5 +4 3 0x8898 0x3e839e80 @@ -156102,6 +195128,8 @@ 1 1 0 +5 +0 9 0x877f 0x90e41afb @@ -156110,6 +195138,8 @@ 1 0 0 +0 +2 1 0x235a 0xa4864b6b @@ -156118,6 +195148,8 @@ 1 1 0 +3 +0 8 0xe97d 0x6d468f2b @@ -156126,6 +195158,8 @@ 1 1 0 +4 +5 9 0x8067 0xe229b5bb @@ -156134,6 +195168,8 @@ 1 0 0 +0 +1 10 0x8e85 0x7d040e59 @@ -156142,6 +195178,8 @@ 0 0 0 +2 +2 7 0x3f78 0x57fcfb43 @@ -156150,6 +195188,8 @@ 1 1 0 +2 +2 1 0xa249 0xb81d15a0 @@ -156158,6 +195198,8 @@ 1 0 0 +5 +4 9 0x251e 0xc1bcc704 @@ -156166,6 +195208,8 @@ 1 1 0 +3 +0 1 0xd645 0xb1a9e9da @@ -156174,6 +195218,8 @@ 0 0 0 +0 +3 10 0xf8a2 0xe3ab8e54 @@ -156182,6 +195228,8 @@ 0 0 0 +5 +3 8 0xb891 0x8c50cf51 @@ -156190,6 +195238,8 @@ 1 1 0 +5 +1 3 0xc9cc 0x6fc76b7b @@ -156198,6 +195248,8 @@ 1 1 0 +1 +3 5 0x8697 0x1c0c76e0 @@ -156206,6 +195258,8 @@ 1 1 0 +4 +3 3 0x1c88 0x54f67e1f @@ -156214,6 +195268,8 @@ 1 0 0 +2 +0 6 0x639d 0x2d1bd96e @@ -156222,6 +195278,8 @@ 1 0 0 +2 +5 10 0x6d08 0x6b71f2e9 @@ -156230,6 +195288,8 @@ 0 0 0 +3 +3 10 0x8a84 0xb398d336 @@ -156238,6 +195298,8 @@ 1 1 0 +5 +4 3 0x5936 0x190899f3 @@ -156246,6 +195308,8 @@ 1 0 0 +4 +1 2 0xb7cd 0x520035ce @@ -156254,6 +195318,8 @@ 1 1 0 +4 +3 8 0xa061 0xe73b9dfd @@ -156262,6 +195328,8 @@ 1 1 0 +5 +5 3 0x18be 0x5a684c30 @@ -156270,6 +195338,8 @@ 1 0 0 +4 +3 7 0xca35 0x6203dbb0 @@ -156278,6 +195348,8 @@ 1 1 0 +2 +2 1 0xc7d1 0x1a2391f1 @@ -156286,6 +195358,8 @@ 1 0 0 +3 +4 9 0xd3cf 0xa1f51310 @@ -156295,6 +195369,8 @@ 0 0 2 +0 +2 0x92cb 0xe734d456 256 @@ -156302,6 +195378,8 @@ 0 0 0 +4 +3 7 0x730 0x32776603 @@ -156310,6 +195388,8 @@ 1 0 0 +1 +2 9 0x29d9 0x4a038561 @@ -156318,6 +195398,8 @@ 1 0 0 +2 +0 3 0x1843 0x64aef07e @@ -156326,6 +195408,8 @@ 1 1 0 +1 +4 6 0x7549 0xbdfbaf98 @@ -156334,6 +195418,8 @@ 1 0 0 +4 +4 9 0xa66c 0x20e4ed97 @@ -156343,6 +195429,8 @@ 0 0 2 +0 +2 0xd16d 0x652caa10 256 @@ -156350,6 +195438,8 @@ 1 0 0 +1 +4 5 0xb9d1 0x60d6c2f7 @@ -156358,6 +195448,8 @@ 0 0 0 +1 +2 10 0x85cd 0xc04bc2d4 @@ -156366,6 +195458,8 @@ 0 0 0 +0 +1 1 0xac1a 0xdbd54c49 @@ -156374,6 +195468,8 @@ 1 0 0 +0 +1 4 0x4430 0x1a1827ec @@ -156383,6 +195479,8 @@ 0 0 1 +5 +1 0xf619 0x7a3b95a0 256 @@ -156390,6 +195488,8 @@ 0 0 0 +4 +0 1 0xb8f4 0x9b33cae1 @@ -156398,6 +195498,8 @@ 0 0 0 +1 +1 9 0x4599 0xa5e416b9 @@ -156406,6 +195508,8 @@ 1 1 0 +3 +2 8 0x35fc 0xcc153efe @@ -156414,6 +195518,8 @@ 1 1 0 +2 +0 1 0x6e8d 0x9ace5f19 @@ -156423,6 +195529,8 @@ 0 0 4 +0 +4 0x9a54 0x8c464ffe 256 @@ -156430,6 +195538,8 @@ 1 0 0 +5 +2 9 0x78bd 0xbcb17dc2 @@ -156438,6 +195548,8 @@ 0 0 0 +4 +3 9 0x7290 0x3e18180a @@ -156446,6 +195558,8 @@ 1 1 0 +4 +4 7 0xcb43 0x3740e0f3 @@ -156454,6 +195568,8 @@ 0 0 0 +4 +0 5 0x4af6 0xbed30888 @@ -156462,6 +195578,8 @@ 1 0 0 +1 +2 4 0xd060 0x44a59cc @@ -156471,6 +195589,8 @@ 0 0 1 +0 +1 0x7e54 0xde235e1f 256 @@ -156478,6 +195598,8 @@ 0 0 0 +1 +4 4 0xf116 0xb7e7afdf @@ -156486,6 +195608,8 @@ 1 0 0 +4 +0 8 0xb6e7 0xdc509299 @@ -156494,6 +195618,8 @@ 0 0 0 +4 +1 8 0x9833 0xda863df9 @@ -156502,6 +195628,8 @@ 0 0 0 +1 +2 10 0x672 0x1731f5e6 @@ -156510,6 +195638,8 @@ 1 1 0 +1 +3 3 0x28a0 0xaa4199c2 @@ -156518,6 +195648,8 @@ 1 1 0 +4 +5 10 0x517d 0xc302467a @@ -156527,6 +195659,8 @@ 0 0 5 +5 +5 0x4156 0xd8744380 256 @@ -156534,6 +195668,8 @@ 1 1 0 +5 +3 3 0x5d35 0x8ef5f528 @@ -156542,6 +195678,8 @@ 1 0 0 +1 +3 9 0xc3c1 0x880cda4f @@ -156550,6 +195688,8 @@ 0 0 0 +0 +5 4 0x2364 0x2509bec1 @@ -156558,6 +195698,8 @@ 1 1 0 +1 +2 4 0xc297 0x96d45a5e @@ -156567,6 +195709,8 @@ 1 0 4 +2 +4 0x7cf1 0xa0fff1ee 256 @@ -156575,6 +195719,8 @@ 0 0 5 +2 +5 0x990d 0x1435025d 256 @@ -156582,6 +195728,8 @@ 1 1 0 +3 +1 9 0x91f4 0xbf28d033 @@ -156591,6 +195739,8 @@ 0 0 5 +3 +5 0x2063 0x77b49f57 256 @@ -156598,6 +195748,8 @@ 0 0 0 +2 +5 9 0x768c 0xd3d901fd @@ -156606,6 +195758,8 @@ 0 0 0 +5 +3 2 0xb0bd 0x2e3d5433 @@ -156615,6 +195769,8 @@ 0 0 4 +1 +4 0x6ddc 0xf9f222bb 256 @@ -156622,6 +195778,8 @@ 0 0 0 +1 +3 8 0xcacb 0xad64ba3f @@ -156630,6 +195788,8 @@ 1 1 0 +2 +2 6 0x97d9 0xd4c84351 @@ -156638,6 +195798,8 @@ 1 1 0 +1 +5 4 0xcec5 0x1e788145 @@ -156646,6 +195808,8 @@ 0 0 0 +2 +5 9 0x644e 0x7e209645 @@ -156654,6 +195818,8 @@ 1 0 0 +0 +0 9 0xcfb6 0x75434562 @@ -156662,6 +195828,8 @@ 0 0 0 +1 +3 10 0x6f17 0x4f5e4c3d @@ -156671,6 +195839,8 @@ 0 0 4 +0 +4 0x981f 0x4d8cc37d 256 @@ -156678,6 +195848,8 @@ 1 0 0 +0 +2 6 0xe046 0x1cf1303d @@ -156686,6 +195858,8 @@ 0 0 0 +5 +2 6 0x1ed9 0x41c60e4e @@ -156694,6 +195868,8 @@ 0 0 0 +1 +3 4 0x76d3 0x7fde7f8 @@ -156702,6 +195878,8 @@ 0 0 0 +2 +0 5 0x5c3 0xdc4b7eda @@ -156710,6 +195888,8 @@ 0 0 0 +4 +1 10 0x647d 0x99c7b322 @@ -156718,6 +195898,8 @@ 1 0 0 +5 +0 7 0x5207 0x5815d8b8 @@ -156726,6 +195908,8 @@ 1 0 0 +1 +2 9 0x5193 0xe87e5f70 @@ -156734,6 +195918,8 @@ 0 0 0 +5 +1 2 0xa11f 0x70a5094c @@ -156742,6 +195928,8 @@ 1 0 0 +2 +2 1 0xc66c 0xe36b4f2a @@ -156750,6 +195938,8 @@ 1 0 0 +2 +1 7 0x5ea5 0x39fb8e87 @@ -156758,6 +195948,8 @@ 0 0 0 +1 +2 10 0x18f0 0xe1025749 @@ -156767,6 +195959,8 @@ 0 0 4 +2 +4 0xf05c 0x9ed23833 256 @@ -156774,6 +195968,8 @@ 0 0 0 +2 +5 4 0xd30 0x29a63a90 @@ -156782,6 +195978,8 @@ 0 0 0 +5 +1 3 0x12c3 0xc09daae7 @@ -156790,6 +195988,8 @@ 0 0 0 +3 +1 6 0xac15 0xdb78cc2e @@ -156798,6 +195998,8 @@ 1 0 0 +4 +2 1 0xe3a3 0x3383590d @@ -156807,6 +196009,8 @@ 0 0 5 +1 +5 0xc381 0xc4d0065d 256 @@ -156814,6 +196018,8 @@ 0 0 0 +0 +4 10 0xb8d8 0xa70b9e02 @@ -156822,6 +196028,8 @@ 0 0 0 +2 +0 4 0xcaab 0xf5a32eff @@ -156830,6 +196038,8 @@ 1 0 0 +4 +3 10 0x6375 0xbcfe23e5 @@ -156838,6 +196048,8 @@ 1 0 0 +2 +3 9 0xfc2e 0xdac382fe @@ -156846,6 +196058,8 @@ 1 1 0 +3 +4 5 0xf73b 0x69c08141 @@ -156855,6 +196069,8 @@ 0 0 5 +1 +5 0x3c1b 0x7c18f4cd 256 @@ -156862,6 +196078,8 @@ 1 0 0 +4 +0 2 0xb719 0x13828b7e @@ -156870,6 +196088,8 @@ 1 0 0 +2 +0 1 0xf802 0x14570920 @@ -156878,6 +196098,8 @@ 1 1 0 +1 +0 7 0xfe63 0xb5ec506f @@ -156886,6 +196108,8 @@ 1 0 0 +5 +1 4 0xf3bf 0x2878ca77 @@ -156894,6 +196118,8 @@ 0 0 0 +0 +4 5 0x9e22 0x54180754 @@ -156902,6 +196128,8 @@ 1 1 0 +4 +5 5 0xd830 0x3a8fa1e9 @@ -156910,6 +196138,8 @@ 0 0 0 +2 +2 8 0x2ccc 0x78b7e5b5 @@ -156918,6 +196148,8 @@ 1 1 0 +4 +0 7 0x43d2 0x38a66b1b @@ -156926,6 +196158,8 @@ 0 0 0 +2 +4 1 0xa4a7 0xa8c225fd @@ -156934,6 +196168,8 @@ 0 0 0 +5 +0 10 0xeb0a 0x6c2b5f8a @@ -156942,6 +196178,8 @@ 0 0 0 +1 +5 8 0xb050 0xa31bc2d1 @@ -156951,6 +196189,8 @@ 0 0 2 +3 +2 0xee8 0xbc0cc750 256 @@ -156958,6 +196198,8 @@ 0 0 0 +2 +0 1 0x44b5 0x24bacc3e @@ -156966,6 +196208,8 @@ 0 0 0 +2 +4 1 0xfa47 0x12f83c29 @@ -156974,6 +196218,8 @@ 0 0 0 +3 +2 4 0xe841 0xe50c09f6 @@ -156982,6 +196228,8 @@ 0 0 0 +0 +3 3 0x87e7 0x23a27e48 @@ -156990,6 +196238,8 @@ 1 0 0 +3 +2 10 0x983 0x4b749d13 @@ -156998,6 +196248,8 @@ 0 0 0 +3 +1 6 0xdc6c 0x1e026874 @@ -157006,6 +196258,8 @@ 1 1 0 +2 +4 3 0x7532 0x3619b63b @@ -157015,6 +196269,8 @@ 0 0 4 +0 +4 0x1bfe 0x19c616fd 256 @@ -157022,6 +196278,8 @@ 0 0 0 +4 +2 10 0x40cb 0x328af10a @@ -157030,6 +196288,8 @@ 1 0 0 +4 +3 7 0x2ebc 0x7b84ac61 @@ -157038,6 +196298,8 @@ 0 0 0 +3 +0 6 0xa966 0x28525b9b @@ -157046,6 +196308,8 @@ 1 0 0 +5 +2 2 0x3a38 0xb22058f8 @@ -157054,6 +196318,8 @@ 1 0 0 +3 +2 5 0x1e56 0x15db439b @@ -157062,6 +196328,8 @@ 1 0 0 +1 +5 7 0x369b 0x8496bdfa @@ -157070,6 +196338,8 @@ 1 0 0 +4 +4 2 0x8c9 0xc19083cc @@ -157078,6 +196348,8 @@ 0 0 0 +1 +0 4 0xf4f4 0x4741aae3 @@ -157086,6 +196358,8 @@ 1 1 0 +4 +2 7 0x9515 0x9c517161 @@ -157094,6 +196368,8 @@ 1 0 0 +4 +0 8 0xd2b0 0xcba78e2d @@ -157102,6 +196378,8 @@ 1 1 0 +0 +5 7 0x8214 0x7a053a7a @@ -157110,6 +196388,8 @@ 1 0 0 +4 +0 5 0xca7a 0x135c5ea7 @@ -157118,6 +196398,8 @@ 1 1 0 +3 +1 5 0x98b9 0x6ebf6a68 @@ -157126,6 +196408,8 @@ 0 0 0 +0 +0 9 0x1cbb 0xae32e08b @@ -157134,6 +196418,8 @@ 0 0 0 +0 +0 10 0xcc3 0x9c129af0 @@ -157143,6 +196429,8 @@ 1 0 1 +2 +1 0xf608 0xa2a5ea3f 256 @@ -157150,6 +196438,8 @@ 0 0 0 +4 +5 8 0x7bf2 0x8e9e68d3 @@ -157158,6 +196448,8 @@ 1 1 0 +3 +0 6 0x20bf 0xbf03d8cd @@ -157166,6 +196458,8 @@ 1 1 0 +1 +5 9 0xce3e 0x202ba2d5 @@ -157174,6 +196468,8 @@ 0 0 0 +3 +5 1 0x8c79 0x3f307808 @@ -157182,6 +196478,8 @@ 0 0 0 +5 +4 10 0x95bb 0xc38ae9c7 @@ -157190,6 +196488,8 @@ 1 1 0 +1 +5 3 0x6689 0xf19008fc @@ -157198,6 +196498,8 @@ 1 1 0 +5 +4 3 0xf7cf 0xf112dfd1 @@ -157206,6 +196508,8 @@ 0 0 0 +1 +2 7 0x46e0 0x7ddbb7ef @@ -157214,6 +196518,8 @@ 0 0 0 +5 +2 1 0xd843 0xd61bf804 @@ -157222,6 +196528,8 @@ 0 0 0 +4 +5 2 0x2d64 0xd8d885a7 @@ -157230,6 +196538,8 @@ 0 0 0 +1 +4 6 0x22af 0x49a8a847 @@ -157238,6 +196548,8 @@ 0 0 0 +5 +4 10 0xaca 0x290529ab @@ -157246,6 +196558,8 @@ 0 0 0 +5 +4 1 0x1bb6 0xda6a24b0 @@ -157254,6 +196568,8 @@ 1 0 0 +4 +4 8 0xebc6 0xa66bece9 @@ -157263,6 +196579,8 @@ 0 0 2 +1 +2 0x8f22 0x534a5710 256 @@ -157270,6 +196588,8 @@ 0 0 0 +5 +3 8 0xcb7 0x61e6dd57 @@ -157278,6 +196598,8 @@ 1 1 0 +2 +1 6 0x448 0x99dd0140 @@ -157287,6 +196609,8 @@ 1 0 2 +5 +2 0x2466 0x89f9e740 256 @@ -157294,6 +196618,8 @@ 1 0 0 +5 +1 2 0x8fe3 0xbcddc599 @@ -157302,6 +196628,8 @@ 1 1 0 +3 +2 2 0x5dc1 0x304519dd @@ -157310,6 +196638,8 @@ 1 0 0 +3 +4 5 0x1a19 0x49bc3d3f @@ -157318,6 +196648,8 @@ 1 0 0 +0 +5 4 0x91ce 0xa77c88f8 @@ -157326,6 +196658,8 @@ 0 0 0 +4 +1 7 0x8308 0xdda6707c @@ -157334,6 +196668,8 @@ 0 0 0 +0 +1 6 0x1a2a 0xc9e17c88 @@ -157342,6 +196678,8 @@ 0 0 0 +1 +1 5 0xd077 0x334db925 @@ -157350,6 +196688,8 @@ 1 0 0 +4 +0 6 0x10bb 0x5a16b8d6 @@ -157358,6 +196698,8 @@ 0 0 0 +4 +0 10 0x6a31 0x92c690cb @@ -157366,6 +196708,8 @@ 0 0 0 +3 +1 7 0x60a 0x46a656a6 @@ -157374,6 +196718,8 @@ 1 0 0 +0 +3 6 0x86ed 0xce68ac54 @@ -157382,6 +196728,8 @@ 1 0 0 +0 +3 2 0x1d89 0xb427451c @@ -157391,6 +196739,8 @@ 1 0 5 +2 +5 0x289c 0x5977ec06 256 @@ -157398,6 +196748,8 @@ 0 0 0 +3 +5 5 0x666e 0xd2bc597c @@ -157406,6 +196758,8 @@ 1 0 0 +5 +2 9 0xdfae 0x1dd23eb1 @@ -157414,6 +196768,8 @@ 0 0 0 +2 +3 7 0x432e 0xc8f54f3c @@ -157422,6 +196778,8 @@ 1 1 0 +4 +0 9 0x5dc4 0x596c5859 @@ -157430,6 +196788,8 @@ 1 0 0 +0 +3 7 0x3f7f 0x95b0d4fa @@ -157438,6 +196798,8 @@ 1 0 0 +5 +5 2 0x362d 0x17c536e @@ -157446,6 +196808,8 @@ 1 0 0 +2 +0 8 0x4ae6 0x9a1ebeeb @@ -157454,6 +196818,8 @@ 1 0 0 +2 +1 7 0x5e43 0x7392ea8c @@ -157462,6 +196828,8 @@ 1 0 0 +0 +1 7 0xcf9c 0xb0de9ff @@ -157470,6 +196838,8 @@ 1 0 0 +4 +1 1 0xfa85 0x81d9a819 @@ -157478,6 +196848,8 @@ 1 0 0 +4 +5 5 0xa587 0x985b2695 @@ -157486,6 +196858,8 @@ 1 0 0 +2 +0 5 0xc01a 0x9659ac57 @@ -157494,6 +196868,8 @@ 1 0 0 +3 +3 2 0x329b 0x223f4472 @@ -157502,6 +196878,8 @@ 0 0 0 +5 +4 10 0xbfb9 0xeb8e64ee @@ -157510,6 +196888,8 @@ 0 0 0 +5 +1 9 0x316c 0x380ffe5 @@ -157518,6 +196898,8 @@ 0 0 0 +0 +2 9 0x9360 0xcdf892ec @@ -157526,6 +196908,8 @@ 1 0 0 +1 +1 5 0x8cc7 0xc62d67cd @@ -157534,6 +196918,8 @@ 0 0 0 +0 +3 2 0x8599 0x1e12cf4c @@ -157542,6 +196928,8 @@ 0 0 0 +0 +4 8 0x528f 0xd6bace89 @@ -157550,6 +196938,8 @@ 1 1 0 +3 +0 1 0x21ab 0xd027f0af @@ -157558,6 +196948,8 @@ 0 0 0 +4 +2 9 0x9d92 0xe28ac053 @@ -157566,6 +196958,8 @@ 1 0 0 +4 +3 1 0x9c4a 0x36629b85 @@ -157574,6 +196968,8 @@ 0 0 0 +5 +5 10 0xf7b5 0xd7b5b5af @@ -157583,6 +196979,8 @@ 1 0 5 +5 +5 0x38e8 0x7bc6ef6e 256 @@ -157590,6 +196988,8 @@ 1 1 0 +1 +0 4 0xff8 0x207e6cb0 @@ -157598,6 +196998,8 @@ 1 0 0 +4 +2 8 0x4dc9 0xd41e749a @@ -157606,6 +197008,8 @@ 0 0 0 +1 +5 6 0xcbd7 0x6e8c72cc @@ -157614,6 +197018,8 @@ 1 1 0 +4 +4 3 0x3e18 0xf02306bd @@ -157622,6 +197028,8 @@ 1 0 0 +4 +1 1 0xe8fc 0xd4dee915 @@ -157630,6 +197038,8 @@ 0 0 0 +4 +4 2 0x111c 0x77b98ba8 @@ -157638,6 +197048,8 @@ 1 1 0 +0 +1 10 0xa099 0x40836b59 @@ -157646,6 +197058,8 @@ 1 0 0 +2 +2 8 0x6793 0x451e1d40 @@ -157654,6 +197068,8 @@ 1 0 0 +4 +2 8 0xba23 0xd7ed854b @@ -157662,6 +197078,8 @@ 0 0 0 +2 +1 5 0x8660 0x6049f0e1 @@ -157670,6 +197088,8 @@ 0 0 0 +0 +5 8 0x1e6c 0xf09e64a1 @@ -157679,6 +197099,8 @@ 1 0 4 +3 +4 0x458 0xc68ce88b 256 @@ -157686,6 +197108,8 @@ 1 0 0 +5 +0 2 0x7314 0x994eca36 @@ -157694,6 +197118,8 @@ 0 0 0 +3 +3 8 0x4afa 0xc44623bb @@ -157702,6 +197128,8 @@ 1 1 0 +5 +2 4 0x7237 0x26d1d4d5 @@ -157710,6 +197138,8 @@ 1 1 0 +4 +5 2 0x64c7 0xc82cc2ec @@ -157718,6 +197148,8 @@ 0 0 0 +0 +2 10 0x68c5 0x27a77d91 @@ -157726,6 +197158,8 @@ 1 1 0 +0 +4 1 0xf932 0xee46b690 @@ -157734,6 +197168,8 @@ 1 0 0 +2 +1 5 0xbedb 0xf961cec9 @@ -157742,6 +197178,8 @@ 1 0 0 +3 +2 10 0x33ab 0xaf79331a @@ -157750,6 +197188,8 @@ 0 0 0 +3 +4 2 0x8237 0xeb722e87 @@ -157758,6 +197198,8 @@ 0 0 0 +0 +3 6 0xa9e 0x5116d294 @@ -157766,6 +197208,8 @@ 0 0 0 +2 +1 5 0x1e6f 0x70488a5c @@ -157774,6 +197218,8 @@ 0 0 0 +5 +4 6 0x1786 0x912d74a6 @@ -157782,6 +197228,8 @@ 1 0 0 +0 +0 9 0xdfd8 0x89a8da12 @@ -157790,6 +197238,8 @@ 1 1 0 +4 +4 1 0xc826 0x8436d4d2 @@ -157798,6 +197248,8 @@ 1 0 0 +0 +3 1 0x3d2f 0x998fdaf7 @@ -157806,6 +197258,8 @@ 0 0 0 +0 +4 7 0x2bf 0x690d97fd @@ -157814,6 +197268,8 @@ 0 0 0 +4 +3 2 0x84b7 0x3d9542b4 @@ -157822,6 +197278,8 @@ 1 0 0 +0 +3 3 0x5cc8 0x144239e8 @@ -157830,6 +197288,8 @@ 0 0 0 +0 +4 9 0x9373 0x39a928ce @@ -157838,6 +197298,8 @@ 1 1 0 +5 +1 1 0xffe7 0x73f04dca @@ -157846,6 +197308,8 @@ 1 0 0 +1 +1 4 0x3ef1 0xf44fdfb9 @@ -157854,6 +197318,8 @@ 0 0 0 +0 +5 1 0x97f3 0xc51adb88 @@ -157862,6 +197328,8 @@ 1 0 0 +5 +1 2 0xf63b 0xec66f65 @@ -157870,6 +197338,8 @@ 0 0 0 +0 +0 5 0xf04a 0x9ec3fb80 @@ -157878,6 +197348,8 @@ 0 0 0 +3 +0 9 0x1aea 0x218c69ca @@ -157886,6 +197358,8 @@ 1 1 0 +4 +1 3 0x4d18 0x40096a2f @@ -157895,6 +197369,8 @@ 1 0 1 +2 +1 0xf321 0x3b13f904 256 @@ -157902,6 +197378,8 @@ 0 0 0 +3 +4 4 0xd1b9 0xdfc1e7be @@ -157910,6 +197388,8 @@ 1 1 0 +5 +3 6 0x8479 0xdc8040 @@ -157918,6 +197398,8 @@ 1 0 0 +0 +0 5 0x4562 0xb7b61992 @@ -157926,6 +197408,8 @@ 0 0 0 +5 +2 1 0x9a52 0x7f123efd @@ -157934,6 +197418,8 @@ 1 0 0 +4 +1 9 0x937 0x6d04c10d @@ -157942,6 +197428,8 @@ 1 1 0 +1 +2 3 0x3c7e 0xddb42387 @@ -157951,6 +197439,8 @@ 0 0 3 +5 +3 0xba47 0x40022a4e 256 @@ -157959,6 +197449,8 @@ 1 0 1 +0 +1 0x2a8a 0xa92a1e39 256 @@ -157966,6 +197458,8 @@ 1 1 0 +2 +4 9 0x1da7 0xba0020d4 @@ -157974,6 +197468,8 @@ 0 0 0 +3 +5 6 0x4144 0xa0c28db7 @@ -157982,6 +197478,8 @@ 0 0 0 +2 +1 4 0xa7a0 0x2f293a89 @@ -157990,6 +197488,8 @@ 1 1 0 +1 +4 8 0x372f 0x6a12f6f8 @@ -157998,6 +197498,8 @@ 0 0 0 +5 +5 4 0x4526 0xa49824dd @@ -158006,6 +197508,8 @@ 0 0 0 +0 +5 9 0x692a 0xee457441 @@ -158014,6 +197518,8 @@ 0 0 0 +5 +0 8 0x66bf 0x47c0f67 @@ -158022,6 +197528,8 @@ 0 0 0 +0 +5 4 0x6748 0xd40e6575 @@ -158030,6 +197538,8 @@ 1 1 0 +5 +4 10 0x101 0xfa8d41a8 @@ -158038,6 +197548,8 @@ 1 1 0 +0 +4 9 0xe524 0xfdc3bb25 @@ -158047,6 +197559,8 @@ 0 0 2 +1 +2 0xa9fd 0xf219fdd 256 @@ -158054,6 +197568,8 @@ 1 1 0 +2 +4 1 0xf4c7 0x1136d235 @@ -158062,6 +197578,8 @@ 1 0 0 +5 +1 9 0x22b2 0xd2ff5320 @@ -158070,6 +197588,8 @@ 1 1 0 +2 +1 7 0xb91 0x4238ca37 @@ -158078,6 +197598,8 @@ 0 0 0 +4 +3 6 0xcc6c 0x97f9e0c3 @@ -158086,6 +197608,8 @@ 1 0 0 +1 +3 7 0xc716 0x5055d8f5 @@ -158095,6 +197619,8 @@ 0 0 4 +5 +4 0x1577 0xe789038c 256 @@ -158102,6 +197628,8 @@ 1 0 0 +4 +3 1 0xf70a 0xee7c1440 @@ -158110,6 +197638,8 @@ 0 0 0 +3 +1 10 0x4f1d 0xa475176 @@ -158118,6 +197648,8 @@ 1 1 0 +5 +1 10 0xa0e4 0x36be8146 @@ -158127,6 +197659,8 @@ 0 0 4 +2 +4 0xfa84 0xdd490673 256 @@ -158134,6 +197668,8 @@ 1 0 0 +1 +3 4 0x8239 0x51dc1e31 @@ -158142,6 +197678,8 @@ 1 0 0 +3 +2 5 0x68f7 0x9da90ae4 @@ -158150,6 +197688,8 @@ 1 1 0 +2 +3 7 0x99ed 0x262fb5d @@ -158158,6 +197698,8 @@ 1 1 0 +3 +5 10 0x3688 0x4cf9470c @@ -158166,6 +197708,8 @@ 1 1 0 +2 +3 9 0x6382 0xf8dc1e25 @@ -158174,6 +197718,8 @@ 1 0 0 +3 +0 7 0x1a94 0x494fbc81 @@ -158182,6 +197728,8 @@ 1 0 0 +2 +3 10 0xa155 0x76d858d7 @@ -158190,6 +197738,8 @@ 1 0 0 +4 +0 9 0xf0eb 0x776212cd @@ -158198,6 +197748,8 @@ 0 0 0 +0 +3 10 0x5274 0x69f7a432 @@ -158206,6 +197758,8 @@ 0 0 0 +2 +0 9 0xe5dc 0x9658e799 @@ -158214,6 +197768,8 @@ 0 0 0 +0 +2 6 0x4409 0x2896f0d0 @@ -158222,6 +197778,8 @@ 1 0 0 +2 +0 5 0x1a3 0x38641aeb @@ -158230,6 +197788,8 @@ 0 0 0 +5 +4 7 0x1dcf 0xda5e20df @@ -158238,6 +197798,8 @@ 1 0 0 +1 +5 4 0xb480 0x734c8dcb @@ -158246,6 +197808,8 @@ 1 1 0 +5 +3 9 0x1b19 0x1bd09627 @@ -158254,6 +197818,8 @@ 1 0 0 +3 +3 7 0x77e5 0xc22886a0 @@ -158262,6 +197828,8 @@ 0 0 0 +5 +0 6 0x6952 0xc83454e1 @@ -158270,6 +197838,8 @@ 1 0 0 +0 +4 6 0x8a4d 0x9708e1f4 @@ -158278,6 +197848,8 @@ 0 0 0 +0 +2 3 0xf3ee 0xae35c952 @@ -158286,6 +197858,8 @@ 1 0 0 +5 +1 1 0x6cab 0x881c3e24 @@ -158294,6 +197868,8 @@ 0 0 0 +4 +4 3 0x36c7 0xc797d795 @@ -158302,6 +197878,8 @@ 0 0 0 +0 +4 10 0x9b3c 0xf75d1edb @@ -158311,6 +197889,8 @@ 1 0 4 +3 +4 0x7680 0xf106ea81 256 @@ -158318,6 +197898,8 @@ 1 1 0 +1 +2 2 0xbc88 0xa8c4554e @@ -158326,6 +197908,8 @@ 1 1 0 +3 +4 5 0x903a 0x7fa8f533 @@ -158334,6 +197918,8 @@ 1 1 0 +1 +3 10 0x6fdd 0x9559f100 @@ -158342,6 +197928,8 @@ 1 1 0 +0 +2 1 0xe33 0x48852378 @@ -158351,6 +197939,8 @@ 1 0 5 +0 +5 0x7571 0xa867b42 256 @@ -158358,6 +197948,8 @@ 0 0 0 +4 +5 7 0xb1f7 0x938162e3 @@ -158366,6 +197958,8 @@ 0 0 0 +3 +4 10 0x7f4c 0xd0ebf99d @@ -158374,6 +197968,8 @@ 0 0 0 +0 +3 7 0xdcee 0x79a92c83 @@ -158382,6 +197978,8 @@ 1 1 0 +1 +0 5 0xaaf2 0x4a40a08 @@ -158390,6 +197988,8 @@ 1 1 0 +0 +1 9 0xc1f0 0x4c38b75b @@ -158398,6 +197998,8 @@ 0 0 0 +4 +2 10 0xdf8 0x7451438c @@ -158407,6 +198009,8 @@ 0 0 1 +4 +1 0xc080 0x9c43d4d 256 @@ -158414,6 +198018,8 @@ 0 0 0 +2 +0 7 0xb632 0xe6ff0893 @@ -158422,6 +198028,8 @@ 1 0 0 +1 +3 5 0x2b41 0x15421bf5 @@ -158430,6 +198038,8 @@ 1 0 0 +2 +3 7 0x3c01 0x41622907 @@ -158439,6 +198049,8 @@ 0 0 5 +2 +5 0x8d65 0xded67302 256 @@ -158446,6 +198058,8 @@ 1 0 0 +3 +4 6 0xd7da 0x7026202b @@ -158454,6 +198068,8 @@ 1 1 0 +0 +3 5 0x607f 0x3d7ef994 @@ -158462,6 +198078,8 @@ 0 0 0 +1 +5 10 0xd08f 0xc2e9b5b4 @@ -158470,6 +198088,8 @@ 1 1 0 +5 +2 9 0x72be 0x7657af4 @@ -158478,6 +198098,8 @@ 0 0 0 +0 +2 4 0xc2dc 0x6e92ad7 @@ -158486,6 +198108,8 @@ 0 0 0 +5 +1 6 0xa15e 0x37a02c04 @@ -158494,6 +198118,8 @@ 1 0 0 +4 +2 1 0xa103 0x5d4814af @@ -158503,6 +198129,8 @@ 1 0 4 +5 +4 0x99bb 0xab5894d7 256 @@ -158510,6 +198138,8 @@ 1 1 0 +0 +2 2 0xa8e9 0x8187a1ee @@ -158518,6 +198148,8 @@ 1 0 0 +3 +2 4 0x85fd 0xadc1f461 @@ -158526,6 +198158,8 @@ 1 1 0 +4 +4 9 0xe0ea 0xd94133e5 @@ -158534,6 +198168,8 @@ 0 0 0 +1 +0 7 0xb3dd 0x6084c4f @@ -158543,6 +198179,8 @@ 0 0 1 +5 +1 0x8350 0xcc460bf6 256 @@ -158550,6 +198188,8 @@ 0 0 0 +1 +0 4 0xf6f 0x152faa86 @@ -158558,6 +198198,8 @@ 1 1 0 +3 +4 7 0xc936 0xc44d3803 @@ -158567,6 +198209,8 @@ 0 0 3 +2 +3 0xfce6 0x342854c4 256 @@ -158574,6 +198218,8 @@ 0 0 0 +5 +0 7 0x326f 0xb42ad867 @@ -158582,6 +198228,8 @@ 1 1 0 +4 +1 7 0xc2e3 0x7039408b @@ -158591,6 +198239,8 @@ 0 0 1 +5 +1 0x91c7 0xf5de3fd7 256 @@ -158598,6 +198248,8 @@ 0 0 0 +5 +4 4 0xf38d 0x85cf07ba @@ -158606,6 +198258,8 @@ 0 0 0 +0 +3 5 0x6dbc 0x38b489d5 @@ -158614,6 +198268,8 @@ 0 0 0 +2 +5 1 0xd071 0xdf9d2c3e @@ -158622,6 +198278,8 @@ 1 1 0 +0 +3 2 0xf3e4 0xe0d128a6 @@ -158630,6 +198288,8 @@ 1 0 0 +1 +3 9 0xb6c0 0xca3a8d97 @@ -158638,6 +198298,8 @@ 0 0 0 +1 +3 9 0x12e6 0xd1e26d04 @@ -158647,6 +198309,8 @@ 1 0 3 +5 +3 0x910f 0x96199da4 256 @@ -158654,6 +198318,8 @@ 1 1 0 +4 +3 3 0x8e62 0xb16a7350 @@ -158662,6 +198328,8 @@ 0 0 0 +1 +1 3 0x719d 0x7af2e662 @@ -158671,6 +198339,8 @@ 1 0 1 +4 +1 0xb8a6 0x728cf581 256 @@ -158678,6 +198348,8 @@ 1 1 0 +1 +3 4 0x8aab 0xc820df65 @@ -158686,6 +198358,8 @@ 0 0 0 +1 +3 9 0x3db3 0xc7fc9505 @@ -158694,6 +198368,8 @@ 1 1 0 +1 +2 9 0x596 0x116136ba @@ -158702,6 +198378,8 @@ 1 0 0 +4 +1 7 0x72a6 0xe3c534e2 @@ -158710,6 +198388,8 @@ 0 0 0 +5 +3 7 0xf1ee 0x8cf546ba @@ -158718,6 +198398,8 @@ 1 1 0 +0 +5 4 0x6a4c 0x79af072d @@ -158726,6 +198408,8 @@ 0 0 0 +2 +1 6 0xee84 0xc71f984f @@ -158734,6 +198418,8 @@ 0 0 0 +4 +1 1 0xba1b 0x1e6b2a6d @@ -158742,6 +198428,8 @@ 0 0 0 +4 +3 5 0xf98 0xf8055093 @@ -158750,6 +198438,8 @@ 1 1 0 +0 +1 1 0xd5f0 0x6eb4f90a @@ -158758,6 +198448,8 @@ 0 0 0 +3 +4 1 0x72d6 0x83bd9854 @@ -158766,6 +198458,8 @@ 0 0 0 +0 +1 10 0x7202 0xc826b024 @@ -158774,6 +198468,8 @@ 1 1 0 +3 +3 1 0xd9d8 0x1acdd98e @@ -158782,6 +198478,8 @@ 1 0 0 +0 +5 5 0x6514 0x16a94394 @@ -158790,6 +198488,8 @@ 1 0 0 +0 +1 2 0xa149 0xaebe7d12 @@ -158798,6 +198498,8 @@ 0 0 0 +5 +3 7 0x174a 0x87b5a68c @@ -158806,6 +198508,8 @@ 1 0 0 +0 +5 1 0xabff 0x2210c5d8 @@ -158814,6 +198518,8 @@ 0 0 0 +4 +2 7 0x6f 0x64ff2485 @@ -158822,6 +198528,8 @@ 0 0 0 +1 +4 4 0xd585 0x4be7fe5b @@ -158830,6 +198538,8 @@ 0 0 0 +4 +0 8 0xbc45 0x80f7b11b @@ -158838,6 +198548,8 @@ 0 0 0 +4 +4 10 0xca5a 0xa3702fef @@ -158846,6 +198558,8 @@ 1 1 0 +0 +4 8 0x2f0 0x576fc8b4 @@ -158854,6 +198568,8 @@ 0 0 0 +2 +4 9 0xecba 0x9086794a @@ -158862,6 +198578,8 @@ 1 0 0 +5 +1 3 0x6cb4 0x65df6c13 @@ -158870,6 +198588,8 @@ 1 1 0 +0 +1 3 0x5c41 0x6e1e212d @@ -158878,6 +198598,8 @@ 1 0 0 +0 +2 2 0x4d76 0xd2f552b5 @@ -158886,6 +198608,8 @@ 1 0 0 +0 +0 9 0x2e55 0x23c30a9c @@ -158894,6 +198618,8 @@ 1 0 0 +2 +4 1 0x3e4a 0x34f43a1e @@ -158902,6 +198628,8 @@ 0 0 0 +3 +2 10 0xe55f 0xd858e167 @@ -158910,6 +198638,8 @@ 1 1 0 +4 +4 10 0xb29e 0xb2db39a1 @@ -158918,6 +198648,8 @@ 0 0 0 +3 +2 10 0x797c 0x40059d94 @@ -158926,6 +198658,8 @@ 1 1 0 +4 +3 5 0x5173 0x915a269f @@ -158934,6 +198668,8 @@ 0 0 0 +2 +0 6 0xec64 0x142ea79 @@ -158942,6 +198678,8 @@ 1 1 0 +5 +1 6 0xffec 0xdcc25508 @@ -158950,6 +198688,8 @@ 1 1 0 +5 +5 7 0xe333 0xcbc1cf04 @@ -158958,6 +198698,8 @@ 0 0 0 +0 +4 7 0x944f 0xd2dc015c @@ -158966,6 +198708,8 @@ 1 1 0 +5 +3 2 0x82ac 0x78a3d228 @@ -158974,6 +198718,8 @@ 1 0 0 +5 +1 8 0xe401 0xa9c0600d @@ -158983,6 +198729,8 @@ 1 0 1 +2 +1 0xca3f 0x9929fc9f 256 @@ -158990,6 +198738,8 @@ 0 0 0 +5 +2 6 0x237 0xce8b20bb @@ -158998,6 +198748,8 @@ 1 0 0 +2 +1 6 0x8eaf 0x1f44d6e4 @@ -159006,6 +198758,8 @@ 1 0 0 +4 +5 5 0x9f59 0x47c0d6c3 @@ -159014,6 +198768,8 @@ 0 0 0 +5 +0 8 0x8d06 0xd022b2ac @@ -159022,6 +198778,8 @@ 0 0 0 +2 +1 4 0xb391 0x5d80c0e0 @@ -159030,6 +198788,8 @@ 0 0 0 +2 +0 3 0xc6d7 0xf220636a @@ -159038,6 +198798,8 @@ 0 0 0 +0 +0 7 0x438a 0x5ce8833d @@ -159046,6 +198808,8 @@ 0 0 0 +1 +0 10 0xc2b5 0xcf29bd20 @@ -159054,6 +198818,8 @@ 0 0 0 +3 +0 2 0x77e3 0xd7e36475 @@ -159062,6 +198828,8 @@ 0 0 0 +4 +1 7 0xe4d4 0xf12f5bd3 @@ -159070,6 +198838,8 @@ 0 0 0 +3 +1 4 0xa2ed 0xee914ce3 @@ -159078,6 +198848,8 @@ 0 0 0 +3 +3 1 0x2dfe 0xbaaee2 @@ -159086,6 +198858,8 @@ 0 0 0 +1 +2 2 0x254f 0xdf73d90c @@ -159094,6 +198868,8 @@ 0 0 0 +5 +3 9 0xd4fb 0xa7979fdf @@ -159102,6 +198878,8 @@ 1 1 0 +5 +2 7 0x9132 0x12a71b09 @@ -159110,6 +198888,8 @@ 0 0 0 +4 +1 7 0xb198 0xcdcb1334 @@ -159118,6 +198898,8 @@ 1 1 0 +3 +3 1 0x1232 0xac8035d5 @@ -159127,6 +198909,8 @@ 0 0 4 +4 +4 0xc8f5 0x54ecd545 256 @@ -159135,6 +198919,8 @@ 0 0 4 +1 +4 0x3c20 0xc149d2c6 256 @@ -159142,6 +198928,8 @@ 1 1 0 +5 +1 1 0x620b 0x5e82bdcf @@ -159150,6 +198938,8 @@ 1 0 0 +1 +4 8 0xb2f0 0xad1bfcf0 @@ -159158,6 +198948,8 @@ 0 0 0 +1 +4 7 0x8ee0 0xb35c9b8c @@ -159166,6 +198958,8 @@ 0 0 0 +0 +4 3 0xeb11 0x52d90985 @@ -159175,6 +198969,8 @@ 0 0 3 +5 +3 0x1432 0x77114b8c 256 @@ -159183,6 +198979,8 @@ 0 0 2 +1 +2 0xd85a 0x4c3d3ef2 256 @@ -159190,6 +198988,8 @@ 0 0 0 +0 +2 8 0xce7f 0xcd5afa00 @@ -159198,6 +198998,8 @@ 1 1 0 +2 +2 1 0x6eac 0x566b792b @@ -159206,6 +199008,8 @@ 1 0 0 +2 +5 1 0xa5ba 0x14ee9b6d @@ -159214,6 +199018,8 @@ 1 1 0 +0 +1 1 0x592a 0x3e249996 @@ -159222,6 +199028,8 @@ 0 0 0 +0 +1 1 0x8490 0xe283d0b3 @@ -159230,6 +199038,8 @@ 0 0 0 +2 +3 4 0xa44b 0xd80e7982 @@ -159238,6 +199048,8 @@ 1 1 0 +2 +4 1 0x9d05 0x450917a7 @@ -159246,6 +199058,8 @@ 0 0 0 +0 +0 6 0xcc1d 0x517c534b @@ -159254,6 +199068,8 @@ 1 1 0 +2 +2 8 0xa9e0 0x5bca9f14 @@ -159262,6 +199078,8 @@ 0 0 0 +5 +4 8 0x1330 0xc8ea92da @@ -159270,6 +199088,8 @@ 0 0 0 +3 +2 6 0x23f 0x7baf0e88 @@ -159278,6 +199098,8 @@ 1 1 0 +3 +1 4 0xe558 0x6bf0bc33 @@ -159286,6 +199108,8 @@ 1 0 0 +2 +3 6 0xad72 0xbb1e3605 @@ -159294,6 +199118,8 @@ 1 0 0 +5 +0 9 0x66d1 0xa55774e9 @@ -159302,6 +199128,8 @@ 0 0 0 +0 +4 2 0x766 0x30c4f227 @@ -159310,6 +199138,8 @@ 1 0 0 +1 +3 7 0x45fe 0x5a47d1 @@ -159318,6 +199148,8 @@ 1 0 0 +5 +1 10 0xd41d 0xfd9f25f9 @@ -159326,6 +199158,8 @@ 1 1 0 +0 +5 10 0x69de 0x2281a2ac @@ -159334,6 +199168,8 @@ 0 0 0 +4 +0 5 0xc645 0xbd8cf4b5 @@ -159342,6 +199178,8 @@ 0 0 0 +3 +1 5 0xb31c 0xfbe0d4ae @@ -159350,6 +199188,8 @@ 1 0 0 +0 +0 3 0x1835 0xacf55610 @@ -159359,6 +199199,8 @@ 0 0 4 +2 +4 0x2a85 0x54eb6b90 256 @@ -159366,6 +199208,8 @@ 0 0 0 +5 +0 6 0xde5 0x74fb996d @@ -159374,6 +199218,8 @@ 0 0 0 +5 +3 9 0x34c0 0x651f780 @@ -159382,6 +199228,8 @@ 1 1 0 +4 +1 5 0x2f77 0xffdf5c1b @@ -159390,6 +199238,8 @@ 0 0 0 +2 +5 7 0xa1b6 0xdc71c6a2 @@ -159398,6 +199248,8 @@ 0 0 0 +1 +3 2 0xc774 0xcbb6ff0e @@ -159406,6 +199258,8 @@ 1 1 0 +0 +4 1 0xb2d6 0x3a0b5a49 @@ -159414,6 +199268,8 @@ 0 0 0 +1 +0 6 0xb164 0xb6fb6899 @@ -159422,6 +199278,8 @@ 1 0 0 +3 +2 7 0x5af 0x30e95f41 @@ -159430,6 +199288,8 @@ 0 0 0 +4 +5 10 0x680d 0xd00545b7 @@ -159438,6 +199298,8 @@ 0 0 0 +2 +2 9 0x1e77 0xc144ed1d @@ -159446,6 +199308,8 @@ 0 0 0 +3 +3 8 0xba6 0x4e21b729 @@ -159454,6 +199318,8 @@ 1 1 0 +5 +2 3 0xf0f9 0x686432d0 @@ -159462,6 +199328,8 @@ 1 0 0 +4 +0 3 0x200e 0xfee69134 @@ -159470,6 +199338,8 @@ 0 0 0 +0 +3 5 0x7cac 0xcf7ed5db @@ -159478,6 +199348,8 @@ 0 0 0 +5 +4 9 0x83b1 0xfd6b415f @@ -159486,6 +199358,8 @@ 1 0 0 +0 +3 8 0x41f3 0x11e2bc1c @@ -159494,6 +199368,8 @@ 1 0 0 +4 +4 8 0xf53a 0xe6fca3ea @@ -159502,6 +199378,8 @@ 1 0 0 +1 +5 4 0x56dc 0x2e4750f4 @@ -159510,6 +199388,8 @@ 1 1 0 +0 +0 5 0x2617 0x5dc4bfe1 @@ -159518,6 +199398,8 @@ 0 0 0 +0 +0 3 0x2805 0xd086c3d9 @@ -159526,6 +199408,8 @@ 0 0 0 +4 +0 10 0x7394 0x2948258f @@ -159534,6 +199418,8 @@ 1 0 0 +2 +4 9 0x5dc4 0x6a39b270 @@ -159542,6 +199428,8 @@ 1 0 0 +4 +0 6 0x8873 0xf02f1307 @@ -159550,6 +199438,8 @@ 0 0 0 +4 +1 2 0xd838 0x553de04e @@ -159558,6 +199448,8 @@ 0 0 0 +2 +5 5 0x1a45 0xe40b0af9 @@ -159566,6 +199458,8 @@ 0 0 0 +2 +0 4 0x9c78 0x82d414c2 @@ -159574,6 +199468,8 @@ 0 0 0 +2 +1 10 0x6fa 0x9468f16a @@ -159582,6 +199478,8 @@ 1 0 0 +2 +5 3 0x2db3 0x42adcde9 @@ -159591,6 +199489,8 @@ 1 0 5 +1 +5 0x38f5 0x1af19974 256 @@ -159599,6 +199499,8 @@ 0 0 1 +1 +1 0xad30 0xbfff813 256 @@ -159606,6 +199508,8 @@ 1 1 0 +5 +4 7 0x78ea 0x6ca90550 @@ -159614,6 +199518,8 @@ 0 0 0 +0 +5 6 0x70f2 0x24560be9 @@ -159622,6 +199528,8 @@ 1 0 0 +2 +5 1 0xa2fa 0xd4181e21 @@ -159630,6 +199538,8 @@ 0 0 0 +5 +3 4 0x6395 0xa6d649ec @@ -159638,6 +199548,8 @@ 0 0 0 +2 +1 10 0x4102 0x3ce2e672 @@ -159646,6 +199558,8 @@ 0 0 0 +3 +0 4 0xa257 0x5697cf28 @@ -159654,6 +199568,8 @@ 1 0 0 +5 +0 3 0xcf30 0xc16d3d65 @@ -159662,6 +199578,8 @@ 0 0 0 +1 +4 3 0xd9f2 0xaf62a497 @@ -159670,6 +199588,8 @@ 1 0 0 +3 +3 9 0x3f07 0xb1fd51c6 @@ -159678,6 +199598,8 @@ 1 1 0 +2 +3 3 0x33e3 0x2eabfc40 @@ -159686,6 +199608,8 @@ 1 1 0 +0 +0 2 0x798 0x910fa9d4 @@ -159694,6 +199618,8 @@ 0 0 0 +2 +3 5 0xd93d 0xd78cd0c6 @@ -159702,6 +199628,8 @@ 0 0 0 +5 +4 3 0xe89c 0x1d3411aa @@ -159710,6 +199638,8 @@ 1 0 0 +3 +3 5 0xdd72 0xe832e6e4 @@ -159718,6 +199648,8 @@ 0 0 0 +4 +3 8 0x3c0d 0xdb56e2e4 @@ -159727,6 +199659,8 @@ 0 0 5 +2 +5 0xc106 0x63f91e94 256 @@ -159735,6 +199669,8 @@ 0 0 4 +5 +4 0x7fd1 0x17e18e01 256 @@ -159742,6 +199678,8 @@ 0 0 0 +4 +1 7 0xd0bb 0xd447323d @@ -159750,6 +199688,8 @@ 0 0 0 +5 +0 8 0x6629 0xc5589d08 @@ -159758,6 +199698,8 @@ 0 0 0 +3 +0 4 0xec99 0x7469d390 @@ -159766,6 +199708,8 @@ 1 1 0 +2 +5 7 0xc93b 0x5f73707a @@ -159775,6 +199719,8 @@ 0 0 5 +2 +5 0xd1ea 0x6baba129 256 @@ -159782,6 +199728,8 @@ 1 0 0 +0 +2 7 0x7ea0 0x94381e6e @@ -159790,6 +199738,8 @@ 0 0 0 +0 +5 3 0x17bd 0x9cfaf351 @@ -159798,6 +199748,8 @@ 0 0 0 +4 +4 10 0x68c5 0xc03fc3ea @@ -159807,6 +199759,8 @@ 1 0 3 +2 +3 0x3f3 0x5e8e1063 256 @@ -159814,6 +199768,8 @@ 0 0 0 +4 +1 7 0x1139 0x76caa302 @@ -159822,6 +199778,8 @@ 1 0 0 +1 +0 7 0x9807 0x29af560c @@ -159830,6 +199788,8 @@ 0 0 0 +0 +1 4 0xb183 0x59c48c9f @@ -159838,6 +199798,8 @@ 1 0 0 +1 +0 9 0x782d 0x681ff82b @@ -159846,6 +199808,8 @@ 0 0 0 +2 +0 1 0x134f 0x91a3966a @@ -159854,6 +199818,8 @@ 1 1 0 +3 +2 2 0xf33 0x645f6365 @@ -159862,6 +199828,8 @@ 0 0 0 +1 +0 7 0x9467 0x9a686abc @@ -159870,6 +199838,8 @@ 1 0 0 +4 +3 3 0xd451 0x45d7c49a @@ -159878,6 +199848,8 @@ 1 1 0 +2 +0 10 0xe594 0xbcebea58 @@ -159886,6 +199858,8 @@ 0 0 0 +4 +1 8 0x72a9 0x7a12a0cf @@ -159894,6 +199868,8 @@ 1 0 0 +0 +3 7 0x4acb 0xf22bfa9 @@ -159902,6 +199878,8 @@ 0 0 0 +4 +2 2 0x50a 0xb991d94f @@ -159910,6 +199888,8 @@ 1 1 0 +2 +5 5 0x8615 0xc1e8d810 @@ -159918,6 +199898,8 @@ 1 1 0 +5 +3 9 0x1406 0x8dd0b957 @@ -159926,6 +199908,8 @@ 1 1 0 +1 +5 6 0xd417 0xe664f7c6 @@ -159934,6 +199918,8 @@ 0 0 0 +2 +2 3 0x9ad5 0xd14a030f @@ -159942,6 +199928,8 @@ 0 0 0 +1 +2 2 0x8c9e 0x6da5e1d6 @@ -159950,6 +199938,8 @@ 0 0 0 +3 +3 2 0x2edd 0xa9edd1c6 @@ -159958,6 +199948,8 @@ 0 0 0 +5 +4 2 0x91a 0x30fd5aa9 @@ -159967,6 +199959,8 @@ 0 0 4 +1 +4 0xcc6a 0x1f73a889 256 @@ -159974,6 +199968,8 @@ 1 0 0 +1 +4 10 0x5805 0xe9d9c3b1 @@ -159982,6 +199978,8 @@ 0 0 0 +0 +2 6 0xf55a 0xf488037d @@ -159990,6 +199988,8 @@ 1 1 0 +0 +4 1 0x427f 0x27d9e2b6 diff --git a/jobs/backend/man_tiny.txt b/jobs/backend/man_tiny.txt index fb19b7b1..ce514a14 100644 --- a/jobs/backend/man_tiny.txt +++ b/jobs/backend/man_tiny.txt @@ -1,3 +1,5 @@ +4 +1 1 0x3bfe 0x815ec2e7 @@ -6,6 +8,8 @@ 0 0 0 +4 +4 2 0x2296 0x28a17d2 @@ -14,6 +18,8 @@ 1 1 0 +0 +1 1 0xf6bd 0x9628bda5 @@ -22,6 +28,8 @@ 1 1 0 +0 +2 2 0x2d83 0x18eaab9b @@ -30,6 +38,8 @@ 0 0 0 +3 +5 4 0x8a8 0x7796d8fb @@ -38,6 +48,8 @@ 0 0 0 +0 +4 4 0xd119 0x49d3033d @@ -47,6 +59,8 @@ 0 0 4 +2 +4 0x6c8f 0x8542a1fe 256 @@ -54,6 +68,8 @@ 1 1 0 +1 +2 4 0xc7d8 0xaed514ee @@ -62,6 +78,8 @@ 0 0 0 +2 +2 3 0xdc72 0x4a60415d @@ -70,6 +88,8 @@ 0 0 0 +4 +3 1 0x4217 0xd194d225 @@ -79,6 +99,8 @@ 0 0 2 +3 +2 0x2ab5 0xfce550f1 256 @@ -86,6 +108,8 @@ 1 1 0 +3 +4 4 0x9912 0x2a6c1bc8 @@ -94,6 +118,8 @@ 0 0 0 +0 +4 1 0x7be6 0x92d2284f @@ -102,6 +128,8 @@ 0 0 0 +0 +5 4 0x95b8 0x5bfac639 @@ -110,6 +138,8 @@ 0 0 0 +1 +4 3 0x2749 0xf350b722 @@ -118,6 +148,8 @@ 1 0 0 +5 +3 1 0x9974 0x9085aa26 @@ -126,6 +158,8 @@ 0 0 0 +2 +1 1 0x60e8 0x6ca3f439 @@ -134,6 +168,8 @@ 1 0 0 +0 +3 2 0xd049 0x45db20de @@ -142,6 +178,8 @@ 1 1 0 +5 +2 3 0x8a0f 0x90b51231 @@ -150,6 +188,8 @@ 1 0 0 +1 +2 3 0x2b60 0x18f5cad3 @@ -158,6 +198,8 @@ 1 1 0 +0 +5 3 0xfbea 0x5faf64e3 @@ -166,6 +208,8 @@ 0 0 0 +0 +4 2 0xce1a 0x79fabf95 @@ -174,6 +218,8 @@ 0 0 0 +4 +5 2 0xd43e 0x6fffa911 @@ -182,6 +228,8 @@ 0 0 0 +3 +5 4 0x72da 0x6f237f1d @@ -190,6 +238,8 @@ 1 1 0 +4 +4 1 0x23e4 0x8d297d7f @@ -199,6 +249,8 @@ 1 0 4 +5 +4 0xfe13 0x8dabd79d 256 @@ -206,6 +258,8 @@ 1 0 0 +5 +4 3 0xe2fd 0x93f035ad @@ -214,6 +268,8 @@ 0 0 0 +5 +0 1 0xde4d 0xd8c3775c @@ -222,6 +278,8 @@ 0 0 0 +0 +2 2 0xf5e9 0x1695f38a @@ -231,6 +289,8 @@ 1 0 2 +3 +2 0xb8b6 0x4c7ef504 256 @@ -238,6 +298,8 @@ 0 0 0 +0 +5 1 0xc6a7 0xb537ed97 @@ -246,6 +308,8 @@ 1 1 0 +3 +5 4 0xa328 0x5d872e8e @@ -254,6 +318,8 @@ 0 0 0 +5 +4 1 0x4635 0x8ed3dc9 @@ -262,6 +328,8 @@ 1 0 0 +3 +1 1 0x9e4f 0x75d93aee @@ -270,6 +338,8 @@ 0 0 0 +2 +5 3 0xf145 0xa1c029f8 @@ -279,6 +349,8 @@ 0 0 4 +3 +4 0x1a90 0xcf2339ba 256 @@ -286,6 +358,8 @@ 1 1 0 +3 +0 2 0x64f9 0x5c54ce5a @@ -295,6 +369,8 @@ 1 0 2 +3 +2 0x259c 0xfd6168d 256 @@ -303,6 +379,8 @@ 0 0 2 +4 +2 0x20da 0x73e0cf25 256 @@ -310,6 +388,8 @@ 0 0 0 +4 +2 1 0x235 0x955a9406 @@ -318,6 +398,8 @@ 1 1 0 +1 +4 4 0x7173 0x93d0c93e @@ -327,6 +409,8 @@ 0 0 2 +5 +2 0x3b55 0x2e558ada 256 @@ -334,6 +418,8 @@ 1 1 0 +1 +5 4 0x718a 0xb8b11474 @@ -342,6 +428,8 @@ 0 0 0 +1 +3 3 0xa1c9 0x1c2ca90b @@ -350,6 +438,8 @@ 0 0 0 +5 +0 4 0x3f3e 0xd2de1d08 @@ -358,6 +448,8 @@ 0 0 0 +0 +4 2 0xb22e 0x9b6de066 @@ -367,6 +459,8 @@ 1 0 1 +1 +1 0xb14b 0xc035016 256 @@ -374,6 +468,8 @@ 0 0 0 +1 +1 2 0xeddc 0xe9c64c57 @@ -382,6 +478,8 @@ 1 1 0 +1 +4 4 0x6352 0x2618c3fe @@ -390,6 +488,8 @@ 1 0 0 +3 +5 4 0xf5e6 0xf4551956 @@ -398,6 +498,8 @@ 0 0 0 +0 +2 3 0x8c54 0x463d7c7e @@ -406,6 +508,8 @@ 1 0 0 +3 +4 4 0x78c3 0xff87fec2 @@ -415,6 +519,8 @@ 1 0 2 +0 +2 0xcb38 0xd73c1d1e 256 @@ -422,6 +528,8 @@ 0 0 0 +4 +3 1 0x5a8f 0xf189c886 @@ -430,6 +538,8 @@ 1 1 0 +1 +1 4 0xc932 0x9c951f8d @@ -438,6 +548,8 @@ 0 0 0 +1 +4 2 0x3d 0x99567eb6 @@ -447,6 +559,8 @@ 1 0 3 +3 +3 0x9acc 0x7f85520d 256 @@ -454,6 +568,8 @@ 0 0 0 +5 +2 1 0x6fda 0x8bc1c848 @@ -462,6 +578,8 @@ 0 0 0 +5 +4 4 0x9bf5 0x6a5e268e @@ -470,6 +588,8 @@ 0 0 0 +5 +1 1 0x133a 0x9669eaca @@ -478,6 +598,8 @@ 1 0 0 +1 +1 2 0xb07a 0xf7121f7f @@ -487,6 +609,8 @@ 0 0 1 +3 +1 0x262e 0x9afcac5a 256 @@ -494,6 +618,8 @@ 0 0 0 +4 +0 3 0xe018 0x1f076b17 @@ -502,6 +628,8 @@ 1 1 0 +4 +3 1 0xdb47 0xa3889a04 @@ -510,6 +638,8 @@ 1 1 0 +0 +4 3 0xe6e4 0x87539e46 @@ -519,6 +649,8 @@ 0 0 3 +5 +3 0xb914 0x3f2b738 256 @@ -526,6 +658,8 @@ 0 0 0 +0 +2 4 0x2b54 0x56d18821 @@ -534,6 +668,8 @@ 1 1 0 +3 +1 4 0x8735 0x8c14e407 @@ -542,6 +678,8 @@ 1 0 0 +3 +1 2 0x4e66 0x7bea5843 @@ -550,6 +688,8 @@ 0 0 0 +5 +4 4 0xee29 0xcfb29e7e @@ -558,6 +698,8 @@ 1 1 0 +0 +0 4 0x6dad 0x9248f65 @@ -566,6 +708,8 @@ 0 0 0 +3 +3 4 0x4ea9 0x3b8a1187 @@ -574,6 +718,8 @@ 1 0 0 +2 +5 3 0x62de 0x3d127a01 @@ -582,6 +728,8 @@ 1 0 0 +1 +1 3 0xae2b 0x33708a09 @@ -590,6 +738,8 @@ 1 1 0 +2 +5 4 0xb665 0x747af230 @@ -598,6 +748,8 @@ 0 0 0 +3 +2 1 0x8318 0x43e994e3 @@ -606,6 +758,8 @@ 1 0 0 +4 +0 2 0xadfc 0xa32940d @@ -614,6 +768,8 @@ 0 0 0 +4 +1 3 0x38f2 0x2fec84bf @@ -622,6 +778,8 @@ 0 0 0 +0 +4 2 0xfd16 0xad415d9c @@ -630,6 +788,8 @@ 0 0 0 +4 +2 2 0x5883 0xdb61e88b @@ -638,6 +798,8 @@ 0 0 0 +0 +5 4 0x5e37 0xc11800dc @@ -646,6 +808,8 @@ 0 0 0 +3 +1 1 0xae09 0x51254014 @@ -654,6 +818,8 @@ 0 0 0 +4 +1 3 0x5680 0xc57bf03a @@ -662,6 +828,8 @@ 0 0 0 +3 +5 1 0x3dd9 0x37c03cff @@ -670,6 +838,8 @@ 0 0 0 +2 +0 1 0xf78e 0x8c6f064 @@ -678,6 +848,8 @@ 1 0 0 +1 +5 3 0xa588 0xc886b1c1 @@ -686,6 +858,8 @@ 1 1 0 +1 +2 4 0x85f8 0xc9dc5190 @@ -694,6 +868,8 @@ 0 0 0 +5 +2 3 0x5074 0xc7c15ac @@ -702,6 +878,8 @@ 1 0 0 +5 +0 4 0xab07 0xd1164f97 @@ -710,6 +888,8 @@ 0 0 0 +5 +0 1 0x16b9 0xc2470c96 @@ -718,6 +898,8 @@ 0 0 0 +0 +1 2 0xcaf9 0xe9f6dc21 @@ -726,6 +908,8 @@ 0 0 0 +0 +5 3 0x60af 0xec6d7faf @@ -734,6 +918,8 @@ 0 0 0 +1 +3 3 0xc391 0x390ba0b5 @@ -742,6 +928,8 @@ 1 0 0 +1 +4 3 0xd729 0xc3cb5a61 @@ -750,6 +938,8 @@ 0 0 0 +0 +0 3 0xc90b 0x381d5c6 @@ -758,6 +948,8 @@ 0 0 0 +1 +4 4 0xa874 0x460590d5 @@ -766,6 +958,8 @@ 1 0 0 +5 +3 4 0xcdb5 0x49c2c960 @@ -774,6 +968,8 @@ 1 0 0 +1 +1 3 0xe4a5 0xd05c8192 @@ -782,6 +978,8 @@ 1 1 0 +5 +4 3 0x9a5d 0x1415ab7d @@ -790,6 +988,8 @@ 0 0 0 +5 +3 1 0x7f23 0xf6bd7427 @@ -798,6 +998,8 @@ 0 0 0 +2 +1 1 0xad29 0x409ae252 @@ -806,6 +1008,8 @@ 0 0 0 +4 +3 1 0x3d6 0xa8477589 @@ -815,6 +1019,8 @@ 1 0 4 +0 +4 0xbfec 0x38383801 256 @@ -822,6 +1028,8 @@ 1 1 0 +1 +1 2 0x2f87 0xc49bef9d @@ -830,6 +1038,8 @@ 1 0 0 +4 +1 2 0x4098 0x66e82379 @@ -838,6 +1048,8 @@ 1 0 0 +0 +3 4 0x25d4 0xd84196e5 @@ -846,6 +1058,8 @@ 0 0 0 +2 +3 4 0x5d06 0x7662abfa @@ -854,6 +1068,8 @@ 1 1 0 +5 +4 4 0xf479 0x5ef22446 @@ -862,6 +1078,8 @@ 0 0 0 +4 +2 1 0xecc0 0x55bdc4b2 @@ -870,6 +1088,8 @@ 0 0 0 +0 +4 2 0x2711 0x1b6dd886 @@ -878,6 +1098,8 @@ 0 0 0 +3 +3 4 0x3f5 0xc6309fb0 @@ -886,6 +1108,8 @@ 1 1 0 +3 +1 1 0x41b2 0x7ba968e4 @@ -894,6 +1118,8 @@ 1 0 0 +2 +4 3 0xfab9 0x49abb618 @@ -902,6 +1128,8 @@ 0 0 0 +1 +1 2 0xbc01 0x53e7b0f9 @@ -910,6 +1138,8 @@ 0 0 0 +4 +0 3 0x8387 0x3319b3b0 @@ -918,6 +1148,8 @@ 0 0 0 +1 +1 4 0xc907 0x6687b219 @@ -926,6 +1158,8 @@ 1 0 0 +0 +5 4 0xe1ae 0x6b589cf5 @@ -934,6 +1168,8 @@ 0 0 0 +2 +2 4 0x82a9 0xb8c243f8 @@ -942,6 +1178,8 @@ 0 0 0 +5 +5 1 0x9d9a 0x1646a5ff @@ -950,6 +1188,8 @@ 1 0 0 +5 +3 2 0xdad8 0xce919359 @@ -958,6 +1198,8 @@ 1 0 0 +2 +5 4 0xd119 0xeb9b3718 @@ -967,6 +1209,8 @@ 0 0 2 +3 +2 0xc337 0x19d8387c 256 @@ -974,6 +1218,8 @@ 1 0 0 +0 +5 4 0x33a8 0xe2cd6af @@ -982,6 +1228,8 @@ 0 0 0 +1 +1 4 0xdca3 0x7d582eff @@ -990,6 +1238,8 @@ 0 0 0 +1 +4 3 0xee14 0xfbd6166e @@ -998,6 +1248,8 @@ 0 0 0 +0 +2 2 0x953 0x4c0d968a @@ -1006,6 +1258,8 @@ 1 1 0 +4 +5 1 0xbfcd 0xb22494d2 @@ -1014,6 +1268,8 @@ 1 1 0 +3 +1 2 0xf94f 0xd1fb2df2 @@ -1023,6 +1279,8 @@ 0 0 1 +0 +1 0xbb70 0xa9ed2889 256 @@ -1030,6 +1288,8 @@ 1 1 0 +4 +1 3 0xec6f 0x78799afe @@ -1038,6 +1298,8 @@ 1 1 0 +5 +2 1 0x7f11 0x5daf0051 @@ -1046,6 +1308,8 @@ 0 0 0 +3 +3 4 0xd204 0x409f6188 @@ -1055,6 +1319,8 @@ 0 0 3 +1 +3 0x20ec 0x442263c9 256 @@ -1062,6 +1328,8 @@ 1 1 0 +1 +3 2 0xeb46 0x68eba467 @@ -1070,6 +1338,8 @@ 0 0 0 +2 +3 4 0x2dcb 0x4452d42e @@ -1078,6 +1348,8 @@ 1 0 0 +0 +5 4 0xd20e 0xe2550218 @@ -1086,6 +1358,8 @@ 1 1 0 +2 +0 3 0xca0c 0x11442716 @@ -1095,6 +1369,8 @@ 0 0 4 +5 +4 0x3ffe 0x9f5d62cd 256 @@ -1103,6 +1379,8 @@ 0 0 3 +2 +3 0xb2a5 0x85212fcd 256 @@ -1110,6 +1388,8 @@ 1 0 0 +4 +4 2 0xe21f 0x94c0e8af @@ -1118,6 +1398,8 @@ 0 0 0 +0 +4 1 0x7b83 0x18c60219 @@ -1126,6 +1408,8 @@ 0 0 0 +5 +3 3 0x8806 0xcf846b98 @@ -1134,6 +1418,8 @@ 1 0 0 +5 +0 4 0xc301 0x8daad970 @@ -1142,6 +1428,8 @@ 1 0 0 +5 +4 2 0x11c1 0xf9746489 @@ -1150,6 +1438,8 @@ 0 0 0 +2 +4 4 0x7f3d 0xe8dbf23d @@ -1158,6 +1448,8 @@ 0 0 0 +2 +5 1 0x803 0xcf8301f1 @@ -1166,6 +1458,8 @@ 0 0 0 +4 +2 2 0xeceb 0xad3cae60 @@ -1174,6 +1468,8 @@ 0 0 0 +1 +3 3 0xdc04 0x4338ed7a @@ -1182,6 +1478,8 @@ 1 0 0 +0 +0 2 0xd4f0 0xe6fc5033 @@ -1190,6 +1488,8 @@ 1 0 0 +2 +2 4 0xe2ae 0xf02f5c12 @@ -1198,6 +1498,8 @@ 1 1 0 +1 +5 4 0xf9bd 0x8fb34ae0 @@ -1206,6 +1508,8 @@ 0 0 0 +3 +4 1 0x159 0xaed6346f @@ -1214,6 +1518,8 @@ 0 0 0 +3 +3 1 0x622e 0x5d603019 @@ -1222,6 +1528,8 @@ 1 1 0 +0 +1 4 0xf4ef 0x2df1cf2e @@ -1230,6 +1538,8 @@ 1 1 0 +4 +3 2 0xc6d2 0xfc46d03d @@ -1238,6 +1548,8 @@ 0 0 0 +2 +4 3 0x5297 0xf71cadcf @@ -1246,6 +1558,8 @@ 1 1 0 +5 +4 3 0xd3c3 0x789a390d @@ -1255,6 +1569,8 @@ 0 0 3 +4 +3 0x5ba6 0x5a3c0f6 256 @@ -1262,6 +1578,8 @@ 0 0 0 +3 +3 2 0x2d7a 0x877fcaa5 @@ -1270,6 +1588,8 @@ 0 0 0 +5 +4 2 0x9d5c 0x2e1d0780 @@ -1278,6 +1598,8 @@ 0 0 0 +4 +0 1 0x411e 0x7b48212b @@ -1286,6 +1608,8 @@ 0 0 0 +3 +0 1 0xfa5a 0x744986fd @@ -1295,6 +1619,8 @@ 1 0 3 +0 +3 0xf1e2 0x74132ab4 256 @@ -1302,6 +1628,8 @@ 1 1 0 +2 +3 1 0x7b89 0x8be22631 @@ -1310,6 +1638,8 @@ 1 1 0 +5 +0 1 0x95 0x63f32667 @@ -1318,6 +1648,8 @@ 0 0 0 +5 +1 3 0xae8f 0x88e0a79c @@ -1326,6 +1658,8 @@ 1 0 0 +4 +4 1 0xb573 0xe9b62716 @@ -1334,6 +1668,8 @@ 0 0 0 +1 +0 4 0x696f 0xaa10796c @@ -1342,6 +1678,8 @@ 1 1 0 +3 +5 2 0x2fc1 0xffeec5a5 @@ -1351,6 +1689,8 @@ 0 0 4 +2 +4 0x99f7 0xb70622af 256 @@ -1358,6 +1698,8 @@ 0 0 0 +0 +2 1 0x9369 0x5576af75 @@ -1366,6 +1708,8 @@ 1 0 0 +1 +4 3 0xe6f6 0x805a8d42 @@ -1374,6 +1718,8 @@ 1 1 0 +2 +2 3 0x4c62 0x5d6c5b07 @@ -1382,6 +1728,8 @@ 1 1 0 +2 +0 3 0xb17a 0x27667f30 @@ -1390,6 +1738,8 @@ 0 0 0 +0 +0 4 0x13de 0x88f306c9 @@ -1398,6 +1748,8 @@ 0 0 0 +1 +1 2 0xde03 0x45239a35 @@ -1406,6 +1758,8 @@ 0 0 0 +1 +2 2 0x113e 0x70222c2d @@ -1414,6 +1768,8 @@ 0 0 0 +1 +1 3 0xea09 0xa03bf3e0 @@ -1422,6 +1778,8 @@ 0 0 0 +0 +2 3 0x480d 0xf9fbff08 @@ -1430,6 +1788,8 @@ 1 0 0 +4 +4 1 0x89f4 0x29b74b6c @@ -1439,6 +1799,8 @@ 0 0 1 +2 +1 0xdecd 0x64c7af4c 256 @@ -1446,6 +1808,8 @@ 1 1 0 +0 +3 1 0x2350 0xfe6db2e @@ -1454,6 +1818,8 @@ 0 0 0 +3 +5 1 0x7d7a 0xf209af97 @@ -1462,6 +1828,8 @@ 0 0 0 +1 +2 3 0x15b7 0x3a283ec3 @@ -1471,6 +1839,8 @@ 1 0 1 +2 +1 0xac96 0xc6479526 256 @@ -1478,6 +1848,8 @@ 0 0 0 +0 +5 4 0xb402 0x7c6bbe22 @@ -1487,6 +1859,8 @@ 1 0 2 +3 +2 0x916c 0xec5ef4ad 256 @@ -1494,6 +1868,8 @@ 0 0 0 +2 +3 4 0xa259 0x8654531 @@ -1503,6 +1879,8 @@ 0 0 3 +1 +3 0x8529 0xabafdfd2 256 @@ -1510,6 +1888,8 @@ 0 0 0 +2 +4 1 0xa23a 0x3ad58720 @@ -1518,6 +1898,8 @@ 0 0 0 +0 +3 2 0x48ee 0xdbcf8baf @@ -1526,6 +1908,8 @@ 1 0 0 +1 +3 2 0xd924 0xb7b26a7a @@ -1534,6 +1918,8 @@ 1 1 0 +1 +5 2 0xc2e8 0xbdded6a @@ -1542,6 +1928,8 @@ 1 0 0 +1 +1 2 0xf84a 0xb6c932e7 @@ -1550,6 +1938,8 @@ 1 0 0 +2 +5 3 0x850d 0x5079a942 @@ -1558,6 +1948,8 @@ 1 1 0 +1 +5 3 0xf364 0xd1da485e @@ -1566,6 +1958,8 @@ 0 0 0 +5 +4 1 0xc3f4 0x194ec415 @@ -1574,6 +1968,8 @@ 1 1 0 +1 +1 4 0x7709 0xfddc5869 @@ -1582,6 +1978,8 @@ 1 0 0 +2 +4 3 0x788f 0x6f5c770d @@ -1590,6 +1988,8 @@ 1 0 0 +5 +0 1 0x59e3 0x9b35481d @@ -1599,6 +1999,8 @@ 0 0 1 +3 +1 0xa33e 0x636a7420 256 @@ -1606,6 +2008,8 @@ 1 1 0 +1 +0 4 0xd59d 0x538d141f @@ -1614,6 +2018,8 @@ 0 0 0 +4 +0 2 0xa718 0xa556a8d0 @@ -1622,6 +2028,8 @@ 1 0 0 +5 +1 4 0x6f61 0xbb723c34 @@ -1630,6 +2038,8 @@ 1 0 0 +3 +5 1 0x4d7a 0xd6d150ef @@ -1638,6 +2048,8 @@ 1 0 0 +4 +0 1 0xdb49 0x10d07987 @@ -1647,6 +2059,8 @@ 0 0 3 +3 +3 0xe810 0x4a1c53f6 256 @@ -1654,6 +2068,8 @@ 1 0 0 +5 +1 2 0x24ca 0x5bb9d695 @@ -1662,6 +2078,8 @@ 0 0 0 +3 +0 2 0x4e32 0x40dbc860 @@ -1670,6 +2088,8 @@ 1 1 0 +2 +2 4 0x705e 0xd0c945f3 @@ -1679,6 +2099,8 @@ 0 0 4 +3 +4 0xc19e 0x90307070 256 @@ -1687,6 +2109,8 @@ 0 0 4 +5 +4 0x8581 0x69dbfbf5 256 @@ -1694,6 +2118,8 @@ 0 0 0 +3 +5 1 0x10fa 0x1b184aaa @@ -1702,6 +2128,8 @@ 1 0 0 +4 +4 2 0xf47e 0x15ac03ae @@ -1710,6 +2138,8 @@ 1 0 0 +0 +0 2 0x9baf 0x332145cb @@ -1718,6 +2148,8 @@ 0 0 0 +4 +2 1 0x77d4 0x98c0384 @@ -1727,6 +2159,8 @@ 0 0 2 +1 +2 0x1eaa 0xed744115 256 @@ -1734,6 +2168,8 @@ 0 0 0 +0 +5 2 0x5caa 0x6a267205 @@ -1743,6 +2179,8 @@ 1 0 4 +2 +4 0x2ef5 0x61fe965 256 @@ -1750,6 +2188,8 @@ 1 1 0 +0 +4 2 0x538c 0x2e2265cd @@ -1759,6 +2199,8 @@ 1 0 3 +1 +3 0xa0ac 0xb3a36f3 256 @@ -1766,6 +2208,8 @@ 0 0 0 +2 +4 3 0xca35 0x52ccc5f3 @@ -1775,6 +2219,8 @@ 0 0 2 +1 +2 0x409b 0x9bda6b85 256 @@ -1782,6 +2228,8 @@ 1 0 0 +5 +3 2 0x7f83 0x9f6cfa15 @@ -1790,6 +2238,8 @@ 0 0 0 +2 +1 1 0x4d89 0x944b473 @@ -1798,6 +2248,8 @@ 0 0 0 +0 +0 2 0xfaeb 0x246fb573 @@ -1806,6 +2258,8 @@ 0 0 0 +3 +4 2 0x3849 0x344e6dda @@ -1814,6 +2268,8 @@ 0 0 0 +3 +1 4 0x533b 0x78a34e80 @@ -1822,6 +2278,8 @@ 1 0 0 +0 +2 4 0xa70f 0xb66ea38c @@ -1830,6 +2288,8 @@ 1 1 0 +3 +1 1 0x4166 0x349ecbb @@ -1838,6 +2298,8 @@ 0 0 0 +4 +2 3 0xd1f3 0x20f95e53 @@ -1846,6 +2308,8 @@ 1 1 0 +3 +5 2 0x15e4 0xb026cc8d @@ -1854,6 +2318,8 @@ 1 1 0 +5 +0 1 0xabec 0xdef69084 @@ -1862,6 +2328,8 @@ 1 1 0 +4 +3 3 0x6dc7 0x1fb6ea34 @@ -1870,6 +2338,8 @@ 1 0 0 +2 +2 1 0x24fd 0x857835c8 @@ -1878,6 +2348,8 @@ 0 0 0 +5 +5 4 0xe72e 0x305d326f @@ -1887,6 +2359,8 @@ 1 0 4 +1 +4 0x1e39 0x9494afc6 256 @@ -1894,6 +2368,8 @@ 1 1 0 +4 +3 2 0x5ef0 0x534b8415 @@ -1902,6 +2378,8 @@ 1 1 0 +1 +1 4 0xbae4 0xec8d256e @@ -1910,6 +2388,8 @@ 1 0 0 +1 +1 4 0x19ac 0x803344cd @@ -1919,6 +2399,8 @@ 0 0 4 +3 +4 0x1027 0xb4faa33b 256 @@ -1926,6 +2408,8 @@ 0 0 0 +3 +5 4 0x6844 0x42a1eb26 @@ -1934,6 +2418,8 @@ 1 1 0 +4 +0 2 0xcfd 0xab2a9513 @@ -1943,6 +2429,8 @@ 0 0 1 +1 +1 0x36df 0x4b7e24e9 256 @@ -1950,6 +2438,8 @@ 1 0 0 +5 +3 3 0x5f37 0xaba1053e @@ -1959,6 +2449,8 @@ 0 0 2 +2 +2 0x86fc 0x5bf547f8 256 @@ -1966,6 +2458,8 @@ 1 1 0 +3 +4 2 0x878e 0x3bcd12e8 @@ -1974,6 +2468,8 @@ 1 0 0 +0 +0 4 0x5531 0x150428b2 @@ -1982,6 +2478,8 @@ 0 0 0 +5 +4 4 0x47cd 0x8be3cf51 @@ -1990,6 +2488,8 @@ 0 0 0 +2 +2 3 0x6218 0x34ca6647 @@ -1998,6 +2498,8 @@ 1 1 0 +5 +5 3 0x560c 0x13a1f27a @@ -2007,6 +2509,8 @@ 1 0 1 +2 +1 0xc08c 0xf8a99451 256 @@ -2014,6 +2518,8 @@ 0 0 0 +0 +1 1 0x43ca 0x3ec16534 @@ -2022,6 +2528,8 @@ 0 0 0 +0 +3 4 0xe955 0xe728917 @@ -2031,6 +2539,8 @@ 1 0 2 +0 +2 0x7842 0x48e15876 256 @@ -2038,6 +2548,8 @@ 1 0 0 +0 +0 2 0x889 0x9e0f267a @@ -2046,6 +2558,8 @@ 1 1 0 +5 +2 2 0x8422 0xa3fdabe8 @@ -2055,6 +2569,8 @@ 0 0 2 +1 +2 0xe03a 0x5b18ce57 256 @@ -2062,6 +2578,8 @@ 0 0 0 +1 +0 4 0xc965 0x51cc52d8 @@ -2070,6 +2588,8 @@ 1 1 0 +4 +4 3 0x6d93 0x7daf9907 @@ -2078,6 +2598,8 @@ 0 0 0 +4 +4 1 0xfb5d 0xe0a09045 @@ -2087,6 +2609,8 @@ 0 0 1 +0 +1 0xff0f 0xd7df0bf0 256 @@ -2094,6 +2618,8 @@ 1 1 0 +2 +3 3 0xec29 0x2384f06e @@ -2102,6 +2628,8 @@ 1 0 0 +3 +2 4 0xf9ac 0xc94fc72f @@ -2111,6 +2639,8 @@ 0 0 3 +1 +3 0x69fc 0x25a33de9 256 @@ -2118,6 +2648,8 @@ 1 1 0 +5 +4 2 0x19a7 0x4cfbd762 @@ -2126,6 +2658,8 @@ 1 1 0 +2 +1 3 0xcf05 0x1c24fd83 @@ -2134,6 +2668,8 @@ 0 0 0 +5 +4 3 0xbb9c 0x41dac450 @@ -2142,6 +2678,8 @@ 1 0 0 +2 +2 4 0xe973 0xf1927c5e @@ -2150,6 +2688,8 @@ 1 0 0 +3 +2 1 0x4e45 0xee79134b @@ -2158,6 +2698,8 @@ 0 0 0 +1 +5 4 0x61f3 0xceaf2559 @@ -2166,6 +2708,8 @@ 1 1 0 +1 +1 3 0xd0d4 0x78b4e30c @@ -2175,6 +2719,8 @@ 0 0 2 +2 +2 0xfffc 0x201b5447 256 @@ -2182,6 +2728,8 @@ 0 0 0 +0 +2 1 0xd540 0x393e00c7 @@ -2190,6 +2738,8 @@ 1 1 0 +5 +3 3 0x751f 0x74261b8c @@ -2198,6 +2748,8 @@ 1 1 0 +4 +1 3 0xc2f0 0xc5330f90 @@ -2206,6 +2758,8 @@ 0 0 0 +2 +1 3 0xc983 0x704ce3f7 @@ -2215,6 +2769,8 @@ 0 0 1 +0 +1 0x1f26 0x4a2f612a 256 @@ -2222,6 +2778,8 @@ 0 0 0 +4 +5 3 0x3111 0x9f0bead6 @@ -2230,6 +2788,8 @@ 0 0 0 +5 +3 2 0x83e1 0x5d1fbd03 @@ -2239,6 +2799,8 @@ 0 0 3 +0 +3 0xfe36 0xcf67f6f6 256 @@ -2246,6 +2808,8 @@ 0 0 0 +4 +4 1 0x3673 0xba0362c0 @@ -2254,6 +2818,8 @@ 0 0 0 +0 +4 4 0xa854 0x4a88c219 @@ -2262,6 +2828,8 @@ 1 1 0 +3 +0 1 0x4b67 0x76f93f7a @@ -2270,6 +2838,8 @@ 0 0 0 +4 +1 2 0xe6ac 0x1058ec05 @@ -2278,6 +2848,8 @@ 0 0 0 +1 +3 2 0x34dc 0xfbad6db2 @@ -2286,6 +2858,8 @@ 1 0 0 +4 +5 3 0x94de 0x46d6aca0 @@ -2295,6 +2869,8 @@ 0 0 3 +0 +3 0x38aa 0x7dec9be3 256 @@ -2302,6 +2878,8 @@ 0 0 0 +5 +1 4 0xb93f 0x5543c9ab @@ -2310,6 +2888,8 @@ 1 1 0 +3 +2 4 0x549d 0x395a4623 @@ -2318,6 +2898,8 @@ 1 1 0 +0 +2 4 0xf67c 0x6520ab13 @@ -2326,6 +2908,8 @@ 1 1 0 +4 +0 2 0xc294 0x89f56a51 @@ -2334,6 +2918,8 @@ 1 1 0 +2 +2 1 0x9b0c 0x27502f13 @@ -2342,6 +2928,8 @@ 1 0 0 +3 +0 2 0x2ec 0x54261ae @@ -2350,6 +2938,8 @@ 0 0 0 +2 +4 4 0x311e 0x778f249e @@ -2358,6 +2948,8 @@ 1 1 0 +5 +5 4 0x5ecc 0x7ccd604a @@ -2366,6 +2958,8 @@ 0 0 0 +5 +5 3 0xb7c3 0x25fcf50c @@ -2375,6 +2969,8 @@ 0 0 3 +0 +3 0x2688 0x5e726a3 256 @@ -2382,6 +2978,8 @@ 1 1 0 +4 +1 1 0x98c9 0x6bc442c7 @@ -2390,6 +2988,8 @@ 0 0 0 +3 +5 4 0x5030 0xa69cfada @@ -2398,6 +2998,8 @@ 1 0 0 +4 +1 1 0x1a5a 0xc26c28f3 @@ -2406,6 +3008,8 @@ 1 1 0 +3 +3 1 0x1708 0xa10acb08 @@ -2414,6 +3018,8 @@ 0 0 0 +5 +2 1 0x24ba 0xadc54d7d @@ -2423,6 +3029,8 @@ 0 0 1 +5 +1 0xb9d2 0xab06ad56 256 @@ -2430,6 +3038,8 @@ 1 1 0 +4 +0 2 0x298c 0x34d76f6b @@ -2439,6 +3049,8 @@ 1 0 1 +0 +1 0xb9cc 0xe68ac822 256 @@ -2446,6 +3058,8 @@ 1 1 0 +5 +0 1 0xdaef 0x7a5b18a9 @@ -2454,6 +3068,8 @@ 0 0 0 +3 +3 1 0x60d1 0xc89f8f3a @@ -2462,6 +3078,8 @@ 1 1 0 +4 +4 3 0x34ba 0xbe3c5c5f @@ -2470,6 +3088,8 @@ 1 0 0 +0 +3 4 0xfd0 0x39030cb9 @@ -2478,6 +3098,8 @@ 1 1 0 +1 +1 4 0xb03c 0xb5f7e935 @@ -2486,6 +3108,8 @@ 1 0 0 +3 +0 1 0x320 0x58030331 @@ -2494,6 +3118,8 @@ 1 1 0 +5 +5 4 0x81c3 0xeaff88c2 @@ -2502,6 +3128,8 @@ 1 1 0 +0 +5 3 0x1ea3 0x984f55a3 @@ -2511,6 +3139,8 @@ 0 0 4 +3 +4 0x2b68 0xdea00597 256 @@ -2518,6 +3148,8 @@ 0 0 0 +4 +4 3 0xacc6 0xcb37e40d @@ -2527,6 +3159,8 @@ 0 0 4 +5 +4 0xc147 0x80aa2fc 256 @@ -2534,6 +3168,8 @@ 1 0 0 +5 +0 2 0x9d38 0x6fcee3cf @@ -2542,6 +3178,8 @@ 0 0 0 +3 +0 1 0xb23 0xb6196795 @@ -2551,6 +3189,8 @@ 0 0 2 +3 +2 0xe282 0x4df4c234 256 @@ -2558,6 +3198,8 @@ 0 0 0 +4 +4 2 0xb5ef 0xcd274ff2 @@ -2566,6 +3208,8 @@ 0 0 0 +5 +0 1 0x5f7c 0x166371f2 @@ -2575,6 +3219,8 @@ 0 0 2 +0 +2 0x7e15 0xe87aad92 256 @@ -2582,6 +3228,8 @@ 0 0 0 +5 +1 1 0xd84b 0x53178a5f @@ -2591,6 +3239,8 @@ 0 0 3 +1 +3 0x11ec 0xce8d8535 256 @@ -2599,6 +3249,8 @@ 0 0 3 +2 +3 0x1909 0xe23d5517 256 @@ -2606,6 +3258,8 @@ 0 0 0 +5 +0 1 0x807a 0xc3558793 @@ -2615,6 +3269,8 @@ 0 0 3 +4 +3 0x560b 0x6f690a17 256 @@ -2622,6 +3278,8 @@ 1 0 0 +2 +0 4 0xee2f 0xfba9c63f @@ -2630,6 +3288,8 @@ 1 1 0 +3 +5 4 0x841 0x4832fd22 @@ -2638,6 +3298,8 @@ 1 1 0 +0 +4 1 0x54ec 0xd820aa55 @@ -2646,6 +3308,8 @@ 0 0 0 +4 +1 3 0x5dbd 0x982af2c4 @@ -2654,6 +3318,8 @@ 0 0 0 +2 +2 1 0xce2 0x2f143fbe @@ -2662,6 +3328,8 @@ 1 0 0 +5 +4 2 0xf953 0x59845330 @@ -2670,6 +3338,8 @@ 0 0 0 +2 +3 3 0xe1f9 0x2edc7d64 @@ -2678,6 +3348,8 @@ 1 0 0 +2 +1 1 0xb57c 0x18b48528 @@ -2686,6 +3358,8 @@ 0 0 0 +3 +4 2 0x37b9 0x6daba302 @@ -2695,6 +3369,8 @@ 0 0 3 +2 +3 0x3b19 0x69fa5f87 256 @@ -2702,6 +3378,8 @@ 1 0 0 +3 +1 1 0x25fd 0x7622524f @@ -2710,6 +3388,8 @@ 0 0 0 +1 +2 3 0x67ff 0x7d3cfe1e @@ -2718,6 +3398,8 @@ 0 0 0 +5 +0 4 0xa51c 0xf30532b0 @@ -2726,6 +3408,8 @@ 1 1 0 +2 +0 3 0x1509 0x5596de1e @@ -2734,6 +3418,8 @@ 0 0 0 +0 +1 2 0xd0e0 0x1131fbac @@ -2743,6 +3429,8 @@ 0 0 1 +1 +1 0xbb8a 0x7fa6bc2c 256 @@ -2750,6 +3438,8 @@ 1 1 0 +0 +1 4 0xa713 0x8bd1a573 @@ -2758,6 +3448,8 @@ 0 0 0 +2 +3 3 0x8d08 0xda41bf5f @@ -2766,6 +3458,8 @@ 0 0 0 +0 +2 2 0x78d0 0xb1198c86 @@ -2774,6 +3468,8 @@ 1 0 0 +4 +2 3 0xdbf6 0x534205f0 @@ -2783,6 +3479,8 @@ 0 0 2 +2 +2 0xf145 0xb154b06 256 @@ -2790,6 +3488,8 @@ 1 0 0 +0 +2 4 0xb87c 0xc8e42a43 @@ -2798,6 +3498,8 @@ 0 0 0 +4 +0 2 0xf284 0xdfa46e77 @@ -2806,6 +3508,8 @@ 0 0 0 +2 +4 1 0x19cb 0xad28aee0 @@ -2814,6 +3518,8 @@ 1 1 0 +3 +4 1 0x3084 0x71edffa3 @@ -2822,6 +3528,8 @@ 1 0 0 +0 +4 1 0xaf73 0xa1ccfe7f @@ -2830,6 +3538,8 @@ 0 0 0 +2 +5 3 0x84d5 0x3c343729 @@ -2838,6 +3548,8 @@ 0 0 0 +0 +1 3 0x1bc6 0xc5b246bb @@ -2846,6 +3558,8 @@ 0 0 0 +5 +4 4 0x6842 0x956a41ab @@ -2854,6 +3568,8 @@ 1 0 0 +2 +1 4 0x4bf7 0x84fb05f5 @@ -2862,6 +3578,8 @@ 1 1 0 +5 +3 4 0x5e95 0xc162e49c @@ -2870,6 +3588,8 @@ 1 1 0 +2 +3 1 0x2eff 0x23e1b347 @@ -2879,6 +3599,8 @@ 0 0 3 +2 +3 0x46ff 0x9117d1e0 256 @@ -2886,6 +3608,8 @@ 1 1 0 +3 +3 4 0x7ec1 0xe93d34d @@ -2894,6 +3618,8 @@ 1 0 0 +2 +4 3 0xf332 0xf2b276a7 @@ -2902,6 +3628,8 @@ 0 0 0 +5 +2 2 0x3581 0xcd8ec29a @@ -2910,6 +3638,8 @@ 0 0 0 +3 +2 1 0xd1e9 0x430a2d6a @@ -2918,6 +3648,8 @@ 0 0 0 +4 +5 2 0xa7a9 0xb9dd7e9a @@ -2926,6 +3658,8 @@ 1 0 0 +3 +1 2 0xda9f 0x7103e932 @@ -2934,6 +3668,8 @@ 1 0 0 +4 +3 1 0x65 0x50059cdf @@ -2942,6 +3678,8 @@ 1 1 0 +0 +3 4 0x4bca 0x5fdd7734 @@ -2950,6 +3688,8 @@ 0 0 0 +5 +2 3 0x8f89 0x9fa2069e @@ -2958,6 +3698,8 @@ 0 0 0 +4 +5 3 0x6c2f 0xf8ac99a5 @@ -2966,6 +3708,8 @@ 0 0 0 +2 +3 3 0x6be8 0x33164ab @@ -2974,6 +3718,8 @@ 1 0 0 +5 +5 3 0x321f 0xf2179ba2 @@ -2982,6 +3728,8 @@ 1 0 0 +0 +5 2 0xc461 0x7bcbf734 @@ -2990,6 +3738,8 @@ 0 0 0 +5 +2 3 0x4b85 0xf8dbf64d @@ -2998,6 +3748,8 @@ 1 1 0 +2 +0 3 0xb2a5 0x1f8f12b5 @@ -3007,6 +3759,8 @@ 1 0 3 +2 +3 0xd30c 0x7a09fba5 256 @@ -3014,6 +3768,8 @@ 0 0 0 +5 +4 2 0x1e18 0xc0c56f58 @@ -3022,6 +3778,8 @@ 1 0 0 +2 +2 4 0xf39 0xeda7eb8a @@ -3030,6 +3788,8 @@ 1 1 0 +0 +1 4 0xbac 0xc963ebea @@ -3038,6 +3798,8 @@ 0 0 0 +3 +2 2 0xd7ba 0xe8af24b6 @@ -3046,6 +3808,8 @@ 1 1 0 +4 +2 3 0x3f91 0x71a4396c @@ -3054,6 +3818,8 @@ 0 0 0 +5 +5 3 0xc0 0xc43ea680 @@ -3062,6 +3828,8 @@ 1 1 0 +1 +5 3 0x5eae 0x445e1d7a @@ -3070,6 +3838,8 @@ 0 0 0 +1 +3 2 0xf6c1 0x7a24a357 @@ -3078,6 +3848,8 @@ 1 0 0 +0 +3 2 0xccc2 0x776c8518 @@ -3086,6 +3858,8 @@ 0 0 0 +4 +1 2 0x2165 0x140ea568 @@ -3094,6 +3868,8 @@ 1 1 0 +4 +4 2 0xe172 0x1f7fb7b8 @@ -3102,6 +3878,8 @@ 0 0 0 +3 +0 1 0x6b10 0x1dbaab64 @@ -3110,6 +3888,8 @@ 1 0 0 +3 +4 1 0x7d35 0x2609d29 @@ -3118,6 +3898,8 @@ 0 0 0 +0 +0 3 0x2527 0xaadc441b @@ -3126,6 +3908,8 @@ 0 0 0 +1 +4 4 0x9945 0xe66dd884 @@ -3134,6 +3918,8 @@ 0 0 0 +3 +3 1 0xe2d9 0x6bdc6572 @@ -3142,6 +3928,8 @@ 0 0 0 +1 +0 4 0xc792 0xf89770bc @@ -3151,6 +3939,8 @@ 0 0 2 +4 +2 0xddba 0x7646e97d 256 @@ -3158,6 +3948,8 @@ 0 0 0 +1 +0 3 0xd0b3 0xdc7d458 @@ -3167,6 +3959,8 @@ 1 0 3 +5 +3 0xcdb4 0xa86a432a 256 @@ -3174,6 +3968,8 @@ 1 1 0 +0 +3 3 0x5be3 0x8c7b7f66 @@ -3182,6 +3978,8 @@ 0 0 0 +4 +3 2 0xba41 0x8e3e35d4 @@ -3190,6 +3988,8 @@ 1 0 0 +5 +5 4 0xc490 0x9099c052 @@ -3198,6 +3998,8 @@ 1 1 0 +3 +5 1 0xd223 0x9e62a0be @@ -3206,6 +4008,8 @@ 1 0 0 +3 +4 4 0xc597 0x4e5ee4f2 @@ -3214,6 +4018,8 @@ 0 0 0 +0 +5 4 0x126c 0x831dd130 @@ -3222,6 +4028,8 @@ 1 0 0 +0 +4 1 0x1b76 0x60f3feff @@ -3230,6 +4038,8 @@ 1 1 0 +4 +4 2 0xc8c4 0x7dcd74e @@ -3239,6 +4049,8 @@ 0 0 2 +2 +2 0xf0bc 0x6405bedc 256 @@ -3246,6 +4058,8 @@ 0 0 0 +4 +5 1 0x990f 0x696316d7 @@ -3254,6 +4068,8 @@ 0 0 0 +3 +1 4 0xc784 0x3b03525e @@ -3262,6 +4078,8 @@ 0 0 0 +0 +0 1 0x9822 0x337d7999 @@ -3270,6 +4088,8 @@ 0 0 0 +4 +4 3 0xf5c8 0xfda7e7e8 @@ -3279,6 +4099,8 @@ 1 0 4 +5 +4 0xc795 0xfbdb87da 256 @@ -3286,6 +4108,8 @@ 0 0 0 +4 +3 2 0x4406 0x18b83b3b @@ -3295,6 +4119,8 @@ 0 0 4 +4 +4 0x7896 0xa166898b 256 @@ -3302,6 +4128,8 @@ 1 0 0 +0 +1 1 0x7761 0x9e848a52 @@ -3310,6 +4138,8 @@ 0 0 0 +2 +3 1 0x597b 0xc3d84f31 @@ -3318,6 +4148,8 @@ 0 0 0 +3 +4 4 0xb57b 0x7d3b1e10 @@ -3327,6 +4159,8 @@ 0 0 2 +0 +2 0xcd9a 0xfe83b21 256 @@ -3334,6 +4168,8 @@ 0 0 0 +0 +2 1 0xad9a 0xbaccbe38 @@ -3342,6 +4178,8 @@ 0 0 0 +3 +2 1 0x3deb 0x59c39f10 @@ -3350,6 +4188,8 @@ 0 0 0 +1 +4 3 0xcd06 0x8aacb44e @@ -3358,6 +4198,8 @@ 0 0 0 +5 +0 4 0xab2d 0xe1f40ad1 @@ -3366,6 +4208,8 @@ 0 0 0 +5 +4 4 0x2177 0xf0f09df0 @@ -3374,6 +4218,8 @@ 0 0 0 +5 +4 3 0x2af2 0x28103343 @@ -3382,6 +4228,8 @@ 1 0 0 +0 +1 3 0xad6b 0x7aaebb14 @@ -3390,6 +4238,8 @@ 1 0 0 +4 +2 1 0x6a47 0x856563c0 @@ -3398,6 +4248,8 @@ 0 0 0 +4 +0 3 0xdde4 0x9c445da7 @@ -3407,6 +4259,8 @@ 0 0 3 +3 +3 0xef65 0xd2621b70 256 @@ -3414,6 +4268,8 @@ 0 0 0 +5 +5 3 0x7515 0xa3dd5edf @@ -3422,6 +4278,8 @@ 1 1 0 +0 +5 1 0x4836 0xa25cc646 @@ -3431,6 +4289,8 @@ 0 0 1 +5 +1 0x9434 0x6bcb732f 256 @@ -3438,6 +4298,8 @@ 0 0 0 +2 +4 4 0xa94 0x1d43b056 @@ -3446,6 +4308,8 @@ 0 0 0 +3 +3 2 0x40af 0x72d3a6f4 @@ -3454,6 +4318,8 @@ 0 0 0 +0 +0 3 0x3a01 0xb92a009d @@ -3463,6 +4329,8 @@ 1 0 1 +0 +1 0xb36 0xcd3047a5 256 @@ -3470,6 +4338,8 @@ 1 0 0 +0 +2 4 0x6ba6 0xa9fdc46e @@ -3478,6 +4348,8 @@ 0 0 0 +5 +1 2 0xe3aa 0xc2b352db @@ -3486,6 +4358,8 @@ 1 0 0 +3 +3 4 0x6d00 0x92b961ec @@ -3495,6 +4369,8 @@ 1 0 1 +4 +1 0x3ba5 0x6d439434 256 @@ -3503,6 +4379,8 @@ 1 0 2 +0 +2 0xe186 0x270e5dce 256 @@ -3511,6 +4389,8 @@ 0 0 1 +4 +1 0xdf7c 0x7b9e2e50 256 @@ -3519,6 +4399,8 @@ 0 0 4 +0 +4 0x2976 0x8389d491 256 @@ -3526,6 +4408,8 @@ 0 0 0 +2 +1 4 0x5f13 0xc63e33a4 @@ -3534,6 +4418,8 @@ 1 0 0 +2 +0 3 0xf0db 0x3d78f6de @@ -3542,6 +4428,8 @@ 0 0 0 +5 +2 1 0x1e7d 0xdf7dc5e5 @@ -3550,6 +4438,8 @@ 1 0 0 +0 +0 4 0xefc2 0x5540765e @@ -3558,6 +4448,8 @@ 0 0 0 +5 +4 1 0x5cae 0xc8b28755 @@ -3566,6 +4458,8 @@ 1 0 0 +5 +3 3 0x7f54 0xdcb5351e @@ -3574,6 +4468,8 @@ 0 0 0 +5 +3 2 0x1595 0x593a542e @@ -3582,6 +4478,8 @@ 0 0 0 +2 +2 3 0x1c5a 0x3388dcbc @@ -3590,6 +4488,8 @@ 1 0 0 +0 +5 1 0x3b57 0xe000b584 @@ -3598,6 +4498,8 @@ 0 0 0 +1 +0 4 0xa76a 0x2021e0ef @@ -3606,6 +4508,8 @@ 0 0 0 +1 +0 2 0x8415 0xdd5ec86e @@ -3614,6 +4518,8 @@ 1 1 0 +1 +5 4 0xcfcc 0x85521a5e @@ -3622,6 +4528,8 @@ 1 0 0 +3 +3 2 0x4aa 0xc9615506 @@ -3630,6 +4538,8 @@ 0 0 0 +1 +0 3 0x3615 0x8ade397c @@ -3638,6 +4548,8 @@ 1 1 0 +5 +2 2 0x1f4d 0x538b8a0 @@ -3646,6 +4558,8 @@ 0 0 0 +4 +1 2 0xfd93 0x991ce2dd @@ -3654,6 +4568,8 @@ 1 1 0 +3 +1 2 0x6a5e 0x778ae1fa @@ -3662,6 +4578,8 @@ 1 0 0 +2 +0 3 0xd832 0x7e26604a @@ -3670,6 +4588,8 @@ 1 1 0 +0 +1 3 0x410a 0xe619427a @@ -3678,6 +4598,8 @@ 0 0 0 +4 +5 3 0xab4f 0xa450a895 @@ -3686,6 +4608,8 @@ 0 0 0 +5 +4 1 0xddba 0x1d2a0791 @@ -3694,6 +4618,8 @@ 1 1 0 +0 +1 3 0xdb9 0xa80f2804 @@ -3703,6 +4629,8 @@ 1 0 3 +4 +3 0x17d5 0x83a1da5a 256 @@ -3710,6 +4638,8 @@ 0 0 0 +1 +1 2 0xea41 0x4c85cced @@ -3718,6 +4648,8 @@ 1 1 0 +1 +0 3 0x8293 0xbf6539ce @@ -3726,6 +4658,8 @@ 1 1 0 +5 +3 2 0x4b1a 0x9e833b65 @@ -3734,6 +4668,8 @@ 1 0 0 +4 +1 3 0x25bc 0xe223e173 @@ -3742,6 +4678,8 @@ 1 1 0 +5 +3 1 0x199e 0xf655162a @@ -3751,6 +4689,8 @@ 0 0 1 +5 +1 0xa6fd 0x6c36a58a 256 @@ -3758,6 +4698,8 @@ 0 0 0 +3 +4 2 0x528a 0x5295c18e @@ -3766,6 +4708,8 @@ 1 0 0 +1 +5 3 0x6dd6 0x7902aa7f @@ -3774,6 +4718,8 @@ 0 0 0 +4 +2 2 0x3c31 0x1f99e525 @@ -3782,6 +4728,8 @@ 0 0 0 +4 +0 2 0xf58f 0x3eb8ed33 @@ -3790,6 +4738,8 @@ 0 0 0 +3 +3 2 0xf9f1 0x67480af @@ -3798,6 +4748,8 @@ 0 0 0 +0 +0 4 0x5d34 0x87f71ae1 @@ -3806,6 +4758,8 @@ 1 0 0 +1 +3 4 0x69dc 0xd631b804 @@ -3814,6 +4768,8 @@ 1 1 0 +2 +1 3 0xcd80 0xfeebb98d @@ -3822,6 +4778,8 @@ 1 0 0 +1 +2 2 0x40d7 0xb97521ca @@ -3830,6 +4788,8 @@ 1 1 0 +4 +4 3 0xc506 0xc26c0dae @@ -3838,6 +4798,8 @@ 1 0 0 +4 +2 1 0x89bd 0xec5a24f3 @@ -3847,6 +4809,8 @@ 0 0 1 +5 +1 0x601d 0x9f68189c 256 @@ -3855,6 +4819,8 @@ 0 0 2 +0 +2 0xeba3 0x1158583e 256 @@ -3862,6 +4828,8 @@ 1 0 0 +0 +1 1 0xdcac 0x504748d1 @@ -3870,6 +4838,8 @@ 0 0 0 +4 +0 1 0x70cd 0x2a50cebe @@ -3878,6 +4848,8 @@ 1 0 0 +3 +5 1 0xa5a9 0x725f4fbc @@ -3886,6 +4858,8 @@ 1 1 0 +5 +0 4 0xe0b3 0xd869182a @@ -3895,6 +4869,8 @@ 0 0 1 +3 +1 0x96df 0x636e95f6 256 @@ -3902,6 +4878,8 @@ 1 1 0 +4 +2 2 0xf97 0x7f9ce0e @@ -3911,6 +4889,8 @@ 1 0 1 +0 +1 0xd23e 0x631e31cc 256 @@ -3918,6 +4898,8 @@ 1 0 0 +1 +1 3 0x7c57 0xdf053b75 @@ -3927,6 +4909,8 @@ 0 0 4 +0 +4 0xeedc 0x8b2cee2b 256 @@ -3934,6 +4918,8 @@ 0 0 0 +1 +0 2 0x8a92 0x9c51210d @@ -3942,6 +4928,8 @@ 1 0 0 +2 +5 3 0x18a4 0x8e0a4380 @@ -3951,6 +4939,8 @@ 1 0 1 +0 +1 0xb163 0x8d25b9fa 256 @@ -3958,6 +4948,8 @@ 1 1 0 +0 +5 4 0xecd2 0x2d36d35f @@ -3966,6 +4958,8 @@ 1 1 0 +4 +3 1 0x9d89 0xfe2777d8 @@ -3975,6 +4969,8 @@ 0 0 2 +4 +2 0x7a39 0x80fba375 256 @@ -3982,6 +4978,8 @@ 0 0 0 +5 +2 4 0x87b5 0x8512d1c5 @@ -3990,6 +4988,8 @@ 0 0 0 +3 +5 1 0x4fcf 0x2780d0e0 @@ -3998,6 +4998,8 @@ 1 0 0 +0 +3 4 0xe40f 0x31e9d900 @@ -4007,6 +5009,8 @@ 1 0 2 +5 +2 0xbb1a 0x65055734 256 @@ -4014,6 +5018,8 @@ 0 0 0 +3 +2 1 0x404d 0xc30a830d @@ -4022,6 +5028,8 @@ 0 0 0 +5 +0 2 0xb0f0 0xbf587e15 @@ -4030,6 +5038,8 @@ 1 1 0 +0 +1 1 0xd5f5 0xae37662f @@ -4038,6 +5048,8 @@ 1 1 0 +5 +4 2 0x17bb 0x2e11094 @@ -4046,6 +5058,8 @@ 1 0 0 +0 +3 2 0xe2ab 0x734884dd @@ -4054,6 +5068,8 @@ 1 1 0 +1 +3 3 0x74cf 0x68d90e3d @@ -4062,6 +5078,8 @@ 1 1 0 +1 +2 2 0x511b 0x67cd4da2 @@ -4071,6 +5089,8 @@ 0 0 2 +1 +2 0x940b 0xdfbc4e78 256 @@ -4078,6 +5098,8 @@ 0 0 0 +3 +2 1 0xca3e 0x408f95a2 @@ -4086,6 +5108,8 @@ 0 0 0 +2 +3 3 0xb855 0x8cbf3187 @@ -4094,6 +5118,8 @@ 0 0 0 +5 +4 3 0x428b 0x6f8ff902 @@ -4102,6 +5128,8 @@ 0 0 0 +2 +0 3 0xc300 0xb390c9a5 @@ -4110,6 +5138,8 @@ 0 0 0 +5 +2 1 0xc91c 0xd2135299 @@ -4118,6 +5148,8 @@ 0 0 0 +2 +1 4 0x752c 0xc0e8abf2 @@ -4126,6 +5158,8 @@ 1 0 0 +0 +3 3 0x815b 0x8a4091e4 @@ -4134,6 +5168,8 @@ 0 0 0 +0 +0 2 0x69bf 0xdc98a241 @@ -4143,6 +5179,8 @@ 0 0 4 +2 +4 0xa4dc 0xe4627050 256 @@ -4150,6 +5188,8 @@ 1 1 0 +3 +3 4 0x563a 0xa6f7acf3 @@ -4158,6 +5198,8 @@ 0 0 0 +1 +4 2 0xd6f4 0x66939d7d @@ -4166,6 +5208,8 @@ 0 0 0 +0 +5 3 0x975e 0xced5000a @@ -4174,6 +5218,8 @@ 1 1 0 +3 +0 4 0x6868 0xb214266 @@ -4182,6 +5228,8 @@ 0 0 0 +3 +3 1 0xac77 0xfb732a01 @@ -4190,6 +5238,8 @@ 1 1 0 +1 +0 3 0xe0a1 0x5ef514e7 @@ -4198,6 +5248,8 @@ 0 0 0 +2 +3 1 0x1c6 0x82fcd055 @@ -4206,6 +5258,8 @@ 1 1 0 +0 +4 1 0x3569 0xe7157352 @@ -4214,6 +5268,8 @@ 1 0 0 +3 +2 4 0x3719 0xbd93fb97 @@ -4222,6 +5278,8 @@ 0 0 0 +5 +3 4 0xc5ca 0x35462870 @@ -4231,6 +5289,8 @@ 1 0 4 +3 +4 0x98fd 0x51f85418 256 @@ -4238,6 +5298,8 @@ 0 0 0 +3 +3 2 0xb676 0x79a9afe7 @@ -4246,6 +5308,8 @@ 1 1 0 +5 +3 2 0xcae2 0x95fc77ba @@ -4254,6 +5318,8 @@ 0 0 0 +4 +5 3 0x6c9b 0x3032a86f @@ -4262,6 +5328,8 @@ 1 0 0 +0 +0 3 0x6b09 0xf75a85ca @@ -4270,6 +5338,8 @@ 0 0 0 +3 +5 4 0x865e 0x8680ee10 @@ -4278,6 +5348,8 @@ 1 0 0 +2 +0 4 0xb78c 0x49ce5822 @@ -4286,6 +5358,8 @@ 1 0 0 +1 +0 3 0xf51f 0x50d34a27 @@ -4294,6 +5368,8 @@ 0 0 0 +2 +1 4 0x23ef 0x19469a8b @@ -4302,6 +5378,8 @@ 0 0 0 +4 +2 3 0x580a 0x39ff171d @@ -4310,6 +5388,8 @@ 1 1 0 +4 +4 2 0x1560 0x830f2474 @@ -4319,6 +5399,8 @@ 0 0 3 +4 +3 0x6507 0xd95dfda0 256 @@ -4326,6 +5408,8 @@ 1 1 0 +2 +0 1 0x4de0 0x1be9d5fc @@ -4334,6 +5418,8 @@ 0 0 0 +2 +5 1 0x7c56 0x20c3107d @@ -4342,6 +5428,8 @@ 1 1 0 +1 +4 3 0xdd9a 0x323677f8 @@ -4350,6 +5438,8 @@ 1 0 0 +1 +3 4 0x5551 0x852a12a1 @@ -4358,6 +5448,8 @@ 0 0 0 +4 +1 2 0x5b3d 0x90fb05a8 @@ -4366,6 +5458,8 @@ 1 1 0 +5 +4 2 0x8890 0xbe4b6a78 @@ -4374,6 +5468,8 @@ 1 1 0 +0 +4 4 0x47e 0x46c678a9 @@ -4382,6 +5478,8 @@ 1 0 0 +4 +0 2 0x9ed 0x5a1a2250 @@ -4390,6 +5488,8 @@ 1 1 0 +5 +0 2 0xbae9 0x78812dc8 @@ -4398,6 +5498,8 @@ 0 0 0 +3 +3 2 0x21f8 0x8a4c0e9d @@ -4407,6 +5509,8 @@ 0 0 1 +0 +1 0xa05e 0xf40916de 256 @@ -4414,6 +5518,8 @@ 0 0 0 +3 +2 1 0x9830 0x2553477b @@ -4423,6 +5529,8 @@ 0 0 1 +5 +1 0xf977 0x2ceaa50f 256 @@ -4431,6 +5539,8 @@ 0 0 3 +3 +3 0x6bd6 0xa359a50e 256 @@ -4438,6 +5548,8 @@ 1 0 0 +0 +0 3 0xea7c 0x66d3ac90 @@ -4446,6 +5558,8 @@ 1 0 0 +3 +3 1 0x9baf 0x8c1aa756 @@ -4455,6 +5569,8 @@ 1 0 4 +0 +4 0x9dde 0x20aeadca 256 @@ -4462,6 +5578,8 @@ 1 0 0 +4 +1 2 0x5eee 0x12f8f558 @@ -4470,6 +5588,8 @@ 0 0 0 +1 +2 4 0x7d99 0xfa4b80fc @@ -4478,6 +5598,8 @@ 0 0 0 +4 +1 1 0x5a47 0x4c1637c7 @@ -4486,6 +5608,8 @@ 0 0 0 +5 +0 3 0xe983 0x4d64c61d @@ -4494,6 +5618,8 @@ 1 0 0 +3 +5 1 0xf34a 0x34dcbe86 @@ -4502,6 +5628,8 @@ 1 0 0 +1 +5 4 0x9164 0x10a3c95f @@ -4510,6 +5638,8 @@ 0 0 0 +1 +4 3 0xee49 0xa3fed37 @@ -4518,6 +5648,8 @@ 0 0 0 +4 +2 2 0x1970 0x356286c1 @@ -4527,6 +5659,8 @@ 0 0 2 +1 +2 0x77ab 0x509c0487 256 @@ -4534,6 +5668,8 @@ 1 1 0 +0 +1 1 0xd418 0xa598ab28 @@ -4542,6 +5678,8 @@ 0 0 0 +5 +0 3 0x8fdb 0x56a58d5a @@ -4550,6 +5688,8 @@ 1 0 0 +5 +2 2 0xb6ce 0x9300f427 @@ -4558,6 +5698,8 @@ 1 0 0 +3 +1 1 0xad45 0x855d94e4 @@ -4566,6 +5708,8 @@ 0 0 0 +5 +4 2 0x373b 0x8570a951 @@ -4574,6 +5718,8 @@ 0 0 0 +0 +3 3 0xf822 0x267a1419 @@ -4582,6 +5728,8 @@ 0 0 0 +0 +1 4 0x738a 0xadbfcc97 @@ -4590,6 +5738,8 @@ 1 0 0 +3 +1 2 0xc3a9 0x17bf8f3d @@ -4598,6 +5748,8 @@ 1 0 0 +4 +4 1 0xa73e 0xb8c4a197 @@ -4606,6 +5758,8 @@ 1 1 0 +5 +5 2 0xda12 0x8611d0d3 @@ -4614,6 +5768,8 @@ 0 0 0 +1 +0 3 0xd95f 0x71a66b6f @@ -4622,6 +5778,8 @@ 1 0 0 +0 +1 2 0x15f2 0x7c1707f9 @@ -4630,6 +5788,8 @@ 1 1 0 +3 +4 1 0x5784 0x4c8396fb @@ -4639,6 +5799,8 @@ 0 0 2 +1 +2 0xd020 0x6c092ecb 256 @@ -4647,6 +5809,8 @@ 1 0 4 +4 +4 0x707 0x23936641 256 @@ -4654,6 +5818,8 @@ 0 0 0 +4 +5 3 0xa7a1 0x9338a7f7 @@ -4662,6 +5828,8 @@ 1 1 0 +2 +1 1 0x44b9 0xe0d3609e @@ -4670,6 +5838,8 @@ 0 0 0 +2 +5 4 0x46f 0xf04e6b75 @@ -4678,6 +5848,8 @@ 0 0 0 +5 +1 1 0x3a3a 0xd2c36b70 @@ -4686,6 +5858,8 @@ 1 0 0 +0 +0 2 0xe9fc 0xea99a0de @@ -4695,6 +5869,8 @@ 1 0 4 +3 +4 0xc41 0x626230f8 256 @@ -4702,6 +5878,8 @@ 0 0 0 +5 +1 2 0x8e6 0xf91ff624 @@ -4710,6 +5888,8 @@ 0 0 0 +0 +1 2 0xb705 0x1e700d11 @@ -4718,6 +5898,8 @@ 0 0 0 +1 +1 4 0x114c 0x4aa8fac8 @@ -4726,6 +5908,8 @@ 0 0 0 +5 +4 4 0xbd6e 0xd62ef845 @@ -4734,6 +5918,8 @@ 0 0 0 +4 +4 2 0x246b 0x66cdff23 @@ -4743,6 +5929,8 @@ 0 0 1 +4 +1 0x5ca3 0xfea142e7 256 @@ -4750,6 +5938,8 @@ 1 0 0 +1 +1 2 0x7052 0x1ad610d3 @@ -4758,6 +5948,8 @@ 1 0 0 +5 +3 3 0x4146 0x89a5d589 @@ -4766,6 +5958,8 @@ 0 0 0 +0 +4 2 0x8af4 0x39612c95 @@ -4774,6 +5968,8 @@ 0 0 0 +1 +5 4 0x7e65 0x75e38cb @@ -4782,6 +5978,8 @@ 0 0 0 +4 +3 3 0x5bfd 0x9c63cab @@ -4791,6 +5989,8 @@ 0 0 3 +3 +3 0x8720 0x85bc22d3 256 @@ -4798,6 +5998,8 @@ 0 0 0 +5 +5 3 0xd69b 0x70e91719 @@ -4807,6 +6009,8 @@ 0 0 2 +2 +2 0x3074 0xa8005405 256 @@ -4814,6 +6018,8 @@ 0 0 0 +2 +1 3 0xdaea 0xe99f805 @@ -4822,6 +6028,8 @@ 1 1 0 +5 +5 2 0x5c1c 0xd5f47f1a @@ -4830,6 +6038,8 @@ 1 1 0 +3 +2 2 0x4bb 0xcee7183c @@ -4838,6 +6048,8 @@ 0 0 0 +5 +1 4 0xdb6b 0xa65e12fe @@ -4847,6 +6059,8 @@ 1 0 2 +0 +2 0x6fa7 0xd2fa6eff 256 @@ -4854,6 +6068,8 @@ 1 1 0 +2 +0 1 0x92f0 0x323c2186 @@ -4862,6 +6078,8 @@ 0 0 0 +5 +1 3 0xbba5 0x8a921227 @@ -4870,6 +6088,8 @@ 0 0 0 +4 +2 1 0x857e 0xc2629d2b @@ -4879,6 +6099,8 @@ 1 0 3 +3 +3 0xbc42 0x6b9e0b22 256 @@ -4886,6 +6108,8 @@ 0 0 0 +5 +2 2 0x7c67 0x9146af40 @@ -4894,6 +6118,8 @@ 0 0 0 +5 +0 4 0x25c2 0x431b0d78 @@ -4903,6 +6129,8 @@ 0 0 1 +0 +1 0x4f88 0x45fe1968 256 @@ -4910,6 +6138,8 @@ 0 0 0 +3 +0 4 0xe13f 0x4ceddc59 @@ -4918,6 +6148,8 @@ 0 0 0 +2 +5 4 0x69ea 0x39c63f3 @@ -4926,6 +6158,8 @@ 1 1 0 +1 +2 3 0xef40 0xd5f2996a @@ -4934,6 +6168,8 @@ 0 0 0 +5 +5 1 0x85e7 0x1779c793 @@ -4942,6 +6178,8 @@ 0 0 0 +4 +1 2 0xee79 0xe477fdba @@ -4950,6 +6188,8 @@ 0 0 0 +4 +3 3 0x6c02 0xa559fbbf @@ -4958,6 +6198,8 @@ 1 1 0 +0 +1 2 0x95ba 0xc3b71dc @@ -4966,6 +6208,8 @@ 1 1 0 +0 +2 2 0xaec4 0x1bf78cda @@ -4974,6 +6218,8 @@ 0 0 0 +5 +0 2 0x557 0xca761bcb @@ -4982,6 +6228,8 @@ 0 0 0 +5 +4 4 0xc4c9 0x879a13eb @@ -4990,6 +6238,8 @@ 0 0 0 +0 +1 2 0xacad 0xc37f17c3 @@ -4999,6 +6249,8 @@ 1 0 4 +4 +4 0x34c7 0x2d274b0a 256 @@ -5006,6 +6258,8 @@ 1 0 0 +4 +1 3 0xa065 0xd33e0a0d @@ -5014,6 +6268,8 @@ 0 0 0 +1 +5 4 0xf6a1 0xfca04b41 @@ -5022,6 +6278,8 @@ 1 0 0 +3 +4 4 0xdf9d 0x1cdec8b6 @@ -5031,6 +6289,8 @@ 1 0 2 +4 +2 0xfcc7 0xc7c2c77a 256 @@ -5038,6 +6298,8 @@ 0 0 0 +0 +3 2 0xa3cd 0xd034c2c6 @@ -5046,6 +6308,8 @@ 0 0 0 +0 +1 3 0x2873 0xc828526d @@ -5054,6 +6318,8 @@ 0 0 0 +1 +5 4 0x2f5b 0xbc858d26 @@ -5062,6 +6328,8 @@ 0 0 0 +3 +0 2 0x6b71 0x727b17cf @@ -5070,6 +6338,8 @@ 1 0 0 +0 +3 4 0x2b82 0x5de74f1a @@ -5078,6 +6348,8 @@ 1 1 0 +5 +1 4 0xdc54 0x1a74cb08 @@ -5086,6 +6358,8 @@ 0 0 0 +3 +0 4 0x6c8f 0x29621ff5 @@ -5094,6 +6368,8 @@ 1 1 0 +0 +0 2 0xc6e0 0xdf2b0b9 @@ -5103,6 +6379,8 @@ 0 0 1 +3 +1 0x19f8 0xbd8cad1f 256 @@ -5110,6 +6388,8 @@ 0 0 0 +2 +0 4 0x9f29 0x3cca7152 @@ -5118,6 +6398,8 @@ 0 0 0 +1 +5 2 0x3e0d 0xb9cc303a @@ -5127,6 +6409,8 @@ 1 0 2 +3 +2 0x40e2 0xc831b723 256 @@ -5134,6 +6418,8 @@ 1 1 0 +3 +5 2 0x9cfd 0xf53d4bce @@ -5143,6 +6429,8 @@ 0 0 2 +1 +2 0xf25e 0xeed3b424 256 @@ -5150,6 +6438,8 @@ 1 1 0 +0 +5 2 0xc06b 0x450cb052 @@ -5158,6 +6448,8 @@ 1 0 0 +0 +4 2 0x389f 0xa5ab0607 @@ -5166,6 +6458,8 @@ 1 1 0 +5 +0 1 0xe3b9 0x5b8cc81c @@ -5174,6 +6468,8 @@ 0 0 0 +5 +0 3 0x9ab9 0x3ce6c778 @@ -5183,6 +6479,8 @@ 0 0 3 +5 +3 0x63f9 0xa9efb718 256 @@ -5190,6 +6488,8 @@ 0 0 0 +3 +0 1 0x3012 0x9e01218b @@ -5199,6 +6499,8 @@ 0 0 2 +3 +2 0xe67d 0xdf629b2 256 @@ -5206,6 +6508,8 @@ 0 0 0 +0 +2 4 0xc135 0x32747ffb @@ -5214,6 +6518,8 @@ 1 1 0 +2 +3 4 0xa83e 0x446f2ccd @@ -5222,6 +6528,8 @@ 1 1 0 +1 +0 4 0xcb32 0x92581a10 @@ -5230,6 +6538,8 @@ 1 0 0 +2 +0 3 0x3b3c 0x30089b7c @@ -5238,6 +6548,8 @@ 1 0 0 +0 +0 1 0xdfdb 0x23579a39 @@ -5246,6 +6558,8 @@ 1 0 0 +1 +1 3 0xffd 0xaed521c3 @@ -5254,6 +6568,8 @@ 0 0 0 +4 +0 1 0xc9d7 0x136a5932 @@ -5262,6 +6578,8 @@ 1 0 0 +5 +2 3 0xfc25 0x668fc92 @@ -5270,6 +6588,8 @@ 0 0 0 +4 +4 3 0x541 0x4e6258fb @@ -5278,6 +6598,8 @@ 0 0 0 +3 +1 2 0x8dcf 0xfa01a6a0 @@ -5286,6 +6608,8 @@ 0 0 0 +3 +3 4 0xadfb 0xcf90b87e @@ -5294,6 +6618,8 @@ 1 0 0 +0 +2 1 0x82b1 0xfede4a04 @@ -5302,6 +6628,8 @@ 0 0 0 +1 +0 2 0x8939 0x35601fc2 @@ -5311,6 +6639,8 @@ 0 0 2 +5 +2 0x8dd9 0x27eb3741 256 @@ -5318,6 +6648,8 @@ 1 0 0 +3 +2 2 0x777a 0x817e6783 @@ -5326,6 +6658,8 @@ 1 1 0 +5 +0 1 0x3994 0xcee9f701 @@ -5334,6 +6668,8 @@ 1 1 0 +5 +4 4 0x9b02 0xd1a8cd46 @@ -5342,6 +6678,8 @@ 1 0 0 +0 +5 3 0x71d5 0xf779c66b @@ -5350,6 +6688,8 @@ 1 0 0 +1 +2 3 0x907f 0xed4744fb @@ -5358,6 +6698,8 @@ 0 0 0 +3 +4 4 0x3c32 0x4103512e @@ -5366,6 +6708,8 @@ 0 0 0 +0 +4 3 0xe182 0xd16a4218 @@ -5374,6 +6718,8 @@ 1 1 0 +0 +5 4 0x775d 0x8b0fd02a @@ -5383,6 +6729,8 @@ 0 0 1 +1 +1 0x296d 0x7b1777fb 256 @@ -5390,6 +6738,8 @@ 1 1 0 +3 +5 4 0x8cf8 0x7a46e36a @@ -5398,6 +6748,8 @@ 0 0 0 +4 +3 3 0x13aa 0xcbc8c14 @@ -5406,6 +6758,8 @@ 1 0 0 +2 +0 1 0xeb00 0x6760725f @@ -5414,6 +6768,8 @@ 1 1 0 +3 +1 4 0x66c 0x47455a81 @@ -5422,6 +6778,8 @@ 0 0 0 +2 +5 1 0x227b 0x514ed773 @@ -5430,6 +6788,8 @@ 1 0 0 +0 +3 1 0x21ad 0xaf3c3660 @@ -5438,6 +6798,8 @@ 1 1 0 +4 +2 1 0xb2c9 0x24944c82 @@ -5446,6 +6808,8 @@ 1 1 0 +0 +5 3 0x86a9 0x2251d55c @@ -5454,6 +6818,8 @@ 0 0 0 +1 +1 2 0x7432 0x7a7f3bb2 @@ -5462,6 +6828,8 @@ 0 0 0 +5 +2 2 0xac90 0x26988070 @@ -5471,6 +6839,8 @@ 0 0 2 +5 +2 0xdd80 0x198fc572 256 @@ -5478,6 +6848,8 @@ 1 1 0 +5 +4 4 0xd3e5 0x86fefbc9 @@ -5486,6 +6858,8 @@ 0 0 0 +0 +2 4 0xf55f 0xbec834e @@ -5494,6 +6868,8 @@ 1 0 0 +1 +3 2 0x3449 0xe8121ab8 @@ -5502,6 +6878,8 @@ 0 0 0 +2 +1 4 0x8ab 0x54c60e2b @@ -5510,6 +6888,8 @@ 1 1 0 +1 +0 3 0xd2c0 0x7dcb2b24 @@ -5518,6 +6898,8 @@ 0 0 0 +2 +0 4 0xfd3c 0x4b25fcec @@ -5526,6 +6908,8 @@ 0 0 0 +4 +3 2 0xcd7b 0x4c31bd60 @@ -5534,6 +6918,8 @@ 1 1 0 +4 +1 2 0xfc38 0x3fb4c9b8 @@ -5542,6 +6928,8 @@ 1 0 0 +5 +1 1 0x852 0xae892e5e @@ -5550,6 +6938,8 @@ 0 0 0 +3 +3 2 0x5ffb 0x79d5910d @@ -5558,6 +6948,8 @@ 0 0 0 +5 +0 3 0x5920 0x61b71fe6 @@ -5566,6 +6958,8 @@ 0 0 0 +4 +3 3 0xa342 0x56a82654 @@ -5574,6 +6968,8 @@ 0 0 0 +2 +3 1 0x8bbf 0xbfdbffbb @@ -5582,6 +6978,8 @@ 0 0 0 +5 +5 1 0xa56d 0x61e8f2da @@ -5590,6 +6988,8 @@ 0 0 0 +2 +2 1 0xd910 0x77eb98f5 @@ -5598,6 +6998,8 @@ 1 1 0 +5 +0 4 0xcdd1 0x3ce742a7 @@ -5606,6 +7008,8 @@ 1 0 0 +0 +4 2 0x6c19 0x6f478535 @@ -5614,6 +7018,8 @@ 0 0 0 +4 +4 3 0x616a 0xf0fb0232 @@ -5622,6 +7028,8 @@ 1 0 0 +0 +0 3 0xffcf 0x80bf85ee @@ -5631,6 +7039,8 @@ 1 0 4 +0 +4 0x222e 0x189c755d 256 @@ -5638,6 +7048,8 @@ 0 0 0 +0 +5 4 0x6d4a 0x67513e2f @@ -5646,6 +7058,8 @@ 0 0 0 +4 +4 3 0x9a7e 0x2c40993c @@ -5654,6 +7068,8 @@ 0 0 0 +1 +0 4 0x974f 0xf0805e37 @@ -5662,6 +7078,8 @@ 1 0 0 +3 +5 1 0xe1a3 0xf594693f @@ -5670,6 +7088,8 @@ 1 0 0 +5 +4 3 0x7ebc 0xfaaa1950 @@ -5678,6 +7098,8 @@ 0 0 0 +0 +5 3 0x3027 0x361c9472 @@ -5686,6 +7108,8 @@ 1 1 0 +2 +1 3 0x55eb 0xe680d667 @@ -5694,6 +7118,8 @@ 0 0 0 +5 +2 3 0xa9ee 0xcdafadee @@ -5702,6 +7128,8 @@ 1 1 0 +4 +4 3 0x1d37 0x57e3acda @@ -5710,6 +7138,8 @@ 0 0 0 +0 +3 2 0x89bd 0x982d61fb @@ -5718,6 +7148,8 @@ 1 1 0 +4 +3 2 0xd502 0x46fb8bf1 @@ -5726,6 +7158,8 @@ 1 1 0 +1 +5 2 0xfc3f 0xd9d1df5f @@ -5734,6 +7168,8 @@ 0 0 0 +2 +1 4 0xb719 0x7f7d42b3 @@ -5742,6 +7178,8 @@ 0 0 0 +4 +1 2 0xc42d 0xce55cd06 @@ -5750,6 +7188,8 @@ 0 0 0 +0 +3 4 0xda88 0x1b6f5e69 @@ -5758,6 +7198,8 @@ 0 0 0 +5 +3 2 0x1c9c 0xb64850fd @@ -5766,6 +7208,8 @@ 1 1 0 +1 +0 3 0xd20e 0x48986d03 @@ -5774,6 +7218,8 @@ 1 1 0 +2 +0 4 0xc7e7 0xf182e8c3 @@ -5782,6 +7228,8 @@ 0 0 0 +4 +5 2 0x334b 0x7a00c9ac @@ -5790,6 +7238,8 @@ 1 0 0 +1 +1 4 0x4b25 0xd5301a2 @@ -5798,6 +7248,8 @@ 1 1 0 +4 +2 1 0x813f 0xa6faa4bc @@ -5806,6 +7258,8 @@ 1 1 0 +2 +5 3 0x4222 0xbe342401 @@ -5814,6 +7268,8 @@ 1 0 0 +4 +3 2 0xd4ad 0x21160991 @@ -5822,6 +7278,8 @@ 0 0 0 +5 +2 2 0x932f 0x811d23df @@ -5830,6 +7288,8 @@ 0 0 0 +3 +5 2 0x9d3e 0x617f5254 @@ -5838,6 +7298,8 @@ 1 1 0 +2 +1 4 0x1320 0x80036bff @@ -5846,6 +7308,8 @@ 0 0 0 +3 +5 1 0x93b4 0x9d907fec @@ -5854,6 +7318,8 @@ 1 0 0 +0 +0 3 0x78b3 0xbb158be6 @@ -5863,6 +7329,8 @@ 0 0 4 +5 +4 0x2970 0x5400930e 256 @@ -5870,6 +7338,8 @@ 0 0 0 +0 +1 2 0x35dd 0x1c45ba37 @@ -5878,6 +7348,8 @@ 1 1 0 +0 +0 4 0xf523 0x4e6be4e6 @@ -5886,6 +7358,8 @@ 0 0 0 +3 +0 1 0x8b43 0xd607af24 @@ -5894,6 +7368,8 @@ 1 1 0 +4 +1 2 0x410d 0x2ed7f894 @@ -5902,6 +7378,8 @@ 1 1 0 +4 +0 2 0xad80 0x1a0c274b @@ -5910,6 +7388,8 @@ 1 1 0 +1 +3 4 0x6a3e 0xe36f2c11 @@ -5918,6 +7398,8 @@ 0 0 0 +3 +0 4 0xc711 0x3bee2bca @@ -5926,6 +7408,8 @@ 0 0 0 +5 +2 4 0xcbd3 0xe802c240 @@ -5934,6 +7418,8 @@ 1 1 0 +5 +4 3 0x5eac 0xc6ec7242 @@ -5943,6 +7429,8 @@ 0 0 2 +5 +2 0xc02a 0xbb5a9ee6 256 @@ -5951,6 +7439,8 @@ 0 0 4 +0 +4 0x6453 0x6c00d4a3 256 @@ -5958,6 +7448,8 @@ 0 0 0 +3 +5 1 0xb2dd 0xba587d36 @@ -5966,6 +7458,8 @@ 0 0 0 +3 +3 1 0xce8d 0xd017b5f @@ -5974,6 +7468,8 @@ 1 1 0 +0 +2 2 0xb46 0x879356a @@ -5983,6 +7479,8 @@ 0 0 1 +4 +1 0x5815 0x3ee901b8 256 @@ -5990,6 +7488,8 @@ 0 0 0 +5 +5 1 0x865e 0x1958b7a @@ -5998,6 +7498,8 @@ 1 0 0 +2 +4 4 0x8f9f 0xe20ef462 @@ -6006,6 +7508,8 @@ 1 1 0 +4 +4 3 0x3bd 0x1be86206 @@ -6014,6 +7518,8 @@ 1 0 0 +3 +3 2 0xcbfb 0x1f3ab6c @@ -6022,6 +7528,8 @@ 0 0 0 +1 +0 4 0xdbee 0xa442c28a @@ -6030,6 +7538,8 @@ 0 0 0 +2 +4 3 0xf129 0x81887cdc @@ -6038,6 +7548,8 @@ 0 0 0 +1 +2 3 0xbdff 0x76f4cf2 @@ -6047,6 +7559,8 @@ 0 0 2 +0 +2 0x3eae 0xc34be02b 256 @@ -6054,6 +7568,8 @@ 1 0 0 +5 +5 4 0xeaa2 0x537a6ece @@ -6062,6 +7578,8 @@ 0 0 0 +3 +2 1 0x4bd5 0x808b2be1 @@ -6070,6 +7588,8 @@ 0 0 0 +0 +1 4 0x894a 0xab3ff78d @@ -6079,6 +7599,8 @@ 0 0 1 +1 +1 0xb1cf 0x25f73c12 256 @@ -6086,6 +7608,8 @@ 0 0 0 +0 +0 1 0xca6a 0xb275ceb2 @@ -6094,6 +7618,8 @@ 0 0 0 +1 +3 4 0x7e3c 0x4365dc6a @@ -6102,6 +7628,8 @@ 1 1 0 +0 +0 2 0xca09 0xd8577b2e @@ -6111,6 +7639,8 @@ 0 0 3 +3 +3 0x6b2a 0xa79cc3f0 256 @@ -6119,6 +7649,8 @@ 1 0 4 +2 +4 0xd64c 0x7e4e1074 256 @@ -6127,6 +7659,8 @@ 0 0 3 +4 +3 0x4660 0x3bb72501 256 @@ -6134,6 +7668,8 @@ 0 0 0 +4 +3 1 0x90a8 0xfa033fb8 @@ -6142,6 +7678,8 @@ 1 0 0 +3 +5 2 0x9408 0x5eaa4114 @@ -6150,6 +7688,8 @@ 1 0 0 +2 +0 1 0xfb92 0x521c6b38 @@ -6158,6 +7698,8 @@ 1 0 0 +4 +4 1 0x657a 0xf48fea70 @@ -6166,6 +7708,8 @@ 0 0 0 +5 +1 1 0xcedc 0xbdd6b009 @@ -6174,6 +7718,8 @@ 0 0 0 +2 +2 4 0x54d8 0x36bc8aef @@ -6182,6 +7728,8 @@ 0 0 0 +3 +5 1 0xfd95 0x3aa403fa @@ -6191,6 +7739,8 @@ 1 0 4 +2 +4 0x8e34 0x2ee735bc 256 @@ -6198,6 +7748,8 @@ 0 0 0 +4 +0 3 0xa83e 0x76ae3a7e @@ -6206,6 +7758,8 @@ 1 1 0 +1 +5 3 0x44b5 0x44d0e278 @@ -6214,6 +7768,8 @@ 0 0 0 +0 +4 4 0x20a4 0xb37f7179 @@ -6222,6 +7778,8 @@ 1 0 0 +0 +0 2 0x9b04 0xb2db36dc @@ -6230,6 +7788,8 @@ 1 0 0 +4 +5 3 0xe672 0xf629e218 @@ -6239,6 +7799,8 @@ 0 0 1 +4 +1 0x55cf 0x1e4ef138 256 @@ -6246,6 +7808,8 @@ 1 0 0 +4 +1 1 0x8395 0xebdda9c7 @@ -6254,6 +7818,8 @@ 1 0 0 +0 +0 3 0x21a6 0x4500d603 @@ -6262,6 +7828,8 @@ 1 0 0 +4 +2 2 0xe669 0x7cbda6d0 @@ -6271,6 +7839,8 @@ 0 0 2 +0 +2 0x336b 0xd0c27e46 256 @@ -6278,6 +7848,8 @@ 1 0 0 +5 +2 1 0xb090 0xae1d333b @@ -6286,6 +7858,8 @@ 1 0 0 +2 +0 3 0x7718 0x47f11b9c @@ -6294,6 +7868,8 @@ 0 0 0 +3 +5 4 0x21d6 0xee60c700 @@ -6302,6 +7878,8 @@ 0 0 0 +2 +3 1 0x300c 0xc129b0b9 @@ -6310,6 +7888,8 @@ 0 0 0 +3 +3 4 0x5b1c 0x4d41f04e @@ -6318,6 +7898,8 @@ 1 0 0 +5 +3 2 0xdf79 0xa38e474a @@ -6326,6 +7908,8 @@ 0 0 0 +3 +3 4 0xb529 0x8adb0369 @@ -6334,6 +7918,8 @@ 1 1 0 +4 +2 2 0xcfc0 0x341b5fc @@ -6342,6 +7928,8 @@ 1 0 0 +4 +4 3 0xc606 0x7dd00328 @@ -6350,6 +7938,8 @@ 0 0 0 +0 +5 4 0x7ff5 0x8cef50f7 @@ -6358,6 +7948,8 @@ 1 0 0 +2 +0 3 0xcc35 0xfdc8774d @@ -6366,6 +7958,8 @@ 1 1 0 +0 +3 4 0x4cbc 0xdbd0f21e @@ -6374,6 +7968,8 @@ 0 0 0 +4 +0 2 0x6735 0xeec62932 @@ -6382,6 +7978,8 @@ 0 0 0 +1 +0 3 0xd9 0xe94bfd4e @@ -6390,6 +7988,8 @@ 1 1 0 +4 +3 3 0x29f5 0x7a2c7a48 @@ -6398,6 +7998,8 @@ 0 0 0 +4 +0 2 0x5f70 0xe62a32da @@ -6406,6 +8008,8 @@ 1 0 0 +3 +3 2 0x2445 0x5a0d3687 @@ -6415,6 +8019,8 @@ 0 0 1 +2 +1 0x220a 0xd8d28d7a 256 @@ -6422,6 +8028,8 @@ 0 0 0 +0 +4 4 0xf81b 0x9461f09d @@ -6430,6 +8038,8 @@ 0 0 0 +5 +2 3 0x9154 0xa0ff0b82 @@ -6438,6 +8048,8 @@ 1 1 0 +1 +3 3 0xa0e4 0x165bc5a1 @@ -6447,6 +8059,8 @@ 1 0 3 +4 +3 0x38a 0xc1f9bbf3 256 @@ -6455,6 +8069,8 @@ 0 0 2 +3 +2 0x122b 0xc60dbb3c 256 @@ -6462,6 +8078,8 @@ 0 0 0 +4 +4 2 0x334d 0x7b0815df @@ -6470,6 +8088,8 @@ 0 0 0 +2 +3 3 0x517a 0x3f79af63 @@ -6478,6 +8098,8 @@ 0 0 0 +4 +0 2 0x1f48 0xf7cd6bf9 @@ -6486,6 +8108,8 @@ 0 0 0 +2 +1 4 0x1909 0xfdabec7a @@ -6494,6 +8118,8 @@ 0 0 0 +3 +4 1 0x40f5 0xbdae3461 @@ -6503,6 +8129,8 @@ 0 0 4 +5 +4 0xaf6d 0x659ff7a 256 @@ -6510,6 +8138,8 @@ 0 0 0 +5 +5 2 0x6b3b 0x39be084f @@ -6518,6 +8148,8 @@ 1 0 0 +3 +0 2 0x10f6 0x88067f7c @@ -6526,6 +8158,8 @@ 1 1 0 +4 +5 1 0x5fb6 0xf332b22c @@ -6534,6 +8168,8 @@ 1 0 0 +2 +3 1 0x3c0c 0x3fee09fb @@ -6542,6 +8178,8 @@ 0 0 0 +1 +5 3 0x9257 0x19f08016 @@ -6550,6 +8188,8 @@ 1 1 0 +2 +2 3 0x4a35 0x3d28e95e @@ -6558,6 +8198,8 @@ 1 0 0 +3 +5 2 0x5f3e 0x3e7dbaf4 @@ -6566,6 +8208,8 @@ 0 0 0 +5 +3 1 0x80f3 0x983ed6b9 @@ -6574,6 +8218,8 @@ 1 1 0 +0 +0 1 0x89fe 0x962d23f7 @@ -6582,6 +8228,8 @@ 0 0 0 +5 +2 2 0xf704 0xdbafdfcf @@ -6590,6 +8238,8 @@ 1 0 0 +0 +4 1 0x33ee 0x407d9850 @@ -6598,6 +8248,8 @@ 1 1 0 +2 +2 1 0x3cca 0xf555e104 @@ -6606,6 +8258,8 @@ 1 1 0 +4 +0 1 0x9eaf 0x7faeadaf @@ -6614,6 +8268,8 @@ 1 1 0 +1 +4 4 0x27bb 0xceeaafba @@ -6623,6 +8279,8 @@ 0 0 3 +1 +3 0xc4db 0xc2310be7 256 @@ -6630,6 +8288,8 @@ 1 0 0 +2 +1 3 0x1328 0xd6c4e52a @@ -6638,6 +8298,8 @@ 1 0 0 +3 +1 2 0xbaab 0xfc20210c @@ -6646,6 +8308,8 @@ 1 1 0 +1 +4 3 0x9bce 0xda3ff6fe @@ -6655,6 +8319,8 @@ 0 0 2 +3 +2 0x913a 0x71060794 256 @@ -6662,6 +8328,8 @@ 1 0 0 +5 +2 4 0x48b8 0xa3adae50 @@ -6670,6 +8338,8 @@ 1 0 0 +5 +1 1 0x6e65 0xffbc264f @@ -6678,6 +8348,8 @@ 0 0 0 +4 +5 3 0x2c8a 0xd8760fc0 @@ -6686,6 +8358,8 @@ 1 1 0 +3 +5 1 0xd991 0x21ebb638 @@ -6694,6 +8368,8 @@ 1 1 0 +2 +1 3 0x45 0x14f21d3c @@ -6702,6 +8378,8 @@ 1 0 0 +5 +4 3 0x5e8 0x589a53de @@ -6711,6 +8389,8 @@ 0 0 2 +1 +2 0x65b0 0x60c9eafe 256 @@ -6718,6 +8398,8 @@ 0 0 0 +5 +5 4 0x74cd 0xe880eba5 @@ -6726,6 +8408,8 @@ 0 0 0 +3 +3 1 0xd7c1 0x61518b4d @@ -6734,6 +8418,8 @@ 1 1 0 +5 +3 1 0xa26c 0xc15b51fd @@ -6742,6 +8428,8 @@ 0 0 0 +0 +1 2 0x1863 0xdb31e2a3 @@ -6750,6 +8438,8 @@ 0 0 0 +2 +5 4 0x9f9f 0xddb131b0 @@ -6758,6 +8448,8 @@ 1 0 0 +3 +1 1 0x591e 0xc4aba7c6 @@ -6766,6 +8458,8 @@ 0 0 0 +0 +0 1 0x151f 0x658b31be @@ -6774,6 +8468,8 @@ 0 0 0 +3 +3 1 0x1aab 0x51eb8612 @@ -6782,6 +8478,8 @@ 1 0 0 +5 +2 3 0x3aaf 0x34a281ad @@ -6790,6 +8488,8 @@ 0 0 0 +3 +4 2 0xe011 0x8fa9cd42 @@ -6798,6 +8498,8 @@ 1 1 0 +2 +1 3 0xd7a4 0x7b3ac755 @@ -6806,6 +8508,8 @@ 0 0 0 +0 +1 1 0x88f6 0x68fd42a3 @@ -6814,6 +8518,8 @@ 0 0 0 +3 +3 4 0x1acd 0x724e0ca6 @@ -6822,6 +8528,8 @@ 0 0 0 +1 +4 3 0xe625 0x7e47b186 @@ -6830,6 +8538,8 @@ 0 0 0 +0 +3 2 0x57fb 0xbd6e1bb5 @@ -6838,6 +8548,8 @@ 1 0 0 +4 +4 3 0xfaa6 0xb54dcff0 @@ -6846,6 +8558,8 @@ 0 0 0 +1 +5 4 0x992 0x755d24c @@ -6854,6 +8568,8 @@ 1 1 0 +5 +4 4 0x64b4 0xb7154fa6 @@ -6862,6 +8578,8 @@ 1 0 0 +4 +1 2 0x94a6 0x9b8859d6 @@ -6870,6 +8588,8 @@ 1 0 0 +3 +3 2 0x1f1f 0x3d51d5a4 @@ -6878,6 +8598,8 @@ 0 0 0 +1 +4 3 0x4cdd 0x19981936 @@ -6886,6 +8608,8 @@ 1 1 0 +1 +0 4 0x7653 0x979fdc44 @@ -6894,6 +8618,8 @@ 0 0 0 +0 +2 4 0xf381 0x44b0724 @@ -6902,6 +8628,8 @@ 0 0 0 +1 +4 3 0x5b88 0x5e6d5a79 @@ -6911,6 +8639,8 @@ 0 0 4 +5 +4 0x99f4 0x20dcff0b 256 @@ -6918,6 +8648,8 @@ 1 0 0 +4 +2 2 0x42d4 0x615e5089 @@ -6926,6 +8658,8 @@ 1 0 0 +3 +3 4 0x3290 0x1fee83c2 @@ -6934,6 +8668,8 @@ 0 0 0 +4 +1 1 0xebf8 0x449540b @@ -6942,6 +8678,8 @@ 1 0 0 +0 +1 4 0xe115 0xa73738c6 @@ -6950,6 +8688,8 @@ 0 0 0 +5 +1 2 0x1fb0 0x29276c8c @@ -6958,6 +8698,8 @@ 0 0 0 +2 +3 1 0x5f79 0x92cd67b5 @@ -6966,6 +8708,8 @@ 0 0 0 +4 +4 3 0x120a 0xbabbb595 @@ -6974,6 +8718,8 @@ 1 1 0 +3 +2 2 0x3f64 0x552655f3 @@ -6982,6 +8728,8 @@ 1 1 0 +1 +2 2 0x1700 0xa44f4b9b @@ -6990,6 +8738,8 @@ 1 1 0 +4 +5 3 0xec3a 0x2dd447a3 @@ -6999,6 +8749,8 @@ 0 0 2 +5 +2 0x900a 0x3d733410 256 @@ -7007,6 +8759,8 @@ 1 0 3 +5 +3 0x4e0c 0x13db2c81 256 @@ -7014,6 +8768,8 @@ 1 1 0 +0 +0 2 0xd6c 0x9b6a7cdd @@ -7022,6 +8778,8 @@ 1 1 0 +1 +3 2 0x8eb3 0xad3f8f69 @@ -7030,6 +8788,8 @@ 1 0 0 +3 +3 1 0xf79c 0xa778ae61 @@ -7038,6 +8798,8 @@ 0 0 0 +1 +3 2 0xd23b 0xc40681ab @@ -7046,6 +8808,8 @@ 0 0 0 +2 +4 1 0x191f 0xca4a8e70 @@ -7055,6 +8819,8 @@ 0 0 1 +1 +1 0xb9a3 0xd425a988 256 @@ -7062,6 +8828,8 @@ 0 0 0 +0 +0 3 0x2160 0x685ce6c8 @@ -7070,6 +8838,8 @@ 1 0 0 +4 +1 1 0x207c 0x9f8690b0 @@ -7078,6 +8848,8 @@ 1 1 0 +4 +4 1 0xfaf8 0xe194504e @@ -7086,6 +8858,8 @@ 0 0 0 +2 +5 4 0x8cb4 0x9e5b1374 @@ -7094,6 +8868,8 @@ 0 0 0 +5 +4 1 0x13c8 0x5fdb4210 @@ -7103,6 +8879,8 @@ 0 0 1 +0 +1 0x55db 0x5507a691 256 @@ -7110,6 +8888,8 @@ 1 1 0 +1 +0 3 0xbab0 0xce25f81e @@ -7118,6 +8898,8 @@ 0 0 0 +3 +2 2 0x659 0x1db3526a @@ -7127,6 +8909,8 @@ 0 0 1 +3 +1 0xe9db 0x42742f6f 256 @@ -7134,6 +8918,8 @@ 0 0 0 +4 +1 3 0x5668 0x5b8cd832 @@ -7143,6 +8929,8 @@ 0 0 4 +3 +4 0xf9e 0xf1f63300 256 @@ -7150,6 +8938,8 @@ 0 0 0 +2 +5 3 0x78e 0x764b35a4 @@ -7158,6 +8948,8 @@ 1 1 0 +0 +1 2 0x95b3 0x8e339551 @@ -7167,6 +8959,8 @@ 0 0 3 +3 +3 0xca0f 0x1ce3737 256 @@ -7175,6 +8969,8 @@ 0 0 4 +4 +4 0x1ab3 0xea11304c 256 @@ -7182,6 +8978,8 @@ 0 0 0 +5 +4 4 0xf324 0x192d8727 @@ -7190,6 +8988,8 @@ 1 1 0 +0 +0 1 0x1fa1 0x399119ad @@ -7199,6 +8999,8 @@ 0 0 3 +1 +3 0x97ae 0xbb1044d9 256 @@ -7206,6 +9008,8 @@ 0 0 0 +0 +0 3 0x4e35 0x5fe385f0 @@ -7215,6 +9019,8 @@ 0 0 1 +3 +1 0x9447 0x9199d172 256 @@ -7222,6 +9028,8 @@ 1 0 0 +0 +0 1 0x54f0 0x60ef0587 @@ -7230,6 +9038,8 @@ 0 0 0 +3 +0 4 0x2021 0xe10751ee @@ -7238,6 +9048,8 @@ 0 0 0 +0 +5 2 0x8fbf 0x1fca2a9f @@ -7246,6 +9058,8 @@ 0 0 0 +3 +0 4 0x6dc6 0x60e914cb @@ -7254,6 +9068,8 @@ 0 0 0 +0 +2 2 0x8257 0x2c111e1b @@ -7262,6 +9078,8 @@ 1 1 0 +1 +3 4 0xac92 0x54bf79b6 @@ -7270,6 +9088,8 @@ 0 0 0 +0 +0 1 0x6bd8 0xfd2cd2c4 @@ -7278,6 +9098,8 @@ 1 0 0 +1 +5 4 0x2293 0x70fbd611 @@ -7286,6 +9108,8 @@ 0 0 0 +4 +1 1 0x80f 0xb40dc331 @@ -7294,6 +9118,8 @@ 0 0 0 +1 +0 2 0x8e3d 0xcede82d0 @@ -7302,6 +9128,8 @@ 1 1 0 +2 +4 4 0x651e 0x1336abd3 @@ -7310,6 +9138,8 @@ 1 1 0 +5 +5 3 0x62af 0xb9ba514a @@ -7318,6 +9148,8 @@ 1 0 0 +3 +4 2 0xa851 0x80920854 @@ -7326,6 +9158,8 @@ 1 0 0 +5 +2 3 0x1ec7 0xc3e3c744 @@ -7334,6 +9168,8 @@ 1 1 0 +4 +0 2 0xa509 0x782ff9b5 @@ -7342,6 +9178,8 @@ 1 1 0 +0 +1 4 0xc4a2 0xae6e589f @@ -7350,6 +9188,8 @@ 0 0 0 +3 +0 4 0xbc1f 0x9d66b970 @@ -7358,6 +9198,8 @@ 1 0 0 +5 +3 4 0xc4dc 0x987bc0f2 @@ -7366,6 +9208,8 @@ 1 0 0 +5 +2 4 0x5af2 0x423df689 @@ -7374,6 +9218,8 @@ 1 1 0 +2 +0 3 0x5ac5 0xb01d20b5 @@ -7382,6 +9228,8 @@ 0 0 0 +0 +3 4 0x8a78 0x199b5985 @@ -7390,6 +9238,8 @@ 0 0 0 +3 +5 2 0xdc0 0xf3bb2dd9 @@ -7398,6 +9248,8 @@ 0 0 0 +0 +2 1 0x1ef9 0x4ddc9c75 @@ -7406,6 +9258,8 @@ 0 0 0 +5 +5 3 0x6cd2 0x440d2b55 @@ -7414,6 +9268,8 @@ 0 0 0 +1 +2 4 0x3c84 0xde293a32 @@ -7422,6 +9278,8 @@ 1 1 0 +1 +4 4 0x75b2 0xc188b08d @@ -7430,6 +9288,8 @@ 1 1 0 +0 +5 4 0x6be7 0xdd1fe386 @@ -7438,6 +9298,8 @@ 0 0 0 +3 +0 1 0x9683 0x9d16e27a @@ -7447,6 +9309,8 @@ 1 0 2 +4 +2 0x917 0xa0d9df3d 256 @@ -7454,6 +9318,8 @@ 1 0 0 +5 +1 1 0xcdea 0x2a2501b0 @@ -7462,6 +9328,8 @@ 1 0 0 +5 +1 4 0xebf9 0x2c7cf428 @@ -7470,6 +9338,8 @@ 0 0 0 +5 +1 2 0x11ca 0x196c4e9 @@ -7479,6 +9349,8 @@ 0 0 3 +0 +3 0xc000 0x1debc855 256 @@ -7486,6 +9358,8 @@ 1 1 0 +0 +1 1 0x3cc9 0x8fa8b5eb @@ -7494,6 +9368,8 @@ 0 0 0 +4 +3 3 0x19b2 0xbcadd5cb @@ -7502,6 +9378,8 @@ 0 0 0 +3 +3 1 0xcad6 0x1a719d41 @@ -7510,6 +9388,8 @@ 1 0 0 +4 +5 2 0x58dd 0x42f27df4 @@ -7518,6 +9398,8 @@ 0 0 0 +0 +5 4 0x3759 0xaeb92207 @@ -7526,6 +9408,8 @@ 0 0 0 +0 +0 2 0xc7a5 0xe2b1c0a4 @@ -7534,6 +9418,8 @@ 0 0 0 +3 +0 1 0xb945 0x952c8b45 @@ -7543,6 +9429,8 @@ 0 0 2 +0 +2 0x9714 0x64e6b3d0 256 @@ -7550,6 +9438,8 @@ 1 1 0 +4 +0 3 0x624c 0x8458676f @@ -7558,6 +9448,8 @@ 0 0 0 +0 +0 3 0x4649 0x8b6dd611 @@ -7567,6 +9459,8 @@ 0 0 4 +2 +4 0xff8 0xdd490800 256 @@ -7574,6 +9468,8 @@ 1 1 0 +4 +0 1 0xb382 0xe25c1d25 @@ -7582,6 +9478,8 @@ 0 0 0 +0 +2 1 0x4fc3 0xe70bc7a7 @@ -7590,6 +9488,8 @@ 0 0 0 +5 +2 3 0x8c95 0x5bb9da22 @@ -7598,6 +9498,8 @@ 1 1 0 +3 +4 4 0x9d48 0xe644f240 @@ -7606,6 +9508,8 @@ 0 0 0 +4 +0 3 0xf6ea 0x701c3f16 @@ -7614,6 +9518,8 @@ 1 0 0 +3 +5 1 0x835a 0x9b33e6ac @@ -7622,6 +9528,8 @@ 1 1 0 +0 +0 2 0xe891 0x65696c35 @@ -7630,6 +9538,8 @@ 1 0 0 +5 +0 3 0x49a7 0x71870188 @@ -7638,6 +9548,8 @@ 0 0 0 +0 +5 1 0xdab8 0xfb32e815 @@ -7646,6 +9558,8 @@ 1 0 0 +0 +1 4 0xe772 0x13374449 @@ -7654,6 +9568,8 @@ 1 0 0 +3 +5 4 0xbfb9 0xca4c672a @@ -7662,6 +9578,8 @@ 0 0 0 +5 +5 3 0x954c 0x99c3b42d @@ -7670,6 +9588,8 @@ 1 1 0 +0 +4 4 0xd56f 0x61e4cc3f @@ -7678,6 +9598,8 @@ 1 1 0 +4 +0 3 0xbadd 0xd398531f @@ -7687,6 +9609,8 @@ 1 0 4 +0 +4 0xd0a9 0xb208fb82 256 @@ -7694,6 +9618,8 @@ 1 1 0 +2 +1 4 0x8d38 0x4a9b26ef @@ -7702,6 +9628,8 @@ 1 1 0 +3 +0 2 0x775e 0xcbdb6883 @@ -7710,6 +9638,8 @@ 0 0 0 +2 +0 3 0x950f 0xf57b6f85 @@ -7718,6 +9648,8 @@ 0 0 0 +1 +5 3 0xe3f0 0x24d2cbec @@ -7726,6 +9658,8 @@ 0 0 0 +4 +0 1 0x8163 0x9430049a @@ -7734,6 +9668,8 @@ 1 0 0 +5 +1 4 0xa948 0x5b237be @@ -7742,6 +9678,8 @@ 1 1 0 +1 +3 4 0x67cf 0x1ed2b6fe @@ -7750,6 +9688,8 @@ 0 0 0 +0 +2 3 0x28eb 0x3a78cdfe @@ -7758,6 +9698,8 @@ 1 0 0 +3 +5 2 0xfedd 0xb6d7840f @@ -7766,6 +9708,8 @@ 0 0 0 +3 +0 4 0x4997 0x4926156a @@ -7774,6 +9718,8 @@ 1 0 0 +0 +5 1 0xd858 0x445ddd57 @@ -7783,6 +9729,8 @@ 1 0 4 +3 +4 0xfc55 0x571af20c 256 @@ -7790,6 +9738,8 @@ 0 0 0 +5 +1 1 0x7c7d 0x70f6717d @@ -7798,6 +9748,8 @@ 0 0 0 +2 +2 3 0xec42 0xaaf54990 @@ -7806,6 +9758,8 @@ 1 0 0 +2 +0 4 0x7b80 0x4c77b57f @@ -7814,6 +9768,8 @@ 0 0 0 +5 +0 2 0xa390 0x5655ce15 @@ -7822,6 +9778,8 @@ 0 0 0 +4 +0 2 0xaa26 0xfd20a4ab @@ -7830,6 +9788,8 @@ 0 0 0 +0 +4 3 0x6305 0x885a17d4 @@ -7838,6 +9798,8 @@ 1 0 0 +5 +1 2 0xc38d 0x404a9b84 @@ -7847,6 +9809,8 @@ 0 0 4 +5 +4 0xa2a6 0xbbf2571d 256 @@ -7854,6 +9818,8 @@ 0 0 0 +5 +4 1 0x6a8 0xca7f568f @@ -7862,6 +9828,8 @@ 1 0 0 +0 +0 1 0x2fd1 0x51b260bc @@ -7870,6 +9838,8 @@ 1 1 0 +4 +5 1 0x8290 0x40a3bb9e @@ -7878,6 +9848,8 @@ 0 0 0 +0 +1 2 0x3a0c 0xe3135de6 @@ -7887,6 +9859,8 @@ 0 0 2 +4 +2 0x369 0x40659ce1 256 @@ -7894,6 +9868,8 @@ 0 0 0 +0 +0 2 0xe948 0xe3227053 @@ -7902,6 +9878,8 @@ 0 0 0 +5 +5 4 0x5b5a 0x2539238e @@ -7911,6 +9889,8 @@ 0 0 3 +2 +3 0x7c0a 0xaa73e288 256 @@ -7918,6 +9898,8 @@ 0 0 0 +5 +4 4 0xaf41 0x4164d25 @@ -7926,6 +9908,8 @@ 1 1 0 +4 +2 3 0xdba9 0x63a07c35 @@ -7934,6 +9918,8 @@ 0 0 0 +5 +0 1 0x41d2 0x1735e80e @@ -7942,6 +9928,8 @@ 1 1 0 +0 +0 3 0xb18e 0x52bd4267 @@ -7951,6 +9939,8 @@ 0 0 1 +5 +1 0x7295 0x55261c1f 256 @@ -7958,6 +9948,8 @@ 1 0 0 +0 +4 4 0xf2a6 0xe17ce3f5 @@ -7966,6 +9958,8 @@ 1 0 0 +0 +1 2 0x2b20 0x81653ef2 @@ -7974,6 +9968,8 @@ 0 0 0 +5 +5 3 0x8172 0x390b928f @@ -7982,6 +9978,8 @@ 0 0 0 +0 +3 2 0x82aa 0xf5de92bd @@ -7991,6 +9989,8 @@ 1 0 3 +5 +3 0x2553 0x5a9665ed 256 @@ -7998,6 +9998,8 @@ 0 0 0 +2 +3 3 0x7371 0x9c700d7f @@ -8006,6 +10008,8 @@ 1 1 0 +2 +2 3 0xd0bf 0xb13c3ee9 @@ -8014,6 +10018,8 @@ 0 0 0 +0 +1 4 0xd54f 0x217c3d0a @@ -8022,6 +10028,8 @@ 0 0 0 +4 +0 1 0xc2ee 0x3546d2e7 @@ -8030,6 +10038,8 @@ 1 1 0 +2 +5 1 0x1d97 0x7a7b49e3 @@ -8038,6 +10048,8 @@ 1 1 0 +3 +4 2 0x5fdd 0x48e46838 @@ -8046,6 +10058,8 @@ 1 0 0 +4 +5 2 0x27e4 0xb37ade19 @@ -8054,6 +10068,8 @@ 1 1 0 +1 +0 3 0x503b 0xda104083 @@ -8062,6 +10078,8 @@ 1 1 0 +0 +2 3 0xb3bd 0x891f65f @@ -8070,6 +10088,8 @@ 1 0 0 +3 +4 2 0x4ff2 0x8c1bb14e @@ -8078,6 +10098,8 @@ 0 0 0 +1 +1 3 0xa008 0x87ed0e9d @@ -8086,6 +10108,8 @@ 1 0 0 +4 +0 1 0x27bd 0x902de08d @@ -8094,6 +10118,8 @@ 0 0 0 +5 +3 4 0x809f 0xa0a73e96 @@ -8102,6 +10128,8 @@ 1 1 0 +0 +1 2 0x84cc 0x63e2f19c @@ -8110,6 +10138,8 @@ 0 0 0 +3 +1 4 0x58cf 0xfcb3fb03 @@ -8118,6 +10148,8 @@ 0 0 0 +1 +2 4 0xfc93 0x95a3c5c @@ -8126,6 +10158,8 @@ 0 0 0 +4 +4 2 0x3d5e 0xbbbd8907 @@ -8134,6 +10168,8 @@ 0 0 0 +4 +0 3 0x54d2 0xba03711e @@ -8143,6 +10179,8 @@ 0 0 1 +2 +1 0x25ac 0xb0e59d10 256 @@ -8151,6 +10189,8 @@ 0 0 4 +1 +4 0xb53d 0xb850253d 256 @@ -8158,6 +10198,8 @@ 1 0 0 +0 +5 2 0xfef5 0xc415e89c @@ -8166,6 +10208,8 @@ 0 0 0 +0 +0 4 0x8625 0x50097c1 @@ -8174,6 +10218,8 @@ 1 1 0 +1 +1 4 0x852 0xbade292 @@ -8182,6 +10228,8 @@ 1 0 0 +2 +3 1 0xfcc0 0xc92e0d91 @@ -8190,6 +10238,8 @@ 1 1 0 +5 +2 2 0x9a1a 0x646c21e6 @@ -8198,6 +10248,8 @@ 0 0 0 +3 +5 4 0x1b51 0x9afdc199 @@ -8207,6 +10259,8 @@ 0 0 2 +4 +2 0x9fa9 0x8af2a72c 256 @@ -8214,6 +10268,8 @@ 1 1 0 +0 +3 1 0xaca8 0x254dad90 @@ -8222,6 +10278,8 @@ 1 1 0 +1 +0 3 0x2229 0x4a8d5118 @@ -8230,6 +10288,8 @@ 0 0 0 +3 +1 1 0xb44e 0x7d450b94 @@ -8238,6 +10298,8 @@ 1 0 0 +3 +1 2 0xa401 0x3d3ca9e3 @@ -8246,6 +10308,8 @@ 1 1 0 +2 +3 4 0xf497 0xd72605a6 @@ -8254,6 +10318,8 @@ 0 0 0 +0 +3 2 0xb5a0 0x7daf175f @@ -8262,6 +10328,8 @@ 0 0 0 +3 +2 1 0x6d6c 0x5de73d8e @@ -8270,6 +10338,8 @@ 1 1 0 +0 +2 2 0x77a2 0xceff2357 @@ -8278,6 +10348,8 @@ 1 1 0 +5 +3 4 0x269c 0xab128914 @@ -8286,6 +10358,8 @@ 0 0 0 +0 +2 4 0xe2e 0xd94b7258 @@ -8294,6 +10368,8 @@ 1 1 0 +0 +3 4 0xbbb6 0xf1b0683b @@ -8302,6 +10378,8 @@ 0 0 0 +3 +3 2 0x3d95 0xc670d93e @@ -8310,6 +10388,8 @@ 0 0 0 +0 +5 2 0xcacd 0x2e2f34de @@ -8318,6 +10398,8 @@ 0 0 0 +5 +0 2 0x8de9 0xa54b6465 @@ -8326,6 +10408,8 @@ 1 1 0 +1 +5 4 0xb3f3 0xc1759a7c @@ -8334,6 +10418,8 @@ 0 0 0 +1 +3 4 0x3141 0xbc83e6f8 @@ -8342,6 +10428,8 @@ 0 0 0 +3 +4 2 0xb225 0x660d81ef @@ -8350,6 +10438,8 @@ 1 1 0 +1 +3 4 0x62db 0x7f5c9ad3 @@ -8358,6 +10448,8 @@ 1 1 0 +4 +5 1 0x1e8 0xbfffd92c @@ -8366,6 +10458,8 @@ 0 0 0 +1 +3 4 0x570f 0x87071b3c @@ -8375,6 +10469,8 @@ 0 0 2 +0 +2 0x8b75 0x2ed36cda 256 @@ -8382,6 +10478,8 @@ 1 1 0 +5 +3 2 0x8c4 0xafcf158d @@ -8390,6 +10488,8 @@ 1 1 0 +0 +4 1 0x69dc 0x2c3f05a3 @@ -8398,6 +10498,8 @@ 1 1 0 +5 +0 4 0x5110 0x2c3ace73 @@ -8406,6 +10508,8 @@ 1 1 0 +5 +4 3 0x4951 0x773032a7 @@ -8414,6 +10518,8 @@ 0 0 0 +1 +4 3 0x7ed2 0x18356bca @@ -8422,6 +10528,8 @@ 1 1 0 +2 +0 1 0xbfb3 0xa4b89702 @@ -8430,6 +10538,8 @@ 1 0 0 +3 +0 2 0xfe98 0xc91ef072 @@ -8438,6 +10548,8 @@ 1 0 0 +5 +3 3 0x398 0x846ce2d @@ -8446,6 +10558,8 @@ 0 0 0 +4 +2 3 0x21e9 0x85f24ba7 @@ -8454,6 +10568,8 @@ 1 0 0 +2 +0 3 0xfbc8 0xe24c936d @@ -8462,6 +10578,8 @@ 0 0 0 +1 +2 2 0x1d9d 0x7bc4420 @@ -8470,6 +10588,8 @@ 0 0 0 +0 +5 4 0x47b4 0xc278eaf4 @@ -8478,6 +10598,8 @@ 0 0 0 +1 +5 2 0x8946 0xece3b373 @@ -8486,6 +10608,8 @@ 0 0 0 +0 +3 2 0x24ec 0x54056498 @@ -8494,6 +10618,8 @@ 0 0 0 +3 +2 2 0x6cd5 0xf2205664 @@ -8502,6 +10628,8 @@ 1 1 0 +4 +5 2 0x9f92 0x53932eca @@ -8510,6 +10638,8 @@ 1 0 0 +5 +4 3 0x6662 0xaa2458c9 @@ -8518,6 +10648,8 @@ 1 1 0 +4 +3 2 0xc68a 0x610a494c @@ -8526,6 +10658,8 @@ 1 0 0 +2 +4 1 0x707b 0x33d86ece @@ -8534,6 +10668,8 @@ 1 1 0 +4 +2 1 0x19b1 0xc335715 @@ -8542,6 +10678,8 @@ 1 0 0 +3 +4 2 0xb165 0xe5a73433 @@ -8550,6 +10688,8 @@ 0 0 0 +0 +3 4 0x6680 0x7051cf81 @@ -8558,6 +10698,8 @@ 0 0 0 +3 +5 2 0x37e9 0x947a149a @@ -8566,6 +10708,8 @@ 0 0 0 +0 +3 3 0xfb38 0xbecbbec @@ -8574,6 +10718,8 @@ 0 0 0 +2 +4 3 0xf0d5 0x419525c8 @@ -8582,6 +10728,8 @@ 0 0 0 +1 +2 4 0x1d2f 0x2a503120 @@ -8590,6 +10738,8 @@ 0 0 0 +5 +4 3 0xddb 0x3e85a02a @@ -8598,6 +10748,8 @@ 1 0 0 +2 +2 4 0x391a 0xf34b82a2 @@ -8606,6 +10758,8 @@ 0 0 0 +4 +2 2 0x3300 0x7a505c8c @@ -8614,6 +10768,8 @@ 1 1 0 +2 +2 3 0x363f 0x221ebdb0 @@ -8622,6 +10778,8 @@ 1 0 0 +4 +0 2 0xf16b 0x37c8d6a7 @@ -8631,6 +10789,8 @@ 0 0 3 +1 +3 0x577 0x501289c 256 @@ -8638,6 +10798,8 @@ 0 0 0 +0 +2 3 0x5c5f 0xd50b26d9 @@ -8646,6 +10808,8 @@ 1 0 0 +1 +5 2 0x3201 0x9d75fc81 @@ -8654,6 +10818,8 @@ 0 0 0 +3 +0 2 0x2d82 0xfc9c7d32 @@ -8663,6 +10829,8 @@ 0 0 1 +5 +1 0x66fd 0xf2536aa2 256 @@ -8670,6 +10838,8 @@ 1 0 0 +1 +0 2 0x5b23 0x285981e9 @@ -8678,6 +10848,8 @@ 1 1 0 +2 +3 3 0x758b 0xca33fa8d @@ -8686,6 +10858,8 @@ 0 0 0 +3 +3 2 0xee92 0xc9bc2ee7 @@ -8695,6 +10869,8 @@ 0 0 1 +4 +1 0xd4ac 0xfd01d347 256 @@ -8702,6 +10878,8 @@ 1 1 0 +5 +3 1 0xafd5 0xc00cd5be @@ -8710,6 +10888,8 @@ 1 0 0 +0 +5 2 0x5b38 0x1a0e3eeb @@ -8718,6 +10898,8 @@ 0 0 0 +1 +0 2 0x8c60 0xaf460e75 @@ -8726,6 +10908,8 @@ 0 0 0 +5 +2 3 0x66eb 0x89ead3b @@ -8734,6 +10918,8 @@ 1 1 0 +1 +4 4 0x6be8 0x64186d07 @@ -8742,6 +10928,8 @@ 0 0 0 +0 +3 2 0x112f 0x9d9f6378 @@ -8750,6 +10938,8 @@ 0 0 0 +0 +4 1 0x36eb 0x96836b07 @@ -8758,6 +10948,8 @@ 0 0 0 +3 +5 2 0xd63d 0xfcf55f7 @@ -8766,6 +10958,8 @@ 1 1 0 +1 +0 4 0xbd95 0xb8880fed @@ -8774,6 +10968,8 @@ 0 0 0 +1 +1 3 0xb9bf 0xa3edd5c9 @@ -8782,6 +10978,8 @@ 1 1 0 +3 +1 4 0xc081 0xdb14e68c @@ -8791,6 +10989,8 @@ 0 0 2 +1 +2 0x9266 0x66eeca2c 256 @@ -8798,6 +10998,8 @@ 1 1 0 +2 +3 3 0x940c 0xc59e91cf @@ -8806,6 +11008,8 @@ 1 1 0 +4 +3 3 0xd780 0x80e47eaa @@ -8814,6 +11018,8 @@ 0 0 0 +4 +2 1 0x3f18 0x8794106c @@ -8822,6 +11028,8 @@ 1 0 0 +2 +0 1 0x100c 0xf2db04c7 @@ -8830,6 +11038,8 @@ 0 0 0 +5 +3 2 0xef57 0x74d85a42 @@ -8838,6 +11048,8 @@ 1 0 0 +0 +3 2 0xa27a 0xb7e1a6e8 @@ -8846,6 +11058,8 @@ 0 0 0 +1 +4 4 0x21b 0x67dc426a @@ -8854,6 +11068,8 @@ 1 0 0 +3 +5 1 0x5b29 0x8d3ac7c8 @@ -8863,6 +11079,8 @@ 0 0 4 +3 +4 0x447e 0x3bb4fcb1 256 @@ -8870,6 +11088,8 @@ 0 0 0 +1 +0 4 0x573a 0xd55f8f25 @@ -8878,6 +11098,8 @@ 0 0 0 +5 +2 4 0x7008 0xb9f68ec4 @@ -8886,6 +11108,8 @@ 1 1 0 +0 +5 4 0x5295 0x35221872 @@ -8894,6 +11118,8 @@ 1 0 0 +3 +3 4 0xf13a 0x80cc0fab @@ -8902,6 +11128,8 @@ 0 0 0 +1 +1 4 0x36d8 0x5defb2d6 @@ -8910,6 +11138,8 @@ 0 0 0 +4 +2 3 0x910c 0x8a093ec3 @@ -8919,6 +11149,8 @@ 0 0 4 +0 +4 0x217f 0x33bbeaa3 256 @@ -8926,6 +11158,8 @@ 0 0 0 +2 +0 4 0xfa85 0xdd325a9a @@ -8934,6 +11168,8 @@ 1 0 0 +1 +4 2 0xa5c1 0x232b9155 @@ -8942,6 +11178,8 @@ 1 1 0 +3 +5 2 0xeb7f 0x6b5b0bb @@ -8951,6 +11189,8 @@ 1 0 3 +3 +3 0x42b4 0x280c3b61 256 @@ -8958,6 +11198,8 @@ 0 0 0 +0 +1 4 0xdc14 0x71c0b8fd @@ -8966,6 +11208,8 @@ 1 0 0 +5 +1 3 0x42fc 0x6d4e209b @@ -8974,6 +11218,8 @@ 1 0 0 +5 +3 1 0xb3cd 0x94e07e33 @@ -8982,6 +11228,8 @@ 0 0 0 +2 +5 1 0x939b 0xaa969e9 @@ -8991,6 +11239,8 @@ 0 0 4 +2 +4 0x1d1d 0x6119f4b9 256 @@ -8998,6 +11248,8 @@ 0 0 0 +5 +5 4 0x43af 0xaa5637f0 @@ -9007,6 +11259,8 @@ 0 0 3 +2 +3 0x950a 0xfff0985b 256 @@ -9014,6 +11268,8 @@ 0 0 0 +4 +0 1 0x7107 0xa0eba178 @@ -9022,6 +11278,8 @@ 1 1 0 +2 +5 4 0xc780 0xa1d3b229 @@ -9031,6 +11289,8 @@ 1 0 3 +4 +3 0x7978 0x3ecc2273 256 @@ -9039,6 +11299,8 @@ 0 0 4 +3 +4 0x8096 0x816846e4 256 @@ -9046,6 +11308,8 @@ 0 0 0 +4 +3 1 0x2963 0xe8acb2d7 @@ -9055,6 +11319,8 @@ 0 0 2 +4 +2 0x4e82 0xf48fc569 256 @@ -9062,6 +11328,8 @@ 1 1 0 +2 +3 1 0x47ab 0xe1fb30e9 @@ -9070,6 +11338,8 @@ 0 0 0 +2 +0 4 0xeb8e 0x31436ad9 @@ -9078,6 +11348,8 @@ 0 0 0 +0 +5 1 0xeb8f 0x469c39a3 @@ -9086,6 +11358,8 @@ 1 0 0 +0 +2 3 0x2c21 0x4be2381f @@ -9094,6 +11368,8 @@ 1 0 0 +2 +4 3 0x8186 0xd0fb712c @@ -9103,6 +11379,8 @@ 1 0 3 +5 +3 0xabb 0x9a7969f0 256 @@ -9110,6 +11388,8 @@ 0 0 0 +4 +4 2 0x2be3 0xb2b45ab6 @@ -9118,6 +11398,8 @@ 1 0 0 +0 +2 2 0xfae4 0x793c1bfd @@ -9126,6 +11408,8 @@ 0 0 0 +1 +4 3 0x8459 0x407611d6 @@ -9134,6 +11418,8 @@ 1 0 0 +2 +5 4 0xc2b8 0x68b02485 @@ -9142,6 +11428,8 @@ 0 0 0 +1 +2 2 0xc541 0x91205b47 @@ -9151,6 +11439,8 @@ 0 0 2 +1 +2 0x8685 0xfb7d80bb 256 @@ -9158,6 +11448,8 @@ 1 0 0 +1 +4 3 0x314c 0x5dd951f9 @@ -9166,6 +11458,8 @@ 0 0 0 +1 +2 4 0x254a 0xf61558f4 @@ -9174,6 +11468,8 @@ 1 0 0 +3 +5 2 0xc9f7 0xde6831b1 @@ -9182,6 +11478,8 @@ 0 0 0 +2 +0 4 0x3af1 0x8de24b83 @@ -9190,6 +11488,8 @@ 1 1 0 +5 +4 1 0x9393 0xabaf657e @@ -9198,6 +11498,8 @@ 1 0 0 +0 +2 4 0xcd8d 0x27c66a7b @@ -9206,6 +11508,8 @@ 1 1 0 +1 +3 4 0x1a56 0x491510ce @@ -9214,6 +11518,8 @@ 0 0 0 +0 +5 1 0x38fe 0x1735d145 @@ -9222,6 +11528,8 @@ 1 1 0 +2 +0 3 0x80f0 0xbb4f1ba2 @@ -9231,6 +11539,8 @@ 0 0 4 +3 +4 0xdc9d 0xa52e1013 256 @@ -9238,6 +11548,8 @@ 0 0 0 +4 +2 3 0x904d 0x582f5b5a @@ -9246,6 +11558,8 @@ 0 0 0 +1 +2 4 0x6d24 0xc3d58d6b @@ -9255,6 +11569,8 @@ 0 0 2 +5 +2 0xdbf8 0x6ec2ca4 256 @@ -9262,6 +11578,8 @@ 1 0 0 +0 +5 1 0x9066 0xf095d129 @@ -9270,6 +11588,8 @@ 0 0 0 +0 +1 3 0xa843 0x5d5a2676 @@ -9278,6 +11598,8 @@ 0 0 0 +3 +4 2 0x8d87 0x55f6d974 @@ -9286,6 +11608,8 @@ 1 0 0 +0 +0 4 0x4358 0x806b22ca @@ -9294,6 +11618,8 @@ 0 0 0 +4 +2 2 0x87d6 0x40002b45 @@ -9302,6 +11628,8 @@ 1 1 0 +2 +1 3 0xfb58 0x1dcffc73 @@ -9310,6 +11638,8 @@ 0 0 0 +4 +1 1 0x629c 0xeec209fc @@ -9318,6 +11648,8 @@ 0 0 0 +5 +2 2 0xfd79 0x1389176c @@ -9326,6 +11658,8 @@ 1 0 0 +5 +0 2 0xf7d 0x705b5b01 @@ -9334,6 +11668,8 @@ 1 0 0 +4 +1 1 0xab6b 0x51d70a7b @@ -9342,6 +11678,8 @@ 1 0 0 +5 +2 2 0x83cf 0xb8ec3807 @@ -9350,6 +11688,8 @@ 1 0 0 +2 +3 3 0xc231 0xecb432a2 @@ -9358,6 +11698,8 @@ 1 0 0 +2 +2 1 0x97e4 0x977e6d79 @@ -9367,6 +11709,8 @@ 1 0 2 +4 +2 0xaf76 0x4a5d4d07 256 @@ -9375,6 +11719,8 @@ 1 0 1 +5 +1 0xf237 0x17a909a9 256 @@ -9382,6 +11728,8 @@ 0 0 0 +3 +2 4 0x91bd 0xf0ca0116 @@ -9390,6 +11738,8 @@ 0 0 0 +3 +1 1 0x5d8b 0x3e684020 @@ -9398,6 +11748,8 @@ 1 0 0 +0 +1 2 0xcb6d 0xd32678d9 @@ -9406,6 +11758,8 @@ 0 0 0 +4 +2 3 0x3a14 0x512d7648 @@ -9414,6 +11768,8 @@ 1 0 0 +3 +4 1 0xbcad 0xe80fc9a2 @@ -9422,6 +11778,8 @@ 0 0 0 +2 +1 3 0x5690 0x670413ab @@ -9430,6 +11788,8 @@ 1 1 0 +5 +5 2 0xf429 0x3877b4e6 @@ -9438,6 +11798,8 @@ 0 0 0 +1 +3 2 0x4431 0x86caa830 @@ -9447,6 +11809,8 @@ 1 0 2 +0 +2 0x799c 0xb7b050a2 256 @@ -9454,6 +11818,8 @@ 1 1 0 +3 +5 1 0x42f5 0x25dccc65 @@ -9462,6 +11828,8 @@ 0 0 0 +0 +2 2 0xf2a2 0xba912816 @@ -9470,6 +11838,8 @@ 0 0 0 +1 +3 3 0x44df 0xf12a3e16 @@ -9478,6 +11848,8 @@ 0 0 0 +2 +0 3 0xdfd5 0x398708e5 @@ -9487,6 +11859,8 @@ 0 0 2 +5 +2 0xa679 0xe8f5cf1 256 @@ -9494,6 +11868,8 @@ 1 1 0 +0 +2 2 0x6ba7 0xd0b635e4 @@ -9502,6 +11878,8 @@ 1 0 0 +2 +1 1 0x5971 0xa857100 @@ -9510,6 +11888,8 @@ 1 1 0 +2 +3 4 0xfc8b 0x5ad17052 @@ -9518,6 +11898,8 @@ 1 1 0 +4 +1 1 0x10bf 0xb9eef585 @@ -9526,6 +11908,8 @@ 0 0 0 +3 +5 4 0x6d5b 0x9fa4374e @@ -9534,6 +11918,8 @@ 1 0 0 +2 +2 1 0x2d00 0xc807c3e5 @@ -9542,6 +11928,8 @@ 0 0 0 +5 +0 4 0xfbaf 0x6d52be1e @@ -9550,6 +11938,8 @@ 1 0 0 +5 +1 2 0x22e3 0xb1a997c3 @@ -9558,6 +11948,8 @@ 0 0 0 +5 +5 4 0xb5c2 0x6cf5836f @@ -9566,6 +11958,8 @@ 1 0 0 +1 +4 2 0x269d 0x6c4ba7f9 @@ -9575,6 +11969,8 @@ 0 0 4 +0 +4 0x2dd6 0xf72ce4e7 256 @@ -9583,6 +11979,8 @@ 0 0 4 +4 +4 0xace3 0x717e7c72 256 @@ -9591,6 +11989,8 @@ 0 0 1 +1 +1 0xb698 0xc4b0cdb4 256 @@ -9598,6 +11998,8 @@ 1 1 0 +5 +5 3 0x887b 0x2d93eeee @@ -9606,6 +12008,8 @@ 0 0 0 +2 +4 1 0xa544 0xefd13c95 @@ -9614,6 +12018,8 @@ 1 0 0 +2 +3 3 0xfc76 0x94912020 @@ -9622,6 +12028,8 @@ 0 0 0 +3 +1 1 0xede8 0x20b7bc16 @@ -9630,6 +12038,8 @@ 1 1 0 +5 +1 4 0xbc4d 0x130767f8 @@ -9638,6 +12048,8 @@ 0 0 0 +4 +3 1 0x8cfd 0x628ee15 @@ -9646,6 +12058,8 @@ 1 1 0 +4 +3 3 0xd211 0x6b99c12b @@ -9654,6 +12068,8 @@ 1 0 0 +3 +1 1 0x3475 0x4aa1b113 @@ -9662,6 +12078,8 @@ 1 1 0 +1 +0 2 0x1308 0x42ac3a2b @@ -9670,6 +12088,8 @@ 0 0 0 +4 +3 3 0x9d29 0x2c58b141 @@ -9678,6 +12098,8 @@ 0 0 0 +3 +3 4 0xd65a 0x57600dda @@ -9686,6 +12108,8 @@ 0 0 0 +5 +3 1 0x3249 0x991cadc3 @@ -9695,6 +12119,8 @@ 0 0 4 +3 +4 0xe6a0 0x9b37cbf7 256 @@ -9703,6 +12129,8 @@ 1 0 4 +1 +4 0x98c 0x3ad0c26b 256 @@ -9710,6 +12138,8 @@ 0 0 0 +1 +4 3 0xad73 0x5dc5a949 @@ -9718,6 +12148,8 @@ 0 0 0 +3 +4 4 0x5098 0x50e6f068 @@ -9726,6 +12158,8 @@ 0 0 0 +4 +5 2 0xa711 0x78d836c5 @@ -9734,6 +12168,8 @@ 0 0 0 +1 +0 4 0xd68d 0x450117d6 @@ -9742,6 +12178,8 @@ 0 0 0 +4 +5 2 0x50b1 0xe630fdf8 @@ -9750,6 +12188,8 @@ 1 0 0 +4 +1 3 0x5a01 0x42509756 @@ -9759,6 +12199,8 @@ 1 0 2 +1 +2 0xe028 0x8f95ea36 256 @@ -9766,6 +12208,8 @@ 1 0 0 +3 +1 4 0xc2e0 0x9892c45f @@ -9774,6 +12218,8 @@ 1 1 0 +3 +3 2 0xfc 0x173bb64f @@ -9783,6 +12229,8 @@ 0 0 3 +3 +3 0xff31 0xb7eec62 256 @@ -9790,6 +12238,8 @@ 0 0 0 +2 +4 4 0xf94e 0x4af027bf @@ -9798,6 +12248,8 @@ 0 0 0 +0 +4 3 0x5383 0xf940991e @@ -9806,6 +12258,8 @@ 1 0 0 +5 +0 4 0x3930 0x12254c9b @@ -9814,6 +12268,8 @@ 1 0 0 +0 +5 3 0x6aa 0xf554ca37 @@ -9822,6 +12278,8 @@ 0 0 0 +2 +3 1 0xd88a 0xff491672 @@ -9830,6 +12288,8 @@ 0 0 0 +5 +4 1 0xf246 0x1c094c2d @@ -9838,6 +12298,8 @@ 0 0 0 +4 +2 1 0x9526 0x88dccf92 @@ -9847,6 +12309,8 @@ 1 0 1 +4 +1 0xbc23 0xb43bc04c 256 @@ -9854,6 +12318,8 @@ 0 0 0 +1 +5 3 0xec70 0xba6a0f3c @@ -9862,6 +12328,8 @@ 1 1 0 +4 +3 1 0x9ced 0x5c5734a8 @@ -9871,6 +12339,8 @@ 0 0 2 +1 +2 0xa762 0xe4690920 256 @@ -9879,6 +12349,8 @@ 0 0 2 +2 +2 0xeca2 0xb31cf5d8 256 @@ -9886,6 +12358,8 @@ 0 0 0 +4 +0 2 0x9d5c 0x48805cb1 @@ -9895,6 +12369,8 @@ 0 0 1 +3 +1 0x94d8 0x1abba2b8 256 @@ -9902,6 +12378,8 @@ 1 1 0 +5 +2 1 0x2254 0x872f1e8c @@ -9910,6 +12388,8 @@ 0 0 0 +0 +3 2 0x9060 0x99816c8e @@ -9918,6 +12398,8 @@ 1 1 0 +0 +3 3 0x94a8 0x35989585 @@ -9926,6 +12408,8 @@ 0 0 0 +4 +2 3 0xcfa8 0xad93c729 @@ -9934,6 +12418,8 @@ 1 0 0 +0 +0 2 0x36ce 0xb959435a @@ -9942,6 +12428,8 @@ 0 0 0 +5 +1 3 0x3263 0x7027dca @@ -9951,6 +12439,8 @@ 0 0 1 +3 +1 0x673b 0xd7b5c24d 256 @@ -9958,6 +12448,8 @@ 0 0 0 +3 +3 1 0xbb3a 0xed24abd2 @@ -9967,6 +12459,8 @@ 0 0 3 +0 +3 0x8e57 0xf722862b 256 @@ -9974,6 +12468,8 @@ 1 1 0 +1 +2 4 0xfd85 0xd1845403 @@ -9982,6 +12478,8 @@ 0 0 0 +3 +3 2 0xd480 0xdc935af6 @@ -9990,6 +12488,8 @@ 0 0 0 +3 +2 1 0x6fc5 0xdf0a6148 @@ -9999,6 +12499,8 @@ 0 0 4 +4 +4 0x47 0xaf6ac348 256 @@ -10006,6 +12508,8 @@ 0 0 0 +4 +3 2 0x1b8e 0x5850c8e4 @@ -10014,6 +12518,8 @@ 1 1 0 +0 +0 4 0x486e 0xc36aa11b @@ -10022,6 +12528,8 @@ 1 0 0 +3 +5 1 0x7a18 0x8fd04a78 @@ -10031,6 +12539,8 @@ 0 0 3 +0 +3 0x53be 0xf4c53f89 256 @@ -10038,6 +12548,8 @@ 0 0 0 +1 +0 2 0x206b 0xb6c4e14b @@ -10047,6 +12559,8 @@ 1 0 3 +2 +3 0x77a2 0xf09bdbad 256 @@ -10054,6 +12568,8 @@ 0 0 0 +5 +4 2 0xf668 0x9e1e6269 @@ -10062,6 +12578,8 @@ 0 0 0 +0 +0 3 0x7f33 0xfc5237c5 @@ -10070,6 +12588,8 @@ 0 0 0 +5 +1 3 0x7f91 0xf44f31e @@ -10078,6 +12598,8 @@ 1 0 0 +4 +0 1 0xa11 0xbafae242 @@ -10086,6 +12608,8 @@ 1 1 0 +0 +2 3 0xe5b2 0xb18d15ff @@ -10094,6 +12618,8 @@ 0 0 0 +4 +2 2 0x61a3 0x79153c44 @@ -10102,6 +12628,8 @@ 0 0 0 +0 +2 1 0x864 0x1428bda5 @@ -10110,6 +12638,8 @@ 1 1 0 +4 +3 3 0x1447 0x861984fd @@ -10118,6 +12648,8 @@ 1 0 0 +4 +1 1 0x7d88 0x5d60146c @@ -10126,6 +12658,8 @@ 1 0 0 +4 +1 2 0xf67b 0x568a78a3 @@ -10134,6 +12668,8 @@ 0 0 0 +4 +4 3 0x47e2 0x3cd4b6fe @@ -10142,6 +12678,8 @@ 1 0 0 +3 +1 2 0x6c85 0xf2769856 @@ -10150,6 +12688,8 @@ 1 0 0 +0 +4 4 0x4ce1 0x86d51c1b @@ -10159,6 +12699,8 @@ 0 0 4 +4 +4 0x9471 0x1c0f07b4 256 @@ -10166,6 +12708,8 @@ 1 1 0 +0 +3 1 0x7753 0x3eb2a23d @@ -10174,6 +12718,8 @@ 0 0 0 +5 +2 3 0x383c 0x44f5dffb @@ -10182,6 +12728,8 @@ 1 0 0 +3 +1 2 0xcd17 0x830e2eb2 @@ -10191,6 +12739,8 @@ 0 0 2 +2 +2 0x9a00 0x1af3c906 256 @@ -10199,6 +12749,8 @@ 0 0 4 +1 +4 0xe09d 0x94e828cb 256 @@ -10206,6 +12758,8 @@ 0 0 0 +1 +0 4 0x361b 0xd8a37d11 @@ -10214,6 +12768,8 @@ 0 0 0 +5 +3 4 0x19d5 0xf326c93e @@ -10222,6 +12778,8 @@ 1 0 0 +1 +1 2 0x6100 0xf20ddc66 @@ -10230,6 +12788,8 @@ 1 0 0 +5 +0 1 0x5a0 0x50cd2a8b @@ -10238,6 +12798,8 @@ 1 0 0 +2 +3 3 0x6f1d 0x4c6c2171 @@ -10246,6 +12808,8 @@ 0 0 0 +1 +3 3 0xeaa6 0x176b415f @@ -10255,6 +12819,8 @@ 0 0 1 +0 +1 0xacec 0x855e4d3 256 @@ -10262,6 +12828,8 @@ 1 1 0 +0 +3 3 0xe6ab 0x5e18103b @@ -10271,6 +12839,8 @@ 0 0 1 +0 +1 0x47c4 0x3aaac0b2 256 @@ -10278,6 +12848,8 @@ 0 0 0 +5 +3 1 0xdf24 0xbd69240a @@ -10287,6 +12859,8 @@ 0 0 2 +4 +2 0x5773 0x332a7066 256 @@ -10294,6 +12868,8 @@ 0 0 0 +2 +5 4 0x2d7d 0xe2004110 @@ -10303,6 +12879,8 @@ 0 0 3 +1 +3 0x5303 0x32862e27 256 @@ -10311,6 +12889,8 @@ 0 0 3 +1 +3 0xaefa 0x45a74ad6 256 @@ -10318,6 +12898,8 @@ 0 0 0 +0 +1 3 0x4cdf 0xb7c7c1f8 @@ -10326,6 +12908,8 @@ 0 0 0 +5 +1 1 0x26b4 0x2cf97eb0 @@ -10334,6 +12918,8 @@ 0 0 0 +0 +1 3 0x1aeb 0x2520a2a3 @@ -10342,6 +12928,8 @@ 1 1 0 +3 +2 4 0xd3d6 0xb002e0df @@ -10350,6 +12938,8 @@ 1 0 0 +5 +5 4 0x88af 0x5783a91b @@ -10359,6 +12949,8 @@ 0 0 2 +5 +2 0x59e3 0x7eeae5d9 256 @@ -10366,6 +12958,8 @@ 1 0 0 +5 +3 2 0xbf39 0xab8aeb @@ -10374,6 +12968,8 @@ 1 0 0 +3 +5 1 0x86e7 0xec6d1e99 @@ -10382,6 +12978,8 @@ 1 0 0 +0 +1 1 0x65ce 0xbaa6ea13 @@ -10390,6 +12988,8 @@ 1 1 0 +4 +5 3 0xa1bf 0x28686000 @@ -10398,6 +12998,8 @@ 0 0 0 +5 +4 3 0xe5ce 0x5031597e @@ -10406,6 +13008,8 @@ 0 0 0 +2 +5 4 0xe259 0x72d4838f @@ -10414,6 +13018,8 @@ 0 0 0 +0 +0 1 0xa6f9 0x9577ad03 @@ -10422,6 +13028,8 @@ 0 0 0 +0 +1 2 0xcaba 0x9a56dc7a @@ -10431,6 +13039,8 @@ 0 0 3 +1 +3 0xcdcb 0x168162ea 256 @@ -10438,6 +13048,8 @@ 0 0 0 +3 +3 1 0x3264 0xd0a49675 @@ -10446,6 +13058,8 @@ 1 0 0 +0 +2 3 0x92fc 0x682d76f2 @@ -10454,6 +13068,8 @@ 1 0 0 +3 +0 4 0xb525 0x1452f7ce @@ -10462,6 +13078,8 @@ 0 0 0 +4 +2 2 0x6b78 0x58bf31a2 @@ -10470,6 +13088,8 @@ 0 0 0 +2 +2 1 0xfe15 0x98d0d969 @@ -10479,6 +13099,8 @@ 0 0 1 +0 +1 0xca6f 0x3e2a58f5 256 @@ -10486,6 +13108,8 @@ 1 0 0 +5 +1 3 0xe1b 0x6e56a50c @@ -10494,6 +13118,8 @@ 1 0 0 +3 +0 2 0x4cf1 0xf94da468 @@ -10502,6 +13128,8 @@ 0 0 0 +5 +1 2 0xc56c 0xae2209c4 @@ -10510,6 +13138,8 @@ 1 1 0 +4 +5 1 0x9ae0 0x949230c3 @@ -10518,6 +13148,8 @@ 0 0 0 +0 +3 4 0xc191 0x4b943403 @@ -10526,6 +13158,8 @@ 1 1 0 +5 +5 4 0x24a8 0xf797ff67 @@ -10534,6 +13168,8 @@ 1 1 0 +2 +2 4 0x7772 0x46c53c73 @@ -10543,6 +13179,8 @@ 0 0 4 +5 +4 0xad7a 0x2ff1fb12 256 @@ -10550,6 +13188,8 @@ 0 0 0 +5 +5 1 0x1b07 0xb0d76ce6 @@ -10558,6 +13198,8 @@ 0 0 0 +3 +1 2 0x75b5 0xf948f8b1 @@ -10566,6 +13208,8 @@ 1 1 0 +2 +4 3 0xc91b 0x7d3ac61a @@ -10575,6 +13219,8 @@ 0 0 2 +3 +2 0x8555 0xec9dfc3 256 @@ -10583,6 +13229,8 @@ 0 0 2 +4 +2 0x8455 0xd8cc356e 256 @@ -10590,6 +13238,8 @@ 0 0 0 +4 +3 1 0x6010 0xd5d4f01e @@ -10598,6 +13248,8 @@ 0 0 0 +5 +0 4 0x7c1a 0x7d15797 @@ -10607,6 +13259,8 @@ 0 0 1 +3 +1 0x3785 0x1affff4a 256 @@ -10614,6 +13268,8 @@ 1 0 0 +0 +4 1 0xb8a4 0x729c3e89 @@ -10622,6 +13278,8 @@ 0 0 0 +3 +3 4 0xf988 0xcbe25685 @@ -10630,6 +13288,8 @@ 1 0 0 +1 +0 4 0xcb2 0x34da0ad7 @@ -10638,6 +13298,8 @@ 0 0 0 +1 +1 3 0xd955 0xfb6decce @@ -10646,6 +13308,8 @@ 0 0 0 +1 +2 2 0x2172 0x5e31039e @@ -10654,6 +13318,8 @@ 1 1 0 +5 +3 4 0xa1b2 0x740f884a @@ -10662,6 +13328,8 @@ 0 0 0 +4 +0 3 0xa31f 0xb683381b @@ -10671,6 +13339,8 @@ 0 0 3 +5 +3 0xd7b8 0x5be2cd7b 256 @@ -10678,6 +13348,8 @@ 0 0 0 +1 +1 2 0x9d08 0xcbaeff93 @@ -10686,6 +13358,8 @@ 1 1 0 +4 +4 2 0x91d8 0x368f855e @@ -10695,6 +13369,8 @@ 0 0 2 +5 +2 0xc165 0xee57723e 256 @@ -10702,6 +13378,8 @@ 1 1 0 +3 +0 1 0x27c0 0x385c0c16 @@ -10710,6 +13388,8 @@ 0 0 0 +5 +2 3 0xbc9d 0xd8cb0fd @@ -10718,6 +13398,8 @@ 1 0 0 +5 +4 1 0xf1e5 0xb71749af @@ -10726,6 +13408,8 @@ 0 0 0 +2 +0 3 0xf26e 0x179d442f @@ -10734,6 +13418,8 @@ 1 1 0 +4 +2 3 0x6988 0x5b01dc90 @@ -10742,6 +13428,8 @@ 0 0 0 +5 +0 1 0xceeb 0xe9f3705b @@ -10751,6 +13439,8 @@ 0 0 1 +2 +1 0x1994 0x72fcbf35 256 @@ -10758,6 +13448,8 @@ 0 0 0 +3 +5 2 0x4833 0xc6f9ea11 @@ -10766,6 +13458,8 @@ 1 0 0 +5 +1 1 0x2f26 0x565c70d4 @@ -10775,6 +13469,8 @@ 1 0 2 +3 +2 0x9a09 0x82a0a401 256 @@ -10782,6 +13478,8 @@ 0 0 0 +4 +2 3 0x3731 0x2130e338 @@ -10790,6 +13488,8 @@ 0 0 0 +4 +5 1 0x19db 0xbb001e93 @@ -10798,6 +13498,8 @@ 0 0 0 +3 +1 1 0x43ea 0x75fb31ca @@ -10806,6 +13508,8 @@ 0 0 0 +2 +5 3 0xb28e 0x3de7c6ff @@ -10814,6 +13518,8 @@ 0 0 0 +5 +3 1 0x3047 0xf31611cc @@ -10822,6 +13528,8 @@ 0 0 0 +4 +4 1 0xf465 0x1dc7123a @@ -10830,6 +13538,8 @@ 1 0 0 +0 +3 2 0xc38 0xc5cc1d2d @@ -10838,6 +13548,8 @@ 1 1 0 +5 +5 1 0xca76 0x929e042c @@ -10846,6 +13558,8 @@ 0 0 0 +0 +4 2 0xe891 0x6ef4b5c3 @@ -10854,6 +13568,8 @@ 1 1 0 +2 +4 4 0x3e20 0xd49a06e5 @@ -10862,6 +13578,8 @@ 1 1 0 +0 +0 4 0x2ea7 0x9d0e6953 @@ -10870,6 +13588,8 @@ 0 0 0 +2 +3 1 0xf78 0x73c7e2c9 @@ -10878,6 +13598,8 @@ 1 1 0 +2 +2 3 0x2222 0xb6cb75fa @@ -10886,6 +13608,8 @@ 1 1 0 +5 +0 1 0xbbc9 0xbe275e79 @@ -10894,6 +13618,8 @@ 0 0 0 +2 +0 3 0xd55f 0x3b8b7a50 @@ -10902,6 +13628,8 @@ 1 1 0 +1 +5 2 0xc3e3 0x334ab7fc @@ -10910,6 +13638,8 @@ 1 0 0 +1 +2 2 0x299a 0x445d4aa8 @@ -10918,6 +13648,8 @@ 1 0 0 +2 +5 1 0x97bf 0x333464d1 @@ -10926,6 +13658,8 @@ 0 0 0 +5 +2 3 0x34ae 0x50a6d28b @@ -10934,6 +13668,8 @@ 1 0 0 +2 +3 4 0x1843 0xd478a6c8 @@ -10943,6 +13679,8 @@ 1 0 2 +5 +2 0xeb5f 0x8bc16bab 256 @@ -10951,6 +13689,8 @@ 1 0 1 +3 +1 0xd389 0x653e1db4 256 @@ -10958,6 +13698,8 @@ 1 1 0 +4 +3 2 0x69b0 0x7d714782 @@ -10966,6 +13708,8 @@ 0 0 0 +3 +2 2 0x512a 0xba5263ae @@ -10974,6 +13718,8 @@ 0 0 0 +0 +1 1 0xb9e0 0xc8900012 @@ -10982,6 +13728,8 @@ 1 1 0 +2 +1 4 0x3ccf 0xcd836d10 @@ -10990,6 +13738,8 @@ 1 0 0 +0 +3 4 0xa39e 0x562b10a5 @@ -10998,6 +13748,8 @@ 0 0 0 +2 +0 1 0x890c 0xc2b27b1a @@ -11006,6 +13758,8 @@ 0 0 0 +4 +2 2 0x668c 0x3a6abff9 @@ -11015,6 +13769,8 @@ 0 0 4 +0 +4 0x3992 0x2b280e7b 256 @@ -11022,6 +13778,8 @@ 0 0 0 +1 +3 4 0xdace 0x43509735 @@ -11031,6 +13789,8 @@ 0 0 3 +0 +3 0xc931 0x262a0d63 256 @@ -11038,6 +13798,8 @@ 0 0 0 +2 +3 1 0x42db 0xc9848c90 @@ -11046,6 +13808,8 @@ 1 0 0 +4 +2 2 0x8a0f 0xf86bcfff @@ -11054,6 +13818,8 @@ 1 0 0 +0 +3 4 0x308f 0x38979b00 @@ -11062,6 +13828,8 @@ 1 1 0 +5 +3 2 0x43b0 0x58df5337 @@ -11070,6 +13838,8 @@ 0 0 0 +0 +2 1 0xef22 0x262babea @@ -11078,6 +13848,8 @@ 0 0 0 +3 +3 4 0x9c4a 0xad842bb4 @@ -11086,6 +13858,8 @@ 0 0 0 +5 +1 3 0xd314 0x2897d139 @@ -11094,6 +13868,8 @@ 0 0 0 +4 +0 3 0xd88f 0x4578f9cb @@ -11103,6 +13879,8 @@ 1 0 4 +3 +4 0xa4ab 0x275f3984 256 @@ -11110,6 +13888,8 @@ 1 1 0 +1 +5 4 0xd799 0x21d1994a @@ -11118,6 +13898,8 @@ 1 0 0 +3 +2 2 0xbd1a 0x25525e96 @@ -11127,6 +13909,8 @@ 0 0 3 +5 +3 0xde5a 0xd5a2af33 256 @@ -11134,6 +13918,8 @@ 0 0 0 +1 +3 4 0xf000 0xff75ee45 @@ -11142,6 +13928,8 @@ 1 1 0 +4 +3 3 0xe62d 0x9a144494 @@ -11150,6 +13938,8 @@ 0 0 0 +0 +2 1 0x9a28 0xba7770ef @@ -11158,6 +13948,8 @@ 1 1 0 +1 +4 3 0xbbca 0xb2b35397 @@ -11166,6 +13958,8 @@ 1 0 0 +4 +2 2 0x1c85 0x67ee58c6 @@ -11174,6 +13968,8 @@ 0 0 0 +0 +5 1 0x56e6 0x25d5a5cb @@ -11182,6 +13978,8 @@ 0 0 0 +0 +2 3 0x90ac 0x685a340f @@ -11191,6 +13989,8 @@ 0 0 1 +1 +1 0xb7b 0xf20eece5 256 @@ -11198,6 +13998,8 @@ 1 0 0 +5 +4 1 0xc85c 0x56c06a18 @@ -11206,6 +14008,8 @@ 0 0 0 +2 +2 1 0x25d2 0x11407ea5 @@ -11214,6 +14018,8 @@ 0 0 0 +1 +4 4 0x43d5 0x506c13ad @@ -11222,6 +14028,8 @@ 0 0 0 +5 +4 4 0x6403 0xa65ca21a @@ -11230,6 +14038,8 @@ 1 0 0 +5 +4 4 0x58af 0x2f0362b2 @@ -11238,6 +14048,8 @@ 1 1 0 +0 +4 1 0x3b0c 0xb37a3f61 @@ -11246,6 +14058,8 @@ 1 0 0 +5 +0 2 0x20d7 0x67d5a8f0 @@ -11254,6 +14068,8 @@ 0 0 0 +0 +3 4 0x10ce 0x9e05054a @@ -11262,6 +14078,8 @@ 0 0 0 +3 +2 1 0x8de0 0xe772b15a @@ -11270,6 +14088,8 @@ 0 0 0 +0 +0 3 0x22a0 0x805115cf @@ -11278,6 +14098,8 @@ 1 1 0 +3 +4 2 0xd537 0x7a395fa3 @@ -11286,6 +14108,8 @@ 1 0 0 +2 +2 3 0xf35d 0x7d9d1e3c @@ -11295,6 +14119,8 @@ 0 0 4 +0 +4 0x6a29 0xd4650cb 256 @@ -11302,6 +14128,8 @@ 1 0 0 +2 +4 3 0x1431 0xee346315 @@ -11310,6 +14138,8 @@ 0 0 0 +5 +4 1 0xc72 0xf3933c9d @@ -11318,6 +14148,8 @@ 1 1 0 +3 +5 2 0x24a1 0x31eeba3d @@ -11326,6 +14158,8 @@ 0 0 0 +0 +4 3 0x52e3 0xe5490204 @@ -11334,6 +14168,8 @@ 1 1 0 +0 +4 4 0xa97 0xec5f3db0 @@ -11342,6 +14178,8 @@ 0 0 0 +5 +0 4 0x805d 0xaf6312ee @@ -11350,6 +14188,8 @@ 1 1 0 +3 +0 2 0x88b4 0x52413272 @@ -11358,6 +14198,8 @@ 0 0 0 +3 +4 4 0xb8e7 0xe9e12dcd @@ -11366,6 +14208,8 @@ 1 1 0 +4 +3 3 0xe290 0xa2f30db2 @@ -11375,6 +14219,8 @@ 0 0 2 +5 +2 0xbf9c 0x23f0bb1e 256 @@ -11382,6 +14228,8 @@ 1 0 0 +4 +3 1 0xf2e5 0xd64bbd5c @@ -11391,6 +14239,8 @@ 0 0 2 +1 +2 0xbfc0 0x6b66cc37 256 @@ -11398,6 +14248,8 @@ 1 0 0 +2 +4 4 0x7ea1 0xd9336f5d @@ -11406,6 +14258,8 @@ 0 0 0 +1 +5 3 0x8a05 0x4c027311 @@ -11414,6 +14268,8 @@ 0 0 0 +4 +0 1 0xcf89 0x16762920 @@ -11422,6 +14278,8 @@ 1 0 0 +4 +3 2 0x4bea 0x6d31a69a @@ -11430,6 +14288,8 @@ 1 0 0 +1 +4 2 0x72b8 0x4afcf7ae @@ -11438,6 +14298,8 @@ 1 1 0 +5 +5 4 0x2e4 0x516e0ce9 @@ -11446,6 +14308,8 @@ 0 0 0 +2 +4 1 0xed40 0x81f6c77f @@ -11454,6 +14318,8 @@ 1 1 0 +4 +5 1 0x93a5 0x1a6a24b3 @@ -11462,6 +14328,8 @@ 1 0 0 +5 +2 2 0xc256 0x43d22d60 @@ -11470,6 +14338,8 @@ 1 1 0 +0 +3 3 0x3897 0x811bf12b @@ -11478,6 +14348,8 @@ 1 0 0 +5 +1 4 0x2eca 0x8b952a2d @@ -11486,6 +14358,8 @@ 1 0 0 +1 +1 3 0xf2ed 0xeac7e7f1 @@ -11494,6 +14368,8 @@ 0 0 0 +4 +2 1 0xcf6d 0xe17b0871 @@ -11502,6 +14378,8 @@ 0 0 0 +5 +1 4 0x6271 0xaf6fe7c @@ -11510,6 +14388,8 @@ 1 1 0 +3 +5 4 0x6f39 0x9854da26 @@ -11518,6 +14398,8 @@ 1 1 0 +2 +1 4 0xb902 0x7b67dc08 @@ -11526,6 +14408,8 @@ 0 0 0 +4 +3 1 0xc9a3 0x7a2d48a4 @@ -11534,6 +14418,8 @@ 0 0 0 +5 +1 2 0x7100 0x710ee5d9 @@ -11542,6 +14428,8 @@ 1 1 0 +1 +5 3 0xb97a 0x9206ac7d @@ -11550,6 +14438,8 @@ 1 0 0 +4 +1 2 0xe8ae 0xd08fdc14 @@ -11558,6 +14448,8 @@ 1 0 0 +0 +4 4 0x1855 0xb2ab03e5 @@ -11566,6 +14458,8 @@ 1 0 0 +5 +2 1 0xa 0xb60f48d8 @@ -11574,6 +14468,8 @@ 1 1 0 +0 +0 4 0x4ce5 0xdb202676 @@ -11583,6 +14479,8 @@ 1 0 4 +4 +4 0x6426 0xbf84d703 256 @@ -11590,6 +14488,8 @@ 1 1 0 +1 +1 2 0x30f6 0x61d01f0b @@ -11598,6 +14498,8 @@ 0 0 0 +0 +4 3 0xd996 0x51eaad12 @@ -11607,6 +14509,8 @@ 0 0 4 +0 +4 0x39e8 0xf857b081 256 @@ -11614,6 +14518,8 @@ 0 0 0 +1 +3 2 0x49e3 0xc275c199 @@ -11622,6 +14528,8 @@ 0 0 0 +2 +4 4 0xfa7b 0xc7d0ce81 @@ -11630,6 +14538,8 @@ 0 0 0 +4 +4 3 0x22d8 0xff8435e1 @@ -11638,6 +14548,8 @@ 0 0 0 +1 +3 4 0x45ee 0x5081c54d @@ -11646,6 +14558,8 @@ 0 0 0 +1 +5 4 0xabfc 0xf776e980 @@ -11654,6 +14568,8 @@ 0 0 0 +2 +3 1 0x3b0d 0xd30ccaee @@ -11662,6 +14578,8 @@ 0 0 0 +2 +4 1 0xeb34 0xbdaf0b4d @@ -11670,6 +14588,8 @@ 1 1 0 +4 +1 2 0x73a5 0x65649961 @@ -11678,6 +14598,8 @@ 0 0 0 +0 +2 2 0x8073 0x3297a00f @@ -11686,6 +14608,8 @@ 1 0 0 +1 +0 2 0x17e3 0x2bbbcf40 @@ -11694,6 +14618,8 @@ 0 0 0 +0 +4 3 0x3d43 0xf49e7e77 @@ -11702,6 +14628,8 @@ 1 0 0 +5 +3 2 0xc477 0xc7b318a1 @@ -11711,6 +14639,8 @@ 0 0 1 +3 +1 0x794f 0x695579da 256 @@ -11718,6 +14648,8 @@ 1 0 0 +2 +2 1 0xfc7c 0x6105df5c @@ -11726,6 +14658,8 @@ 1 0 0 +2 +0 3 0xe301 0x4c936c75 @@ -11734,6 +14668,8 @@ 0 0 0 +0 +5 4 0x945f 0x554505fd @@ -11742,6 +14678,8 @@ 1 1 0 +1 +3 3 0x82dd 0x2d0435c2 @@ -11750,6 +14688,8 @@ 0 0 0 +5 +2 3 0xe96b 0xd8d4793f @@ -11758,6 +14698,8 @@ 0 0 0 +5 +5 2 0xd243 0x67e4da72 @@ -11766,6 +14708,8 @@ 0 0 0 +0 +3 1 0xa837 0x68c3e66f @@ -11775,6 +14719,8 @@ 0 0 1 +3 +1 0x4969 0x8f7dfcd8 256 @@ -11782,6 +14728,8 @@ 0 0 0 +5 +0 1 0x4303 0x9a1202d2 @@ -11790,6 +14738,8 @@ 0 0 0 +4 +4 3 0xedc7 0x1d84b875 @@ -11799,6 +14749,8 @@ 0 0 2 +5 +2 0xf8d9 0xbeae5400 256 @@ -11806,6 +14758,8 @@ 1 0 0 +5 +0 3 0x16b1 0x77087c17 @@ -11815,6 +14769,8 @@ 0 0 3 +3 +3 0xe122 0xac3031ef 256 @@ -11823,6 +14779,8 @@ 1 0 4 +2 +4 0x7e39 0xa8face9d 256 @@ -11830,6 +14788,8 @@ 0 0 0 +1 +4 3 0x837d 0x8dc018bb @@ -11838,6 +14798,8 @@ 0 0 0 +2 +0 4 0x316 0xb7b3953e @@ -11846,6 +14808,8 @@ 1 1 0 +0 +1 1 0xa7fe 0xe29a546e @@ -11855,6 +14819,8 @@ 0 0 3 +3 +3 0xe7ff 0x8b071ea1 256 @@ -11862,6 +14828,8 @@ 1 0 0 +3 +4 1 0xc074 0x6635ae8c @@ -11871,6 +14839,8 @@ 0 0 4 +1 +4 0xeada 0xe2a01e57 256 @@ -11878,6 +14848,8 @@ 0 0 0 +1 +1 4 0x9450 0x9c087ae8 @@ -11886,6 +14858,8 @@ 0 0 0 +3 +2 1 0x139 0xcb50b76 @@ -11894,6 +14868,8 @@ 1 0 0 +1 +4 4 0x8200 0xd9c14fe3 @@ -11902,6 +14878,8 @@ 0 0 0 +0 +3 4 0x1aab 0x2291cbfa @@ -11910,6 +14888,8 @@ 0 0 0 +4 +2 2 0x1b59 0x8cafbda8 @@ -11918,6 +14898,8 @@ 1 0 0 +1 +2 4 0xa969 0xf462618d @@ -11926,6 +14908,8 @@ 0 0 0 +4 +0 2 0x1152 0xfbe88ca @@ -11935,6 +14919,8 @@ 0 0 1 +5 +1 0xf623 0xd299ca3a 256 @@ -11942,6 +14928,8 @@ 0 0 0 +4 +1 1 0x77dc 0x85f79969 @@ -11950,6 +14938,8 @@ 1 1 0 +2 +3 3 0x5c1f 0xc6ad3299 @@ -11958,6 +14948,8 @@ 0 0 0 +5 +0 1 0x918f 0x680a4a3e @@ -11966,6 +14958,8 @@ 1 0 0 +1 +4 3 0x3fa7 0x1ba7dcbc @@ -11974,6 +14968,8 @@ 1 1 0 +5 +2 2 0x52cf 0x5187f7f3 @@ -11982,6 +14978,8 @@ 1 0 0 +5 +4 1 0xd554 0x573878fa @@ -11991,6 +14989,8 @@ 0 0 1 +0 +1 0xf860 0x978b1004 256 @@ -11998,6 +14998,8 @@ 0 0 0 +0 +5 4 0x7cc3 0x4af9e721 @@ -12007,6 +15009,8 @@ 0 0 1 +2 +1 0x864e 0x91186ad4 256 @@ -12014,6 +15018,8 @@ 1 0 0 +2 +3 3 0x91f7 0xd9737109 @@ -12022,6 +15028,8 @@ 0 0 0 +0 +0 3 0xc5ff 0x399c5528 @@ -12031,6 +15039,8 @@ 0 0 4 +0 +4 0x74ee 0x40a4736e 256 @@ -12038,6 +15048,8 @@ 1 1 0 +1 +5 4 0x2424 0x60f15da7 @@ -12046,6 +15058,8 @@ 0 0 0 +0 +2 4 0xb796 0xb0f3244c @@ -12054,6 +15068,8 @@ 0 0 0 +2 +4 4 0xc865 0x3f94598c @@ -12062,6 +15078,8 @@ 1 0 0 +0 +5 1 0x7c68 0xe2630313 @@ -12070,6 +15088,8 @@ 1 0 0 +0 +5 4 0xa691 0xf1ef48fd @@ -12078,6 +15098,8 @@ 1 0 0 +3 +3 4 0x1b5f 0xc5c4439e @@ -12086,6 +15108,8 @@ 0 0 0 +4 +2 3 0x69 0x1c03c5bb @@ -12094,6 +15118,8 @@ 1 0 0 +2 +4 4 0xec 0x35e10f01 @@ -12102,6 +15128,8 @@ 1 1 0 +0 +0 1 0xa8a0 0x89bcd020 @@ -12110,6 +15138,8 @@ 0 0 0 +0 +3 1 0xa2a4 0xa070bf0a @@ -12118,6 +15148,8 @@ 1 1 0 +5 +5 4 0x7937 0x87ce5d89 @@ -12126,6 +15158,8 @@ 1 0 0 +4 +0 1 0xddd4 0xf4a585f6 @@ -12135,6 +15169,8 @@ 0 0 1 +3 +1 0xa049 0x99806ab5 256 @@ -12142,6 +15178,8 @@ 1 0 0 +2 +4 3 0xe35c 0x29c52769 @@ -12150,6 +15188,8 @@ 0 0 0 +2 +1 4 0x83ed 0x34bb5dfa @@ -12159,6 +15199,8 @@ 0 0 2 +3 +2 0x347e 0x294299a0 256 @@ -12166,6 +15208,8 @@ 1 0 0 +1 +2 2 0xf1e1 0x91d4135b @@ -12174,6 +15218,8 @@ 1 0 0 +4 +5 3 0x7a1 0x2236b749 @@ -12182,6 +15228,8 @@ 1 0 0 +2 +4 1 0x9e05 0xc19504eb @@ -12190,6 +15238,8 @@ 1 1 0 +4 +5 3 0x8c34 0xf01705d3 @@ -12198,6 +15248,8 @@ 0 0 0 +1 +3 4 0xf525 0x8a38e6ff @@ -12206,6 +15258,8 @@ 0 0 0 +4 +2 1 0x5607 0x793ed20e @@ -12215,6 +15269,8 @@ 0 0 1 +3 +1 0x1a35 0xb9434034 256 @@ -12222,6 +15278,8 @@ 1 1 0 +0 +2 1 0x294f 0x45facb88 @@ -12230,6 +15288,8 @@ 0 0 0 +2 +5 3 0x893a 0x499148d8 @@ -12238,6 +15298,8 @@ 0 0 0 +3 +4 4 0xb292 0x4f8371a7 @@ -12247,6 +15309,8 @@ 0 0 1 +0 +1 0xbe5a 0x8051914b 256 @@ -12255,6 +15319,8 @@ 0 0 1 +4 +1 0xd9d0 0xbc06e733 256 @@ -12262,6 +15328,8 @@ 1 0 0 +2 +0 3 0x1b71 0xc50e38b0 @@ -12270,6 +15338,8 @@ 1 0 0 +0 +5 4 0x6443 0x6005a0f @@ -12279,6 +15349,8 @@ 0 0 4 +1 +4 0xc747 0x13f7ab5 256 @@ -12286,6 +15358,8 @@ 0 0 0 +4 +4 1 0xc9f2 0x2d6e310c @@ -12294,6 +15368,8 @@ 1 0 0 +2 +2 3 0x1911 0x22792fe7 @@ -12302,6 +15378,8 @@ 0 0 0 +3 +2 1 0xad14 0xce42baf @@ -12310,6 +15388,8 @@ 1 0 0 +4 +0 2 0x68b6 0xb0b30e04 @@ -12318,6 +15398,8 @@ 0 0 0 +1 +3 3 0xa486 0x7f67de14 @@ -12326,6 +15408,8 @@ 1 1 0 +0 +2 3 0x2e85 0x6fb553e5 @@ -12334,6 +15418,8 @@ 0 0 0 +2 +4 3 0x9cf3 0xff8cad76 @@ -12343,6 +15429,8 @@ 0 0 1 +2 +1 0x7424 0xca8d35c2 256 @@ -12351,6 +15439,8 @@ 1 0 3 +2 +3 0x8b4a 0xfb8e3778 256 @@ -12358,6 +15448,8 @@ 1 0 0 +4 +2 2 0xdcee 0xbb375c58 @@ -12367,6 +15459,8 @@ 0 0 1 +2 +1 0x99ab 0x8c211820 256 @@ -12375,6 +15469,8 @@ 1 0 3 +3 +3 0x6930 0xf9e95b7f 256 @@ -12382,6 +15478,8 @@ 0 0 0 +4 +4 1 0x9c1 0x5c4b1dbe @@ -12390,6 +15488,8 @@ 0 0 0 +2 +1 1 0x20b7 0x68d15917 @@ -12398,6 +15498,8 @@ 0 0 0 +3 +0 1 0xbd2c 0x81f34456 @@ -12406,6 +15508,8 @@ 1 0 0 +0 +0 2 0xa583 0xd2788ef1 @@ -12414,6 +15518,8 @@ 0 0 0 +1 +1 2 0x183 0xbfef1efb @@ -12422,6 +15528,8 @@ 1 1 0 +3 +1 1 0x2872 0x8030489b @@ -12430,6 +15538,8 @@ 0 0 0 +5 +1 1 0x82bf 0xc73093d5 @@ -12438,6 +15548,8 @@ 1 0 0 +5 +1 2 0x33ce 0xe6963629 @@ -12446,6 +15558,8 @@ 0 0 0 +4 +0 2 0xf7ac 0x27405f34 @@ -12454,6 +15568,8 @@ 1 1 0 +4 +4 3 0x3b11 0x652feb50 @@ -12462,6 +15578,8 @@ 0 0 0 +5 +3 1 0x9dbf 0xb53b3760 @@ -12470,6 +15588,8 @@ 1 1 0 +5 +2 4 0x6c5 0x6e58e0f7 @@ -12478,6 +15598,8 @@ 1 1 0 +2 +5 4 0x564e 0x6b8cf8cb @@ -12486,6 +15608,8 @@ 0 0 0 +5 +3 3 0xa80a 0x767ac1f @@ -12494,6 +15618,8 @@ 0 0 0 +4 +5 2 0xf0a5 0x907180dc @@ -12503,6 +15629,8 @@ 0 0 1 +2 +1 0x1953 0xd27ebc98 256 @@ -12510,6 +15638,8 @@ 1 1 0 +2 +2 1 0x4420 0xf4fac0d5 @@ -12518,6 +15648,8 @@ 0 0 0 +4 +5 2 0x5e8e 0x5038982 @@ -12527,6 +15659,8 @@ 0 0 3 +2 +3 0xa044 0x210606e9 256 @@ -12534,6 +15668,8 @@ 0 0 0 +5 +3 1 0x8a8b 0x8fea1efe @@ -12543,6 +15679,8 @@ 1 0 1 +1 +1 0x7d57 0xeea72496 256 @@ -12550,6 +15688,8 @@ 0 0 0 +5 +0 4 0xb4a0 0xa2fa3a67 @@ -12558,6 +15698,8 @@ 1 1 0 +5 +1 2 0x12df 0xfb087f80 @@ -12567,6 +15709,8 @@ 0 0 1 +2 +1 0xed09 0x4129efb7 256 @@ -12574,6 +15718,8 @@ 1 0 0 +1 +1 3 0x84fd 0x27906ba7 @@ -12582,6 +15728,8 @@ 1 1 0 +3 +3 2 0x932c 0xa1b00f26 @@ -12590,6 +15738,8 @@ 0 0 0 +0 +5 4 0xd5b 0x34517e3a @@ -12598,6 +15748,8 @@ 1 1 0 +5 +3 2 0x7281 0x2b5d2a93 @@ -12606,6 +15758,8 @@ 1 0 0 +1 +0 4 0x402 0xdc86c1f1 @@ -12614,6 +15768,8 @@ 1 1 0 +4 +1 1 0xc788 0x3c4c85ec @@ -12622,6 +15778,8 @@ 1 1 0 +2 +5 3 0xc159 0x3629605d @@ -12630,6 +15788,8 @@ 0 0 0 +2 +3 4 0x2fb5 0x47030e4 @@ -12639,6 +15799,8 @@ 0 0 4 +2 +4 0xf116 0xaec76ba7 256 @@ -12646,6 +15808,8 @@ 0 0 0 +2 +3 4 0xc69f 0x5bfa454d @@ -12654,6 +15818,8 @@ 1 0 0 +5 +1 3 0xd7b4 0xee59374d @@ -12662,6 +15828,8 @@ 1 1 0 +2 +0 4 0xe3ac 0xdf9a64a9 @@ -12670,6 +15838,8 @@ 1 0 0 +2 +3 3 0x3fe5 0x205b1f27 @@ -12678,6 +15848,8 @@ 1 1 0 +5 +5 3 0x73fb 0xa816916a @@ -12686,6 +15858,8 @@ 0 0 0 +5 +1 3 0xb069 0xd215cf54 @@ -12694,6 +15868,8 @@ 0 0 0 +4 +5 3 0xe47c 0x2e8cc1f5 @@ -12702,6 +15878,8 @@ 0 0 0 +5 +4 2 0x2396 0xccfe1726 @@ -12710,6 +15888,8 @@ 0 0 0 +0 +5 2 0xc14 0x224bb39c @@ -12718,6 +15898,8 @@ 1 0 0 +5 +3 3 0x6d66 0xa201c45e @@ -12726,6 +15908,8 @@ 0 0 0 +3 +2 4 0x77bd 0xdad4b1c6 @@ -12734,6 +15918,8 @@ 1 1 0 +4 +3 1 0xc8f6 0xacae8053 @@ -12742,6 +15928,8 @@ 0 0 0 +5 +3 4 0x269c 0xc521207c @@ -12750,6 +15938,8 @@ 0 0 0 +5 +3 2 0x5559 0x3a9d5f9f @@ -12758,6 +15948,8 @@ 0 0 0 +0 +1 2 0xc82e 0xbd15b61e @@ -12767,6 +15959,8 @@ 0 0 4 +0 +4 0x3ea0 0x5ae74aed 256 @@ -12775,6 +15969,8 @@ 0 0 4 +2 +4 0xe5f0 0x1b02f42c 256 @@ -12782,6 +15978,8 @@ 1 0 0 +3 +2 2 0xc746 0x2f37d238 @@ -12790,6 +15988,8 @@ 1 0 0 +3 +1 1 0x63c9 0x5f1bccac @@ -12798,6 +15998,8 @@ 0 0 0 +3 +4 1 0xc0ab 0x1ea7d72b @@ -12806,6 +16008,8 @@ 0 0 0 +4 +1 2 0x904d 0xe965a86d @@ -12814,6 +16018,8 @@ 0 0 0 +0 +2 2 0xac87 0xc328e603 @@ -12822,6 +16028,8 @@ 1 0 0 +5 +3 1 0xb39 0xe856d21f @@ -12830,6 +16038,8 @@ 0 0 0 +1 +0 2 0x601f 0x95b36ab8 @@ -12838,6 +16048,8 @@ 0 0 0 +5 +4 3 0x656a 0x5d664aec @@ -12846,6 +16058,8 @@ 1 1 0 +5 +0 4 0xf557 0xfe68498c @@ -12854,6 +16068,8 @@ 0 0 0 +2 +0 1 0xdf29 0xb71ab587 @@ -12862,6 +16078,8 @@ 0 0 0 +4 +2 3 0xba1d 0x3c998837 @@ -12871,6 +16089,8 @@ 0 0 4 +0 +4 0xaf03 0x8b197b19 256 @@ -12878,6 +16098,8 @@ 1 0 0 +5 +3 2 0xbcb5 0x38cc157a @@ -12887,6 +16109,8 @@ 0 0 2 +4 +2 0xde7d 0x87cfc107 256 @@ -12894,6 +16118,8 @@ 1 0 0 +4 +4 1 0xbb4b 0x721d66c2 @@ -12902,6 +16128,8 @@ 1 0 0 +4 +1 2 0x53b 0x401d96bf @@ -12910,6 +16138,8 @@ 1 0 0 +4 +2 2 0xbb4b 0xd725b0db @@ -12918,6 +16148,8 @@ 1 0 0 +0 +3 4 0x6356 0xff08dbd9 @@ -12926,6 +16158,8 @@ 0 0 0 +0 +3 4 0xafd1 0xe479752d @@ -12935,6 +16169,8 @@ 0 0 4 +4 +4 0xad19 0xdfd4edb3 256 @@ -12942,6 +16178,8 @@ 1 0 0 +1 +0 3 0x12d9 0xcc8fe5dc @@ -12950,6 +16188,8 @@ 0 0 0 +2 +5 3 0xba78 0x965c56a5 @@ -12958,6 +16198,8 @@ 1 1 0 +3 +1 2 0x64e0 0xa64b2fdf @@ -12967,6 +16209,8 @@ 0 0 1 +4 +1 0xd31d 0xb0775d6f 256 @@ -12974,6 +16218,8 @@ 1 1 0 +5 +5 2 0x6964 0x7743746 @@ -12982,6 +16228,8 @@ 0 0 0 +2 +1 1 0x5220 0xe3105118 @@ -12990,6 +16238,8 @@ 1 1 0 +3 +1 2 0x818a 0xd0008e95 @@ -12998,6 +16248,8 @@ 0 0 0 +1 +2 4 0x6380 0xe44b39b0 @@ -13006,6 +16258,8 @@ 0 0 0 +1 +3 2 0x23f6 0x1254a683 @@ -13014,6 +16268,8 @@ 0 0 0 +5 +0 4 0x1f10 0x98322001 @@ -13022,6 +16278,8 @@ 1 1 0 +5 +0 1 0x5cf8 0x597d5902 @@ -13030,6 +16288,8 @@ 0 0 0 +5 +4 4 0xb57d 0x47d7b1e5 @@ -13038,6 +16298,8 @@ 0 0 0 +1 +5 4 0x187f 0x3716d61e @@ -13047,6 +16309,8 @@ 0 0 3 +5 +3 0x3e47 0x615281 256 @@ -13054,6 +16318,8 @@ 0 0 0 +5 +1 3 0x2888 0x8fc70587 @@ -13062,6 +16328,8 @@ 0 0 0 +3 +2 2 0xdb9c 0x2000c02e @@ -13070,6 +16338,8 @@ 1 1 0 +5 +0 2 0x6fb8 0x39fc8506 @@ -13079,6 +16349,8 @@ 1 0 3 +0 +3 0x91bc 0x8e5ec59b 256 @@ -13086,6 +16358,8 @@ 0 0 0 +5 +2 2 0xaaeb 0xa48daa36 @@ -13095,6 +16369,8 @@ 0 0 3 +5 +3 0x2e61 0xc5839ada 256 @@ -13102,6 +16378,8 @@ 0 0 0 +5 +3 4 0xf7fc 0x61c4fafa @@ -13110,6 +16388,8 @@ 0 0 0 +5 +5 3 0x85f5 0xb243b600 @@ -13118,6 +16398,8 @@ 0 0 0 +2 +4 1 0xdf6f 0xe8ade6e1 @@ -13126,6 +16408,8 @@ 0 0 0 +5 +1 2 0x3a38 0x87448b57 @@ -13134,6 +16418,8 @@ 0 0 0 +5 +0 3 0x547e 0xfb3c15b7 @@ -13142,6 +16428,8 @@ 1 1 0 +0 +3 2 0x4b63 0xd8ed5fa2 @@ -13150,6 +16438,8 @@ 0 0 0 +5 +1 2 0xeaa6 0xe7f59de0 @@ -13158,6 +16448,8 @@ 1 1 0 +5 +0 2 0xda25 0xe29e5bd1 @@ -13166,6 +16458,8 @@ 0 0 0 +4 +3 3 0xd77f 0xfe0dbf1a @@ -13174,6 +16468,8 @@ 1 0 0 +3 +1 4 0xe409 0x5124dd76 @@ -13182,6 +16478,8 @@ 1 0 0 +4 +5 2 0xab84 0x23059b05 @@ -13190,6 +16488,8 @@ 0 0 0 +0 +2 2 0x14d3 0xdd5ecf2d @@ -13198,6 +16498,8 @@ 0 0 0 +5 +1 3 0x27b4 0xd605833b @@ -13207,6 +16509,8 @@ 0 0 3 +1 +3 0x312 0xa6619893 256 @@ -13214,6 +16518,8 @@ 1 0 0 +3 +1 4 0x45f5 0xf1194f20 @@ -13222,6 +16528,8 @@ 1 0 0 +3 +0 2 0xfa7a 0x6c32864d @@ -13230,6 +16538,8 @@ 1 1 0 +5 +5 4 0xf316 0x135ed536 @@ -13239,6 +16549,8 @@ 0 0 1 +4 +1 0x103e 0xd0d3921e 256 @@ -13246,6 +16558,8 @@ 1 0 0 +2 +2 1 0x17a2 0x43d578cb @@ -13254,6 +16568,8 @@ 0 0 0 +0 +4 2 0xdbd2 0x76a8d79e @@ -13262,6 +16578,8 @@ 0 0 0 +2 +3 1 0x9f64 0xaaf3a994 @@ -13271,6 +16589,8 @@ 0 0 4 +1 +4 0xd4eb 0x38660b41 256 @@ -13278,6 +16598,8 @@ 1 1 0 +5 +4 4 0x1b9c 0x70b3f801 @@ -13286,6 +16608,8 @@ 1 0 0 +3 +4 4 0x10c6 0xc8e4d89a @@ -13294,6 +16618,8 @@ 0 0 0 +5 +1 4 0xd81 0xdeccf661 @@ -13302,6 +16628,8 @@ 0 0 0 +4 +2 1 0xef99 0x8261685f @@ -13310,6 +16638,8 @@ 1 0 0 +2 +5 3 0xccd8 0xedef3a36 @@ -13318,6 +16648,8 @@ 0 0 0 +4 +0 2 0xa83a 0xbe165fb1 @@ -13326,6 +16658,8 @@ 0 0 0 +1 +0 2 0xbd64 0x2f53dfc2 @@ -13334,6 +16668,8 @@ 1 0 0 +4 +1 3 0xe36f 0x660966fd @@ -13343,6 +16679,8 @@ 1 0 3 +4 +3 0x48be 0xb6e6155d 256 @@ -13350,6 +16688,8 @@ 1 0 0 +3 +5 4 0x7b1a 0xc85f92cf @@ -13358,6 +16698,8 @@ 1 0 0 +1 +2 2 0x6e0d 0x30c30847 @@ -13366,6 +16708,8 @@ 1 1 0 +4 +1 1 0xdf9c 0xbdbdc2dc @@ -13374,6 +16718,8 @@ 0 0 0 +2 +3 4 0x87ca 0xa2b4f355 @@ -13382,6 +16728,8 @@ 0 0 0 +4 +3 1 0xf961 0xdaf2a93f @@ -13390,6 +16738,8 @@ 1 1 0 +5 +1 2 0x4e6c 0x96fe56fd @@ -13398,6 +16748,8 @@ 0 0 0 +3 +1 2 0x540d 0xcb16eeb5 @@ -13406,6 +16758,8 @@ 1 1 0 +1 +0 3 0xf2eb 0x4ce13a32 @@ -13414,6 +16768,8 @@ 0 0 0 +3 +2 2 0xb0b5 0xd53d1f08 @@ -13422,6 +16778,8 @@ 1 1 0 +0 +4 1 0x9000 0xfb0646aa @@ -13430,6 +16788,8 @@ 1 0 0 +2 +1 3 0x322d 0x4781d4fd @@ -13438,6 +16798,8 @@ 0 0 0 +4 +3 1 0xabc1 0xc78cac49 @@ -13446,6 +16808,8 @@ 0 0 0 +1 +4 2 0x73ab 0xc3e1f0a7 @@ -13454,6 +16818,8 @@ 1 0 0 +5 +1 2 0x5671 0x74b697de @@ -13462,6 +16828,8 @@ 0 0 0 +3 +4 2 0x97a3 0xda39ba3a @@ -13470,6 +16838,8 @@ 0 0 0 +0 +5 3 0xc4e4 0x2334d124 @@ -13478,6 +16848,8 @@ 0 0 0 +3 +2 2 0x994a 0x508bc536 @@ -13486,6 +16858,8 @@ 1 1 0 +1 +4 4 0x8c6a 0x5c6b4fc3 @@ -13494,6 +16868,8 @@ 0 0 0 +0 +1 2 0x7336 0xe7f1e596 @@ -13502,6 +16878,8 @@ 1 0 0 +3 +3 1 0xaf3 0xfed1a6 @@ -13510,6 +16888,8 @@ 1 1 0 +0 +1 2 0x7f7c 0xafab0236 @@ -13518,6 +16898,8 @@ 1 0 0 +3 +3 2 0x140a 0xcc4b79a @@ -13526,6 +16908,8 @@ 0 0 0 +5 +3 2 0x6475 0x9b049f0d @@ -13535,6 +16919,8 @@ 1 0 4 +3 +4 0xb3b0 0xf1b99761 256 @@ -13543,6 +16929,8 @@ 1 0 2 +3 +2 0xfc1b 0xf534966a 256 @@ -13551,6 +16939,8 @@ 1 0 4 +2 +4 0xbdb 0x585e0d8a 256 @@ -13558,6 +16948,8 @@ 0 0 0 +2 +2 1 0x7493 0x53b98755 @@ -13566,6 +16958,8 @@ 0 0 0 +2 +3 1 0x81ea 0x9f9a77b6 @@ -13574,6 +16968,8 @@ 1 0 0 +0 +5 2 0xbd05 0xfddb6dea @@ -13582,6 +16978,8 @@ 0 0 0 +3 +1 2 0x3fea 0xcd8b834 @@ -13590,6 +16988,8 @@ 1 1 0 +2 +2 1 0xb22c 0xaded7050 @@ -13598,6 +16998,8 @@ 0 0 0 +1 +2 4 0x15b6 0x568e4240 @@ -13606,6 +17008,8 @@ 1 0 0 +4 +2 2 0xb21c 0xd2f4f3b5 @@ -13614,6 +17018,8 @@ 0 0 0 +5 +5 2 0x8ed 0x6adae059 @@ -13623,6 +17029,8 @@ 0 0 3 +0 +3 0x502f 0x9b40c444 256 @@ -13630,6 +17038,8 @@ 1 0 0 +0 +0 4 0xe859 0xa3f503cd @@ -13638,6 +17048,8 @@ 1 0 0 +1 +1 4 0x8b2c 0x7bb0a3fb @@ -13646,6 +17058,8 @@ 1 1 0 +4 +1 3 0xf3ea 0x5412ba13 @@ -13654,6 +17068,8 @@ 0 0 0 +4 +4 2 0x220f 0xd25a1d3e @@ -13663,6 +17079,8 @@ 0 0 3 +2 +3 0x62c7 0xa3d64c52 256 @@ -13670,6 +17088,8 @@ 0 0 0 +4 +4 2 0xf9ff 0xc5c04a71 @@ -13678,6 +17098,8 @@ 0 0 0 +2 +2 4 0x9448 0x7bceb337 @@ -13686,6 +17108,8 @@ 0 0 0 +4 +4 1 0x9a61 0x274f1af6 @@ -13694,6 +17118,8 @@ 0 0 0 +4 +1 1 0x1a84 0xaf39f5c6 @@ -13702,6 +17128,8 @@ 0 0 0 +4 +2 3 0x95b1 0x1a4892db @@ -13711,6 +17139,8 @@ 0 0 1 +0 +1 0x2df5 0xeba763d7 256 @@ -13718,6 +17148,8 @@ 1 0 0 +1 +3 4 0x5e73 0xf2b9c1f7 @@ -13727,6 +17159,8 @@ 0 0 4 +1 +4 0xd20 0xb7b2c2b7 256 @@ -13734,6 +17168,8 @@ 1 1 0 +3 +3 2 0x7de0 0xc3a944d2 @@ -13743,6 +17179,8 @@ 0 0 4 +0 +4 0x800c 0xa873d33a 256 @@ -13750,6 +17188,8 @@ 0 0 0 +0 +4 3 0x2d0d 0x100601d5 @@ -13758,6 +17198,8 @@ 0 0 0 +2 +1 1 0x4290 0xf27d751 @@ -13766,6 +17208,8 @@ 1 0 0 +3 +0 2 0x5ea7 0xb82bc7a9 @@ -13774,6 +17218,8 @@ 1 1 0 +5 +1 4 0x6d95 0x56877cab @@ -13783,6 +17229,8 @@ 1 0 3 +4 +3 0x3609 0xe39b2104 256 @@ -13791,6 +17239,8 @@ 0 0 1 +3 +1 0x89c1 0x4a1bd3e9 256 @@ -13798,6 +17248,8 @@ 1 1 0 +4 +1 1 0x4a2c 0x266f1bed @@ -13807,6 +17259,8 @@ 1 0 4 +4 +4 0x6fc1 0xbd8307b5 256 @@ -13814,6 +17268,8 @@ 1 1 0 +3 +3 4 0xe8f2 0x7ac8161c @@ -13822,6 +17278,8 @@ 1 1 0 +5 +3 2 0xa315 0x69180d1c @@ -13831,6 +17289,8 @@ 0 0 4 +1 +4 0x870e 0xcd61c180 256 @@ -13838,6 +17298,8 @@ 1 0 0 +5 +3 4 0x62e4 0xbd0b282d @@ -13846,6 +17308,8 @@ 0 0 0 +1 +2 3 0xdbd6 0x7f76b666 @@ -13854,6 +17318,8 @@ 1 0 0 +0 +4 2 0xc110 0x7a628516 @@ -13862,6 +17328,8 @@ 1 0 0 +2 +1 3 0xff0b 0x5cbf5bc2 @@ -13871,6 +17339,8 @@ 0 0 1 +2 +1 0x3832 0x4d94bb47 256 @@ -13879,6 +17349,8 @@ 1 0 1 +4 +1 0x1aa1 0xa004ba8c 256 @@ -13886,6 +17358,8 @@ 0 0 0 +5 +2 1 0x6f06 0x79fd8094 @@ -13894,6 +17368,8 @@ 1 0 0 +0 +5 3 0x5d40 0x4575fe7 @@ -13902,6 +17378,8 @@ 0 0 0 +1 +1 3 0xa19 0xff2f3dbc @@ -13910,6 +17388,8 @@ 1 0 0 +5 +1 1 0x2471 0x1bb39d75 @@ -13918,6 +17398,8 @@ 1 1 0 +2 +4 4 0x8ad5 0x5ac9d161 @@ -13926,6 +17408,8 @@ 1 0 0 +1 +4 2 0x3de5 0x3b403aed @@ -13934,6 +17418,8 @@ 0 0 0 +4 +5 3 0xc9cd 0xf8818730 @@ -13943,6 +17429,8 @@ 0 0 3 +5 +3 0xeb39 0x493f5cf1 256 @@ -13950,6 +17438,8 @@ 0 0 0 +5 +5 3 0x1d6 0xd47784b6 @@ -13958,6 +17448,8 @@ 1 1 0 +5 +0 1 0x1175 0xd36817bb @@ -13966,6 +17458,8 @@ 1 1 0 +1 +4 4 0xce75 0x43cb4f90 @@ -13974,6 +17468,8 @@ 0 0 0 +4 +3 1 0x8fe5 0xf7299981 @@ -13982,6 +17478,8 @@ 1 0 0 +0 +5 4 0x2eab 0x2843f1a3 @@ -13990,6 +17488,8 @@ 1 1 0 +4 +2 1 0x9399 0x603401a7 @@ -13999,6 +17499,8 @@ 0 0 2 +4 +2 0xaa17 0xf0e1a982 256 @@ -14006,6 +17508,8 @@ 1 0 0 +1 +5 2 0x52dc 0x7a8016aa @@ -14015,6 +17519,8 @@ 1 0 4 +1 +4 0xab38 0x9cf5b80c 256 @@ -14022,6 +17528,8 @@ 0 0 0 +5 +5 3 0x137f 0x7b90d3b9 @@ -14030,6 +17538,8 @@ 1 1 0 +0 +1 3 0xd239 0xace64154 @@ -14038,6 +17548,8 @@ 1 0 0 +0 +0 4 0xf46 0x2cfbef20 @@ -14046,6 +17558,8 @@ 0 0 0 +4 +1 1 0xf5f8 0x14376d8e @@ -14054,6 +17568,8 @@ 0 0 0 +5 +4 2 0xbc69 0x5e81daf @@ -14062,6 +17578,8 @@ 0 0 0 +5 +5 2 0xd657 0xce0fbae3 @@ -14070,6 +17588,8 @@ 1 0 0 +0 +5 4 0xe72a 0xf14afeea @@ -14078,6 +17598,8 @@ 0 0 0 +5 +4 4 0x720e 0xf8f81122 @@ -14086,6 +17608,8 @@ 0 0 0 +1 +0 2 0x171 0xa39e5fe4 @@ -14094,6 +17618,8 @@ 0 0 0 +1 +3 3 0x8bb9 0x2f3b1c0f @@ -14102,6 +17628,8 @@ 1 0 0 +1 +2 2 0xa722 0xaca2068e @@ -14110,6 +17638,8 @@ 1 1 0 +4 +0 1 0x59fe 0x990141b8 @@ -14118,6 +17648,8 @@ 0 0 0 +5 +0 2 0x4b02 0x1a1ffe88 @@ -14126,6 +17658,8 @@ 1 1 0 +0 +5 1 0xcd06 0xe7f61d5d @@ -14134,6 +17668,8 @@ 1 0 0 +3 +2 2 0x962f 0xb465d9a0 @@ -14143,6 +17679,8 @@ 0 0 3 +2 +3 0xa8b9 0x4e43a3f7 256 @@ -14151,6 +17689,8 @@ 0 0 2 +3 +2 0x5dcf 0xe13bf9fe 256 @@ -14158,6 +17698,8 @@ 0 0 0 +0 +1 3 0x1f48 0x9f947f87 @@ -14166,6 +17708,8 @@ 1 0 0 +0 +1 2 0x8bfe 0x1de51459 @@ -14174,6 +17718,8 @@ 0 0 0 +4 +2 1 0xf31b 0xbd402165 @@ -14182,6 +17728,8 @@ 1 0 0 +4 +2 3 0x5fe7 0x6e2db9f1 @@ -14190,6 +17738,8 @@ 1 0 0 +5 +5 4 0xc725 0xd5a11e53 @@ -14198,6 +17748,8 @@ 1 1 0 +3 +0 1 0xfbe5 0xf9108e12 @@ -14206,6 +17758,8 @@ 0 0 0 +0 +2 4 0xaa72 0xa963b568 @@ -14214,6 +17768,8 @@ 0 0 0 +5 +0 4 0x1a06 0x42e314aa @@ -14222,6 +17778,8 @@ 0 0 0 +2 +1 1 0x54d 0x7bc106ea @@ -14230,6 +17788,8 @@ 0 0 0 +2 +2 1 0xad73 0x299ade8e @@ -14238,6 +17798,8 @@ 1 1 0 +5 +4 4 0x1261 0x65f5b952 @@ -14247,6 +17809,8 @@ 0 0 4 +3 +4 0xe71a 0xd8031a35 256 @@ -14254,6 +17818,8 @@ 0 0 0 +0 +0 2 0x93ab 0x4f04d548 @@ -14262,6 +17828,8 @@ 0 0 0 +2 +5 3 0x47e2 0xa12f61be @@ -14270,6 +17838,8 @@ 1 0 0 +1 +2 4 0xe109 0xdc27d1ea @@ -14279,6 +17849,8 @@ 0 0 3 +1 +3 0xefa6 0x31f123bc 256 @@ -14286,6 +17858,8 @@ 0 0 0 +0 +5 4 0xd9f3 0x3e8e2f47 @@ -14294,6 +17868,8 @@ 0 0 0 +4 +3 1 0x35f4 0x35c6d6e2 @@ -14303,6 +17879,8 @@ 0 0 4 +2 +4 0x5af1 0x99920e84 256 @@ -14310,6 +17888,8 @@ 1 1 0 +3 +5 4 0xde1c 0x3a6b591b @@ -14318,6 +17898,8 @@ 1 0 0 +4 +3 3 0xd7df 0x592614f @@ -14327,6 +17909,8 @@ 0 0 3 +5 +3 0xcc35 0x78d513c 256 @@ -14335,6 +17919,8 @@ 0 0 1 +1 +1 0x7b41 0x6dace481 256 @@ -14342,6 +17928,8 @@ 1 0 0 +2 +0 3 0xb988 0xaec895e8 @@ -14350,6 +17938,8 @@ 0 0 0 +4 +5 3 0x9097 0x58ee99df @@ -14358,6 +17948,8 @@ 1 0 0 +0 +0 3 0x5b57 0xfc9d6170 @@ -14366,6 +17958,8 @@ 1 1 0 +0 +5 4 0xea6 0x700620c3 @@ -14375,6 +17969,8 @@ 0 0 1 +2 +1 0xedb2 0xb70eee80 256 @@ -14382,6 +17978,8 @@ 1 0 0 +0 +4 1 0xd88c 0x6bd256bb @@ -14390,6 +17988,8 @@ 1 1 0 +0 +2 3 0x7ead 0xf3f52514 @@ -14398,6 +17998,8 @@ 0 0 0 +3 +1 2 0x871 0x9a0431a5 @@ -14406,6 +18008,8 @@ 0 0 0 +3 +3 4 0x977b 0x86e821c @@ -14414,6 +18018,8 @@ 1 0 0 +5 +4 2 0xa195 0x34bacb0f @@ -14422,6 +18028,8 @@ 0 0 0 +2 +5 1 0xe4f5 0xe7901e60 @@ -14431,6 +18039,8 @@ 0 0 4 +0 +4 0x691a 0x4872cc50 256 @@ -14438,6 +18048,8 @@ 1 0 0 +4 +4 3 0xf851 0xa8399b80 @@ -14446,6 +18058,8 @@ 1 1 0 +2 +3 4 0x957f 0x486d4d94 @@ -14454,6 +18068,8 @@ 0 0 0 +0 +5 2 0x4c07 0x820f1ae5 @@ -14462,6 +18078,8 @@ 0 0 0 +1 +1 2 0xad9b 0xcafe9eff @@ -14471,6 +18089,8 @@ 0 0 4 +3 +4 0xdddd 0xefca58c6 256 @@ -14478,6 +18098,8 @@ 0 0 0 +3 +3 2 0x38c7 0xbfed0bad @@ -14486,6 +18108,8 @@ 0 0 0 +3 +3 4 0x6b3d 0x5828cdba @@ -14494,6 +18118,8 @@ 1 1 0 +0 +5 3 0xa067 0xd6636407 @@ -14502,6 +18128,8 @@ 0 0 0 +3 +3 4 0xea54 0x43043da0 @@ -14510,6 +18138,8 @@ 0 0 0 +4 +2 3 0x114d 0x53ee3e1c @@ -14518,6 +18148,8 @@ 1 1 0 +4 +2 3 0xd430 0xb7a2132e @@ -14526,6 +18158,8 @@ 1 1 0 +5 +3 4 0xb2ad 0xdc916105 @@ -14534,6 +18168,8 @@ 1 0 0 +2 +2 4 0x3a58 0x5ccd3853 @@ -14543,6 +18179,8 @@ 1 0 4 +2 +4 0x2023 0xac0e507e 256 @@ -14550,6 +18188,8 @@ 1 1 0 +3 +5 2 0xc1c6 0xd860b88e @@ -14558,6 +18198,8 @@ 1 1 0 +5 +5 3 0x8e34 0x82a81548 @@ -14567,6 +18209,8 @@ 0 0 4 +1 +4 0x443 0x36be2e92 256 @@ -14574,6 +18218,8 @@ 0 0 0 +4 +2 3 0x80cc 0xfe9d38e0 @@ -14582,6 +18228,8 @@ 0 0 0 +4 +4 2 0xb1b5 0x5723699b @@ -14590,6 +18238,8 @@ 0 0 0 +2 +4 1 0xfb9 0xb4fc7774 @@ -14598,6 +18248,8 @@ 1 1 0 +1 +4 4 0x2a17 0x707bd251 @@ -14607,6 +18259,8 @@ 0 0 1 +0 +1 0x5430 0x6aeca8b3 256 @@ -14615,6 +18269,8 @@ 0 0 4 +0 +4 0xe9da 0x20f04724 256 @@ -14622,6 +18278,8 @@ 1 1 0 +1 +0 2 0xb7a6 0xe17d82c0 @@ -14631,6 +18289,8 @@ 0 0 3 +0 +3 0x53bc 0x78d7b16d 256 @@ -14639,6 +18299,8 @@ 0 0 4 +1 +4 0xb697 0x321cedca 256 @@ -14646,6 +18308,8 @@ 1 1 0 +2 +1 4 0x2daa 0xd556d917 @@ -14655,6 +18319,8 @@ 0 0 2 +2 +2 0xe54 0x8fd1c41b 256 @@ -14662,6 +18328,8 @@ 0 0 0 +5 +1 3 0xa137 0xf365c5a0 @@ -14670,6 +18338,8 @@ 0 0 0 +0 +2 1 0x8d5d 0x2f8582ff @@ -14678,6 +18348,8 @@ 1 0 0 +0 +4 2 0xda7f 0x93a0edba @@ -14686,6 +18358,8 @@ 0 0 0 +3 +5 1 0xa422 0xf54a267c @@ -14694,6 +18368,8 @@ 1 1 0 +5 +4 4 0xdc68 0x9d3865ac @@ -14702,6 +18378,8 @@ 0 0 0 +3 +3 4 0xcbd7 0xb05c080f @@ -14710,6 +18388,8 @@ 1 0 0 +0 +3 4 0xc352 0x8bf8dd33 @@ -14718,6 +18398,8 @@ 0 0 0 +2 +0 4 0xac06 0x40fedb3 @@ -14726,6 +18408,8 @@ 1 0 0 +5 +2 3 0x667c 0x3446b113 @@ -14734,6 +18418,8 @@ 1 1 0 +2 +1 1 0xe14e 0xb95473d8 @@ -14742,6 +18428,8 @@ 1 0 0 +2 +0 4 0x4f1a 0xd13473e4 @@ -14750,6 +18438,8 @@ 0 0 0 +2 +4 4 0x13e4 0x573cb145 @@ -14758,6 +18448,8 @@ 1 0 0 +1 +0 4 0x30f8 0xb5a74ff2 @@ -14766,6 +18458,8 @@ 1 1 0 +5 +0 1 0xfca5 0xfad69a28 @@ -14774,6 +18468,8 @@ 0 0 0 +2 +2 1 0xf123 0x59fba061 @@ -14782,6 +18478,8 @@ 0 0 0 +2 +5 4 0x41d4 0x92f0eef2 @@ -14791,6 +18489,8 @@ 0 0 4 +3 +4 0xf710 0xe564c51a 256 @@ -14798,6 +18498,8 @@ 1 0 0 +0 +4 3 0x51da 0x5c0fdb98 @@ -14806,6 +18508,8 @@ 1 1 0 +1 +1 3 0xc5c0 0x418e2c7e @@ -14814,6 +18518,8 @@ 1 0 0 +4 +1 3 0x1289 0x3cc73fdc @@ -14823,6 +18529,8 @@ 0 0 1 +5 +1 0x1ab5 0xc9e01641 256 @@ -14830,6 +18538,8 @@ 1 1 0 +0 +2 3 0x5513 0x59b03d51 @@ -14838,6 +18548,8 @@ 0 0 0 +5 +4 4 0x9658 0xff83894 @@ -14846,6 +18558,8 @@ 1 0 0 +4 +2 2 0xd9d8 0x9534dfbd @@ -14855,6 +18569,8 @@ 0 0 3 +5 +3 0xbfac 0x94daaddb 256 @@ -14862,6 +18578,8 @@ 1 0 0 +2 +5 3 0x4749 0x520eb693 @@ -14870,6 +18588,8 @@ 1 1 0 +0 +5 4 0x3679 0x29fa7b75 @@ -14878,6 +18598,8 @@ 1 1 0 +4 +4 2 0xf12f 0x57c54da8 @@ -14886,6 +18608,8 @@ 0 0 0 +1 +3 4 0x7972 0xce4d1ac9 @@ -14894,6 +18618,8 @@ 0 0 0 +1 +4 4 0xfa36 0xb3a76b18 @@ -14903,6 +18629,8 @@ 0 0 1 +4 +1 0xc02e 0x38c3dfa2 256 @@ -14910,6 +18638,8 @@ 0 0 0 +4 +3 3 0xe7e9 0xcc6b4e6c @@ -14918,6 +18648,8 @@ 1 1 0 +2 +3 4 0x17c8 0x541f4c63 @@ -14926,6 +18658,8 @@ 0 0 0 +2 +2 1 0xeb29 0x4f880cc @@ -14934,6 +18668,8 @@ 1 0 0 +5 +4 1 0x2594 0xab6828e3 @@ -14943,6 +18679,8 @@ 0 0 4 +1 +4 0x2de5 0x3d4b39f6 256 @@ -14950,6 +18688,8 @@ 1 0 0 +2 +5 3 0xdd0f 0x91d7c13b @@ -14958,6 +18698,8 @@ 0 0 0 +1 +0 4 0x7166 0x8f071d00 @@ -14966,6 +18708,8 @@ 1 0 0 +3 +3 2 0xf27b 0x318d4319 @@ -14975,6 +18719,8 @@ 0 0 1 +1 +1 0x4b97 0x95d816bd 256 @@ -14982,6 +18728,8 @@ 0 0 0 +0 +3 1 0xf5 0x56995bfe @@ -14991,6 +18739,8 @@ 0 0 4 +3 +4 0xf4a6 0xd50f027c 256 @@ -14998,6 +18748,8 @@ 0 0 0 +5 +5 1 0xcc27 0xd1c93c31 @@ -15006,6 +18758,8 @@ 0 0 0 +2 +3 4 0xfa3d 0x5b0586c3 @@ -15014,6 +18768,8 @@ 1 0 0 +5 +4 4 0xc693 0x1cacfdf @@ -15022,6 +18778,8 @@ 0 0 0 +2 +3 4 0x49f6 0x78a2bc5e @@ -15030,6 +18788,8 @@ 0 0 0 +5 +5 4 0x9667 0x9110b0ea @@ -15038,6 +18798,8 @@ 1 1 0 +5 +5 2 0x2f2e 0xfca4fd73 @@ -15046,6 +18808,8 @@ 1 0 0 +2 +3 3 0xf9ba 0xb020f3a6 @@ -15054,6 +18818,8 @@ 1 1 0 +4 +5 1 0x19d0 0x1b03bdbd @@ -15062,6 +18828,8 @@ 1 0 0 +3 +5 1 0x10ed 0xeb4e25ac @@ -15070,6 +18838,8 @@ 0 0 0 +0 +1 2 0x1837 0x68a0d86d @@ -15078,6 +18848,8 @@ 1 0 0 +4 +1 1 0xef53 0xc10f8ca4 @@ -15086,6 +18858,8 @@ 0 0 0 +4 +2 3 0x5abb 0x845d5f61 @@ -15094,6 +18868,8 @@ 0 0 0 +1 +2 2 0x3f4d 0x727dd634 @@ -15102,6 +18878,8 @@ 0 0 0 +4 +4 1 0x2fb9 0x860228d6 @@ -15110,6 +18888,8 @@ 0 0 0 +2 +0 3 0x92a 0x3a5e391d @@ -15118,6 +18898,8 @@ 1 0 0 +3 +4 2 0x8f41 0x77b94180 @@ -15126,6 +18908,8 @@ 0 0 0 +4 +0 3 0x5c51 0x60c0f7b4 @@ -15134,6 +18918,8 @@ 1 1 0 +2 +5 4 0xb8ad 0xa3d9fac1 @@ -15143,6 +18929,8 @@ 1 0 3 +4 +3 0xcba3 0xe9de6ccd 256 @@ -15150,6 +18938,8 @@ 0 0 0 +5 +1 2 0xad5a 0xb0ffebca @@ -15158,6 +18948,8 @@ 0 0 0 +4 +5 1 0x8b24 0x48b8e2d6 @@ -15166,6 +18958,8 @@ 1 0 0 +2 +2 4 0x3481 0x4bd0de7d @@ -15174,6 +18968,8 @@ 0 0 0 +0 +1 4 0x58fb 0x1f62755d @@ -15182,6 +18978,8 @@ 1 1 0 +4 +4 3 0xa3ce 0x4743b77d @@ -15190,6 +18988,8 @@ 1 1 0 +2 +5 1 0x5f9b 0xfdf8e3d5 @@ -15198,6 +18998,8 @@ 1 1 0 +0 +2 1 0x8988 0x962cb0f5 @@ -15206,6 +19008,8 @@ 1 0 0 +2 +5 4 0xff15 0x4f972600 @@ -15214,6 +19018,8 @@ 1 1 0 +3 +1 4 0x6256 0x8a3d881 @@ -15222,6 +19028,8 @@ 0 0 0 +5 +3 4 0x1166 0x970f7d2b @@ -15230,6 +19038,8 @@ 0 0 0 +2 +2 3 0x79c2 0x31e1824f @@ -15238,6 +19048,8 @@ 1 0 0 +2 +5 1 0xa64a 0xec9db9f7 @@ -15246,6 +19058,8 @@ 1 1 0 +4 +5 2 0xbaf6 0xa17285fa @@ -15254,6 +19068,8 @@ 0 0 0 +3 +4 1 0x8646 0xdf2b92dc @@ -15262,6 +19078,8 @@ 0 0 0 +1 +5 2 0xa160 0x33d86d28 @@ -15270,6 +19088,8 @@ 1 0 0 +0 +4 2 0x9ec9 0x228ecce9 @@ -15278,6 +19098,8 @@ 1 1 0 +5 +1 2 0x750 0xa4eed5a3 @@ -15286,6 +19108,8 @@ 0 0 0 +0 +1 2 0x9012 0xdc5c1578 @@ -15294,6 +19118,8 @@ 0 0 0 +3 +1 4 0xba2c 0x32ae6b42 @@ -15302,6 +19128,8 @@ 1 0 0 +5 +4 3 0xa6da 0x5dd7aa70 @@ -15310,6 +19138,8 @@ 1 1 0 +2 +0 3 0xcd54 0xd12eed60 @@ -15318,6 +19148,8 @@ 1 1 0 +5 +4 2 0x8bf7 0x3c41eb72 @@ -15326,6 +19158,8 @@ 1 1 0 +3 +2 4 0xb8 0xa228ba4f @@ -15334,6 +19168,8 @@ 0 0 0 +3 +4 4 0x9dd0 0x3b891cc @@ -15343,6 +19179,8 @@ 0 0 1 +2 +1 0xfa05 0x8e4c2ed4 256 @@ -15351,6 +19189,8 @@ 0 0 1 +3 +1 0xc5c8 0x6a5d23fa 256 @@ -15358,6 +19198,8 @@ 1 1 0 +4 +2 1 0x190b 0x97b5e79f @@ -15366,6 +19208,8 @@ 0 0 0 +2 +2 3 0x6544 0x66dc1bf5 @@ -15374,6 +19218,8 @@ 1 1 0 +4 +2 2 0x63be 0x9445c468 @@ -15382,6 +19228,8 @@ 1 0 0 +4 +1 3 0xd14c 0xf4e94e1 @@ -15391,6 +19239,8 @@ 1 0 4 +5 +4 0xf31e 0x40f858af 256 @@ -15398,6 +19248,8 @@ 0 0 0 +2 +2 1 0xeb2c 0x3948f4d9 @@ -15407,6 +19259,8 @@ 0 0 2 +2 +2 0x4326 0x7a3d90f 256 @@ -15414,6 +19268,8 @@ 0 0 0 +0 +0 3 0xfe1 0x15447dc @@ -15422,6 +19278,8 @@ 0 0 0 +3 +3 2 0x1858 0xdcb3f8df @@ -15430,6 +19288,8 @@ 0 0 0 +2 +1 3 0x2fca 0x948862f4 @@ -15439,6 +19299,8 @@ 0 0 4 +2 +4 0x10ce 0x9b476e2 256 @@ -15446,6 +19308,8 @@ 0 0 0 +2 +0 1 0xbfa 0x367ed679 @@ -15454,6 +19318,8 @@ 1 1 0 +4 +0 3 0x938 0x110485b9 @@ -15462,6 +19328,8 @@ 1 1 0 +5 +3 2 0x1b71 0x66f6f4e0 @@ -15470,6 +19338,8 @@ 0 0 0 +4 +2 1 0xecfb 0xbf45c70b @@ -15478,6 +19348,8 @@ 1 0 0 +5 +0 1 0xd84b 0x3a276164 @@ -15487,6 +19359,8 @@ 1 0 2 +4 +2 0x4427 0xba0eabb6 256 @@ -15494,6 +19368,8 @@ 1 1 0 +0 +5 1 0xc477 0x7d7d114b @@ -15502,6 +19378,8 @@ 0 0 0 +1 +0 2 0xc6f3 0xb06480b8 @@ -15510,6 +19388,8 @@ 0 0 0 +4 +5 3 0x889b 0x42dc7b3 @@ -15518,6 +19398,8 @@ 1 1 0 +1 +5 4 0xc36d 0x60c69690 @@ -15527,6 +19409,8 @@ 1 0 1 +1 +1 0xd9f6 0x39f20b4 256 @@ -15534,6 +19418,8 @@ 0 0 0 +2 +0 3 0xf329 0x2a51739a @@ -15542,6 +19428,8 @@ 0 0 0 +0 +4 1 0xb584 0xb74072f3 @@ -15550,6 +19438,8 @@ 0 0 0 +0 +0 2 0x253e 0x901a34a4 @@ -15558,6 +19448,8 @@ 0 0 0 +2 +2 1 0x75a9 0xb2599185 @@ -15566,6 +19458,8 @@ 0 0 0 +0 +1 2 0x954b 0x8f18da9a @@ -15574,6 +19468,8 @@ 1 0 0 +5 +2 4 0xa48c 0x4a3f395e @@ -15582,6 +19478,8 @@ 0 0 0 +0 +1 3 0x96b5 0x924fe31f @@ -15590,6 +19488,8 @@ 0 0 0 +1 +0 2 0x2eac 0x3057190f @@ -15598,6 +19498,8 @@ 0 0 0 +3 +0 2 0x1a79 0x7bf40360 @@ -15607,6 +19509,8 @@ 1 0 3 +5 +3 0x3d2 0x97224547 256 @@ -15614,6 +19518,8 @@ 1 1 0 +2 +4 3 0x18dc 0x17b7e0bf @@ -15623,6 +19529,8 @@ 0 0 1 +4 +1 0x930b 0x46ff18fc 256 @@ -15630,6 +19538,8 @@ 0 0 0 +2 +4 4 0x699c 0x4c7c29e0 @@ -15638,6 +19548,8 @@ 0 0 0 +2 +3 1 0x8f82 0xacdbbfeb @@ -15646,6 +19558,8 @@ 1 0 0 +3 +2 2 0xa876 0x62d50b3b @@ -15654,6 +19568,8 @@ 1 1 0 +3 +0 4 0xbf32 0xfaa675ff @@ -15662,6 +19578,8 @@ 0 0 0 +0 +3 2 0x1702 0xb8a1f3e5 @@ -15670,6 +19588,8 @@ 0 0 0 +0 +0 3 0xc592 0x747c4331 @@ -15678,6 +19598,8 @@ 0 0 0 +2 +4 1 0xdfb8 0xe2097da8 @@ -15686,6 +19608,8 @@ 0 0 0 +1 +4 3 0xde0 0x3f4a883b @@ -15695,6 +19619,8 @@ 1 0 1 +2 +1 0x8597 0xe0e86e35 256 @@ -15702,6 +19628,8 @@ 1 0 0 +1 +4 2 0xc1b4 0x4b1e585f @@ -15710,6 +19638,8 @@ 1 0 0 +5 +4 4 0xa3f3 0x74189f39 @@ -15718,6 +19648,8 @@ 1 0 0 +2 +1 4 0xe9e8 0x85af5e5b @@ -15726,6 +19658,8 @@ 1 1 0 +5 +2 4 0xafeb 0x19d4dd15 @@ -15734,6 +19668,8 @@ 1 1 0 +4 +2 1 0x4d2 0x7ba30048 @@ -15742,6 +19678,8 @@ 0 0 0 +2 +1 3 0x7e00 0x55b01696 @@ -15750,6 +19688,8 @@ 0 0 0 +0 +5 4 0x2433 0x3d84e85e @@ -15759,6 +19699,8 @@ 0 0 1 +4 +1 0xb3e6 0x8a8ea558 256 @@ -15766,6 +19708,8 @@ 1 1 0 +0 +5 4 0x9f17 0xeef614b5 @@ -15774,6 +19718,8 @@ 0 0 0 +5 +1 2 0xc042 0xafbed77b @@ -15783,6 +19729,8 @@ 0 0 1 +2 +1 0x2376 0x9c7b72d 256 @@ -15790,6 +19738,8 @@ 0 0 0 +0 +2 1 0x5e73 0xee6ae227 @@ -15798,6 +19748,8 @@ 1 0 0 +2 +1 3 0xae78 0x5d4103f9 @@ -15806,6 +19758,8 @@ 0 0 0 +5 +5 2 0xda2d 0x58293c90 @@ -15815,6 +19769,8 @@ 1 0 1 +1 +1 0xd19 0xa087518 256 @@ -15822,6 +19778,8 @@ 1 1 0 +0 +1 2 0x2745 0x2a806799 @@ -15831,6 +19789,8 @@ 0 0 2 +4 +2 0x11b9 0x8b56fb7 256 @@ -15839,6 +19799,8 @@ 0 0 3 +2 +3 0x448c 0x82ed6e1d 256 @@ -15846,6 +19808,8 @@ 0 0 0 +4 +1 2 0x91fa 0x6b4a764f @@ -15854,6 +19818,8 @@ 0 0 0 +4 +0 2 0x6891 0x632f8357 @@ -15862,6 +19828,8 @@ 0 0 0 +0 +1 3 0x119f 0xd1e8ab48 @@ -15870,6 +19838,8 @@ 0 0 0 +0 +1 2 0x3bd1 0x4701d3e2 @@ -15878,6 +19848,8 @@ 1 1 0 +1 +1 2 0x8687 0xf6a78340 @@ -15887,6 +19859,8 @@ 0 0 1 +3 +1 0x72d4 0xdd77330b 256 @@ -15894,6 +19868,8 @@ 1 1 0 +1 +5 3 0x8e84 0xa4274eaa @@ -15902,6 +19878,8 @@ 1 0 0 +5 +1 2 0x5e59 0x53251d12 @@ -15910,6 +19888,8 @@ 1 0 0 +5 +4 3 0x177d 0x788f4823 @@ -15918,6 +19898,8 @@ 0 0 0 +2 +4 4 0x6acb 0xc69a89c3 @@ -15927,6 +19909,8 @@ 1 0 3 +3 +3 0x4a79 0x7b567e0a 256 @@ -15934,6 +19918,8 @@ 0 0 0 +5 +2 1 0x90a9 0x2045dc9a @@ -15943,6 +19929,8 @@ 1 0 4 +3 +4 0xf3f4 0x32c0daa5 256 @@ -15951,6 +19939,8 @@ 0 0 1 +3 +1 0x37ab 0x2b68fc48 256 @@ -15958,6 +19948,8 @@ 1 1 0 +1 +0 2 0xd57f 0x5892862f @@ -15966,6 +19958,8 @@ 0 0 0 +1 +1 2 0x7ba 0xa9e60537 @@ -15974,6 +19968,8 @@ 0 0 0 +4 +2 3 0xe333 0x5e0e0cd4 @@ -15982,6 +19978,8 @@ 1 1 0 +5 +0 2 0x51da 0xed498ebb @@ -15990,6 +19988,8 @@ 0 0 0 +1 +2 2 0x7770 0xb68f1617 @@ -15998,6 +19998,8 @@ 1 1 0 +0 +0 3 0xf578 0xe744a548 @@ -16006,6 +20008,8 @@ 1 0 0 +5 +3 4 0xad41 0xbfe7594d @@ -16014,6 +20018,8 @@ 1 0 0 +1 +4 2 0x664a 0x227b92cf @@ -16022,6 +20028,8 @@ 1 1 0 +4 +3 1 0x63e4 0x62f6adc @@ -16030,6 +20038,8 @@ 1 0 0 +5 +1 2 0xb630 0x991a10c9 @@ -16038,6 +20048,8 @@ 1 0 0 +5 +2 4 0xf40c 0x534d93a @@ -16046,6 +20058,8 @@ 1 0 0 +4 +2 3 0xe231 0x3ddd7356 @@ -16055,6 +20069,8 @@ 0 0 4 +1 +4 0x5e49 0xe31749c 256 @@ -16062,6 +20078,8 @@ 0 0 0 +0 +5 1 0xde69 0xf2e63cc7 @@ -16070,6 +20088,8 @@ 1 0 0 +3 +5 1 0x54cc 0x89f1d94f @@ -16078,6 +20098,8 @@ 0 0 0 +2 +0 1 0xc7f0 0x49d0ce19 @@ -16087,6 +20109,8 @@ 0 0 4 +2 +4 0x6d12 0x196b5e6a 256 @@ -16094,6 +20118,8 @@ 0 0 0 +4 +3 2 0xb938 0xdc40e16c @@ -16102,6 +20128,8 @@ 0 0 0 +4 +2 2 0xea6a 0x6807f8d @@ -16110,6 +20138,8 @@ 0 0 0 +4 +0 1 0xa194 0xd7e2c4b1 @@ -16118,6 +20148,8 @@ 0 0 0 +1 +0 4 0x855f 0x491896f2 @@ -16126,6 +20158,8 @@ 0 0 0 +4 +4 2 0x9882 0xa4f64666 @@ -16135,6 +20169,8 @@ 0 0 2 +2 +2 0xa6d5 0xab2a34eb 256 @@ -16142,6 +20178,8 @@ 1 0 0 +2 +3 3 0xec24 0x7db4fcc6 @@ -16150,6 +20188,8 @@ 0 0 0 +2 +0 3 0x324e 0x50981564 @@ -16158,6 +20198,8 @@ 1 0 0 +2 +3 4 0xdbfa 0xbfd97aa8 @@ -16167,6 +20209,8 @@ 0 0 3 +2 +3 0x10bf 0x1acb19e3 256 @@ -16174,6 +20218,8 @@ 1 0 0 +4 +0 3 0xcb40 0xad726c40 @@ -16182,6 +20228,8 @@ 1 1 0 +1 +2 2 0x7cb2 0x8b64d080 @@ -16190,6 +20238,8 @@ 0 0 0 +5 +5 3 0x71d8 0x49b91ac5 @@ -16198,6 +20248,8 @@ 0 0 0 +5 +3 1 0xc1e 0x776059aa @@ -16206,6 +20258,8 @@ 0 0 0 +3 +2 1 0x35d4 0x1a6fcddd @@ -16214,6 +20268,8 @@ 1 1 0 +1 +0 2 0xdb0c 0xce229626 @@ -16222,6 +20278,8 @@ 1 1 0 +5 +4 4 0x3cd 0x3ae6256c @@ -16231,6 +20289,8 @@ 0 0 4 +4 +4 0xf5cb 0xacf6c24b 256 @@ -16238,6 +20298,8 @@ 1 0 0 +5 +3 2 0xb354 0x9d7b3a6d @@ -16247,6 +20309,8 @@ 1 0 2 +3 +2 0x8d95 0x6eb86da2 256 @@ -16254,6 +20318,8 @@ 1 1 0 +0 +0 4 0xea18 0xeca7fae2 @@ -16262,6 +20328,8 @@ 0 0 0 +4 +3 2 0x20fe 0x41166f15 @@ -16271,6 +20339,8 @@ 1 0 4 +3 +4 0xf1c7 0x39dd5e7e 256 @@ -16278,6 +20348,8 @@ 0 0 0 +5 +3 4 0x592e 0xdaf412f1 @@ -16286,6 +20358,8 @@ 0 0 0 +4 +5 3 0x90f2 0xf53602da @@ -16294,6 +20368,8 @@ 1 1 0 +0 +4 2 0x6de0 0xc41e3a7e @@ -16302,6 +20378,8 @@ 1 1 0 +3 +0 2 0x2d9d 0xa3bd6e86 @@ -16310,6 +20388,8 @@ 1 0 0 +5 +2 2 0x31c6 0xfbf60a58 @@ -16318,6 +20398,8 @@ 0 0 0 +2 +3 4 0x28e8 0xa313272c @@ -16326,6 +20408,8 @@ 1 1 0 +0 +3 1 0xadd8 0x6647517b @@ -16334,6 +20418,8 @@ 0 0 0 +5 +5 3 0xdb4d 0x93461dc @@ -16342,6 +20428,8 @@ 1 0 0 +5 +3 4 0xc23b 0x5cec424c @@ -16350,6 +20438,8 @@ 1 1 0 +4 +4 1 0x8895 0x3ae4a4b1 @@ -16358,6 +20448,8 @@ 1 0 0 +2 +3 4 0x8bf 0x39f40fb4 @@ -16366,6 +20458,8 @@ 1 1 0 +5 +2 3 0x32a9 0x8972ad9a @@ -16374,6 +20468,8 @@ 0 0 0 +3 +4 1 0x1f90 0xc07dfe00 @@ -16382,6 +20478,8 @@ 1 0 0 +0 +4 2 0x748e 0x229108e2 @@ -16390,6 +20488,8 @@ 0 0 0 +2 +2 3 0x30ac 0x7eba5cb1 @@ -16399,6 +20499,8 @@ 0 0 4 +3 +4 0x50da 0x2bc38e9d 256 @@ -16406,6 +20508,8 @@ 1 1 0 +0 +2 4 0x11 0x471f5a07 @@ -16414,6 +20518,8 @@ 0 0 0 +2 +2 4 0xc877 0x25a9d7dc @@ -16423,6 +20529,8 @@ 0 0 4 +4 +4 0x51b0 0xe2523234 256 @@ -16430,6 +20538,8 @@ 1 0 0 +0 +4 4 0x442 0xb1bd09e0 @@ -16438,6 +20548,8 @@ 0 0 0 +5 +3 1 0x36e6 0xea7154c8 @@ -16446,6 +20558,8 @@ 1 1 0 +3 +2 1 0x8f05 0x57549aa0 @@ -16454,6 +20568,8 @@ 0 0 0 +4 +2 1 0x252a 0x93037954 @@ -16462,6 +20578,8 @@ 0 0 0 +0 +2 2 0x7035 0x888d0fb0 @@ -16470,6 +20588,8 @@ 0 0 0 +3 +2 4 0xa367 0x68a24995 @@ -16478,6 +20598,8 @@ 1 1 0 +4 +2 3 0xe30c 0x9f4b1810 @@ -16487,6 +20609,8 @@ 0 0 1 +4 +1 0x7aa6 0x5e1ae95 256 @@ -16494,6 +20618,8 @@ 1 1 0 +0 +2 4 0x9b34 0xb71cf3a @@ -16502,6 +20628,8 @@ 0 0 0 +0 +0 2 0xafdc 0x6d39849e @@ -16510,6 +20638,8 @@ 1 1 0 +3 +0 1 0xcd2a 0x24bf8803 @@ -16518,6 +20648,8 @@ 0 0 0 +4 +5 3 0x34ea 0xe5ba2cf5 @@ -16526,6 +20658,8 @@ 1 1 0 +1 +2 4 0x756f 0x1ea77730 @@ -16534,6 +20668,8 @@ 0 0 0 +2 +0 1 0x10cd 0xd3e2a0b8 @@ -16542,6 +20678,8 @@ 0 0 0 +5 +4 4 0xa5bd 0x6ebdbc5f @@ -16550,6 +20688,8 @@ 1 1 0 +3 +3 4 0xfcca 0x655ed034 @@ -16558,6 +20698,8 @@ 0 0 0 +3 +1 2 0x435 0x848531b0 @@ -16566,6 +20708,8 @@ 0 0 0 +2 +1 3 0xa17e 0x37985058 @@ -16574,6 +20718,8 @@ 0 0 0 +5 +0 1 0x1176 0x6f621de @@ -16582,6 +20728,8 @@ 0 0 0 +2 +4 1 0xe302 0x9144db29 @@ -16591,6 +20739,8 @@ 1 0 4 +1 +4 0x8b82 0xd08b3caf 256 @@ -16599,6 +20749,8 @@ 0 0 4 +3 +4 0xc4f8 0x55e3a201 256 @@ -16606,6 +20758,8 @@ 0 0 0 +5 +3 2 0x1898 0xcf641b85 @@ -16614,6 +20768,8 @@ 1 0 0 +2 +0 3 0x8e11 0x123f4d84 @@ -16622,6 +20778,8 @@ 1 1 0 +4 +4 2 0xd900 0x22927e6c @@ -16630,6 +20788,8 @@ 1 0 0 +5 +2 1 0xf1b9 0xaac901b @@ -16639,6 +20799,8 @@ 0 0 4 +1 +4 0x38ae 0x3490a8c 256 @@ -16647,6 +20809,8 @@ 0 0 4 +2 +4 0x7ad0 0xbd5bf0ec 256 @@ -16654,6 +20818,8 @@ 0 0 0 +2 +3 4 0xaf43 0x299defd4 @@ -16662,6 +20828,8 @@ 1 0 0 +3 +0 1 0x10be 0x6715bcaf @@ -16670,6 +20838,8 @@ 1 1 0 +0 +0 1 0xa09f 0x798d6a41 @@ -16678,6 +20848,8 @@ 1 0 0 +2 +0 3 0x789e 0x87b160a @@ -16687,6 +20859,8 @@ 1 0 2 +2 +2 0x8540 0x3e778aa2 256 @@ -16695,6 +20869,8 @@ 0 0 3 +1 +3 0xa00d 0xbadfdfc9 256 @@ -16702,6 +20878,8 @@ 0 0 0 +2 +3 4 0x9adc 0x9869b69a @@ -16710,6 +20888,8 @@ 1 0 0 +0 +2 1 0x1ee0 0x91215f6 @@ -16718,6 +20898,8 @@ 0 0 0 +0 +1 1 0x2457 0x7b12d4ce @@ -16726,6 +20908,8 @@ 1 0 0 +0 +2 3 0x65b5 0xf465f796 @@ -16735,6 +20919,8 @@ 1 0 4 +2 +4 0xd2ee 0xfb29be71 256 @@ -16742,6 +20928,8 @@ 1 1 0 +1 +2 4 0x34b5 0x4d17c86a @@ -16750,6 +20938,8 @@ 0 0 0 +3 +4 2 0x75b7 0xc47b8bc8 @@ -16758,6 +20948,8 @@ 1 0 0 +2 +0 1 0x4a7f 0xa47b9265 @@ -16766,6 +20958,8 @@ 1 0 0 +3 +0 1 0x88d 0x2b96cf4d @@ -16775,6 +20969,8 @@ 0 0 4 +5 +4 0x546d 0xeb3be3e3 256 @@ -16782,6 +20978,8 @@ 0 0 0 +2 +4 3 0x885b 0xc6d407a4 @@ -16790,6 +20988,8 @@ 0 0 0 +2 +1 1 0x3cdd 0xe93dc219 @@ -16798,6 +20998,8 @@ 0 0 0 +2 +4 1 0xc7fa 0xdd6cc7bd @@ -16806,6 +21008,8 @@ 1 1 0 +5 +0 1 0x33d0 0xb483537a @@ -16814,6 +21018,8 @@ 1 0 0 +1 +0 2 0xc3c4 0x5ecd6d82 @@ -16822,6 +21028,8 @@ 1 0 0 +0 +3 2 0xb838 0x7251c8f0 @@ -16830,6 +21038,8 @@ 0 0 0 +1 +0 2 0x2d0a 0xde510462 @@ -16839,6 +21049,8 @@ 0 0 1 +5 +1 0x3a56 0xdb40d124 256 @@ -16847,6 +21059,8 @@ 0 0 1 +3 +1 0x4ed9 0xc15df0ab 256 @@ -16854,6 +21068,8 @@ 1 1 0 +2 +2 3 0x79e5 0xfa754bc3 @@ -16862,6 +21078,8 @@ 1 0 0 +2 +2 3 0xb56e 0xb2bf4b11 @@ -16870,6 +21088,8 @@ 0 0 0 +5 +3 4 0x5432 0x306238b8 @@ -16878,6 +21098,8 @@ 1 1 0 +2 +4 1 0x7556 0x15807b12 @@ -16886,6 +21108,8 @@ 0 0 0 +4 +2 1 0x2e8f 0xce3acd04 @@ -16894,6 +21118,8 @@ 1 0 0 +5 +4 1 0x9a9 0x7258bc69 @@ -16902,6 +21128,8 @@ 0 0 0 +5 +5 3 0x1f6f 0xd79da925 @@ -16910,6 +21138,8 @@ 0 0 0 +5 +3 2 0xdc96 0xf7083e7 @@ -16918,6 +21148,8 @@ 0 0 0 +0 +0 4 0xcd49 0xb9eb0d2b @@ -16926,6 +21158,8 @@ 1 0 0 +4 +1 2 0xa458 0xacfbd59e @@ -16934,6 +21168,8 @@ 0 0 0 +2 +2 1 0x4145 0x9651e7a5 @@ -16942,6 +21178,8 @@ 0 0 0 +1 +4 4 0x4cea 0xa5b87963 @@ -16950,6 +21188,8 @@ 1 1 0 +5 +4 3 0x4713 0xc6bea0ec @@ -16959,6 +21199,8 @@ 0 0 1 +4 +1 0xafdb 0xb3dea0d1 256 @@ -16966,6 +21208,8 @@ 0 0 0 +1 +5 4 0x47eb 0x162c8ef4 @@ -16974,6 +21218,8 @@ 1 0 0 +4 +4 2 0x7151 0xa47b1c78 @@ -16982,6 +21228,8 @@ 1 0 0 +3 +2 1 0xcd52 0xfd22b3c6 @@ -16990,6 +21238,8 @@ 1 0 0 +1 +5 4 0x1cf1 0x4dca7a20 @@ -16998,6 +21248,8 @@ 0 0 0 +1 +0 4 0x96df 0x925e41c7 @@ -17006,6 +21258,8 @@ 0 0 0 +2 +3 3 0x2783 0x178825c3 @@ -17014,6 +21268,8 @@ 1 1 0 +4 +0 2 0xfc0 0x9b823ac3 @@ -17023,6 +21279,8 @@ 0 0 2 +5 +2 0x1a83 0x1e865b3a 256 @@ -17030,6 +21288,8 @@ 0 0 0 +4 +3 3 0xe2f1 0xa1dc344d @@ -17039,6 +21299,8 @@ 0 0 2 +4 +2 0x355c 0x51545f23 256 @@ -17046,6 +21308,8 @@ 0 0 0 +5 +4 3 0x6a10 0xb9efc8b5 @@ -17055,6 +21319,8 @@ 0 0 2 +2 +2 0xdcf9 0x9ae20004 256 @@ -17062,6 +21328,8 @@ 1 1 0 +3 +0 4 0x5d73 0xaec2e33f @@ -17070,6 +21338,8 @@ 1 1 0 +5 +2 1 0x6c10 0x73115138 @@ -17079,6 +21349,8 @@ 0 0 4 +0 +4 0x853e 0x13040c98 256 @@ -17087,6 +21359,8 @@ 1 0 4 +5 +4 0x7417 0xb3844d45 256 @@ -17094,6 +21368,8 @@ 1 1 0 +4 +4 3 0xa73a 0xab78ca69 @@ -17102,6 +21378,8 @@ 1 1 0 +5 +4 2 0x8dfe 0x575b9daf @@ -17110,6 +21388,8 @@ 1 0 0 +3 +2 1 0x31f3 0xdd34b2cb @@ -17118,6 +21398,8 @@ 0 0 0 +3 +0 2 0xf351 0xfcfaf44f @@ -17126,6 +21408,8 @@ 1 0 0 +5 +5 2 0xda22 0xee326df5 @@ -17134,6 +21418,8 @@ 1 0 0 +2 +1 3 0x57f8 0xa8b1db1d @@ -17142,6 +21428,8 @@ 0 0 0 +2 +5 4 0xe12d 0xfea01df9 @@ -17150,6 +21438,8 @@ 0 0 0 +5 +4 1 0x784d 0x7ff8e7a8 @@ -17158,6 +21448,8 @@ 1 0 0 +4 +1 1 0x37df 0x617bbafa @@ -17166,6 +21458,8 @@ 0 0 0 +3 +5 2 0xe424 0x86378a1e @@ -17174,6 +21468,8 @@ 1 1 0 +2 +0 3 0x8165 0xee020c2d @@ -17182,6 +21478,8 @@ 1 1 0 +5 +2 2 0x16d1 0xe80268df @@ -17190,6 +21488,8 @@ 1 0 0 +3 +5 1 0x39b1 0x82f3db92 @@ -17198,6 +21498,8 @@ 0 0 0 +0 +2 3 0x24c3 0xc77ead57 @@ -17207,6 +21509,8 @@ 0 0 2 +5 +2 0xa61f 0x452f8874 256 @@ -17214,6 +21518,8 @@ 1 1 0 +4 +0 3 0xb904 0x9a4f8fda @@ -17222,6 +21528,8 @@ 1 1 0 +3 +2 2 0x675f 0xdedc2ad2 @@ -17230,6 +21538,8 @@ 1 0 0 +4 +1 2 0x9b73 0x1fb777de @@ -17238,6 +21548,8 @@ 0 0 0 +5 +5 1 0xf0db 0x8b9c76e8 @@ -17246,6 +21558,8 @@ 0 0 0 +5 +5 4 0x7717 0xb9272980 @@ -17254,6 +21568,8 @@ 0 0 0 +1 +0 3 0xfa7f 0xa2ba3932 @@ -17262,6 +21578,8 @@ 1 1 0 +1 +1 3 0xc71c 0x99ff684b @@ -17271,6 +21589,8 @@ 0 0 4 +3 +4 0x88fc 0x3956dd78 256 @@ -17278,6 +21598,8 @@ 0 0 0 +0 +0 4 0x44a1 0x9df9c302 @@ -17286,6 +21608,8 @@ 0 0 0 +0 +3 3 0x8576 0xcece72de @@ -17294,6 +21618,8 @@ 0 0 0 +5 +1 2 0xbd8c 0xb324ba36 @@ -17302,6 +21628,8 @@ 1 0 0 +2 +0 1 0x351c 0x68193aac @@ -17310,6 +21638,8 @@ 1 0 0 +0 +4 2 0xa9f3 0xcd2d8721 @@ -17318,6 +21648,8 @@ 0 0 0 +0 +0 1 0xbc36 0xdaceeb7e @@ -17326,6 +21658,8 @@ 1 0 0 +2 +2 1 0xbc37 0x62cbc446 @@ -17334,6 +21668,8 @@ 0 0 0 +3 +4 1 0xb10c 0xd5d1a900 @@ -17342,6 +21678,8 @@ 0 0 0 +3 +5 2 0xcf50 0x3d2b9943 @@ -17350,6 +21688,8 @@ 1 0 0 +4 +2 2 0x82b0 0xe81511e8 @@ -17359,6 +21699,8 @@ 0 0 3 +5 +3 0x2999 0x3403163f 256 @@ -17366,6 +21708,8 @@ 1 1 0 +3 +0 4 0xa7a9 0x7a5c62ca @@ -17375,6 +21719,8 @@ 0 0 2 +2 +2 0xc7b7 0x8e3dfd57 256 @@ -17382,6 +21728,8 @@ 1 1 0 +3 +2 1 0xfb3b 0xe07d5dbf @@ -17390,6 +21738,8 @@ 0 0 0 +1 +0 4 0x5f10 0x6060d9ba @@ -17398,6 +21748,8 @@ 1 1 0 +4 +1 3 0x84ff 0xdd055866 @@ -17406,6 +21758,8 @@ 1 0 0 +0 +2 2 0x11b6 0x4b6923b5 @@ -17414,6 +21768,8 @@ 0 0 0 +4 +0 1 0x9ea2 0x3fa107d0 @@ -17422,6 +21778,8 @@ 1 1 0 +0 +4 3 0xc359 0x8abbff4e @@ -17430,6 +21788,8 @@ 0 0 0 +1 +4 2 0x67b8 0x5baa6b15 @@ -17438,6 +21798,8 @@ 0 0 0 +2 +3 3 0x69d 0x86d8e0ab @@ -17446,6 +21808,8 @@ 0 0 0 +5 +2 3 0x85da 0xca7f69f8 @@ -17454,6 +21818,8 @@ 1 0 0 +0 +4 3 0xd87 0x4999a6da @@ -17462,6 +21828,8 @@ 0 0 0 +4 +2 2 0xaa03 0x4d62094c @@ -17470,6 +21838,8 @@ 0 0 0 +3 +0 4 0x9780 0x4afff072 @@ -17478,6 +21848,8 @@ 1 1 0 +3 +0 2 0x272b 0x50afbe73 @@ -17486,6 +21858,8 @@ 1 1 0 +3 +0 2 0xbfa2 0x961c10d2 @@ -17495,6 +21869,8 @@ 0 0 1 +4 +1 0x2611 0x8dbb9e9e 256 @@ -17502,6 +21878,8 @@ 1 0 0 +4 +3 1 0x3bcd 0x5b646145 @@ -17511,6 +21889,8 @@ 1 0 4 +1 +4 0x15c2 0x6e5aa516 256 @@ -17518,6 +21898,8 @@ 1 1 0 +0 +1 3 0xa05f 0x5c6f558f @@ -17526,6 +21908,8 @@ 1 1 0 +5 +4 1 0x8c54 0xaaaee4d8 @@ -17534,6 +21918,8 @@ 1 1 0 +0 +2 3 0x34ea 0x6a6a5914 @@ -17542,6 +21928,8 @@ 1 1 0 +0 +2 3 0x7ac6 0x3153189e @@ -17550,6 +21938,8 @@ 0 0 0 +2 +4 4 0x5acf 0xe912f5c8 @@ -17558,6 +21948,8 @@ 0 0 0 +3 +2 1 0x5661 0xcb0ac925 @@ -17566,6 +21958,8 @@ 1 0 0 +1 +3 3 0x710b 0x573ef71a @@ -17574,6 +21968,8 @@ 1 1 0 +3 +1 1 0xebf4 0x3bd5b3ce @@ -17582,6 +21978,8 @@ 1 0 0 +0 +4 1 0xb08c 0x3eb60cf3 @@ -17590,6 +21988,8 @@ 1 1 0 +0 +5 2 0x7890 0x79a95778 @@ -17598,6 +21998,8 @@ 1 1 0 +0 +3 3 0xc5a2 0x9940eb65 @@ -17607,6 +22009,8 @@ 0 0 2 +5 +2 0xbc4a 0x5eea3e4d 256 @@ -17614,6 +22018,8 @@ 0 0 0 +3 +1 2 0x86c8 0x5d8fac7 @@ -17622,6 +22028,8 @@ 1 1 0 +1 +4 4 0x4938 0xdc7e37dc @@ -17631,6 +22039,8 @@ 0 0 1 +3 +1 0x52c 0x56cf2da7 256 @@ -17638,6 +22048,8 @@ 1 1 0 +2 +5 1 0xb265 0x757008be @@ -17647,6 +22059,8 @@ 0 0 1 +2 +1 0xbf1c 0x1ad74c82 256 @@ -17654,6 +22068,8 @@ 0 0 0 +3 +0 1 0x12bb 0x38dbcf94 @@ -17662,6 +22078,8 @@ 0 0 0 +3 +3 2 0xe332 0xb7e053b1 @@ -17670,6 +22088,8 @@ 0 0 0 +3 +0 4 0xca6d 0xc76aa720 @@ -17678,6 +22098,8 @@ 0 0 0 +2 +5 4 0xa1b7 0xdc6226fc @@ -17686,6 +22108,8 @@ 0 0 0 +2 +3 3 0xab5 0xa7fc857a @@ -17694,6 +22118,8 @@ 0 0 0 +5 +4 3 0x9c60 0xe12e4ade @@ -17702,6 +22128,8 @@ 0 0 0 +0 +2 1 0x5da5 0x8b2833dd @@ -17710,6 +22138,8 @@ 0 0 0 +0 +1 4 0x794d 0x39cdf05c @@ -17719,6 +22149,8 @@ 0 0 2 +0 +2 0x77a8 0x728ddc39 256 @@ -17726,6 +22158,8 @@ 1 0 0 +3 +1 1 0x59ee 0x3e017848 @@ -17734,6 +22168,8 @@ 0 0 0 +0 +4 2 0xf3a0 0x23947c11 @@ -17742,6 +22178,8 @@ 0 0 0 +1 +2 3 0x510e 0x70b7a73a @@ -17751,6 +22189,8 @@ 0 0 3 +1 +3 0x1f9 0x91305051 256 @@ -17758,6 +22198,8 @@ 1 1 0 +2 +4 1 0x8064 0xf7f1e5ad @@ -17766,6 +22208,8 @@ 1 1 0 +0 +3 3 0x2baf 0xac2f0d97 @@ -17774,6 +22218,8 @@ 1 1 0 +0 +3 2 0x345e 0x4dce4706 @@ -17782,6 +22228,8 @@ 1 0 0 +4 +5 1 0x8104 0xf6fcb547 @@ -17790,6 +22238,8 @@ 0 0 0 +4 +5 2 0xfaa7 0x5c41f458 @@ -17798,6 +22248,8 @@ 0 0 0 +4 +0 3 0x67e7 0x9b7bb4f5 @@ -17806,6 +22258,8 @@ 0 0 0 +5 +0 2 0xd505 0x7f6a89fd @@ -17814,6 +22268,8 @@ 1 0 0 +1 +1 4 0x2b67 0x2a79b5f5 @@ -17823,6 +22279,8 @@ 1 0 2 +5 +2 0x714b 0xbe2166c9 256 @@ -17830,6 +22288,8 @@ 1 0 0 +5 +3 2 0x68 0xe03fa3b4 @@ -17839,6 +22299,8 @@ 1 0 1 +1 +1 0xd18f 0x553ee30e 256 @@ -17846,6 +22308,8 @@ 1 1 0 +5 +4 4 0x4de2 0xb5ac7a69 @@ -17854,6 +22318,8 @@ 1 1 0 +1 +1 3 0x217c 0x9b0ab528 @@ -17862,6 +22328,8 @@ 0 0 0 +4 +5 1 0x98cc 0x73c95681 @@ -17870,6 +22338,8 @@ 0 0 0 +4 +5 3 0x5626 0x84288e58 @@ -17878,6 +22348,8 @@ 1 0 0 +0 +5 3 0xf400 0xf85a68a6 @@ -17886,6 +22358,8 @@ 1 0 0 +5 +4 3 0xe1d7 0x8088278f @@ -17894,6 +22368,8 @@ 0 0 0 +3 +4 1 0x9301 0x98117c11 @@ -17902,6 +22378,8 @@ 0 0 0 +3 +5 2 0xd67 0xce9dc4d @@ -17910,6 +22388,8 @@ 0 0 0 +0 +2 2 0xa16d 0x78768f37 @@ -17918,6 +22398,8 @@ 1 1 0 +2 +5 3 0x334d 0xf6c0d617 @@ -17926,6 +22408,8 @@ 0 0 0 +1 +2 4 0xca55 0x5a7cca03 @@ -17934,6 +22418,8 @@ 1 0 0 +4 +4 1 0x48f4 0xc2d008a7 @@ -17942,6 +22428,8 @@ 1 0 0 +2 +5 1 0x7bfc 0xcef3ea4c @@ -17950,6 +22438,8 @@ 0 0 0 +5 +3 3 0xe88f 0x265a193d @@ -17959,6 +22449,8 @@ 0 0 1 +4 +1 0x87d1 0x31e05034 256 @@ -17966,6 +22458,8 @@ 1 0 0 +1 +5 3 0xc72c 0x44aede4c @@ -17974,6 +22468,8 @@ 1 1 0 +3 +1 4 0x3c6e 0x6f9ea39a @@ -17982,6 +22478,8 @@ 0 0 0 +0 +3 2 0xc159 0x8ea75bd1 @@ -17991,6 +22489,8 @@ 0 0 3 +4 +3 0x91dc 0x23e6936e 256 @@ -17998,6 +22498,8 @@ 0 0 0 +0 +3 2 0x6c08 0x9f741ddd @@ -18006,6 +22508,8 @@ 1 0 0 +5 +0 4 0x576 0xd666a68d @@ -18014,6 +22518,8 @@ 1 1 0 +2 +5 1 0x7fa4 0x627c52c3 @@ -18023,6 +22529,8 @@ 0 0 3 +0 +3 0x2f33 0x2eca3ec6 256 @@ -18030,6 +22538,8 @@ 0 0 0 +1 +4 2 0xc102 0x71886c07 @@ -18038,6 +22548,8 @@ 0 0 0 +5 +2 4 0xfe9e 0x413e956d @@ -18046,6 +22558,8 @@ 1 1 0 +0 +1 1 0x89e4 0x94b09ab8 @@ -18054,6 +22568,8 @@ 1 0 0 +2 +3 1 0xf69f 0xe9d1868f @@ -18062,6 +22578,8 @@ 0 0 0 +5 +1 4 0xd9d8 0x91dc3e2b @@ -18070,6 +22588,8 @@ 0 0 0 +0 +2 1 0xf7a1 0x65f375fe @@ -18078,6 +22598,8 @@ 0 0 0 +5 +5 1 0x8b53 0x5856c67d @@ -18086,6 +22608,8 @@ 0 0 0 +5 +1 1 0x567d 0x59a55cda @@ -18094,6 +22618,8 @@ 1 0 0 +2 +4 3 0x52d2 0x482e82ae @@ -18102,6 +22628,8 @@ 0 0 0 +0 +4 3 0x549a 0x508c2505 @@ -18110,6 +22638,8 @@ 0 0 0 +4 +0 3 0x4647 0xa7f30e24 @@ -18118,6 +22648,8 @@ 0 0 0 +2 +4 3 0xac5 0x6d691a4b @@ -18126,6 +22658,8 @@ 0 0 0 +0 +4 3 0x9bdd 0x1ac2f4bd @@ -18134,6 +22668,8 @@ 0 0 0 +3 +3 2 0x577c 0xe35dc0ac @@ -18142,6 +22678,8 @@ 0 0 0 +0 +1 2 0x182a 0xd1f9391c @@ -18151,6 +22689,8 @@ 1 0 1 +4 +1 0x1e5e 0xfb6e8342 256 @@ -18158,6 +22698,8 @@ 0 0 0 +4 +5 3 0xf97c 0xe14207cb @@ -18166,6 +22708,8 @@ 0 0 0 +2 +0 4 0xb3b4 0x1449275f @@ -18174,6 +22718,8 @@ 1 1 0 +3 +5 2 0xa459 0xf6ebed01 @@ -18182,6 +22728,8 @@ 1 1 0 +5 +1 2 0xfe70 0xe6fbf8e5 @@ -18190,6 +22738,8 @@ 0 0 0 +4 +2 2 0x9f51 0xdc17e9cb @@ -18198,6 +22748,8 @@ 0 0 0 +4 +3 3 0xce06 0x1a28368b @@ -18206,6 +22758,8 @@ 1 0 0 +2 +4 4 0xbc93 0xf0bdeed2 @@ -18214,6 +22768,8 @@ 0 0 0 +0 +5 2 0xa6b5 0xd566808 @@ -18222,6 +22778,8 @@ 0 0 0 +0 +3 3 0xff21 0x75df57dd @@ -18230,6 +22788,8 @@ 0 0 0 +2 +4 3 0xbb69 0xf447c5 @@ -18238,6 +22798,8 @@ 0 0 0 +3 +0 2 0x44eb 0x4c454af5 @@ -18247,6 +22809,8 @@ 0 0 1 +0 +1 0xcceb 0xc527f5ad 256 @@ -18254,6 +22818,8 @@ 1 0 0 +0 +3 3 0x78c 0x9fdfa889 @@ -18262,6 +22828,8 @@ 1 1 0 +1 +4 2 0xcab4 0x18002b3e @@ -18270,6 +22838,8 @@ 1 1 0 +5 +1 1 0xf0c 0xf19adba3 @@ -18278,6 +22848,8 @@ 0 0 0 +4 +0 1 0x946f 0x6f6239c3 @@ -18286,6 +22858,8 @@ 0 0 0 +5 +2 1 0xf5ec 0xa02f341a @@ -18294,6 +22868,8 @@ 0 0 0 +4 +4 2 0x2085 0x541b3013 @@ -18302,6 +22878,8 @@ 1 1 0 +2 +3 4 0xe076 0x902be2c8 @@ -18310,6 +22888,8 @@ 1 1 0 +3 +1 1 0x691 0x8c4771bc @@ -18318,6 +22898,8 @@ 1 1 0 +1 +5 4 0xb2bd 0x4e4e9872 @@ -18326,6 +22908,8 @@ 1 1 0 +1 +1 3 0x2a72 0x5af4d26 @@ -18334,6 +22918,8 @@ 1 1 0 +4 +3 3 0x859e 0x9f1ca04e @@ -18342,6 +22928,8 @@ 0 0 0 +1 +4 2 0xcb96 0x45079307 @@ -18350,6 +22938,8 @@ 0 0 0 +2 +4 3 0x1392 0x15e7113c @@ -18359,6 +22949,8 @@ 0 0 4 +2 +4 0x92bf 0xd3fc8784 256 @@ -18366,6 +22958,8 @@ 1 0 0 +1 +5 2 0x333d 0xfe6aafc4 @@ -18375,6 +22969,8 @@ 0 0 3 +1 +3 0xb20b 0xd6a107fb 256 @@ -18382,6 +22978,8 @@ 1 1 0 +2 +1 3 0x3a4f 0x517e9fff @@ -18390,6 +22988,8 @@ 0 0 0 +3 +4 2 0xa3ee 0x5dae00ca @@ -18398,6 +22998,8 @@ 1 1 0 +2 +3 3 0x96e 0xc8e91a9a @@ -18406,6 +23008,8 @@ 0 0 0 +1 +5 3 0xce86 0xf5b9e867 @@ -18414,6 +23018,8 @@ 0 0 0 +2 +4 1 0x8c71 0xfa90b2b8 @@ -18422,6 +23028,8 @@ 0 0 0 +5 +4 1 0x314d 0x8737fabf @@ -18430,6 +23038,8 @@ 1 0 0 +3 +1 1 0xae2d 0x5465db41 @@ -18438,6 +23048,8 @@ 1 0 0 +4 +0 3 0xe0a1 0x5c5291d9 @@ -18446,6 +23058,8 @@ 0 0 0 +5 +4 2 0x849b 0xad00fc39 @@ -18454,6 +23068,8 @@ 1 1 0 +2 +2 3 0x9870 0x538f8dd3 @@ -18462,6 +23078,8 @@ 1 1 0 +5 +3 2 0x992f 0x3ac4fb7c @@ -18470,6 +23088,8 @@ 0 0 0 +0 +1 2 0xe7e3 0x8d93f288 @@ -18478,6 +23098,8 @@ 1 0 0 +5 +3 2 0x79d4 0x5d4ac529 @@ -18486,6 +23108,8 @@ 1 0 0 +2 +3 3 0x50ab 0x1d1441ce @@ -18494,6 +23118,8 @@ 0 0 0 +4 +1 2 0x196e 0x6a226857 @@ -18502,6 +23128,8 @@ 0 0 0 +2 +1 1 0x67cc 0xebdf081e @@ -18510,6 +23138,8 @@ 0 0 0 +3 +5 2 0x2a24 0x3d8b66c2 @@ -18518,6 +23148,8 @@ 1 1 0 +5 +0 4 0x93f1 0x843acfd7 @@ -18526,6 +23158,8 @@ 1 0 0 +5 +4 1 0xa8d9 0xc0a4aeae @@ -18534,6 +23168,8 @@ 1 0 0 +0 +5 4 0xeb4c 0x601f623a @@ -18542,6 +23178,8 @@ 0 0 0 +3 +3 1 0xd51a 0x117d469 @@ -18550,6 +23188,8 @@ 0 0 0 +0 +4 1 0x9846 0xdc1dd04d @@ -18558,6 +23198,8 @@ 0 0 0 +0 +2 2 0x6c9c 0x4a453f23 @@ -18566,6 +23208,8 @@ 1 1 0 +0 +2 2 0x93db 0x6a979b5d @@ -18574,6 +23218,8 @@ 1 0 0 +1 +4 3 0xa9f3 0x789b4742 @@ -18582,6 +23228,8 @@ 1 1 0 +1 +5 4 0x5cfb 0xc0b1019a @@ -18590,6 +23238,8 @@ 1 0 0 +1 +3 4 0x5470 0x927084e4 @@ -18598,6 +23248,8 @@ 0 0 0 +2 +4 3 0x2091 0x46d70e23 @@ -18606,6 +23258,8 @@ 0 0 0 +5 +2 2 0x5994 0xd932eab @@ -18614,6 +23268,8 @@ 0 0 0 +2 +5 1 0x1f1f 0x2866761e @@ -18622,6 +23278,8 @@ 1 0 0 +0 +5 4 0xf4a3 0x5e01f7 @@ -18630,6 +23288,8 @@ 0 0 0 +3 +4 4 0x2a79 0xa6c6153b @@ -18638,6 +23298,8 @@ 1 0 0 +0 +5 2 0x3f1a 0xc64e9b7d @@ -18646,6 +23308,8 @@ 1 1 0 +4 +1 3 0x8954 0xf558db50 @@ -18654,6 +23318,8 @@ 0 0 0 +5 +0 2 0xf898 0xa297186d @@ -18662,6 +23328,8 @@ 1 0 0 +2 +0 4 0x4581 0xdde94e4b @@ -18670,6 +23338,8 @@ 1 1 0 +0 +1 2 0xe7b2 0x5dc13711 @@ -18678,6 +23348,8 @@ 1 1 0 +2 +4 3 0x6fea 0x7ecafb68 @@ -18687,6 +23359,8 @@ 0 0 4 +3 +4 0x224f 0x7ec9245a 256 @@ -18694,6 +23368,8 @@ 1 0 0 +0 +2 1 0xa71d 0x687115a @@ -18702,6 +23378,8 @@ 0 0 0 +2 +4 1 0xc5d4 0xfef0ffc5 @@ -18710,6 +23388,8 @@ 1 0 0 +1 +3 3 0x5f62 0xb49b65e0 @@ -18718,6 +23398,8 @@ 0 0 0 +4 +4 1 0x8237 0x78eec8a4 @@ -18726,6 +23408,8 @@ 1 1 0 +2 +3 4 0xb14f 0xa9d4395e @@ -18734,6 +23418,8 @@ 0 0 0 +5 +3 4 0x63a3 0x7b661d9e @@ -18742,6 +23428,8 @@ 1 1 0 +4 +5 1 0x9877 0xd1e8046 @@ -18750,6 +23438,8 @@ 1 1 0 +0 +5 1 0xcd05 0x4f86596b @@ -18758,6 +23448,8 @@ 0 0 0 +0 +1 3 0x9725 0x2d6cf391 @@ -18767,6 +23459,8 @@ 0 0 4 +4 +4 0xd65a 0x7b1712a0 256 @@ -18775,6 +23469,8 @@ 0 0 2 +2 +2 0x2627 0xf3871428 256 @@ -18783,6 +23479,8 @@ 0 0 4 +2 +4 0xb8d8 0x702aecf1 256 @@ -18790,6 +23488,8 @@ 0 0 0 +3 +4 1 0x325 0x3bfc792c @@ -18798,6 +23498,8 @@ 0 0 0 +3 +2 4 0x234b 0x167a27c9 @@ -18806,6 +23508,8 @@ 1 1 0 +0 +2 2 0xcbcc 0x756c73c4 @@ -18814,6 +23518,8 @@ 1 1 0 +0 +3 2 0xf828 0x5cf83676 @@ -18822,6 +23528,8 @@ 0 0 0 +1 +2 3 0x81f3 0x358d6ddd @@ -18831,6 +23539,8 @@ 0 0 4 +5 +4 0x438a 0x142cd9ff 256 @@ -18838,6 +23548,8 @@ 0 0 0 +4 +0 1 0xe364 0x3ee4dc8 @@ -18846,6 +23558,8 @@ 0 0 0 +4 +5 3 0xad01 0x23e18c3d @@ -18854,6 +23568,8 @@ 1 0 0 +5 +3 3 0x7964 0x7f0f06c1 @@ -18862,6 +23578,8 @@ 0 0 0 +1 +5 2 0x2c68 0x40ccd359 @@ -18870,6 +23588,8 @@ 1 1 0 +4 +5 3 0x6806 0xf989ef6c @@ -18878,6 +23598,8 @@ 0 0 0 +0 +1 1 0x48fc 0x549a68cd @@ -18886,6 +23608,8 @@ 1 1 0 +0 +0 2 0xc8c7 0x67ea270d @@ -18895,6 +23619,8 @@ 0 0 1 +2 +1 0x46bc 0xb485b79d 256 @@ -18902,6 +23628,8 @@ 0 0 0 +0 +5 4 0x815a 0x8ed2c532 @@ -18910,6 +23638,8 @@ 1 0 0 +3 +3 2 0x3ad8 0x21e41b41 @@ -18919,6 +23649,8 @@ 0 0 3 +0 +3 0x4542 0xc940c219 256 @@ -18926,6 +23658,8 @@ 1 0 0 +0 +4 4 0x8bb0 0xcad8f542 @@ -18934,6 +23668,8 @@ 1 1 0 +5 +0 3 0x6fba 0xe29e6065 @@ -18942,6 +23678,8 @@ 0 0 0 +2 +3 1 0x5c40 0x992fbc86 @@ -18950,6 +23688,8 @@ 0 0 0 +2 +2 4 0xcbbd 0x971b06ee @@ -18958,6 +23698,8 @@ 1 0 0 +0 +3 3 0x2fb0 0xd42bf3ee @@ -18966,6 +23708,8 @@ 1 0 0 +2 +5 3 0xaf24 0x7e69077e @@ -18975,6 +23719,8 @@ 0 0 4 +2 +4 0x9782 0x17fd1fe 256 @@ -18982,6 +23728,8 @@ 0 0 0 +4 +3 2 0x9f86 0x492b509 @@ -18990,6 +23738,8 @@ 0 0 0 +2 +2 1 0x2180 0x8f6bb205 @@ -18998,6 +23748,8 @@ 1 0 0 +1 +4 2 0x6118 0x746e940d @@ -19007,6 +23759,8 @@ 0 0 3 +1 +3 0xeb0a 0x5226a8e3 256 @@ -19014,6 +23768,8 @@ 1 1 0 +3 +5 1 0xe4f7 0x7c7ae5cc @@ -19022,6 +23778,8 @@ 0 0 0 +2 +0 1 0xae93 0x8ae9d298 @@ -19031,6 +23789,8 @@ 0 0 2 +4 +2 0xe1c3 0x20d1d26f 256 @@ -19038,6 +23798,8 @@ 0 0 0 +5 +5 1 0xb927 0x3670f3f1 @@ -19046,6 +23808,8 @@ 0 0 0 +2 +3 3 0x6408 0x6e8706c7 @@ -19054,6 +23818,8 @@ 1 0 0 +3 +3 4 0xfefd 0x87253ed1 @@ -19062,6 +23828,8 @@ 1 0 0 +3 +0 2 0x7a2e 0xcb6e30a2 @@ -19071,6 +23839,8 @@ 1 0 3 +0 +3 0x7dbe 0xb9dfb779 256 @@ -19078,6 +23848,8 @@ 0 0 0 +5 +0 2 0xf60e 0x308bcd35 @@ -19086,6 +23858,8 @@ 1 0 0 +4 +2 3 0x52dd 0x19549303 @@ -19095,6 +23869,8 @@ 0 0 1 +2 +1 0xd1e3 0x27e245df 256 @@ -19102,6 +23878,8 @@ 0 0 0 +0 +4 1 0xfb02 0x2fa90a21 @@ -19110,6 +23888,8 @@ 1 0 0 +0 +4 4 0x4d13 0xe98ff729 @@ -19118,6 +23898,8 @@ 0 0 0 +3 +1 2 0xba47 0xe00f9726 @@ -19126,6 +23908,8 @@ 1 0 0 +4 +5 2 0x94ba 0x8b3c14e5 @@ -19134,6 +23918,8 @@ 1 1 0 +0 +4 3 0x1394 0xa677b4ae @@ -19142,6 +23928,8 @@ 1 0 0 +0 +3 1 0xf23a 0xd866b49f @@ -19150,6 +23938,8 @@ 0 0 0 +2 +0 1 0x5c9f 0x28b1779d @@ -19159,6 +23949,8 @@ 1 0 4 +0 +4 0xc88f 0x57a5c38d 256 @@ -19166,6 +23958,8 @@ 1 1 0 +3 +3 4 0xfeb0 0xbf84c394 @@ -19174,6 +23968,8 @@ 0 0 0 +4 +4 1 0xf4b8 0x74bceb87 @@ -19182,6 +23978,8 @@ 0 0 0 +4 +2 1 0x98ff 0xfd215b28 @@ -19191,6 +23989,8 @@ 0 0 2 +1 +2 0xd30a 0xdaacb82c 256 @@ -19199,6 +23999,8 @@ 1 0 4 +4 +4 0xded 0x8832087f 256 @@ -19206,6 +24008,8 @@ 0 0 0 +1 +2 2 0xf604 0x97278d4e @@ -19214,6 +24018,8 @@ 0 0 0 +4 +4 1 0x391e 0x79d05f4a @@ -19222,6 +24028,8 @@ 0 0 0 +1 +0 3 0x3e6e 0x9be020cb @@ -19230,6 +24038,8 @@ 0 0 0 +5 +0 2 0x50c0 0x361e35b3 @@ -19238,6 +24048,8 @@ 1 1 0 +2 +2 1 0x4b63 0xd983b941 @@ -19246,6 +24058,8 @@ 0 0 0 +5 +0 1 0x6547 0x5e459058 @@ -19254,6 +24068,8 @@ 1 1 0 +4 +1 3 0xf64b 0x955b2ed4 @@ -19262,6 +24078,8 @@ 1 0 0 +5 +4 1 0x3f95 0xe51db3f @@ -19270,6 +24088,8 @@ 0 0 0 +1 +5 3 0x133a 0x6dd994a5 @@ -19278,6 +24098,8 @@ 0 0 0 +4 +5 3 0x3fc4 0x60cd49ad @@ -19286,6 +24108,8 @@ 0 0 0 +5 +1 4 0x40ca 0x6f12be82 @@ -19294,6 +24118,8 @@ 0 0 0 +1 +0 3 0xcf7c 0x8f5cb71b @@ -19302,6 +24128,8 @@ 1 0 0 +5 +2 3 0x8799 0xaae00125 @@ -19311,6 +24139,8 @@ 0 0 3 +1 +3 0x486e 0x85a17b22 256 @@ -19318,6 +24148,8 @@ 1 1 0 +5 +5 4 0xf432 0xb898bde4 @@ -19326,6 +24158,8 @@ 1 0 0 +0 +3 3 0x5dbc 0xeb05141c @@ -19334,6 +24168,8 @@ 1 0 0 +0 +0 2 0xc69d 0xeba4fd4e @@ -19343,6 +24179,8 @@ 1 0 3 +4 +3 0xecb9 0x13710e5e 256 @@ -19351,6 +24189,8 @@ 0 0 1 +0 +1 0xfe67 0x48dad31f 256 @@ -19358,6 +24198,8 @@ 0 0 0 +5 +4 2 0x1273 0x20829ad5 @@ -19366,6 +24208,8 @@ 1 0 0 +5 +0 3 0xbf7c 0x357325ff @@ -19375,6 +24219,8 @@ 0 0 2 +3 +2 0x1c0e 0xf64fa673 256 @@ -19382,6 +24228,8 @@ 0 0 0 +3 +4 2 0x3d4b 0x8e9f7bc9 @@ -19390,6 +24238,8 @@ 0 0 0 +2 +1 1 0xd46f 0xecc83bfb @@ -19398,6 +24248,8 @@ 1 1 0 +2 +5 4 0x1fc8 0x9c7ce71d @@ -19407,6 +24259,8 @@ 0 0 4 +4 +4 0x475e 0x755a1c9a 256 @@ -19414,6 +24268,8 @@ 1 0 0 +1 +1 4 0x9d70 0xed395425 @@ -19422,6 +24278,8 @@ 1 1 0 +2 +2 4 0x93e2 0x412de3aa @@ -19431,6 +24289,8 @@ 0 0 4 +3 +4 0x5f7e 0x3fbfea31 256 @@ -19438,6 +24298,8 @@ 1 1 0 +3 +5 1 0xd96e 0x708f852c @@ -19446,6 +24308,8 @@ 0 0 0 +2 +0 1 0x33c1 0xb0a908ca @@ -19454,6 +24318,8 @@ 0 0 0 +0 +4 4 0xd68f 0x3ccc37ca @@ -19462,6 +24328,8 @@ 0 0 0 +5 +1 2 0x5137 0x39bea915 @@ -19470,6 +24338,8 @@ 0 0 0 +5 +5 1 0xa292 0xbdd7f2b7 @@ -19478,6 +24348,8 @@ 1 1 0 +1 +2 3 0x58cf 0xf1b249e @@ -19486,6 +24358,8 @@ 1 0 0 +5 +3 3 0xa8e9 0x5cd1aba4 @@ -19494,6 +24368,8 @@ 1 0 0 +5 +4 1 0x795c 0x284a86d @@ -19502,6 +24378,8 @@ 1 0 0 +5 +4 2 0x2241 0x4e1ff95f @@ -19510,6 +24388,8 @@ 1 1 0 +1 +2 2 0x596c 0xb4374619 @@ -19519,6 +24399,8 @@ 0 0 4 +5 +4 0x8b10 0x558233a4 256 @@ -19526,6 +24408,8 @@ 1 0 0 +5 +0 3 0x1cd1 0x61fccfd5 @@ -19534,6 +24418,8 @@ 0 0 0 +4 +3 1 0x1985 0x8d3cadfa @@ -19542,6 +24428,8 @@ 0 0 0 +2 +2 4 0x28be 0x64689e55 @@ -19550,6 +24438,8 @@ 0 0 0 +1 +1 3 0xd634 0x2be8a648 @@ -19558,6 +24448,8 @@ 0 0 0 +5 +0 1 0x29c5 0xa1808562 @@ -19566,6 +24458,8 @@ 1 0 0 +0 +0 1 0xc410 0xf5b95a2c @@ -19574,6 +24468,8 @@ 0 0 0 +0 +5 3 0xd22b 0x8866b78b @@ -19582,6 +24478,8 @@ 1 1 0 +2 +5 4 0xbdee 0xa6a0288 @@ -19590,6 +24488,8 @@ 0 0 0 +3 +0 1 0x4263 0x12cfd8c4 @@ -19598,6 +24498,8 @@ 0 0 0 +5 +3 2 0xb658 0xca6ab6d0 @@ -19606,6 +24508,8 @@ 0 0 0 +5 +4 1 0x958c 0x69568daf @@ -19614,6 +24518,8 @@ 0 0 0 +4 +3 2 0xbeac 0xa0e11867 @@ -19622,6 +24528,8 @@ 1 0 0 +0 +5 1 0x6310 0x73a6739b @@ -19630,6 +24538,8 @@ 1 0 0 +1 +0 3 0xedba 0xe399fa86 @@ -19638,6 +24548,8 @@ 1 1 0 +5 +4 4 0xe8e8 0x3c399c02 @@ -19647,6 +24559,8 @@ 0 0 4 +5 +4 0x4df5 0xa0eeeb57 256 @@ -19654,6 +24568,8 @@ 1 0 0 +2 +3 1 0x26e9 0x636a1a8d @@ -19662,6 +24578,8 @@ 0 0 0 +3 +5 2 0xf93f 0xd3d5bb80 @@ -19670,6 +24588,8 @@ 1 0 0 +0 +2 2 0xcc1d 0xd7cc5b47 @@ -19678,6 +24598,8 @@ 1 0 0 +1 +4 4 0x23a7 0xa11032b @@ -19686,6 +24608,8 @@ 1 0 0 +2 +2 4 0x3eab 0x80c01705 @@ -19694,6 +24618,8 @@ 1 1 0 +3 +3 1 0xc729 0xe78f3342 @@ -19702,6 +24628,8 @@ 1 1 0 +4 +2 2 0xab23 0xc91ec067 @@ -19710,6 +24638,8 @@ 1 1 0 +3 +5 1 0xc055 0xe9561194 @@ -19719,6 +24649,8 @@ 1 0 1 +2 +1 0xc6d2 0xd97bf04b 256 @@ -19726,6 +24658,8 @@ 1 1 0 +3 +4 4 0xac7c 0xe5778bef @@ -19734,6 +24668,8 @@ 1 1 0 +1 +4 4 0x8362 0xebbc37db @@ -19742,6 +24678,8 @@ 1 0 0 +5 +4 4 0x28c0 0x8ca029b5 @@ -19750,6 +24688,8 @@ 0 0 0 +5 +5 2 0x6a0c 0x6f01a68d @@ -19758,6 +24698,8 @@ 1 0 0 +3 +0 1 0x9be0 0x6ea5ff8f @@ -19766,6 +24708,8 @@ 1 1 0 +3 +5 1 0xae35 0x6c1281fc @@ -19774,6 +24718,8 @@ 1 1 0 +3 +0 2 0xb55c 0x8e025d12 @@ -19782,6 +24728,8 @@ 0 0 0 +2 +1 3 0x1604 0xdd841921 @@ -19790,6 +24738,8 @@ 1 1 0 +4 +0 2 0x3381 0x35435bf6 @@ -19798,6 +24748,8 @@ 0 0 0 +4 +2 1 0x7fd3 0xc3efd918 @@ -19806,6 +24758,8 @@ 1 1 0 +3 +5 1 0x20ae 0x23af44dd @@ -19814,6 +24768,8 @@ 1 0 0 +0 +3 4 0xbc16 0x80a06245 @@ -19822,6 +24778,8 @@ 1 0 0 +3 +1 1 0xb02f 0x3d7b211 @@ -19830,6 +24788,8 @@ 0 0 0 +1 +4 4 0x5310 0xfd7015cd @@ -19838,6 +24798,8 @@ 0 0 0 +3 +5 1 0xda0 0x289b447a @@ -19846,6 +24808,8 @@ 1 1 0 +5 +0 2 0xa669 0x9d736619 @@ -19854,6 +24818,8 @@ 0 0 0 +1 +3 4 0x69f1 0x778490e @@ -19862,6 +24828,8 @@ 0 0 0 +2 +5 3 0xa19b 0x2e7efb0 @@ -19871,6 +24839,8 @@ 1 0 3 +0 +3 0xd652 0x6526c4ef 256 @@ -19878,6 +24848,8 @@ 1 0 0 +1 +5 3 0x6099 0xfca7ff5b @@ -19886,6 +24858,8 @@ 1 0 0 +1 +0 2 0xef3f 0x25115adf @@ -19894,6 +24868,8 @@ 0 0 0 +1 +5 3 0xeec5 0x1036f6cb @@ -19902,6 +24878,8 @@ 0 0 0 +3 +1 1 0xeec4 0x6d80ffc0 @@ -19911,6 +24889,8 @@ 0 0 1 +3 +1 0xf3cb 0xb0cf8902 256 @@ -19919,6 +24899,8 @@ 0 0 1 +0 +1 0xac76 0x822d140c 256 @@ -19926,6 +24908,8 @@ 0 0 0 +5 +5 2 0x4b88 0x5140a9b8 @@ -19935,6 +24919,8 @@ 0 0 3 +3 +3 0x6fc1 0x94913b5e 256 @@ -19943,6 +24929,8 @@ 1 0 2 +3 +2 0x3d38 0x5e7dc712 256 @@ -19950,6 +24938,8 @@ 0 0 0 +2 +3 4 0xf0fc 0xb83b05d2 @@ -19958,6 +24948,8 @@ 1 0 0 +0 +1 3 0x9557 0xb565b15c @@ -19966,6 +24958,8 @@ 0 0 0 +5 +2 2 0x9735 0x27cf9936 @@ -19974,6 +24968,8 @@ 1 0 0 +1 +5 3 0xc14c 0xc56f1ad1 @@ -19982,6 +24978,8 @@ 0 0 0 +4 +1 3 0x2d74 0x3b42feff @@ -19990,6 +24988,8 @@ 1 0 0 +1 +1 3 0xa06 0x6b10b6f4 @@ -19998,6 +24998,8 @@ 1 1 0 +0 +4 1 0x2297 0x9a66b7b5 @@ -20006,6 +25008,8 @@ 0 0 0 +1 +0 4 0x3cd 0x4d7e66e @@ -20014,6 +25018,8 @@ 1 0 0 +1 +3 3 0xf2c5 0xe141ed45 @@ -20023,6 +25029,8 @@ 1 0 4 +1 +4 0x4ff9 0x23a392f3 256 @@ -20030,6 +25038,8 @@ 0 0 0 +1 +0 3 0xe7d8 0x3247078d @@ -20038,6 +25048,8 @@ 0 0 0 +1 +5 4 0xfc1c 0x4fc736db @@ -20046,6 +25058,8 @@ 1 0 0 +4 +1 3 0x7012 0x8f8c66bb @@ -20054,6 +25068,8 @@ 0 0 0 +0 +2 2 0xc0d6 0xb8ebc6f @@ -20062,6 +25078,8 @@ 1 1 0 +5 +5 4 0x7b78 0x5dba2d86 @@ -20070,6 +25088,8 @@ 1 1 0 +5 +3 4 0x4c1b 0x3c8257b5 @@ -20079,6 +25099,8 @@ 1 0 4 +4 +4 0xe657 0x220e9e2a 256 @@ -20086,6 +25108,8 @@ 0 0 0 +1 +1 3 0x195a 0xe130fa33 @@ -20094,6 +25118,8 @@ 0 0 0 +2 +0 1 0xa2c 0x398de59c @@ -20102,6 +25128,8 @@ 0 0 0 +0 +3 3 0x1da1 0xacb538bd @@ -20110,6 +25138,8 @@ 0 0 0 +4 +2 2 0x437f 0xe819b727 @@ -20119,6 +25149,8 @@ 0 0 4 +0 +4 0xa54c 0x2b359221 256 @@ -20126,6 +25158,8 @@ 0 0 0 +2 +0 3 0x32e3 0x2adf4e5f @@ -20134,6 +25168,8 @@ 0 0 0 +0 +4 4 0x3492 0x6a953c21 @@ -20142,6 +25178,8 @@ 0 0 0 +0 +2 2 0x63b5 0x2ef8fa68 @@ -20151,6 +25189,8 @@ 0 0 1 +1 +1 0xec5 0x14f13a03 256 @@ -20159,6 +25199,8 @@ 0 0 1 +2 +1 0xe433 0x6b856ecc 256 @@ -20166,6 +25208,8 @@ 1 1 0 +2 +5 3 0x34b 0x378b037 @@ -20174,6 +25218,8 @@ 1 1 0 +2 +5 1 0x16c 0x5b1aab37 @@ -20182,6 +25228,8 @@ 0 0 0 +5 +3 3 0x5067 0x6eee4ad5 @@ -20190,6 +25238,8 @@ 1 1 0 +2 +3 4 0x377c 0x758d9d2c @@ -20198,6 +25248,8 @@ 1 1 0 +0 +4 4 0xdd1f 0x3d187076 @@ -20206,6 +25258,8 @@ 0 0 0 +0 +2 3 0xd7b7 0xa46b9924 @@ -20214,6 +25268,8 @@ 0 0 0 +4 +3 3 0x182c 0xb7fe8581 @@ -20222,6 +25278,8 @@ 0 0 0 +0 +3 1 0xbd14 0xd56a245b @@ -20231,6 +25289,8 @@ 0 0 3 +2 +3 0x17b 0x859376e 256 @@ -20238,6 +25298,8 @@ 1 1 0 +2 +0 4 0xd93d 0x9d1e5acf @@ -20246,6 +25308,8 @@ 0 0 0 +4 +1 3 0xe159 0x594c0cfa @@ -20254,6 +25318,8 @@ 0 0 0 +4 +0 2 0x128e 0x3e6aeecb @@ -20262,6 +25328,8 @@ 1 0 0 +2 +4 4 0xee7a 0xb1214019 @@ -20271,6 +25339,8 @@ 0 0 1 +0 +1 0x288a 0x57c3bf63 256 @@ -20279,6 +25349,8 @@ 0 0 1 +4 +1 0x477a 0xae966e88 256 @@ -20287,6 +25359,8 @@ 0 0 3 +5 +3 0x1f86 0x9e85e7a2 256 @@ -20295,6 +25369,8 @@ 0 0 1 +2 +1 0xb8fe 0xfe72985 256 @@ -20302,6 +25378,8 @@ 0 0 0 +1 +4 2 0x6038 0x6d1e20ee @@ -20310,6 +25388,8 @@ 0 0 0 +4 +0 3 0xeab3 0x9311d554 @@ -20318,6 +25398,8 @@ 1 0 0 +4 +4 1 0xe5c6 0x26fc4cdb @@ -20326,6 +25408,8 @@ 1 0 0 +2 +0 3 0x8e5f 0xcbdc6fa4 @@ -20335,6 +25419,8 @@ 0 0 1 +3 +1 0xf4c2 0x60b29192 256 @@ -20342,6 +25428,8 @@ 0 0 0 +4 +5 3 0xe3f 0x1b62197a @@ -20350,6 +25438,8 @@ 0 0 0 +1 +0 2 0x9321 0x586e1d02 @@ -20358,6 +25448,8 @@ 1 1 0 +4 +0 3 0x1fc8 0x4cc5998d @@ -20366,6 +25458,8 @@ 1 0 0 +4 +1 2 0xa4cb 0x51a494b1 @@ -20375,6 +25469,8 @@ 1 0 4 +0 +4 0xf10c 0x2854649c 256 @@ -20382,6 +25478,8 @@ 0 0 0 +2 +1 3 0x39a0 0x658861d1 @@ -20390,6 +25488,8 @@ 0 0 0 +3 +0 2 0x39e1 0x5ba4a634 @@ -20398,6 +25498,8 @@ 0 0 0 +0 +3 4 0x288c 0x60fd9690 @@ -20406,6 +25508,8 @@ 1 1 0 +5 +0 3 0xebee 0xc42036a2 @@ -20415,6 +25519,8 @@ 0 0 3 +5 +3 0x4d9e 0x50e8566c 256 @@ -20423,6 +25529,8 @@ 0 0 1 +0 +1 0xc50a 0x110a50a9 256 @@ -20431,6 +25539,8 @@ 0 0 2 +1 +2 0xdc4e 0x307cabcb 256 @@ -20438,6 +25548,8 @@ 0 0 0 +4 +0 2 0x4563 0xe604f2a0 @@ -20446,6 +25558,8 @@ 1 1 0 +0 +5 1 0xe924 0xc7912d89 @@ -20454,6 +25568,8 @@ 0 0 0 +4 +4 1 0x1330 0xea3949d7 @@ -20462,6 +25578,8 @@ 1 0 0 +4 +1 3 0x60a8 0x125a8dde @@ -20470,6 +25588,8 @@ 0 0 0 +2 +3 1 0xc109 0xb5b3423f @@ -20478,6 +25598,8 @@ 0 0 0 +4 +1 3 0x2bb1 0x18f2a6fa @@ -20487,6 +25609,8 @@ 0 0 4 +1 +4 0x43fa 0xc8155ae4 256 @@ -20494,6 +25618,8 @@ 1 0 0 +3 +5 4 0xc487 0x9262e1bc @@ -20502,6 +25628,8 @@ 1 0 0 +1 +4 2 0x7afa 0xecf36742 @@ -20510,6 +25638,8 @@ 1 1 0 +5 +0 2 0xe98e 0x412eadd3 @@ -20518,6 +25648,8 @@ 1 1 0 +5 +0 3 0x9484 0x7ac4cbe4 @@ -20526,6 +25658,8 @@ 0 0 0 +0 +1 4 0x7a3f 0x823c7c3e @@ -20534,6 +25668,8 @@ 1 1 0 +4 +3 2 0x8ab2 0x9c308434 @@ -20542,6 +25678,8 @@ 0 0 0 +1 +4 3 0x1f0 0x1d80956a @@ -20550,6 +25688,8 @@ 1 1 0 +1 +0 2 0x66f4 0x7990761a @@ -20559,6 +25699,8 @@ 1 0 1 +1 +1 0x304f 0x377f37c4 256 @@ -20566,6 +25708,8 @@ 1 1 0 +0 +5 4 0x421b 0x998eb501 @@ -20574,6 +25718,8 @@ 1 0 0 +1 +0 4 0x5a5b 0xa35356e8 @@ -20582,6 +25728,8 @@ 1 1 0 +1 +2 4 0x27f7 0x5df370a5 @@ -20590,6 +25738,8 @@ 0 0 0 +0 +5 4 0x6f89 0x876b5625 @@ -20598,6 +25748,8 @@ 1 1 0 +4 +1 1 0x3b33 0x9559b0fa @@ -20606,6 +25758,8 @@ 0 0 0 +0 +5 3 0x2793 0xfa65543 @@ -20614,6 +25768,8 @@ 1 0 0 +3 +0 4 0xd061 0xef1e0b54 @@ -20622,6 +25778,8 @@ 1 1 0 +1 +0 4 0x141f 0x46633cdb @@ -20630,6 +25788,8 @@ 0 0 0 +0 +5 3 0x9bd5 0x239e4adc @@ -20638,6 +25798,8 @@ 1 0 0 +2 +3 1 0x6463 0x1291c24b @@ -20647,6 +25809,8 @@ 0 0 1 +4 +1 0x3a7e 0xe912f25e 256 @@ -20654,6 +25818,8 @@ 1 0 0 +2 +4 3 0xd21c 0x7211cc52 @@ -20662,6 +25828,8 @@ 0 0 0 +5 +0 3 0x84aa 0xae9adc57 @@ -20671,6 +25839,8 @@ 0 0 3 +1 +3 0xf55d 0x12ca7421 256 @@ -20679,6 +25849,8 @@ 0 0 4 +3 +4 0x9681 0x2ff9c671 256 @@ -20686,6 +25858,8 @@ 1 1 0 +0 +0 1 0xbce 0x70f369e8 @@ -20694,6 +25868,8 @@ 0 0 0 +3 +3 4 0xa3fc 0xee9930e2 @@ -20702,6 +25878,8 @@ 1 1 0 +2 +1 1 0x3ead 0xccfe3b28 @@ -20710,6 +25888,8 @@ 0 0 0 +0 +5 3 0x3143 0x84d0c5a4 @@ -20718,6 +25898,8 @@ 1 1 0 +3 +1 1 0xb8b2 0xc3d2cd8 @@ -20726,6 +25908,8 @@ 0 0 0 +0 +5 3 0xf47c 0xc263e4aa @@ -20734,6 +25918,8 @@ 0 0 0 +3 +5 1 0xd1b9 0xd8ee92f7 @@ -20742,6 +25928,8 @@ 0 0 0 +2 +0 3 0x84a 0x5612bca4 @@ -20750,6 +25938,8 @@ 1 1 0 +5 +5 4 0x8 0x975a0b64 @@ -20758,6 +25948,8 @@ 1 0 0 +1 +1 3 0x1e74 0x68aeb4ff @@ -20766,6 +25958,8 @@ 1 1 0 +0 +0 3 0xc348 0xa927a0c3 @@ -20774,6 +25968,8 @@ 1 1 0 +2 +0 1 0x6815 0x7c688b82 @@ -20782,6 +25978,8 @@ 1 1 0 +0 +5 4 0xb0b8 0xb233617 @@ -20791,6 +25989,8 @@ 0 0 3 +1 +3 0x8bb1 0xba4d8acd 256 @@ -20798,6 +25998,8 @@ 0 0 0 +5 +0 4 0x844b 0x37761229 @@ -20806,6 +26008,8 @@ 1 1 0 +4 +5 2 0x2303 0xe9ba013a @@ -20814,6 +26018,8 @@ 0 0 0 +0 +5 2 0x2986 0xf3af19ed @@ -20822,6 +26028,8 @@ 1 0 0 +5 +4 4 0xb884 0x2f444a75 @@ -20831,6 +26039,8 @@ 0 0 1 +2 +1 0x9b97 0xfe82bb86 256 @@ -20839,6 +26049,8 @@ 0 0 4 +1 +4 0x1c2f 0xa264deb4 256 @@ -20846,6 +26058,8 @@ 1 0 0 +3 +1 4 0xcad5 0x6a5cf169 @@ -20854,6 +26068,8 @@ 0 0 0 +2 +3 3 0xd0ff 0x611ed075 @@ -20862,6 +26078,8 @@ 0 0 0 +0 +5 4 0x84f2 0x1bcffd4a @@ -20870,6 +26088,8 @@ 1 0 0 +1 +0 4 0x7f4d 0x4086222b @@ -20878,6 +26098,8 @@ 0 0 0 +5 +5 1 0x6cae 0xa5aac24e @@ -20886,6 +26108,8 @@ 0 0 0 +5 +3 4 0x5ad6 0xa1bc89ee @@ -20894,6 +26118,8 @@ 0 0 0 +0 +5 3 0x3f18 0xbe1e8b08 @@ -20902,6 +26128,8 @@ 1 1 0 +5 +3 3 0x4a33 0xf7fbff37 @@ -20910,6 +26138,8 @@ 1 1 0 +5 +2 4 0x42a5 0xc1514a07 @@ -20918,6 +26148,8 @@ 0 0 0 +5 +2 2 0xeb26 0x7f37184b @@ -20926,6 +26158,8 @@ 0 0 0 +1 +5 4 0x625f 0xad10ee2b @@ -20934,6 +26168,8 @@ 1 0 0 +4 +0 3 0x260d 0xc61e588 @@ -20942,6 +26178,8 @@ 1 1 0 +5 +4 3 0xa28 0x75bdc610 @@ -20951,6 +26189,8 @@ 0 0 4 +5 +4 0xbe83 0x6fee081a 256 @@ -20958,6 +26198,8 @@ 1 1 0 +2 +1 1 0x400d 0x1395de05 @@ -20966,6 +26208,8 @@ 0 0 0 +1 +5 2 0xa8dc 0xb8803a58 @@ -20974,6 +26218,8 @@ 1 0 0 +4 +0 2 0x45ea 0x14ea4327 @@ -20982,6 +26228,8 @@ 1 0 0 +3 +0 2 0xb971 0x9eb0e0be @@ -20990,6 +26238,8 @@ 0 0 0 +2 +2 4 0xbea3 0x8feedf20 @@ -20998,6 +26248,8 @@ 1 1 0 +3 +5 1 0x8905 0x49d0150e @@ -21006,6 +26258,8 @@ 0 0 0 +0 +1 2 0x828a 0x6652636 @@ -21015,6 +26269,8 @@ 0 0 4 +0 +4 0x1733 0x7f141403 256 @@ -21022,6 +26278,8 @@ 1 1 0 +2 +2 3 0x1ee1 0xb62e31e7 @@ -21030,6 +26288,8 @@ 0 0 0 +0 +5 3 0x92dd 0xca0bc474 @@ -21038,6 +26298,8 @@ 0 0 0 +1 +0 2 0xdb5a 0x6d6f8286 @@ -21046,6 +26308,8 @@ 1 1 0 +3 +1 1 0x7a7 0x52e4e057 @@ -21054,6 +26318,8 @@ 1 1 0 +0 +1 1 0x68fe 0xea3cf39e @@ -21063,6 +26329,8 @@ 0 0 4 +1 +4 0x82c8 0x2e2779b4 256 @@ -21070,6 +26338,8 @@ 0 0 0 +5 +5 3 0xee2a 0x3a4c8cbc @@ -21079,6 +26349,8 @@ 0 0 4 +0 +4 0xd5b9 0x6f0063b5 256 @@ -21086,6 +26358,8 @@ 0 0 0 +4 +2 1 0xf0a0 0xa171f3ec @@ -21095,6 +26369,8 @@ 0 0 2 +3 +2 0x9d97 0xfea18e19 256 @@ -21102,6 +26378,8 @@ 0 0 0 +2 +0 3 0x2712 0x8ff1efa1 @@ -21110,6 +26388,8 @@ 0 0 0 +4 +3 2 0x8747 0x8a4b0e7d @@ -21118,6 +26398,8 @@ 0 0 0 +2 +5 4 0x7e1d 0x1481bb38 @@ -21126,6 +26408,8 @@ 0 0 0 +5 +1 3 0xcb2d 0xfccc9fd0 @@ -21134,6 +26418,8 @@ 1 1 0 +5 +1 3 0xcbd3 0xb30e948f @@ -21142,6 +26428,8 @@ 1 1 0 +2 +2 3 0xea77 0x9e513b30 @@ -21150,6 +26438,8 @@ 0 0 0 +4 +3 3 0x2ddf 0x2639510f @@ -21158,6 +26448,8 @@ 0 0 0 +5 +0 4 0xfbf3 0xf3d25a4 @@ -21166,6 +26458,8 @@ 0 0 0 +0 +0 1 0xc360 0xe11301de @@ -21175,6 +26469,8 @@ 0 0 2 +4 +2 0x84cf 0x7289f963 256 @@ -21183,6 +26479,8 @@ 1 0 3 +4 +3 0x2183 0x6d379721 256 @@ -21190,6 +26488,8 @@ 0 0 0 +1 +3 4 0x893a 0x5f10002b @@ -21198,6 +26498,8 @@ 0 0 0 +5 +1 2 0x5d68 0x8004be46 @@ -21206,6 +26508,8 @@ 0 0 0 +0 +4 2 0xdd67 0xede559a9 @@ -21214,6 +26518,8 @@ 1 1 0 +1 +2 3 0xce0b 0x5800cec1 @@ -21223,6 +26529,8 @@ 1 0 2 +0 +2 0xf471 0x96fa46a7 256 @@ -21230,6 +26538,8 @@ 1 1 0 +4 +0 2 0xa759 0x3d4b2763 @@ -21238,6 +26548,8 @@ 0 0 0 +2 +5 1 0xac9d 0x605e9613 @@ -21246,6 +26558,8 @@ 1 1 0 +2 +1 4 0x370 0x3f0fa40d @@ -21254,6 +26568,8 @@ 0 0 0 +0 +3 1 0x266a 0xbb7750f8 @@ -21263,6 +26579,8 @@ 0 0 1 +2 +1 0x18eb 0xd3ef3fc 256 @@ -21270,6 +26588,8 @@ 1 0 0 +5 +2 2 0xd59 0x339810c9 @@ -21279,6 +26599,8 @@ 0 0 2 +3 +2 0xcd53 0x34a48857 256 @@ -21286,6 +26608,8 @@ 1 1 0 +5 +0 4 0xaf7c 0x4461c0dd @@ -21294,6 +26618,8 @@ 1 1 0 +0 +1 1 0xc02 0x5f1eb93b @@ -21302,6 +26628,8 @@ 0 0 0 +1 +0 2 0x5b1f 0xeba05802 @@ -21310,6 +26638,8 @@ 0 0 0 +5 +5 1 0x6a70 0xa68a139c @@ -21318,6 +26648,8 @@ 1 1 0 +3 +4 1 0x399d 0x88eadca3 @@ -21326,6 +26658,8 @@ 1 1 0 +0 +1 2 0x5417 0xbc98a1c0 @@ -21334,6 +26668,8 @@ 1 0 0 +5 +2 1 0x3633 0x762592b2 @@ -21342,6 +26678,8 @@ 0 0 0 +0 +1 1 0x7eaa 0xdc78138c @@ -21350,6 +26688,8 @@ 0 0 0 +4 +1 3 0xb7f1 0x6a7f813 @@ -21358,6 +26698,8 @@ 1 1 0 +0 +3 2 0x126c 0x6a626334 @@ -21366,6 +26708,8 @@ 0 0 0 +2 +5 4 0xc43 0xfbbd57b7 @@ -21374,6 +26718,8 @@ 1 1 0 +3 +4 1 0x4c03 0x23548ece @@ -21382,6 +26728,8 @@ 1 0 0 +5 +5 1 0xe348 0x3faa5328 @@ -21390,6 +26738,8 @@ 0 0 0 +0 +1 1 0xdad9 0x2ae336c1 @@ -21398,6 +26748,8 @@ 1 0 0 +3 +0 2 0x5317 0xddb9d9ca @@ -21406,6 +26758,8 @@ 1 0 0 +5 +4 4 0x2595 0xbce45eb3 @@ -21414,6 +26768,8 @@ 0 0 0 +0 +2 4 0x6a0 0x531d7fd @@ -21422,6 +26778,8 @@ 1 1 0 +5 +2 2 0x4ff3 0xef05b713 @@ -21430,6 +26788,8 @@ 1 1 0 +5 +3 1 0xba22 0x6d344268 @@ -21438,6 +26798,8 @@ 1 0 0 +1 +3 4 0x9be4 0x3c702b69 @@ -21446,6 +26808,8 @@ 0 0 0 +2 +3 1 0x9325 0x881343b @@ -21454,6 +26818,8 @@ 1 1 0 +2 +3 4 0x84db 0xb4808618 @@ -21463,6 +26829,8 @@ 1 0 2 +2 +2 0x9c39 0xca8de294 256 @@ -21470,6 +26838,8 @@ 0 0 0 +5 +5 1 0x8104 0xa985e048 @@ -21478,6 +26848,8 @@ 0 0 0 +3 +3 1 0x1515 0xa7c149b7 @@ -21486,6 +26858,8 @@ 0 0 0 +3 +3 4 0x5de2 0xb4221a07 @@ -21494,6 +26868,8 @@ 1 0 0 +4 +0 3 0x29d2 0xed013b89 @@ -21502,6 +26878,8 @@ 1 0 0 +5 +5 3 0xe70d 0xb14a4b8c @@ -21510,6 +26888,8 @@ 1 1 0 +4 +0 3 0x1c4b 0xbe869efa @@ -21518,6 +26898,8 @@ 0 0 0 +4 +5 2 0x7900 0x53dc7ba6 @@ -21526,6 +26908,8 @@ 1 0 0 +0 +3 4 0x25f5 0x51575ce6 @@ -21534,6 +26918,8 @@ 0 0 0 +5 +5 1 0xfb07 0x1517272f @@ -21542,6 +26928,8 @@ 0 0 0 +2 +5 3 0xe5f5 0x8c14a053 @@ -21550,6 +26938,8 @@ 1 0 0 +3 +2 2 0xf10a 0x49719ff4 @@ -21558,6 +26948,8 @@ 0 0 0 +0 +0 3 0x1887 0x49333f6c @@ -21567,6 +26959,8 @@ 1 0 4 +3 +4 0xbd27 0x4a1a1f30 256 @@ -21574,6 +26968,8 @@ 0 0 0 +2 +4 3 0x3f8a 0x36b9b9d3 @@ -21582,6 +26978,8 @@ 1 1 0 +3 +2 1 0x957b 0x7f6776d3 @@ -21590,6 +26988,8 @@ 0 0 0 +2 +4 4 0x3a3f 0x2ab0d9c0 @@ -21598,6 +26998,8 @@ 1 0 0 +5 +5 1 0xbccc 0x6955de20 @@ -21606,6 +27008,8 @@ 1 1 0 +3 +4 2 0x1d3b 0x7befc98d @@ -21615,6 +27019,8 @@ 1 0 2 +1 +2 0xdd2 0xfe206f76 256 @@ -21623,6 +27029,8 @@ 0 0 1 +3 +1 0x8364 0xf00696ba 256 @@ -21631,6 +27039,8 @@ 0 0 2 +0 +2 0xb46f 0x7facd850 256 @@ -21638,6 +27048,8 @@ 0 0 0 +3 +4 4 0xabc 0xea1e3ec1 @@ -21646,6 +27058,8 @@ 0 0 0 +0 +4 3 0xd91 0xe676f800 @@ -21654,6 +27068,8 @@ 0 0 0 +3 +0 1 0x3f94 0x38b6ef87 @@ -21663,6 +27079,8 @@ 0 0 2 +2 +2 0x85e1 0x36caee26 256 @@ -21671,6 +27089,8 @@ 0 0 2 +5 +2 0x334c 0x979b747a 256 @@ -21678,6 +27098,8 @@ 0 0 0 +2 +3 4 0x234 0xcd763648 @@ -21686,6 +27108,8 @@ 0 0 0 +0 +5 2 0xd041 0x1d166896 @@ -21695,6 +27119,8 @@ 0 0 4 +5 +4 0x5d0e 0x35509442 256 @@ -21702,6 +27128,8 @@ 1 0 0 +5 +3 2 0x5247 0x558c345b @@ -21710,6 +27138,8 @@ 0 0 0 +3 +3 1 0xf253 0xa813c2a7 @@ -21718,6 +27148,8 @@ 1 0 0 +5 +5 4 0x7a42 0xd6364733 @@ -21726,6 +27158,8 @@ 0 0 0 +1 +5 2 0xf17 0x4f090e7e @@ -21734,6 +27168,8 @@ 1 0 0 +4 +5 1 0xf58d 0x23d4323a @@ -21743,6 +27179,8 @@ 1 0 1 +4 +1 0x6574 0xbf18b089 256 @@ -21750,6 +27188,8 @@ 0 0 0 +4 +5 3 0x7719 0xab199ca6 @@ -21758,6 +27198,8 @@ 0 0 0 +3 +0 4 0xb2d1 0xefb797c9 @@ -21766,6 +27208,8 @@ 0 0 0 +3 +0 2 0x172f 0xc6af82c5 @@ -21774,6 +27218,8 @@ 1 0 0 +1 +5 3 0x2f90 0x3f2806b @@ -21782,6 +27228,8 @@ 1 1 0 +4 +0 2 0x2959 0x4f303a9c @@ -21790,6 +27238,8 @@ 1 0 0 +2 +5 4 0x251b 0x6c6661ee @@ -21798,6 +27248,8 @@ 0 0 0 +4 +5 2 0xbd90 0xb5246ce6 @@ -21806,6 +27258,8 @@ 0 0 0 +5 +4 2 0xd6f5 0x5c38821a @@ -21814,6 +27268,8 @@ 0 0 0 +5 +3 3 0x615b 0x7051e5e8 @@ -21822,6 +27278,8 @@ 0 0 0 +5 +2 3 0x9dbf 0x983924a2 @@ -21830,6 +27288,8 @@ 1 1 0 +2 +3 4 0xb37b 0xc1640d82 @@ -21838,6 +27298,8 @@ 1 1 0 +5 +1 4 0x2c72 0x2c175b1d @@ -21846,6 +27308,8 @@ 0 0 0 +0 +3 1 0x375a 0xdb0e3c09 @@ -21854,6 +27318,8 @@ 0 0 0 +4 +5 2 0x454e 0xfba033d @@ -21862,6 +27328,8 @@ 0 0 0 +0 +4 1 0x163b 0xec9c9410 @@ -21871,6 +27339,8 @@ 0 0 2 +4 +2 0xce26 0xd76d26d9 256 @@ -21878,6 +27348,8 @@ 1 1 0 +2 +3 4 0x4f6d 0x9cb0beee @@ -21886,6 +27358,8 @@ 1 1 0 +5 +1 2 0x7f64 0xce9ab5df @@ -21894,6 +27368,8 @@ 1 0 0 +2 +0 4 0xdaad 0x888d7026 @@ -21903,6 +27379,8 @@ 1 0 4 +3 +4 0x5e88 0xdd50b471 256 @@ -21910,6 +27388,8 @@ 0 0 0 +2 +3 3 0x4c4 0xc8f988ed @@ -21918,6 +27398,8 @@ 1 1 0 +1 +5 2 0x7278 0x95a70020 @@ -21926,6 +27408,8 @@ 1 0 0 +1 +0 4 0x82ce 0x38b25e7 @@ -21934,6 +27418,8 @@ 0 0 0 +0 +1 2 0x4a81 0x84968612 @@ -21942,6 +27428,8 @@ 1 1 0 +0 +4 1 0xce69 0xfced771f @@ -21950,6 +27438,8 @@ 1 1 0 +3 +0 2 0xaeac 0xada5a947 @@ -21958,6 +27448,8 @@ 1 0 0 +1 +2 2 0x1823 0x196ed215 @@ -21966,6 +27458,8 @@ 0 0 0 +1 +2 3 0x80a9 0x35db037c @@ -21974,6 +27468,8 @@ 0 0 0 +3 +0 1 0xfab2 0x3434a506 @@ -21982,6 +27478,8 @@ 0 0 0 +4 +4 3 0x261b 0x7becd3e4 @@ -21990,6 +27488,8 @@ 0 0 0 +4 +4 3 0xe8ce 0x8a89299b @@ -21998,6 +27498,8 @@ 0 0 0 +1 +5 2 0x3739 0xf7c1c6e8 @@ -22007,6 +27509,8 @@ 0 0 3 +4 +3 0x4328 0x622c7c92 256 @@ -22014,6 +27518,8 @@ 0 0 0 +5 +4 4 0x68c9 0x4454e6c5 @@ -22022,6 +27528,8 @@ 0 0 0 +4 +0 2 0xfb89 0xbbbd0211 @@ -22030,6 +27538,8 @@ 1 1 0 +1 +0 2 0xe518 0xb0e7115f @@ -22038,6 +27548,8 @@ 1 1 0 +3 +3 2 0xa21e 0x9f67cd14 @@ -22046,6 +27558,8 @@ 1 1 0 +4 +1 2 0x147f 0x84e41069 @@ -22054,6 +27568,8 @@ 0 0 0 +0 +3 4 0xcb1 0xfeef8403 @@ -22062,6 +27578,8 @@ 0 0 0 +1 +2 2 0xa5d2 0x6b89302d @@ -22070,6 +27588,8 @@ 0 0 0 +1 +4 3 0x10de 0x9392278a @@ -22078,6 +27598,8 @@ 1 1 0 +0 +1 2 0x7509 0x33d9aea6 @@ -22086,6 +27608,8 @@ 0 0 0 +4 +1 1 0xa7f7 0xd1142ecb @@ -22095,6 +27619,8 @@ 0 0 4 +0 +4 0x7cf6 0xbc15462e 256 @@ -22103,6 +27629,8 @@ 0 0 3 +3 +3 0x2d1c 0xe8258632 256 @@ -22111,6 +27639,8 @@ 0 0 2 +3 +2 0x2e3b 0xa482043b 256 @@ -22118,6 +27648,8 @@ 1 0 0 +5 +5 1 0xe6dd 0x3be79e68 @@ -22126,6 +27658,8 @@ 0 0 0 +3 +2 2 0x710a 0xe599a8c8 @@ -22134,6 +27668,8 @@ 0 0 0 +1 +1 2 0x40fd 0xf28b6245 @@ -22143,6 +27679,8 @@ 0 0 3 +2 +3 0x3bf 0x4087ad4 256 @@ -22150,6 +27688,8 @@ 0 0 0 +1 +3 4 0x697a 0x6f2d8c10 @@ -22159,6 +27699,8 @@ 0 0 3 +0 +3 0xd23a 0xfe1dbbc6 256 @@ -22167,6 +27709,8 @@ 0 0 3 +2 +3 0xb516 0xa7cecbd4 256 @@ -22174,6 +27718,8 @@ 0 0 0 +2 +4 1 0xb21a 0xd2c2795e @@ -22182,6 +27728,8 @@ 0 0 0 +1 +4 4 0xa8d1 0x4571413 @@ -22190,6 +27738,8 @@ 1 1 0 +5 +1 3 0xf4d6 0x2ff6ace5 @@ -22199,7 +27749,9 @@ 0 0 4 -0xd7a2 +4 +4 +0xd7a2 0x6ce80d6 256 256 @@ -22207,6 +27759,8 @@ 0 0 2 +1 +2 0x2910 0xdb09d0d7 256 @@ -22215,6 +27769,8 @@ 0 0 4 +4 +4 0xec46 0x2567a5b1 256 @@ -22222,6 +27778,8 @@ 0 0 0 +2 +0 3 0x1448 0xd911683b @@ -22230,6 +27788,8 @@ 1 1 0 +1 +0 4 0x1f50 0x9c712ed7 @@ -22238,6 +27798,8 @@ 1 1 0 +3 +1 4 0xa92 0xd0d3ee30 @@ -22246,6 +27808,8 @@ 1 0 0 +2 +1 1 0x80d6 0xe8cc929e @@ -22254,6 +27818,8 @@ 0 0 0 +2 +0 4 0xfce1 0x7ed3a43a @@ -22263,6 +27829,8 @@ 0 0 3 +2 +3 0x80a9 0xbe230674 256 @@ -22270,6 +27838,8 @@ 0 0 0 +0 +1 1 0x5957 0x829fd90a @@ -22278,6 +27848,8 @@ 0 0 0 +3 +2 1 0x642 0xb4b65e00 @@ -22286,6 +27858,8 @@ 0 0 0 +2 +3 4 0xb39c 0xddf2733c @@ -22295,6 +27869,8 @@ 0 0 3 +3 +3 0x4038 0x33e75ecc 256 @@ -22302,6 +27878,8 @@ 1 1 0 +2 +0 4 0x914c 0xcb40fd5f @@ -22311,6 +27889,8 @@ 0 0 1 +5 +1 0xe2de 0x2db5c850 256 @@ -22318,6 +27898,8 @@ 1 1 0 +2 +1 1 0x916d 0xaebe0f35 @@ -22326,6 +27908,8 @@ 1 1 0 +3 +1 4 0xc9d5 0x97469774 @@ -22335,6 +27919,8 @@ 0 0 1 +3 +1 0x67df 0xc83de00 256 @@ -22343,6 +27929,8 @@ 0 0 1 +2 +1 0xdf6b 0xd2b1885f 256 @@ -22351,6 +27939,8 @@ 0 0 3 +2 +3 0xdf2d 0x720a920b 256 @@ -22358,6 +27948,8 @@ 0 0 0 +5 +5 1 0x5430 0xb9aca3b1 @@ -22366,6 +27958,8 @@ 1 1 0 +0 +0 2 0xb9c9 0x1cb6bc2 @@ -22374,6 +27968,8 @@ 0 0 0 +1 +3 4 0x5a20 0xf872b386 @@ -22383,6 +27979,8 @@ 0 0 4 +3 +4 0x34fa 0xdb9836c5 256 @@ -22390,6 +27988,8 @@ 0 0 0 +5 +0 1 0x686c 0x833c8610 @@ -22399,6 +27999,8 @@ 0 0 3 +2 +3 0x86cf 0xc4a48635 256 @@ -22406,6 +28008,8 @@ 1 0 0 +4 +1 3 0xfa3a 0xc277757b @@ -22415,6 +28019,8 @@ 0 0 1 +5 +1 0xd3b1 0x7c6bfe3b 256 @@ -22422,6 +28028,8 @@ 1 0 0 +0 +5 4 0x96c 0x30df890 @@ -22430,6 +28038,8 @@ 0 0 0 +0 +4 4 0x32ca 0x5fa75fee @@ -22439,6 +28049,8 @@ 0 0 2 +0 +2 0xa261 0xde98e9ae 256 @@ -22446,6 +28058,8 @@ 1 0 0 +4 +2 1 0x4408 0xb7820bcb @@ -22454,6 +28068,8 @@ 0 0 0 +2 +0 3 0xa1d1 0x3fabb4a6 @@ -22463,6 +28079,8 @@ 0 0 2 +0 +2 0x6d07 0xf0a89881 256 @@ -22470,6 +28088,8 @@ 1 0 0 +2 +5 3 0x6ae0 0x97bd4770 @@ -22478,6 +28098,8 @@ 1 0 0 +3 +2 2 0xb54f 0x16d98833 @@ -22486,6 +28108,8 @@ 0 0 0 +4 +0 3 0x2d79 0x7b14148c @@ -22494,6 +28118,8 @@ 0 0 0 +1 +0 2 0x8668 0x6eece69f @@ -22502,6 +28128,8 @@ 1 1 0 +0 +5 1 0xea24 0xfd27df2e @@ -22510,6 +28138,8 @@ 0 0 0 +1 +2 3 0xac77 0xdeee482a @@ -22518,6 +28148,8 @@ 0 0 0 +0 +0 1 0xe37e 0xfbeeda4b @@ -22527,6 +28159,8 @@ 0 0 1 +5 +1 0xba0 0xbe62454d 256 @@ -22534,6 +28168,8 @@ 1 0 0 +4 +1 3 0xf8bf 0xbdc41074 @@ -22542,6 +28178,8 @@ 0 0 0 +4 +2 2 0x5612 0xe1134a74 @@ -22550,6 +28188,8 @@ 0 0 0 +5 +2 3 0xe67 0x8a89397d @@ -22558,6 +28198,8 @@ 1 1 0 +5 +0 3 0x9e46 0x906ae40a @@ -22567,6 +28209,8 @@ 1 0 3 +1 +3 0x66fd 0x515e937b 256 @@ -22575,6 +28219,8 @@ 1 0 3 +0 +3 0x8a49 0xbb60c458 256 @@ -22583,6 +28229,8 @@ 0 0 1 +4 +1 0x7bde 0x92751e5a 256 @@ -22590,6 +28238,8 @@ 1 0 0 +3 +0 1 0xcf95 0x1923bf6f @@ -22599,6 +28249,8 @@ 0 0 3 +4 +3 0x67e1 0x6beb56e8 256 @@ -22607,6 +28259,8 @@ 0 0 2 +1 +2 0xa739 0x6953d0d3 256 @@ -22614,6 +28268,8 @@ 0 0 0 +3 +0 4 0xa4ca 0xf9715fa0 @@ -22622,6 +28278,8 @@ 0 0 0 +5 +0 4 0x70bf 0x48755d8a @@ -22630,6 +28288,8 @@ 1 0 0 +2 +2 4 0xf319 0x155b0e1b @@ -22638,6 +28298,8 @@ 0 0 0 +5 +2 2 0x9bda 0x1ac5ddc6 @@ -22646,6 +28308,8 @@ 0 0 0 +4 +5 2 0xcea0 0x577ce983 @@ -22654,6 +28318,8 @@ 1 0 0 +1 +5 3 0xf074 0x3d5e740e @@ -22662,6 +28328,8 @@ 0 0 0 +4 +4 1 0xd270 0x9e7a24b6 @@ -22670,6 +28338,8 @@ 0 0 0 +0 +4 3 0x2c69 0x485bd5e0 @@ -22678,6 +28348,8 @@ 0 0 0 +3 +1 2 0x61e6 0xbf1e53c9 @@ -22686,6 +28358,8 @@ 0 0 0 +0 +5 3 0x5aaa 0x8fb7b9f9 @@ -22694,6 +28368,8 @@ 1 1 0 +4 +3 2 0xb610 0x3d1d05ca @@ -22702,6 +28378,8 @@ 0 0 0 +5 +4 2 0x3f5 0x827d3e2d @@ -22710,6 +28388,8 @@ 0 0 0 +2 +4 4 0xa9cf 0x9e938470 @@ -22718,6 +28398,8 @@ 0 0 0 +4 +3 3 0x8985 0x90aacfa8 @@ -22726,6 +28408,8 @@ 0 0 0 +3 +3 1 0xfaf9 0xab298195 @@ -22734,6 +28418,8 @@ 0 0 0 +2 +1 1 0xf0a 0xeaded477 @@ -22742,6 +28428,8 @@ 0 0 0 +3 +1 2 0x16c0 0xf5f7ab83 @@ -22750,6 +28438,8 @@ 0 0 0 +1 +1 3 0x80e7 0x22c512eb @@ -22758,6 +28448,8 @@ 1 1 0 +5 +1 1 0x64ef 0xc6a3a5e7 @@ -22766,6 +28458,8 @@ 1 0 0 +3 +4 4 0xeefa 0x2ce1951a @@ -22774,6 +28468,8 @@ 1 0 0 +2 +3 3 0x3116 0x7993a0f1 @@ -22782,6 +28478,8 @@ 0 0 0 +1 +0 2 0xb397 0x1311bfc5 @@ -22790,6 +28488,8 @@ 0 0 0 +2 +0 4 0x4a2d 0xee9df497 @@ -22798,6 +28498,8 @@ 0 0 0 +1 +5 3 0xead9 0xfc65eba1 @@ -22806,6 +28508,8 @@ 1 0 0 +2 +4 3 0x1281 0x24dcc980 @@ -22814,6 +28518,8 @@ 0 0 0 +3 +1 4 0x4c9c 0xae63283 @@ -22822,6 +28528,8 @@ 1 1 0 +1 +3 2 0x450f 0x8a61816 @@ -22831,6 +28539,8 @@ 1 0 3 +5 +3 0x9b98 0x437e56bc 256 @@ -22838,6 +28548,8 @@ 0 0 0 +2 +5 1 0x5d4a 0xccb30811 @@ -22846,6 +28558,8 @@ 1 0 0 +0 +4 1 0x377f 0xb9ecd828 @@ -22855,6 +28569,8 @@ 0 0 1 +0 +1 0xfc46 0xe5305db6 256 @@ -22862,6 +28578,8 @@ 0 0 0 +3 +4 4 0x4291 0x99dab7d9 @@ -22871,6 +28589,8 @@ 0 0 4 +1 +4 0x739c 0x9d2ae3af 256 @@ -22878,6 +28598,8 @@ 1 0 0 +2 +4 3 0xeb3 0xf5a9f1c3 @@ -22886,6 +28608,8 @@ 1 0 0 +3 +0 4 0xe6b4 0xeb944890 @@ -22894,6 +28618,8 @@ 1 1 0 +5 +0 2 0x1834 0xba45cb28 @@ -22903,6 +28629,8 @@ 0 0 2 +3 +2 0x36e5 0xad089c9d 256 @@ -22910,6 +28638,8 @@ 0 0 0 +2 +2 1 0x86e4 0x160b1370 @@ -22918,6 +28648,8 @@ 1 0 0 +1 +3 4 0xfcff 0xf84e23ef @@ -22926,6 +28658,8 @@ 1 0 0 +2 +0 3 0x5ac7 0xcd8f5550 @@ -22934,6 +28668,8 @@ 0 0 0 +5 +3 3 0xd83c 0xb32e9f7a @@ -22942,6 +28678,8 @@ 0 0 0 +2 +5 4 0xe3d5 0x78265255 @@ -22950,6 +28688,8 @@ 1 1 0 +2 +4 1 0x2889 0x6d71b9fa @@ -22958,6 +28698,8 @@ 0 0 0 +3 +0 1 0x9f23 0x19a7a841 @@ -22966,6 +28708,8 @@ 0 0 0 +0 +0 3 0x8f65 0xae09a7f6 @@ -22974,6 +28718,8 @@ 0 0 0 +3 +4 4 0x742e 0xf294cc90 @@ -22982,6 +28728,8 @@ 0 0 0 +0 +1 3 0x5f27 0xd5d59887 @@ -22990,6 +28738,8 @@ 0 0 0 +3 +3 2 0xb877 0x6d9701fc @@ -22999,6 +28749,8 @@ 0 0 2 +5 +2 0xd188 0x4f31a0db 256 @@ -23006,6 +28758,8 @@ 0 0 0 +3 +0 2 0xbbd8 0x5f0e809d @@ -23015,6 +28769,8 @@ 1 0 4 +0 +4 0xc57f 0xbf4a0453 256 @@ -23022,6 +28778,8 @@ 1 0 0 +2 +3 4 0x131a 0xf0809b60 @@ -23030,6 +28788,8 @@ 1 1 0 +1 +1 2 0x2e94 0xd90cd7c9 @@ -23038,6 +28798,8 @@ 0 0 0 +0 +3 2 0x8a19 0x79d7d6ae @@ -23046,6 +28808,8 @@ 0 0 0 +5 +2 1 0xb988 0x18624873 @@ -23055,6 +28819,8 @@ 0 0 1 +3 +1 0x9bc7 0xe22dc48e 256 @@ -23063,6 +28829,8 @@ 0 0 1 +3 +1 0xda0 0xbdea8a2e 256 @@ -23070,6 +28838,8 @@ 1 0 0 +3 +5 2 0xabcb 0x7402ebb4 @@ -23078,6 +28848,8 @@ 1 0 0 +3 +5 4 0x44b5 0x94f93dd8 @@ -23086,6 +28858,8 @@ 1 1 0 +4 +5 3 0x648b 0x5cfa5e18 @@ -23095,6 +28869,8 @@ 0 0 2 +0 +2 0x1f8c 0x9f425780 256 @@ -23102,6 +28878,8 @@ 1 1 0 +4 +0 3 0x9a41 0x1ab878f9 @@ -23110,6 +28888,8 @@ 1 1 0 +5 +2 4 0xc1fe 0xd2d3a11e @@ -23118,6 +28898,8 @@ 0 0 0 +0 +1 2 0x2f61 0xaf5c67ac @@ -23126,6 +28908,8 @@ 0 0 0 +1 +4 3 0x722d 0x2ee436fb @@ -23134,6 +28918,8 @@ 0 0 0 +5 +2 3 0x846e 0xf2af2529 @@ -23142,6 +28928,8 @@ 0 0 0 +0 +4 1 0x1270 0x9a2beb4e @@ -23151,6 +28939,8 @@ 1 0 1 +2 +1 0xf6bf 0xd9963a3e 256 @@ -23158,6 +28948,8 @@ 0 0 0 +5 +5 2 0x26c6 0x2c181cce @@ -23166,6 +28958,8 @@ 0 0 0 +3 +5 1 0xd3c0 0xf4eb2fba @@ -23174,6 +28968,8 @@ 1 1 0 +2 +4 1 0xd12a 0x874f5de7 @@ -23182,6 +28978,8 @@ 1 0 0 +5 +5 3 0x7cdb 0x86369e21 @@ -23190,6 +28988,8 @@ 1 1 0 +0 +3 1 0x44dc 0x2ae2528f @@ -23198,6 +28998,8 @@ 1 1 0 +1 +3 2 0x648 0x11b2d35b @@ -23206,6 +29008,8 @@ 1 1 0 +5 +1 3 0x6687 0xc24f0ee2 @@ -23215,6 +29019,8 @@ 0 0 4 +1 +4 0x19fa 0x5fcc692f 256 @@ -23222,6 +29028,8 @@ 0 0 0 +0 +5 1 0xd7c9 0x92c74332 @@ -23230,6 +29038,8 @@ 1 0 0 +1 +5 2 0xf0ba 0xb7156e2a @@ -23238,6 +29048,8 @@ 0 0 0 +3 +1 4 0xaf14 0x4210de6e @@ -23246,6 +29058,8 @@ 1 1 0 +5 +1 1 0x9514 0x1a68173b @@ -23254,6 +29068,8 @@ 0 0 0 +4 +3 1 0xa0e9 0xbcb6b922 @@ -23262,6 +29078,8 @@ 0 0 0 +0 +1 4 0x57b4 0x405b88e @@ -23270,6 +29088,8 @@ 1 1 0 +1 +5 4 0x7ed5 0xf69833d1 @@ -23278,6 +29098,8 @@ 1 1 0 +5 +4 2 0x58a5 0xf7906e0f @@ -23286,6 +29108,8 @@ 0 0 0 +5 +2 2 0x7c40 0x17beffe7 @@ -23294,6 +29118,8 @@ 0 0 0 +1 +5 3 0x2315 0x8b864ea @@ -23302,6 +29128,8 @@ 0 0 0 +3 +1 2 0xd4a7 0xbcf6a16f @@ -23310,6 +29138,8 @@ 1 0 0 +2 +1 3 0x810e 0x4cbd82b6 @@ -23318,6 +29148,8 @@ 1 1 0 +1 +5 4 0x9de4 0x84fac8c3 @@ -23326,6 +29158,8 @@ 1 0 0 +0 +0 4 0xbb96 0x6868a34a @@ -23334,6 +29168,8 @@ 0 0 0 +2 +3 1 0xdb1b 0xa4fe08f9 @@ -23342,6 +29178,8 @@ 1 1 0 +4 +2 2 0x3c96 0x327c3669 @@ -23350,6 +29188,8 @@ 0 0 0 +1 +4 4 0xd1b3 0x588c875f @@ -23358,6 +29198,8 @@ 1 1 0 +2 +4 4 0xfb90 0xa87e1a12 @@ -23366,6 +29208,8 @@ 0 0 0 +4 +0 3 0x82eb 0x9b708d3 @@ -23374,6 +29218,8 @@ 0 0 0 +0 +1 3 0x1115 0xf6e37300 @@ -23382,6 +29228,8 @@ 1 1 0 +4 +5 2 0x8e2a 0x2d9ac3fe @@ -23391,6 +29239,8 @@ 0 0 2 +4 +2 0x933d 0x1e2d07c9 256 @@ -23398,6 +29248,8 @@ 0 0 0 +4 +0 3 0x2658 0x4349ce0e @@ -23406,6 +29258,8 @@ 0 0 0 +5 +1 4 0xdda0 0x970dd29a @@ -23414,6 +29268,8 @@ 0 0 0 +0 +3 3 0xe57a 0x2d8d5dbc @@ -23423,6 +29279,8 @@ 1 0 3 +3 +3 0xc1f0 0x3fc72222 256 @@ -23430,6 +29288,8 @@ 0 0 0 +3 +2 1 0x13a8 0xc5832a32 @@ -23439,6 +29299,8 @@ 1 0 3 +1 +3 0xd2fe 0xeeb56e5d 256 @@ -23446,6 +29308,8 @@ 1 1 0 +0 +3 1 0x71a4 0xdd48c4c1 @@ -23455,6 +29319,8 @@ 0 0 1 +1 +1 0xef70 0x5796a3fc 256 @@ -23462,6 +29328,8 @@ 0 0 0 +4 +2 2 0x100d 0x7fd14fe9 @@ -23470,6 +29338,8 @@ 1 0 0 +0 +4 2 0x620a 0x23aeeb34 @@ -23478,6 +29348,8 @@ 0 0 0 +0 +5 3 0x3b3d 0xbbc88885 @@ -23487,6 +29359,8 @@ 0 0 2 +0 +2 0x8b79 0x136acc60 256 @@ -23494,6 +29368,8 @@ 0 0 0 +5 +1 1 0xb6d3 0xb99a2fe3 @@ -23502,6 +29378,8 @@ 1 1 0 +4 +3 3 0x5578 0x47f4b58d @@ -23510,6 +29388,8 @@ 0 0 0 +5 +2 1 0x17c5 0xbaa6ad7e @@ -23518,6 +29398,8 @@ 1 0 0 +4 +4 3 0xc9ff 0xe5720b5c @@ -23526,6 +29408,8 @@ 1 0 0 +0 +1 3 0xfbff 0xd70bab5 @@ -23534,6 +29418,8 @@ 0 0 0 +5 +4 1 0x311 0x4957ccdb @@ -23543,6 +29429,8 @@ 0 0 4 +0 +4 0x1b0a 0x6e495dfa 256 @@ -23550,6 +29438,8 @@ 1 1 0 +4 +2 1 0xd0c1 0x5f09c053 @@ -23558,6 +29448,8 @@ 1 1 0 +3 +5 2 0x5141 0x40e1fb48 @@ -23567,6 +29459,8 @@ 0 0 2 +0 +2 0xf6ed 0xe78a774c 256 @@ -23574,6 +29468,8 @@ 0 0 0 +5 +5 3 0xcd2 0x1b6efb85 @@ -23583,6 +29479,8 @@ 1 0 3 +3 +3 0x9f7d 0x16316d77 256 @@ -23590,6 +29488,8 @@ 1 0 0 +0 +5 4 0x914e 0xf1c9cb56 @@ -23598,6 +29498,8 @@ 0 0 0 +5 +4 1 0xee24 0x8a16358 @@ -23606,6 +29508,8 @@ 1 1 0 +4 +2 2 0x918 0xc0295e82 @@ -23615,6 +29519,8 @@ 0 0 1 +0 +1 0xe4c5 0xc4ea74fc 256 @@ -23622,6 +29528,8 @@ 0 0 0 +2 +3 4 0x7955 0xa79daa7c @@ -23630,6 +29538,8 @@ 1 1 0 +4 +4 2 0x8e5a 0x936e8189 @@ -23638,6 +29548,8 @@ 1 0 0 +5 +3 2 0x288 0x3fe3b42 @@ -23646,6 +29558,8 @@ 1 0 0 +1 +1 3 0x2117 0x82a9fc85 @@ -23654,6 +29568,8 @@ 1 0 0 +0 +2 4 0xaf60 0x92426d52 @@ -23662,6 +29578,8 @@ 1 1 0 +1 +2 3 0x3b60 0x22a51b77 @@ -23670,6 +29588,8 @@ 0 0 0 +5 +1 2 0xa99a 0x350786bd @@ -23678,6 +29598,8 @@ 0 0 0 +0 +4 2 0xb411 0xb3354eac @@ -23686,6 +29608,8 @@ 0 0 0 +2 +5 3 0xdf3c 0xfb54d209 @@ -23694,6 +29618,8 @@ 1 0 0 +5 +2 2 0x52c2 0x9938d616 @@ -23702,6 +29628,8 @@ 0 0 0 +0 +0 2 0x7c1c 0x95ef441d @@ -23710,6 +29638,8 @@ 0 0 0 +4 +0 3 0x77f4 0xd58c7497 @@ -23718,6 +29648,8 @@ 0 0 0 +5 +4 3 0xf3ef 0x770f9f5d @@ -23727,6 +29659,8 @@ 0 0 1 +3 +1 0xef0c 0x1a97b490 256 @@ -23734,6 +29668,8 @@ 0 0 0 +4 +5 2 0x13df 0x2153e418 @@ -23743,6 +29679,8 @@ 1 0 3 +5 +3 0x310d 0xfce2ccf3 256 @@ -23750,6 +29688,8 @@ 1 0 0 +5 +0 4 0x9b3d 0xf5de5d6f @@ -23758,6 +29698,8 @@ 0 0 0 +4 +5 1 0x9913 0xc67e1ccc @@ -23766,6 +29708,8 @@ 1 0 0 +0 +0 2 0x40b 0xd8ee5f51 @@ -23774,6 +29718,8 @@ 1 1 0 +3 +3 1 0xc179 0x525a06b0 @@ -23782,6 +29728,8 @@ 0 0 0 +4 +2 1 0x5923 0xa262e0e6 @@ -23791,6 +29739,8 @@ 0 0 1 +5 +1 0x76fb 0x17e8e3c6 256 @@ -23798,6 +29748,8 @@ 0 0 0 +5 +4 4 0x8009 0xf7056311 @@ -23806,6 +29758,8 @@ 0 0 0 +1 +5 4 0x5cd9 0x79f70a50 @@ -23814,6 +29768,8 @@ 1 0 0 +0 +5 3 0x7a57 0x5278928d @@ -23823,6 +29779,8 @@ 0 0 3 +5 +3 0xaad0 0x39f95564 256 @@ -23830,6 +29788,8 @@ 0 0 0 +4 +1 1 0x793b 0x97d674cf @@ -23839,6 +29799,8 @@ 0 0 4 +3 +4 0x71a1 0x90e83401 256 @@ -23846,6 +29808,8 @@ 1 0 0 +5 +0 1 0x5930 0x168e6881 @@ -23854,6 +29818,8 @@ 1 0 0 +5 +5 2 0xdc0b 0xa36bb6fe @@ -23862,6 +29828,8 @@ 0 0 0 +3 +0 4 0x51a9 0x58cf015 @@ -23870,6 +29838,8 @@ 0 0 0 +4 +3 1 0xc621 0x784f6187 @@ -23878,6 +29848,8 @@ 1 1 0 +2 +0 3 0x588b 0x2cdf71b @@ -23886,6 +29858,8 @@ 0 0 0 +3 +3 2 0x5a5d 0x25af822c @@ -23894,6 +29868,8 @@ 1 0 0 +2 +2 1 0x2268 0xda2e5c34 @@ -23902,6 +29878,8 @@ 1 1 0 +2 +1 3 0x362b 0x8c13fc32 @@ -23910,6 +29888,8 @@ 0 0 0 +0 +3 3 0x6b40 0x53bd6f50 @@ -23918,6 +29898,8 @@ 0 0 0 +1 +0 4 0xf722 0x4e625a2a @@ -23926,6 +29908,8 @@ 0 0 0 +2 +5 1 0x828c 0xfee52a40 @@ -23934,6 +29918,8 @@ 1 1 0 +0 +5 3 0xd68c 0xe7a30224 @@ -23942,6 +29928,8 @@ 0 0 0 +1 +0 3 0x5517 0x7e3e27c4 @@ -23950,6 +29938,8 @@ 0 0 0 +0 +1 1 0xc6a2 0x183bba12 @@ -23958,6 +29948,8 @@ 1 0 0 +5 +0 2 0xfbf5 0x723e8ad3 @@ -23966,6 +29958,8 @@ 1 1 0 +0 +1 2 0xea22 0xf2a62659 @@ -23974,6 +29968,8 @@ 0 0 0 +1 +4 4 0x8ba 0x77fe51fc @@ -23982,6 +29978,8 @@ 1 1 0 +3 +3 1 0x3326 0x32cc4309 @@ -23990,6 +29988,8 @@ 0 0 0 +5 +2 3 0x9ebd 0xfb7cd9da @@ -23999,6 +29999,8 @@ 0 0 2 +3 +2 0xd5fd 0x88e709d 256 @@ -24006,6 +30008,8 @@ 0 0 0 +0 +0 1 0x1a4d 0x7af41a74 @@ -24014,6 +30018,8 @@ 1 0 0 +5 +4 4 0x9f44 0x5c1ab30b @@ -24022,6 +30028,8 @@ 0 0 0 +2 +1 1 0x8b3d 0x94c50808 @@ -24030,6 +30038,8 @@ 1 1 0 +3 +2 4 0x79eb 0x2273c919 @@ -24038,6 +30048,8 @@ 0 0 0 +0 +5 2 0x95ac 0xd734a060 @@ -24046,6 +30058,8 @@ 1 0 0 +1 +5 4 0x198b 0xeb1cbfed @@ -24055,6 +30069,8 @@ 1 0 3 +5 +3 0x9441 0xece475aa 256 @@ -24062,6 +30078,8 @@ 1 0 0 +3 +2 2 0x2af8 0x28a0e5cf @@ -24070,6 +30088,8 @@ 0 0 0 +5 +4 3 0xb55f 0x745d18e0 @@ -24078,6 +30098,8 @@ 0 0 0 +2 +0 3 0xe5bb 0xf9a29099 @@ -24086,6 +30108,8 @@ 1 1 0 +3 +5 2 0xf934 0xb0665c68 @@ -24094,6 +30118,8 @@ 1 0 0 +5 +0 4 0xb98 0xc94a8c45 @@ -24102,6 +30128,8 @@ 1 0 0 +3 +4 1 0x84bf 0xe4817ff5 @@ -24110,6 +30138,8 @@ 1 1 0 +1 +1 3 0x13ef 0x643208a @@ -24118,6 +30148,8 @@ 0 0 0 +1 +4 3 0xf454 0xbd31a071 @@ -24126,6 +30158,8 @@ 1 0 0 +5 +5 4 0x7faf 0x54fdad09 @@ -24134,6 +30168,8 @@ 1 0 0 +0 +2 4 0x4297 0x3a46054f @@ -24143,6 +30179,8 @@ 0 0 3 +0 +3 0xd224 0xea42b890 256 @@ -24151,6 +30189,8 @@ 0 0 1 +5 +1 0xd524 0xfc262055 256 @@ -24158,6 +30198,8 @@ 1 1 0 +3 +5 4 0x5b74 0x3087abd7 @@ -24166,6 +30208,8 @@ 1 1 0 +3 +3 1 0x9803 0x18d2e83c @@ -24174,6 +30218,8 @@ 0 0 0 +0 +2 4 0xde95 0xcc95335a @@ -24183,6 +30229,8 @@ 1 0 3 +0 +3 0xb6fe 0xb4fc0a61 256 @@ -24190,6 +30238,8 @@ 0 0 0 +5 +5 2 0x92d2 0xf48ba05c @@ -24198,6 +30248,8 @@ 0 0 0 +4 +0 3 0x1479 0x555b245f @@ -24207,6 +30259,8 @@ 0 0 2 +5 +2 0x4870 0xaae3d1f2 256 @@ -24215,6 +30269,8 @@ 0 0 2 +4 +2 0x1987 0x7af857da 256 @@ -24222,6 +30278,8 @@ 1 1 0 +0 +5 1 0x2829 0x74b25fe2 @@ -24230,6 +30288,8 @@ 1 1 0 +4 +2 3 0x7b9b 0x8f43d373 @@ -24238,6 +30298,8 @@ 1 1 0 +5 +1 2 0x559c 0xb5f332ee @@ -24246,6 +30308,8 @@ 1 0 0 +5 +1 1 0xa5a3 0x43f8b086 @@ -24254,6 +30318,8 @@ 0 0 0 +0 +0 2 0xcce5 0x8c2aa4b3 @@ -24262,6 +30328,8 @@ 0 0 0 +0 +3 4 0x2739 0xaf202595 @@ -24271,6 +30339,8 @@ 1 0 3 +3 +3 0xa528 0x29faeb35 256 @@ -24279,6 +30349,8 @@ 0 0 3 +5 +3 0xbdaf 0xd0e3da78 256 @@ -24286,6 +30358,8 @@ 1 1 0 +3 +3 1 0x9c97 0x78a4b942 @@ -24294,6 +30368,8 @@ 0 0 0 +1 +3 3 0x3ca8 0x450e6a42 @@ -24303,6 +30379,8 @@ 1 0 3 +0 +3 0xf7b9 0x38bb37c7 256 @@ -24310,6 +30388,8 @@ 1 0 0 +4 +2 1 0xcf39 0x34f26488 @@ -24318,6 +30398,8 @@ 1 1 0 +5 +5 4 0x2ed 0xea93346b @@ -24326,6 +30408,8 @@ 1 1 0 +3 +2 2 0x9ee8 0x4ed51be8 @@ -24334,6 +30418,8 @@ 0 0 0 +5 +0 4 0x886a 0x1da0b671 @@ -24342,6 +30428,8 @@ 1 1 0 +4 +1 2 0x8cee 0xe94edb28 @@ -24350,6 +30438,8 @@ 1 0 0 +5 +0 2 0xf647 0xac6bdb02 @@ -24358,6 +30448,8 @@ 0 0 0 +0 +3 4 0x828c 0x5f69d9fc @@ -24367,6 +30459,8 @@ 0 0 2 +1 +2 0x2b3b 0x40175ed8 256 @@ -24374,6 +30468,8 @@ 1 0 0 +2 +4 4 0xab38 0x6a1a5708 @@ -24382,6 +30478,8 @@ 0 0 0 +2 +0 1 0xdfcf 0xf09eb150 @@ -24390,6 +30488,8 @@ 1 1 0 +5 +5 2 0x9b81 0x2a6fb760 @@ -24398,6 +30498,8 @@ 1 1 0 +4 +5 3 0x4223 0xb81ef2e7 @@ -24406,6 +30508,8 @@ 0 0 0 +1 +2 4 0xca35 0x27885366 @@ -24415,6 +30519,8 @@ 0 0 3 +5 +3 0xe139 0xf237d1f3 256 @@ -24422,6 +30528,8 @@ 1 1 0 +5 +3 2 0xbd31 0x59b30011 @@ -24430,6 +30538,8 @@ 1 1 0 +0 +1 4 0xbf7d 0x923dd0b6 @@ -24438,6 +30548,8 @@ 0 0 0 +1 +2 4 0x4218 0xaea07ded @@ -24446,6 +30558,8 @@ 1 1 0 +5 +4 2 0x3340 0x544d9374 @@ -24455,6 +30569,8 @@ 0 0 2 +3 +2 0xe4c7 0xa51b27bd 256 @@ -24462,6 +30578,8 @@ 1 1 0 +1 +5 4 0x68e6 0x6576f511 @@ -24470,6 +30588,8 @@ 1 0 0 +4 +1 2 0x19de 0x793cd45f @@ -24478,6 +30598,8 @@ 0 0 0 +4 +4 2 0x3137 0x10dc7e4e @@ -24486,6 +30608,8 @@ 1 1 0 +5 +1 3 0x78b6 0x7eb04ea2 @@ -24494,6 +30618,8 @@ 0 0 0 +3 +3 1 0x103b 0x689de2fc @@ -24503,6 +30629,8 @@ 0 0 3 +4 +3 0x8752 0x624b8e2b 256 @@ -24510,6 +30638,8 @@ 0 0 0 +2 +1 3 0xca13 0x8355d6d1 @@ -24518,6 +30648,8 @@ 0 0 0 +3 +2 1 0x1863 0x96e6fb13 @@ -24526,6 +30658,8 @@ 0 0 0 +0 +1 3 0x7bb9 0x56d6e7e @@ -24534,6 +30668,8 @@ 1 1 0 +3 +1 2 0x9aa2 0xab03dbe6 @@ -24542,6 +30678,8 @@ 0 0 0 +0 +4 2 0x6c40 0x988a0542 @@ -24550,6 +30688,8 @@ 1 0 0 +2 +2 4 0x9a2e 0x80b31e32 @@ -24558,6 +30698,8 @@ 1 1 0 +0 +2 1 0x2afd 0x558e4b6c @@ -24566,6 +30708,8 @@ 1 0 0 +4 +1 3 0x4bbe 0x975a1997 @@ -24574,6 +30718,8 @@ 0 0 0 +5 +1 3 0x671b 0x65a60b77 @@ -24582,6 +30728,8 @@ 1 0 0 +3 +4 1 0x772c 0x5d4010a8 @@ -24590,6 +30738,8 @@ 0 0 0 +0 +5 1 0xbf96 0x60583230 @@ -24598,6 +30748,8 @@ 1 1 0 +1 +4 3 0x2690 0x36e3138c @@ -24606,6 +30758,8 @@ 1 1 0 +1 +0 4 0x1e78 0x81d10133 @@ -24614,6 +30768,8 @@ 1 0 0 +2 +1 4 0x8098 0x1104b636 @@ -24622,6 +30778,8 @@ 0 0 0 +0 +4 1 0x6a7d 0xd8bdf8de @@ -24630,6 +30788,8 @@ 0 0 0 +1 +2 3 0x42c1 0xc3f73ff7 @@ -24638,6 +30798,8 @@ 1 0 0 +0 +1 1 0xe622 0x23146b6b @@ -24647,6 +30809,8 @@ 0 0 1 +3 +1 0x19e4 0x81304bb6 256 @@ -24654,6 +30818,8 @@ 1 1 0 +5 +2 3 0xfa31 0x4b41682e @@ -24662,6 +30828,8 @@ 1 0 0 +2 +4 4 0x2c9b 0x20134bd5 @@ -24670,6 +30838,8 @@ 1 0 0 +2 +5 3 0x21e3 0xba0929f2 @@ -24678,6 +30848,8 @@ 0 0 0 +1 +1 2 0x542d 0x9283e3e0 @@ -24686,6 +30858,8 @@ 1 1 0 +4 +1 3 0xe4d7 0x3dae682 @@ -24694,6 +30868,8 @@ 1 0 0 +0 +3 1 0x5436 0x731d7f56 @@ -24702,6 +30878,8 @@ 1 1 0 +5 +1 3 0x1006 0x38e7d05b @@ -24710,6 +30888,8 @@ 1 1 0 +4 +2 2 0x1cdc 0x1e5b7b4 @@ -24719,6 +30899,8 @@ 0 0 1 +3 +1 0x3506 0x539468dc 256 @@ -24726,6 +30908,8 @@ 1 1 0 +1 +4 4 0xb701 0xa93253e4 @@ -24734,6 +30918,8 @@ 0 0 0 +4 +1 3 0x211 0xe0fcea0f @@ -24742,6 +30928,8 @@ 0 0 0 +4 +5 3 0x300a 0x4ed9a86d @@ -24750,6 +30938,8 @@ 0 0 0 +5 +1 2 0x5b3e 0x9a998948 @@ -24758,6 +30948,8 @@ 1 1 0 +5 +2 3 0x39d0 0xd9de4f8d @@ -24767,6 +30959,8 @@ 0 0 4 +4 +4 0x6e5e 0x370c1066 256 @@ -24774,6 +30968,8 @@ 0 0 0 +0 +5 1 0x4090 0x86b81ec0 @@ -24782,6 +30978,8 @@ 0 0 0 +4 +4 2 0xd0e0 0x46c2c91c @@ -24790,6 +30988,8 @@ 0 0 0 +1 +1 4 0x4294 0xab51454c @@ -24798,6 +30998,8 @@ 0 0 0 +0 +2 3 0x1fb9 0xe5b11928 @@ -24806,6 +31008,8 @@ 1 1 0 +4 +3 3 0xc0cd 0x6a8733dd @@ -24814,6 +31018,8 @@ 1 0 0 +0 +2 4 0x9aaf 0x593a4518 @@ -24822,6 +31028,8 @@ 1 1 0 +5 +2 3 0xff7f 0x6f05c584 @@ -24830,6 +31038,8 @@ 0 0 0 +4 +5 1 0xed85 0x14d018e9 @@ -24839,6 +31049,8 @@ 1 0 2 +3 +2 0xc26 0x68f3090a 256 @@ -24846,6 +31058,8 @@ 0 0 0 +5 +4 1 0x2075 0x3e5d13f8 @@ -24854,6 +31068,8 @@ 1 0 0 +3 +0 4 0xaff0 0xeffbeb34 @@ -24862,6 +31078,8 @@ 1 1 0 +4 +5 1 0x418b 0x39ea5f29 @@ -24870,6 +31088,8 @@ 1 0 0 +0 +1 4 0xb9ec 0xaafa5725 @@ -24878,6 +31098,8 @@ 0 0 0 +5 +0 3 0x1e79 0xf1ba0ba7 @@ -24886,6 +31108,8 @@ 0 0 0 +3 +1 2 0x6c04 0xd9f0ede3 @@ -24894,6 +31118,8 @@ 1 1 0 +4 +1 3 0xa14e 0xc7a181c0 @@ -24902,6 +31128,8 @@ 1 1 0 +3 +1 4 0x8f4f 0xe8a2e01 @@ -24910,6 +31138,8 @@ 1 0 0 +4 +1 2 0x5060 0x62c5671d @@ -24918,6 +31148,8 @@ 0 0 0 +5 +0 3 0xe567 0x666bd72 @@ -24926,6 +31158,8 @@ 0 0 0 +0 +3 1 0x34a8 0x3296afa1 @@ -24935,6 +31169,8 @@ 1 0 1 +1 +1 0x1dd6 0xbee73865 256 @@ -24942,6 +31178,8 @@ 0 0 0 +2 +1 1 0x7b0 0xc379723a @@ -24950,6 +31188,8 @@ 1 0 0 +0 +2 1 0xf534 0x8eabd505 @@ -24958,6 +31198,8 @@ 1 1 0 +0 +4 1 0xaaf9 0x5ae7564c @@ -24967,6 +31209,8 @@ 0 0 1 +3 +1 0xe6fb 0x648deaa4 256 @@ -24974,6 +31218,8 @@ 1 1 0 +0 +0 2 0xce48 0xf5aba724 @@ -24983,6 +31229,8 @@ 0 0 2 +2 +2 0xb68 0xe75634e7 256 @@ -24990,6 +31238,8 @@ 1 0 0 +2 +2 1 0x3389 0xd134b438 @@ -24998,6 +31248,8 @@ 1 0 0 +0 +0 4 0x7b9f 0x578cdb4c @@ -25006,6 +31258,8 @@ 1 1 0 +3 +3 1 0x8032 0xf66db84e @@ -25014,6 +31268,8 @@ 1 0 0 +1 +1 2 0xf2ce 0x1b3ea4c0 @@ -25022,6 +31278,8 @@ 0 0 0 +1 +4 4 0x7ffd 0x9ef879be @@ -25030,6 +31288,8 @@ 0 0 0 +2 +1 3 0x195e 0xcd0b2ce0 @@ -25039,6 +31299,8 @@ 0 0 1 +2 +1 0xce6a 0x8dfdb826 256 @@ -25046,6 +31308,8 @@ 0 0 0 +0 +5 2 0x4b54 0xedeaf621 @@ -25054,6 +31318,8 @@ 1 1 0 +0 +3 2 0x7f3b 0x6cfee26b @@ -25062,6 +31328,8 @@ 1 0 0 +1 +3 4 0xc8b0 0x220191bd @@ -25070,6 +31338,8 @@ 0 0 0 +3 +1 2 0x9eb7 0x17bccf6 @@ -25078,6 +31348,8 @@ 0 0 0 +5 +3 3 0x9895 0xa42785cc @@ -25087,6 +31359,8 @@ 0 0 3 +4 +3 0x3a3a 0x549040b9 256 @@ -25094,6 +31368,8 @@ 0 0 0 +1 +4 2 0x2fee 0x59cf2a1d @@ -25103,6 +31379,8 @@ 1 0 3 +4 +3 0xb5cc 0x58b3289f 256 @@ -25111,6 +31389,8 @@ 0 0 4 +5 +4 0xd2a5 0xe569b1d6 256 @@ -25119,6 +31399,8 @@ 0 0 3 +3 +3 0xacf4 0x6e0a431e 256 @@ -25127,6 +31409,8 @@ 0 0 2 +2 +2 0xee54 0x697e4175 256 @@ -25135,6 +31419,8 @@ 0 0 4 +2 +4 0xbe70 0xe4e46dfb 256 @@ -25143,6 +31429,8 @@ 0 0 3 +4 +3 0xc944 0x38c23023 256 @@ -25150,6 +31438,8 @@ 0 0 0 +0 +2 4 0xa7ab 0xb7c2575f @@ -25158,6 +31448,8 @@ 0 0 0 +0 +1 1 0xda40 0x9a5e5e4c @@ -25166,6 +31458,8 @@ 1 1 0 +5 +0 1 0x2a3a 0x8b461fc @@ -25174,6 +31468,8 @@ 0 0 0 +1 +3 2 0x65a8 0xb19b3c18 @@ -25182,6 +31478,8 @@ 1 0 0 +5 +5 3 0xaef3 0xe00ee314 @@ -25191,6 +31489,8 @@ 0 0 2 +5 +2 0x7078 0x5a01f663 256 @@ -25199,6 +31499,8 @@ 0 0 3 +3 +3 0x4754 0x4300ddff 256 @@ -25206,6 +31508,8 @@ 1 0 0 +0 +4 2 0x6c12 0xe1fda8 @@ -25215,6 +31519,8 @@ 0 0 2 +1 +2 0xbd08 0xeccec38 256 @@ -25222,6 +31528,8 @@ 0 0 0 +2 +2 1 0x4127 0x6bd21478 @@ -25230,6 +31538,8 @@ 0 0 0 +4 +3 1 0x70c4 0x5493df46 @@ -25238,6 +31548,8 @@ 0 0 0 +0 +1 1 0xc3aa 0x67a70aa5 @@ -25247,6 +31559,8 @@ 1 0 1 +2 +1 0x6b7c 0x36637151 256 @@ -25254,6 +31568,8 @@ 0 0 0 +3 +1 4 0x1da6 0x3648c49e @@ -25262,6 +31578,8 @@ 0 0 0 +3 +0 2 0x35bd 0xdc32acb0 @@ -25270,6 +31588,8 @@ 1 0 0 +0 +2 1 0xc1b1 0xbe462419 @@ -25278,6 +31598,8 @@ 1 1 0 +2 +4 3 0x92d8 0xba2689b9 @@ -25286,6 +31608,8 @@ 1 0 0 +5 +1 2 0xf052 0x69c565f8 @@ -25294,6 +31618,8 @@ 0 0 0 +0 +0 4 0x3091 0xb01326c4 @@ -25302,6 +31628,8 @@ 1 1 0 +3 +5 1 0xd8af 0x39cdb354 @@ -25310,6 +31638,8 @@ 1 1 0 +4 +2 2 0x5995 0x3fb993b0 @@ -25318,6 +31648,8 @@ 0 0 0 +5 +1 3 0x36d 0x4658060f @@ -25327,6 +31659,8 @@ 0 0 3 +2 +3 0xda7a 0xdb6b16b5 256 @@ -25334,6 +31668,8 @@ 0 0 0 +0 +1 2 0x4554 0x328084cc @@ -25342,6 +31678,8 @@ 1 1 0 +1 +0 4 0xccbd 0xa451f6af @@ -25350,6 +31688,8 @@ 0 0 0 +3 +0 2 0x55bd 0xe85903d5 @@ -25358,6 +31698,8 @@ 1 1 0 +5 +0 4 0xc38d 0x1b94e1e9 @@ -25366,6 +31708,8 @@ 1 0 0 +0 +4 3 0x9ae4 0x311a96a2 @@ -25374,6 +31718,8 @@ 1 1 0 +1 +3 4 0x2e6c 0x43d0968e @@ -25382,6 +31728,8 @@ 1 1 0 +3 +1 2 0x8675 0x8a2be3b0 @@ -25390,6 +31738,8 @@ 1 0 0 +1 +2 2 0xa39e 0xadf168e8 @@ -25398,6 +31748,8 @@ 1 0 0 +1 +0 4 0x1137 0x5075f27c @@ -25406,6 +31758,8 @@ 0 0 0 +0 +5 2 0xa30b 0xc5b8c2df @@ -25414,6 +31768,8 @@ 0 0 0 +5 +3 4 0x8750 0xfa8f87d1 @@ -25422,6 +31778,8 @@ 0 0 0 +1 +5 2 0x52d7 0x69df011b @@ -25430,6 +31788,8 @@ 0 0 0 +5 +3 4 0x2a72 0x3de8c9e4 @@ -25438,6 +31798,8 @@ 0 0 0 +4 +0 2 0x55c7 0x27de73f @@ -25446,6 +31808,8 @@ 1 1 0 +5 +0 1 0x2657 0x9ab4a325 @@ -25454,6 +31818,8 @@ 1 0 0 +1 +1 3 0x8706 0x45ee2298 @@ -25462,6 +31828,8 @@ 0 0 0 +5 +3 2 0x27fb 0xf210ae90 @@ -25470,6 +31838,8 @@ 1 0 0 +4 +1 3 0xfc32 0xfb35e9a1 @@ -25478,6 +31848,8 @@ 1 1 0 +2 +1 1 0xc0f5 0x46c1db95 @@ -25486,6 +31858,8 @@ 0 0 0 +3 +0 2 0xf492 0xf271e83b @@ -25494,6 +31868,8 @@ 0 0 0 +3 +4 4 0xb554 0xa8f558c3 @@ -25502,6 +31878,8 @@ 1 0 0 +0 +3 3 0xce3d 0x78806b56 @@ -25510,6 +31888,8 @@ 0 0 0 +0 +2 2 0xc0b9 0xb72d0db7 @@ -25519,6 +31899,8 @@ 0 0 2 +1 +2 0x8c8a 0x115b0bc8 256 @@ -25526,6 +31908,8 @@ 1 1 0 +3 +0 2 0xe585 0x7db69c2b @@ -25534,6 +31918,8 @@ 1 0 0 +4 +3 1 0xb2fd 0x26a084ea @@ -25543,6 +31929,8 @@ 1 0 4 +5 +4 0x5db3 0x42fe4793 256 @@ -25550,6 +31938,8 @@ 0 0 0 +4 +5 3 0x7fd 0x24efb785 @@ -25558,6 +31948,8 @@ 0 0 0 +2 +4 1 0x83a0 0xe0e3cabc @@ -25566,6 +31958,8 @@ 1 0 0 +5 +5 1 0x258e 0xd8853588 @@ -25575,6 +31969,8 @@ 0 0 2 +1 +2 0xa672 0x5410749b 256 @@ -25583,6 +31979,8 @@ 0 0 4 +5 +4 0x6a53 0xbdc6aa84 256 @@ -25590,6 +31988,8 @@ 1 1 0 +2 +3 3 0x1ce1 0xfeffcc5d @@ -25598,6 +31998,8 @@ 0 0 0 +2 +4 1 0xc4cf 0x172163ed @@ -25606,6 +32008,8 @@ 0 0 0 +4 +0 2 0xe07a 0xbb786a2e @@ -25614,6 +32018,8 @@ 0 0 0 +0 +4 4 0x6b35 0xb09f8252 @@ -25622,6 +32028,8 @@ 1 0 0 +0 +4 1 0x63ad 0x4d0be2e3 @@ -25630,6 +32038,8 @@ 0 0 0 +2 +2 1 0x9c12 0x8e6001bf @@ -25638,6 +32048,8 @@ 0 0 0 +0 +0 1 0x150c 0x35776068 @@ -25646,6 +32058,8 @@ 0 0 0 +4 +2 3 0x7d8e 0xbf53c7ad @@ -25654,6 +32068,8 @@ 1 0 0 +5 +0 2 0xa5b1 0xf54b38e5 @@ -25662,6 +32078,8 @@ 0 0 0 +2 +2 4 0xae67 0x6b18e293 @@ -25670,6 +32088,8 @@ 0 0 0 +3 +0 2 0x50f5 0x64cfd8bd @@ -25679,6 +32099,8 @@ 0 0 4 +3 +4 0xb4e8 0xb7e7b91 256 @@ -25686,6 +32108,8 @@ 1 1 0 +3 +5 1 0xcc1c 0x664efe1b @@ -25694,6 +32118,8 @@ 1 1 0 +5 +2 3 0xc265 0x31e51526 @@ -25703,6 +32129,8 @@ 1 0 3 +4 +3 0x1c5e 0xe05858ce 256 @@ -25710,6 +32138,8 @@ 0 0 0 +4 +3 1 0x7327 0xb4291ee2 @@ -25718,6 +32148,8 @@ 0 0 0 +5 +4 4 0xff50 0x9314341d @@ -25726,6 +32158,8 @@ 0 0 0 +0 +1 2 0xcc56 0xe98edaf6 @@ -25734,6 +32168,8 @@ 1 1 0 +0 +3 3 0x8439 0x1fb1b0da @@ -25742,6 +32178,8 @@ 0 0 0 +1 +3 4 0x2a46 0xbeba8de4 @@ -25750,6 +32188,8 @@ 1 0 0 +2 +2 4 0x2606 0xc951b37a @@ -25758,6 +32198,8 @@ 1 0 0 +0 +0 2 0x4b93 0x406eb9ce @@ -25766,6 +32208,8 @@ 1 0 0 +0 +3 1 0x9e10 0x790905ff @@ -25775,6 +32219,8 @@ 0 0 3 +3 +3 0xaeaf 0x57d9d687 256 @@ -25782,6 +32228,8 @@ 0 0 0 +4 +0 2 0x4771 0x3b5884b9 @@ -25790,6 +32238,8 @@ 1 0 0 +4 +0 3 0x2af1 0x1af17f57 @@ -25798,6 +32248,8 @@ 1 0 0 +1 +2 4 0x26fd 0xc22d8ade @@ -25806,6 +32258,8 @@ 0 0 0 +3 +1 1 0xfded 0x25b78141 @@ -25814,6 +32268,8 @@ 0 0 0 +2 +1 4 0xa9ac 0x65a6668f @@ -25822,6 +32278,8 @@ 1 1 0 +5 +4 1 0x5652 0x9e288876 @@ -25830,6 +32288,8 @@ 0 0 0 +2 +5 3 0x9ce3 0x3c752c89 @@ -25838,6 +32298,8 @@ 0 0 0 +2 +3 4 0x2708 0xfbcae372 @@ -25846,6 +32308,8 @@ 1 0 0 +4 +5 3 0x893c 0xac1438e5 @@ -25854,6 +32318,8 @@ 1 0 0 +4 +2 3 0x3cc8 0xb55e4c7e @@ -25862,6 +32328,8 @@ 1 0 0 +0 +4 4 0x4d55 0x7e0be2bc @@ -25870,6 +32338,8 @@ 0 0 0 +1 +5 2 0x4f5b 0x8bd220d3 @@ -25879,6 +32349,8 @@ 0 0 2 +2 +2 0x3114 0xc28dc5ac 256 @@ -25886,6 +32358,8 @@ 1 1 0 +1 +5 4 0xb65a 0xdc9ae12f @@ -25894,6 +32368,8 @@ 1 1 0 +0 +0 4 0x2637 0x3302931f @@ -25902,6 +32378,8 @@ 1 0 0 +4 +4 3 0xbe26 0x78e8a6a8 @@ -25910,6 +32388,8 @@ 1 0 0 +3 +4 4 0xc2d8 0x9a7ad10e @@ -25919,6 +32399,8 @@ 1 0 4 +0 +4 0x3819 0x8cf6d2af 256 @@ -25926,6 +32408,8 @@ 0 0 0 +5 +2 3 0xdac 0xf12618b9 @@ -25934,6 +32418,8 @@ 1 0 0 +5 +4 3 0x186c 0x53c81c54 @@ -25942,6 +32428,8 @@ 0 0 0 +2 +4 3 0xae45 0x9c44b42d @@ -25950,6 +32438,8 @@ 1 0 0 +4 +0 2 0x7e77 0x95796b8e @@ -25959,6 +32449,8 @@ 0 0 2 +4 +2 0x6761 0x8f5d2fad 256 @@ -25966,6 +32458,8 @@ 1 0 0 +4 +0 3 0xe3b8 0x19c44980 @@ -25974,6 +32468,8 @@ 1 0 0 +2 +5 3 0xa479 0x37c871a1 @@ -25982,6 +32478,8 @@ 1 0 0 +5 +3 1 0xb78b 0xd98bbbc3 @@ -25991,6 +32489,8 @@ 0 0 4 +5 +4 0x150a 0xfe8f5f7 256 @@ -25998,6 +32498,8 @@ 1 0 0 +5 +4 2 0xc44a 0x594e2227 @@ -26006,6 +32508,8 @@ 1 1 0 +5 +4 2 0x29ab 0xa5fc7eb5 @@ -26015,6 +32519,8 @@ 0 0 4 +0 +4 0xcba9 0x9490a15c 256 @@ -26022,6 +32528,8 @@ 1 1 0 +3 +4 1 0xb01b 0x13c75d08 @@ -26030,6 +32538,8 @@ 0 0 0 +5 +5 4 0x975d 0xb136091c @@ -26038,6 +32548,8 @@ 1 0 0 +3 +3 1 0xaff5 0xf315cbd @@ -26046,6 +32558,8 @@ 0 0 0 +0 +5 2 0x46bb 0xa4a4e44 @@ -26055,6 +32569,8 @@ 0 0 2 +2 +2 0x3f6a 0x32beb547 256 @@ -26062,6 +32578,8 @@ 0 0 0 +1 +4 3 0x420a 0x110e43e8 @@ -26070,6 +32588,8 @@ 0 0 0 +5 +5 3 0x3da0 0xb3941eac @@ -26078,6 +32598,8 @@ 0 0 0 +3 +3 1 0xbc13 0x5a811d40 @@ -26086,6 +32608,8 @@ 1 0 0 +1 +1 4 0xd7d6 0x1351f863 @@ -26094,6 +32618,8 @@ 1 1 0 +3 +4 2 0x28da 0xca99048c @@ -26102,6 +32628,8 @@ 0 0 0 +4 +1 3 0xe479 0xfa83f54 @@ -26110,6 +32638,8 @@ 0 0 0 +3 +2 4 0xa16e 0xcd98e622 @@ -26118,6 +32648,8 @@ 0 0 0 +2 +1 3 0x107 0x4d0bb5cb @@ -26126,6 +32658,8 @@ 0 0 0 +2 +0 4 0x187c 0x67a25b06 @@ -26135,6 +32669,8 @@ 0 0 1 +3 +1 0xa52a 0x7e3f270 256 @@ -26142,6 +32678,8 @@ 0 0 0 +1 +4 3 0x70cc 0x4174cfd9 @@ -26151,6 +32689,8 @@ 0 0 3 +1 +3 0xb844 0xe8ad8c5c 256 @@ -26159,6 +32699,8 @@ 0 0 4 +0 +4 0x6a82 0xdf88833c 256 @@ -26166,6 +32708,8 @@ 1 0 0 +2 +1 1 0x8d74 0x91e7702c @@ -26174,6 +32718,8 @@ 1 1 0 +4 +1 3 0x3cb0 0xe1f7bbae @@ -26182,6 +32728,8 @@ 1 0 0 +5 +2 1 0xe35 0x5ee38570 @@ -26190,6 +32738,8 @@ 0 0 0 +1 +2 3 0xdd29 0x45f52e4e @@ -26198,6 +32748,8 @@ 0 0 0 +3 +0 2 0x7e4e 0x5d4b8452 @@ -26206,6 +32758,8 @@ 1 0 0 +3 +4 4 0x27cc 0xce3bfe6f @@ -26214,6 +32768,8 @@ 0 0 0 +4 +3 3 0x95d 0x99f85828 @@ -26222,6 +32778,8 @@ 0 0 0 +5 +2 4 0xd25c 0x3e201f24 @@ -26230,6 +32788,8 @@ 0 0 0 +1 +4 4 0x2223 0xb0afaf27 @@ -26238,6 +32798,8 @@ 0 0 0 +3 +2 1 0xc1f2 0x11b0935e @@ -26247,6 +32809,8 @@ 1 0 4 +2 +4 0x9a5c 0x90046fed 256 @@ -26254,6 +32818,8 @@ 1 0 0 +3 +5 1 0xb0fa 0xc13e6a4 @@ -26263,6 +32829,8 @@ 0 0 4 +3 +4 0xef50 0x9af4adf6 256 @@ -26271,6 +32839,8 @@ 0 0 2 +2 +2 0x3a64 0x1fc335a0 256 @@ -26278,6 +32848,8 @@ 1 0 0 +2 +5 3 0xf87a 0x5ce17ba6 @@ -26286,6 +32858,8 @@ 1 0 0 +3 +1 1 0x4734 0xf4b8b765 @@ -26294,6 +32868,8 @@ 1 0 0 +1 +1 4 0xb4bf 0xba9353a9 @@ -26302,6 +32878,8 @@ 1 0 0 +5 +3 3 0x41f9 0x89ac163d @@ -26310,6 +32888,8 @@ 0 0 0 +2 +2 3 0xf478 0x90124cdc @@ -26318,6 +32898,8 @@ 1 0 0 +2 +3 1 0x5091 0x73a52396 @@ -26326,6 +32908,8 @@ 1 1 0 +5 +5 1 0x2753 0xe6df401b @@ -26335,6 +32919,8 @@ 1 0 3 +4 +3 0x63bf 0x51190ca9 256 @@ -26343,6 +32929,8 @@ 0 0 1 +5 +1 0x36d9 0x36e9b480 256 @@ -26350,6 +32938,8 @@ 1 0 0 +4 +2 3 0x8809 0x764c3ec7 @@ -26358,6 +32948,8 @@ 0 0 0 +1 +2 4 0x573a 0xa5e92a21 @@ -26367,6 +32959,8 @@ 0 0 3 +4 +3 0xb20b 0x5771a2ca 256 @@ -26374,6 +32968,8 @@ 1 0 0 +1 +5 2 0xa873 0xb6373e68 @@ -26382,6 +32978,8 @@ 1 0 0 +5 +2 1 0x23b 0x9f2e1823 @@ -26390,6 +32988,8 @@ 1 0 0 +3 +3 1 0x3cd3 0xe7974c8d @@ -26398,6 +32998,8 @@ 0 0 0 +5 +2 3 0x85f 0x9dad5f36 @@ -26406,6 +33008,8 @@ 1 1 0 +1 +2 4 0x94b2 0x37d97d70 @@ -26414,6 +33018,8 @@ 1 1 0 +1 +2 2 0x9533 0x204f26d3 @@ -26422,6 +33028,8 @@ 1 0 0 +2 +2 4 0x8cae 0x7a83128e @@ -26430,6 +33038,8 @@ 1 1 0 +5 +2 1 0x9eb4 0xfd80b4fc @@ -26438,6 +33048,8 @@ 0 0 0 +5 +4 2 0x1025 0x9fab7a4 @@ -26446,6 +33058,8 @@ 1 0 0 +1 +4 2 0xd38d 0x2bb217ce @@ -26454,6 +33068,8 @@ 0 0 0 +4 +2 3 0x5e16 0x60e3112f @@ -26463,6 +33079,8 @@ 0 0 1 +2 +1 0x66e1 0xd923a176 256 @@ -26471,6 +33089,8 @@ 0 0 2 +0 +2 0x692 0xd2f81b67 256 @@ -26478,6 +33098,8 @@ 0 0 0 +4 +3 3 0x72c1 0x7b8e36db @@ -26486,6 +33108,8 @@ 0 0 0 +3 +0 4 0x2ec2 0x870bb61b @@ -26494,6 +33118,8 @@ 0 0 0 +0 +5 1 0x967c 0x70aaff78 @@ -26503,6 +33129,8 @@ 0 0 2 +4 +2 0x9825 0x8a9e2074 256 @@ -26510,6 +33138,8 @@ 0 0 0 +4 +0 3 0x395a 0x7315295a @@ -26518,6 +33148,8 @@ 1 1 0 +1 +0 4 0x57c4 0xcbc79a4d @@ -26527,6 +33159,8 @@ 1 0 2 +0 +2 0x80e8 0xb3bd6b16 256 @@ -26534,6 +33168,8 @@ 0 0 0 +5 +4 3 0xc59c 0xdb0b894a @@ -26543,6 +33179,8 @@ 0 0 2 +1 +2 0xeace 0xe2e41b42 256 @@ -26550,6 +33188,8 @@ 0 0 0 +4 +2 3 0x7f59 0xcc2359fb @@ -26558,6 +33198,8 @@ 1 0 0 +0 +1 1 0xc3b7 0xc63587e6 @@ -26566,6 +33208,8 @@ 1 1 0 +0 +5 4 0x41d9 0x76646a9b @@ -26574,6 +33218,8 @@ 1 1 0 +1 +4 3 0x3395 0x96c7b039 @@ -26582,6 +33228,8 @@ 0 0 0 +0 +5 4 0xf674 0x3348b39c @@ -26590,6 +33238,8 @@ 0 0 0 +2 +1 4 0x7467 0x85078f6f @@ -26598,6 +33248,8 @@ 0 0 0 +1 +0 2 0x5a30 0x9f1c2a71 @@ -26606,6 +33258,8 @@ 1 1 0 +3 +0 1 0x8823 0xef9d2851 @@ -26614,6 +33268,8 @@ 1 0 0 +3 +1 4 0x9919 0xbfe6999c @@ -26622,6 +33278,8 @@ 0 0 0 +3 +0 2 0xad57 0x88654e4 @@ -26630,6 +33288,8 @@ 1 1 0 +4 +2 2 0xf26d 0xe036f0e3 @@ -26638,6 +33298,8 @@ 0 0 0 +1 +1 4 0x8851 0x11678746 @@ -26646,6 +33308,8 @@ 0 0 0 +4 +5 3 0x8e97 0x55422081 @@ -26654,6 +33318,8 @@ 1 0 0 +3 +2 4 0x5d6e 0x3d83ba17 @@ -26662,6 +33328,8 @@ 1 0 0 +0 +4 2 0xc110 0x169f61f1 @@ -26670,6 +33338,8 @@ 1 0 0 +4 +4 2 0x6455 0xd833a987 @@ -26679,6 +33349,8 @@ 0 0 4 +4 +4 0xd5b9 0x77c6467a 256 @@ -26686,6 +33358,8 @@ 1 1 0 +4 +3 3 0x9e1b 0x2cb0b36c @@ -26694,6 +33368,8 @@ 1 0 0 +0 +5 3 0x2a41 0xbbb1d5ab @@ -26702,6 +33378,8 @@ 0 0 0 +4 +4 1 0x720f 0xcd109e04 @@ -26710,6 +33388,8 @@ 0 0 0 +4 +4 1 0xb23f 0x5d4986f4 @@ -26718,6 +33398,8 @@ 1 0 0 +5 +5 2 0xe6c9 0x15685aed @@ -26726,6 +33408,8 @@ 0 0 0 +3 +2 4 0xa7eb 0xbdb3215e @@ -26735,6 +33419,8 @@ 1 0 1 +5 +1 0xd16b 0x813cd3d9 256 @@ -26742,6 +33428,8 @@ 1 0 0 +3 +1 1 0x261a 0x78db3229 @@ -26750,6 +33438,8 @@ 0 0 0 +5 +3 3 0x918a 0x6177037c @@ -26759,6 +33449,8 @@ 0 0 3 +5 +3 0x48a5 0xbc638700 256 @@ -26766,6 +33458,8 @@ 0 0 0 +5 +5 2 0x7d3b 0x599b5662 @@ -26774,6 +33468,8 @@ 0 0 0 +1 +4 2 0xe5aa 0x67cc3a51 @@ -26782,6 +33478,8 @@ 0 0 0 +5 +0 4 0x646c 0xf1c58714 @@ -26790,6 +33488,8 @@ 0 0 0 +3 +5 4 0x9632 0xd3cceb4f @@ -26798,6 +33498,8 @@ 1 1 0 +2 +5 3 0x1355 0x9d3d61e5 @@ -26806,6 +33508,8 @@ 1 1 0 +2 +5 3 0xb115 0xe24df9d4 @@ -26814,6 +33518,8 @@ 1 0 0 +4 +0 1 0xdc71 0x818baab6 @@ -26823,6 +33529,8 @@ 0 0 4 +5 +4 0xc600 0x1f12c2a7 256 @@ -26831,6 +33539,8 @@ 0 0 3 +0 +3 0x6925 0x46b1aa78 256 @@ -26838,6 +33548,8 @@ 0 0 0 +4 +2 1 0x43c5 0x957d3d16 @@ -26846,6 +33558,8 @@ 1 0 0 +2 +0 1 0xbf32 0x79e18bf0 @@ -26854,6 +33568,8 @@ 0 0 0 +4 +2 1 0x487e 0xbfebf95 @@ -26862,6 +33578,8 @@ 1 0 0 +1 +2 4 0x5b79 0x32aa743 @@ -26870,6 +33588,8 @@ 0 0 0 +4 +1 1 0x50c5 0x574f29e0 @@ -26879,6 +33599,8 @@ 0 0 4 +3 +4 0x421c 0xf7b71793 256 @@ -26886,6 +33608,8 @@ 1 0 0 +4 +0 2 0x830f 0xa48e3434 @@ -26894,6 +33618,8 @@ 0 0 0 +1 +2 2 0x48cb 0xc308f5ed @@ -26902,6 +33628,8 @@ 0 0 0 +5 +5 2 0x1fb4 0x7d12ebfe @@ -26910,6 +33638,8 @@ 1 1 0 +3 +5 4 0xc74a 0x82a95435 @@ -26918,6 +33648,8 @@ 1 0 0 +3 +3 1 0x8553 0xf05c03b6 @@ -26926,6 +33658,8 @@ 0 0 0 +2 +2 1 0xed01 0x74d295d @@ -26934,6 +33668,8 @@ 0 0 0 +0 +2 3 0xf271 0x2e693fd5 @@ -26942,6 +33678,8 @@ 0 0 0 +3 +2 4 0x37d3 0xb72a0402 @@ -26950,6 +33688,8 @@ 1 0 0 +2 +5 3 0x9e92 0xa77c410c @@ -26958,6 +33698,8 @@ 1 0 0 +5 +5 4 0xfbc5 0x62734118 @@ -26966,6 +33708,8 @@ 0 0 0 +2 +3 4 0xe508 0xb3d638d6 @@ -26974,6 +33718,8 @@ 1 1 0 +0 +5 4 0x46db 0x1e0807d2 @@ -26983,6 +33729,8 @@ 0 0 4 +1 +4 0x15e5 0xb87c15f7 256 @@ -26990,6 +33738,8 @@ 1 0 0 +1 +3 4 0xe44d 0x77e5de9c @@ -26998,6 +33748,8 @@ 0 0 0 +2 +4 1 0x7f42 0xb03bbef9 @@ -27006,6 +33758,8 @@ 1 1 0 +0 +0 1 0xd0f 0x75af6093 @@ -27014,6 +33768,8 @@ 0 0 0 +4 +5 1 0x1edc 0xc4d2e5fa @@ -27022,6 +33778,8 @@ 1 1 0 +2 +4 4 0xe565 0x87fb2c4d @@ -27030,6 +33788,8 @@ 0 0 0 +1 +4 4 0xb1b6 0xd479911a @@ -27038,6 +33798,8 @@ 1 0 0 +5 +2 3 0xbdfa 0x4d199ff0 @@ -27046,6 +33808,8 @@ 0 0 0 +1 +3 4 0xe411 0x31b2b2e0 @@ -27054,6 +33818,8 @@ 1 1 0 +0 +1 2 0xe82e 0x52321bff @@ -27063,6 +33829,8 @@ 0 0 2 +0 +2 0xb28f 0x9db01be9 256 @@ -27070,6 +33838,8 @@ 0 0 0 +2 +2 4 0x49db 0x6837373d @@ -27078,6 +33848,8 @@ 0 0 0 +0 +1 2 0xb7c5 0x3262abf9 @@ -27086,6 +33858,8 @@ 1 1 0 +3 +3 1 0xf69c 0x9c098bb4 @@ -27094,6 +33868,8 @@ 1 1 0 +1 +0 2 0xbd6f 0xb7aa6649 @@ -27102,6 +33878,8 @@ 0 0 0 +5 +1 3 0xf071 0xfb594cbc @@ -27110,6 +33888,8 @@ 0 0 0 +4 +5 1 0x5423 0x13c28bd0 @@ -27118,6 +33898,8 @@ 1 0 0 +2 +5 4 0x8280 0x99043898 @@ -27126,6 +33908,8 @@ 0 0 0 +0 +3 2 0x78ff 0xb6b82060 @@ -27134,6 +33918,8 @@ 0 0 0 +0 +5 4 0xdffc 0xa360facf @@ -27142,6 +33928,8 @@ 0 0 0 +2 +1 3 0x3930 0x1066e01b @@ -27151,6 +33939,8 @@ 0 0 4 +1 +4 0xbb3e 0x3e72ae7f 256 @@ -27158,6 +33948,8 @@ 1 1 0 +1 +4 2 0x247a 0x7536108 @@ -27166,6 +33958,8 @@ 1 0 0 +5 +0 2 0x37e4 0xbcb8c4c9 @@ -27174,6 +33968,8 @@ 0 0 0 +2 +2 3 0x737d 0x47a8cb9f @@ -27182,6 +33978,8 @@ 1 1 0 +4 +1 1 0x3d46 0xa9c01fc @@ -27190,6 +33988,8 @@ 0 0 0 +5 +3 4 0x2402 0xc4b7cce3 @@ -27199,6 +33999,8 @@ 0 0 4 +1 +4 0xeea6 0xc30194 256 @@ -27206,6 +34008,8 @@ 1 1 0 +2 +4 3 0xbd22 0x8cd78ba5 @@ -27214,6 +34018,8 @@ 1 1 0 +0 +2 2 0x6e5a 0x7c32fb2f @@ -27222,6 +34028,8 @@ 1 1 0 +1 +0 3 0x3a32 0x75599c4e @@ -27230,6 +34038,8 @@ 1 0 0 +5 +3 3 0x98d7 0xe45e39de @@ -27239,6 +34049,8 @@ 0 0 2 +4 +2 0x57e6 0x389935f3 256 @@ -27246,6 +34058,8 @@ 0 0 0 +4 +2 2 0x6200 0xa471d436 @@ -27254,6 +34068,8 @@ 0 0 0 +4 +0 3 0x746a 0x50cfbe46 @@ -27262,6 +34078,8 @@ 1 0 0 +0 +3 4 0xa74b 0x95fa0df9 @@ -27270,6 +34088,8 @@ 0 0 0 +2 +0 1 0x1be7 0xcc6a452d @@ -27279,6 +34099,8 @@ 0 0 1 +4 +1 0x4d42 0xe091cde 256 @@ -27287,6 +34109,8 @@ 0 0 1 +5 +1 0xd037 0xc764df6e 256 @@ -27295,6 +34119,8 @@ 0 0 3 +4 +3 0x51ed 0x4b328a49 256 @@ -27302,6 +34128,8 @@ 0 0 0 +3 +3 1 0xb443 0xcc68afb1 @@ -27310,6 +34138,8 @@ 1 0 0 +2 +3 1 0x34b1 0xe4ae315b @@ -27318,6 +34148,8 @@ 0 0 0 +2 +3 1 0x5ae 0xec49d0f8 @@ -27326,6 +34158,8 @@ 1 0 0 +4 +2 2 0xbc3 0x90df0a86 @@ -27334,6 +34168,8 @@ 1 0 0 +4 +1 3 0xa1d 0xce20cf1f @@ -27342,6 +34178,8 @@ 1 0 0 +2 +2 3 0xcf47 0x8b54adc5 @@ -27350,6 +34188,8 @@ 1 0 0 +5 +1 3 0xe819 0xb0fe2473 @@ -27358,6 +34198,8 @@ 1 0 0 +5 +0 1 0x40ac 0xe8ac3dd @@ -27366,6 +34208,8 @@ 1 0 0 +5 +0 4 0xab5b 0x79389e43 @@ -27374,6 +34218,8 @@ 1 0 0 +5 +3 3 0xe604 0x71e01d74 @@ -27382,6 +34228,8 @@ 1 0 0 +3 +2 2 0xd4f7 0xf5072fbf @@ -27391,6 +34239,8 @@ 0 0 4 +1 +4 0x2dea 0x37f4f1c5 256 @@ -27398,6 +34248,8 @@ 1 0 0 +5 +2 1 0x104d 0x93700554 @@ -27407,6 +34259,8 @@ 1 0 4 +0 +4 0x5de9 0xb7ac91e0 256 @@ -27415,6 +34269,8 @@ 0 0 2 +1 +2 0xf06 0x16b3e660 256 @@ -27422,6 +34278,8 @@ 1 0 0 +4 +3 1 0xe790 0x5ec2e477 @@ -27430,6 +34288,8 @@ 1 0 0 +2 +3 3 0xab57 0x3306a290 @@ -27438,6 +34298,8 @@ 0 0 0 +5 +4 3 0xfe39 0xc5d48144 @@ -27446,6 +34308,8 @@ 0 0 0 +5 +4 3 0xea57 0xb3016c44 @@ -27454,6 +34318,8 @@ 1 0 0 +0 +1 1 0xad13 0x53fc6482 @@ -27462,6 +34328,8 @@ 1 1 0 +5 +5 3 0x1c99 0xfdea2b18 @@ -27470,6 +34338,8 @@ 0 0 0 +5 +0 4 0xc7f6 0x408d27a4 @@ -27478,6 +34348,8 @@ 0 0 0 +2 +1 1 0x6e4 0xc6d811d3 @@ -27487,6 +34359,8 @@ 0 0 4 +3 +4 0x1c49 0x3649eca 256 @@ -27494,6 +34368,8 @@ 0 0 0 +2 +0 1 0x9fa8 0x1f3a4fb4 @@ -27502,6 +34378,8 @@ 0 0 0 +2 +0 3 0xe8e0 0x7f614827 @@ -27510,6 +34388,8 @@ 1 0 0 +0 +2 4 0x1727 0xf9cf22cd @@ -27518,6 +34398,8 @@ 0 0 0 +0 +1 4 0x8c12 0x8d767bae @@ -27527,6 +34409,8 @@ 0 0 1 +5 +1 0xe8e 0xf515d47d 256 @@ -27535,6 +34419,8 @@ 0 0 1 +3 +1 0xc38c 0xce966b4f 256 @@ -27543,6 +34429,8 @@ 0 0 2 +4 +2 0x617 0xf2bc54aa 256 @@ -27550,6 +34438,8 @@ 0 0 0 +5 +2 1 0x2dcf 0x3b7e39e3 @@ -27559,6 +34449,8 @@ 0 0 1 +4 +1 0xdd96 0x2ed6b221 256 @@ -27567,6 +34459,8 @@ 0 0 3 +1 +3 0x3e6b 0xa2b940f0 256 @@ -27574,6 +34468,8 @@ 0 0 0 +5 +2 3 0xe6eb 0x9b3b27b3 @@ -27583,6 +34479,8 @@ 0 0 4 +1 +4 0x4135 0x4a20a13e 256 @@ -27591,6 +34489,8 @@ 0 0 1 +3 +1 0xf3f0 0xc61e41da 256 @@ -27598,6 +34498,8 @@ 0 0 0 +3 +4 4 0x4cb2 0xdf457013 @@ -27607,6 +34509,8 @@ 1 0 3 +0 +3 0x2d7a 0xe6391ea8 256 @@ -27614,6 +34518,8 @@ 0 0 0 +2 +1 4 0x61ea 0x71c8938 @@ -27623,6 +34529,8 @@ 0 0 2 +3 +2 0xe0cc 0xf0830910 256 @@ -27630,6 +34538,8 @@ 0 0 0 +5 +5 1 0x3d50 0xc251ab0a @@ -27638,6 +34548,8 @@ 1 1 0 +0 +3 4 0x1b6f 0xb96f6987 @@ -27646,6 +34558,8 @@ 1 0 0 +2 +4 4 0x72bb 0xc615ae03 @@ -27654,6 +34568,8 @@ 0 0 0 +3 +3 4 0x6d31 0x706cc64 @@ -27662,6 +34578,8 @@ 0 0 0 +0 +3 1 0xd9d2 0xfec429db @@ -27670,6 +34588,8 @@ 1 0 0 +5 +0 2 0x6194 0x70e81800 @@ -27678,6 +34598,8 @@ 1 0 0 +0 +3 1 0xeb3b 0xcab31a82 @@ -27686,6 +34608,8 @@ 1 0 0 +0 +2 1 0x6032 0x2a3a00df @@ -27694,6 +34618,8 @@ 1 1 0 +4 +5 3 0x8c5d 0x71347e9f @@ -27702,6 +34628,8 @@ 1 1 0 +3 +0 2 0xa445 0x2b82a748 @@ -27711,6 +34639,8 @@ 1 0 3 +4 +3 0xd0c6 0x56c0c09f 256 @@ -27718,6 +34648,8 @@ 0 0 0 +2 +0 1 0x72a5 0xe29ce765 @@ -27726,6 +34658,8 @@ 1 1 0 +4 +5 1 0x47b1 0x9587d1e6 @@ -27735,6 +34669,8 @@ 0 0 3 +4 +3 0x1665 0x9e4a7bf7 256 @@ -27742,6 +34678,8 @@ 1 1 0 +0 +2 4 0xe294 0x28c22f17 @@ -27750,6 +34688,8 @@ 0 0 0 +0 +3 1 0xdf7a 0x1423f1a4 @@ -27758,6 +34698,8 @@ 1 0 0 +2 +5 1 0xd179 0x843fa7a6 @@ -27766,6 +34708,8 @@ 1 0 0 +0 +5 2 0x6437 0xdd184c0a @@ -27775,6 +34719,8 @@ 1 0 2 +3 +2 0x91d1 0x853b38dd 256 @@ -27782,6 +34728,8 @@ 1 1 0 +2 +4 3 0x8d21 0xd4a60956 @@ -27790,6 +34738,8 @@ 0 0 0 +1 +2 3 0x9ca6 0xca7d8d81 @@ -27798,6 +34748,8 @@ 1 0 0 +5 +4 3 0xac95 0x234347f6 @@ -27806,6 +34758,8 @@ 0 0 0 +0 +5 3 0x3d3a 0xe58b1523 @@ -27814,6 +34768,8 @@ 0 0 0 +1 +3 4 0xcb8 0x68ee3f7e @@ -27822,6 +34778,8 @@ 0 0 0 +3 +3 2 0x5781 0x559eaf64 @@ -27830,6 +34788,8 @@ 0 0 0 +0 +1 2 0x7ed 0xd810adb8 @@ -27838,6 +34798,8 @@ 0 0 0 +0 +0 2 0x8d26 0x87c2cb1c @@ -27846,6 +34808,8 @@ 0 0 0 +5 +5 1 0xc71 0xe9aaf02e @@ -27854,6 +34818,8 @@ 1 0 0 +1 +4 3 0xf843 0xfbbe9e05 @@ -27863,6 +34829,8 @@ 1 0 2 +4 +2 0xf20f 0xed94cef0 256 @@ -27870,6 +34838,8 @@ 1 0 0 +4 +1 2 0x32de 0x882efd89 @@ -27879,6 +34849,8 @@ 0 0 3 +5 +3 0x6833 0xd751aeca 256 @@ -27886,6 +34858,8 @@ 1 1 0 +0 +4 2 0x427 0xe0d441d3 @@ -27895,6 +34869,8 @@ 0 0 1 +0 +1 0x7da0 0x46c67ff9 256 @@ -27902,6 +34878,8 @@ 1 1 0 +4 +2 1 0xb520 0xfa0671aa @@ -27910,6 +34888,8 @@ 0 0 0 +4 +3 3 0xc006 0x16f650e6 @@ -27918,6 +34898,8 @@ 0 0 0 +5 +1 4 0x4b0e 0x87df7f92 @@ -27926,6 +34908,8 @@ 1 1 0 +4 +1 1 0x300f 0x9abf2fff @@ -27934,6 +34918,8 @@ 1 1 0 +5 +1 1 0x3838 0x7dc0c6ba @@ -27942,6 +34928,8 @@ 1 0 0 +2 +4 4 0x2b89 0xbf534075 @@ -27950,6 +34938,8 @@ 1 1 0 +4 +3 2 0x141 0xe8c04edc @@ -27958,6 +34948,8 @@ 0 0 0 +4 +3 2 0xddac 0xd318e018 @@ -27967,6 +34959,8 @@ 0 0 4 +4 +4 0x2a0c 0x5f4f568c 256 @@ -27975,6 +34969,8 @@ 0 0 3 +4 +3 0xe669 0x4ed29488 256 @@ -27982,6 +34978,8 @@ 1 1 0 +0 +3 3 0xddeb 0x8d962db7 @@ -27990,6 +34988,8 @@ 1 1 0 +4 +0 2 0x3515 0x43940f8e @@ -27998,6 +34998,8 @@ 0 0 0 +2 +5 1 0xa730 0x66049683 @@ -28006,6 +35008,8 @@ 1 1 0 +5 +2 1 0x6861 0x1b1271b @@ -28014,6 +35018,8 @@ 1 0 0 +0 +3 4 0x9bb5 0x40dc9e62 @@ -28022,6 +35028,8 @@ 1 0 0 +2 +2 1 0x1b94 0xea7074cc @@ -28030,6 +35038,8 @@ 0 0 0 +3 +0 1 0xd4f8 0x6c81b7bc @@ -28038,6 +35048,8 @@ 1 0 0 +4 +3 2 0xa0b 0x7ec20a33 @@ -28047,6 +35059,8 @@ 1 0 1 +1 +1 0xff23 0xd8d1e8eb 256 @@ -28054,6 +35068,8 @@ 1 1 0 +1 +2 3 0x6288 0xaa91535e @@ -28062,6 +35078,8 @@ 1 0 0 +2 +3 4 0x8721 0x92d00c52 @@ -28070,6 +35088,8 @@ 0 0 0 +0 +2 4 0xf361 0x7888189b @@ -28078,6 +35098,8 @@ 1 0 0 +3 +5 2 0x75f1 0xb1efd33b @@ -28086,6 +35108,8 @@ 0 0 0 +5 +4 1 0xd6ec 0x8c8b72d2 @@ -28094,6 +35118,8 @@ 0 0 0 +2 +5 1 0x49b7 0x69abc76a @@ -28102,6 +35128,8 @@ 0 0 0 +5 +5 3 0xce54 0xb3cc3641 @@ -28110,6 +35138,8 @@ 0 0 0 +0 +1 2 0x4d40 0x79005985 @@ -28118,6 +35148,8 @@ 0 0 0 +5 +4 2 0x5104 0x32917a74 @@ -28126,6 +35158,8 @@ 1 1 0 +4 +3 3 0xf999 0xa4ce9d45 @@ -28134,6 +35168,8 @@ 1 0 0 +5 +1 2 0x7e2 0x33dd092d @@ -28142,6 +35178,8 @@ 0 0 0 +2 +5 4 0xd623 0x87827cb0 @@ -28150,6 +35188,8 @@ 0 0 0 +3 +0 2 0x53eb 0x48db67a5 @@ -28158,6 +35198,8 @@ 0 0 0 +4 +1 3 0xc677 0x556a5863 @@ -28167,6 +35209,8 @@ 0 0 4 +2 +4 0x7a3c 0x238fab58 256 @@ -28174,6 +35218,8 @@ 1 1 0 +0 +1 2 0x522f 0x4826d2ca @@ -28182,6 +35228,8 @@ 1 1 0 +5 +4 2 0xc1b2 0xfd3c8fd5 @@ -28190,6 +35238,8 @@ 1 0 0 +0 +0 4 0x4287 0xcc238b43 @@ -28199,6 +35249,8 @@ 0 0 2 +1 +2 0x8645 0xd92debf7 256 @@ -28206,6 +35258,8 @@ 1 0 0 +0 +5 3 0x9c16 0xa52f35c3 @@ -28214,6 +35268,8 @@ 1 1 0 +0 +1 4 0xa9b1 0x10f111af @@ -28223,6 +35279,8 @@ 0 0 2 +1 +2 0x5f30 0x54f44389 256 @@ -28230,6 +35288,8 @@ 1 1 0 +3 +2 2 0x1dbe 0x6c1e1b3d @@ -28238,6 +35298,8 @@ 0 0 0 +3 +3 2 0xc151 0x4c9a6c1a @@ -28246,6 +35308,8 @@ 1 0 0 +2 +1 4 0x2e77 0x6162614 @@ -28254,6 +35318,8 @@ 1 1 0 +5 +5 2 0x986d 0xd66f897e @@ -28262,6 +35328,8 @@ 0 0 0 +0 +3 3 0x7d64 0x591cd40e @@ -28270,6 +35338,8 @@ 0 0 0 +4 +3 3 0x5bd1 0xead1a112 @@ -28279,6 +35349,8 @@ 1 0 1 +3 +1 0x7dfa 0x1db6186c 256 @@ -28286,6 +35358,8 @@ 0 0 0 +0 +4 1 0xf294 0xceee6c32 @@ -28294,6 +35368,8 @@ 0 0 0 +3 +1 4 0x5593 0x9fa6b887 @@ -28302,6 +35378,8 @@ 1 0 0 +3 +1 4 0x1547 0xc0827624 @@ -28310,6 +35388,8 @@ 1 1 0 +2 +0 4 0x751f 0x600f6d13 @@ -28318,6 +35398,8 @@ 0 0 0 +5 +0 4 0x566a 0x24529bee @@ -28326,6 +35408,8 @@ 0 0 0 +4 +2 1 0x813d 0x182f55de @@ -28334,6 +35418,8 @@ 0 0 0 +5 +5 2 0xb5b0 0xf0630f6d @@ -28342,6 +35428,8 @@ 1 0 0 +5 +1 3 0xe163 0x446055a3 @@ -28351,6 +35439,8 @@ 1 0 1 +5 +1 0xb560 0xa495bb22 256 @@ -28358,6 +35448,8 @@ 0 0 0 +4 +1 3 0x2d1f 0xfeb1147d @@ -28366,6 +35458,8 @@ 1 1 0 +5 +5 2 0x5ab1 0x53d67de @@ -28374,6 +35468,8 @@ 0 0 0 +5 +1 4 0xedb2 0x314bfd71 @@ -28382,6 +35478,8 @@ 1 1 0 +2 +1 4 0xb5da 0x18231e39 @@ -28390,6 +35488,8 @@ 0 0 0 +1 +5 4 0x1544 0xcfefbb61 @@ -28398,6 +35498,8 @@ 0 0 0 +1 +1 3 0x128c 0x8cd50586 @@ -28407,6 +35509,8 @@ 0 0 1 +3 +1 0xd8a5 0xb822df7f 256 @@ -28415,6 +35519,8 @@ 0 0 1 +1 +1 0x5db1 0x12a2c693 256 @@ -28422,6 +35528,8 @@ 1 1 0 +4 +0 1 0x8f04 0x5e514100 @@ -28430,6 +35538,8 @@ 1 0 0 +3 +1 1 0x1e2f 0xa218612 @@ -28438,6 +35548,8 @@ 0 0 0 +4 +4 1 0xb41 0xcfd3f406 @@ -28446,6 +35558,8 @@ 1 0 0 +5 +2 3 0x6098 0x5f04b4fb @@ -28454,6 +35568,8 @@ 0 0 0 +5 +3 4 0xca65 0xa990c631 @@ -28463,6 +35579,8 @@ 0 0 3 +5 +3 0x6356 0x51842499 256 @@ -28470,6 +35588,8 @@ 0 0 0 +2 +4 1 0x2ed2 0x14df2ebc @@ -28478,6 +35598,8 @@ 1 1 0 +4 +4 1 0xf32c 0xa197d89 @@ -28486,6 +35608,8 @@ 0 0 0 +5 +5 1 0xf4e8 0x452bc29 @@ -28494,6 +35618,8 @@ 0 0 0 +2 +1 3 0x31e6 0x408f7eec @@ -28502,6 +35628,8 @@ 1 0 0 +4 +1 2 0x6aae 0xfbcad044 @@ -28510,6 +35638,8 @@ 1 0 0 +5 +1 1 0x65e2 0x108fb9d5 @@ -28518,6 +35648,8 @@ 1 0 0 +5 +5 4 0x8f9c 0x1f5d1293 @@ -28526,6 +35658,8 @@ 1 1 0 +1 +0 4 0x5cfe 0x1b4cb3b1 @@ -28534,6 +35668,8 @@ 0 0 0 +5 +3 1 0x1bfb 0xdf58381 @@ -28542,6 +35678,8 @@ 1 0 0 +2 +1 1 0xfe68 0x4c597b23 @@ -28550,6 +35688,8 @@ 1 0 0 +5 +1 4 0x37c8 0xded0d107 @@ -28559,6 +35699,8 @@ 0 0 4 +1 +4 0xd049 0xc364008d 256 @@ -28566,6 +35708,8 @@ 0 0 0 +5 +0 3 0x8591 0xce36fab9 @@ -28574,6 +35718,8 @@ 0 0 0 +0 +2 2 0x7bca 0xe26c3d03 @@ -28582,6 +35728,8 @@ 1 1 0 +4 +1 1 0xbee6 0xc68a3ebe @@ -28591,6 +35739,8 @@ 0 0 1 +4 +1 0xa74c 0x235ea0ee 256 @@ -28598,6 +35748,8 @@ 1 1 0 +4 +2 1 0xda50 0x8f83c66e @@ -28606,6 +35758,8 @@ 1 1 0 +2 +1 4 0xc465 0x541c835b @@ -28614,6 +35768,8 @@ 1 1 0 +4 +3 3 0x6655 0xa7b4f5a6 @@ -28622,6 +35778,8 @@ 0 0 0 +1 +2 3 0xb62b 0x6841a5e5 @@ -28630,6 +35788,8 @@ 0 0 0 +5 +4 2 0x40b1 0xa2e6a5b @@ -28638,6 +35798,8 @@ 1 0 0 +5 +2 4 0x5eb7 0xbbebf087 @@ -28646,6 +35808,8 @@ 0 0 0 +4 +0 3 0xc30f 0xa46c9e68 @@ -28654,6 +35818,8 @@ 0 0 0 +2 +4 4 0xa2d6 0xe666691c @@ -28662,6 +35828,8 @@ 1 0 0 +2 +5 3 0xafb9 0x62c7074f @@ -28670,6 +35838,8 @@ 0 0 0 +4 +2 1 0xbf78 0xade3f34e @@ -28678,6 +35848,8 @@ 0 0 0 +4 +4 2 0xab71 0xf407a532 @@ -28687,6 +35859,8 @@ 1 0 4 +1 +4 0xb8f4 0x83b861be 256 @@ -28694,6 +35868,8 @@ 1 1 0 +5 +5 3 0xfc80 0x20d880ff @@ -28702,6 +35878,8 @@ 1 0 0 +1 +0 4 0x78d5 0xe1c94e39 @@ -28710,6 +35888,8 @@ 1 1 0 +5 +1 2 0x6cf9 0x94262bb4 @@ -28718,6 +35898,8 @@ 0 0 0 +1 +0 2 0xccb1 0x1cce08b1 @@ -28727,6 +35909,8 @@ 0 0 2 +4 +2 0x5f5e 0xa0549eab 256 @@ -28734,6 +35918,8 @@ 1 0 0 +4 +1 1 0xd32e 0x53afaf0b @@ -28742,6 +35928,8 @@ 1 1 0 +3 +0 4 0xb25a 0x1a7ebba @@ -28750,6 +35938,8 @@ 0 0 0 +5 +1 3 0xc940 0x8b0eaa9f @@ -28758,6 +35948,8 @@ 0 0 0 +4 +4 2 0x9ad9 0x526ba55c @@ -28766,6 +35958,8 @@ 1 1 0 +5 +4 4 0xc0ce 0x8ca26dc9 @@ -28774,6 +35968,8 @@ 1 1 0 +1 +1 3 0x4c2a 0xf45f7d5a @@ -28782,6 +35978,8 @@ 0 0 0 +0 +3 3 0xe984 0xd350f8d0 @@ -28791,6 +35989,8 @@ 0 0 2 +2 +2 0x76fe 0x66ce6d85 256 @@ -28798,6 +35998,8 @@ 0 0 0 +5 +5 4 0x9ff2 0xeb0e6cd8 @@ -28806,6 +36008,8 @@ 1 1 0 +3 +1 1 0x49d9 0x410deff3 @@ -28814,6 +36018,8 @@ 0 0 0 +0 +3 1 0xb863 0xe455e762 @@ -28822,6 +36028,8 @@ 0 0 0 +2 +0 3 0x9331 0x3ec35235 @@ -28830,6 +36038,8 @@ 0 0 0 +3 +0 4 0xa223 0x4a63b879 @@ -28838,6 +36048,8 @@ 1 1 0 +5 +3 2 0x4441 0x946bbe95 @@ -28846,6 +36058,8 @@ 1 0 0 +3 +1 1 0xffbb 0x84447751 @@ -28854,6 +36068,8 @@ 0 0 0 +2 +4 1 0x8124 0x61adca5d @@ -28862,6 +36078,8 @@ 0 0 0 +1 +1 4 0x235b 0x4bb187bf @@ -28870,6 +36088,8 @@ 0 0 0 +0 +0 2 0xd43f 0x793e46b0 @@ -28878,6 +36098,8 @@ 1 0 0 +4 +5 2 0x1eaa 0x37154d68 @@ -28886,6 +36108,8 @@ 1 0 0 +4 +1 3 0x10ed 0x638ca9ac @@ -28894,6 +36118,8 @@ 1 1 0 +1 +1 4 0xa2e0 0xd6c0c1dc @@ -28902,6 +36128,8 @@ 1 0 0 +5 +5 3 0x95ed 0xbaefcd61 @@ -28910,6 +36138,8 @@ 0 0 0 +4 +3 2 0x1ef7 0x2ff6055c @@ -28918,6 +36148,8 @@ 1 0 0 +5 +4 3 0x8dcf 0xd0a1ec3 @@ -28926,6 +36158,8 @@ 0 0 0 +3 +4 4 0xa496 0x59f7f1c3 @@ -28934,6 +36168,8 @@ 0 0 0 +2 +4 1 0x74d5 0x99ff5100 @@ -28942,6 +36178,8 @@ 1 0 0 +2 +2 1 0xc3de 0xd9f81ae5 @@ -28950,6 +36188,8 @@ 0 0 0 +2 +3 1 0x5194 0x86272a64 @@ -28958,6 +36198,8 @@ 1 1 0 +3 +2 4 0xd559 0x299802dd @@ -28966,6 +36208,8 @@ 1 0 0 +4 +3 3 0xee3d 0xc516ba83 @@ -28974,6 +36218,8 @@ 0 0 0 +2 +0 4 0xccc5 0x811c506f @@ -28983,6 +36229,8 @@ 0 0 4 +5 +4 0x2b47 0x3c7fffaa 256 @@ -28990,6 +36238,8 @@ 0 0 0 +3 +1 2 0xa274 0xd24426b3 @@ -28998,6 +36248,8 @@ 0 0 0 +0 +1 2 0xa17 0x92c184e6 @@ -29006,6 +36258,8 @@ 1 0 0 +5 +5 4 0x800c 0xae1f81c2 @@ -29015,6 +36269,8 @@ 1 0 2 +4 +2 0x4d0a 0x6e705117 256 @@ -29022,6 +36278,8 @@ 0 0 0 +5 +3 1 0xf824 0x84e30b27 @@ -29030,6 +36288,8 @@ 0 0 0 +0 +1 2 0xea37 0x8828679d @@ -29038,6 +36298,8 @@ 1 1 0 +0 +1 1 0xf204 0x6c8dcba2 @@ -29046,6 +36308,8 @@ 0 0 0 +2 +4 4 0xed8 0xf4b19084 @@ -29054,6 +36318,8 @@ 0 0 0 +3 +1 4 0xf9a2 0x33d518ba @@ -29063,6 +36329,8 @@ 0 0 3 +0 +3 0xac37 0x14de9bb0 256 @@ -29070,6 +36338,8 @@ 0 0 0 +1 +4 3 0xb5a8 0xaa6542a4 @@ -29079,6 +36349,8 @@ 0 0 4 +1 +4 0x8794 0x15777002 256 @@ -29087,6 +36359,8 @@ 1 0 4 +2 +4 0xb911 0x7723db72 256 @@ -29094,6 +36368,8 @@ 0 0 0 +1 +4 4 0x8df8 0xa3253ecc @@ -29102,6 +36378,8 @@ 0 0 0 +3 +0 2 0x554a 0x5de43c0c @@ -29111,6 +36389,8 @@ 1 0 2 +2 +2 0x3b9d 0x9e490780 256 @@ -29118,6 +36398,8 @@ 1 0 0 +1 +0 3 0x8c0d 0x53502a8f @@ -29126,6 +36408,8 @@ 1 1 0 +5 +3 4 0x7ea0 0xfc287e9d @@ -29134,6 +36418,8 @@ 0 0 0 +3 +4 1 0x2e0b 0x915fb0c7 @@ -29143,6 +36429,8 @@ 0 0 3 +5 +3 0x6622 0xc209e2f2 256 @@ -29150,6 +36438,8 @@ 1 0 0 +2 +0 3 0x4d52 0x5627b0d @@ -29158,6 +36448,8 @@ 1 1 0 +2 +0 3 0xf4f8 0x223d2efd @@ -29166,6 +36458,8 @@ 1 1 0 +1 +0 4 0x8a59 0xa54332b @@ -29175,6 +36469,8 @@ 0 0 4 +4 +4 0xa080 0x3a5ddaac 256 @@ -29182,6 +36478,8 @@ 0 0 0 +0 +3 3 0xf3e4 0x9f5d5af7 @@ -29190,6 +36488,8 @@ 1 0 0 +5 +2 2 0xc6df 0x9b5af946 @@ -29198,6 +36498,8 @@ 0 0 0 +5 +1 2 0x4c77 0x54fadcc1 @@ -29206,6 +36508,8 @@ 1 0 0 +4 +3 2 0x53ae 0xc3c84596 @@ -29214,6 +36518,8 @@ 1 0 0 +5 +0 2 0x7edc 0x8c0ed02e @@ -29222,6 +36528,8 @@ 1 0 0 +1 +1 3 0xe88d 0x4838ee26 @@ -29230,6 +36538,8 @@ 1 0 0 +5 +3 1 0xeff0 0x61f44ac4 @@ -29239,6 +36549,8 @@ 1 0 3 +5 +3 0xa164 0xdc478740 256 @@ -29246,6 +36558,8 @@ 0 0 0 +5 +5 1 0x7560 0xe197ef2 @@ -29254,6 +36568,8 @@ 0 0 0 +1 +2 2 0xefdc 0x463d3f2b @@ -29262,6 +36578,8 @@ 0 0 0 +5 +5 2 0x90f4 0x9fccf910 @@ -29270,6 +36588,8 @@ 0 0 0 +5 +3 2 0x5c2b 0x3cf5d607 @@ -29279,6 +36599,8 @@ 0 0 4 +5 +4 0x731c 0xf307df1d 256 @@ -29286,6 +36608,8 @@ 0 0 0 +5 +4 3 0xca5d 0xef14e3bd @@ -29294,6 +36618,8 @@ 0 0 0 +0 +1 3 0x5fb1 0xdb46cd9a @@ -29302,6 +36628,8 @@ 1 1 0 +0 +2 3 0xec09 0x9122f9b6 @@ -29310,6 +36638,8 @@ 1 0 0 +0 +1 2 0x355d 0xdb0886f @@ -29318,6 +36648,8 @@ 0 0 0 +4 +1 2 0x2489 0x5638f00 @@ -29326,6 +36658,8 @@ 1 0 0 +1 +1 3 0xa816 0x39d79a6d @@ -29334,6 +36668,8 @@ 1 1 0 +0 +0 1 0x274a 0x12ddb4cb @@ -29342,6 +36678,8 @@ 0 0 0 +1 +4 2 0xc1be 0x4bcddaa1 @@ -29350,6 +36688,8 @@ 1 0 0 +1 +1 3 0xbab8 0x6b9a29dc @@ -29358,6 +36698,8 @@ 1 0 0 +3 +4 1 0xe4e 0xae77a047 @@ -29366,6 +36708,8 @@ 0 0 0 +4 +3 2 0xd6dc 0x4282279c @@ -29374,6 +36718,8 @@ 1 0 0 +3 +3 4 0x4726 0xf1a30c0e @@ -29382,6 +36728,8 @@ 0 0 0 +3 +5 1 0x82 0xd89b56ad @@ -29390,6 +36738,8 @@ 0 0 0 +0 +5 4 0x756e 0x63aab88 @@ -29398,6 +36748,8 @@ 0 0 0 +3 +2 2 0x74bc 0x359aa353 @@ -29406,6 +36758,8 @@ 1 1 0 +5 +2 3 0x78bd 0xfe6bddc5 @@ -29415,6 +36769,8 @@ 0 0 2 +1 +2 0x9859 0xc25f75af 256 @@ -29422,6 +36778,8 @@ 1 0 0 +1 +3 4 0xaa6d 0xef25cef1 @@ -29430,6 +36788,8 @@ 0 0 0 +5 +2 1 0x215b 0xaed72a5b @@ -29438,6 +36798,8 @@ 0 0 0 +0 +0 2 0x2d78 0x19f247c @@ -29446,6 +36808,8 @@ 1 0 0 +3 +4 1 0x17df 0x74d7709d @@ -29454,6 +36818,8 @@ 1 1 0 +1 +3 2 0x639e 0x9ea6437d @@ -29462,6 +36828,8 @@ 1 1 0 +2 +5 4 0x3d9e 0xa0d0e1bd @@ -29470,6 +36838,8 @@ 1 1 0 +2 +1 3 0xf869 0x1fefca88 @@ -29478,6 +36848,8 @@ 1 1 0 +0 +1 1 0xb3b0 0x2a4c06d4 @@ -29486,6 +36858,8 @@ 1 0 0 +1 +1 4 0x7bc6 0xacf21af8 @@ -29494,6 +36868,8 @@ 1 1 0 +4 +0 3 0x9659 0x7e89c79c @@ -29502,6 +36878,8 @@ 0 0 0 +3 +1 1 0xff70 0x77c12ee5 @@ -29511,6 +36889,8 @@ 1 0 2 +3 +2 0x671f 0xb8c17a36 256 @@ -29519,6 +36899,8 @@ 0 0 3 +2 +3 0xf796 0xe8125d1f 256 @@ -29526,6 +36908,8 @@ 1 0 0 +5 +0 1 0xad53 0xf537bc48 @@ -29534,6 +36918,8 @@ 1 0 0 +3 +0 4 0x2df3 0x99ac4fd7 @@ -29542,6 +36928,8 @@ 0 0 0 +3 +4 4 0xdf9d 0x11d7153d @@ -29550,6 +36938,8 @@ 0 0 0 +4 +5 3 0x4927 0xb5ccdc55 @@ -29558,6 +36948,8 @@ 0 0 0 +5 +4 4 0x2482 0xfcef5811 @@ -29566,6 +36958,8 @@ 1 0 0 +4 +1 1 0xd7c 0x10f28bc4 @@ -29574,6 +36968,8 @@ 0 0 0 +2 +1 3 0x1316 0xe374300c @@ -29582,6 +36978,8 @@ 0 0 0 +1 +1 4 0xd0d1 0x2ffba0d4 @@ -29591,6 +36989,8 @@ 0 0 1 +4 +1 0xf446 0x73b1e62e 256 @@ -29598,6 +36998,8 @@ 0 0 0 +5 +3 2 0xacaf 0x7e292e99 @@ -29606,6 +37008,8 @@ 1 1 0 +3 +5 1 0x4d5f 0xdf22393b @@ -29614,6 +37018,8 @@ 1 0 0 +2 +0 4 0x2205 0x83b726dd @@ -29623,6 +37029,8 @@ 0 0 2 +5 +2 0x5b5e 0xea51af31 256 @@ -29630,6 +37038,8 @@ 1 1 0 +1 +0 4 0x806c 0x1a510a2c @@ -29639,6 +37049,8 @@ 0 0 1 +3 +1 0x68b8 0x3c743b0e 256 @@ -29647,6 +37059,8 @@ 0 0 1 +5 +1 0xc137 0xcbd6055d 256 @@ -29654,6 +37068,8 @@ 1 1 0 +1 +3 3 0x56d6 0xdfbf6ce4 @@ -29662,6 +37078,8 @@ 1 0 0 +4 +0 2 0xfd9d 0xf9cad9ba @@ -29670,6 +37088,8 @@ 0 0 0 +5 +3 2 0x14bd 0x4fa215ed @@ -29678,6 +37098,8 @@ 1 0 0 +2 +2 4 0xbc99 0xd8d85e74 @@ -29686,6 +37108,8 @@ 0 0 0 +0 +3 2 0x45d 0xa74cd906 @@ -29694,6 +37118,8 @@ 1 0 0 +0 +1 4 0x5e2f 0xa24fde41 @@ -29702,6 +37128,8 @@ 1 0 0 +1 +1 3 0xe1b1 0x152e43d5 @@ -29710,6 +37138,8 @@ 1 0 0 +0 +1 4 0xf56 0x269415b6 @@ -29718,6 +37148,8 @@ 1 0 0 +4 +5 3 0x6dc6 0xb7ebe5b5 @@ -29726,6 +37158,8 @@ 1 0 0 +0 +4 3 0xe3f7 0xdbe024bd @@ -29734,6 +37168,8 @@ 0 0 0 +2 +0 3 0x1cc6 0x146fcfb8 @@ -29743,6 +37179,8 @@ 1 0 2 +2 +2 0x2791 0xa826ddd1 256 @@ -29750,6 +37188,8 @@ 1 1 0 +1 +3 2 0xff9a 0x42eeb68d @@ -29758,6 +37198,8 @@ 1 0 0 +0 +5 2 0xcbae 0xb0142d86 @@ -29766,6 +37208,8 @@ 0 0 0 +2 +2 1 0xffac 0xbe5c4099 @@ -29774,6 +37218,8 @@ 0 0 0 +5 +0 2 0x8f4c 0x8ffbf560 @@ -29783,6 +37229,8 @@ 0 0 2 +2 +2 0x5e77 0xa1ee9fea 256 @@ -29790,6 +37238,8 @@ 0 0 0 +3 +5 1 0x7fff 0x34ced039 @@ -29798,6 +37248,8 @@ 0 0 0 +5 +4 1 0xf7cf 0x5ae433f5 @@ -29806,6 +37258,8 @@ 1 1 0 +4 +5 3 0x1c28 0xf116f3a2 @@ -29815,6 +37269,8 @@ 0 0 3 +4 +3 0xa018 0x24be60e1 256 @@ -29823,6 +37279,8 @@ 0 0 2 +2 +2 0xa140 0x5434f65b 256 @@ -29830,6 +37288,8 @@ 0 0 0 +3 +4 2 0xe476 0xfe845b6b @@ -29838,6 +37298,8 @@ 1 0 0 +4 +3 2 0x5863 0x8d96d67 @@ -29846,6 +37308,8 @@ 0 0 0 +1 +2 3 0xc8b8 0x6a661db5 @@ -29854,6 +37318,8 @@ 1 0 0 +1 +3 2 0xf446 0x922cb04e @@ -29862,6 +37328,8 @@ 1 0 0 +4 +5 3 0xb3df 0x77ae477 @@ -29871,6 +37339,8 @@ 0 0 2 +1 +2 0xad3b 0x9d8a688b 256 @@ -29878,6 +37348,8 @@ 0 0 0 +1 +4 4 0xe474 0x3657edf0 @@ -29886,6 +37358,8 @@ 1 1 0 +4 +2 2 0x84f7 0xcd97a4df @@ -29895,6 +37369,8 @@ 0 0 1 +5 +1 0x12a5 0xa562b7ea 256 @@ -29903,6 +37379,8 @@ 0 0 1 +2 +1 0x149f 0xe4f1c752 256 @@ -29910,6 +37388,8 @@ 0 0 0 +3 +2 4 0x9bb8 0x4ece9630 @@ -29919,6 +37399,8 @@ 0 0 4 +5 +4 0x699f 0x565677af 256 @@ -29927,6 +37409,8 @@ 0 0 2 +4 +2 0x2920 0x87bbb427 256 @@ -29934,6 +37418,8 @@ 0 0 0 +4 +2 1 0x73c1 0xc6756203 @@ -29943,6 +37429,8 @@ 0 0 4 +0 +4 0x2936 0xfe1333ef 256 @@ -29950,6 +37438,8 @@ 0 0 0 +1 +4 4 0x7302 0x790cb96b @@ -29959,6 +37449,8 @@ 1 0 1 +1 +1 0xf421 0xe2d11e5e 256 @@ -29966,6 +37458,8 @@ 0 0 0 +4 +3 3 0x3e96 0x46a0e077 @@ -29975,6 +37469,8 @@ 0 0 2 +5 +2 0x6a38 0xee927952 256 @@ -29982,6 +37478,8 @@ 1 1 0 +3 +3 1 0x97a 0x75c277e9 @@ -29991,6 +37489,8 @@ 0 0 3 +1 +3 0xaefe 0x6c3a37ee 256 @@ -29999,6 +37499,8 @@ 0 0 3 +1 +3 0xb0ac 0x4df0e179 256 @@ -30006,6 +37508,8 @@ 1 1 0 +4 +2 2 0x3328 0x4bcd7b07 @@ -30014,6 +37518,8 @@ 1 1 0 +0 +5 4 0xc4cb 0xf353ab9f @@ -30022,6 +37528,8 @@ 0 0 0 +3 +1 1 0xe962 0x9c820de8 @@ -30030,6 +37538,8 @@ 1 0 0 +3 +2 1 0xd97e 0x81b86644 @@ -30038,6 +37548,8 @@ 1 0 0 +4 +4 1 0xca18 0x2f97e98a @@ -30046,6 +37558,8 @@ 1 1 0 +0 +0 3 0x3bf6 0xe3e0e00f @@ -30054,6 +37568,8 @@ 0 0 0 +4 +3 1 0x1886 0xb6583d47 @@ -30063,6 +37579,8 @@ 0 0 3 +1 +3 0x40f9 0xa558756d 256 @@ -30070,6 +37588,8 @@ 1 0 0 +0 +4 4 0x553e 0xc356c72a @@ -30078,6 +37598,8 @@ 1 1 0 +0 +1 4 0xe72b 0xed4b4eaf @@ -30086,6 +37608,8 @@ 1 0 0 +5 +5 3 0x424d 0x5940f55b @@ -30094,6 +37618,8 @@ 1 1 0 +1 +4 4 0x6be7 0x8c44b315 @@ -30102,6 +37628,8 @@ 1 0 0 +2 +0 1 0xc19e 0xc83a506e @@ -30111,6 +37639,8 @@ 0 0 3 +5 +3 0xaf51 0xab13d25b 256 @@ -30118,6 +37648,8 @@ 1 1 0 +0 +5 4 0x84f3 0xdaf31fec @@ -30126,6 +37658,8 @@ 1 1 0 +4 +4 2 0x2aea 0xdc36b1ba @@ -30134,6 +37668,8 @@ 1 0 0 +4 +3 1 0x7547 0x8ef6e9da @@ -30142,6 +37678,8 @@ 0 0 0 +0 +4 3 0x8882 0x13220ea @@ -30150,6 +37688,8 @@ 0 0 0 +2 +1 1 0x90a3 0xb425f022 @@ -30158,6 +37698,8 @@ 1 0 0 +3 +2 2 0x40e 0xa243e3d0 @@ -30166,6 +37708,8 @@ 0 0 0 +2 +3 3 0xb2ec 0x95170c4d @@ -30174,6 +37718,8 @@ 0 0 0 +0 +5 1 0xbba6 0xdf044145 @@ -30182,6 +37728,8 @@ 1 0 0 +2 +0 3 0x6398 0x3076e993 @@ -30190,6 +37738,8 @@ 0 0 0 +4 +4 1 0x28d2 0xd9ae34ff @@ -30198,6 +37748,8 @@ 0 0 0 +3 +0 2 0xcb77 0x55151245 @@ -30206,6 +37758,8 @@ 1 0 0 +3 +2 4 0xce68 0x7d4bf231 @@ -30215,6 +37769,8 @@ 0 0 4 +0 +4 0x9f74 0x94a74ba9 256 @@ -30222,6 +37778,8 @@ 0 0 0 +2 +3 4 0x88f6 0xc44b0c50 @@ -30230,6 +37788,8 @@ 1 1 0 +5 +1 2 0x561d 0xa571a622 @@ -30238,6 +37798,8 @@ 1 0 0 +5 +0 2 0x1bc9 0x3471d314 @@ -30246,6 +37808,8 @@ 0 0 0 +5 +2 1 0x2db3 0x355fdc86 @@ -30254,6 +37818,8 @@ 0 0 0 +0 +5 2 0x89d9 0x1e6306d8 @@ -30262,6 +37828,8 @@ 1 0 0 +5 +3 3 0x1473 0x72759843 @@ -30271,6 +37839,8 @@ 0 0 2 +0 +2 0x5626 0x84ebc293 256 @@ -30279,6 +37849,8 @@ 0 0 2 +2 +2 0xd2be 0x464a0ae3 256 @@ -30286,6 +37858,8 @@ 1 0 0 +5 +2 2 0x9bc1 0x3462d770 @@ -30294,6 +37868,8 @@ 1 1 0 +0 +1 1 0xe3c9 0x129a38e4 @@ -30302,6 +37878,8 @@ 0 0 0 +2 +0 4 0xed98 0x94e9f70f @@ -30310,6 +37888,8 @@ 0 0 0 +4 +4 3 0x5336 0xa81de6e @@ -30318,6 +37898,8 @@ 1 1 0 +2 +2 1 0xb00a 0x21aee69c @@ -30326,6 +37908,8 @@ 0 0 0 +0 +2 3 0x4049 0xd8ff3175 @@ -30334,6 +37918,8 @@ 1 0 0 +2 +5 3 0x5623 0x435e79c8 @@ -30342,6 +37928,8 @@ 0 0 0 +5 +2 1 0xb224 0x23579775 @@ -30350,6 +37938,8 @@ 1 1 0 +5 +3 4 0x449c 0x23f5a779 @@ -30358,6 +37948,8 @@ 0 0 0 +5 +1 4 0xfa35 0x37437864 @@ -30366,6 +37958,8 @@ 1 1 0 +5 +2 2 0x9346 0x6181f9d @@ -30374,6 +37968,8 @@ 0 0 0 +2 +4 1 0x1857 0xf891aa7e @@ -30383,6 +37979,8 @@ 0 0 4 +5 +4 0xe33c 0x750b46f9 256 @@ -30391,6 +37989,8 @@ 0 0 3 +2 +3 0xcddf 0x70983587 256 @@ -30399,6 +37999,8 @@ 0 0 1 +5 +1 0xa84f 0x9697fdfd 256 @@ -30406,6 +38008,8 @@ 1 1 0 +0 +1 2 0xe859 0x28d54c30 @@ -30415,6 +38019,8 @@ 0 0 4 +5 +4 0x204 0xc404e881 256 @@ -30422,6 +38028,8 @@ 1 0 0 +0 +2 2 0x713e 0xd1bb541f @@ -30430,6 +38038,8 @@ 1 1 0 +0 +4 2 0x65e 0xd1ff38ec @@ -30438,6 +38048,8 @@ 0 0 0 +2 +1 1 0x463e 0xfc6bf663 @@ -30446,6 +38058,8 @@ 1 0 0 +3 +2 4 0xae77 0x8a00600b @@ -30454,6 +38068,8 @@ 1 0 0 +1 +1 4 0xeea9 0xab5b361d @@ -30462,6 +38078,8 @@ 0 0 0 +4 +1 1 0xea1c 0xd28c8b84 @@ -30470,6 +38088,8 @@ 1 1 0 +0 +2 2 0x12ed 0xfec617d5 @@ -30478,6 +38098,8 @@ 1 1 0 +4 +3 2 0x5ef3 0x468e1755 @@ -30486,6 +38108,8 @@ 0 0 0 +4 +2 3 0xa954 0xb5823eaf @@ -30495,6 +38119,8 @@ 0 0 2 +3 +2 0x932e 0xc3b01a67 256 @@ -30502,6 +38128,8 @@ 0 0 0 +1 +0 4 0x2d7c 0x277758a5 @@ -30510,6 +38138,8 @@ 1 1 0 +4 +5 3 0xc4 0xc2841d40 @@ -30518,6 +38148,8 @@ 0 0 0 +3 +3 2 0xafa1 0x7d3eb83 @@ -30527,6 +38159,8 @@ 0 0 1 +1 +1 0x1075 0x32084ea4 256 @@ -30534,6 +38168,8 @@ 0 0 0 +2 +4 1 0x4cf3 0xe18972f7 @@ -30542,6 +38178,8 @@ 1 1 0 +0 +4 1 0x2f8a 0xf7393e62 @@ -30550,6 +38188,8 @@ 0 0 0 +3 +5 1 0xda50 0xa3388176 @@ -30559,6 +38199,8 @@ 0 0 3 +2 +3 0xb0db 0xafad30dd 256 @@ -30566,6 +38208,8 @@ 0 0 0 +5 +3 3 0x259e 0x8c34cbd3 @@ -30574,6 +38218,8 @@ 1 1 0 +3 +1 2 0xceef 0xda09c10d @@ -30582,6 +38228,8 @@ 0 0 0 +2 +1 4 0xd248 0x229a0e76 @@ -30590,6 +38238,8 @@ 0 0 0 +1 +5 3 0x9b94 0xad9b8442 @@ -30598,6 +38248,8 @@ 1 1 0 +3 +5 1 0x43a2 0x704cca9e @@ -30606,6 +38258,8 @@ 1 0 0 +3 +5 4 0x3ecd 0xf56c2024 @@ -30614,6 +38268,8 @@ 0 0 0 +1 +3 2 0xf646 0x61ae89ee @@ -30622,6 +38278,8 @@ 0 0 0 +0 +4 2 0x6209 0xf1e3ed77 @@ -30630,6 +38288,8 @@ 1 0 0 +1 +5 2 0x284 0x5d6bac9 @@ -30638,6 +38298,8 @@ 1 0 0 +3 +3 4 0xfccd 0xfdf54c5 @@ -30646,6 +38308,8 @@ 1 1 0 +2 +3 1 0x1bfa 0xb9ed8b12 @@ -30654,6 +38318,8 @@ 1 1 0 +0 +0 3 0xb41a 0x715aa21d @@ -30662,6 +38328,8 @@ 0 0 0 +1 +4 4 0x7e05 0x52d6b2fb @@ -30670,6 +38338,8 @@ 1 0 0 +1 +5 4 0x8f88 0xea5da965 @@ -30678,6 +38348,8 @@ 1 0 0 +5 +3 4 0x6d9 0xdcda8d70 @@ -30687,6 +38359,8 @@ 0 0 3 +1 +3 0x4705 0x6dc8294a 256 @@ -30694,6 +38368,8 @@ 1 1 0 +2 +1 4 0xe142 0x8df57c48 @@ -30702,6 +38378,8 @@ 0 0 0 +4 +4 3 0xed1b 0x47d59ed8 @@ -30710,6 +38388,8 @@ 1 1 0 +5 +3 2 0xb85e 0xc834a013 @@ -30718,6 +38398,8 @@ 1 1 0 +2 +5 3 0x7902 0x17192761 @@ -30727,6 +38409,8 @@ 0 0 1 +1 +1 0xa5df 0x9f3ed1b0 256 @@ -30735,6 +38419,8 @@ 1 0 3 +3 +3 0x4cae 0xea8e1e34 256 @@ -30743,6 +38429,8 @@ 0 0 2 +0 +2 0x7520 0xb1b9e72b 256 @@ -30750,6 +38438,8 @@ 1 1 0 +5 +2 2 0xe29c 0xf99f884b @@ -30758,6 +38448,8 @@ 1 1 0 +0 +2 4 0xf82b 0x4b9bf49b @@ -30766,6 +38458,8 @@ 0 0 0 +0 +4 1 0x1d86 0xf099374f @@ -30775,6 +38469,8 @@ 0 0 4 +2 +4 0x6b1e 0x62ad33c5 256 @@ -30782,6 +38478,8 @@ 0 0 0 +0 +1 1 0xb01d 0xc3601bf3 @@ -30790,6 +38488,8 @@ 1 1 0 +3 +5 2 0x680 0x41e02719 @@ -30799,6 +38499,8 @@ 0 0 2 +0 +2 0x3fe0 0x238249a 256 @@ -30806,6 +38508,8 @@ 0 0 0 +0 +4 4 0xb36a 0x2e048c68 @@ -30815,6 +38519,8 @@ 0 0 4 +2 +4 0x189a 0xba8dcb4a 256 @@ -30822,6 +38528,8 @@ 0 0 0 +5 +1 3 0x7f8d 0xf5637938 @@ -30830,6 +38538,8 @@ 0 0 0 +3 +5 2 0xf66b 0xc895ae9b @@ -30839,6 +38549,8 @@ 0 0 1 +5 +1 0xb8c6 0xb0b30600 256 @@ -30846,6 +38558,8 @@ 0 0 0 +3 +0 2 0xba3b 0x7025a7bb @@ -30854,6 +38568,8 @@ 0 0 0 +0 +4 4 0xf017 0xa6d44ace @@ -30862,6 +38578,8 @@ 1 0 0 +1 +0 2 0x2f35 0x19c0c0de @@ -30871,6 +38589,8 @@ 0 0 3 +5 +3 0x26ec 0x81625428 256 @@ -30878,6 +38598,8 @@ 0 0 0 +5 +5 1 0x65d4 0x71337c7 @@ -30887,6 +38609,8 @@ 1 0 2 +5 +2 0xa857 0x961dd19b 256 @@ -30894,6 +38618,8 @@ 1 1 0 +3 +1 1 0x783 0x30952de2 @@ -30902,6 +38628,8 @@ 0 0 0 +5 +1 2 0x21e5 0x76db907f @@ -30910,6 +38638,8 @@ 0 0 0 +0 +0 2 0x7bea 0x39bdc1b4 @@ -30918,6 +38648,8 @@ 1 0 0 +4 +3 1 0x234 0x7a934eef @@ -30926,6 +38658,8 @@ 1 0 0 +0 +5 2 0x7e7f 0x8acc4fac @@ -30935,6 +38669,8 @@ 0 0 4 +5 +4 0x8e80 0x5accdf83 256 @@ -30942,6 +38678,8 @@ 1 1 0 +3 +0 1 0x7ad2 0x9e0f152e @@ -30950,6 +38688,8 @@ 0 0 0 +5 +0 1 0xada2 0xefb02437 @@ -30958,6 +38698,8 @@ 1 1 0 +2 +1 1 0x790a 0xfff20101 @@ -30966,6 +38708,8 @@ 1 1 0 +2 +0 1 0x477c 0x831f7044 @@ -30975,6 +38719,8 @@ 0 0 1 +5 +1 0xe2fd 0x948b0ce9 256 @@ -30982,6 +38728,8 @@ 0 0 0 +0 +1 2 0x1dae 0x1afafe8b @@ -30990,6 +38738,8 @@ 0 0 0 +2 +0 1 0x6c75 0x2201261e @@ -30998,6 +38748,8 @@ 0 0 0 +0 +0 3 0xb43c 0xa2b293d5 @@ -31006,6 +38758,8 @@ 0 0 0 +0 +1 2 0x2cc9 0xa64ba733 @@ -31014,6 +38768,8 @@ 0 0 0 +2 +0 4 0xb2dc 0xe62f19c3 @@ -31022,6 +38778,8 @@ 0 0 0 +1 +2 3 0xb0e4 0x22d9d25c @@ -31030,6 +38788,8 @@ 0 0 0 +2 +1 1 0x52ea 0x44dc947a @@ -31038,6 +38798,8 @@ 0 0 0 +4 +1 1 0x2ebc 0xac51b83a @@ -31046,6 +38808,8 @@ 1 1 0 +0 +1 4 0x10ba 0x5aec240c @@ -31054,6 +38818,8 @@ 1 0 0 +3 +0 2 0x9a20 0xf32333e7 @@ -31062,6 +38828,8 @@ 1 0 0 +3 +0 1 0x6e5d 0x2e96411b @@ -31070,6 +38838,8 @@ 1 1 0 +3 +0 4 0x76f 0x55c8f8a1 @@ -31078,6 +38848,8 @@ 0 0 0 +2 +2 1 0xaad8 0x6cc7d92b @@ -31086,6 +38858,8 @@ 0 0 0 +0 +0 3 0x7caf 0xf430df09 @@ -31094,6 +38868,8 @@ 0 0 0 +1 +1 2 0x71b9 0x62ba3e4f @@ -31102,6 +38878,8 @@ 0 0 0 +5 +2 3 0x5309 0x28fd955c @@ -31111,6 +38889,8 @@ 1 0 1 +5 +1 0x14e1 0x91f64a60 256 @@ -31118,6 +38898,8 @@ 1 1 0 +1 +3 2 0x3a34 0xdacc5ef @@ -31126,6 +38908,8 @@ 0 0 0 +3 +0 1 0xef4 0x97ee4b23 @@ -31134,6 +38918,8 @@ 1 0 0 +0 +5 1 0x8460 0xd2b70319 @@ -31142,6 +38928,8 @@ 1 0 0 +5 +4 4 0x102e 0x4fa19eee @@ -31150,6 +38938,8 @@ 0 0 0 +0 +0 3 0xb8cc 0xf4e66dc9 @@ -31158,6 +38948,8 @@ 0 0 0 +5 +0 1 0x3821 0x94dddf8b @@ -31166,6 +38958,8 @@ 1 0 0 +3 +0 1 0xdf11 0x3b1c2609 @@ -31174,6 +38968,8 @@ 1 0 0 +0 +1 4 0xa544 0xbcf45078 @@ -31182,6 +38978,8 @@ 0 0 0 +3 +2 2 0x7bc 0xe82c8777 @@ -31191,6 +38989,8 @@ 0 0 4 +0 +4 0x6438 0xe9d04f2d 256 @@ -31198,6 +38998,8 @@ 0 0 0 +1 +5 2 0x46d7 0xe99ac9f6 @@ -31207,6 +39009,8 @@ 1 0 2 +2 +2 0xe829 0x18230341 256 @@ -31214,6 +39018,8 @@ 1 0 0 +3 +0 4 0x92e7 0xbb85b826 @@ -31222,6 +39028,8 @@ 0 0 0 +1 +4 2 0xc9ad 0x32aa654b @@ -31230,6 +39038,8 @@ 1 0 0 +1 +5 2 0x5795 0x126e1ef6 @@ -31238,6 +39048,8 @@ 0 0 0 +4 +3 1 0x7e82 0x77993d2e @@ -31247,6 +39059,8 @@ 0 0 3 +4 +3 0x6c60 0xf896eb84 256 @@ -31254,6 +39068,8 @@ 1 0 0 +5 +0 1 0xa5b8 0xc1f41234 @@ -31262,6 +39078,8 @@ 1 0 0 +3 +4 1 0x9366 0xf7d38561 @@ -31270,6 +39088,8 @@ 1 1 0 +1 +5 2 0x9afc 0x4fafd599 @@ -31278,6 +39098,8 @@ 1 0 0 +5 +3 3 0xfa70 0xcabcf074 @@ -31286,6 +39108,8 @@ 1 0 0 +2 +3 3 0x6879 0x4faedf03 @@ -31294,6 +39118,8 @@ 0 0 0 +4 +4 2 0x83ed 0x5bafd971 @@ -31302,6 +39128,8 @@ 0 0 0 +1 +4 4 0xb3fe 0xd3e41518 @@ -31310,6 +39138,8 @@ 1 0 0 +5 +5 3 0x20e4 0xcc78883 @@ -31318,6 +39148,8 @@ 0 0 0 +4 +4 3 0xb8c5 0x3f5dbdfa @@ -31326,6 +39158,8 @@ 1 1 0 +5 +3 4 0x2355 0x4e5d3476 @@ -31334,6 +39168,8 @@ 1 0 0 +1 +3 4 0xe057 0xeb73051f @@ -31343,6 +39179,8 @@ 1 0 1 +4 +1 0xa23 0x5b5e8532 256 @@ -31350,6 +39188,8 @@ 0 0 0 +1 +5 4 0xf799 0x3fd0a050 @@ -31358,6 +39198,8 @@ 1 1 0 +0 +0 1 0xc523 0x4604175c @@ -31367,6 +39209,8 @@ 1 0 2 +3 +2 0x40a2 0x95968a58 256 @@ -31374,6 +39218,8 @@ 1 1 0 +0 +3 4 0xefdd 0x8aa7f84e @@ -31383,6 +39229,8 @@ 1 0 2 +1 +2 0x6928 0xd6854186 256 @@ -31390,6 +39238,8 @@ 0 0 0 +3 +2 4 0x586f 0xcdd144e @@ -31398,6 +39248,8 @@ 0 0 0 +2 +0 1 0x65a0 0x47856610 @@ -31406,6 +39258,8 @@ 1 1 0 +0 +3 2 0x4885 0x5632203 @@ -31414,6 +39268,8 @@ 1 1 0 +0 +3 4 0x515f 0x29df0dd8 @@ -31422,6 +39278,8 @@ 0 0 0 +1 +5 2 0x6c86 0x33299fbb @@ -31430,6 +39288,8 @@ 0 0 0 +4 +1 2 0xdd4b 0xf6ef8f2c @@ -31438,6 +39298,8 @@ 1 0 0 +5 +1 2 0x731b 0x902201ed @@ -31446,6 +39308,8 @@ 1 1 0 +3 +3 1 0xf0e0 0x1005c445 @@ -31454,6 +39318,8 @@ 1 1 0 +0 +1 1 0x4fbb 0xa87d3045 @@ -31462,6 +39328,8 @@ 0 0 0 +0 +4 3 0x9b1b 0x9983a093 @@ -31470,6 +39338,8 @@ 0 0 0 +5 +0 1 0x53c8 0x368d7fd9 @@ -31478,6 +39348,8 @@ 0 0 0 +3 +1 2 0x5bf5 0xb2047f1b @@ -31487,6 +39359,8 @@ 1 0 4 +3 +4 0x1885 0x7e19dd38 256 @@ -31494,6 +39368,8 @@ 0 0 0 +2 +0 1 0xf262 0x7734a10c @@ -31502,6 +39378,8 @@ 1 1 0 +2 +5 1 0xe3ac 0x66328e40 @@ -31510,6 +39388,8 @@ 0 0 0 +4 +5 2 0x422 0x43a7c6c1 @@ -31518,6 +39398,8 @@ 0 0 0 +3 +5 4 0x15ab 0x5c405973 @@ -31526,6 +39408,8 @@ 0 0 0 +5 +2 4 0xd238 0x57b025d1 @@ -31534,6 +39418,8 @@ 1 0 0 +1 +4 2 0x4fff 0x80ab5778 @@ -31542,6 +39428,8 @@ 1 1 0 +0 +0 1 0x1754 0x5327dcd6 @@ -31550,6 +39438,8 @@ 0 0 0 +3 +2 2 0xfde4 0x616d37c0 @@ -31558,6 +39448,8 @@ 1 0 0 +2 +1 1 0x2348 0x7595f528 @@ -31566,6 +39458,8 @@ 1 1 0 +2 +4 3 0x62af 0x4a902290 @@ -31574,6 +39468,8 @@ 0 0 0 +5 +2 4 0xfd56 0x297bd753 @@ -31582,6 +39478,8 @@ 1 1 0 +3 +4 2 0xfc60 0xbdf37d9f @@ -31591,6 +39489,8 @@ 0 0 4 +3 +4 0x95b2 0x3c8ae141 256 @@ -31598,6 +39498,8 @@ 0 0 0 +0 +3 3 0xbe 0x3b8e8a33 @@ -31607,6 +39509,8 @@ 1 0 2 +0 +2 0x629b 0x38b23d0c 256 @@ -31615,6 +39519,8 @@ 0 0 3 +5 +3 0xc124 0x7b53e106 256 @@ -31623,6 +39529,8 @@ 0 0 4 +1 +4 0x3e10 0xf32c2e57 256 @@ -31630,6 +39538,8 @@ 1 0 0 +0 +5 2 0xd48 0x3cdf3a0c @@ -31638,6 +39548,8 @@ 1 0 0 +4 +5 2 0xa46 0xa69c44cd @@ -31646,6 +39558,8 @@ 1 1 0 +2 +2 4 0x1dd 0xf33eab40 @@ -31654,6 +39568,8 @@ 0 0 0 +5 +1 3 0xf65c 0x81c2d58a @@ -31662,6 +39578,8 @@ 0 0 0 +0 +4 3 0xde2d 0x1a11a41d @@ -31670,6 +39588,8 @@ 0 0 0 +5 +5 4 0xc91 0xd73bbb13 @@ -31678,6 +39598,8 @@ 1 0 0 +0 +1 2 0x33c3 0x49582860 @@ -31687,6 +39609,8 @@ 1 0 3 +5 +3 0x969f 0xd45297ec 256 @@ -31694,6 +39618,8 @@ 1 1 0 +0 +0 2 0xfd02 0xbd13c790 @@ -31702,6 +39628,8 @@ 0 0 0 +4 +1 2 0x9237 0x84b068fe @@ -31710,6 +39638,8 @@ 1 0 0 +3 +1 4 0xca9a 0xd6461e24 @@ -31718,6 +39648,8 @@ 0 0 0 +1 +0 2 0xc5a 0x1d239f2a @@ -31727,6 +39659,8 @@ 0 0 4 +3 +4 0x2816 0xbbd9ae7a 256 @@ -31734,6 +39668,8 @@ 0 0 0 +3 +1 1 0x41ac 0x1c86544c @@ -31743,6 +39679,8 @@ 0 0 4 +5 +4 0x8dd3 0x22921ef1 256 @@ -31750,6 +39688,8 @@ 0 0 0 +5 +2 3 0xd0b4 0x87c48701 @@ -31758,6 +39698,8 @@ 0 0 0 +2 +4 3 0xedac 0x5bcc4a40 @@ -31766,6 +39708,8 @@ 0 0 0 +3 +0 1 0x5541 0xd99934de @@ -31774,6 +39718,8 @@ 1 1 0 +4 +4 2 0x4934 0xb73493e1 @@ -31782,6 +39728,8 @@ 1 0 0 +3 +3 2 0x9e1d 0xd798b33d @@ -31790,6 +39738,8 @@ 1 1 0 +2 +2 4 0x432b 0xb1c88983 @@ -31798,6 +39748,8 @@ 0 0 0 +1 +2 2 0x53cb 0x2d0dd6f4 @@ -31806,6 +39758,8 @@ 0 0 0 +2 +4 3 0x9e2 0xe5b2349a @@ -31815,6 +39769,8 @@ 0 0 2 +4 +2 0x4297 0xa3f2b976 256 @@ -31822,6 +39778,8 @@ 0 0 0 +4 +1 2 0x1960 0xc98ae1ea @@ -31830,6 +39788,8 @@ 1 1 0 +3 +5 2 0x2b3d 0x3962aaef @@ -31838,6 +39798,8 @@ 0 0 0 +3 +3 1 0xa8fb 0x399a87a9 @@ -31846,6 +39808,8 @@ 0 0 0 +4 +5 2 0xd9e8 0x8fbf7c9b @@ -31854,6 +39818,8 @@ 1 1 0 +3 +5 2 0xf7a6 0xafe70134 @@ -31862,6 +39828,8 @@ 1 0 0 +0 +0 1 0x2282 0xdb60277c @@ -31870,6 +39838,8 @@ 1 0 0 +0 +5 2 0xda3f 0x3fb34ec6 @@ -31878,6 +39848,8 @@ 1 0 0 +1 +1 3 0xfa82 0xdf89889e @@ -31886,6 +39858,8 @@ 0 0 0 +1 +1 2 0x3523 0xf7784b51 @@ -31894,6 +39868,8 @@ 0 0 0 +2 +4 3 0x97b7 0x2bce850d @@ -31902,6 +39878,8 @@ 0 0 0 +0 +4 4 0x9b 0x866bf750 @@ -31911,6 +39889,8 @@ 0 0 2 +1 +2 0x272b 0x5a587eab 256 @@ -31918,6 +39898,8 @@ 1 1 0 +4 +1 2 0x1318 0xcc5293ab @@ -31926,6 +39908,8 @@ 1 1 0 +0 +2 1 0x6b9a 0x8c7f6b2d @@ -31934,6 +39918,8 @@ 0 0 0 +3 +5 2 0xa9de 0x1a21e3cf @@ -31942,6 +39928,8 @@ 1 1 0 +3 +5 1 0xe7ba 0xd534c6d1 @@ -31950,6 +39938,8 @@ 0 0 0 +1 +3 4 0x4ef0 0x15c48e9c @@ -31958,6 +39948,8 @@ 1 1 0 +1 +1 3 0x478e 0xb5bac8da @@ -31966,6 +39958,8 @@ 0 0 0 +1 +0 3 0x6405 0x36c5aa34 @@ -31975,6 +39969,8 @@ 0 0 3 +1 +3 0xd268 0xb3737859 256 @@ -31982,6 +39978,8 @@ 1 0 0 +5 +0 1 0xedd4 0x591d7e13 @@ -31990,6 +39988,8 @@ 0 0 0 +5 +3 4 0x5cbe 0xd7f7134f @@ -31998,6 +39998,8 @@ 1 1 0 +1 +5 4 0x2367 0x41102add @@ -32006,6 +40008,8 @@ 0 0 0 +0 +2 2 0x313b 0x35ebcf31 @@ -32014,6 +40018,8 @@ 0 0 0 +5 +2 4 0x9a4d 0x7e3bb5f9 @@ -32022,6 +40028,8 @@ 1 1 0 +0 +3 3 0xaaab 0xa24e9770 @@ -32030,6 +40038,8 @@ 0 0 0 +1 +1 3 0x8054 0x12cae28f @@ -32038,6 +40048,8 @@ 1 1 0 +3 +0 1 0x2530 0xdf150ee5 @@ -32046,6 +40058,8 @@ 1 1 0 +5 +3 4 0xdf16 0xf4c60e30 @@ -32054,6 +40068,8 @@ 0 0 0 +3 +4 2 0x2bf4 0xd83106b0 @@ -32062,6 +40078,8 @@ 0 0 0 +2 +0 1 0x7c5 0x1f6e483c @@ -32070,6 +40088,8 @@ 0 0 0 +5 +2 2 0x3c36 0xf010022d @@ -32078,6 +40098,8 @@ 0 0 0 +5 +2 4 0x9192 0x4ae2115e @@ -32086,6 +40108,8 @@ 0 0 0 +0 +2 4 0xeefb 0x8ca4a69 @@ -32094,6 +40118,8 @@ 0 0 0 +5 +0 3 0xe407 0xa3c770d @@ -32102,6 +40128,8 @@ 0 0 0 +2 +5 4 0xc479 0x7632b290 @@ -32110,6 +40138,8 @@ 0 0 0 +2 +5 4 0xac1e 0xdc8014d5 @@ -32118,6 +40148,8 @@ 0 0 0 +1 +3 3 0x45f5 0xc7fe65f0 @@ -32126,6 +40158,8 @@ 1 0 0 +0 +1 2 0xc672 0xb187d842 @@ -32134,6 +40168,8 @@ 0 0 0 +1 +3 3 0xc040 0x820a72d5 @@ -32143,6 +40179,8 @@ 0 0 4 +0 +4 0xffcf 0x70a37274 256 @@ -32150,6 +40188,8 @@ 0 0 0 +0 +1 3 0xfe3d 0xbfec4c4a @@ -32158,6 +40198,8 @@ 0 0 0 +4 +1 1 0x49bf 0x548b580d @@ -32166,6 +40208,8 @@ 1 0 0 +4 +3 3 0x7d0 0x376e2211 @@ -32175,6 +40219,8 @@ 0 0 3 +3 +3 0x3013 0x99bc6f4b 256 @@ -32182,6 +40228,8 @@ 0 0 0 +1 +2 3 0xb628 0xdcadfc2c @@ -32190,6 +40238,8 @@ 0 0 0 +3 +3 2 0x44ce 0xb655934e @@ -32198,6 +40248,8 @@ 1 1 0 +2 +1 1 0x6d52 0x5f2da829 @@ -32206,6 +40258,8 @@ 0 0 0 +0 +4 4 0x327d 0x56097181 @@ -32214,6 +40268,8 @@ 1 1 0 +0 +1 1 0x271c 0x9ce9da18 @@ -32223,6 +40279,8 @@ 0 0 1 +3 +1 0xb979 0x5607a811 256 @@ -32230,6 +40288,8 @@ 1 0 0 +0 +3 2 0xde09 0x7b420183 @@ -32238,6 +40298,8 @@ 0 0 0 +5 +0 1 0xfccb 0xb9c10334 @@ -32246,6 +40308,8 @@ 0 0 0 +5 +5 2 0x4bac 0x1cb434cb @@ -32254,6 +40318,8 @@ 1 1 0 +2 +2 1 0x40f5 0x320bdf83 @@ -32263,6 +40329,8 @@ 0 0 3 +5 +3 0x9ccf 0x99da2299 256 @@ -32270,6 +40338,8 @@ 1 1 0 +2 +3 4 0xb23f 0x3942cc6 @@ -32278,6 +40348,8 @@ 1 1 0 +4 +3 1 0xfc62 0x3819dcd4 @@ -32286,6 +40358,8 @@ 1 1 0 +2 +0 1 0x7a8b 0x6116f1cb @@ -32294,6 +40368,8 @@ 0 0 0 +4 +4 2 0x39ef 0x6f75f70c @@ -32302,6 +40378,8 @@ 1 0 0 +5 +3 2 0x826f 0x947286af @@ -32310,6 +40388,8 @@ 1 0 0 +4 +4 1 0xa5c8 0x16f83a40 @@ -32318,6 +40398,8 @@ 1 1 0 +1 +5 3 0x6fda 0x9c1f4504 @@ -32326,6 +40408,8 @@ 0 0 0 +4 +5 1 0xf0bf 0x6291f496 @@ -32334,6 +40418,8 @@ 1 1 0 +0 +1 3 0x4799 0xe8b7aa7d @@ -32342,6 +40428,8 @@ 1 0 0 +0 +0 4 0x1981 0xab2e47dc @@ -32350,6 +40438,8 @@ 0 0 0 +2 +5 1 0x94f4 0x4e080f0d @@ -32358,6 +40448,8 @@ 0 0 0 +0 +2 1 0xc954 0xc3d96940 @@ -32366,6 +40458,8 @@ 1 1 0 +2 +1 4 0x9b36 0x40eda1f8 @@ -32374,6 +40468,8 @@ 1 1 0 +0 +2 4 0xc868 0xa8794eaf @@ -32382,6 +40478,8 @@ 1 1 0 +4 +3 2 0xce61 0x76d9aed6 @@ -32391,6 +40489,8 @@ 0 0 4 +1 +4 0xba95 0x95768bd3 256 @@ -32399,6 +40499,8 @@ 0 0 2 +5 +2 0x1ab3 0x344a665e 256 @@ -32406,6 +40508,8 @@ 0 0 0 +1 +0 2 0x337e 0x133388b5 @@ -32414,6 +40518,8 @@ 0 0 0 +1 +4 3 0x8577 0x5c32b68e @@ -32422,6 +40528,8 @@ 0 0 0 +5 +5 4 0xd27e 0xc9424d14 @@ -32430,6 +40538,8 @@ 1 0 0 +0 +1 1 0xec0 0x1b536d1 @@ -32438,6 +40548,8 @@ 0 0 0 +5 +5 4 0xa8c4 0xd562ccc9 @@ -32447,6 +40559,8 @@ 0 0 4 +1 +4 0xb98f 0x9a682ff9 256 @@ -32454,6 +40568,8 @@ 1 1 0 +0 +5 3 0xef01 0x639faf7b @@ -32462,6 +40578,8 @@ 1 1 0 +2 +5 1 0x60a6 0xa868d072 @@ -32470,6 +40588,8 @@ 0 0 0 +5 +4 4 0x3f49 0xc703fc5 @@ -32478,6 +40598,8 @@ 0 0 0 +1 +0 4 0xc8ef 0xb4e51d7d @@ -32486,6 +40608,8 @@ 1 0 0 +5 +2 3 0x19fc 0x1302632 @@ -32494,6 +40618,8 @@ 1 1 0 +5 +4 3 0xb577 0xa83be42d @@ -32502,6 +40628,8 @@ 0 0 0 +3 +5 4 0xb1c4 0x333f71e4 @@ -32510,6 +40638,8 @@ 0 0 0 +4 +0 1 0x14a9 0xfdcbbc43 @@ -32518,6 +40648,8 @@ 0 0 0 +4 +1 3 0xd704 0x3963fad @@ -32526,6 +40658,8 @@ 1 1 0 +4 +3 1 0xb647 0x43f99911 @@ -32534,6 +40668,8 @@ 1 0 0 +1 +1 3 0xea40 0x3439e042 @@ -32542,6 +40678,8 @@ 1 0 0 +5 +4 2 0x44f7 0xd9dc15fc @@ -32551,6 +40689,8 @@ 0 0 2 +4 +2 0x5b98 0xd430c001 256 @@ -32559,6 +40699,8 @@ 1 0 1 +0 +1 0x23f2 0xfb302709 256 @@ -32567,6 +40709,8 @@ 0 0 1 +0 +1 0x6925 0x2eb0a662 256 @@ -32574,6 +40718,8 @@ 0 0 0 +2 +3 1 0x758d 0x59806f96 @@ -32582,6 +40728,8 @@ 1 1 0 +2 +0 1 0x1f6e 0x8b839980 @@ -32590,6 +40738,8 @@ 1 0 0 +3 +4 1 0x48cd 0x4cabc23b @@ -32599,6 +40749,8 @@ 0 0 1 +0 +1 0xa118 0xec828ff5 256 @@ -32606,6 +40758,8 @@ 1 0 0 +0 +1 1 0x4d7 0xcf114c3d @@ -32614,6 +40768,8 @@ 0 0 0 +2 +5 4 0x7c18 0xa0b3041e @@ -32623,6 +40779,8 @@ 0 0 1 +5 +1 0xdb66 0x67415d3c 256 @@ -32630,6 +40788,8 @@ 0 0 0 +5 +5 4 0x295c 0xff3a7e30 @@ -32638,6 +40798,8 @@ 0 0 0 +1 +1 2 0x867f 0xfe888e15 @@ -32646,6 +40808,8 @@ 0 0 0 +2 +2 3 0x5d45 0x23e481a4 @@ -32655,6 +40819,8 @@ 0 0 3 +3 +3 0x817 0x29bca5db 256 @@ -32662,6 +40828,8 @@ 1 0 0 +0 +0 1 0x39b6 0xce57ff90 @@ -32670,6 +40838,8 @@ 0 0 0 +4 +0 3 0xd43f 0x83d0150f @@ -32678,6 +40848,8 @@ 1 1 0 +5 +1 4 0x51f6 0x17785fd6 @@ -32686,6 +40858,8 @@ 0 0 0 +4 +2 1 0x7ea5 0x27464bca @@ -32694,6 +40868,8 @@ 1 1 0 +0 +1 2 0x53e0 0x67279aae @@ -32702,6 +40878,8 @@ 0 0 0 +1 +3 2 0x460 0x1428f9db @@ -32710,6 +40888,8 @@ 1 1 0 +4 +2 3 0x2ae5 0x215dc308 @@ -32718,6 +40898,8 @@ 1 0 0 +0 +0 1 0xf3e3 0xe322ef39 @@ -32726,6 +40908,8 @@ 1 0 0 +4 +4 1 0x50fc 0x226af9 @@ -32734,6 +40918,8 @@ 1 1 0 +4 +4 2 0x93f9 0x513e5035 @@ -32742,6 +40928,8 @@ 0 0 0 +0 +1 1 0xc7fc 0x458107c0 @@ -32750,6 +40938,8 @@ 1 1 0 +4 +1 3 0x2854 0xb6ef08af @@ -32758,6 +40948,8 @@ 0 0 0 +0 +1 4 0xfd13 0xd657d874 @@ -32766,6 +40958,8 @@ 1 1 0 +2 +2 3 0x1f36 0xa9960de7 @@ -32775,6 +40969,8 @@ 1 0 1 +3 +1 0x520e 0xedaa62b6 256 @@ -32783,6 +40979,8 @@ 0 0 4 +4 +4 0x86de 0x47e1274d 256 @@ -32790,6 +40988,8 @@ 1 1 0 +1 +3 3 0x43c8 0x6ee9610c @@ -32798,6 +40998,8 @@ 0 0 0 +3 +1 2 0xc4da 0x76b56c36 @@ -32806,6 +41008,8 @@ 1 1 0 +5 +4 4 0x76e6 0xd0813480 @@ -32814,6 +41018,8 @@ 0 0 0 +2 +2 3 0x7a47 0x27372dd9 @@ -32823,6 +41029,8 @@ 0 0 4 +1 +4 0x9cd6 0x6d245a1f 256 @@ -32830,6 +41038,8 @@ 0 0 0 +3 +4 1 0xd166 0x5b2f0d56 @@ -32838,6 +41048,8 @@ 1 0 0 +2 +3 4 0x6964 0x62950ed @@ -32846,6 +41058,8 @@ 0 0 0 +0 +3 1 0x6169 0xa25f6ee1 @@ -32854,6 +41068,8 @@ 1 0 0 +0 +5 3 0xeac8 0x62d048ec @@ -32863,6 +41079,8 @@ 0 0 3 +3 +3 0x9813 0x13091b2c 256 @@ -32871,6 +41089,8 @@ 1 0 1 +5 +1 0x5c20 0x7d3b1c7d 256 @@ -32878,6 +41098,8 @@ 1 0 0 +3 +2 1 0xcfba 0xd8380257 @@ -32886,6 +41108,8 @@ 1 1 0 +0 +4 4 0x4313 0x671b1c1a @@ -32894,6 +41118,8 @@ 0 0 0 +0 +5 2 0xdaa0 0xad9a9a76 @@ -32902,6 +41128,8 @@ 1 1 0 +4 +0 3 0xd3d2 0x3e4e8916 @@ -32910,6 +41138,8 @@ 1 0 0 +4 +1 1 0x457e 0xaa8aaccc @@ -32918,6 +41148,8 @@ 0 0 0 +0 +5 1 0x4dc3 0x31175629 @@ -32926,6 +41158,8 @@ 1 1 0 +5 +4 1 0xfde4 0x108afe85 @@ -32934,6 +41168,8 @@ 0 0 0 +3 +5 4 0xe33c 0xb4e87cc3 @@ -32942,6 +41178,8 @@ 0 0 0 +4 +0 2 0xbaf6 0x71239447 @@ -32951,6 +41189,8 @@ 1 0 3 +0 +3 0x4fe2 0x405569f4 256 @@ -32958,6 +41198,8 @@ 1 1 0 +0 +0 4 0x66bb 0x3fb21a1f @@ -32966,6 +41208,8 @@ 0 0 0 +5 +0 4 0xf096 0x4d11620c @@ -32974,6 +41218,8 @@ 1 0 0 +3 +5 1 0x7dfe 0x2407c1e7 @@ -32983,6 +41229,8 @@ 0 0 4 +2 +4 0xaef7 0x6107619c 256 @@ -32990,6 +41238,8 @@ 1 1 0 +0 +4 3 0x4923 0x904ab626 @@ -32998,6 +41248,8 @@ 1 1 0 +4 +2 2 0xc70a 0xc036e4e5 @@ -33006,6 +41258,8 @@ 1 0 0 +0 +4 2 0xd00 0x7a6bb513 @@ -33014,6 +41268,8 @@ 1 1 0 +2 +5 1 0xacf1 0x492203c2 @@ -33022,6 +41278,8 @@ 0 0 0 +5 +3 4 0xbc1a 0x2e6f6bcd @@ -33030,6 +41288,8 @@ 0 0 0 +3 +1 1 0x718e 0xb987a97d @@ -33039,6 +41299,8 @@ 0 0 2 +2 +2 0x894a 0x7ca12cf3 256 @@ -33046,6 +41308,8 @@ 1 0 0 +0 +5 4 0x2b97 0x4abc308c @@ -33054,6 +41318,8 @@ 1 1 0 +5 +2 2 0x230b 0x8c0c2592 @@ -33062,6 +41328,8 @@ 0 0 0 +1 +2 4 0xdc95 0x4ab84b9e @@ -33070,6 +41338,8 @@ 1 1 0 +3 +2 1 0x9288 0xf85b83fe @@ -33078,6 +41348,8 @@ 0 0 0 +1 +4 2 0xcd74 0xaa77dbc1 @@ -33086,6 +41358,8 @@ 1 1 0 +0 +4 1 0x842 0x51a4ed4d @@ -33094,6 +41368,8 @@ 1 0 0 +1 +5 4 0xc846 0x59ad3357 @@ -33102,6 +41378,8 @@ 0 0 0 +3 +1 1 0x9009 0xdd9801b7 @@ -33110,6 +41388,8 @@ 0 0 0 +5 +3 2 0x5e60 0x66d9e57f @@ -33118,6 +41398,8 @@ 1 1 0 +4 +5 1 0x1ec1 0x277f22b8 @@ -33126,6 +41408,8 @@ 1 1 0 +0 +1 4 0x7e2d 0x8959cc99 @@ -33134,6 +41418,8 @@ 1 1 0 +1 +5 2 0xa94 0xc14cb03d @@ -33142,6 +41428,8 @@ 0 0 0 +4 +4 2 0x7a81 0x9750051 @@ -33150,6 +41438,8 @@ 0 0 0 +1 +3 4 0x852e 0xe953e971 @@ -33158,6 +41448,8 @@ 1 0 0 +1 +5 3 0xaf8f 0x70971f06 @@ -33166,6 +41458,8 @@ 1 0 0 +3 +2 2 0x49e2 0xea5c18cd @@ -33174,6 +41468,8 @@ 1 1 0 +1 +0 2 0x8bd7 0xa1954425 @@ -33182,6 +41478,8 @@ 1 0 0 +4 +2 2 0x6e53 0x2856804b @@ -33190,6 +41488,8 @@ 1 0 0 +2 +3 1 0x2ede 0x3777f32d @@ -33198,6 +41498,8 @@ 1 1 0 +1 +0 4 0xd6eb 0x21d85880 @@ -33206,6 +41508,8 @@ 0 0 0 +0 +0 3 0x662c 0x26ffa020 @@ -33214,6 +41518,8 @@ 0 0 0 +4 +0 3 0xe806 0xb034b8fa @@ -33222,6 +41528,8 @@ 0 0 0 +5 +2 2 0xdac5 0x8bd855fd @@ -33230,6 +41538,8 @@ 0 0 0 +0 +5 4 0x6dde 0xf29e76c6 @@ -33238,6 +41548,8 @@ 1 1 0 +0 +0 2 0xe4c7 0x8f708d02 @@ -33246,6 +41558,8 @@ 0 0 0 +3 +3 1 0x64a6 0x27486a5a @@ -33254,6 +41568,8 @@ 1 0 0 +1 +2 4 0x346c 0x410720d4 @@ -33262,6 +41578,8 @@ 1 0 0 +5 +0 4 0x89e8 0xa30e0bf1 @@ -33270,6 +41588,8 @@ 0 0 0 +3 +2 1 0x5da3 0x386414f1 @@ -33278,6 +41598,8 @@ 0 0 0 +4 +4 3 0xd660 0x44b81f5c @@ -33287,6 +41609,8 @@ 0 0 2 +3 +2 0xd1d3 0x607ab8dd 256 @@ -33294,6 +41618,8 @@ 0 0 0 +0 +0 4 0xbd83 0x2d1df226 @@ -33302,6 +41628,8 @@ 0 0 0 +5 +3 1 0x9f2f 0xe8aa13c3 @@ -33310,6 +41638,8 @@ 0 0 0 +1 +4 3 0x17fb 0x8f38ae65 @@ -33319,6 +41649,8 @@ 0 0 2 +2 +2 0x50bb 0x5cc8f325 256 @@ -33326,6 +41658,8 @@ 0 0 0 +4 +4 1 0x219e 0x823481f5 @@ -33334,6 +41668,8 @@ 1 0 0 +4 +3 1 0x18ee 0x5bb7841e @@ -33342,6 +41678,8 @@ 0 0 0 +1 +5 4 0xcaf5 0xd6c6651f @@ -33350,6 +41688,8 @@ 0 0 0 +0 +4 2 0x4723 0x3947b8e3 @@ -33358,6 +41698,8 @@ 1 0 0 +0 +5 4 0x3c2 0x64627787 @@ -33367,6 +41709,8 @@ 0 0 2 +5 +2 0x7bd9 0xabd13f3d 256 @@ -33374,6 +41718,8 @@ 0 0 0 +3 +5 2 0x2bb5 0x4bbb825b @@ -33383,6 +41729,8 @@ 0 0 1 +0 +1 0x8977 0x6a410903 256 @@ -33390,6 +41738,8 @@ 0 0 0 +2 +2 3 0x41ee 0xc5fafe53 @@ -33398,6 +41748,8 @@ 1 1 0 +0 +3 2 0x589f 0xafce9486 @@ -33407,6 +41759,8 @@ 0 0 1 +3 +1 0x134d 0xdb40b43e 256 @@ -33414,6 +41768,8 @@ 1 1 0 +5 +5 3 0x6dce 0x732e7bc0 @@ -33422,6 +41778,8 @@ 1 0 0 +3 +1 1 0x6f51 0xe5818b69 @@ -33430,6 +41788,8 @@ 1 1 0 +3 +4 4 0xae44 0x7e8bddd9 @@ -33438,6 +41798,8 @@ 0 0 0 +4 +4 2 0x2367 0xe18a51c6 @@ -33446,6 +41808,8 @@ 1 1 0 +4 +1 3 0x3dbb 0xd037d25 @@ -33454,6 +41818,8 @@ 1 0 0 +4 +1 2 0x2d3f 0xce13244a @@ -33463,6 +41829,8 @@ 0 0 3 +3 +3 0x6aa9 0xe0935af0 256 @@ -33470,6 +41838,8 @@ 1 1 0 +1 +0 2 0x2a56 0xbfa03858 @@ -33479,6 +41849,8 @@ 0 0 4 +3 +4 0xf3a7 0x39dda40 256 @@ -33486,6 +41858,8 @@ 0 0 0 +3 +3 2 0x60d5 0xe68fb274 @@ -33494,6 +41868,8 @@ 1 0 0 +1 +1 3 0xb199 0xcf56a3c9 @@ -33502,6 +41878,8 @@ 0 0 0 +5 +5 2 0xca8b 0x21aa97d8 @@ -33510,6 +41888,8 @@ 1 0 0 +4 +5 2 0x9f15 0xf90a2c90 @@ -33518,6 +41898,8 @@ 1 0 0 +0 +2 3 0x9936 0x3c835786 @@ -33526,6 +41908,8 @@ 1 1 0 +4 +3 3 0xeabb 0xc09dbcd @@ -33534,6 +41918,8 @@ 0 0 0 +3 +4 2 0xeb06 0x2c25a4e @@ -33542,6 +41928,8 @@ 0 0 0 +0 +5 4 0xcf9d 0x94fe5f2 @@ -33550,6 +41938,8 @@ 0 0 0 +5 +5 2 0xc916 0xd26c4353 @@ -33558,6 +41948,8 @@ 1 0 0 +4 +2 2 0xf71f 0x60783892 @@ -33567,6 +41959,8 @@ 0 0 2 +5 +2 0xe60e 0x201cdc72 256 @@ -33574,6 +41968,8 @@ 1 1 0 +3 +2 4 0xcf51 0x57d2b055 @@ -33582,6 +41978,8 @@ 0 0 0 +0 +4 3 0x4da8 0x455d8607 @@ -33591,6 +41989,8 @@ 0 0 4 +1 +4 0x493d 0x6984ce9d 256 @@ -33598,6 +41998,8 @@ 1 0 0 +5 +5 1 0x51f3 0x9f71059a @@ -33606,6 +42008,8 @@ 0 0 0 +0 +4 3 0xc7e5 0x55e64703 @@ -33614,6 +42018,8 @@ 1 1 0 +4 +3 2 0x8016 0xf0203d66 @@ -33622,6 +42028,8 @@ 0 0 0 +0 +1 4 0x3bb6 0x1353db77 @@ -33631,6 +42039,8 @@ 0 0 4 +1 +4 0xca50 0x393cf1ae 256 @@ -33639,6 +42049,8 @@ 0 0 1 +3 +1 0xc768 0xd8992438 256 @@ -33646,6 +42058,8 @@ 0 0 0 +3 +3 1 0xda2b 0xe46eb2c5 @@ -33654,6 +42068,8 @@ 1 0 0 +2 +0 4 0x84a 0xb2e26b17 @@ -33662,6 +42078,8 @@ 0 0 0 +3 +3 4 0x191b 0x15546cb4 @@ -33670,6 +42088,8 @@ 0 0 0 +2 +1 3 0x692f 0x23be9aee @@ -33678,6 +42098,8 @@ 0 0 0 +4 +2 3 0x5809 0x8188f65d @@ -33686,6 +42108,8 @@ 0 0 0 +4 +3 3 0x89ea 0x78e774b3 @@ -33694,6 +42118,8 @@ 1 1 0 +0 +0 2 0xd46 0x10901de9 @@ -33703,6 +42129,8 @@ 1 0 4 +2 +4 0xc112 0x20b85dd4 256 @@ -33710,6 +42138,8 @@ 1 0 0 +1 +5 4 0xe68e 0xd2098950 @@ -33718,6 +42148,8 @@ 0 0 0 +2 +0 4 0xab49 0xd346e99b @@ -33726,6 +42158,8 @@ 1 1 0 +2 +5 1 0x4d2a 0x119b6dad @@ -33734,6 +42168,8 @@ 0 0 0 +0 +2 2 0x79d8 0xac48a989 @@ -33742,6 +42178,8 @@ 1 1 0 +5 +3 4 0x5e94 0x5331ab3b @@ -33750,6 +42188,8 @@ 1 0 0 +2 +5 1 0x24ff 0xd26ad60d @@ -33758,6 +42198,8 @@ 0 0 0 +0 +5 1 0xb0b 0xfc23935 @@ -33766,6 +42208,8 @@ 1 1 0 +0 +3 4 0xda05 0xb37081e2 @@ -33774,6 +42218,8 @@ 0 0 0 +3 +1 1 0x995f 0xa4b54f62 @@ -33783,6 +42229,8 @@ 1 0 1 +3 +1 0xc1f 0x5f164d94 256 @@ -33790,6 +42238,8 @@ 1 1 0 +0 +3 3 0xe073 0x152f0c2b @@ -33798,6 +42248,8 @@ 1 0 0 +3 +1 2 0x6cc4 0x8cf44673 @@ -33806,6 +42258,8 @@ 0 0 0 +4 +3 3 0x7af4 0xc36f6dca @@ -33814,6 +42268,8 @@ 1 0 0 +1 +4 2 0x8e46 0x3a8fbdb1 @@ -33822,6 +42278,8 @@ 1 1 0 +0 +2 4 0xa0b0 0x785930cd @@ -33830,6 +42288,8 @@ 1 1 0 +0 +4 4 0xd8f7 0xd804a227 @@ -33838,6 +42298,8 @@ 1 1 0 +1 +3 3 0x7e74 0xd620a2ba @@ -33846,6 +42308,8 @@ 1 1 0 +4 +0 1 0x4c1f 0x737307fd @@ -33855,6 +42319,8 @@ 0 0 3 +4 +3 0xe549 0xf42bf20f 256 @@ -33862,6 +42328,8 @@ 0 0 0 +2 +4 4 0xd078 0x5250ac95 @@ -33870,6 +42338,8 @@ 0 0 0 +3 +0 2 0x9b3b 0xb9803799 @@ -33878,6 +42348,8 @@ 0 0 0 +1 +1 4 0xdfc1 0xaea29d1e @@ -33886,6 +42358,8 @@ 0 0 0 +4 +3 2 0x6ea1 0x68d9baf0 @@ -33895,6 +42369,8 @@ 0 0 2 +3 +2 0x84f8 0xfaffd176 256 @@ -33902,6 +42378,8 @@ 0 0 0 +0 +1 3 0x535d 0x928af360 @@ -33910,6 +42388,8 @@ 0 0 0 +2 +1 1 0xcf19 0x5a4a7ac5 @@ -33918,6 +42398,8 @@ 1 0 0 +5 +2 1 0xad03 0x6044f54a @@ -33926,6 +42408,8 @@ 1 1 0 +0 +3 2 0x15d9 0xf8430a7c @@ -33934,6 +42418,8 @@ 0 0 0 +0 +5 4 0x224 0xdcc124ea @@ -33942,6 +42428,8 @@ 0 0 0 +2 +3 4 0xc1bf 0x6bee648c @@ -33951,6 +42439,8 @@ 0 0 3 +3 +3 0x2795 0x4d169196 256 @@ -33958,6 +42448,8 @@ 0 0 0 +0 +0 2 0x4a54 0xa49e7368 @@ -33966,6 +42458,8 @@ 1 1 0 +4 +4 3 0x440c 0x232d30da @@ -33974,6 +42468,8 @@ 0 0 0 +0 +0 2 0x3ace 0xd4c26344 @@ -33982,6 +42478,8 @@ 0 0 0 +3 +2 1 0xcebc 0x91578b87 @@ -33990,6 +42488,8 @@ 1 1 0 +3 +1 2 0x695e 0x8725132e @@ -33998,6 +42498,8 @@ 0 0 0 +4 +3 3 0x86b4 0xf6d5ec30 @@ -34006,6 +42508,8 @@ 1 0 0 +0 +0 2 0xe043 0xd687888a @@ -34014,6 +42518,8 @@ 0 0 0 +5 +1 3 0xea36 0xb4750e4b @@ -34022,6 +42528,8 @@ 1 1 0 +3 +1 2 0x1ba4 0x995542c8 @@ -34030,6 +42538,8 @@ 1 1 0 +4 +1 1 0x969f 0x50dde497 @@ -34038,6 +42548,8 @@ 1 1 0 +5 +0 3 0x109c 0xd7e12467 @@ -34047,6 +42559,8 @@ 0 0 1 +5 +1 0x20e9 0x56289a23 256 @@ -34054,6 +42568,8 @@ 1 1 0 +4 +4 1 0x373b 0x709b8748 @@ -34062,6 +42578,8 @@ 0 0 0 +4 +5 3 0x6fec 0x5be3c9de @@ -34070,6 +42588,8 @@ 0 0 0 +4 +5 2 0x6283 0x18565265 @@ -34078,6 +42598,8 @@ 0 0 0 +5 +4 3 0x23c4 0xffe9b3eb @@ -34086,6 +42608,8 @@ 1 1 0 +1 +1 3 0xfe00 0x88731b34 @@ -34095,6 +42619,8 @@ 0 0 2 +1 +2 0x50a 0xfe77db06 256 @@ -34102,6 +42628,8 @@ 0 0 0 +5 +2 4 0x7f48 0xc2baa9f @@ -34110,6 +42638,8 @@ 1 1 0 +5 +0 2 0x5ca4 0xc7c95dbb @@ -34118,6 +42648,8 @@ 1 1 0 +5 +2 3 0x1a3c 0x95d66a83 @@ -34126,6 +42658,8 @@ 0 0 0 +0 +2 2 0x8e05 0x6d72b915 @@ -34134,6 +42668,8 @@ 0 0 0 +2 +4 1 0xfaf5 0xcef17997 @@ -34142,6 +42678,8 @@ 0 0 0 +5 +1 4 0x5b5e 0xa432c9a7 @@ -34150,6 +42688,8 @@ 0 0 0 +5 +0 1 0xdc84 0x67ef789c @@ -34158,6 +42698,8 @@ 1 0 0 +0 +2 2 0x971c 0xa2efcc85 @@ -34166,6 +42708,8 @@ 0 0 0 +3 +4 2 0x8158 0xbddb4d69 @@ -34174,6 +42718,8 @@ 1 1 0 +4 +3 3 0xc12 0x1dec21f8 @@ -34182,6 +42728,8 @@ 1 1 0 +5 +0 3 0xc05b 0x2a759d99 @@ -34190,6 +42738,8 @@ 1 0 0 +0 +1 3 0x1978 0x4cfe032d @@ -34199,6 +42749,8 @@ 0 0 2 +2 +2 0x6274 0xc102aaa 256 @@ -34206,6 +42758,8 @@ 0 0 0 +5 +1 2 0xdb8f 0x267ee9e7 @@ -34214,6 +42768,8 @@ 0 0 0 +5 +4 1 0xd810 0xfb158373 @@ -34222,6 +42778,8 @@ 0 0 0 +2 +4 1 0x2160 0x7230b236 @@ -34230,6 +42788,8 @@ 0 0 0 +4 +5 2 0x9583 0x7b40e631 @@ -34239,6 +42799,8 @@ 1 0 4 +3 +4 0x4d6b 0x5de700c9 256 @@ -34247,6 +42809,8 @@ 0 0 2 +2 +2 0xb6c7 0x8cad4086 256 @@ -34254,6 +42818,8 @@ 0 0 0 +2 +3 1 0xec8c 0xcb97fabf @@ -34262,6 +42828,8 @@ 0 0 0 +0 +4 1 0xd8f8 0xaec2ef56 @@ -34270,6 +42838,8 @@ 1 1 0 +2 +0 1 0x823c 0x9064dcf2 @@ -34278,6 +42848,8 @@ 1 0 0 +2 +0 4 0x647d 0xbf861e75 @@ -34286,6 +42858,8 @@ 0 0 0 +5 +4 2 0xf219 0xe3620832 @@ -34295,6 +42869,8 @@ 0 0 4 +5 +4 0x225e 0x88e6a6 256 @@ -34302,6 +42878,8 @@ 1 0 0 +5 +5 3 0xac79 0x2b0dbe74 @@ -34310,6 +42888,8 @@ 0 0 0 +4 +2 2 0x7ed2 0xf373adc @@ -34318,6 +42898,8 @@ 1 0 0 +1 +0 3 0xfdb8 0x4f221e60 @@ -34326,6 +42908,8 @@ 1 0 0 +2 +2 4 0xa2b7 0x1fb090fc @@ -34334,6 +42918,8 @@ 0 0 0 +4 +2 2 0x73b0 0x7975a9fb @@ -34342,6 +42928,8 @@ 1 0 0 +0 +3 3 0x7092 0x878d84c7 @@ -34350,6 +42938,8 @@ 0 0 0 +1 +3 4 0x79a8 0x12dcb10d @@ -34359,6 +42949,8 @@ 1 0 1 +3 +1 0x5dbd 0xf54d0858 256 @@ -34367,6 +42959,8 @@ 1 0 4 +4 +4 0x5ce5 0x96f3a80a 256 @@ -34374,6 +42968,8 @@ 1 1 0 +0 +2 1 0xc033 0x93048975 @@ -34382,6 +42978,8 @@ 0 0 0 +1 +3 3 0xb4fd 0x734d8591 @@ -34390,6 +42988,8 @@ 0 0 0 +2 +2 1 0x6339 0x1fab7f09 @@ -34398,6 +42998,8 @@ 1 1 0 +0 +5 3 0x7ebc 0xf88a4a31 @@ -34406,6 +43008,8 @@ 0 0 0 +2 +4 1 0x7d70 0x549469a1 @@ -34414,6 +43018,8 @@ 1 1 0 +4 +3 2 0xfa38 0x2c946971 @@ -34422,6 +43028,8 @@ 1 1 0 +5 +0 3 0x8080 0xa201c5f6 @@ -34430,6 +43038,8 @@ 1 0 0 +5 +0 4 0xe31d 0xa53a2dc @@ -34438,6 +43048,8 @@ 1 0 0 +2 +3 1 0xf87e 0x68f0e45b @@ -34446,6 +43058,8 @@ 1 0 0 +5 +0 2 0x8806 0x18463e7b @@ -34454,6 +43068,8 @@ 1 1 0 +5 +3 3 0x35d3 0x4c76efa5 @@ -34462,6 +43078,8 @@ 0 0 0 +0 +1 2 0xd53f 0xd1eebf86 @@ -34470,6 +43088,8 @@ 0 0 0 +5 +5 3 0x3277 0x2fb59859 @@ -34478,6 +43098,8 @@ 1 0 0 +2 +1 4 0x7030 0x5130062f @@ -34486,6 +43108,8 @@ 1 0 0 +3 +3 1 0xe750 0xe82b9312 @@ -34494,6 +43118,8 @@ 1 0 0 +2 +3 1 0x87f5 0x200cdaf4 @@ -34502,6 +43128,8 @@ 1 0 0 +5 +3 2 0x1bb9 0x33dc29fd @@ -34510,6 +43138,8 @@ 0 0 0 +0 +0 2 0x7e37 0x1aa09481 @@ -34518,6 +43148,8 @@ 0 0 0 +0 +5 3 0xfc34 0xc025cc4c @@ -34526,6 +43158,8 @@ 1 0 0 +5 +1 2 0xa04 0x746c4197 @@ -34534,6 +43168,8 @@ 1 1 0 +5 +4 4 0x1af1 0x42bab0fc @@ -34542,6 +43178,8 @@ 0 0 0 +3 +1 2 0x4cf0 0x8a550b20 @@ -34550,6 +43188,8 @@ 0 0 0 +3 +2 2 0xe62c 0x959946dc @@ -34558,6 +43198,8 @@ 0 0 0 +5 +3 4 0xa2ce 0xe4f86c9c @@ -34566,6 +43208,8 @@ 1 0 0 +3 +0 2 0x4524 0x84b709ae @@ -34574,6 +43218,8 @@ 1 0 0 +5 +1 1 0xf794 0xd61d6995 @@ -34582,6 +43228,8 @@ 0 0 0 +5 +0 3 0x8f13 0xf7f271b @@ -34590,6 +43238,8 @@ 1 0 0 +0 +3 4 0xd792 0xffaacc39 @@ -34598,6 +43248,8 @@ 0 0 0 +3 +4 2 0x4c73 0xfb4251c4 @@ -34607,6 +43259,8 @@ 0 0 1 +0 +1 0x915f 0xf6217b7d 256 @@ -34614,6 +43268,8 @@ 1 0 0 +5 +5 2 0x3f50 0xf10564c8 @@ -34622,6 +43278,8 @@ 0 0 0 +5 +1 2 0xe287 0x1cef96a7 @@ -34630,6 +43288,8 @@ 1 1 0 +5 +5 3 0xbe04 0xb7b9f8fb @@ -34639,6 +43299,8 @@ 0 0 3 +0 +3 0xc841 0x68ae8498 256 @@ -34647,6 +43309,8 @@ 0 0 4 +5 +4 0x195f 0x3074fe61 256 @@ -34654,6 +43318,8 @@ 0 0 0 +3 +3 4 0xf4df 0x7f0f1970 @@ -34662,6 +43328,8 @@ 0 0 0 +2 +3 4 0xa6f9 0x8d762da5 @@ -34670,6 +43338,8 @@ 1 0 0 +4 +4 1 0xe079 0xb300e75b @@ -34679,6 +43349,8 @@ 0 0 1 +1 +1 0xb5a4 0x3a83e844 256 @@ -34686,6 +43358,8 @@ 1 0 0 +3 +4 4 0xf1f4 0xb0f02425 @@ -34694,6 +43368,8 @@ 0 0 0 +1 +2 2 0x1c56 0x144766d2 @@ -34703,6 +43379,8 @@ 1 0 4 +1 +4 0x532d 0xac1a15e6 256 @@ -34711,6 +43389,8 @@ 1 0 1 +2 +1 0x9d4e 0x9e91e33c 256 @@ -34718,6 +43398,8 @@ 0 0 0 +0 +5 3 0xf435 0x5e827d23 @@ -34727,6 +43409,8 @@ 0 0 3 +3 +3 0xb22a 0x12a13d1d 256 @@ -34735,6 +43419,8 @@ 1 0 3 +1 +3 0x65e3 0xac5b9cd6 256 @@ -34743,6 +43429,8 @@ 0 0 1 +4 +1 0x70e9 0x499a5918 256 @@ -34750,6 +43438,8 @@ 1 1 0 +0 +3 2 0x8b97 0x7074e95b @@ -34759,6 +43449,8 @@ 0 0 4 +1 +4 0xc498 0xbc323b6e 256 @@ -34767,6 +43459,8 @@ 0 0 3 +3 +3 0xfbcd 0x26607c35 256 @@ -34774,6 +43468,8 @@ 0 0 0 +0 +5 4 0x1b0d 0x84fb4d @@ -34783,6 +43479,8 @@ 1 0 1 +0 +1 0xf0f6 0x26ffc189 256 @@ -34790,6 +43488,8 @@ 1 0 0 +5 +3 2 0x1784 0xf4edd943 @@ -34798,6 +43498,8 @@ 0 0 0 +5 +3 2 0xae91 0x6ca89139 @@ -34806,6 +43508,8 @@ 1 0 0 +0 +5 2 0xac04 0xb0f20eb0 @@ -34814,6 +43518,8 @@ 0 0 0 +0 +3 1 0x90f6 0x859e13ab @@ -34822,6 +43528,8 @@ 0 0 0 +0 +2 1 0xd3d 0x75957c3b @@ -34830,6 +43538,8 @@ 1 1 0 +3 +0 2 0x431f 0xb88bed2f @@ -34838,6 +43548,8 @@ 1 0 0 +0 +3 1 0x84e3 0x9c0985f9 @@ -34846,6 +43558,8 @@ 0 0 0 +2 +5 4 0xb859 0x2a7e9a4e @@ -34854,6 +43568,8 @@ 0 0 0 +0 +4 2 0x953f 0x3dfcced6 @@ -34862,6 +43578,8 @@ 1 1 0 +2 +2 1 0xc2fa 0x8548b8d5 @@ -34870,6 +43588,8 @@ 1 0 0 +4 +1 1 0xab4 0xb581e750 @@ -34878,6 +43598,8 @@ 1 0 0 +2 +0 1 0xa8cb 0x2f44db72 @@ -34886,6 +43608,8 @@ 1 0 0 +2 +5 4 0xe3de 0x89de9560 @@ -34894,6 +43618,8 @@ 1 1 0 +5 +1 3 0xea28 0x7efc19f0 @@ -34902,6 +43628,8 @@ 0 0 0 +0 +5 1 0x5b03 0x414fe05a @@ -34910,6 +43638,8 @@ 1 1 0 +3 +3 1 0x2b8b 0x9450284c @@ -34918,6 +43648,8 @@ 0 0 0 +0 +4 4 0x2c40 0xd77af4d8 @@ -34926,6 +43658,8 @@ 1 0 0 +2 +1 1 0xa8 0x3f462e25 @@ -34934,6 +43668,8 @@ 0 0 0 +5 +5 3 0x1c28 0x218dc5aa @@ -34942,6 +43678,8 @@ 0 0 0 +5 +0 3 0x7e4c 0x9ac555f7 @@ -34950,6 +43688,8 @@ 0 0 0 +2 +5 1 0x465 0x914bf088 @@ -34959,6 +43699,8 @@ 0 0 2 +3 +2 0xee4e 0x63452a81 256 @@ -34967,6 +43709,8 @@ 0 0 3 +2 +3 0x8dad 0xc8e9efdf 256 @@ -34974,6 +43718,8 @@ 1 1 0 +5 +1 2 0x6941 0xb1e682fe @@ -34982,6 +43728,8 @@ 1 0 0 +5 +1 4 0x469b 0x2a722b31 @@ -34990,6 +43738,8 @@ 0 0 0 +0 +4 4 0x9df1 0x46de0d39 @@ -34999,6 +43749,8 @@ 1 0 2 +2 +2 0xa8f1 0x70dbc9e0 256 @@ -35007,6 +43759,8 @@ 0 0 2 +4 +2 0x4c36 0x847935a4 256 @@ -35014,6 +43768,8 @@ 1 0 0 +2 +0 4 0x4786 0xfba56f5 @@ -35022,6 +43778,8 @@ 0 0 0 +5 +0 4 0x7f12 0x93b46b9e @@ -35030,6 +43788,8 @@ 0 0 0 +2 +0 1 0x63f4 0x130f476f @@ -35038,6 +43798,8 @@ 0 0 0 +5 +1 4 0x9523 0xef04ca22 @@ -35046,6 +43808,8 @@ 1 1 0 +0 +1 1 0xb5b5 0x2b1cfe63 @@ -35054,6 +43818,8 @@ 1 1 0 +4 +5 3 0x6c51 0x57b14b75 @@ -35063,6 +43829,8 @@ 0 0 2 +2 +2 0xe3e8 0xc0188272 256 @@ -35070,6 +43838,8 @@ 0 0 0 +5 +3 3 0xec7c 0x5f5e1432 @@ -35078,6 +43848,8 @@ 1 0 0 +5 +1 1 0x2e92 0x42d4f555 @@ -35086,6 +43858,8 @@ 1 1 0 +4 +2 3 0x99c1 0x1506134f @@ -35094,6 +43868,8 @@ 1 0 0 +0 +0 4 0x3fe3 0xcb8affb6 @@ -35102,6 +43878,8 @@ 1 1 0 +3 +4 2 0xc549 0x8a87789b @@ -35110,6 +43888,8 @@ 1 0 0 +5 +3 4 0x2cc0 0x9078c298 @@ -35119,6 +43899,8 @@ 0 0 1 +2 +1 0x480f 0x9af4ab53 256 @@ -35126,6 +43908,8 @@ 1 1 0 +3 +4 1 0xde84 0xef9b3722 @@ -35134,6 +43918,8 @@ 1 1 0 +3 +0 2 0x36fa 0x846ac716 @@ -35142,6 +43928,8 @@ 1 0 0 +4 +1 2 0x397 0x5e20e410 @@ -35151,6 +43939,8 @@ 0 0 3 +4 +3 0x574 0xc5ceec05 256 @@ -35158,6 +43948,8 @@ 1 0 0 +5 +4 3 0x5909 0x6f0f76fb @@ -35166,6 +43958,8 @@ 0 0 0 +3 +5 2 0xdb0e 0xd7d4cf0d @@ -35174,6 +43968,8 @@ 1 1 0 +5 +5 4 0xd7ca 0xa7deb869 @@ -35183,6 +43979,8 @@ 0 0 4 +1 +4 0x922c 0x3d9bc81e 256 @@ -35191,6 +43989,8 @@ 0 0 1 +0 +1 0xc597 0xa72a010a 256 @@ -35198,6 +43998,8 @@ 1 1 0 +5 +2 1 0x1bdc 0x1fd9051c @@ -35206,6 +44008,8 @@ 1 0 0 +4 +0 2 0x8f26 0x3eb3b492 @@ -35214,6 +44018,8 @@ 0 0 0 +4 +5 1 0xa664 0x1cfd2339 @@ -35222,6 +44028,8 @@ 0 0 0 +0 +1 3 0x6ab4 0x3ffcb001 @@ -35230,6 +44038,8 @@ 0 0 0 +0 +0 3 0x3951 0x1a807d82 @@ -35239,6 +44049,8 @@ 0 0 2 +1 +2 0x9520 0x9b1442a3 256 @@ -35246,6 +44058,8 @@ 1 0 0 +0 +3 2 0x7b33 0x901dcd3b @@ -35255,6 +44069,8 @@ 1 0 2 +4 +2 0x5ae9 0x9a43fb5f 256 @@ -35262,6 +44078,8 @@ 0 0 0 +2 +0 4 0x6407 0x50371b1a @@ -35270,6 +44088,8 @@ 1 0 0 +5 +5 2 0xdf94 0x1090ded2 @@ -35278,6 +44098,8 @@ 1 1 0 +3 +1 4 0xe56a 0x403f28f4 @@ -35286,6 +44108,8 @@ 1 1 0 +4 +2 3 0x2473 0x677e06c6 @@ -35294,6 +44118,8 @@ 1 0 0 +1 +2 3 0x9c3c 0x14bde276 @@ -35302,6 +44128,8 @@ 1 1 0 +1 +4 2 0x9ec7 0x7057f79 @@ -35310,6 +44138,8 @@ 0 0 0 +5 +4 3 0xbc98 0xc534ce94 @@ -35318,6 +44148,8 @@ 1 0 0 +0 +0 2 0x5285 0xf185f9e2 @@ -35327,6 +44159,8 @@ 0 0 1 +5 +1 0xbe87 0x25fdf80 256 @@ -35334,6 +44168,8 @@ 0 0 0 +0 +3 3 0x711e 0xf73eab75 @@ -35342,6 +44178,8 @@ 1 0 0 +5 +0 2 0x1c8a 0xac4af069 @@ -35350,6 +44188,8 @@ 0 0 0 +5 +5 1 0x9236 0x942ecc5f @@ -35358,6 +44198,8 @@ 0 0 0 +5 +1 3 0x8b46 0xf660d7cc @@ -35366,6 +44208,8 @@ 0 0 0 +0 +2 3 0x926c 0x14f2ca3d @@ -35374,6 +44218,8 @@ 1 1 0 +3 +4 2 0xc107 0x4f6cc947 @@ -35382,6 +44228,8 @@ 0 0 0 +3 +4 2 0x9e34 0x7fb4deb7 @@ -35391,6 +44239,8 @@ 1 0 2 +1 +2 0xbed9 0x5dffefed 256 @@ -35398,6 +44248,8 @@ 0 0 0 +4 +0 2 0x610b 0x7dbf1989 @@ -35406,6 +44258,8 @@ 1 1 0 +3 +2 4 0x5347 0x5b33bd21 @@ -35415,6 +44269,8 @@ 0 0 1 +0 +1 0xde2a 0x40b5f874 256 @@ -35422,6 +44278,8 @@ 1 0 0 +3 +4 1 0x8353 0x25e689e6 @@ -35430,6 +44288,8 @@ 1 0 0 +1 +0 3 0xa6b9 0x61d8891e @@ -35438,6 +44298,8 @@ 0 0 0 +5 +2 3 0xcf1e 0x9210f9fd @@ -35446,6 +44308,8 @@ 1 0 0 +0 +3 2 0xae7d 0x7661179e @@ -35454,6 +44318,8 @@ 1 0 0 +5 +2 4 0x3e6 0xcc91c90 @@ -35462,6 +44328,8 @@ 0 0 0 +0 +0 3 0xd75a 0x1497cdca @@ -35471,6 +44339,8 @@ 0 0 2 +2 +2 0x100 0x4af704de 256 @@ -35478,6 +44348,8 @@ 1 1 0 +0 +2 3 0x2659 0xf73d5291 @@ -35486,6 +44358,8 @@ 1 1 0 +1 +2 3 0x7a6c 0x8bcedc0e @@ -35494,6 +44368,8 @@ 0 0 0 +2 +1 1 0xad11 0x93c9f6ee @@ -35502,6 +44378,8 @@ 0 0 0 +3 +5 4 0x4d16 0x16252e3e @@ -35510,6 +44388,8 @@ 0 0 0 +2 +4 3 0xb96a 0xe2208565 @@ -35519,6 +44399,8 @@ 0 0 4 +0 +4 0xc9f9 0xcd020db6 256 @@ -35526,6 +44408,8 @@ 1 1 0 +4 +2 1 0x4c6e 0x6462aaac @@ -35534,6 +44418,8 @@ 1 0 0 +1 +1 2 0x3085 0x693187b0 @@ -35542,6 +44428,8 @@ 1 1 0 +3 +2 4 0x560f 0xe1d1e108 @@ -35550,6 +44438,8 @@ 1 1 0 +0 +4 3 0x40c8 0x10e05747 @@ -35558,6 +44448,8 @@ 0 0 0 +2 +3 1 0xd6c6 0x509b2ba6 @@ -35566,6 +44458,8 @@ 1 1 0 +2 +1 4 0x4cb 0x8981ba01 @@ -35574,6 +44468,8 @@ 1 1 0 +3 +0 4 0xf122 0xd675a2ff @@ -35582,6 +44478,8 @@ 1 0 0 +0 +5 1 0x3197 0x915fa7af @@ -35590,6 +44488,8 @@ 1 0 0 +3 +1 1 0xbf44 0x26af1bd8 @@ -35598,6 +44498,8 @@ 0 0 0 +3 +1 1 0x3899 0xc57e8623 @@ -35606,6 +44508,8 @@ 0 0 0 +5 +1 1 0xa93f 0xea950540 @@ -35615,6 +44519,8 @@ 0 0 1 +4 +1 0x30a2 0xe93314e6 256 @@ -35622,6 +44528,8 @@ 0 0 0 +3 +3 4 0x502c 0x50fe22c7 @@ -35630,6 +44538,8 @@ 0 0 0 +5 +0 2 0x2a1d 0x1b20fb3c @@ -35638,6 +44548,8 @@ 1 1 0 +3 +1 2 0x75f0 0x17a8f735 @@ -35646,6 +44558,8 @@ 0 0 0 +0 +3 2 0xc0f5 0xe0b88d @@ -35654,6 +44568,8 @@ 1 1 0 +4 +0 2 0x6414 0x40153a8a @@ -35662,6 +44578,8 @@ 0 0 0 +1 +0 3 0xee2 0x692433f8 @@ -35670,6 +44588,8 @@ 0 0 0 +1 +2 3 0x6560 0xf44385de @@ -35679,6 +44599,8 @@ 0 0 2 +2 +2 0xdf48 0x823dc87e 256 @@ -35686,6 +44608,8 @@ 1 1 0 +4 +5 3 0x706c 0x79bc3595 @@ -35694,6 +44618,8 @@ 0 0 0 +4 +2 2 0xf21e 0x3955e386 @@ -35702,6 +44628,8 @@ 0 0 0 +0 +4 2 0x66a1 0x296b90cc @@ -35710,6 +44638,8 @@ 0 0 0 +5 +3 1 0xfcfb 0xa82ecb18 @@ -35718,6 +44648,8 @@ 0 0 0 +0 +3 3 0x482f 0x8629c00d @@ -35726,6 +44658,8 @@ 1 1 0 +1 +2 4 0x59c1 0xaf055119 @@ -35734,6 +44668,8 @@ 0 0 0 +4 +1 3 0x19b5 0x9f3af294 @@ -35742,6 +44678,8 @@ 0 0 0 +1 +0 4 0x2905 0xf9d0d482 @@ -35750,6 +44688,8 @@ 1 1 0 +2 +2 3 0x4c9c 0x65df58d9 @@ -35759,6 +44699,8 @@ 0 0 4 +4 +4 0x7f2a 0x8371da6b 256 @@ -35766,6 +44708,8 @@ 0 0 0 +5 +0 3 0x4b56 0x8d8a74d6 @@ -35774,6 +44718,8 @@ 1 1 0 +0 +1 3 0x3c9d 0xb654edc2 @@ -35783,6 +44729,8 @@ 1 0 3 +4 +3 0x5469 0xa1e42456 256 @@ -35790,6 +44738,8 @@ 1 1 0 +4 +3 1 0x4477 0x8e1e3d2e @@ -35798,6 +44748,8 @@ 1 1 0 +5 +0 4 0x839e 0xdda7747c @@ -35806,6 +44758,8 @@ 0 0 0 +4 +2 3 0x17cc 0x433f7379 @@ -35815,6 +44769,8 @@ 0 0 1 +4 +1 0x3b8d 0x650d4d2f 256 @@ -35822,6 +44778,8 @@ 1 1 0 +2 +4 3 0x2480 0x1063cc2e @@ -35830,6 +44788,8 @@ 1 1 0 +1 +0 2 0xdc91 0x432fc7a8 @@ -35839,6 +44799,8 @@ 0 0 4 +4 +4 0xb691 0xdd5035c8 256 @@ -35847,6 +44809,8 @@ 0 0 3 +2 +3 0x3cdd 0x1229c7ae 256 @@ -35854,6 +44818,8 @@ 1 0 0 +0 +2 2 0x8596 0x9297de48 @@ -35863,6 +44829,8 @@ 0 0 1 +5 +1 0x43fa 0xe6515848 256 @@ -35870,6 +44838,8 @@ 1 0 0 +1 +4 3 0x9751 0xb4925bb2 @@ -35878,6 +44848,8 @@ 1 0 0 +2 +2 1 0x38aa 0xc35e0244 @@ -35886,6 +44858,8 @@ 1 1 0 +1 +3 4 0x5382 0x21e37e26 @@ -35895,6 +44869,8 @@ 0 0 2 +3 +2 0xcd81 0x940ee1cf 256 @@ -35902,6 +44878,8 @@ 1 0 0 +3 +5 2 0x445a 0xe8716695 @@ -35910,6 +44888,8 @@ 0 0 0 +4 +2 3 0x71dc 0x5727b93a @@ -35918,6 +44898,8 @@ 1 0 0 +4 +3 3 0xebaa 0xc32608 @@ -35926,6 +44908,8 @@ 0 0 0 +2 +3 3 0x6d00 0x378b51b9 @@ -35935,6 +44919,8 @@ 1 0 3 +4 +3 0xd9c7 0x42fdbf9 256 @@ -35942,6 +44928,8 @@ 1 1 0 +0 +1 2 0x94a9 0xb792ec2e @@ -35950,6 +44938,8 @@ 1 0 0 +0 +4 4 0x70de 0x23bf4248 @@ -35958,6 +44948,8 @@ 0 0 0 +4 +1 1 0x32d8 0xb6d0ebd0 @@ -35966,6 +44958,8 @@ 1 1 0 +2 +3 4 0x969b 0x14948931 @@ -35975,6 +44969,8 @@ 0 0 2 +1 +2 0x18c2 0x3e63611f 256 @@ -35982,6 +44978,8 @@ 0 0 0 +3 +2 1 0xa5a3 0x61987ccb @@ -35990,6 +44988,8 @@ 0 0 0 +1 +3 4 0x587d 0xfb0a7113 @@ -35998,6 +44998,8 @@ 1 0 0 +4 +0 1 0x670e 0x68661167 @@ -36006,6 +45008,8 @@ 1 0 0 +5 +0 4 0x4577 0x47b59d1f @@ -36014,6 +45018,8 @@ 0 0 0 +1 +4 4 0xce12 0x17eff4a5 @@ -36023,6 +45029,8 @@ 0 0 1 +1 +1 0xb45 0xd089e50b 256 @@ -36030,6 +45038,8 @@ 1 1 0 +3 +3 4 0x7b21 0xa8e3e1f3 @@ -36038,6 +45048,8 @@ 0 0 0 +4 +3 1 0x287c 0x6bf3d4cf @@ -36046,6 +45058,8 @@ 1 1 0 +2 +4 1 0x31ee 0x854d71da @@ -36054,6 +45068,8 @@ 0 0 0 +4 +2 2 0xf555 0x88dc746e @@ -36062,6 +45078,8 @@ 0 0 0 +4 +3 1 0x33e3 0xb5722232 @@ -36070,6 +45088,8 @@ 1 0 0 +1 +5 4 0x3b35 0x548351a7 @@ -36078,6 +45098,8 @@ 1 1 0 +1 +5 4 0x9cf3 0x6a22037d @@ -36086,6 +45108,8 @@ 0 0 0 +1 +5 3 0x272 0x676772d4 @@ -36094,6 +45118,8 @@ 1 1 0 +5 +4 2 0xe4a9 0x761e6da9 @@ -36102,6 +45128,8 @@ 0 0 0 +0 +0 4 0x7f97 0x7cc07809 @@ -36110,6 +45138,8 @@ 0 0 0 +4 +4 1 0x9e92 0xb6d39150 @@ -36118,6 +45148,8 @@ 0 0 0 +1 +4 2 0xfc8c 0x922b5a42 @@ -36126,6 +45158,8 @@ 0 0 0 +1 +1 2 0xde0e 0xb1437e0 @@ -36135,6 +45169,8 @@ 0 0 2 +4 +2 0xc458 0x7ebaf11c 256 @@ -36142,6 +45178,8 @@ 1 1 0 +3 +5 4 0xa746 0x7d12b227 @@ -36150,6 +45188,8 @@ 1 0 0 +0 +0 3 0xe974 0x89facaeb @@ -36158,6 +45198,8 @@ 0 0 0 +3 +0 4 0x72ac 0x363659c3 @@ -36167,6 +45209,8 @@ 1 0 3 +1 +3 0x20d3 0x5683cb96 256 @@ -36174,6 +45218,8 @@ 0 0 0 +3 +2 1 0x8863 0xdcbb64bc @@ -36182,6 +45228,8 @@ 0 0 0 +0 +5 3 0x88a9 0xdaa2bd23 @@ -36190,6 +45238,8 @@ 1 0 0 +4 +0 3 0x1954 0x36457a2 @@ -36198,6 +45248,8 @@ 1 1 0 +3 +3 1 0x6087 0x3a9c7a22 @@ -36206,6 +45258,8 @@ 1 0 0 +3 +2 1 0xfa36 0x345a9a7 @@ -36215,6 +45269,8 @@ 0 0 3 +0 +3 0x60ab 0x88bc0370 256 @@ -36223,6 +45279,8 @@ 0 0 2 +1 +2 0xe0ae 0x46932df1 256 @@ -36230,6 +45288,8 @@ 1 0 0 +2 +0 3 0xcf3a 0xcdc70f4c @@ -36239,6 +45299,8 @@ 0 0 4 +1 +4 0xd28e 0x7f0d9676 256 @@ -36246,6 +45308,8 @@ 0 0 0 +2 +5 4 0x2770 0x8cc3e6d1 @@ -36254,6 +45318,8 @@ 1 0 0 +0 +4 2 0xe8f3 0xebf56e47 @@ -36262,6 +45328,8 @@ 0 0 0 +4 +4 2 0x86c8 0x3b72031 @@ -36270,6 +45338,8 @@ 0 0 0 +1 +5 3 0x6697 0x2a6d5c71 @@ -36278,6 +45348,8 @@ 1 1 0 +2 +0 3 0x7e5 0xded0d73e @@ -36286,6 +45358,8 @@ 1 1 0 +5 +1 3 0x7b25 0x6b291cd4 @@ -36294,6 +45368,8 @@ 1 1 0 +5 +1 3 0xbb05 0x3de97f3b @@ -36302,6 +45378,8 @@ 0 0 0 +5 +4 4 0x68d9 0x351703d2 @@ -36310,6 +45388,8 @@ 0 0 0 +3 +0 1 0xc8cd 0x8c3413 @@ -36319,6 +45399,8 @@ 0 0 3 +1 +3 0x40ff 0xa8dcbfab 256 @@ -36326,6 +45408,8 @@ 0 0 0 +3 +3 2 0x6c94 0x59b9a9d @@ -36334,6 +45418,8 @@ 1 1 0 +4 +2 1 0xfc9f 0x179891a5 @@ -36342,6 +45428,8 @@ 0 0 0 +0 +1 3 0x514d 0x4332e2d0 @@ -36351,6 +45439,8 @@ 0 0 3 +0 +3 0x345c 0xfad04311 256 @@ -36358,6 +45448,8 @@ 0 0 0 +5 +4 1 0x2302 0xbc61b25a @@ -36366,6 +45458,8 @@ 0 0 0 +2 +3 3 0xcd90 0x20ccda77 @@ -36374,6 +45468,8 @@ 1 0 0 +4 +4 3 0xc2f8 0x3f732000 @@ -36382,6 +45478,8 @@ 1 1 0 +0 +2 2 0x5df6 0x8ea106c9 @@ -36391,6 +45489,8 @@ 0 0 4 +3 +4 0x2060 0xb5a8e742 256 @@ -36399,6 +45499,8 @@ 0 0 4 +1 +4 0x84e6 0x3b25ab2f 256 @@ -36406,6 +45508,8 @@ 1 1 0 +2 +1 1 0x2dab 0x67ab03c @@ -36415,6 +45519,8 @@ 0 0 4 +3 +4 0x9e52 0x108e9347 256 @@ -36423,6 +45529,8 @@ 0 0 2 +3 +2 0x9400 0x6d2bb2c0 256 @@ -36430,6 +45538,8 @@ 1 0 0 +0 +2 1 0x673b 0x373de67f @@ -36438,6 +45548,8 @@ 1 0 0 +2 +4 4 0xa54a 0x578b71d3 @@ -36447,6 +45559,8 @@ 1 0 4 +4 +4 0x93d6 0x553a8657 256 @@ -36454,6 +45568,8 @@ 1 1 0 +5 +1 3 0x686c 0xb69b2bad @@ -36463,6 +45579,8 @@ 0 0 2 +5 +2 0x4609 0xf9d3f1c6 256 @@ -36470,6 +45588,8 @@ 0 0 0 +3 +5 2 0x2d8 0x16e4229d @@ -36478,6 +45598,8 @@ 1 1 0 +2 +2 3 0xd5a7 0x7fe1b43b @@ -36486,6 +45608,8 @@ 1 1 0 +4 +3 3 0x85f9 0x401c4076 @@ -36494,6 +45618,8 @@ 0 0 0 +1 +3 4 0x5dcf 0x9ff005e5 @@ -36502,6 +45628,8 @@ 1 0 0 +2 +4 3 0xdbbf 0x44ea172f @@ -36510,6 +45638,8 @@ 1 0 0 +4 +2 1 0xf753 0xeaa1f52 @@ -36519,6 +45649,8 @@ 0 0 1 +3 +1 0x321b 0x6220b86b 256 @@ -36526,6 +45658,8 @@ 1 1 0 +4 +5 1 0x1230 0x3038e0b0 @@ -36534,6 +45668,8 @@ 0 0 0 +0 +1 2 0x261d 0x84aaf79d @@ -36542,6 +45678,8 @@ 1 0 0 +5 +4 4 0x532e 0xfa825e0e @@ -36550,6 +45688,8 @@ 1 0 0 +3 +1 2 0x9891 0x7b20534e @@ -36558,6 +45698,8 @@ 0 0 0 +3 +4 2 0x2f37 0xc66fdde8 @@ -36566,6 +45708,8 @@ 0 0 0 +5 +1 2 0x8a4c 0x433e1036 @@ -36574,6 +45718,8 @@ 1 0 0 +2 +2 1 0xc7e2 0xd053af1 @@ -36582,6 +45728,8 @@ 0 0 0 +5 +3 2 0x83c1 0x59aec321 @@ -36591,6 +45739,8 @@ 0 0 1 +4 +1 0x3dcd 0xd719a380 256 @@ -36598,6 +45748,8 @@ 0 0 0 +5 +0 2 0x2067 0x348550cd @@ -36606,6 +45758,8 @@ 1 0 0 +4 +0 3 0x2235 0x3f31b501 @@ -36614,6 +45768,8 @@ 1 1 0 +0 +0 4 0xd9f0 0x654b72a6 @@ -36622,6 +45778,8 @@ 1 1 0 +5 +2 3 0x3030 0xb1c6b33 @@ -36630,6 +45788,8 @@ 0 0 0 +0 +2 2 0x209 0x1ebf1bf6 @@ -36639,6 +45799,8 @@ 0 0 2 +4 +2 0xf1fd 0x1949bc9e 256 @@ -36646,6 +45808,8 @@ 1 1 0 +3 +5 4 0xa600 0xf5e13f39 @@ -36654,6 +45818,8 @@ 0 0 0 +3 +1 1 0xa21f 0x7fac890b @@ -36662,6 +45828,8 @@ 1 0 0 +1 +4 2 0xb9c9 0x2786ccae @@ -36671,6 +45839,8 @@ 0 0 2 +1 +2 0x3692 0x83b1c21c 256 @@ -36678,6 +45848,8 @@ 1 1 0 +1 +5 2 0x118e 0xf06b3653 @@ -36686,6 +45858,8 @@ 0 0 0 +2 +4 1 0x5999 0x4bc06b88 @@ -36694,6 +45868,8 @@ 1 1 0 +5 +1 4 0x4183 0xbed7bd80 @@ -36702,6 +45878,8 @@ 0 0 0 +2 +0 1 0xab53 0xc1239d5e @@ -36710,6 +45888,8 @@ 0 0 0 +0 +2 1 0x48a6 0x90b0858c @@ -36718,6 +45898,8 @@ 1 0 0 +0 +5 1 0x1b81 0x9d1b9b63 @@ -36726,6 +45908,8 @@ 0 0 0 +2 +2 3 0x7c37 0x43bc8b01 @@ -36734,6 +45918,8 @@ 1 1 0 +0 +5 1 0x74a5 0x6e0e4439 @@ -36742,6 +45928,8 @@ 0 0 0 +2 +2 3 0x92fe 0x326ddce3 @@ -36750,6 +45938,8 @@ 1 1 0 +0 +3 2 0x907c 0xa324b485 @@ -36758,6 +45948,8 @@ 1 1 0 +2 +3 3 0xb5f6 0xdb7b013 @@ -36766,6 +45958,8 @@ 0 0 0 +3 +1 2 0x969c 0x9b8621ee @@ -36774,6 +45968,8 @@ 1 0 0 +4 +0 2 0xf7f1 0x5dd7b2e3 @@ -36783,6 +45979,8 @@ 0 0 2 +0 +2 0xdfdb 0xb84e4293 256 @@ -36790,6 +45988,8 @@ 0 0 0 +1 +0 2 0xd448 0x99df53e1 @@ -36798,6 +45998,8 @@ 1 0 0 +4 +0 2 0x2c99 0x2856a189 @@ -36806,6 +46008,8 @@ 0 0 0 +3 +2 2 0x7b13 0xcc736591 @@ -36814,6 +46018,8 @@ 1 0 0 +5 +4 2 0x6a4d 0xeee8f8c9 @@ -36822,6 +46028,8 @@ 0 0 0 +4 +5 2 0x666f 0xe62fa28b @@ -36830,6 +46038,8 @@ 1 0 0 +1 +5 4 0x9646 0x1632da4f @@ -36838,6 +46048,8 @@ 0 0 0 +0 +4 2 0x49ec 0x2f02e402 @@ -36847,6 +46059,8 @@ 0 0 4 +3 +4 0x8977 0xaede07a4 256 @@ -36854,6 +46068,8 @@ 1 0 0 +2 +3 1 0x99fc 0x2a4b4457 @@ -36863,6 +46079,8 @@ 0 0 3 +0 +3 0x110e 0xb7aaa92e 256 @@ -36870,6 +46088,8 @@ 1 0 0 +1 +4 3 0x6d62 0xfe136686 @@ -36878,6 +46098,8 @@ 1 0 0 +3 +0 1 0x35b6 0x9b26e33d @@ -36886,6 +46108,8 @@ 0 0 0 +1 +1 3 0x2a8a 0xff94ef99 @@ -36894,6 +46118,8 @@ 0 0 0 +5 +5 1 0xbfb3 0x4a7636ae @@ -36902,6 +46128,8 @@ 0 0 0 +1 +2 2 0xd4a4 0x824b0e60 @@ -36910,6 +46138,8 @@ 0 0 0 +4 +1 2 0x60b5 0x1202f24e @@ -36918,6 +46148,8 @@ 0 0 0 +4 +0 3 0x861a 0x6d24885 @@ -36926,6 +46158,8 @@ 1 1 0 +1 +4 4 0xd95c 0xd6250e00 @@ -36934,6 +46168,8 @@ 1 0 0 +5 +2 3 0xa231 0xdfcc5731 @@ -36942,6 +46178,8 @@ 0 0 0 +2 +3 1 0x9e66 0x3361ca74 @@ -36950,6 +46188,8 @@ 1 0 0 +0 +1 3 0x8e42 0x36f422c9 @@ -36959,6 +46199,8 @@ 0 0 4 +1 +4 0x26e2 0xc85a5433 256 @@ -36966,6 +46208,8 @@ 1 0 0 +4 +3 1 0x41a 0x2b15caa7 @@ -36974,6 +46218,8 @@ 1 1 0 +1 +5 2 0x65ac 0x961a0a62 @@ -36982,6 +46228,8 @@ 1 0 0 +4 +0 2 0x4166 0xbaa6a85a @@ -36990,6 +46238,8 @@ 1 1 0 +2 +2 4 0xf417 0x498e38ce @@ -36998,6 +46248,8 @@ 0 0 0 +1 +5 2 0x798d 0x6e271af6 @@ -37007,6 +46259,8 @@ 0 0 2 +1 +2 0x585f 0x85a88d77 256 @@ -37014,6 +46268,8 @@ 0 0 0 +4 +4 1 0x94dd 0x5dea1ed9 @@ -37022,6 +46278,8 @@ 1 0 0 +5 +1 1 0xdb6d 0x437d5d03 @@ -37030,6 +46288,8 @@ 0 0 0 +1 +4 3 0xd2e8 0xc848ca8 @@ -37038,6 +46298,8 @@ 1 1 0 +0 +2 4 0xc3d2 0xba349940 @@ -37046,6 +46308,8 @@ 1 0 0 +0 +4 1 0x8754 0xa7607c43 @@ -37054,6 +46318,8 @@ 1 1 0 +5 +1 1 0xfa20 0x2dbecbe2 @@ -37062,6 +46328,8 @@ 0 0 0 +0 +5 2 0xe10e 0x99190181 @@ -37071,6 +46339,8 @@ 0 0 4 +3 +4 0xd36f 0x1df2c394 256 @@ -37078,6 +46348,8 @@ 0 0 0 +0 +1 2 0xed5b 0x7e68429e @@ -37087,6 +46359,8 @@ 0 0 1 +1 +1 0x892a 0x2658099f 256 @@ -37094,6 +46368,8 @@ 0 0 0 +3 +1 4 0x55a5 0xfef7e176 @@ -37102,6 +46378,8 @@ 1 1 0 +1 +4 3 0x6ade 0x1fd754c3 @@ -37110,6 +46388,8 @@ 1 1 0 +2 +2 4 0xaa95 0x5c75c13f @@ -37119,6 +46399,8 @@ 1 0 3 +3 +3 0xae54 0xe87925d0 256 @@ -37126,6 +46408,8 @@ 1 0 0 +5 +4 1 0xc532 0x45d70e38 @@ -37134,6 +46418,8 @@ 0 0 0 +3 +0 4 0x3cf6 0x22904f7c @@ -37142,6 +46428,8 @@ 1 1 0 +5 +0 2 0xc81a 0x6c36935c @@ -37150,6 +46438,8 @@ 1 1 0 +5 +2 2 0xfce3 0x29d731d9 @@ -37158,6 +46448,8 @@ 0 0 0 +5 +1 1 0x46fa 0x7b4cf807 @@ -37166,6 +46458,8 @@ 0 0 0 +0 +1 3 0x9d62 0x9dc922ca @@ -37174,6 +46468,8 @@ 0 0 0 +4 +3 2 0x3b99 0x54f15518 @@ -37182,6 +46478,8 @@ 0 0 0 +4 +1 2 0x4c28 0x43b23f94 @@ -37191,6 +46489,8 @@ 0 0 4 +1 +4 0xf9b7 0xf5235413 256 @@ -37198,6 +46498,8 @@ 1 1 0 +5 +0 2 0xe88b 0x8ee8a4f5 @@ -37206,6 +46508,8 @@ 1 1 0 +5 +5 3 0xc422 0x3e21a43e @@ -37214,6 +46518,8 @@ 0 0 0 +4 +0 3 0xb224 0xb0131e88 @@ -37222,6 +46528,8 @@ 0 0 0 +1 +3 2 0xcd2b 0x6d524503 @@ -37230,6 +46538,8 @@ 0 0 0 +4 +1 2 0xede3 0xd9853a89 @@ -37238,6 +46548,8 @@ 1 1 0 +5 +2 1 0x6670 0x1a8a5e6 @@ -37246,6 +46558,8 @@ 1 1 0 +0 +0 3 0x26e1 0x9dda4794 @@ -37254,6 +46568,8 @@ 1 0 0 +3 +4 2 0xcf5e 0x85a81b8c @@ -37262,6 +46578,8 @@ 0 0 0 +1 +4 2 0xa79f 0xc41af3a7 @@ -37270,6 +46588,8 @@ 0 0 0 +4 +2 3 0xb3a5 0x30a6c096 @@ -37279,6 +46599,8 @@ 0 0 2 +2 +2 0x7b22 0xe1b5a7c6 256 @@ -37287,6 +46609,8 @@ 0 0 1 +2 +1 0x6f00 0x2406882f 256 @@ -37294,6 +46618,8 @@ 0 0 0 +4 +0 1 0x6b59 0x2c4ccbc1 @@ -37302,6 +46628,8 @@ 1 0 0 +5 +3 4 0x433a 0x97d8e66f @@ -37310,6 +46638,8 @@ 1 1 0 +0 +1 4 0xb8a5 0x575edebc @@ -37318,6 +46648,8 @@ 1 1 0 +4 +5 1 0x30fa 0x9a887a3e @@ -37326,6 +46658,8 @@ 0 0 0 +1 +4 4 0x33d1 0x73da6c26 @@ -37334,6 +46668,8 @@ 0 0 0 +2 +4 1 0x4d6f 0x88ff32c2 @@ -37342,6 +46678,8 @@ 0 0 0 +5 +1 1 0x7fed 0x30cc311 @@ -37351,6 +46689,8 @@ 0 0 2 +2 +2 0x9a41 0x267e5d21 256 @@ -37358,6 +46698,8 @@ 0 0 0 +5 +0 4 0x30b2 0x50c222de @@ -37366,6 +46708,8 @@ 0 0 0 +0 +2 4 0xc94f 0x6b5d181c @@ -37374,6 +46718,8 @@ 1 1 0 +2 +4 1 0x27bc 0xd185ea49 @@ -37382,6 +46728,8 @@ 1 0 0 +3 +2 4 0x7c95 0xf7d0e588 @@ -37390,6 +46738,8 @@ 0 0 0 +2 +2 4 0xc6a2 0xe1ace788 @@ -37398,6 +46748,8 @@ 0 0 0 +0 +2 3 0x9edc 0x35630798 @@ -37406,6 +46758,8 @@ 0 0 0 +2 +5 4 0x62ed 0x495d6a84 @@ -37415,6 +46769,8 @@ 1 0 4 +3 +4 0x83d3 0xbb62264f 256 @@ -37422,6 +46778,8 @@ 1 0 0 +1 +0 2 0x41fb 0x3f08683d @@ -37430,6 +46788,8 @@ 1 1 0 +1 +2 4 0xbcba 0xa46dd450 @@ -37438,6 +46798,8 @@ 0 0 0 +0 +1 2 0xf4b 0xcd68fede @@ -37446,6 +46808,8 @@ 1 0 0 +5 +3 3 0x40f2 0xc8cba62e @@ -37454,6 +46818,8 @@ 1 1 0 +5 +0 4 0x2fd4 0x72be6c16 @@ -37462,6 +46828,8 @@ 1 1 0 +1 +1 4 0x5d4f 0x1f93685e @@ -37470,6 +46838,8 @@ 0 0 0 +3 +4 2 0x1fe9 0x8dac4cfd @@ -37479,6 +46849,8 @@ 0 0 3 +3 +3 0x3601 0xcd8e0a13 256 @@ -37486,6 +46858,8 @@ 0 0 0 +0 +1 2 0x6194 0xc6296450 @@ -37494,6 +46868,8 @@ 1 1 0 +5 +3 1 0x7b3c 0x884362c7 @@ -37502,6 +46878,8 @@ 0 0 0 +5 +4 1 0xda75 0xbd9dba97 @@ -37510,6 +46888,8 @@ 0 0 0 +4 +0 1 0x3cfc 0x8ed38a6d @@ -37519,6 +46899,8 @@ 0 0 3 +4 +3 0xb8e8 0x8d3c621c 256 @@ -37526,6 +46908,8 @@ 1 0 0 +1 +1 4 0x277f 0x2df80730 @@ -37534,6 +46918,8 @@ 0 0 0 +3 +4 2 0xcc43 0x213ef4b8 @@ -37542,6 +46928,8 @@ 1 1 0 +0 +1 4 0xdd0a 0xd9080acc @@ -37551,6 +46939,8 @@ 0 0 2 +0 +2 0x6e7 0xacb5dc79 256 @@ -37558,6 +46948,8 @@ 0 0 0 +5 +1 4 0xc026 0x56a9bdc0 @@ -37566,6 +46958,8 @@ 0 0 0 +5 +5 4 0xdef4 0x5f94df0b @@ -37574,6 +46968,8 @@ 1 0 0 +5 +3 4 0x96a4 0xae3db577 @@ -37583,6 +46979,8 @@ 1 0 2 +2 +2 0x20a3 0xae4b4062 256 @@ -37590,6 +46988,8 @@ 1 1 0 +0 +4 2 0xf70 0x9ff96174 @@ -37598,6 +46998,8 @@ 1 1 0 +5 +2 1 0x19d6 0x894d51e6 @@ -37606,6 +47008,8 @@ 0 0 0 +2 +0 4 0xe251 0xc97c48a6 @@ -37614,6 +47018,8 @@ 1 0 0 +0 +1 2 0x222f 0x211badf7 @@ -37623,6 +47029,8 @@ 0 0 4 +4 +4 0x8f41 0xb64e3ba6 256 @@ -37631,6 +47039,8 @@ 0 0 1 +4 +1 0x1c2c 0xd0f2ad2a 256 @@ -37638,6 +47048,8 @@ 0 0 0 +2 +0 3 0xc5f7 0x441149e6 @@ -37647,6 +47059,8 @@ 0 0 1 +3 +1 0xba4 0xd64a950a 256 @@ -37654,6 +47068,8 @@ 0 0 0 +0 +5 2 0x8d08 0xa05faa69 @@ -37662,6 +47078,8 @@ 0 0 0 +5 +2 2 0x8b61 0x2876f1d2 @@ -37670,6 +47088,8 @@ 0 0 0 +0 +2 4 0xbd34 0x43534206 @@ -37678,6 +47098,8 @@ 1 0 0 +2 +4 3 0x9279 0x7e185384 @@ -37686,6 +47108,8 @@ 0 0 0 +5 +2 4 0x2502 0x3ea83977 @@ -37694,6 +47118,8 @@ 1 0 0 +3 +3 2 0x1293 0x4d586386 @@ -37702,6 +47128,8 @@ 0 0 0 +4 +1 2 0xc844 0xa62e8f40 @@ -37710,6 +47138,8 @@ 0 0 0 +0 +1 2 0xe504 0x7b80290d @@ -37718,6 +47148,8 @@ 1 1 0 +5 +3 3 0xadf 0xab6d374 @@ -37726,6 +47158,8 @@ 1 0 0 +1 +3 2 0xee63 0x18d7c786 @@ -37734,6 +47168,8 @@ 0 0 0 +2 +1 3 0x6846 0x5ef0743b @@ -37742,6 +47178,8 @@ 0 0 0 +2 +3 1 0x2c53 0x8027c332 @@ -37750,6 +47188,8 @@ 0 0 0 +5 +4 1 0x948e 0x49b79da2 @@ -37759,6 +47199,8 @@ 0 0 2 +2 +2 0x3c03 0x9ac69692 256 @@ -37766,6 +47208,8 @@ 1 1 0 +0 +1 3 0xb97f 0x1cdffc6a @@ -37774,6 +47218,8 @@ 1 1 0 +5 +4 4 0x7baf 0x9b61621 @@ -37782,6 +47228,8 @@ 1 0 0 +4 +0 2 0x580b 0xee3b1851 @@ -37790,6 +47238,8 @@ 1 0 0 +0 +5 3 0xf8e0 0xafcc82c3 @@ -37798,6 +47248,8 @@ 0 0 0 +0 +1 1 0xa03a 0xbae04240 @@ -37806,6 +47258,8 @@ 1 1 0 +4 +0 1 0x3442 0xb1f227dd @@ -37814,6 +47268,8 @@ 1 1 0 +5 +2 4 0xaf38 0xa9fdfc43 @@ -37822,6 +47278,8 @@ 1 0 0 +1 +0 2 0x8b0c 0x9f68a397 @@ -37830,6 +47288,8 @@ 0 0 0 +0 +3 4 0x502 0xfb29b1b8 @@ -37838,6 +47298,8 @@ 1 0 0 +5 +4 3 0x7e28 0xa3fb8656 @@ -37846,6 +47308,8 @@ 0 0 0 +4 +1 2 0x11ee 0xc7217c3a @@ -37854,6 +47318,8 @@ 1 1 0 +1 +1 2 0x6b9f 0xd548390b @@ -37863,6 +47329,8 @@ 0 0 2 +0 +2 0xcd5e 0xae31718e 256 @@ -37871,6 +47339,8 @@ 0 0 4 +2 +4 0x34ba 0xf2d3100f 256 @@ -37878,6 +47348,8 @@ 0 0 0 +4 +0 2 0x42dd 0x658074aa @@ -37886,6 +47358,8 @@ 1 1 0 +0 +4 4 0xc58b 0x8a13b8a @@ -37895,6 +47369,8 @@ 1 0 1 +0 +1 0xecaf 0xb655d392 256 @@ -37902,6 +47378,8 @@ 0 0 0 +5 +4 1 0x2156 0xf9fd44c5 @@ -37911,6 +47389,8 @@ 0 0 1 +2 +1 0x4e19 0x995a64e2 256 @@ -37918,6 +47398,8 @@ 1 1 0 +0 +3 1 0x8c96 0x34ecb06d @@ -37926,6 +47408,8 @@ 0 0 0 +5 +1 4 0xa3fd 0xe8330141 @@ -37935,6 +47419,8 @@ 0 0 4 +0 +4 0xa269 0xe9a3f42 256 @@ -37942,6 +47428,8 @@ 1 1 0 +0 +1 2 0xf269 0x4bf7f8e @@ -37950,6 +47438,8 @@ 1 0 0 +3 +5 4 0x9fec 0x7af1bffa @@ -37959,6 +47449,8 @@ 0 0 2 +3 +2 0xa99e 0xef26dd86 256 @@ -37966,6 +47458,8 @@ 1 0 0 +0 +0 4 0x4376 0x62cb0d65 @@ -37974,6 +47468,8 @@ 1 0 0 +4 +1 2 0xa8e9 0xafc20fa9 @@ -37982,6 +47478,8 @@ 1 0 0 +5 +5 2 0xc79e 0x2f361155 @@ -37990,6 +47488,8 @@ 0 0 0 +3 +3 4 0xa598 0x60e54e36 @@ -37998,6 +47498,8 @@ 0 0 0 +5 +0 3 0x10b3 0x5e56b40f @@ -38006,6 +47508,8 @@ 0 0 0 +2 +4 3 0x34a0 0xf52d53ff @@ -38014,6 +47518,8 @@ 0 0 0 +5 +1 1 0x9971 0x483fec0f @@ -38022,6 +47528,8 @@ 0 0 0 +0 +3 1 0x3d8 0xd1b2479b @@ -38030,6 +47538,8 @@ 0 0 0 +3 +2 1 0xceaf 0xb84026bf @@ -38038,6 +47548,8 @@ 0 0 0 +1 +0 3 0xf409 0x79ad31cb @@ -38046,6 +47558,8 @@ 1 1 0 +5 +4 2 0x1f7c 0x6292d92b @@ -38055,6 +47569,8 @@ 0 0 2 +3 +2 0x2f7f 0xd4a93b59 256 @@ -38062,6 +47578,8 @@ 0 0 0 +4 +5 3 0x6716 0xc2733e6 @@ -38070,6 +47588,8 @@ 0 0 0 +4 +0 2 0x308a 0x5004ed4 @@ -38079,6 +47599,8 @@ 0 0 2 +1 +2 0xbc1e 0x2c361a00 256 @@ -38086,6 +47608,8 @@ 0 0 0 +4 +4 2 0xc351 0x6219601c @@ -38094,6 +47618,8 @@ 1 1 0 +0 +1 3 0xe53b 0x6c797290 @@ -38102,6 +47628,8 @@ 0 0 0 +3 +5 1 0x73b8 0x835f7369 @@ -38110,6 +47638,8 @@ 0 0 0 +4 +3 1 0xfab 0xc995652 @@ -38119,6 +47649,8 @@ 0 0 1 +2 +1 0xa7fc 0x364baf5f 256 @@ -38127,6 +47659,8 @@ 1 0 4 +3 +4 0xbab3 0xace8727a 256 @@ -38135,6 +47669,8 @@ 1 0 1 +2 +1 0xfb 0xcaa5a76b 256 @@ -38142,6 +47678,8 @@ 0 0 0 +3 +1 2 0x328 0x58a4cb9f @@ -38150,6 +47688,8 @@ 1 1 0 +5 +5 3 0xe8da 0xd5166dec @@ -38158,6 +47698,8 @@ 0 0 0 +2 +3 1 0xbb6d 0x5330178c @@ -38166,6 +47708,8 @@ 0 0 0 +2 +5 1 0x1c6b 0xb95802e7 @@ -38174,6 +47718,8 @@ 0 0 0 +3 +1 1 0xd73b 0xed857b7c @@ -38182,6 +47728,8 @@ 0 0 0 +2 +0 4 0x966e 0x1ebc7d9c @@ -38190,6 +47738,8 @@ 1 1 0 +1 +1 3 0x3b6b 0xb0709fd7 @@ -38198,6 +47748,8 @@ 0 0 0 +5 +5 3 0x9f06 0x56ef8807 @@ -38206,6 +47758,8 @@ 1 0 0 +4 +0 3 0x61a4 0x65468635 @@ -38214,6 +47768,8 @@ 0 0 0 +0 +0 1 0x6e15 0x75810d02 @@ -38222,6 +47778,8 @@ 1 0 0 +3 +0 1 0x15fe 0x754f1abb @@ -38230,6 +47788,8 @@ 0 0 0 +3 +3 4 0x3466 0xb7f6f5ad @@ -38238,6 +47798,8 @@ 1 1 0 +0 +1 4 0x275 0x3b3b4a5f @@ -38247,6 +47809,8 @@ 0 0 1 +2 +1 0x547f 0x4706976 256 @@ -38254,6 +47818,8 @@ 0 0 0 +5 +5 1 0x114c 0x4dfaf43f @@ -38263,6 +47829,8 @@ 0 0 2 +2 +2 0xb303 0x8c7ce87b 256 @@ -38270,6 +47838,8 @@ 1 0 0 +0 +2 3 0xdfba 0x15efa6b4 @@ -38279,6 +47849,8 @@ 0 0 4 +2 +4 0x8257 0x9a14e513 256 @@ -38286,6 +47858,8 @@ 1 0 0 +5 +3 3 0x5364 0x836bb86a @@ -38295,6 +47869,8 @@ 0 0 3 +5 +3 0x924a 0x579a64ed 256 @@ -38302,6 +47878,8 @@ 0 0 0 +3 +1 1 0x4341 0xd50af3a8 @@ -38311,6 +47889,8 @@ 0 0 2 +3 +2 0xda89 0xfc414e67 256 @@ -38318,6 +47898,8 @@ 0 0 0 +4 +0 2 0x6983 0x6a3997dc @@ -38326,6 +47908,8 @@ 0 0 0 +2 +2 1 0x86bc 0xdfbd553f @@ -38334,6 +47918,8 @@ 0 0 0 +5 +2 3 0xf06b 0x824f17bf @@ -38343,6 +47929,8 @@ 1 0 2 +0 +2 0xd642 0x9ac5f30f 256 @@ -38350,6 +47938,8 @@ 1 0 0 +5 +2 2 0x7bfc 0x125fac98 @@ -38358,6 +47948,8 @@ 1 0 0 +0 +1 2 0x5612 0x4f8d787c @@ -38366,6 +47958,8 @@ 1 0 0 +0 +1 3 0xb264 0x324dd593 @@ -38374,6 +47968,8 @@ 0 0 0 +2 +3 3 0x13c2 0xfcdac82c @@ -38382,6 +47978,8 @@ 0 0 0 +3 +2 4 0x922a 0xd03d0f08 @@ -38390,6 +47988,8 @@ 1 0 0 +4 +5 3 0x8fb3 0xf7057971 @@ -38398,6 +47998,8 @@ 0 0 0 +4 +5 2 0x837 0x858505 @@ -38406,6 +48008,8 @@ 1 1 0 +5 +3 2 0x6738 0x59685c65 @@ -38414,6 +48018,8 @@ 0 0 0 +4 +2 1 0x63d 0xfa2d3017 @@ -38422,6 +48028,8 @@ 1 0 0 +1 +1 4 0x77ab 0xfbfabce @@ -38430,6 +48038,8 @@ 0 0 0 +3 +2 1 0x414d 0xbcfcb71b @@ -38438,6 +48048,8 @@ 0 0 0 +0 +2 1 0x3d72 0xb8720540 @@ -38446,6 +48058,8 @@ 1 1 0 +3 +5 4 0x1bd9 0xd8cd3a1f @@ -38454,6 +48068,8 @@ 0 0 0 +3 +3 2 0x7998 0x466737ad @@ -38463,6 +48079,8 @@ 1 0 4 +4 +4 0x5963 0x9618c9f 256 @@ -38470,6 +48088,8 @@ 1 1 0 +4 +0 1 0xe97a 0xaf48440d @@ -38479,6 +48099,8 @@ 1 0 3 +5 +3 0xc88d 0x37f1ca2 256 @@ -38486,6 +48108,8 @@ 1 1 0 +1 +2 3 0xe7cf 0xc84819e @@ -38494,6 +48118,8 @@ 1 0 0 +4 +4 1 0x35b1 0xd39c6aff @@ -38502,6 +48128,8 @@ 1 1 0 +0 +5 3 0xf502 0xd9e444f9 @@ -38510,6 +48138,8 @@ 0 0 0 +2 +5 1 0x684a 0x1a0b81d1 @@ -38519,6 +48149,8 @@ 0 0 3 +2 +3 0x34fb 0x704ae454 256 @@ -38526,6 +48158,8 @@ 0 0 0 +2 +4 1 0xeeef 0x8847aad1 @@ -38535,6 +48169,8 @@ 0 0 3 +1 +3 0xb9a8 0xd312dc98 256 @@ -38542,6 +48178,8 @@ 0 0 0 +5 +4 4 0x6d6a 0x9ffe97a9 @@ -38550,6 +48188,8 @@ 0 0 0 +0 +4 4 0x373e 0x8a201e04 @@ -38558,6 +48198,8 @@ 0 0 0 +4 +4 2 0xc809 0x9cef1b34 @@ -38566,6 +48208,8 @@ 1 0 0 +4 +3 2 0xe446 0x88ed0dd5 @@ -38574,6 +48218,8 @@ 0 0 0 +0 +0 3 0x27ba 0x766383e7 @@ -38582,6 +48228,8 @@ 0 0 0 +5 +1 1 0x3e1e 0x764ab5c9 @@ -38590,6 +48238,8 @@ 0 0 0 +3 +2 2 0xa0a7 0x14f1dc68 @@ -38599,6 +48249,8 @@ 0 0 2 +2 +2 0x8d68 0x5b448767 256 @@ -38606,6 +48258,8 @@ 0 0 0 +0 +3 2 0x4ca1 0x8ec3f315 @@ -38614,6 +48268,8 @@ 0 0 0 +5 +0 1 0x8dd7 0x904a265e @@ -38622,6 +48278,8 @@ 0 0 0 +5 +1 1 0x97f6 0x1de0177b @@ -38630,6 +48288,8 @@ 0 0 0 +4 +0 1 0x80d 0x91647193 @@ -38638,6 +48298,8 @@ 1 1 0 +3 +3 2 0x2bfc 0x8263961a @@ -38646,6 +48308,8 @@ 0 0 0 +0 +5 3 0x2aea 0xd433aade @@ -38654,6 +48318,8 @@ 0 0 0 +5 +4 2 0x15c3 0xede9ed91 @@ -38662,6 +48328,8 @@ 0 0 0 +3 +2 1 0xdfb8 0x19a7f758 @@ -38670,6 +48338,8 @@ 1 0 0 +4 +1 1 0xa488 0x6fe292de @@ -38678,6 +48348,8 @@ 0 0 0 +1 +1 2 0x47e6 0xe676b9c2 @@ -38687,6 +48359,8 @@ 0 0 2 +0 +2 0xf905 0xe8adaf1c 256 @@ -38694,6 +48368,8 @@ 1 0 0 +5 +5 2 0xc114 0xf14868d5 @@ -38702,6 +48378,8 @@ 1 0 0 +4 +2 3 0x5c53 0x9edb1fe4 @@ -38711,6 +48389,8 @@ 0 0 3 +0 +3 0x664a 0x8765822d 256 @@ -38718,6 +48398,8 @@ 0 0 0 +2 +2 3 0x48d2 0x211b7128 @@ -38726,6 +48408,8 @@ 0 0 0 +3 +5 1 0x3fda 0x7e3d5e36 @@ -38734,6 +48418,8 @@ 0 0 0 +2 +2 4 0x24bb 0xbb0b69f @@ -38742,6 +48428,8 @@ 0 0 0 +4 +2 1 0x76fa 0xf03bba25 @@ -38750,6 +48438,8 @@ 0 0 0 +0 +1 1 0xb825 0x544b6909 @@ -38758,6 +48448,8 @@ 0 0 0 +5 +5 4 0xcae2 0x21d6ebf5 @@ -38766,6 +48458,8 @@ 0 0 0 +0 +0 2 0x6c0a 0xf2cf6b2f @@ -38775,6 +48469,8 @@ 0 0 2 +5 +2 0xb0bf 0x72558032 256 @@ -38782,6 +48478,8 @@ 1 1 0 +1 +2 3 0xe882 0x926db7c8 @@ -38790,6 +48488,8 @@ 1 1 0 +2 +1 3 0x8cce 0x24a265c4 @@ -38798,6 +48498,8 @@ 1 0 0 +3 +1 4 0xbc2a 0x23c557df @@ -38806,6 +48508,8 @@ 0 0 0 +0 +0 2 0x98b2 0x222b800 @@ -38814,6 +48518,8 @@ 0 0 0 +3 +2 2 0x37a4 0x7f4c2179 @@ -38823,6 +48529,8 @@ 0 0 3 +5 +3 0xd47 0x373e8248 256 @@ -38830,6 +48538,8 @@ 0 0 0 +3 +2 2 0x58d8 0x3d9dd451 @@ -38838,6 +48548,8 @@ 1 1 0 +4 +0 1 0x91ca 0x62adc32a @@ -38846,6 +48558,8 @@ 0 0 0 +3 +5 2 0xb66f 0xa4ce5cf5 @@ -38854,6 +48568,8 @@ 0 0 0 +2 +1 3 0xe913 0xd461d096 @@ -38862,6 +48578,8 @@ 1 1 0 +0 +4 2 0x885e 0x59de952f @@ -38870,6 +48588,8 @@ 0 0 0 +3 +0 1 0x15c8 0xa14b42e2 @@ -38878,6 +48598,8 @@ 0 0 0 +4 +3 1 0x9de3 0x17a3aa5 @@ -38886,6 +48608,8 @@ 0 0 0 +0 +4 4 0xb99c 0xeaa5b732 @@ -38894,6 +48618,8 @@ 1 1 0 +5 +0 1 0x93ee 0xaf227577 @@ -38902,6 +48628,8 @@ 0 0 0 +1 +4 2 0x310a 0x44e04433 @@ -38910,6 +48638,8 @@ 1 1 0 +2 +3 4 0x419c 0xa36bb23 @@ -38918,6 +48648,8 @@ 0 0 0 +2 +0 3 0x9d5 0x8b0370e9 @@ -38926,6 +48658,8 @@ 1 1 0 +4 +5 3 0xe4a7 0xce3a826f @@ -38934,6 +48668,8 @@ 0 0 0 +1 +1 2 0x1897 0x3c9d4b4e @@ -38942,6 +48678,8 @@ 0 0 0 +3 +1 4 0x2abd 0x808d9b43 @@ -38950,6 +48688,8 @@ 0 0 0 +3 +1 4 0x5d0d 0xb1ef566e @@ -38959,6 +48699,8 @@ 0 0 2 +3 +2 0x9bcf 0xe2025d08 256 @@ -38966,6 +48708,8 @@ 0 0 0 +1 +0 3 0xdd5c 0xe03700cb @@ -38975,6 +48719,8 @@ 1 0 1 +5 +1 0x92f7 0xd07181b1 256 @@ -38982,6 +48728,8 @@ 1 1 0 +1 +1 4 0x3913 0x621701b1 @@ -38991,6 +48739,8 @@ 0 0 2 +3 +2 0xc82b 0x9889a008 256 @@ -38998,6 +48748,8 @@ 1 1 0 +2 +5 4 0xa391 0xe8ce7164 @@ -39007,6 +48759,8 @@ 0 0 1 +4 +1 0xf4a5 0xa0502e2b 256 @@ -39014,6 +48768,8 @@ 0 0 0 +5 +4 4 0x13c3 0x467f48fd @@ -39023,6 +48779,8 @@ 1 0 3 +4 +3 0x5455 0xc7731242 256 @@ -39030,6 +48788,8 @@ 1 0 0 +0 +4 3 0x6bf 0x168fdc8a @@ -39038,6 +48798,8 @@ 1 0 0 +5 +0 2 0x1676 0x1b7a909d @@ -39046,6 +48808,8 @@ 0 0 0 +2 +5 3 0xe1d5 0x99b6dd2c @@ -39054,6 +48818,8 @@ 0 0 0 +1 +3 3 0x1ec2 0xc7d5f26e @@ -39063,6 +48829,8 @@ 0 0 2 +5 +2 0x666 0xacb21d9b 256 @@ -39070,6 +48838,8 @@ 0 0 0 +3 +1 4 0xbb29 0xae405198 @@ -39078,6 +48848,8 @@ 1 0 0 +0 +3 3 0x9ed7 0x930da039 @@ -39086,6 +48858,8 @@ 1 0 0 +1 +2 4 0x96cb 0xb95a1d6f @@ -39095,6 +48869,8 @@ 0 0 4 +0 +4 0xc5a8 0x6bcccc0f 256 @@ -39103,6 +48879,8 @@ 1 0 2 +2 +2 0x883b 0xf261a633 256 @@ -39110,6 +48888,8 @@ 0 0 0 +0 +5 1 0xeca1 0x3cbbaecb @@ -39118,6 +48898,8 @@ 0 0 0 +1 +0 3 0x1e83 0x13aaa7a6 @@ -39126,6 +48908,8 @@ 0 0 0 +2 +2 4 0x7938 0x89947115 @@ -39134,6 +48918,8 @@ 0 0 0 +5 +2 2 0x7ba7 0xaa80cd03 @@ -39142,6 +48928,8 @@ 0 0 0 +3 +2 2 0x7761 0x65ef1ae0 @@ -39150,6 +48938,8 @@ 1 1 0 +4 +4 2 0x78d2 0xb3fdf2fa @@ -39158,6 +48948,8 @@ 0 0 0 +4 +5 3 0x88df 0x1e0ef260 @@ -39166,6 +48958,8 @@ 1 0 0 +4 +1 2 0x99f0 0x7b32aee6 @@ -39174,6 +48968,8 @@ 1 1 0 +2 +4 3 0x2ce1 0x8b5a78d9 @@ -39182,6 +48978,8 @@ 1 0 0 +4 +5 3 0x9cda 0xee02d609 @@ -39190,6 +48988,8 @@ 1 1 0 +2 +4 3 0x351e 0xf7451c6b @@ -39198,6 +48998,8 @@ 1 0 0 +4 +1 3 0x917c 0xc18e279a @@ -39206,6 +49008,8 @@ 1 0 0 +0 +1 2 0xeb32 0xa50ada16 @@ -39214,6 +49018,8 @@ 1 0 0 +2 +5 1 0xc01d 0x50563f11 @@ -39223,6 +49029,8 @@ 0 0 3 +1 +3 0xc0d0 0x61c30e26 256 @@ -39230,6 +49038,8 @@ 1 1 0 +0 +3 4 0xd4a5 0xc0f68db1 @@ -39238,6 +49048,8 @@ 0 0 0 +0 +3 2 0xc00e 0x73a76ea8 @@ -39246,6 +49058,8 @@ 1 0 0 +4 +4 3 0xb31c 0x17779e8a @@ -39254,6 +49068,8 @@ 1 0 0 +4 +2 2 0x28bb 0xff4d4555 @@ -39262,6 +49078,8 @@ 1 0 0 +1 +3 2 0xde50 0xb68f6570 @@ -39270,6 +49088,8 @@ 1 0 0 +5 +5 3 0x4aaa 0x8ba5dbc6 @@ -39278,6 +49098,8 @@ 0 0 0 +4 +2 1 0xbc4b 0x15c8cdff @@ -39286,6 +49108,8 @@ 0 0 0 +2 +1 3 0x30f7 0x1dea0cdd @@ -39294,6 +49118,8 @@ 0 0 0 +5 +3 1 0x57ad 0xdb537f05 @@ -39302,6 +49128,8 @@ 0 0 0 +2 +2 1 0x634c 0x21265cb7 @@ -39310,6 +49138,8 @@ 1 0 0 +1 +3 3 0x174 0x2b979aa0 @@ -39318,6 +49148,8 @@ 1 1 0 +0 +2 2 0xafb0 0x4665b5b7 @@ -39326,6 +49158,8 @@ 1 0 0 +1 +1 2 0xa629 0x90a22593 @@ -39334,6 +49168,8 @@ 0 0 0 +3 +5 4 0x309d 0x56360b6d @@ -39342,6 +49178,8 @@ 0 0 0 +5 +1 3 0x192f 0xb75453ac @@ -39350,6 +49188,8 @@ 0 0 0 +2 +0 3 0x25e6 0x3c8c9a90 @@ -39358,6 +49198,8 @@ 1 1 0 +5 +3 3 0xc73c 0xdcdc8ac7 @@ -39366,6 +49208,8 @@ 1 1 0 +0 +4 3 0x2dda 0x1d80ae71 @@ -39374,6 +49218,8 @@ 0 0 0 +0 +3 2 0xec50 0xc9aee137 @@ -39382,6 +49228,8 @@ 1 0 0 +0 +3 1 0x785f 0x311bc0ca @@ -39391,6 +49239,8 @@ 0 0 2 +4 +2 0xbc78 0x99bb6c2f 256 @@ -39398,6 +49248,8 @@ 1 1 0 +3 +5 2 0x8bea 0x2dd702ef @@ -39407,6 +49259,8 @@ 1 0 4 +4 +4 0xbf44 0xe9c01498 256 @@ -39414,6 +49268,8 @@ 1 0 0 +0 +5 2 0x2c55 0x88ea0dab @@ -39423,6 +49279,8 @@ 0 0 2 +3 +2 0x7ec8 0x438baad4 256 @@ -39431,6 +49289,8 @@ 0 0 4 +4 +4 0x1d19 0x68ccd35d 256 @@ -39438,6 +49298,8 @@ 0 0 0 +5 +2 3 0xb25e 0x7e858574 @@ -39446,6 +49308,8 @@ 1 1 0 +2 +5 1 0x1c85 0x26916431 @@ -39454,6 +49318,8 @@ 1 1 0 +2 +3 1 0xb2e6 0xbef9ebf0 @@ -39462,6 +49328,8 @@ 1 0 0 +2 +0 1 0xcd4 0x10a1bf05 @@ -39470,6 +49338,8 @@ 1 1 0 +5 +5 1 0xee83 0x771e06cc @@ -39479,6 +49349,8 @@ 1 0 2 +5 +2 0xd604 0xf978b6d 256 @@ -39486,6 +49358,8 @@ 0 0 0 +0 +2 2 0xbb08 0xec1ccce5 @@ -39494,6 +49368,8 @@ 0 0 0 +4 +0 1 0xa6e2 0xb36b8cbc @@ -39502,6 +49378,8 @@ 1 1 0 +0 +2 2 0x1dc9 0x742cb0cd @@ -39510,6 +49388,8 @@ 0 0 0 +4 +5 3 0x9cba 0xa6ccb6e7 @@ -39518,6 +49398,8 @@ 0 0 0 +2 +3 1 0xcd35 0x447873b0 @@ -39526,6 +49408,8 @@ 0 0 0 +4 +0 2 0x2db9 0xaf1c2a67 @@ -39534,6 +49418,8 @@ 0 0 0 +5 +4 1 0x412 0x606f10ba @@ -39542,6 +49428,8 @@ 1 0 0 +0 +3 2 0x794 0xb2f422d0 @@ -39550,6 +49438,8 @@ 1 0 0 +1 +4 2 0xfc04 0x5a079a43 @@ -39558,6 +49448,8 @@ 1 1 0 +5 +1 1 0x5100 0xd211b74a @@ -39566,6 +49458,8 @@ 0 0 0 +3 +0 2 0x7482 0x2f223df2 @@ -39574,6 +49468,8 @@ 1 1 0 +5 +4 1 0xf739 0x2fcaa543 @@ -39582,6 +49478,8 @@ 1 0 0 +3 +4 2 0x4ccb 0x7d4bcf0 @@ -39590,6 +49488,8 @@ 0 0 0 +0 +4 4 0xaeeb 0xe0e6aac5 @@ -39599,6 +49499,8 @@ 1 0 2 +3 +2 0x7a10 0xe4f4763d 256 @@ -39606,6 +49508,8 @@ 0 0 0 +4 +5 3 0x20a5 0x76c0e285 @@ -39614,6 +49518,8 @@ 1 1 0 +1 +1 2 0x67b9 0xfcc5bee @@ -39622,6 +49528,8 @@ 0 0 0 +0 +4 3 0x667f 0x8a80b989 @@ -39630,6 +49538,8 @@ 1 1 0 +2 +5 4 0xc360 0x30bd9af1 @@ -39638,6 +49548,8 @@ 0 0 0 +5 +0 2 0x29e4 0xf2019d85 @@ -39647,6 +49559,8 @@ 0 0 2 +4 +2 0x22f0 0x524edda0 256 @@ -39654,6 +49568,8 @@ 0 0 0 +4 +0 2 0x39c0 0x1ad7e251 @@ -39663,6 +49579,8 @@ 0 0 1 +1 +1 0x2df2 0x7539460d 256 @@ -39670,6 +49588,8 @@ 1 1 0 +4 +2 2 0x5d6d 0xa800c8f7 @@ -39678,6 +49598,8 @@ 0 0 0 +1 +0 3 0xbbe6 0x88c069ca @@ -39686,6 +49608,8 @@ 1 1 0 +1 +2 3 0x13bf 0x70d62a3a @@ -39694,6 +49618,8 @@ 0 0 0 +3 +4 4 0x2188 0x7e793bb2 @@ -39702,6 +49628,8 @@ 1 1 0 +1 +4 4 0x8e56 0xd9e33cb2 @@ -39710,6 +49638,8 @@ 1 1 0 +2 +0 3 0x5132 0x7cc4cee3 @@ -39719,6 +49649,8 @@ 0 0 2 +1 +2 0x7fe1 0xb1987e23 256 @@ -39726,6 +49658,8 @@ 1 1 0 +5 +4 2 0x4d 0x6c714833 @@ -39734,6 +49668,8 @@ 0 0 0 +4 +0 1 0xf3a8 0x1c5aa8ba @@ -39743,6 +49679,8 @@ 0 0 4 +5 +4 0x5703 0xad1e3673 256 @@ -39750,6 +49688,8 @@ 0 0 0 +1 +0 2 0xb7cc 0xcd6c5056 @@ -39758,6 +49698,8 @@ 0 0 0 +5 +2 4 0xe9c3 0x9b0d4fd5 @@ -39766,6 +49708,8 @@ 1 0 0 +4 +5 3 0xcf88 0xf62f979a @@ -39774,6 +49718,8 @@ 1 0 0 +4 +0 2 0x5932 0x2c376aab @@ -39782,6 +49728,8 @@ 1 0 0 +1 +1 4 0x6fac 0x1d04fc3b @@ -39790,6 +49738,8 @@ 1 1 0 +1 +2 3 0x95ff 0xdb54dda3 @@ -39798,6 +49748,8 @@ 1 1 0 +5 +4 3 0x8d0d 0x91cd3081 @@ -39806,6 +49758,8 @@ 1 0 0 +1 +2 3 0x8395 0x236f88e6 @@ -39814,6 +49768,8 @@ 1 0 0 +0 +1 3 0x9ff7 0xa69aa96c @@ -39822,6 +49778,8 @@ 0 0 0 +5 +5 1 0x841d 0xc34197f3 @@ -39830,6 +49788,8 @@ 0 0 0 +4 +0 2 0x59c2 0x811df82d @@ -39839,6 +49799,8 @@ 0 0 3 +3 +3 0xa8a5 0x8adf5277 256 @@ -39846,6 +49808,8 @@ 1 1 0 +0 +5 3 0xbf78 0xc0d4173a @@ -39855,6 +49819,8 @@ 1 0 1 +1 +1 0xc0f3 0xd2dacd45 256 @@ -39862,6 +49828,8 @@ 0 0 0 +0 +4 3 0x7a48 0xb199b9c1 @@ -39870,6 +49838,8 @@ 0 0 0 +4 +5 1 0xf7f7 0xad19ceae @@ -39878,6 +49848,8 @@ 0 0 0 +4 +2 1 0x6e41 0x25d7d471 @@ -39886,6 +49858,8 @@ 0 0 0 +3 +5 4 0x4c94 0x38988077 @@ -39894,6 +49868,8 @@ 0 0 0 +0 +1 1 0x9b82 0x83c0937 @@ -39902,6 +49878,8 @@ 1 1 0 +0 +5 2 0xcb68 0x1dfddbf2 @@ -39910,6 +49888,8 @@ 0 0 0 +2 +4 1 0xe76b 0xd08e34f2 @@ -39919,6 +49899,8 @@ 1 0 4 +5 +4 0xe46d 0xaa39e8a7 256 @@ -39926,6 +49908,8 @@ 0 0 0 +5 +4 3 0x3737 0xc09d435a @@ -39935,6 +49919,8 @@ 0 0 3 +5 +3 0xf349 0x2195b039 256 @@ -39942,6 +49928,8 @@ 1 0 0 +2 +0 3 0xf5d5 0x359d8dbd @@ -39950,6 +49938,8 @@ 0 0 0 +5 +4 2 0xe6de 0xdc92126 @@ -39958,6 +49948,8 @@ 0 0 0 +4 +3 1 0xd32b 0x21028548 @@ -39966,6 +49958,8 @@ 1 0 0 +0 +1 4 0x8172 0x778888de @@ -39974,6 +49968,8 @@ 0 0 0 +3 +1 2 0xf5e6 0x3ffa78dd @@ -39982,6 +49978,8 @@ 1 0 0 +5 +4 1 0x391b 0x1b6952e4 @@ -39990,6 +49988,8 @@ 1 0 0 +0 +0 4 0xf5d4 0xa220c8c9 @@ -39999,6 +49999,8 @@ 0 0 3 +4 +3 0x3139 0x3e6f2ac5 256 @@ -40006,6 +50008,8 @@ 1 0 0 +0 +3 3 0xbbcc 0x3c8176b7 @@ -40014,6 +50018,8 @@ 0 0 0 +2 +1 4 0xbd22 0x318836a9 @@ -40022,6 +50028,8 @@ 1 0 0 +3 +5 4 0x9f20 0x96b5af9d @@ -40031,6 +50039,8 @@ 0 0 4 +4 +4 0x9065 0x2587862a 256 @@ -40038,6 +50048,8 @@ 0 0 0 +3 +1 2 0xf324 0x35c78a0f @@ -40046,6 +50058,8 @@ 0 0 0 +0 +3 1 0x9e33 0xb537451a @@ -40054,6 +50068,8 @@ 0 0 0 +3 +4 2 0x8a4a 0x20595bb3 @@ -40062,6 +50078,8 @@ 1 1 0 +2 +4 4 0xeb5f 0xa9ce6c12 @@ -40070,6 +50088,8 @@ 1 1 0 +4 +3 1 0x9ca0 0xcdea5e68 @@ -40078,6 +50098,8 @@ 0 0 0 +5 +4 1 0x8167 0xd30865ff @@ -40087,6 +50109,8 @@ 0 0 3 +4 +3 0x9539 0x97848868 256 @@ -40094,6 +50118,8 @@ 1 1 0 +5 +2 2 0xf9bb 0xd499bca4 @@ -40102,6 +50128,8 @@ 1 0 0 +0 +1 4 0xf6af 0x9f05738f @@ -40111,6 +50139,8 @@ 0 0 2 +4 +2 0x3a60 0xaaffee25 256 @@ -40118,6 +50148,8 @@ 0 0 0 +5 +1 3 0x49d2 0x3f9b7f2f @@ -40126,6 +50158,8 @@ 0 0 0 +0 +1 4 0x8284 0x39294dba @@ -40134,6 +50168,8 @@ 1 1 0 +1 +5 4 0xcbcf 0xfb27ba54 @@ -40143,6 +50179,8 @@ 1 0 3 +2 +3 0xb34e 0x91e17ab2 256 @@ -40150,6 +50188,8 @@ 1 1 0 +4 +2 3 0xd2c2 0x475ce0d6 @@ -40159,6 +50199,8 @@ 0 0 3 +1 +3 0x82e8 0xd369621a 256 @@ -40166,6 +50208,8 @@ 0 0 0 +3 +1 2 0x6072 0x71c30d35 @@ -40174,6 +50218,8 @@ 0 0 0 +4 +0 2 0x8b0e 0x3677b6a7 @@ -40182,6 +50228,8 @@ 1 0 0 +1 +1 3 0x1d68 0xb9375883 @@ -40190,6 +50238,8 @@ 0 0 0 +0 +2 3 0x4c6b 0xc288d4ce @@ -40198,6 +50248,8 @@ 0 0 0 +2 +4 3 0xafa1 0x4f4786f @@ -40206,6 +50258,8 @@ 1 0 0 +5 +5 2 0x40d 0x5629b26c @@ -40214,6 +50268,8 @@ 0 0 0 +0 +1 2 0x4515 0xa501d21f @@ -40222,6 +50278,8 @@ 1 0 0 +0 +4 4 0xb86 0x51038ec9 @@ -40230,6 +50288,8 @@ 1 0 0 +2 +1 3 0x421b 0x15af6ea1 @@ -40238,6 +50298,8 @@ 0 0 0 +1 +2 3 0x395f 0x9d303955 @@ -40246,6 +50308,8 @@ 1 1 0 +0 +4 1 0x2fb4 0xe231a286 @@ -40254,6 +50318,8 @@ 0 0 0 +4 +2 3 0xd4 0x1e68d2be @@ -40263,6 +50329,8 @@ 0 0 3 +5 +3 0x90a3 0x3a4aecd0 256 @@ -40270,6 +50338,8 @@ 1 0 0 +5 +5 4 0x1dd8 0x56d968c4 @@ -40278,6 +50348,8 @@ 1 1 0 +5 +2 3 0x2bca 0xf6c53594 @@ -40286,6 +50358,8 @@ 0 0 0 +3 +4 2 0x76b5 0x8be11a4b @@ -40294,6 +50368,8 @@ 1 1 0 +2 +0 1 0x5311 0xcc8cc514 @@ -40302,6 +50378,8 @@ 1 1 0 +0 +4 2 0xd2e4 0xcfd39c37 @@ -40310,6 +50388,8 @@ 1 1 0 +5 +4 4 0xf5b5 0x9520a719 @@ -40318,6 +50398,8 @@ 0 0 0 +5 +5 4 0x2adb 0xcda2cdf6 @@ -40326,6 +50408,8 @@ 1 0 0 +3 +1 2 0xe06a 0x3964a57e @@ -40334,6 +50418,8 @@ 1 0 0 +5 +0 1 0x7fe6 0x686769e4 @@ -40342,6 +50428,8 @@ 1 0 0 +1 +1 4 0x3ed2 0x783d7fcf @@ -40350,6 +50438,8 @@ 1 0 0 +5 +2 3 0x7322 0xa64d3c2b @@ -40358,6 +50448,8 @@ 0 0 0 +2 +3 3 0x229f 0xddcc808a @@ -40366,6 +50458,8 @@ 0 0 0 +3 +4 4 0x2231 0x50540fe5 @@ -40374,6 +50468,8 @@ 0 0 0 +1 +1 3 0xeb0b 0x3c0f0738 @@ -40383,6 +50479,8 @@ 0 0 1 +0 +1 0xd7ca 0x7f7a9bb9 256 @@ -40391,6 +50489,8 @@ 0 0 3 +1 +3 0x3652 0x2d3b585d 256 @@ -40398,6 +50498,8 @@ 1 0 0 +2 +3 4 0xb1 0x9f9ef4d3 @@ -40406,6 +50508,8 @@ 1 0 0 +2 +4 4 0x3d0 0x18f29586 @@ -40415,6 +50519,8 @@ 0 0 3 +3 +3 0x3e6d 0x1574024b 256 @@ -40422,6 +50528,8 @@ 1 1 0 +4 +4 1 0x31c1 0xa2a71559 @@ -40430,6 +50538,8 @@ 0 0 0 +5 +4 1 0x72f 0x2a341142 @@ -40438,6 +50548,8 @@ 1 1 0 +4 +3 1 0x6e2a 0x4bd25276 @@ -40446,6 +50558,8 @@ 1 0 0 +0 +4 1 0x4308 0x5983cb3d @@ -40454,6 +50568,8 @@ 0 0 0 +3 +5 2 0x2b6f 0xb011c72e @@ -40463,6 +50579,8 @@ 0 0 2 +4 +2 0xe0be 0x83c3181b 256 @@ -40470,6 +50588,8 @@ 1 0 0 +0 +4 3 0x5822 0x4b2d303c @@ -40478,6 +50598,8 @@ 1 1 0 +2 +5 3 0xdf25 0xf1820b2f @@ -40486,6 +50608,8 @@ 0 0 0 +4 +4 2 0x478 0x7ffe8f6e @@ -40494,6 +50618,8 @@ 0 0 0 +0 +0 2 0x2223 0x9634203 @@ -40502,6 +50628,8 @@ 0 0 0 +2 +3 1 0x4811 0x4d5dbdc5 @@ -40510,6 +50638,8 @@ 0 0 0 +5 +1 1 0x50b9 0x82c018f @@ -40519,6 +50649,8 @@ 0 0 2 +3 +2 0x55c2 0xecbb888f 256 @@ -40526,6 +50658,8 @@ 0 0 0 +0 +0 1 0x2911 0xb927df0f @@ -40534,6 +50668,8 @@ 1 1 0 +5 +0 2 0xb3e6 0x9f2db647 @@ -40542,6 +50678,8 @@ 1 0 0 +5 +0 1 0x29c7 0x9d9ef11f @@ -40551,6 +50689,8 @@ 1 0 4 +1 +4 0xcb60 0xdf6f23c9 256 @@ -40558,6 +50698,8 @@ 1 0 0 +0 +2 3 0x2066 0xc89be934 @@ -40566,6 +50708,8 @@ 1 1 0 +2 +5 4 0x2074 0x9ad7742f @@ -40574,6 +50718,8 @@ 0 0 0 +5 +5 3 0x7fcd 0xb62c73ce @@ -40583,6 +50729,8 @@ 1 0 3 +5 +3 0xd422 0xc4874391 256 @@ -40590,6 +50738,8 @@ 0 0 0 +0 +3 2 0x7058 0x638e2403 @@ -40598,6 +50748,8 @@ 0 0 0 +0 +1 3 0x5584 0xc0c69b @@ -40606,6 +50758,8 @@ 1 0 0 +4 +1 2 0xfcb5 0xff81a7dd @@ -40614,6 +50768,8 @@ 0 0 0 +0 +0 2 0x80ed 0x797913a0 @@ -40622,6 +50778,8 @@ 0 0 0 +4 +4 1 0x5cf 0xa054d1f0 @@ -40630,6 +50788,8 @@ 1 1 0 +0 +1 1 0xa819 0x5b614f01 @@ -40639,6 +50799,8 @@ 0 0 4 +2 +4 0xc44b 0xe2326365 256 @@ -40646,6 +50808,8 @@ 0 0 0 +3 +0 4 0x60d1 0x510bd36 @@ -40654,6 +50818,8 @@ 0 0 0 +0 +2 3 0xecd 0xc5da0f27 @@ -40663,6 +50829,8 @@ 0 0 3 +5 +3 0x1f6e 0xfa5febfb 256 @@ -40670,6 +50838,8 @@ 0 0 0 +4 +0 3 0x5fb9 0xd6cb2adc @@ -40679,6 +50849,8 @@ 0 0 3 +1 +3 0xb85d 0xcb1d23 256 @@ -40686,6 +50858,8 @@ 0 0 0 +4 +5 1 0x20ce 0x63b853b7 @@ -40694,6 +50868,8 @@ 1 0 0 +0 +5 1 0xf87 0xeb0941db @@ -40702,6 +50878,8 @@ 1 1 0 +1 +0 4 0xd69b 0x208f0acc @@ -40710,6 +50888,8 @@ 0 0 0 +5 +5 4 0x66f4 0xbd616ab @@ -40718,6 +50898,8 @@ 1 0 0 +4 +4 3 0x39df 0x56553d34 @@ -40727,6 +50909,8 @@ 0 0 2 +0 +2 0x6c70 0x5426aa91 256 @@ -40734,6 +50918,8 @@ 1 0 0 +4 +0 2 0xc022 0xe2e2d8f7 @@ -40743,6 +50929,8 @@ 0 0 2 +2 +2 0xe225 0xe1c79126 256 @@ -40751,6 +50939,8 @@ 1 0 1 +4 +1 0xa404 0x64ac356c 256 @@ -40758,6 +50948,8 @@ 1 1 0 +4 +2 3 0x5ec5 0x2e6a4129 @@ -40766,6 +50958,8 @@ 1 0 0 +5 +1 4 0xed4e 0x34b58c8e @@ -40774,6 +50968,8 @@ 0 0 0 +3 +2 1 0xaddf 0x27243f64 @@ -40782,6 +50978,8 @@ 0 0 0 +4 +1 1 0x3689 0xf80051b @@ -40790,6 +50988,8 @@ 1 1 0 +5 +2 1 0x2601 0x2d8ad7e6 @@ -40798,6 +50998,8 @@ 1 1 0 +0 +1 3 0xf6a1 0xe754295b @@ -40806,6 +51008,8 @@ 0 0 0 +0 +2 3 0x7640 0xe77521fb @@ -40815,6 +51019,8 @@ 0 0 1 +2 +1 0x6443 0x7039d3f5 256 @@ -40822,6 +51028,8 @@ 1 1 0 +3 +4 4 0xf831 0xd8e30141 @@ -40830,6 +51038,8 @@ 1 0 0 +3 +2 4 0x4793 0xeda5bd4a @@ -40839,6 +51049,8 @@ 0 0 3 +5 +3 0xbd45 0x5bf1d12d 256 @@ -40846,6 +51058,8 @@ 0 0 0 +4 +3 3 0x79cc 0x72cdbaae @@ -40854,6 +51068,8 @@ 0 0 0 +2 +3 4 0x1082 0x83c65879 @@ -40863,6 +51079,8 @@ 0 0 4 +2 +4 0x61ef 0x682071ed 256 @@ -40871,6 +51089,8 @@ 0 0 2 +4 +2 0xd93b 0x2fc17c91 256 @@ -40878,6 +51098,8 @@ 1 1 0 +3 +5 4 0x5fa2 0x57db673c @@ -40886,6 +51108,8 @@ 0 0 0 +2 +1 3 0xcc02 0x285efb46 @@ -40894,6 +51118,8 @@ 1 0 0 +5 +2 4 0x1f3b 0x22bc8652 @@ -40902,6 +51128,8 @@ 0 0 0 +4 +0 1 0xcde6 0x47f16222 @@ -40910,6 +51138,8 @@ 0 0 0 +1 +4 3 0xc45f 0xf14a1d7b @@ -40918,6 +51148,8 @@ 1 0 0 +0 +2 3 0x7209 0xbcc80736 @@ -40926,6 +51158,8 @@ 1 1 0 +4 +2 2 0x1ca7 0x5a6c643d @@ -40934,6 +51168,8 @@ 1 1 0 +3 +3 2 0x38f9 0x6687b06a @@ -40942,6 +51178,8 @@ 1 0 0 +1 +4 3 0xd2b4 0x763f965e @@ -40950,6 +51188,8 @@ 1 1 0 +2 +4 4 0xf28 0x26747196 @@ -40959,6 +51199,8 @@ 1 0 4 +0 +4 0xa326 0xdaaedcea 256 @@ -40966,6 +51208,8 @@ 0 0 0 +1 +3 4 0x252f 0x9e59e3d4 @@ -40974,6 +51218,8 @@ 0 0 0 +2 +2 1 0xb926 0x97481573 @@ -40983,6 +51229,8 @@ 1 0 1 +1 +1 0x97e1 0xa9bc24e7 256 @@ -40990,6 +51238,8 @@ 1 1 0 +2 +5 3 0x6113 0x33bd2f4f @@ -40999,6 +51249,8 @@ 0 0 2 +0 +2 0xd70b 0x42b8a183 256 @@ -41006,6 +51258,8 @@ 1 0 0 +5 +5 2 0x1348 0xdcfd6d3d @@ -41015,6 +51269,8 @@ 1 0 2 +0 +2 0xdc05 0x2c47731a 256 @@ -41022,6 +51278,8 @@ 1 1 0 +3 +4 1 0x2088 0xfc311de8 @@ -41031,6 +51289,8 @@ 0 0 3 +0 +3 0xd0ea 0x828fb6b7 256 @@ -41038,6 +51298,8 @@ 0 0 0 +0 +1 3 0x2d2 0xf446fba4 @@ -41047,6 +51309,8 @@ 1 0 2 +2 +2 0x47d7 0xa7544d1a 256 @@ -41055,6 +51319,8 @@ 0 0 3 +0 +3 0xee1b 0x7b6f3ced 256 @@ -41062,6 +51328,8 @@ 1 1 0 +3 +2 2 0x9a16 0x5b23cca1 @@ -41070,6 +51338,8 @@ 1 0 0 +2 +3 3 0xf080 0x6795064f @@ -41078,6 +51348,8 @@ 1 1 0 +4 +0 2 0x22fa 0x905b9890 @@ -41086,6 +51358,8 @@ 1 0 0 +4 +0 1 0xcb5d 0x9610f42a @@ -41094,6 +51368,8 @@ 1 0 0 +3 +3 2 0x3480 0x1cf8ecc1 @@ -41102,6 +51378,8 @@ 0 0 0 +4 +4 2 0x5648 0x36e69a7b @@ -41111,6 +51389,8 @@ 0 0 4 +5 +4 0x9b1f 0xf4d6279f 256 @@ -41119,6 +51399,8 @@ 1 0 4 +0 +4 0xb8d7 0x550c093b 256 @@ -41126,6 +51408,8 @@ 1 0 0 +0 +1 1 0x76d8 0x79ba11c1 @@ -41135,6 +51419,8 @@ 0 0 2 +3 +2 0xe078 0x773e03d2 256 @@ -41143,6 +51429,8 @@ 0 0 3 +4 +3 0xe16b 0x2af1f790 256 @@ -41150,6 +51438,8 @@ 0 0 0 +3 +4 1 0xce3d 0xf9e05771 @@ -41158,6 +51448,8 @@ 0 0 0 +2 +3 4 0x8929 0xc474bfa4 @@ -41166,6 +51458,8 @@ 0 0 0 +0 +4 4 0x55b9 0x74ca108c @@ -41175,6 +51469,8 @@ 0 0 4 +4 +4 0x16df 0xcff7a079 256 @@ -41182,6 +51478,8 @@ 0 0 0 +1 +4 2 0x2033 0x665878aa @@ -41190,6 +51488,8 @@ 1 1 0 +2 +0 3 0x7b7a 0xbdeb2165 @@ -41198,6 +51498,8 @@ 0 0 0 +2 +3 3 0xb15a 0x99a44bf3 @@ -41206,6 +51508,8 @@ 1 1 0 +4 +4 3 0x1d96 0x2ba88e3f @@ -41214,6 +51518,8 @@ 1 1 0 +5 +5 2 0xb33e 0x1fbdbd70 @@ -41222,6 +51528,8 @@ 0 0 0 +4 +3 3 0x76e0 0x6dc60e08 @@ -41231,6 +51539,8 @@ 0 0 2 +3 +2 0x8656 0x865b8f5b 256 @@ -41238,6 +51548,8 @@ 1 0 0 +3 +0 4 0x23a7 0xf6933813 @@ -41247,6 +51559,8 @@ 0 0 2 +1 +2 0xddfb 0x88f46dc4 256 @@ -41254,6 +51568,8 @@ 1 0 0 +5 +1 2 0xbba1 0xbaa83e6a @@ -41262,6 +51578,8 @@ 1 0 0 +1 +3 2 0x7d7d 0x83fe6353 @@ -41270,6 +51588,8 @@ 1 0 0 +2 +5 3 0x14ab 0x3564ce33 @@ -41279,6 +51599,8 @@ 1 0 4 +4 +4 0xa77a 0x482f9432 256 @@ -41286,6 +51608,8 @@ 1 0 0 +4 +0 3 0xcba 0xa1186452 @@ -41294,6 +51618,8 @@ 0 0 0 +1 +3 2 0x5e0a 0x1ebf9005 @@ -41302,6 +51628,8 @@ 0 0 0 +2 +3 4 0x3eb4 0x770e1611 @@ -41310,6 +51638,8 @@ 1 1 0 +0 +3 2 0xb89a 0xc37ce0e4 @@ -41318,6 +51648,8 @@ 0 0 0 +2 +5 4 0xfea2 0xefa8569d @@ -41326,6 +51658,8 @@ 1 1 0 +2 +2 3 0xe78 0x58d26e2d @@ -41334,6 +51668,8 @@ 0 0 0 +3 +5 1 0x52c9 0x8a28705a @@ -41342,6 +51678,8 @@ 0 0 0 +5 +4 2 0x602a 0xe40087b @@ -41351,6 +51689,8 @@ 0 0 4 +4 +4 0x4c5c 0xe8a73d29 256 @@ -41358,6 +51698,8 @@ 1 1 0 +0 +0 1 0xdcc7 0x4a42cf2c @@ -41367,6 +51709,8 @@ 0 0 3 +5 +3 0xff62 0xf7c84ccb 256 @@ -41374,6 +51718,8 @@ 1 1 0 +5 +0 2 0x684f 0x48315d34 @@ -41382,6 +51728,8 @@ 1 0 0 +4 +4 2 0xefb5 0xadde4982 @@ -41390,6 +51738,8 @@ 1 1 0 +0 +3 2 0x13d 0x37c9e7cf @@ -41398,6 +51748,8 @@ 0 0 0 +5 +5 4 0x34ba 0x1fca162d @@ -41406,6 +51758,8 @@ 1 1 0 +4 +5 3 0x5adf 0x19b2ba6a @@ -41414,6 +51768,8 @@ 0 0 0 +4 +4 2 0xc2b3 0x18aab1b2 @@ -41423,6 +51779,8 @@ 0 0 3 +0 +3 0xedf4 0x25dbc46e 256 @@ -41430,6 +51788,8 @@ 1 1 0 +0 +1 3 0x740f 0xdf3f4843 @@ -41438,6 +51798,8 @@ 1 0 0 +3 +2 1 0x9a28 0x6678f191 @@ -41446,6 +51808,8 @@ 1 1 0 +5 +0 3 0x35bf 0xd595a874 @@ -41454,6 +51818,8 @@ 0 0 0 +0 +5 2 0xe7c5 0x53b6af5d @@ -41462,6 +51828,8 @@ 0 0 0 +0 +0 2 0x3b98 0x162b4229 @@ -41470,6 +51838,8 @@ 0 0 0 +5 +2 3 0xa476 0x6de90704 @@ -41478,6 +51848,8 @@ 0 0 0 +4 +2 1 0x5d7a 0x45cf1654 @@ -41486,6 +51858,8 @@ 0 0 0 +4 +5 2 0x18f1 0x6cf740f9 @@ -41494,6 +51868,8 @@ 1 0 0 +1 +1 3 0x9ee2 0x6abda391 @@ -41502,6 +51878,8 @@ 0 0 0 +3 +3 1 0x7a58 0xe9539683 @@ -41510,6 +51888,8 @@ 1 1 0 +5 +2 2 0x7649 0x5688e739 @@ -41518,6 +51898,8 @@ 0 0 0 +5 +0 4 0x9256 0x244c7e7b @@ -41526,6 +51908,8 @@ 0 0 0 +5 +3 1 0x923c 0xf873c071 @@ -41534,6 +51918,8 @@ 0 0 0 +5 +5 4 0xd196 0x5875b571 @@ -41542,6 +51928,8 @@ 0 0 0 +1 +5 4 0xf6c8 0xa8f1191 @@ -41550,6 +51938,8 @@ 1 1 0 +0 +5 1 0xa269 0x17975ad0 @@ -41558,6 +51948,8 @@ 1 1 0 +0 +3 3 0x6650 0xb8af0dda @@ -41566,6 +51958,8 @@ 0 0 0 +0 +4 3 0xd788 0x8c296c5e @@ -41574,6 +51968,8 @@ 1 0 0 +0 +2 4 0x587d 0x96507449 @@ -41582,6 +51978,8 @@ 0 0 0 +0 +0 4 0x4348 0x96c61f9c @@ -41590,6 +51988,8 @@ 0 0 0 +0 +2 2 0x16f7 0x4c219677 @@ -41598,6 +51998,8 @@ 1 1 0 +5 +2 4 0x7266 0x9bbc64ac @@ -41607,6 +52009,8 @@ 0 0 4 +5 +4 0x4417 0xe7c5cbdb 256 @@ -41614,6 +52018,8 @@ 1 0 0 +5 +3 4 0xbb83 0x2abef631 @@ -41622,6 +52028,8 @@ 1 0 0 +1 +2 2 0x870f 0x346d2785 @@ -41630,6 +52038,8 @@ 1 0 0 +0 +4 2 0x1d67 0x3f8221d8 @@ -41638,6 +52048,8 @@ 1 0 0 +5 +0 1 0x2954 0x7211a7f8 @@ -41646,6 +52058,8 @@ 1 0 0 +3 +5 1 0x117e 0x4234b451 @@ -41655,6 +52069,8 @@ 0 0 3 +4 +3 0xe48a 0x91355c1 256 @@ -41662,6 +52078,8 @@ 1 1 0 +2 +2 4 0x8b8e 0xb84008b3 @@ -41671,6 +52089,8 @@ 0 0 3 +4 +3 0xad2a 0xa67bbc38 256 @@ -41678,6 +52098,8 @@ 1 1 0 +2 +2 1 0x809e 0xaf7d44de @@ -41686,6 +52108,8 @@ 1 0 0 +4 +2 2 0x206f 0x1574ab95 @@ -41694,6 +52118,8 @@ 1 0 0 +0 +3 2 0x90a8 0x48f4a938 @@ -41702,6 +52128,8 @@ 0 0 0 +5 +0 2 0x1f12 0xd27b9174 @@ -41711,6 +52139,8 @@ 1 0 3 +0 +3 0xeed2 0x1c998402 256 @@ -41718,6 +52148,8 @@ 1 0 0 +0 +1 2 0x9e81 0x3ebb967c @@ -41726,6 +52158,8 @@ 0 0 0 +5 +0 3 0x8466 0x443a466c @@ -41734,6 +52168,8 @@ 1 0 0 +5 +3 3 0xe86e 0x8c0079cb @@ -41743,6 +52179,8 @@ 0 0 1 +1 +1 0xcc82 0x7ed70afb 256 @@ -41750,6 +52188,8 @@ 0 0 0 +1 +2 3 0x6ae2 0xc5aa5e84 @@ -41759,6 +52199,8 @@ 0 0 1 +0 +1 0xe655 0xd1ea4cdd 256 @@ -41766,6 +52208,8 @@ 0 0 0 +4 +4 2 0xbd7c 0xb31175ab @@ -41775,6 +52219,8 @@ 1 0 3 +1 +3 0x753e 0x69727837 256 @@ -41783,6 +52229,8 @@ 0 0 2 +2 +2 0x5d00 0xef7d01ce 256 @@ -41791,6 +52239,8 @@ 0 0 3 +2 +3 0x14e7 0x333971b0 256 @@ -41798,6 +52248,8 @@ 0 0 0 +3 +0 1 0xeefd 0x2ad0ded0 @@ -41806,7 +52258,9 @@ 0 0 0 -1 +4 +2 +1 0x2a7b 0xa309bdae 256 @@ -41814,6 +52268,8 @@ 1 0 0 +3 +3 1 0x78cd 0x522aa3e6 @@ -41823,6 +52279,8 @@ 0 0 2 +4 +2 0xa1fd 0x164010de 256 @@ -41830,6 +52288,8 @@ 1 0 0 +3 +4 2 0x7c0 0xecde4c04 @@ -41838,6 +52298,8 @@ 0 0 0 +0 +1 3 0xe9c6 0xfecde062 @@ -41846,6 +52308,8 @@ 0 0 0 +4 +5 1 0x1a6b 0xfd063d77 @@ -41855,6 +52319,8 @@ 0 0 3 +1 +3 0x2acc 0xdf3d3afc 256 @@ -41862,6 +52328,8 @@ 0 0 0 +4 +2 3 0xe45a 0xe97d5425 @@ -41870,6 +52338,8 @@ 1 0 0 +4 +5 3 0x35f3 0xf5f2e79 @@ -41879,6 +52349,8 @@ 0 0 3 +2 +3 0x198f 0x3375c624 256 @@ -41886,6 +52358,8 @@ 1 0 0 +0 +0 2 0xc3fa 0xceb825ad @@ -41894,6 +52368,8 @@ 1 1 0 +1 +0 4 0x41df 0x24f6fab5 @@ -41902,6 +52378,8 @@ 1 0 0 +5 +2 2 0x57bc 0x4ee19be4 @@ -41910,6 +52388,8 @@ 1 0 0 +4 +5 2 0xc90d 0x5a0c9d20 @@ -41919,6 +52399,8 @@ 0 0 1 +5 +1 0xc819 0x8d53dcad 256 @@ -41926,6 +52408,8 @@ 1 0 0 +3 +5 4 0xfba9 0xcbf50a89 @@ -41934,6 +52418,8 @@ 1 1 0 +1 +1 2 0xcd28 0x66470688 @@ -41943,6 +52429,8 @@ 0 0 2 +4 +2 0x6427 0x2dc49727 256 @@ -41950,6 +52438,8 @@ 1 0 0 +0 +5 1 0xd913 0x4c6ddb29 @@ -41958,6 +52448,8 @@ 0 0 0 +1 +2 4 0xa684 0x851fe7de @@ -41966,6 +52458,8 @@ 1 1 0 +1 +1 4 0xa912 0x2d4c9d81 @@ -41975,6 +52469,8 @@ 0 0 3 +5 +3 0xde73 0x1eeb268f 256 @@ -41982,6 +52478,8 @@ 1 0 0 +4 +3 3 0x827f 0x23c0b808 @@ -41991,6 +52489,8 @@ 0 0 2 +0 +2 0xde2a 0xab120a17 256 @@ -41998,6 +52498,8 @@ 1 0 0 +5 +1 1 0xda88 0x44ef38e1 @@ -42006,6 +52508,8 @@ 0 0 0 +5 +0 3 0x2178 0x7103e79e @@ -42014,6 +52518,8 @@ 1 0 0 +0 +5 4 0x329 0xfa767c5a @@ -42022,6 +52528,8 @@ 0 0 0 +0 +2 3 0x8bbd 0xfee506ae @@ -42030,6 +52538,8 @@ 1 0 0 +4 +0 3 0x31a5 0x75c0850c @@ -42038,6 +52548,8 @@ 0 0 0 +1 +0 3 0x81da 0xa10546 @@ -42046,6 +52558,8 @@ 0 0 0 +0 +1 3 0x1d2a 0x947ece07 @@ -42054,6 +52568,8 @@ 0 0 0 +3 +2 4 0x6721 0xa7862e4a @@ -42062,6 +52578,8 @@ 0 0 0 +5 +4 2 0x8c15 0x1ef9a47e @@ -42070,6 +52588,8 @@ 1 0 0 +4 +3 3 0xe606 0x2cb9558 @@ -42078,6 +52598,8 @@ 1 0 0 +5 +4 4 0x9ce4 0x8219ec36 @@ -42086,6 +52608,8 @@ 0 0 0 +3 +1 1 0x14e2 0x593d79e8 @@ -42094,6 +52618,8 @@ 0 0 0 +5 +0 2 0xfe69 0xb8e5dada @@ -42102,6 +52628,8 @@ 0 0 0 +0 +5 1 0xa235 0xf2b9bfa4 @@ -42110,6 +52638,8 @@ 0 0 0 +1 +4 2 0x7fa0 0x9f0fa277 @@ -42118,6 +52648,8 @@ 1 1 0 +5 +4 2 0xe2d5 0x12a495b0 @@ -42126,6 +52658,8 @@ 0 0 0 +5 +5 3 0x19d0 0x79007789 @@ -42134,6 +52668,8 @@ 1 1 0 +4 +4 2 0x783d 0x10f0695 @@ -42142,6 +52678,8 @@ 0 0 0 +4 +4 3 0xe21e 0x5c26f90 @@ -42150,6 +52688,8 @@ 1 0 0 +3 +3 2 0x6ef4 0xc9d5d19b @@ -42158,6 +52698,8 @@ 1 1 0 +0 +1 4 0xff24 0xd0c482ad @@ -42166,6 +52708,8 @@ 0 0 0 +5 +5 1 0xbedf 0x4cdb83e1 @@ -42174,6 +52718,8 @@ 1 0 0 +1 +5 4 0x815c 0xd7613b92 @@ -42183,6 +52729,8 @@ 1 0 2 +4 +2 0x817f 0xe459ce7 256 @@ -42190,6 +52738,8 @@ 0 0 0 +0 +5 2 0x99f4 0x2b0343ef @@ -42198,6 +52748,8 @@ 1 1 0 +2 +3 1 0x7118 0xd2244a04 @@ -42207,6 +52759,8 @@ 0 0 3 +5 +3 0x8211 0x7e6e3eb3 256 @@ -42214,6 +52768,8 @@ 0 0 0 +5 +1 4 0xc8 0x289dbc12 @@ -42222,6 +52778,8 @@ 0 0 0 +2 +0 3 0x3013 0xeef8bee3 @@ -42231,6 +52789,8 @@ 0 0 2 +4 +2 0xbfb9 0xf1ee84f8 256 @@ -42238,6 +52798,8 @@ 0 0 0 +2 +5 4 0xd085 0xd4ed4543 @@ -42246,6 +52808,8 @@ 1 1 0 +1 +1 2 0x1ff4 0xc16d1980 @@ -42254,6 +52818,8 @@ 1 0 0 +1 +5 4 0x9d5b 0x1dcb3c4c @@ -42262,6 +52828,8 @@ 0 0 0 +0 +2 4 0x1984 0x64ffcd42 @@ -42270,6 +52838,8 @@ 0 0 0 +5 +4 3 0x66d8 0xb51fa4e3 @@ -42278,6 +52848,8 @@ 0 0 0 +1 +5 2 0x328d 0x3655ba04 @@ -42286,6 +52858,8 @@ 1 1 0 +3 +3 1 0xf5d9 0x35ad73eb @@ -42295,6 +52869,8 @@ 0 0 3 +3 +3 0x6f61 0xb4f1318 256 @@ -42303,6 +52879,8 @@ 0 0 3 +4 +3 0xab0a 0xc10a900 256 @@ -42310,6 +52888,8 @@ 0 0 0 +5 +2 3 0xc803 0x9831ff5a @@ -42319,6 +52899,8 @@ 0 0 3 +4 +3 0xdbdf 0x6b36cd50 256 @@ -42326,6 +52908,8 @@ 1 0 0 +3 +2 2 0x2b47 0xa2d7149f @@ -42334,6 +52918,8 @@ 1 1 0 +1 +1 3 0x3676 0x971a0f0d @@ -42342,6 +52928,8 @@ 1 0 0 +4 +1 3 0x9586 0x72946623 @@ -42350,6 +52938,8 @@ 0 0 0 +3 +0 1 0x20d3 0x30878f46 @@ -42359,6 +52949,8 @@ 1 0 1 +0 +1 0x1ee3 0xb1028521 256 @@ -42366,6 +52958,8 @@ 1 1 0 +1 +1 2 0x454f 0x359145e @@ -42374,6 +52968,8 @@ 0 0 0 +1 +2 4 0x1179 0x9a506760 @@ -42382,6 +52978,8 @@ 0 0 0 +4 +0 1 0x9811 0x2b90dadf @@ -42390,6 +52988,8 @@ 0 0 0 +1 +4 3 0xeec3 0xcca3e558 @@ -42398,6 +52998,8 @@ 1 1 0 +1 +5 2 0x43f8 0xcf833040 @@ -42406,6 +53008,8 @@ 1 1 0 +4 +2 1 0x453 0x2189c300 @@ -42414,6 +53018,8 @@ 0 0 0 +5 +4 3 0xf6a9 0xca1e224a @@ -42422,6 +53028,8 @@ 0 0 0 +5 +0 2 0xad3a 0x46b0ccb3 @@ -42430,6 +53038,8 @@ 1 0 0 +4 +4 1 0x353d 0x72649749 @@ -42438,6 +53048,8 @@ 1 0 0 +3 +4 4 0x875b 0x55a9ae54 @@ -42446,6 +53058,8 @@ 1 1 0 +0 +4 1 0x1ce3 0xf6405b5f @@ -42454,6 +53068,8 @@ 0 0 0 +5 +0 3 0x2eaa 0x658dc0a9 @@ -42463,6 +53079,8 @@ 0 0 4 +5 +4 0x8628 0xb5a558d6 256 @@ -42470,6 +53088,8 @@ 1 0 0 +5 +5 4 0xade7 0xb587c131 @@ -42478,6 +53098,8 @@ 0 0 0 +0 +3 1 0xce28 0x52bb3293 @@ -42486,6 +53108,8 @@ 1 0 0 +5 +1 3 0xcfa6 0x7133f8d8 @@ -42494,6 +53118,8 @@ 1 0 0 +3 +3 2 0x2a81 0x1e1f152e @@ -42502,6 +53128,8 @@ 1 1 0 +1 +4 4 0xe06b 0xa40a3571 @@ -42511,6 +53139,8 @@ 0 0 3 +1 +3 0x5d9a 0x8597ded1 256 @@ -42518,6 +53148,8 @@ 1 1 0 +0 +0 1 0xe2be 0x13d5358b @@ -42526,6 +53158,8 @@ 1 1 0 +0 +2 1 0x6442 0x35b21f01 @@ -42534,6 +53168,8 @@ 0 0 0 +5 +4 2 0xe27f 0xc3d236d7 @@ -42542,6 +53178,8 @@ 1 1 0 +5 +0 1 0x74c1 0xb8e10fd6 @@ -42550,6 +53188,8 @@ 1 0 0 +0 +4 3 0x6149 0x3404697a @@ -42558,6 +53198,8 @@ 0 0 0 +1 +2 2 0x8530 0x4d0b8b04 @@ -42567,6 +53209,8 @@ 1 0 1 +2 +1 0x714e 0xa7546a61 256 @@ -42574,6 +53218,8 @@ 1 0 0 +4 +0 2 0xbf57 0x887cd245 @@ -42582,6 +53228,8 @@ 1 0 0 +3 +2 1 0xaf50 0xa8eda0a2 @@ -42591,6 +53239,8 @@ 1 0 4 +2 +4 0x47a5 0xa1fb13aa 256 @@ -42599,6 +53249,8 @@ 0 0 1 +4 +1 0x66ba 0x36a90ccd 256 @@ -42607,6 +53259,8 @@ 0 0 2 +3 +2 0x9670 0x2dc44d2e 256 @@ -42614,6 +53268,8 @@ 0 0 0 +4 +5 3 0xfd94 0x2d459ada @@ -42622,6 +53278,8 @@ 1 0 0 +0 +0 1 0xc138 0xaa89521a @@ -42630,6 +53288,8 @@ 0 0 0 +3 +5 4 0x1999 0x3672d2c9 @@ -42638,6 +53298,8 @@ 0 0 0 +5 +5 4 0x54d 0xab4c56c5 @@ -42646,6 +53308,8 @@ 0 0 0 +4 +5 3 0x1b7b 0x17d87400 @@ -42654,6 +53318,8 @@ 1 1 0 +2 +4 4 0x5c9 0xd6a1f14c @@ -42662,6 +53328,8 @@ 1 1 0 +4 +3 3 0xd336 0x31275a3e @@ -42670,6 +53338,8 @@ 0 0 0 +2 +1 3 0x8019 0x1e2662df @@ -42678,6 +53348,8 @@ 0 0 0 +2 +1 4 0x4cb8 0x2f5a231e @@ -42686,6 +53358,8 @@ 1 0 0 +4 +4 3 0xa4ab 0xb51e64e2 @@ -42694,6 +53368,8 @@ 1 0 0 +0 +4 1 0x4f86 0x246a0bce @@ -42703,6 +53379,8 @@ 0 0 1 +2 +1 0xe84f 0x4e8b898e 256 @@ -42710,6 +53388,8 @@ 0 0 0 +0 +4 2 0x1d4a 0x1af00075 @@ -42719,6 +53399,8 @@ 0 0 4 +1 +4 0x6ece 0x947f7534 256 @@ -42726,6 +53408,8 @@ 1 0 0 +2 +3 1 0x8b41 0xf47019c @@ -42734,6 +53418,8 @@ 1 0 0 +2 +3 1 0xd7a2 0xd81f13ec @@ -42742,6 +53428,8 @@ 0 0 0 +3 +4 1 0x1b71 0x3d422649 @@ -42750,6 +53438,8 @@ 1 1 0 +2 +2 1 0x260a 0xd96f6a09 @@ -42758,6 +53448,8 @@ 0 0 0 +5 +0 4 0xd597 0x3e8083e7 @@ -42766,6 +53458,8 @@ 0 0 0 +5 +1 3 0x1abe 0xa7b81ee6 @@ -42774,6 +53468,8 @@ 1 0 0 +3 +2 1 0x97b3 0xfef76c93 @@ -42782,6 +53478,8 @@ 0 0 0 +3 +0 1 0xdca 0x456892d7 @@ -42790,6 +53488,8 @@ 0 0 0 +1 +4 3 0x980b 0x324581d0 @@ -42798,6 +53498,8 @@ 0 0 0 +1 +4 3 0x115b 0xea86c90 @@ -42807,6 +53509,8 @@ 1 0 4 +0 +4 0x1924 0x43e7b284 256 @@ -42814,6 +53518,8 @@ 1 0 0 +0 +1 2 0x4d53 0x4cf418f @@ -42822,6 +53528,8 @@ 1 1 0 +3 +4 2 0x5bc9 0x15289039 @@ -42830,6 +53538,8 @@ 0 0 0 +0 +1 3 0xf292 0xde3220ed @@ -42838,6 +53548,8 @@ 1 1 0 +3 +2 1 0x4bdf 0x69eee90c @@ -42846,6 +53558,8 @@ 0 0 0 +5 +5 3 0xf90b 0xc6f2b734 @@ -42854,6 +53568,8 @@ 0 0 0 +4 +2 1 0xd2c8 0x1fcc6293 @@ -42862,6 +53578,8 @@ 0 0 0 +3 +4 2 0x64fa 0x5a38d3aa @@ -42870,6 +53588,8 @@ 1 0 0 +2 +2 4 0x5350 0x4e84e4a8 @@ -42878,6 +53598,8 @@ 0 0 0 +0 +2 4 0xc623 0xdd2b844e @@ -42886,6 +53608,8 @@ 1 0 0 +1 +1 2 0xab01 0xb5921e13 @@ -42894,6 +53618,8 @@ 0 0 0 +5 +2 3 0x70c 0x19d15546 @@ -42903,6 +53629,8 @@ 1 0 2 +2 +2 0xa6c7 0x7574ce31 256 @@ -42911,6 +53639,8 @@ 0 0 2 +2 +2 0x34d1 0x3148dc7e 256 @@ -42918,6 +53648,8 @@ 0 0 0 +5 +4 4 0x577 0xcf46cc73 @@ -42926,6 +53658,8 @@ 1 0 0 +2 +1 1 0xca8d 0xfcb0f9a0 @@ -42934,6 +53668,8 @@ 0 0 0 +3 +0 4 0xe2f2 0x8f5bd925 @@ -42942,6 +53678,8 @@ 0 0 0 +4 +3 1 0x852b 0xb48c3bed @@ -42950,6 +53688,8 @@ 1 1 0 +1 +5 4 0x469d 0x8992a9dc @@ -42958,6 +53698,8 @@ 1 1 0 +2 +0 1 0xb860 0xb2550dc7 @@ -42966,6 +53708,8 @@ 0 0 0 +1 +4 4 0xc1f7 0x57999435 @@ -42974,6 +53718,8 @@ 0 0 0 +4 +0 2 0xc2c 0xf4c5281 @@ -42982,6 +53728,8 @@ 0 0 0 +0 +1 4 0xc746 0xe6f981d @@ -42991,6 +53739,8 @@ 0 0 2 +0 +2 0xc692 0x8627379b 256 @@ -42998,6 +53748,8 @@ 0 0 0 +5 +5 1 0xc1a5 0x6227a30d @@ -43006,6 +53758,8 @@ 0 0 0 +5 +1 4 0x5ebe 0x68f25a38 @@ -43014,6 +53768,8 @@ 0 0 0 +5 +0 4 0xb876 0x92530152 @@ -43022,6 +53778,8 @@ 1 1 0 +2 +3 3 0xff04 0x6f8f72af @@ -43031,6 +53789,8 @@ 0 0 4 +0 +4 0xaea3 0x89a058d2 256 @@ -43038,6 +53798,8 @@ 0 0 0 +1 +3 4 0xdeed 0xc9e0192c @@ -43046,6 +53808,8 @@ 0 0 0 +5 +4 2 0x895 0xae1fd698 @@ -43054,6 +53818,8 @@ 0 0 0 +2 +4 1 0xf64b 0x62ab9171 @@ -43062,6 +53828,8 @@ 0 0 0 +5 +3 3 0x48f0 0xea7a1d0e @@ -43070,6 +53838,8 @@ 1 0 0 +4 +0 2 0x5c67 0xb01ddc4 @@ -43078,6 +53848,8 @@ 1 0 0 +3 +5 4 0x5548 0xc83dbd54 @@ -43086,6 +53858,8 @@ 0 0 0 +5 +1 3 0x12f0 0x736f47f2 @@ -43094,6 +53868,8 @@ 1 1 0 +3 +4 1 0xa7b7 0xde0c10ee @@ -43102,6 +53878,8 @@ 0 0 0 +2 +4 4 0xd36d 0x74c5a8a1 @@ -43110,6 +53888,8 @@ 1 1 0 +4 +1 3 0x2a82 0xf02d5fae @@ -43118,6 +53898,8 @@ 1 1 0 +5 +4 2 0x3fe4 0xb1efd22d @@ -43126,6 +53908,8 @@ 0 0 0 +5 +5 1 0xa419 0x1792267 @@ -43134,6 +53918,8 @@ 1 0 0 +2 +5 1 0x7471 0x6383f878 @@ -43142,6 +53928,8 @@ 1 0 0 +3 +4 2 0x3bc5 0xf000220e @@ -43150,6 +53938,8 @@ 1 1 0 +0 +1 2 0xecba 0x64401b04 @@ -43158,6 +53948,8 @@ 1 0 0 +5 +3 1 0x6fd0 0xa77c9ece @@ -43166,6 +53958,8 @@ 1 0 0 +1 +3 4 0x287b 0x9401055e @@ -43174,6 +53968,8 @@ 0 0 0 +0 +3 3 0x457b 0x47aa492 @@ -43183,6 +53979,8 @@ 0 0 4 +4 +4 0x861e 0xcc3beba4 256 @@ -43190,6 +53988,8 @@ 0 0 0 +4 +5 3 0x289e 0x33f7bb73 @@ -43198,6 +53998,8 @@ 1 0 0 +0 +2 2 0x75f5 0xb4b8be9d @@ -43206,6 +54008,8 @@ 0 0 0 +4 +2 2 0xb065 0x3b227465 @@ -43214,6 +54018,8 @@ 0 0 0 +1 +3 4 0xca08 0x9e9f7c3d @@ -43222,6 +54028,8 @@ 0 0 0 +3 +5 1 0xd89e 0xa7777e4c @@ -43231,6 +54039,8 @@ 0 0 1 +3 +1 0xc18e 0x9e7e9f08 256 @@ -43238,6 +54048,8 @@ 1 0 0 +3 +3 1 0xcb29 0xbf858739 @@ -43246,6 +54058,8 @@ 1 1 0 +3 +0 4 0x33ae 0x7dc7997 @@ -43254,6 +54068,8 @@ 1 1 0 +0 +0 3 0xe211 0xcf8670b5 @@ -43262,6 +54078,8 @@ 1 0 0 +0 +0 1 0x9bed 0x5e7dc9b3 @@ -43270,6 +54088,8 @@ 0 0 0 +3 +4 2 0xf9c0 0xd99e36bc @@ -43278,6 +54098,8 @@ 1 0 0 +1 +0 3 0xe5f8 0xc8d71c61 @@ -43286,6 +54108,8 @@ 1 1 0 +3 +4 1 0x88b1 0x227519d8 @@ -43294,6 +54118,8 @@ 0 0 0 +4 +1 1 0xf82e 0xfdae422f @@ -43302,6 +54128,8 @@ 0 0 0 +1 +4 4 0x3f4d 0x2489048c @@ -43310,6 +54138,8 @@ 1 0 0 +5 +3 1 0x2746 0xd57a36a2 @@ -43318,6 +54148,8 @@ 0 0 0 +3 +0 4 0x39a1 0x56cb851f @@ -43326,6 +54158,8 @@ 0 0 0 +5 +5 4 0x1133 0xe696eccf @@ -43334,6 +54168,8 @@ 0 0 0 +3 +1 4 0x550e 0xf39c60d6 @@ -43343,6 +54179,8 @@ 0 0 2 +0 +2 0x59e1 0xf050ee01 256 @@ -43351,6 +54189,8 @@ 0 0 3 +5 +3 0xbfd4 0x19e456fa 256 @@ -43358,6 +54198,8 @@ 0 0 0 +0 +4 2 0x70b9 0x9644fc2e @@ -43366,6 +54208,8 @@ 0 0 0 +3 +0 2 0x4643 0x3e4db99d @@ -43374,6 +54218,8 @@ 0 0 0 +0 +4 1 0x43fa 0xe2897161 @@ -43382,6 +54228,8 @@ 0 0 0 +5 +2 2 0x1851 0x6071a3f @@ -43391,6 +54239,8 @@ 1 0 3 +0 +3 0x6ca3 0x724944c1 256 @@ -43398,6 +54248,8 @@ 1 1 0 +3 +3 1 0x3b6d 0xa6c6442d @@ -43406,6 +54258,8 @@ 1 1 0 +2 +4 1 0x5d95 0xe10a4fdf @@ -43415,6 +54269,8 @@ 0 0 2 +4 +2 0xca4f 0xb392bfb4 256 @@ -43422,6 +54278,8 @@ 1 0 0 +5 +1 2 0x316d 0xbcd7beda @@ -43430,6 +54288,8 @@ 1 1 0 +0 +5 1 0x2b1b 0x3349d18a @@ -43438,6 +54298,8 @@ 1 1 0 +4 +4 2 0x76df 0x9837e1a8 @@ -43446,6 +54308,8 @@ 1 0 0 +2 +5 1 0x3d0e 0x519f62a4 @@ -43454,6 +54318,8 @@ 1 1 0 +5 +2 3 0x5da 0x3a50951a @@ -43462,6 +54328,8 @@ 0 0 0 +4 +5 3 0xd3e7 0xede4d4d8 @@ -43470,6 +54338,8 @@ 1 0 0 +5 +3 3 0xa95c 0x7e3b8064 @@ -43478,6 +54348,8 @@ 0 0 0 +5 +0 1 0x696b 0xd8b04a8d @@ -43486,6 +54358,8 @@ 1 0 0 +1 +4 3 0x7070 0x73d6bd5f @@ -43494,6 +54368,8 @@ 0 0 0 +5 +4 3 0x392b 0x88bd81c3 @@ -43502,6 +54378,8 @@ 1 1 0 +3 +4 2 0x81e8 0x1b1615b8 @@ -43510,6 +54388,8 @@ 0 0 0 +5 +2 1 0x4c5c 0xd21e820a @@ -43518,6 +54398,8 @@ 0 0 0 +4 +5 2 0x71f8 0x3adf1a71 @@ -43526,6 +54408,8 @@ 1 0 0 +0 +3 2 0x546c 0xeea75594 @@ -43535,6 +54419,8 @@ 0 0 3 +1 +3 0x1b23 0x7b514b4b 256 @@ -43542,6 +54428,8 @@ 1 1 0 +2 +2 1 0x19e6 0x8c165ec7 @@ -43550,6 +54438,8 @@ 0 0 0 +0 +4 4 0xdae7 0x6b7198bd @@ -43558,6 +54448,8 @@ 0 0 0 +5 +0 2 0x47f6 0x8ffc6f76 @@ -43566,6 +54458,8 @@ 0 0 0 +1 +3 4 0xcc13 0x81f08e39 @@ -43574,6 +54468,8 @@ 1 1 0 +4 +1 2 0x4d29 0x118848a9 @@ -43583,6 +54479,8 @@ 0 0 1 +0 +1 0x1079 0x977379f0 256 @@ -43590,6 +54488,8 @@ 0 0 0 +2 +3 4 0x10cf 0xad81ca92 @@ -43598,6 +54498,8 @@ 0 0 0 +3 +3 2 0x1ed4 0xfef660bc @@ -43606,6 +54508,8 @@ 0 0 0 +2 +5 1 0x694f 0xd4e4d599 @@ -43614,6 +54518,8 @@ 1 0 0 +5 +1 4 0x28f8 0x961016e8 @@ -43622,6 +54528,8 @@ 0 0 0 +4 +2 1 0xd8e3 0x491687ea @@ -43630,6 +54538,8 @@ 0 0 0 +0 +1 4 0x7844 0x3581715a @@ -43638,6 +54548,8 @@ 1 0 0 +5 +1 4 0x6eb8 0xba2252aa @@ -43646,6 +54558,8 @@ 0 0 0 +1 +4 2 0x1db5 0x19aaeca1 @@ -43655,6 +54569,8 @@ 0 0 4 +0 +4 0xb5d8 0xbc2172c1 256 @@ -43662,6 +54578,8 @@ 1 0 0 +3 +2 2 0xc681 0xbb79ef6b @@ -43670,6 +54588,8 @@ 1 1 0 +5 +1 4 0xf88f 0x34e48439 @@ -43678,6 +54598,8 @@ 0 0 0 +5 +1 2 0x7713 0x5b926270 @@ -43686,6 +54608,8 @@ 0 0 0 +1 +1 2 0x9052 0x8d86b414 @@ -43694,6 +54618,8 @@ 1 0 0 +3 +3 2 0xfbd3 0x5960210 @@ -43702,6 +54628,8 @@ 1 1 0 +5 +1 2 0x97fc 0x99a89a53 @@ -43710,6 +54638,8 @@ 0 0 0 +4 +4 3 0x5930 0xc862bd55 @@ -43719,6 +54649,8 @@ 0 0 4 +5 +4 0x4796 0xaa175ffb 256 @@ -43727,6 +54659,8 @@ 0 0 2 +4 +2 0x26fb 0xbf5a6bd9 256 @@ -43734,6 +54668,8 @@ 0 0 0 +5 +5 3 0x80f8 0x3680483 @@ -43742,6 +54678,8 @@ 1 0 0 +0 +0 1 0x25c4 0x35ecf109 @@ -43750,6 +54688,8 @@ 1 1 0 +3 +2 1 0xd856 0x1991cc56 @@ -43759,6 +54699,8 @@ 1 0 1 +2 +1 0x7973 0xee8f96a5 256 @@ -43766,6 +54708,8 @@ 0 0 0 +0 +1 1 0xabf9 0xca43a108 @@ -43774,6 +54718,8 @@ 0 0 0 +4 +5 2 0x7b2f 0x7ebd0848 @@ -43783,6 +54729,8 @@ 0 0 3 +4 +3 0x4c24 0x42a084db 256 @@ -43790,6 +54738,8 @@ 0 0 0 +4 +4 1 0x661f 0x8af8adda @@ -43798,6 +54748,8 @@ 0 0 0 +0 +1 3 0x743b 0x1bd62bfc @@ -43806,6 +54758,8 @@ 0 0 0 +1 +1 3 0xf052 0x3917c706 @@ -43814,6 +54768,8 @@ 1 0 0 +1 +1 3 0x52b1 0x7a0f6a94 @@ -43822,6 +54778,8 @@ 1 0 0 +3 +3 1 0x9a18 0x8ec04a36 @@ -43830,6 +54788,8 @@ 0 0 0 +4 +5 3 0x5664 0xb643da25 @@ -43838,6 +54798,8 @@ 1 0 0 +0 +2 4 0xf854 0x92ee9bf6 @@ -43846,6 +54808,8 @@ 0 0 0 +1 +2 3 0x9c11 0x6d4e7ec3 @@ -43855,6 +54819,8 @@ 0 0 4 +5 +4 0xe1ca 0xa39ff4af 256 @@ -43862,6 +54828,8 @@ 1 1 0 +0 +2 1 0x48e5 0x4c7f4184 @@ -43870,6 +54838,8 @@ 1 1 0 +3 +2 1 0x5a2f 0x9545d19f @@ -43878,6 +54848,8 @@ 1 0 0 +5 +2 1 0xb0ef 0x528cf682 @@ -43886,6 +54858,8 @@ 1 1 0 +3 +5 1 0xd88 0x48a3bae9 @@ -43894,6 +54868,8 @@ 0 0 0 +2 +4 4 0xc4f2 0xc58412c1 @@ -43902,6 +54878,8 @@ 1 0 0 +5 +4 4 0xa68a 0xfaf9bbf5 @@ -43910,6 +54888,8 @@ 1 1 0 +1 +3 3 0xf34c 0x969df762 @@ -43918,6 +54898,8 @@ 1 0 0 +4 +3 3 0x97f 0x60b235d7 @@ -43926,6 +54908,8 @@ 0 0 0 +1 +1 3 0xc055 0x563add0d @@ -43934,6 +54918,8 @@ 0 0 0 +4 +3 3 0x6a5c 0x7a52a336 @@ -43942,6 +54928,8 @@ 1 1 0 +2 +4 1 0x6157 0x85b55ff @@ -43951,6 +54939,8 @@ 0 0 4 +1 +4 0x2cdd 0xae5f613f 256 @@ -43958,6 +54948,8 @@ 1 0 0 +3 +5 1 0xa46f 0xebbd972a @@ -43966,6 +54958,8 @@ 0 0 0 +5 +5 4 0x24f2 0xb4943c8c @@ -43974,6 +54968,8 @@ 1 1 0 +0 +4 2 0x2d47 0x67c3f942 @@ -43982,6 +54978,8 @@ 0 0 0 +3 +2 1 0xab06 0x15694b3e @@ -43990,6 +54988,8 @@ 0 0 0 +5 +2 4 0x65a6 0x363ea4f4 @@ -43998,6 +54998,8 @@ 0 0 0 +1 +4 2 0x5515 0xff470e38 @@ -44006,6 +55008,8 @@ 1 1 0 +0 +4 3 0x27b6 0x643ef94a @@ -44015,6 +55019,8 @@ 0 0 4 +3 +4 0x9960 0x55502dd9 256 @@ -44022,6 +55028,8 @@ 1 0 0 +0 +0 4 0xcd5f 0x2be142a2 @@ -44030,6 +55038,8 @@ 0 0 0 +3 +1 4 0x8a7b 0x2b5842a8 @@ -44039,6 +55049,8 @@ 0 0 2 +0 +2 0xf90b 0xead23ff2 256 @@ -44046,6 +55058,8 @@ 1 1 0 +3 +1 2 0xf439 0x4e357544 @@ -44054,6 +55068,8 @@ 1 1 0 +0 +1 4 0x15f 0x268b01cd @@ -44063,6 +55079,8 @@ 0 0 3 +4 +3 0xd341 0x849649f3 256 @@ -44070,6 +55088,8 @@ 1 1 0 +3 +3 4 0xbea0 0x64170775 @@ -44078,6 +55098,8 @@ 0 0 0 +3 +2 4 0x4a46 0x9a2f766 @@ -44086,6 +55108,8 @@ 0 0 0 +1 +2 4 0x81fb 0xed047f81 @@ -44094,6 +55118,8 @@ 0 0 0 +5 +0 4 0x387f 0x85583bec @@ -44102,6 +55128,8 @@ 1 0 0 +0 +2 2 0x9832 0x6685fc97 @@ -44110,6 +55138,8 @@ 1 0 0 +2 +3 3 0xeab0 0xab94cf17 @@ -44118,6 +55148,8 @@ 1 0 0 +5 +3 1 0xb1b1 0xc7e4d844 @@ -44126,6 +55158,8 @@ 0 0 0 +3 +2 2 0xf36d 0x1ca236bc @@ -44134,6 +55168,8 @@ 1 1 0 +3 +1 4 0xe07b 0x45010e49 @@ -44142,6 +55178,8 @@ 0 0 0 +5 +2 4 0x7006 0x490be07f @@ -44150,6 +55188,8 @@ 1 1 0 +4 +3 3 0x19bf 0xadbe90a8 @@ -44158,6 +55198,8 @@ 1 1 0 +0 +0 3 0xdcd0 0x1218bc21 @@ -44166,6 +55208,8 @@ 1 0 0 +3 +1 4 0x47ce 0xf00d0456 @@ -44174,6 +55218,8 @@ 0 0 0 +5 +0 4 0x73c5 0x11cc76a1 @@ -44182,6 +55228,8 @@ 0 0 0 +4 +1 2 0x7c87 0xcd139788 @@ -44190,6 +55238,8 @@ 0 0 0 +1 +5 2 0xf7b5 0x1bc96bb2 @@ -44199,6 +55249,8 @@ 0 0 1 +4 +1 0xe71f 0xb9557c08 256 @@ -44206,6 +55258,8 @@ 0 0 0 +4 +2 3 0x6450 0x5a68847f @@ -44214,6 +55268,8 @@ 0 0 0 +3 +0 4 0xfd7a 0xb91d5a38 @@ -44222,6 +55278,8 @@ 1 1 0 +2 +0 4 0xca12 0x165aba90 @@ -44231,6 +55289,8 @@ 0 0 4 +0 +4 0x9e78 0x6aefdc9e 256 @@ -44238,6 +55298,8 @@ 1 1 0 +3 +0 4 0x4ce 0x50764649 @@ -44246,6 +55308,8 @@ 0 0 0 +5 +2 4 0x2b8f 0x69bcc46f @@ -44254,6 +55318,8 @@ 1 1 0 +3 +3 4 0x3ca8 0x7cf9d782 @@ -44262,6 +55328,8 @@ 0 0 0 +4 +5 1 0xa399 0x8de2d89d @@ -44270,6 +55338,8 @@ 0 0 0 +5 +3 1 0x5291 0xee8276ae @@ -44278,6 +55348,8 @@ 1 0 0 +3 +5 2 0xd1bc 0x38f5a074 @@ -44286,6 +55358,8 @@ 0 0 0 +1 +3 4 0x4f9a 0x5884f501 @@ -44294,6 +55368,8 @@ 1 1 0 +0 +5 3 0xf6a4 0x1f58fc50 @@ -44302,6 +55378,8 @@ 0 0 0 +3 +0 4 0xdefd 0xf78873c1 @@ -44310,6 +55388,8 @@ 1 0 0 +0 +5 3 0x188c 0x94e2713c @@ -44318,6 +55398,8 @@ 1 1 0 +2 +4 4 0x2e0b 0xab0b24dc @@ -44326,6 +55408,8 @@ 1 1 0 +2 +3 3 0x1855 0xb8cc91f @@ -44334,6 +55418,8 @@ 0 0 0 +5 +5 2 0xec2b 0x4aebddcb @@ -44342,6 +55428,8 @@ 0 0 0 +4 +5 1 0xaf27 0xece8bfc5 @@ -44350,6 +55438,8 @@ 1 0 0 +1 +3 3 0xa2b8 0x2029b4c4 @@ -44358,6 +55448,8 @@ 1 1 0 +1 +1 3 0x9dce 0xcc8626d0 @@ -44366,6 +55458,8 @@ 0 0 0 +5 +0 4 0x90b3 0x901cfe76 @@ -44374,6 +55468,8 @@ 1 1 0 +0 +1 2 0x5db1 0xe28269e7 @@ -44382,6 +55478,8 @@ 1 1 0 +1 +0 4 0xe03e 0xf88ee00e @@ -44390,6 +55488,8 @@ 0 0 0 +0 +3 1 0x3ce9 0x60e92bd2 @@ -44398,6 +55498,8 @@ 0 0 0 +1 +4 2 0x22c5 0x524e5971 @@ -44406,6 +55508,8 @@ 0 0 0 +0 +4 1 0x8911 0x3c4b1efe @@ -44414,6 +55518,8 @@ 1 0 0 +2 +3 4 0x50ac 0x8ad8848 @@ -44422,6 +55528,8 @@ 0 0 0 +5 +4 2 0x618d 0x803230d0 @@ -44430,6 +55538,8 @@ 0 0 0 +3 +0 4 0xc0b2 0xb010b992 @@ -44438,6 +55548,8 @@ 1 1 0 +5 +4 3 0xbac 0xb7d9bb3e @@ -44447,6 +55559,8 @@ 0 0 1 +1 +1 0xa006 0xf2b41610 256 @@ -44454,6 +55568,8 @@ 0 0 0 +5 +3 4 0xc6f 0x76a2292b @@ -44462,6 +55578,8 @@ 0 0 0 +0 +0 2 0x282e 0xd14472ae @@ -44470,6 +55588,8 @@ 0 0 0 +2 +4 4 0x46bf 0x7ba8802d @@ -44478,6 +55598,8 @@ 0 0 0 +5 +5 4 0x524b 0x706da385 @@ -44486,6 +55608,8 @@ 0 0 0 +1 +5 4 0xb3a8 0x6eb05fb7 @@ -44494,6 +55618,8 @@ 1 1 0 +4 +1 3 0xe307 0xbacddf35 @@ -44503,6 +55629,8 @@ 1 0 2 +3 +2 0x182 0xcdd7b533 256 @@ -44510,6 +55638,8 @@ 1 0 0 +3 +0 2 0xc23d 0x7cecde57 @@ -44518,6 +55648,8 @@ 1 1 0 +4 +2 1 0xf25e 0x86060be2 @@ -44526,6 +55658,8 @@ 1 1 0 +5 +5 3 0xe8b1 0x3bfc2434 @@ -44535,6 +55669,8 @@ 0 0 1 +2 +1 0xa4c4 0x65373f43 256 @@ -44542,6 +55678,8 @@ 1 1 0 +4 +4 1 0x72d6 0xb17bdea8 @@ -44550,6 +55688,8 @@ 1 1 0 +2 +5 4 0xa7b4 0x947ba7d1 @@ -44558,6 +55698,8 @@ 1 1 0 +4 +1 2 0xa260 0x18fe0124 @@ -44566,6 +55708,8 @@ 1 1 0 +3 +1 1 0xf089 0x66895259 @@ -44574,6 +55718,8 @@ 0 0 0 +0 +3 1 0x2ed 0x231883e9 @@ -44582,6 +55728,8 @@ 0 0 0 +5 +5 1 0xb7b5 0x9c0916b2 @@ -44590,6 +55738,8 @@ 0 0 0 +2 +0 3 0xe082 0x342d2644 @@ -44598,6 +55748,8 @@ 0 0 0 +3 +0 1 0x8d9c 0x52bd1ade @@ -44606,6 +55758,8 @@ 1 1 0 +2 +2 4 0xb0ae 0x3c7befc @@ -44614,6 +55768,8 @@ 1 1 0 +3 +0 4 0x389 0xb4cddda0 @@ -44622,6 +55778,8 @@ 0 0 0 +3 +0 2 0x53ae 0xa5e16620 @@ -44630,6 +55788,8 @@ 1 1 0 +1 +5 3 0x8f5f 0x8a83e089 @@ -44638,6 +55798,8 @@ 1 1 0 +4 +4 1 0xbe16 0x51211f45 @@ -44646,6 +55808,8 @@ 1 0 0 +0 +2 4 0xc68 0x370eb507 @@ -44654,6 +55818,8 @@ 1 1 0 +0 +2 1 0xc299 0xed53eefc @@ -44662,6 +55828,8 @@ 1 1 0 +3 +3 4 0x7522 0x2f284a52 @@ -44671,6 +55839,8 @@ 0 0 2 +1 +2 0x37f3 0xef78a34a 256 @@ -44678,6 +55848,8 @@ 1 1 0 +4 +5 3 0xb1ad 0xb4fde443 @@ -44686,6 +55858,8 @@ 0 0 0 +3 +3 4 0x360a 0x4471c867 @@ -44694,6 +55868,8 @@ 1 0 0 +4 +1 1 0x280d 0x77b52023 @@ -44702,6 +55878,8 @@ 1 1 0 +2 +2 4 0x816c 0xda883a78 @@ -44710,6 +55888,8 @@ 1 1 0 +2 +0 3 0xfd47 0x884e4621 @@ -44718,6 +55898,8 @@ 0 0 0 +5 +3 3 0x8260 0xc24890a5 @@ -44726,6 +55908,8 @@ 1 1 0 +0 +5 3 0x7846 0xf8aeb086 @@ -44734,6 +55918,8 @@ 0 0 0 +3 +0 1 0x9224 0x21e16a90 @@ -44742,6 +55928,8 @@ 0 0 0 +4 +1 3 0x9e86 0xa627956c @@ -44750,6 +55938,8 @@ 0 0 0 +2 +1 3 0xd3a 0x31abc48d @@ -44758,6 +55948,8 @@ 1 0 0 +1 +1 3 0x5667 0xed197cea @@ -44766,6 +55958,8 @@ 1 1 0 +1 +4 3 0x88a4 0x62d212b9 @@ -44774,6 +55968,8 @@ 1 0 0 +2 +5 4 0x856c 0x457f7dee @@ -44782,6 +55978,8 @@ 1 1 0 +4 +3 1 0xd0fc 0xa994a83e @@ -44790,6 +55988,8 @@ 1 1 0 +3 +3 2 0x4262 0x5a03999d @@ -44799,6 +55999,8 @@ 0 0 4 +4 +4 0x107b 0xe81c4cec 256 @@ -44806,6 +56008,8 @@ 0 0 0 +0 +1 3 0x4f1e 0x709651f2 @@ -44814,6 +56018,8 @@ 0 0 0 +2 +2 3 0xe67f 0xdea2aa84 @@ -44822,6 +56028,8 @@ 0 0 0 +3 +3 1 0xc414 0xc2351803 @@ -44830,6 +56038,8 @@ 0 0 0 +3 +1 4 0x452c 0x7f33a57e @@ -44839,6 +56049,8 @@ 0 0 1 +5 +1 0xd66a 0x80d5da95 256 @@ -44846,6 +56058,8 @@ 1 1 0 +4 +3 1 0x7e78 0xd00da36b @@ -44854,6 +56068,8 @@ 1 1 0 +5 +2 1 0x182e 0x3198e57f @@ -44863,6 +56079,8 @@ 0 0 4 +0 +4 0x28cb 0x8d2c1a35 256 @@ -44870,6 +56088,8 @@ 1 1 0 +5 +0 4 0x3506 0xa5c51c5e @@ -44878,6 +56098,8 @@ 0 0 0 +2 +3 4 0x1543 0xef712b78 @@ -44886,6 +56108,8 @@ 1 1 0 +2 +2 4 0x15df 0x630d4dc6 @@ -44894,6 +56118,8 @@ 0 0 0 +5 +5 3 0xf200 0xd092dd72 @@ -44903,6 +56129,8 @@ 0 0 1 +5 +1 0x937f 0x1c075c73 256 @@ -44910,6 +56138,8 @@ 1 0 0 +5 +1 1 0x5603 0x260661a9 @@ -44918,6 +56148,8 @@ 1 1 0 +0 +2 4 0x6d8f 0xbccb511b @@ -44926,6 +56158,8 @@ 0 0 0 +4 +1 2 0xd45c 0x3f45b649 @@ -44934,6 +56168,8 @@ 1 1 0 +5 +0 2 0x9cd1 0xe5d3f1a4 @@ -44942,6 +56178,8 @@ 0 0 0 +0 +2 1 0x5651 0x4fb29c96 @@ -44950,6 +56188,8 @@ 1 0 0 +3 +4 2 0x1f20 0x4960366c @@ -44958,6 +56198,8 @@ 1 0 0 +2 +4 4 0xcb48 0x24e926ab @@ -44966,6 +56208,8 @@ 0 0 0 +5 +0 2 0x754f 0x674083f5 @@ -44974,6 +56218,8 @@ 0 0 0 +3 +3 4 0x95d2 0xf9d34b64 @@ -44982,6 +56228,8 @@ 1 1 0 +0 +3 2 0x876c 0x5ea48b0c @@ -44990,6 +56238,8 @@ 1 0 0 +5 +0 1 0x57b4 0xebf52698 @@ -44998,6 +56248,8 @@ 1 0 0 +5 +4 4 0x3de5 0xd6ecc468 @@ -45006,6 +56258,8 @@ 1 0 0 +1 +4 3 0x7f5b 0xf293c444 @@ -45014,6 +56268,8 @@ 0 0 0 +0 +3 2 0x8e99 0xf05d9dae @@ -45023,6 +56279,8 @@ 1 0 2 +4 +2 0x69f1 0x16467347 256 @@ -45030,6 +56288,8 @@ 1 1 0 +2 +2 1 0xacff 0xe6ae410a @@ -45038,6 +56298,8 @@ 0 0 0 +4 +4 1 0xe58e 0x32b8fadb @@ -45046,6 +56308,8 @@ 0 0 0 +2 +4 3 0x40be 0x6dc073c3 @@ -45054,6 +56318,8 @@ 1 1 0 +3 +1 2 0xcef5 0x64c999ca @@ -45062,6 +56328,8 @@ 0 0 0 +4 +2 3 0xa28 0xf0cbe24d @@ -45070,6 +56338,8 @@ 1 1 0 +5 +4 4 0x7d3d 0xb788b69b @@ -45078,6 +56348,8 @@ 1 1 0 +4 +5 2 0x2675 0xe6785db1 @@ -45086,6 +56358,8 @@ 1 1 0 +2 +2 4 0x8025 0x3e39df6a @@ -45095,6 +56369,8 @@ 0 0 3 +2 +3 0x33a2 0xca93fb 256 @@ -45102,6 +56378,8 @@ 0 0 0 +2 +4 1 0xba7a 0x827c264 @@ -45110,6 +56388,8 @@ 0 0 0 +0 +2 4 0xc2a3 0x5742e6c3 @@ -45118,6 +56398,8 @@ 1 0 0 +4 +0 2 0x665b 0x5c60193 @@ -45127,6 +56409,8 @@ 1 0 3 +3 +3 0x5c10 0xbf1aeb9 256 @@ -45134,6 +56418,8 @@ 1 1 0 +5 +3 3 0xf606 0xee90b37 @@ -45142,6 +56428,8 @@ 1 1 0 +1 +1 3 0xec01 0xca26fab6 @@ -45150,6 +56438,8 @@ 0 0 0 +0 +1 2 0x4ad5 0x6d5653e7 @@ -45158,6 +56448,8 @@ 0 0 0 +3 +2 4 0x53cb 0x23c7a12d @@ -45166,6 +56458,8 @@ 1 1 0 +5 +3 1 0x48db 0x30135dd9 @@ -45174,6 +56468,8 @@ 0 0 0 +4 +4 1 0x476d 0x253186ba @@ -45182,6 +56478,8 @@ 0 0 0 +0 +4 1 0x9d84 0xb92a3ba1 @@ -45190,6 +56488,8 @@ 0 0 0 +0 +4 3 0xde39 0x317dc6ed @@ -45198,6 +56498,8 @@ 0 0 0 +1 +4 4 0xdef0 0x87f7641b @@ -45206,6 +56508,8 @@ 0 0 0 +3 +3 2 0x1224 0xc0794e88 @@ -45214,6 +56518,8 @@ 0 0 0 +3 +5 4 0x703 0xf23d5a28 @@ -45222,6 +56528,8 @@ 0 0 0 +2 +0 3 0xb20b 0x77fb6766 @@ -45230,6 +56538,8 @@ 1 0 0 +3 +4 4 0x9636 0x83da70b9 @@ -45238,6 +56548,8 @@ 0 0 0 +5 +4 4 0x78f4 0xf76e5e3e @@ -45246,6 +56558,8 @@ 1 1 0 +5 +0 4 0x7f20 0x379e6c42 @@ -45254,6 +56568,8 @@ 0 0 0 +2 +2 4 0xa806 0xb5286a4 @@ -45262,6 +56578,8 @@ 0 0 0 +0 +3 1 0xc7b9 0xda55c4f9 @@ -45270,6 +56588,8 @@ 0 0 0 +2 +4 4 0x9f58 0xc1b049d0 @@ -45279,6 +56599,8 @@ 0 0 2 +0 +2 0xb62 0xe679b4c1 256 @@ -45286,6 +56608,8 @@ 0 0 0 +0 +2 2 0x5376 0xeb6bab01 @@ -45294,6 +56618,8 @@ 1 0 0 +5 +3 4 0x6c8e 0x9bc3bdbe @@ -45302,6 +56628,8 @@ 1 0 0 +4 +0 2 0xb56d 0x37afdde6 @@ -45311,6 +56639,8 @@ 0 0 4 +2 +4 0xa34c 0x9899c8f9 256 @@ -45318,6 +56648,8 @@ 1 1 0 +4 +3 3 0xb8d 0x654b72dc @@ -45326,6 +56658,8 @@ 0 0 0 +3 +4 2 0x6650 0x9761856 @@ -45334,6 +56668,8 @@ 1 1 0 +5 +0 2 0x4d1e 0x83f5286d @@ -45342,6 +56678,8 @@ 1 1 0 +0 +4 3 0x4262 0xcf3ef3c5 @@ -45350,6 +56688,8 @@ 1 1 0 +0 +1 3 0xcf81 0xa278eea @@ -45358,6 +56698,8 @@ 1 0 0 +2 +4 1 0x7890 0x73594a17 @@ -45366,6 +56708,8 @@ 1 1 0 +4 +1 2 0x70b7 0xef900a78 @@ -45374,6 +56718,8 @@ 1 1 0 +4 +0 3 0x5337 0x44720df7 @@ -45382,6 +56728,8 @@ 0 0 0 +1 +4 2 0x4b3e 0xde13d046 @@ -45390,6 +56738,8 @@ 1 1 0 +4 +0 1 0x1c96 0x85e4a951 @@ -45399,6 +56749,8 @@ 0 0 2 +0 +2 0xa0ee 0x4863a7c 256 @@ -45406,6 +56758,8 @@ 0 0 0 +4 +2 3 0x8000 0xff30e8c7 @@ -45414,6 +56768,8 @@ 0 0 0 +5 +0 1 0xdd40 0x2cb1f77a @@ -45422,6 +56778,8 @@ 1 1 0 +1 +3 2 0xbdfb 0x62467cc6 @@ -45430,6 +56788,8 @@ 1 0 0 +5 +5 1 0x6295 0x16b41e77 @@ -45438,6 +56798,8 @@ 0 0 0 +4 +4 1 0x778e 0xbc7cea09 @@ -45446,6 +56808,8 @@ 1 1 0 +5 +0 1 0x1c9d 0x4c38ee7d @@ -45454,6 +56818,8 @@ 1 1 0 +0 +2 1 0xd32 0xac4e7ae5 @@ -45462,6 +56828,8 @@ 1 1 0 +2 +3 1 0x1355 0xd8aa0bf7 @@ -45470,6 +56838,8 @@ 0 0 0 +0 +1 2 0xbbaa 0x6182ad6c @@ -45478,6 +56848,8 @@ 0 0 0 +2 +1 3 0x2d4e 0xe485c9d7 @@ -45486,6 +56858,8 @@ 1 1 0 +5 +5 4 0xcd8d 0xcda40aa4 @@ -45495,6 +56869,8 @@ 1 0 3 +5 +3 0x46ec 0x3af84103 256 @@ -45502,6 +56878,8 @@ 1 0 0 +1 +4 2 0x60ec 0x5144212c @@ -45510,6 +56888,8 @@ 1 1 0 +2 +1 4 0x3500 0xff268e59 @@ -45518,6 +56898,8 @@ 0 0 0 +1 +5 2 0x6d6f 0xfe145c37 @@ -45526,6 +56908,8 @@ 0 0 0 +5 +3 4 0xa5d8 0xb4549c50 @@ -45534,6 +56918,8 @@ 0 0 0 +2 +2 4 0x68a6 0x21c5fbe9 @@ -45543,6 +56929,8 @@ 0 0 4 +1 +4 0x3946 0x8497606c 256 @@ -45550,6 +56938,8 @@ 0 0 0 +0 +4 1 0xc525 0x20a162ed @@ -45558,6 +56948,8 @@ 0 0 0 +0 +2 3 0x76a6 0x8cede5f0 @@ -45566,6 +56958,8 @@ 1 1 0 +0 +5 1 0x7942 0x75dfa8a7 @@ -45574,6 +56968,8 @@ 0 0 0 +0 +5 1 0xc3f2 0xe9f8d005 @@ -45582,6 +56978,8 @@ 0 0 0 +5 +5 1 0xcfa2 0x3f9278e3 @@ -45590,6 +56988,8 @@ 1 1 0 +4 +3 2 0x4ffe 0x11c58477 @@ -45598,6 +56998,8 @@ 0 0 0 +0 +5 1 0x4265 0xa4e2b0d4 @@ -45606,6 +57008,8 @@ 0 0 0 +4 +4 2 0xf923 0xba5f6e63 @@ -45614,6 +57018,8 @@ 0 0 0 +2 +2 1 0xaa34 0xeed33a54 @@ -45622,6 +57028,8 @@ 0 0 0 +1 +3 4 0x8d2 0xac23bde2 @@ -45631,6 +57039,8 @@ 0 0 2 +3 +2 0xfda9 0x984ea3ef 256 @@ -45638,6 +57048,8 @@ 0 0 0 +1 +2 4 0xd65 0x2425f860 @@ -45646,6 +57058,8 @@ 0 0 0 +2 +1 4 0x5bc2 0x4b8c2bed @@ -45655,6 +57069,8 @@ 0 0 2 +1 +2 0x1e4d 0xd4f364fd 256 @@ -45662,6 +57078,8 @@ 0 0 0 +4 +2 1 0xa69f 0x5eda2237 @@ -45670,6 +57088,8 @@ 1 0 0 +4 +4 1 0xdf60 0x255ced7 @@ -45678,6 +57098,8 @@ 1 1 0 +1 +2 4 0xba42 0x607a64b2 @@ -45686,6 +57108,8 @@ 1 0 0 +0 +1 3 0x901c 0xa5b8e420 @@ -45694,6 +57118,8 @@ 0 0 0 +5 +1 2 0xddcf 0xdc3040e1 @@ -45702,6 +57128,8 @@ 0 0 0 +0 +0 2 0x4de7 0x87a66f37 @@ -45710,6 +57138,8 @@ 1 0 0 +5 +3 4 0xc242 0x83d1d50e @@ -45719,6 +57149,8 @@ 0 0 1 +3 +1 0xfd95 0xd1b83998 256 @@ -45726,6 +57158,8 @@ 0 0 0 +5 +0 4 0xd716 0x29d6f6ec @@ -45734,6 +57168,8 @@ 0 0 0 +0 +3 2 0x9119 0x43a09ad @@ -45743,6 +57179,8 @@ 1 0 3 +2 +3 0x4487 0x79ee1dc0 256 @@ -45750,6 +57188,8 @@ 0 0 0 +0 +0 1 0x185a 0x817c2bf3 @@ -45759,6 +57199,8 @@ 1 0 3 +2 +3 0x5135 0xdffc55ce 256 @@ -45766,6 +57208,8 @@ 0 0 0 +1 +3 4 0xfa7 0xad2e0cfd @@ -45774,6 +57218,8 @@ 0 0 0 +2 +4 3 0xfc97 0x1a377265 @@ -45782,6 +57228,8 @@ 0 0 0 +5 +3 3 0xf217 0x1e0d2514 @@ -45790,6 +57238,8 @@ 0 0 0 +0 +4 1 0x7696 0x4cda6252 @@ -45798,6 +57248,8 @@ 1 0 0 +2 +3 3 0x9fa4 0x365d5205 @@ -45806,6 +57258,8 @@ 1 0 0 +1 +1 3 0x60b2 0x72cc005 @@ -45814,6 +57268,8 @@ 1 1 0 +1 +1 2 0x3c40 0x9ca68d3 @@ -45822,6 +57278,8 @@ 1 0 0 +0 +5 2 0x82d1 0xc90b7a3f @@ -45830,6 +57288,8 @@ 1 1 0 +5 +2 4 0x9e33 0xa980bd18 @@ -45838,6 +57298,8 @@ 0 0 0 +0 +3 4 0x34bf 0xd5ecaae8 @@ -45846,6 +57308,8 @@ 1 0 0 +2 +5 1 0x38c6 0x856aa5f @@ -45854,6 +57318,8 @@ 1 0 0 +5 +4 4 0xf699 0xa3b954d2 @@ -45862,6 +57328,8 @@ 1 1 0 +5 +2 2 0x1525 0x78738ff5 @@ -45871,6 +57339,8 @@ 0 0 4 +2 +4 0x51b3 0x3b8280e 256 @@ -45878,6 +57348,8 @@ 1 1 0 +4 +4 2 0x468f 0x50e3a821 @@ -45886,6 +57358,8 @@ 0 0 0 +2 +1 3 0x333c 0x55b13cf0 @@ -45894,6 +57368,8 @@ 0 0 0 +1 +0 4 0xda22 0x266560e6 @@ -45902,6 +57378,8 @@ 0 0 0 +2 +2 4 0xf0d2 0x8e5d360 @@ -45910,6 +57388,8 @@ 1 0 0 +2 +0 3 0x18d 0x4e0d7c83 @@ -45918,6 +57398,8 @@ 1 1 0 +4 +3 1 0x8478 0xb67992ad @@ -45926,6 +57408,8 @@ 0 0 0 +0 +4 3 0xb7dc 0xa68acaa @@ -45935,6 +57419,8 @@ 0 0 3 +1 +3 0x142d 0x98da40fb 256 @@ -45942,6 +57428,8 @@ 0 0 0 +1 +0 4 0xac29 0x26ed5442 @@ -45950,6 +57438,8 @@ 0 0 0 +3 +0 4 0xdf1b 0x91795501 @@ -45958,6 +57448,8 @@ 1 0 0 +4 +2 2 0xb7ad 0x72940ea3 @@ -45966,6 +57458,8 @@ 0 0 0 +1 +0 2 0xd1b1 0xe37370f5 @@ -45974,6 +57468,8 @@ 0 0 0 +4 +0 2 0xb0e7 0xbe7bddd6 @@ -45982,6 +57478,8 @@ 0 0 0 +3 +2 4 0x2b91 0xa5b0ce13 @@ -45990,6 +57488,8 @@ 0 0 0 +5 +4 4 0xfe05 0x908c7599 @@ -45998,6 +57498,8 @@ 1 0 0 +3 +5 4 0xdce2 0x5d42341b @@ -46006,6 +57508,8 @@ 1 0 0 +3 +5 4 0x108c 0x4ab7dba2 @@ -46014,6 +57518,8 @@ 1 1 0 +5 +4 3 0xccd7 0xbf948036 @@ -46022,6 +57528,8 @@ 1 0 0 +1 +2 3 0xc39f 0x24c92169 @@ -46030,6 +57538,8 @@ 0 0 0 +1 +1 2 0xbbe4 0xc718c480 @@ -46038,6 +57548,8 @@ 1 1 0 +4 +2 3 0x8ee 0xbaa29d25 @@ -46046,6 +57558,8 @@ 0 0 0 +2 +0 4 0xaa1b 0xc37f2996 @@ -46054,6 +57568,8 @@ 0 0 0 +1 +4 3 0x6b04 0x9aaff9db @@ -46063,6 +57579,8 @@ 1 0 2 +4 +2 0x5fc2 0xb5881765 256 @@ -46070,6 +57588,8 @@ 0 0 0 +0 +0 3 0x373e 0x59aa98ce @@ -46078,6 +57598,8 @@ 1 1 0 +5 +4 1 0x8ff6 0x5dd9c0ee @@ -46086,6 +57608,8 @@ 1 1 0 +5 +3 3 0x1080 0xd320a70d @@ -46094,6 +57618,8 @@ 1 0 0 +5 +0 4 0xbef3 0xf0253a64 @@ -46102,6 +57628,8 @@ 0 0 0 +5 +0 4 0x50bd 0x67f19c0c @@ -46110,6 +57638,8 @@ 0 0 0 +1 +2 3 0x3a12 0x4055b689 @@ -46118,6 +57648,8 @@ 1 1 0 +5 +2 1 0xc981 0x151b2180 @@ -46126,6 +57658,8 @@ 0 0 0 +1 +4 3 0x56ca 0xf6516862 @@ -46135,6 +57669,8 @@ 0 0 1 +0 +1 0xb833 0xb4583288 256 @@ -46142,6 +57678,8 @@ 1 0 0 +1 +5 3 0x3ff3 0xb2eaddaf @@ -46150,6 +57688,8 @@ 1 0 0 +1 +2 2 0x2b94 0x598a0b37 @@ -46158,6 +57698,8 @@ 0 0 0 +2 +3 1 0x77a1 0x2236c689 @@ -46167,6 +57709,8 @@ 0 0 3 +4 +3 0x364d 0x7582eee4 256 @@ -46174,6 +57718,8 @@ 0 0 0 +5 +3 1 0x6555 0x187d8243 @@ -46182,6 +57728,8 @@ 0 0 0 +3 +4 4 0x7aa3 0x831ae901 @@ -46190,6 +57738,8 @@ 0 0 0 +0 +5 3 0xcd21 0xed38589f @@ -46199,6 +57749,8 @@ 0 0 2 +1 +2 0x3710 0xc0362db7 256 @@ -46206,6 +57758,8 @@ 0 0 0 +0 +4 4 0x5e02 0x8bc6cc92 @@ -46214,6 +57768,8 @@ 0 0 0 +0 +2 2 0xfa34 0x70e59d93 @@ -46222,6 +57778,8 @@ 0 0 0 +0 +1 4 0xd61e 0xe23ea544 @@ -46230,6 +57788,8 @@ 0 0 0 +1 +4 4 0x388f 0xf3a9ad4d @@ -46238,6 +57798,8 @@ 1 0 0 +4 +5 1 0x3337 0xb73a5a8f @@ -46246,6 +57808,8 @@ 1 0 0 +0 +1 1 0xe903 0x16214202 @@ -46254,6 +57818,8 @@ 1 0 0 +5 +3 2 0xaf81 0xc645bcd5 @@ -46262,6 +57828,8 @@ 0 0 0 +3 +2 2 0xdba8 0x971c39a7 @@ -46271,6 +57839,8 @@ 0 0 1 +0 +1 0xb2f8 0x8cddc63b 256 @@ -46278,6 +57848,8 @@ 1 1 0 +5 +2 2 0xed3f 0x70d562b8 @@ -46286,6 +57858,8 @@ 0 0 0 +0 +5 1 0x49df 0x16841f09 @@ -46295,6 +57869,8 @@ 0 0 2 +4 +2 0x2535 0x9ce28f9a 256 @@ -46302,6 +57878,8 @@ 0 0 0 +1 +5 3 0x1945 0x582e0bc7 @@ -46311,6 +57889,8 @@ 0 0 4 +1 +4 0xbb6d 0xeb6e39e6 256 @@ -46318,6 +57898,8 @@ 0 0 0 +0 +4 4 0xec63 0xe21280b @@ -46326,6 +57908,8 @@ 0 0 0 +1 +2 4 0x6a5e 0x9d78c624 @@ -46334,6 +57918,8 @@ 0 0 0 +5 +2 1 0xbb27 0x9bbf357f @@ -46342,6 +57928,8 @@ 0 0 0 +0 +0 2 0x2647 0x95b261bc @@ -46350,6 +57938,8 @@ 0 0 0 +0 +5 2 0x3e25 0xe6aa9ae8 @@ -46358,6 +57948,8 @@ 1 1 0 +1 +4 3 0xac4c 0x7ca7b00 @@ -46366,6 +57958,8 @@ 0 0 0 +2 +0 3 0xdc97 0xef46c1ee @@ -46374,6 +57968,8 @@ 1 0 0 +5 +0 4 0xed4b 0xf651373b @@ -46382,6 +57978,8 @@ 1 0 0 +2 +3 4 0xe7c5 0xa2f790f8 @@ -46390,6 +57988,8 @@ 0 0 0 +5 +4 4 0x9ac8 0xfee08647 @@ -46398,6 +57998,8 @@ 0 0 0 +2 +1 3 0x7919 0xc5931a6c @@ -46406,6 +58008,8 @@ 1 1 0 +0 +2 1 0x6f7b 0x9fb0a078 @@ -46414,6 +58018,8 @@ 0 0 0 +4 +0 1 0xf66a 0x3a7c5799 @@ -46423,6 +58029,8 @@ 1 0 1 +4 +1 0xff4b 0xd7621514 256 @@ -46431,6 +58039,8 @@ 0 0 2 +4 +2 0x80e3 0x826b0b8 256 @@ -46438,6 +58048,8 @@ 1 1 0 +5 +1 2 0x86d8 0xafbbb9de @@ -46446,6 +58058,8 @@ 1 1 0 +5 +4 3 0xe2c8 0x3ef3c2db @@ -46454,6 +58068,8 @@ 0 0 0 +2 +0 3 0xdd48 0x78ec1917 @@ -46462,6 +58078,8 @@ 0 0 0 +3 +1 4 0x405a 0x145f7fde @@ -46470,6 +58088,8 @@ 0 0 0 +3 +2 4 0x72ad 0x5fc9d818 @@ -46478,6 +58098,8 @@ 1 0 0 +0 +1 1 0xa595 0xf11fbe0a @@ -46486,6 +58108,8 @@ 1 1 0 +5 +3 3 0x5fed 0xdcdbd57b @@ -46494,6 +58118,8 @@ 0 0 0 +1 +1 4 0x8508 0x974bfc00 @@ -46503,6 +58129,8 @@ 0 0 3 +5 +3 0xdfc3 0x7a5fd7d6 256 @@ -46510,6 +58138,8 @@ 1 1 0 +4 +5 2 0x540a 0xbbc08c38 @@ -46519,6 +58149,8 @@ 0 0 2 +1 +2 0x8184 0x697892ad 256 @@ -46526,6 +58158,8 @@ 0 0 0 +3 +0 1 0xb1b8 0x3e2b6661 @@ -46534,6 +58168,8 @@ 0 0 0 +4 +2 2 0xd068 0xe9c2b1d8 @@ -46542,6 +58178,8 @@ 0 0 0 +0 +0 2 0x28df 0xbc65ec5f @@ -46551,6 +58189,8 @@ 1 0 1 +4 +1 0xdf78 0x2bf22c2b 256 @@ -46558,6 +58198,8 @@ 0 0 0 +2 +0 1 0xb2de 0x2c5e5bec @@ -46567,6 +58209,8 @@ 1 0 1 +4 +1 0x3b22 0xdad105b9 256 @@ -46574,6 +58218,8 @@ 0 0 0 +4 +2 3 0x5eea 0x885998ef @@ -46582,6 +58228,8 @@ 0 0 0 +5 +3 4 0xca17 0xe52e440e @@ -46590,6 +58238,8 @@ 1 1 0 +0 +0 1 0xac66 0xc6cfa0be @@ -46598,6 +58248,8 @@ 0 0 0 +0 +1 1 0xcd5e 0x94dc32d0 @@ -46606,6 +58258,8 @@ 1 0 0 +5 +0 4 0xf4f4 0xcf314d29 @@ -46614,6 +58268,8 @@ 1 0 0 +2 +3 1 0xf2c8 0xaaf602d4 @@ -46622,6 +58278,8 @@ 0 0 0 +5 +1 1 0xcc64 0xa6bf00f3 @@ -46630,6 +58288,8 @@ 1 1 0 +5 +3 4 0x60e5 0xa2e6189 @@ -46639,6 +58299,8 @@ 0 0 1 +1 +1 0x863c 0x7def36fb 256 @@ -46646,6 +58308,8 @@ 1 0 0 +0 +2 2 0x2d05 0x259d45f9 @@ -46655,6 +58319,8 @@ 0 0 1 +4 +1 0x751f 0xcf296368 256 @@ -46662,6 +58328,8 @@ 0 0 0 +1 +0 3 0x80f2 0xbafaf9b4 @@ -46670,6 +58338,8 @@ 1 1 0 +5 +0 2 0xab8d 0x3af2cc04 @@ -46678,6 +58348,8 @@ 1 1 0 +2 +0 4 0x4420 0xf38de248 @@ -46686,6 +58358,8 @@ 1 1 0 +5 +0 1 0x730a 0x7a86e8d1 @@ -46694,6 +58368,8 @@ 0 0 0 +4 +3 2 0xd4f5 0xb33ff0a @@ -46702,6 +58378,8 @@ 1 1 0 +0 +2 3 0x2ef8 0x928552d @@ -46710,6 +58388,8 @@ 1 0 0 +5 +3 4 0x482f 0x457a3b48 @@ -46718,6 +58398,8 @@ 0 0 0 +2 +3 3 0xe435 0x538177c2 @@ -46726,6 +58408,8 @@ 0 0 0 +1 +2 2 0x3362 0xd99213cb @@ -46734,6 +58418,8 @@ 1 0 0 +0 +5 3 0xb095 0xef46595c @@ -46742,6 +58428,8 @@ 1 1 0 +2 +0 3 0x43a8 0xbcd250f2 @@ -46750,6 +58438,8 @@ 0 0 0 +5 +0 1 0xf238 0x331327d1 @@ -46758,6 +58448,8 @@ 1 0 0 +5 +2 3 0x5ff9 0xa54d477e @@ -46766,6 +58458,8 @@ 0 0 0 +4 +5 2 0x560 0x3ef03d74 @@ -46774,6 +58468,8 @@ 1 1 0 +4 +1 2 0xe5e8 0x8a43839d @@ -46782,6 +58478,8 @@ 0 0 0 +1 +1 2 0x288c 0x7b34372c @@ -46790,6 +58488,8 @@ 1 1 0 +3 +3 2 0x458c 0xb7221f5 @@ -46798,6 +58498,8 @@ 0 0 0 +3 +0 1 0x61fb 0xb4084a85 @@ -46806,6 +58508,8 @@ 1 0 0 +5 +4 2 0x42e7 0xeaeb5f5d @@ -46815,6 +58519,8 @@ 0 0 1 +2 +1 0xdab5 0x77106bb5 256 @@ -46823,6 +58529,8 @@ 0 0 1 +1 +1 0x6a7a 0xe1b52481 256 @@ -46830,6 +58538,8 @@ 1 1 0 +0 +3 4 0x96b5 0x2a1015b5 @@ -46839,6 +58549,8 @@ 0 0 1 +1 +1 0x422c 0x7f0aef1e 256 @@ -46846,6 +58558,8 @@ 0 0 0 +4 +0 2 0x3a2b 0xc6d1dd4e @@ -46854,6 +58568,8 @@ 1 0 0 +2 +1 3 0xf633 0x6cd17d4b @@ -46862,6 +58578,8 @@ 1 0 0 +0 +5 3 0xc9f6 0x334e5a16 @@ -46870,6 +58588,8 @@ 1 1 0 +3 +5 2 0xa6fa 0x98acb8ae @@ -46878,6 +58598,8 @@ 1 0 0 +3 +0 4 0x4876 0xce8b03a3 @@ -46886,6 +58608,8 @@ 1 1 0 +1 +0 4 0xdffc 0x7ea3e72d @@ -46895,6 +58619,8 @@ 0 0 3 +0 +3 0xd8f6 0x36194fd0 256 @@ -46903,6 +58629,8 @@ 0 0 2 +0 +2 0xc3bf 0xdf26874e 256 @@ -46911,6 +58639,8 @@ 0 0 1 +5 +1 0x1e95 0x6ee48bd0 256 @@ -46918,6 +58648,8 @@ 1 0 0 +3 +4 4 0x80c1 0xfe972236 @@ -46926,6 +58658,8 @@ 0 0 0 +3 +2 2 0xcaa4 0x9403bb90 @@ -46934,6 +58668,8 @@ 0 0 0 +0 +1 1 0x6841 0x1df052e @@ -46942,6 +58678,8 @@ 1 0 0 +1 +2 2 0xd483 0x82dd1c9a @@ -46951,6 +58689,8 @@ 0 0 2 +3 +2 0x2de4 0x67f9af2e 256 @@ -46958,6 +58698,8 @@ 1 0 0 +3 +1 1 0xc0e9 0xe159399d @@ -46966,6 +58708,8 @@ 1 0 0 +0 +2 2 0xd7dc 0x692f5e91 @@ -46975,6 +58719,8 @@ 0 0 3 +1 +3 0x96fc 0xba2bfcab 256 @@ -46982,6 +58728,8 @@ 0 0 0 +5 +2 3 0x488e 0xa67e1eab @@ -46990,6 +58738,8 @@ 1 0 0 +2 +1 1 0x1c24 0x4fbe8a73 @@ -46998,6 +58748,8 @@ 1 0 0 +0 +3 4 0x5489 0xcfc6900b @@ -47006,6 +58758,8 @@ 0 0 0 +5 +0 3 0x5853 0x7311bb4f @@ -47014,6 +58768,8 @@ 1 0 0 +0 +3 2 0xc006 0x812c9502 @@ -47022,6 +58778,8 @@ 1 0 0 +5 +3 3 0x8eb1 0x559f2f1c @@ -47030,6 +58788,8 @@ 1 0 0 +4 +3 1 0xe2c6 0x28aec110 @@ -47038,6 +58798,8 @@ 1 1 0 +2 +5 4 0xfb4e 0x95365d5e @@ -47046,6 +58808,8 @@ 0 0 0 +3 +2 2 0xad67 0xe5b8c4e3 @@ -47054,6 +58818,8 @@ 0 0 0 +2 +0 1 0xa6af 0xf029e63e @@ -47062,6 +58828,8 @@ 1 1 0 +5 +1 2 0xe1b6 0x1f6763ff @@ -47070,6 +58838,8 @@ 0 0 0 +2 +5 4 0x382f 0x7ea4ca98 @@ -47078,6 +58848,8 @@ 1 0 0 +3 +2 4 0xc42e 0x72ff2f2b @@ -47086,6 +58858,8 @@ 0 0 0 +5 +3 2 0xfde0 0xe6f0c9a1 @@ -47095,6 +58869,8 @@ 0 0 1 +5 +1 0x1a3f 0x904b4b08 256 @@ -47102,6 +58878,8 @@ 0 0 0 +1 +0 3 0xeb5b 0x7d2fd3a5 @@ -47110,6 +58888,8 @@ 1 0 0 +4 +0 2 0x9b91 0x95d77a78 @@ -47118,6 +58898,8 @@ 1 1 0 +5 +3 2 0xc9f3 0xeb7aa92d @@ -47126,6 +58908,8 @@ 0 0 0 +3 +4 2 0x6e9a 0xb817d8fe @@ -47134,6 +58918,8 @@ 0 0 0 +1 +4 3 0xfe20 0x78fd3599 @@ -47142,6 +58928,8 @@ 0 0 0 +5 +2 3 0xcc8b 0xa526390f @@ -47150,6 +58938,8 @@ 1 0 0 +0 +5 3 0x163d 0x151a4acd @@ -47159,6 +58949,8 @@ 1 0 2 +3 +2 0xc755 0x1ef863c8 256 @@ -47166,6 +58958,8 @@ 0 0 0 +4 +5 3 0x2837 0x8b671a5e @@ -47174,6 +58968,8 @@ 0 0 0 +1 +2 4 0x1df4 0xcfea6d15 @@ -47182,6 +58978,8 @@ 1 0 0 +5 +3 2 0x1d1d 0x8e36b856 @@ -47190,6 +58988,8 @@ 0 0 0 +4 +4 1 0x6a73 0xb26c0126 @@ -47198,6 +58998,8 @@ 0 0 0 +4 +5 3 0x2f3 0x7055a65b @@ -47206,6 +59008,8 @@ 0 0 0 +3 +3 4 0xa313 0x57dd69c9 @@ -47215,6 +59019,8 @@ 0 0 1 +5 +1 0x3b45 0x5bf03c3c 256 @@ -47222,6 +59028,8 @@ 1 1 0 +2 +2 1 0x7efb 0x1b66be9a @@ -47230,6 +59038,8 @@ 0 0 0 +3 +3 2 0x5769 0x30a15379 @@ -47238,6 +59048,8 @@ 1 0 0 +0 +1 4 0x6b05 0xe70a1296 @@ -47246,6 +59058,8 @@ 0 0 0 +0 +1 2 0x2f84 0x261c866d @@ -47255,6 +59069,8 @@ 0 0 3 +3 +3 0x4ccd 0xcbe751d1 256 @@ -47263,6 +59079,8 @@ 0 0 4 +3 +4 0x42d8 0x2eb2d1be 256 @@ -47270,6 +59088,8 @@ 0 0 0 +0 +3 1 0x8496 0xd8e374eb @@ -47278,6 +59098,8 @@ 0 0 0 +3 +1 4 0x2f69 0xfb575a94 @@ -47286,6 +59108,8 @@ 1 1 0 +4 +3 2 0xce12 0x363c4a44 @@ -47294,6 +59118,8 @@ 1 1 0 +5 +3 2 0xe0b8 0x3b79217a @@ -47302,6 +59128,8 @@ 0 0 0 +2 +4 1 0xcd01 0x3bb3eb40 @@ -47310,6 +59138,8 @@ 1 1 0 +0 +4 3 0x799b 0xb9ae9618 @@ -47318,6 +59148,8 @@ 1 0 0 +4 +3 3 0x9262 0x5be536c5 @@ -47326,6 +59158,8 @@ 0 0 0 +0 +4 1 0xdfbb 0x74b21b43 @@ -47334,6 +59168,8 @@ 1 1 0 +2 +3 3 0x7b2 0x282dc851 @@ -47342,6 +59178,8 @@ 0 0 0 +3 +5 4 0x267c 0xb33bc7ea @@ -47350,6 +59188,8 @@ 0 0 0 +3 +4 2 0x8a63 0xe028aab0 @@ -47358,6 +59198,8 @@ 1 1 0 +5 +4 4 0x62f4 0x23732d73 @@ -47367,6 +59209,8 @@ 0 0 2 +3 +2 0x61df 0x7e94c025 256 @@ -47375,6 +59219,8 @@ 0 0 4 +5 +4 0xf433 0x9fb6849f 256 @@ -47382,6 +59228,8 @@ 0 0 0 +1 +0 2 0x4a2c 0x129d7523 @@ -47390,6 +59238,8 @@ 0 0 0 +0 +3 4 0x8fc4 0xc33419dc @@ -47398,6 +59248,8 @@ 1 0 0 +2 +3 3 0xe2a3 0x7cdc01ff @@ -47406,6 +59258,8 @@ 0 0 0 +5 +5 3 0x9296 0x82ba7bde @@ -47415,6 +59269,8 @@ 1 0 3 +1 +3 0x281c 0x62383d14 256 @@ -47422,6 +59278,8 @@ 1 1 0 +3 +5 2 0xae76 0x37f5bd53 @@ -47430,6 +59288,8 @@ 1 0 0 +2 +0 4 0x3fd8 0x6a34fee0 @@ -47439,6 +59299,8 @@ 0 0 4 +0 +4 0xaeaa 0x8c828206 256 @@ -47446,6 +59308,8 @@ 0 0 0 +3 +0 2 0x43b8 0x4b52338a @@ -47454,6 +59318,8 @@ 1 1 0 +2 +3 4 0xe15 0x5bfa9276 @@ -47462,6 +59328,8 @@ 0 0 0 +2 +0 4 0xa68f 0x8bfdbd18 @@ -47470,6 +59338,8 @@ 1 1 0 +3 +3 2 0x1bf5 0x76bf28b5 @@ -47478,6 +59348,8 @@ 0 0 0 +2 +5 4 0xe3c2 0x6ebde5e8 @@ -47486,6 +59358,8 @@ 1 0 0 +1 +2 2 0x8d25 0x4978acc9 @@ -47494,6 +59368,8 @@ 0 0 0 +4 +5 3 0x1b67 0x717f45a0 @@ -47502,6 +59378,8 @@ 0 0 0 +1 +0 4 0xc842 0x1275ece4 @@ -47510,6 +59388,8 @@ 0 0 0 +5 +4 1 0x15eb 0xd4b6e5c8 @@ -47518,6 +59398,8 @@ 0 0 0 +1 +3 4 0x9cec 0x2cc749ad @@ -47527,6 +59409,8 @@ 0 0 3 +1 +3 0x3d72 0x39cbe073 256 @@ -47534,6 +59418,8 @@ 1 1 0 +1 +5 3 0xf9f1 0xe5f91ac9 @@ -47542,6 +59428,8 @@ 0 0 0 +3 +2 1 0x9a90 0xc77e151 @@ -47550,6 +59438,8 @@ 0 0 0 +4 +5 1 0x1b2b 0x104e5556 @@ -47558,6 +59448,8 @@ 0 0 0 +2 +0 3 0xc9f7 0xfe975472 @@ -47566,6 +59458,8 @@ 0 0 0 +5 +1 4 0x173b 0xdf9ebf8f @@ -47575,6 +59469,8 @@ 0 0 4 +4 +4 0x98db 0xa1f05b63 256 @@ -47583,6 +59479,8 @@ 0 0 4 +4 +4 0xaffb 0x89ae6a93 256 @@ -47590,6 +59488,8 @@ 0 0 0 +5 +2 3 0xe0d 0x491f0271 @@ -47598,6 +59498,8 @@ 0 0 0 +4 +0 1 0xe2da 0x8cd3db40 @@ -47606,6 +59508,8 @@ 1 0 0 +4 +3 3 0x7beb 0x23aa5f22 @@ -47615,6 +59519,8 @@ 0 0 2 +0 +2 0x7649 0xf44161aa 256 @@ -47622,6 +59528,8 @@ 1 0 0 +0 +2 3 0x9d17 0x6be39785 @@ -47631,6 +59539,8 @@ 1 0 1 +4 +1 0x145a 0x711d706a 256 @@ -47638,6 +59548,8 @@ 1 0 0 +3 +0 2 0xe8d5 0xa94649b4 @@ -47647,6 +59559,8 @@ 0 0 2 +1 +2 0x94ad 0x87f2ed7 256 @@ -47654,6 +59568,8 @@ 0 0 0 +1 +0 3 0xb74d 0x1054928 @@ -47662,6 +59578,8 @@ 1 1 0 +2 +5 4 0xe726 0x4df3fbc @@ -47671,6 +59589,8 @@ 0 0 3 +3 +3 0xc531 0x91f2a4df 256 @@ -47678,6 +59598,8 @@ 1 0 0 +5 +4 3 0xcd79 0xe59bb61e @@ -47686,6 +59608,8 @@ 1 0 0 +4 +5 2 0x52de 0x1bbc451e @@ -47694,6 +59618,8 @@ 0 0 0 +3 +1 1 0xb431 0xead8e8f7 @@ -47702,6 +59628,8 @@ 1 0 0 +1 +5 3 0x2f92 0x8368e466 @@ -47710,6 +59638,8 @@ 1 1 0 +1 +4 4 0x30d7 0xdfb91717 @@ -47718,6 +59648,8 @@ 1 1 0 +1 +5 2 0x7324 0x9bda8664 @@ -47726,6 +59658,8 @@ 0 0 0 +0 +3 2 0xa92f 0xa3e3e1ca @@ -47734,6 +59668,8 @@ 1 1 0 +4 +5 3 0xb6e7 0xdfeda09d @@ -47742,6 +59678,8 @@ 1 1 0 +5 +2 3 0xb5f 0x46db89aa @@ -47750,6 +59688,8 @@ 0 0 0 +1 +1 2 0x422d 0x8d89e748 @@ -47758,6 +59698,8 @@ 0 0 0 +0 +3 3 0x6892 0x7f15296b @@ -47766,6 +59708,8 @@ 1 0 0 +0 +3 2 0x6346 0xe35dc2fe @@ -47774,6 +59718,8 @@ 1 0 0 +3 +1 2 0x51d9 0x48b0b443 @@ -47782,6 +59728,8 @@ 0 0 0 +1 +2 2 0x4a5f 0x57e351ba @@ -47790,6 +59738,8 @@ 0 0 0 +1 +1 2 0x9053 0x7d88696b @@ -47798,6 +59748,8 @@ 0 0 0 +3 +4 4 0xd0c5 0x85c3219d @@ -47807,6 +59759,8 @@ 0 0 1 +2 +1 0xe812 0xbac7d1a7 256 @@ -47814,6 +59768,8 @@ 0 0 0 +2 +2 3 0x681c 0x87dd7753 @@ -47822,6 +59778,8 @@ 0 0 0 +5 +0 2 0x3fc0 0x24306ed @@ -47830,6 +59788,8 @@ 1 1 0 +5 +1 2 0xfad7 0x846e0fe7 @@ -47839,6 +59799,8 @@ 0 0 3 +0 +3 0x7df7 0x8c2d922f 256 @@ -47846,6 +59808,8 @@ 0 0 0 +3 +4 4 0x7dc5 0x60b25bd0 @@ -47854,6 +59818,8 @@ 0 0 0 +2 +5 3 0xb3c9 0x68403f8d @@ -47862,6 +59828,8 @@ 0 0 0 +2 +2 1 0xe5dc 0xd4d2d596 @@ -47870,6 +59838,8 @@ 1 0 0 +1 +4 2 0xc7c3 0x95dfca6a @@ -47879,6 +59849,8 @@ 0 0 1 +1 +1 0x8253 0x5c827547 256 @@ -47886,6 +59858,8 @@ 1 1 0 +2 +3 1 0x8873 0x599474a5 @@ -47894,6 +59868,8 @@ 1 0 0 +0 +0 2 0xebae 0x1c9daf81 @@ -47902,6 +59878,8 @@ 1 1 0 +3 +1 2 0x71f 0x94e7c15a @@ -47910,6 +59888,8 @@ 1 0 0 +0 +5 1 0x6db7 0x633e93fd @@ -47918,6 +59898,8 @@ 1 0 0 +1 +1 2 0x484c 0x6c66b585 @@ -47926,6 +59908,8 @@ 1 1 0 +4 +0 3 0x7b25 0x4526cf84 @@ -47934,6 +59918,8 @@ 1 0 0 +1 +4 4 0x508d 0x3c91000 @@ -47942,6 +59928,8 @@ 0 0 0 +0 +5 4 0x91dd 0x42e61e63 @@ -47950,6 +59938,8 @@ 1 0 0 +2 +5 1 0x1aa9 0x8fc658af @@ -47958,6 +59948,8 @@ 1 1 0 +2 +4 3 0x469f 0x56d0a32d @@ -47966,6 +59958,8 @@ 1 0 0 +0 +3 4 0xcb0 0x8378a2b1 @@ -47975,6 +59969,8 @@ 0 0 4 +0 +4 0x8399 0x4b133fe5 256 @@ -47982,6 +59978,8 @@ 1 1 0 +3 +3 4 0x95e5 0x21ebb972 @@ -47991,6 +59989,8 @@ 0 0 1 +0 +1 0xa3e7 0x61cf71f7 256 @@ -47998,6 +59998,8 @@ 0 0 0 +0 +3 1 0xb361 0x620d587d @@ -48006,6 +60008,8 @@ 1 0 0 +1 +2 4 0x5a61 0xeff60568 @@ -48014,6 +60018,8 @@ 0 0 0 +5 +4 3 0xe372 0xc0fddf21 @@ -48022,6 +60028,8 @@ 0 0 0 +3 +5 1 0xa11c 0xc355d00b @@ -48030,6 +60038,8 @@ 0 0 0 +1 +2 3 0xe216 0xf8a08d95 @@ -48038,6 +60048,8 @@ 1 0 0 +0 +0 4 0x4dd6 0x5c2651d1 @@ -48046,6 +60058,8 @@ 0 0 0 +5 +4 4 0x83d0 0x505822b5 @@ -48054,6 +60068,8 @@ 1 1 0 +1 +4 2 0xafd5 0x20b1ca70 @@ -48062,6 +60078,8 @@ 0 0 0 +2 +3 1 0x8948 0x1079ed55 @@ -48070,6 +60088,8 @@ 1 0 0 +5 +1 3 0x8df6 0x9ff482eb @@ -48078,6 +60098,8 @@ 0 0 0 +0 +2 2 0xb3e 0xc989b3c2 @@ -48086,6 +60108,8 @@ 1 0 0 +4 +4 1 0x86f7 0x2169cea9 @@ -48094,6 +60118,8 @@ 0 0 0 +3 +0 1 0xc7f2 0xf6ddf05b @@ -48102,6 +60128,8 @@ 0 0 0 +2 +0 3 0x2193 0x91c39d5 @@ -48110,6 +60138,8 @@ 0 0 0 +1 +4 3 0x7831 0xdd5761a6 @@ -48119,6 +60149,8 @@ 0 0 4 +5 +4 0xf443 0xf7ccd79b 256 @@ -48126,6 +60158,8 @@ 0 0 0 +5 +3 2 0xcf68 0xae3f873 @@ -48135,6 +60169,8 @@ 1 0 4 +4 +4 0x545a 0xd419a5e 256 @@ -48143,6 +60179,8 @@ 1 0 4 +4 +4 0xb04f 0xd944822b 256 @@ -48150,6 +60188,8 @@ 1 1 0 +3 +3 2 0x87e4 0x209cf987 @@ -48159,6 +60199,8 @@ 1 0 1 +5 +1 0x9ba2 0x7b1fe481 256 @@ -48166,6 +60208,8 @@ 0 0 0 +4 +1 3 0x7f9e 0x2b6e5124 @@ -48174,6 +60218,8 @@ 0 0 0 +2 +3 4 0x67a2 0x98ed094a @@ -48183,6 +60229,8 @@ 0 0 3 +5 +3 0xad2d 0xca024c9f 256 @@ -48190,6 +60238,8 @@ 0 0 0 +3 +4 1 0x555b 0xee2877a8 @@ -48199,6 +60249,8 @@ 0 0 3 +1 +3 0xcfbf 0x62fc8753 256 @@ -48206,6 +60258,8 @@ 1 1 0 +2 +0 4 0x1d7b 0xc0d64a51 @@ -48214,6 +60268,8 @@ 0 0 0 +1 +2 4 0xa896 0x9e47803f @@ -48222,6 +60278,8 @@ 1 1 0 +1 +5 4 0x32e1 0x56f0b0a @@ -48230,6 +60288,8 @@ 1 1 0 +0 +0 3 0x950a 0x243fc80f @@ -48238,6 +60298,8 @@ 1 0 0 +3 +4 4 0x5665 0x20d14a88 @@ -48246,6 +60308,8 @@ 1 1 0 +0 +4 3 0x83bf 0x92e2cff7 @@ -48254,6 +60318,8 @@ 1 1 0 +5 +4 3 0x6215 0x1724c22c @@ -48263,6 +60329,8 @@ 1 0 3 +4 +3 0x7bce 0xe795e08b 256 @@ -48270,6 +60338,8 @@ 1 0 0 +0 +5 3 0x15fd 0xd6706440 @@ -48278,6 +60348,8 @@ 0 0 0 +0 +2 4 0x5162 0x39e4f077 @@ -48286,6 +60358,8 @@ 1 1 0 +1 +0 4 0xe055 0x4d20cd8f @@ -48294,6 +60368,8 @@ 0 0 0 +1 +3 3 0x8c66 0x610efb19 @@ -48303,6 +60379,8 @@ 0 0 1 +1 +1 0xc3a1 0xf76a1957 256 @@ -48310,6 +60388,8 @@ 0 0 0 +1 +3 2 0xfba9 0xfedbc6f4 @@ -48318,6 +60398,8 @@ 0 0 0 +2 +0 4 0xf7cd 0x3088948d @@ -48326,6 +60408,8 @@ 1 0 0 +1 +1 4 0xddb2 0xcfc9083a @@ -48334,6 +60418,8 @@ 1 0 0 +0 +2 4 0x1461 0x4f736246 @@ -48342,6 +60428,8 @@ 0 0 0 +3 +2 4 0xd470 0xaceb1fe0 @@ -48350,6 +60438,8 @@ 1 1 0 +3 +3 2 0xa5f5 0x4a0181fc @@ -48358,6 +60448,8 @@ 1 0 0 +0 +1 3 0x4814 0x23b1bf3c @@ -48366,6 +60458,8 @@ 1 0 0 +5 +1 4 0x27aa 0x7323f470 @@ -48374,6 +60468,8 @@ 1 1 0 +1 +5 3 0x4b40 0x8a0d4221 @@ -48383,6 +60479,8 @@ 0 0 2 +5 +2 0x79b3 0xfa4c1b47 256 @@ -48390,6 +60488,8 @@ 0 0 0 +5 +0 1 0xfebf 0x29dc674a @@ -48398,6 +60498,8 @@ 0 0 0 +4 +5 1 0xb9fd 0x7abc9659 @@ -48407,6 +60509,8 @@ 0 0 1 +1 +1 0xca7d 0x4376ea21 256 @@ -48414,6 +60518,8 @@ 0 0 0 +3 +2 1 0x21bc 0xc995aa42 @@ -48422,6 +60528,8 @@ 0 0 0 +0 +0 2 0xc147 0x8d61bfff @@ -48430,6 +60538,8 @@ 0 0 0 +2 +2 3 0x91f2 0x2891d41b @@ -48439,6 +60549,8 @@ 0 0 4 +4 +4 0xdecf 0x3162ec61 256 @@ -48446,6 +60558,8 @@ 0 0 0 +0 +3 4 0x493a 0x56d010f9 @@ -48454,6 +60568,8 @@ 0 0 0 +0 +0 1 0xa0d 0x540dd74 @@ -48462,6 +60578,8 @@ 0 0 0 +4 +2 1 0x2e1d 0xd5671335 @@ -48470,6 +60588,8 @@ 0 0 0 +5 +0 1 0x5d8 0x50f505b2 @@ -48479,6 +60599,8 @@ 1 0 4 +0 +4 0x47a3 0x8fb184b 256 @@ -48486,6 +60608,8 @@ 1 1 0 +1 +0 3 0x975 0xa125528f @@ -48495,6 +60619,8 @@ 1 0 3 +3 +3 0x3285 0xd47c89b 256 @@ -48502,6 +60628,8 @@ 1 0 0 +5 +5 2 0x4d5d 0xc043ee64 @@ -48510,6 +60638,8 @@ 0 0 0 +5 +4 1 0xd6b2 0x812cdf58 @@ -48518,6 +60648,8 @@ 0 0 0 +1 +4 2 0x8191 0x7db013ae @@ -48526,6 +60658,8 @@ 1 1 0 +4 +4 2 0x6742 0x7820b33c @@ -48535,6 +60669,8 @@ 0 0 4 +1 +4 0x978e 0xa4ca0983 256 @@ -48542,6 +60678,8 @@ 0 0 0 +2 +1 3 0x465f 0xa9431d8d @@ -48550,6 +60688,8 @@ 0 0 0 +5 +2 3 0x2e31 0xa39b264 @@ -48559,6 +60699,8 @@ 0 0 1 +2 +1 0x4ef9 0x20db2db5 256 @@ -48566,6 +60708,8 @@ 1 0 0 +0 +1 4 0x161f 0x1b16457d @@ -48575,6 +60719,8 @@ 0 0 4 +1 +4 0xca89 0x67ab0e91 256 @@ -48582,6 +60728,8 @@ 0 0 0 +0 +5 4 0xf5eb 0x13cbe00d @@ -48591,6 +60739,8 @@ 0 0 4 +2 +4 0xb00f 0xaba3c084 256 @@ -48598,6 +60748,8 @@ 0 0 0 +1 +5 2 0xfff3 0xa75ecffc @@ -48606,6 +60758,8 @@ 1 1 0 +2 +2 4 0x43bb 0x9743447d @@ -48614,6 +60768,8 @@ 0 0 0 +0 +1 1 0x3979 0xf0efc36 @@ -48622,6 +60778,8 @@ 0 0 0 +1 +2 2 0x7d5b 0xe48592af @@ -48630,6 +60788,8 @@ 1 1 0 +2 +0 1 0x2852 0x499b51f4 @@ -48638,6 +60798,8 @@ 0 0 0 +4 +0 2 0x4e51 0xffce9c9e @@ -48647,6 +60809,8 @@ 0 0 3 +4 +3 0x8a9d 0xc0a3c399 256 @@ -48654,6 +60818,8 @@ 0 0 0 +5 +3 1 0xd1ff 0xc8f0303e @@ -48662,6 +60828,8 @@ 1 1 0 +1 +3 2 0x3d49 0x916c3a59 @@ -48671,6 +60839,8 @@ 1 0 2 +0 +2 0x88e6 0xb6efb679 256 @@ -48679,6 +60849,8 @@ 0 0 3 +5 +3 0xb7cd 0xfb51b2d6 256 @@ -48686,6 +60858,8 @@ 1 1 0 +4 +4 2 0x70b7 0xf4e7e396 @@ -48694,6 +60868,8 @@ 0 0 0 +5 +3 3 0x3fc6 0x44b60021 @@ -48702,6 +60878,8 @@ 0 0 0 +4 +5 3 0x8552 0x47895890 @@ -48711,6 +60889,8 @@ 0 0 1 +0 +1 0xcb6c 0x57c94c06 256 @@ -48718,6 +60898,8 @@ 1 1 0 +3 +3 1 0x73ee 0x9bf98c7c @@ -48726,6 +60908,8 @@ 1 1 0 +2 +5 1 0x6046 0x31ce4b4e @@ -48734,6 +60918,8 @@ 0 0 0 +5 +4 2 0x775a 0x90a1de74 @@ -48742,6 +60928,8 @@ 1 1 0 +5 +3 3 0x4dbd 0x9b29a149 @@ -48750,6 +60938,8 @@ 0 0 0 +0 +2 1 0x8012 0x7a950037 @@ -48759,6 +60949,8 @@ 0 0 1 +2 +1 0xdd00 0x1531aa89 256 @@ -48766,6 +60958,8 @@ 1 1 0 +2 +1 1 0x4233 0x14040c9f @@ -48774,6 +60968,8 @@ 1 0 0 +2 +5 3 0x96c3 0xbaa87723 @@ -48782,6 +60978,8 @@ 1 0 0 +1 +2 4 0x930 0xc6e6571a @@ -48791,6 +60989,8 @@ 0 0 3 +2 +3 0x3bea 0xeab2052a 256 @@ -48799,6 +60999,8 @@ 1 0 1 +1 +1 0x219f 0x2bd62749 256 @@ -48806,6 +61008,8 @@ 1 1 0 +0 +3 4 0xb35 0x796814c4 @@ -48814,6 +61018,8 @@ 0 0 0 +1 +1 4 0x3a56 0xef1b1f10 @@ -48823,6 +61029,8 @@ 0 0 4 +0 +4 0x3b54 0xb3ae699a 256 @@ -48830,6 +61038,8 @@ 0 0 0 +5 +5 1 0xce9b 0x9321eae @@ -48838,6 +61048,8 @@ 1 1 0 +0 +3 1 0x36a4 0x2d5ac7a2 @@ -48846,6 +61058,8 @@ 0 0 0 +3 +0 4 0x1079 0x4ae433e2 @@ -48854,6 +61068,8 @@ 0 0 0 +4 +0 1 0x79ee 0x67187232 @@ -48862,6 +61078,8 @@ 0 0 0 +1 +1 4 0x19d9 0x34a12088 @@ -48870,6 +61088,8 @@ 1 0 0 +1 +3 4 0x9d0e 0x8b00b16e @@ -48879,6 +61099,8 @@ 0 0 3 +5 +3 0xd7cd 0xfa5e2a71 256 @@ -48886,6 +61108,8 @@ 0 0 0 +0 +1 4 0x6ca7 0x374e922b @@ -48894,6 +61118,8 @@ 0 0 0 +2 +5 1 0x9bfa 0x9515b0b1 @@ -48902,6 +61128,8 @@ 1 0 0 +5 +0 1 0x97f9 0xf2e8f6be @@ -48910,6 +61138,8 @@ 0 0 0 +2 +0 1 0x9615 0x721ac3d9 @@ -48918,6 +61148,8 @@ 1 0 0 +3 +0 1 0xa85f 0xfb4a4390 @@ -48927,6 +61159,8 @@ 0 0 3 +2 +3 0xf585 0xb804b3d5 256 @@ -48934,6 +61168,8 @@ 0 0 0 +4 +3 1 0x889f 0x18b27b68 @@ -48942,6 +61178,8 @@ 1 0 0 +3 +4 1 0x45b2 0xae4294dc @@ -48950,6 +61188,8 @@ 0 0 0 +0 +4 3 0x8d2e 0x236d9305 @@ -48958,6 +61198,8 @@ 0 0 0 +1 +5 2 0x42bd 0x605c19fc @@ -48967,6 +61209,8 @@ 1 0 2 +1 +2 0x1600 0x48a77dd5 256 @@ -48974,6 +61218,8 @@ 1 1 0 +5 +2 3 0xcd99 0x2f591a29 @@ -48982,6 +61228,8 @@ 1 1 0 +4 +0 2 0x20d4 0xfdd567d4 @@ -48990,6 +61238,8 @@ 0 0 0 +1 +3 3 0x1249 0xa0aeccce @@ -48998,6 +61248,8 @@ 1 0 0 +2 +0 1 0xe504 0xfde523e8 @@ -49006,6 +61258,8 @@ 0 0 0 +1 +2 3 0x7d13 0x4d19fc77 @@ -49014,6 +61268,8 @@ 1 1 0 +3 +5 4 0xad8f 0x29291060 @@ -49022,6 +61278,8 @@ 1 0 0 +2 +0 1 0x32a1 0x473c6628 @@ -49030,6 +61288,8 @@ 0 0 0 +3 +0 4 0x88f1 0x1b7b2d37 @@ -49038,6 +61298,8 @@ 1 1 0 +1 +3 4 0xd1b 0xd6b1491c @@ -49046,6 +61308,8 @@ 0 0 0 +0 +0 3 0x8525 0x38d40635 @@ -49055,6 +61319,8 @@ 0 0 2 +3 +2 0xc09a 0xf87ee05a 256 @@ -49062,6 +61328,8 @@ 0 0 0 +3 +3 1 0xeef1 0x3f206650 @@ -49070,6 +61338,8 @@ 1 1 0 +1 +0 2 0x9923 0x3daf3b43 @@ -49079,6 +61349,8 @@ 1 0 1 +0 +1 0x6be8 0xbcb43bf5 256 @@ -49087,6 +61359,8 @@ 0 0 2 +0 +2 0x58be 0x905bf48f 256 @@ -49094,6 +61368,8 @@ 1 0 0 +2 +0 3 0xfa18 0x8993b6bb @@ -49102,6 +61378,8 @@ 1 1 0 +0 +5 1 0x6bc8 0x4f8b8332 @@ -49110,6 +61388,8 @@ 1 1 0 +5 +0 1 0x1d02 0x9728b0ce @@ -49118,6 +61398,8 @@ 1 1 0 +5 +3 3 0xb14c 0xe75bd1af @@ -49126,6 +61408,8 @@ 1 0 0 +0 +0 4 0x7506 0x2d40ba97 @@ -49134,6 +61418,8 @@ 1 1 0 +4 +5 3 0x89bc 0x869d3cbe @@ -49142,6 +61428,8 @@ 1 0 0 +1 +2 4 0xbc20 0x7a15a4fe @@ -49151,6 +61439,8 @@ 1 0 2 +2 +2 0x9bc9 0xb5c715da 256 @@ -49159,6 +61449,8 @@ 0 0 1 +4 +1 0x1e2d 0x3e6e41b4 256 @@ -49166,6 +61458,8 @@ 1 1 0 +1 +4 4 0x47fc 0x2b252dfb @@ -49174,6 +61468,8 @@ 1 0 0 +5 +2 2 0x6380 0xea957b50 @@ -49182,6 +61478,8 @@ 0 0 0 +0 +3 1 0xcfdd 0xa9b45acf @@ -49191,6 +61489,8 @@ 0 0 2 +2 +2 0xb83d 0x9aad809f 256 @@ -49199,6 +61499,8 @@ 1 0 1 +4 +1 0xd3b8 0xb6fb0f45 256 @@ -49206,6 +61508,8 @@ 1 0 0 +1 +1 4 0xe099 0xc5892661 @@ -49214,6 +61518,8 @@ 0 0 0 +4 +3 2 0xd86a 0x853dd977 @@ -49222,6 +61528,8 @@ 1 1 0 +0 +1 3 0x8edb 0xd7adfb6a @@ -49230,6 +61538,8 @@ 0 0 0 +5 +4 4 0x94fa 0xf16f74d0 @@ -49238,6 +61548,8 @@ 1 0 0 +3 +1 2 0x705c 0x9a3310da @@ -49246,6 +61558,8 @@ 0 0 0 +0 +3 2 0x8999 0x9b24fba1 @@ -49254,6 +61568,8 @@ 1 1 0 +1 +4 4 0xfd63 0xc7be2320 @@ -49262,6 +61578,8 @@ 1 1 0 +5 +2 1 0x1ca4 0xfb4eb5a @@ -49270,6 +61588,8 @@ 1 1 0 +3 +0 2 0x453e 0x44f2e266 @@ -49278,6 +61598,8 @@ 1 0 0 +3 +4 4 0xd11d 0xff9059ab @@ -49287,6 +61609,8 @@ 0 0 1 +3 +1 0xc941 0xe974283e 256 @@ -49294,6 +61618,8 @@ 1 1 0 +3 +5 1 0xf3a 0x30a8e25e @@ -49302,6 +61628,8 @@ 0 0 0 +2 +0 4 0xafed 0x65d5b006 @@ -49310,6 +61638,8 @@ 0 0 0 +5 +2 3 0xa9ec 0xf92292d6 @@ -49318,6 +61648,8 @@ 1 1 0 +2 +5 4 0xd0cf 0x128bf8ad @@ -49326,6 +61658,8 @@ 0 0 0 +1 +2 2 0x3369 0x8de61d80 @@ -49334,6 +61668,8 @@ 0 0 0 +2 +1 3 0x9611 0xc1d84729 @@ -49342,6 +61678,8 @@ 1 0 0 +2 +3 1 0xd5bc 0x220f86ca @@ -49350,6 +61688,8 @@ 0 0 0 +1 +3 2 0x36ac 0x80b5eb1c @@ -49359,6 +61699,8 @@ 1 0 2 +0 +2 0xe591 0x3219c0f6 256 @@ -49366,6 +61708,8 @@ 0 0 0 +3 +0 4 0xff8b 0x1f97388 @@ -49374,6 +61718,8 @@ 0 0 0 +1 +5 2 0xfedf 0xf8696fcd @@ -49382,6 +61728,8 @@ 1 1 0 +4 +2 2 0x3b74 0x8fd78384 @@ -49390,6 +61738,8 @@ 0 0 0 +1 +2 4 0x5f87 0xd1713e9a @@ -49398,6 +61748,8 @@ 1 0 0 +0 +3 4 0x6625 0x95c769cc @@ -49406,6 +61758,8 @@ 1 0 0 +4 +3 3 0xa099 0xb108f7f9 @@ -49414,6 +61768,8 @@ 1 1 0 +4 +2 1 0x7f3a 0xf41d0c53 @@ -49423,6 +61779,8 @@ 0 0 3 +2 +3 0x2651 0x7d57223f 256 @@ -49430,6 +61788,8 @@ 1 0 0 +1 +5 4 0x9470 0xf9c367f7 @@ -49439,6 +61799,8 @@ 0 0 1 +5 +1 0x6de4 0x3f30cda6 256 @@ -49446,6 +61808,8 @@ 0 0 0 +4 +5 1 0x5c1c 0x938c3d8b @@ -49454,6 +61818,8 @@ 0 0 0 +5 +3 2 0xcac 0xca91d11a @@ -49463,6 +61829,8 @@ 0 0 3 +0 +3 0x5912 0x7bc97928 256 @@ -49470,6 +61838,8 @@ 0 0 0 +5 +2 4 0x29bc 0x569f4bc5 @@ -49478,6 +61848,8 @@ 1 1 0 +0 +5 1 0x98cf 0x8212b392 @@ -49486,6 +61858,8 @@ 0 0 0 +0 +1 4 0xaa17 0x4abd0d5c @@ -49494,6 +61868,8 @@ 0 0 0 +2 +2 3 0xde2 0x1f5bb3cd @@ -49502,6 +61878,8 @@ 0 0 0 +2 +1 4 0x14a 0x7e39f883 @@ -49510,6 +61888,8 @@ 1 1 0 +3 +4 2 0xd4e4 0x9f937129 @@ -49519,6 +61899,8 @@ 0 0 4 +3 +4 0x1dbd 0x27b15cf4 256 @@ -49526,6 +61908,8 @@ 1 0 0 +0 +1 2 0x2daa 0x7adc9656 @@ -49534,6 +61918,8 @@ 0 0 0 +0 +4 1 0x354f 0x190f0b68 @@ -49542,6 +61928,8 @@ 1 0 0 +5 +0 4 0x8ccc 0x2412a01c @@ -49550,6 +61938,8 @@ 0 0 0 +1 +3 4 0x7924 0x542a72b1 @@ -49558,6 +61948,8 @@ 0 0 0 +3 +1 2 0x1881 0xb179f4c3 @@ -49567,6 +61959,8 @@ 1 0 3 +3 +3 0xb5e2 0x23e3cbea 256 @@ -49574,6 +61968,8 @@ 0 0 0 +0 +2 4 0xafc6 0xb04d4e69 @@ -49582,6 +61978,8 @@ 0 0 0 +3 +4 2 0xcc4c 0x9f958f3 @@ -49590,6 +61988,8 @@ 0 0 0 +2 +1 1 0xe941 0x4b51c4a0 @@ -49598,6 +61998,8 @@ 1 1 0 +2 +1 4 0xbe31 0x8076adf7 @@ -49606,6 +62008,8 @@ 1 1 0 +1 +2 2 0x740d 0x584b3a3a @@ -49614,6 +62018,8 @@ 1 0 0 +3 +2 1 0x3cb7 0x9a504fab @@ -49622,6 +62028,8 @@ 0 0 0 +0 +4 3 0x5a45 0xe1117ac4 @@ -49631,6 +62039,8 @@ 0 0 1 +4 +1 0xe47c 0x2359aa60 256 @@ -49638,6 +62048,8 @@ 0 0 0 +5 +4 3 0xfe62 0xd30f7687 @@ -49646,6 +62058,8 @@ 1 1 0 +0 +5 1 0x4652 0x2eb71b04 @@ -49654,6 +62068,8 @@ 0 0 0 +3 +0 2 0x5dec 0xc909e9c8 @@ -49662,6 +62078,8 @@ 0 0 0 +1 +5 2 0xddfa 0x771a00f1 @@ -49670,6 +62088,8 @@ 0 0 0 +2 +5 3 0x6261 0x8731d718 @@ -49678,6 +62098,8 @@ 1 0 0 +5 +1 2 0xf5c2 0x1b4cd78b @@ -49686,6 +62108,8 @@ 0 0 0 +5 +3 4 0x1743 0x4850bef4 @@ -49694,6 +62118,8 @@ 0 0 0 +3 +3 2 0x2712 0x1574f7ac @@ -49702,6 +62128,8 @@ 0 0 0 +1 +4 3 0xbf5d 0x1fcd4842 @@ -49711,6 +62139,8 @@ 0 0 4 +3 +4 0xb74c 0xaa61681d 256 @@ -49718,6 +62148,8 @@ 0 0 0 +0 +2 2 0xc067 0x9029442b @@ -49727,6 +62159,8 @@ 1 0 1 +5 +1 0xb03 0xd72d0fce 256 @@ -49734,6 +62168,8 @@ 0 0 0 +2 +4 3 0xc45c 0xbbdc42da @@ -49743,6 +62179,8 @@ 0 0 2 +0 +2 0xdb82 0x9b2666bd 256 @@ -49750,6 +62188,8 @@ 1 1 0 +2 +5 3 0x6663 0x12373886 @@ -49758,6 +62198,8 @@ 0 0 0 +0 +4 4 0x917c 0xf67d205c @@ -49766,6 +62208,8 @@ 1 1 0 +2 +2 3 0x5ce7 0xca1c5123 @@ -49774,6 +62218,8 @@ 0 0 0 +3 +1 2 0x61ef 0x395b7ceb @@ -49782,6 +62228,8 @@ 1 0 0 +0 +0 4 0xf2f4 0x8e3ea489 @@ -49790,6 +62238,8 @@ 0 0 0 +3 +1 2 0x688 0x8a5fed96 @@ -49798,6 +62248,8 @@ 0 0 0 +3 +3 2 0x56cb 0xd27eb64b @@ -49806,6 +62258,8 @@ 0 0 0 +0 +2 2 0xc36b 0x246dfaa3 @@ -49814,6 +62268,8 @@ 1 1 0 +4 +3 3 0xa5a4 0xfe966108 @@ -49822,6 +62278,8 @@ 0 0 0 +1 +1 3 0xf53 0x4e7bb292 @@ -49831,6 +62289,8 @@ 0 0 1 +5 +1 0x3d5b 0x76ca078c 256 @@ -49838,6 +62298,8 @@ 0 0 0 +4 +5 3 0x1323 0x26dcec8a @@ -49846,6 +62308,8 @@ 0 0 0 +5 +0 3 0xa0e7 0xd40a037f @@ -49854,6 +62318,8 @@ 1 0 0 +5 +1 3 0x4bb6 0x5dcd3cdd @@ -49862,6 +62328,8 @@ 0 0 0 +4 +5 3 0x57b6 0xf2f363b @@ -49870,6 +62338,8 @@ 1 0 0 +0 +4 3 0xfcb0 0x37f360b0 @@ -49878,6 +62348,8 @@ 1 1 0 +1 +5 4 0x7a09 0xfbc2e204 @@ -49886,6 +62358,8 @@ 1 1 0 +4 +5 2 0x5a98 0xc661e230 @@ -49894,6 +62368,8 @@ 0 0 0 +3 +5 1 0xb5e4 0xf53ef326 @@ -49902,6 +62378,8 @@ 0 0 0 +0 +1 3 0xa6a8 0x7c0c3ad3 @@ -49910,6 +62388,8 @@ 0 0 0 +4 +2 1 0x997b 0x4c1fb9a1 @@ -49918,6 +62398,8 @@ 0 0 0 +0 +0 3 0x520e 0x78007f4 @@ -49927,6 +62409,8 @@ 1 0 1 +5 +1 0x4cc 0x54e2c3dc 256 @@ -49934,6 +62418,8 @@ 1 1 0 +5 +2 3 0x5b74 0xd2158001 @@ -49942,6 +62428,8 @@ 0 0 0 +1 +5 4 0xe046 0x49738f00 @@ -49950,6 +62438,8 @@ 1 1 0 +3 +3 4 0xc9b4 0xc6fe4989 @@ -49959,6 +62449,8 @@ 0 0 2 +1 +2 0x62cb 0x8af719dd 256 @@ -49966,6 +62458,8 @@ 1 0 0 +5 +2 4 0x8e0c 0x9b324c5 @@ -49974,6 +62468,8 @@ 0 0 0 +2 +2 4 0x317a 0xa09238a8 @@ -49982,6 +62478,8 @@ 0 0 0 +4 +5 1 0x397b 0x972938a9 @@ -49990,6 +62488,8 @@ 0 0 0 +2 +1 4 0xa9 0x5a0ef463 @@ -49998,6 +62498,8 @@ 0 0 0 +1 +3 2 0x767b 0xbfa0e0e3 @@ -50006,6 +62508,8 @@ 1 0 0 +4 +4 2 0xacde 0x45408b32 @@ -50014,6 +62518,8 @@ 1 0 0 +2 +5 1 0xda5f 0xf34eb49d @@ -50022,6 +62528,8 @@ 0 0 0 +0 +2 1 0xdc3c 0xa539d02d @@ -50030,6 +62538,8 @@ 1 1 0 +4 +3 3 0xea2 0x49e4baef @@ -50038,6 +62548,8 @@ 0 0 0 +0 +0 2 0xd0d6 0x57dd21e4 @@ -50047,6 +62559,8 @@ 1 0 4 +2 +4 0x39e3 0x8ced0cde 256 @@ -50054,6 +62568,8 @@ 0 0 0 +3 +5 2 0x8978 0xad7b509d @@ -50062,6 +62578,8 @@ 1 0 0 +4 +0 1 0x1571 0xf156ae92 @@ -50070,6 +62588,8 @@ 0 0 0 +1 +2 4 0xfca9 0x16f02a56 @@ -50078,6 +62598,8 @@ 0 0 0 +5 +2 3 0x1ed6 0x8111fc4b @@ -50086,6 +62608,8 @@ 1 1 0 +0 +4 2 0xd7df 0x95b241aa @@ -50094,6 +62618,8 @@ 0 0 0 +3 +0 1 0x111c 0x816df677 @@ -50102,6 +62628,8 @@ 0 0 0 +2 +5 3 0x4002 0x12a8075a @@ -50110,6 +62638,8 @@ 1 1 0 +2 +4 3 0x333b 0xdb84161f @@ -50118,6 +62648,8 @@ 1 1 0 +1 +4 3 0xec35 0xa7c94a51 @@ -50126,6 +62658,8 @@ 0 0 0 +5 +0 4 0x8962 0xeed9b5b4 @@ -50134,6 +62668,8 @@ 1 1 0 +5 +4 2 0x88f8 0xc789b94b @@ -50143,6 +62679,8 @@ 1 0 2 +4 +2 0xcae3 0x6cd5664d 256 @@ -50150,6 +62688,8 @@ 1 0 0 +3 +0 4 0x53b7 0xcbf02f5b @@ -50158,6 +62698,8 @@ 1 1 0 +1 +4 3 0xabeb 0x8ac2b666 @@ -50166,6 +62708,8 @@ 0 0 0 +5 +2 1 0x2458 0x4232e2a1 @@ -50174,6 +62718,8 @@ 1 0 0 +5 +3 4 0x3ff6 0xbcab0318 @@ -50182,6 +62728,8 @@ 1 0 0 +4 +4 2 0xa07c 0xea0420a1 @@ -50190,6 +62738,8 @@ 1 0 0 +0 +1 1 0x8243 0x36b1e6a8 @@ -50198,6 +62748,8 @@ 0 0 0 +2 +5 3 0x3e5 0xf6dce465 @@ -50206,6 +62758,8 @@ 1 1 0 +2 +4 1 0x352 0xb77084c4 @@ -50214,6 +62768,8 @@ 1 0 0 +3 +5 2 0x4e97 0xa1030ca6 @@ -50222,6 +62778,8 @@ 1 1 0 +2 +0 1 0x5427 0x37807402 @@ -50230,6 +62788,8 @@ 1 0 0 +1 +2 3 0x5cac 0x704f5c58 @@ -50238,6 +62798,8 @@ 1 1 0 +0 +0 4 0x9545 0x23f3febb @@ -50246,6 +62808,8 @@ 0 0 0 +4 +0 2 0xd0a9 0xeb2ef6b1 @@ -50254,6 +62818,8 @@ 1 0 0 +0 +4 4 0xa717 0x694a0065 @@ -50262,6 +62828,8 @@ 1 1 0 +1 +2 2 0xaec8 0x91b819d0 @@ -50270,6 +62838,8 @@ 0 0 0 +5 +0 2 0x6b32 0x35fdce46 @@ -50278,6 +62848,8 @@ 0 0 0 +2 +5 3 0x9b39 0xd27e8869 @@ -50286,6 +62858,8 @@ 1 1 0 +5 +2 1 0xec50 0xc3faa4b8 @@ -50294,6 +62868,8 @@ 1 1 0 +2 +0 1 0xa638 0xac3db0a5 @@ -50302,6 +62878,8 @@ 1 1 0 +2 +1 3 0x8d43 0xd31d49a7 @@ -50310,6 +62888,8 @@ 1 0 0 +4 +4 2 0x50f5 0xc94fa25b @@ -50318,6 +62898,8 @@ 0 0 0 +4 +2 1 0xb5a8 0x53fa4a47 @@ -50327,6 +62909,8 @@ 1 0 3 +2 +3 0x3ba 0xac74f87a 256 @@ -50334,6 +62918,8 @@ 1 0 0 +5 +5 3 0x7267 0x8e566270 @@ -50343,6 +62929,8 @@ 0 0 4 +4 +4 0xb0a 0x1a363c5 256 @@ -50350,6 +62938,8 @@ 0 0 0 +3 +0 2 0x75ce 0x66b6d3dd @@ -50358,6 +62948,8 @@ 1 1 0 +4 +4 2 0xf8a3 0x9dd3d993 @@ -50366,6 +62958,8 @@ 0 0 0 +2 +5 3 0xadfd 0xfb48b4a @@ -50374,6 +62968,8 @@ 1 1 0 +3 +1 1 0x5d07 0x1af2faa7 @@ -50382,6 +62978,8 @@ 1 1 0 +4 +2 1 0x5379 0xef61e892 @@ -50390,6 +62988,8 @@ 0 0 0 +0 +4 2 0x2ec2 0x36e4fd04 @@ -50399,6 +62999,8 @@ 0 0 1 +2 +1 0xf141 0x669dc3e8 256 @@ -50406,6 +63008,8 @@ 1 1 0 +0 +2 3 0x7e6 0x62cb96db @@ -50415,6 +63019,8 @@ 0 0 3 +5 +3 0x80a 0x7f48585c 256 @@ -50422,6 +63028,8 @@ 0 0 0 +4 +4 3 0x3868 0xbc64ff7e @@ -50430,6 +63038,8 @@ 1 0 0 +2 +0 3 0x4521 0xc6277e1c @@ -50438,6 +63048,8 @@ 1 1 0 +5 +4 1 0x1dee 0x60ff1bf0 @@ -50447,6 +63059,8 @@ 0 0 1 +0 +1 0xbbdd 0x49e46700 256 @@ -50454,6 +63068,8 @@ 1 0 0 +0 +0 2 0xfe94 0x20b41e15 @@ -50462,6 +63078,8 @@ 0 0 0 +3 +2 2 0xecf 0xcf12c54a @@ -50470,6 +63088,8 @@ 0 0 0 +0 +3 2 0xc241 0x29a8799 @@ -50478,6 +63098,8 @@ 0 0 0 +1 +2 3 0xe3c8 0x47a84ef8 @@ -50486,6 +63108,8 @@ 1 1 0 +3 +1 2 0x18be 0x81d0bd19 @@ -50495,6 +63119,8 @@ 0 0 4 +5 +4 0xa5b0 0xa141d9b3 256 @@ -50503,6 +63129,8 @@ 0 0 1 +3 +1 0x9ea1 0x167bb9ef 256 @@ -50510,6 +63138,8 @@ 1 1 0 +0 +5 3 0xd33b 0xb708f53f @@ -50518,6 +63148,8 @@ 0 0 0 +3 +1 2 0xc870 0xae0cc0bc @@ -50526,6 +63158,8 @@ 0 0 0 +5 +3 1 0x471 0xbfdcb664 @@ -50534,6 +63168,8 @@ 1 1 0 +5 +5 3 0xff80 0xb8f1060e @@ -50542,6 +63178,8 @@ 1 0 0 +4 +1 3 0x6703 0xcfd75f5a @@ -50550,6 +63188,8 @@ 1 0 0 +5 +4 3 0x4fd7 0x119596a7 @@ -50558,6 +63198,8 @@ 0 0 0 +0 +4 1 0x11ac 0x7a8039c9 @@ -50566,6 +63208,8 @@ 0 0 0 +5 +2 1 0xa14c 0xae26549a @@ -50575,6 +63219,8 @@ 1 0 4 +4 +4 0x7634 0x61e4a51 256 @@ -50583,6 +63229,8 @@ 1 0 2 +5 +2 0x795d 0x84889fcd 256 @@ -50590,6 +63238,8 @@ 0 0 0 +0 +2 4 0x1ebd 0xfdea4bc4 @@ -50598,6 +63248,8 @@ 0 0 0 +0 +4 2 0xd164 0x6030a454 @@ -50606,6 +63258,8 @@ 1 1 0 +0 +2 3 0xea5b 0xe7adc41d @@ -50614,6 +63268,8 @@ 0 0 0 +5 +5 3 0xf2a6 0x35a82778 @@ -50622,6 +63278,8 @@ 1 1 0 +2 +0 4 0x96a0 0xa9658d5b @@ -50630,6 +63288,8 @@ 0 0 0 +0 +4 3 0x2f71 0x48227da5 @@ -50638,6 +63298,8 @@ 0 0 0 +4 +0 3 0x4e15 0x4fd69c02 @@ -50646,6 +63308,8 @@ 1 0 0 +5 +1 2 0x1c7d 0xb2c6ad54 @@ -50654,6 +63318,8 @@ 0 0 0 +5 +1 2 0x2522 0x788d8eaf @@ -50662,6 +63328,8 @@ 1 1 0 +4 +3 2 0x27d1 0x6610bca0 @@ -50670,6 +63338,8 @@ 1 0 0 +5 +4 2 0x4bdb 0x16ba9d21 @@ -50678,6 +63348,8 @@ 0 0 0 +5 +5 3 0x19c 0xdc00b8cc @@ -50686,6 +63358,8 @@ 0 0 0 +2 +2 3 0x9227 0x3ece47af @@ -50694,6 +63368,8 @@ 0 0 0 +0 +4 2 0x8399 0x5571fe26 @@ -50702,6 +63378,8 @@ 0 0 0 +4 +0 1 0xfe92 0x3d0c6195 @@ -50711,6 +63389,8 @@ 0 0 2 +3 +2 0xe6c5 0x330be146 256 @@ -50719,6 +63399,8 @@ 0 0 4 +0 +4 0xb239 0xd10540cb 256 @@ -50727,6 +63409,8 @@ 0 0 4 +1 +4 0x905d 0x262441a9 256 @@ -50734,6 +63418,8 @@ 0 0 0 +0 +2 2 0x2091 0x8b08a9ad @@ -50742,6 +63428,8 @@ 1 0 0 +2 +4 4 0x18df 0xa7dab592 @@ -50750,6 +63438,8 @@ 0 0 0 +1 +3 4 0x75ee 0xb3a26718 @@ -50758,6 +63448,8 @@ 1 0 0 +0 +5 2 0x7e2c 0x2aa07ea1 @@ -50766,6 +63458,8 @@ 1 0 0 +2 +4 3 0xdc4a 0xb321272d @@ -50775,6 +63469,8 @@ 0 0 3 +4 +3 0x3645 0xcc051815 256 @@ -50782,6 +63478,8 @@ 0 0 0 +2 +5 4 0x71cf 0xa5eb7e29 @@ -50790,6 +63488,8 @@ 1 0 0 +1 +0 4 0x1121 0x3246bdd5 @@ -50798,6 +63498,8 @@ 1 0 0 +2 +4 1 0xeb07 0x5b2615d6 @@ -50806,6 +63508,8 @@ 0 0 0 +5 +2 4 0x777e 0x3ed33128 @@ -50814,6 +63518,8 @@ 0 0 0 +3 +0 4 0x934e 0xf2b4c6b1 @@ -50822,6 +63528,8 @@ 0 0 0 +4 +2 2 0x3980 0x1f2d2c0b @@ -50830,6 +63538,8 @@ 1 0 0 +1 +5 4 0xa3e0 0x360dc678 @@ -50838,6 +63548,8 @@ 1 0 0 +4 +0 2 0x136d 0x658d2a58 @@ -50847,6 +63559,8 @@ 0 0 3 +0 +3 0x25e1 0xb70c52e1 256 @@ -50855,6 +63569,8 @@ 0 0 4 +5 +4 0x8a08 0x9e428b19 256 @@ -50862,6 +63578,8 @@ 0 0 0 +0 +5 1 0xaa9c 0x416d29ad @@ -50871,6 +63589,8 @@ 0 0 4 +5 +4 0x296f 0xf15af1fb 256 @@ -50879,6 +63599,8 @@ 0 0 1 +5 +1 0x7511 0xb8c9da3 256 @@ -50886,6 +63608,8 @@ 1 0 0 +4 +5 3 0x956 0x7d95a11 @@ -50894,6 +63618,8 @@ 0 0 0 +3 +4 1 0xbf61 0x69a3a3d0 @@ -50902,6 +63628,8 @@ 0 0 0 +5 +0 1 0xbf48 0x30c6b212 @@ -50910,6 +63638,8 @@ 1 1 0 +5 +5 2 0x9dec 0x73d4e3b2 @@ -50918,6 +63648,8 @@ 1 1 0 +4 +1 1 0xbe9f 0x85aa3bc9 @@ -50926,6 +63658,8 @@ 0 0 0 +1 +2 4 0xf981 0x10d6c4ff @@ -50934,6 +63668,8 @@ 0 0 0 +3 +4 2 0x9a7d 0x7a4523f7 @@ -50942,6 +63678,8 @@ 0 0 0 +1 +3 3 0x6655 0x54e24a7f @@ -50950,6 +63688,8 @@ 0 0 0 +4 +2 2 0xf6cc 0x98a8e2ca @@ -50958,6 +63698,8 @@ 0 0 0 +1 +2 4 0xf2a1 0xdb332799 @@ -50966,6 +63708,8 @@ 1 1 0 +2 +3 4 0x3ec4 0xeb684502 @@ -50974,6 +63718,8 @@ 0 0 0 +2 +0 3 0xe615 0x8706b3dd @@ -50982,6 +63728,8 @@ 1 0 0 +0 +4 2 0x47fd 0xaf8522da @@ -50990,6 +63738,8 @@ 1 1 0 +4 +5 2 0x604f 0xacdfed76 @@ -50998,6 +63748,8 @@ 1 0 0 +2 +5 3 0xa0b5 0x6a21e04a @@ -51006,6 +63758,8 @@ 0 0 0 +1 +2 4 0x12ae 0x3bbcd647 @@ -51014,6 +63768,8 @@ 0 0 0 +1 +5 3 0x5e44 0x924e3e89 @@ -51022,6 +63778,8 @@ 0 0 0 +3 +5 4 0x918a 0x58882c0d @@ -51030,6 +63788,8 @@ 1 1 0 +5 +0 1 0xcb16 0x5a86e236 @@ -51038,6 +63798,8 @@ 0 0 0 +0 +1 4 0x58dd 0x3807ffb6 @@ -51046,6 +63808,8 @@ 0 0 0 +4 +2 2 0x55e1 0x97d58a86 @@ -51054,6 +63818,8 @@ 1 1 0 +1 +3 2 0x3f17 0x678d5d75 @@ -51062,6 +63828,8 @@ 1 0 0 +0 +3 2 0xe99c 0x50978b7a @@ -51070,6 +63838,8 @@ 0 0 0 +2 +2 3 0xc27 0xaf9e1e36 @@ -51078,6 +63848,8 @@ 0 0 0 +3 +3 1 0x2920 0x870eaa0f @@ -51086,6 +63858,8 @@ 0 0 0 +2 +4 1 0xa74c 0xd4d87fc5 @@ -51094,6 +63868,8 @@ 0 0 0 +2 +2 3 0xdc6b 0xcb3a859a @@ -51102,6 +63878,8 @@ 1 1 0 +2 +2 1 0x8da7 0x6c67739f @@ -51110,6 +63888,8 @@ 1 1 0 +1 +2 2 0x99e8 0xc9b42e86 @@ -51118,6 +63898,8 @@ 1 1 0 +4 +5 3 0xa053 0x21f15a7a @@ -51126,6 +63908,8 @@ 0 0 0 +3 +2 4 0x6b24 0xf439983 @@ -51134,6 +63918,8 @@ 1 0 0 +0 +3 2 0xb844 0x3df6dfa3 @@ -51142,6 +63928,8 @@ 1 0 0 +3 +1 1 0x51f6 0x39b5dc60 @@ -51150,6 +63938,8 @@ 1 1 0 +1 +5 2 0x9987 0x6a5f2f43 @@ -51159,6 +63949,8 @@ 0 0 4 +4 +4 0xb76 0xb6c6828b 256 @@ -51167,6 +63959,8 @@ 0 0 3 +1 +3 0xf51b 0x953c7ffe 256 @@ -51174,6 +63968,8 @@ 0 0 0 +5 +5 4 0xffd3 0xcd425fd4 @@ -51182,6 +63978,8 @@ 0 0 0 +4 +1 1 0xfc62 0x51e9275a @@ -51190,6 +63988,8 @@ 0 0 0 +3 +5 1 0x3b3c 0x32e0ab98 @@ -51199,6 +63999,8 @@ 0 0 2 +4 +2 0xed3e 0x3cf7bc47 256 @@ -51206,6 +64008,8 @@ 1 0 0 +2 +2 3 0x3e2d 0x56fbb9f2 @@ -51215,6 +64019,8 @@ 1 0 1 +4 +1 0xeda4 0xe155e69e 256 @@ -51222,6 +64028,8 @@ 0 0 0 +1 +0 3 0x1be2 0x25369dd0 @@ -51230,6 +64038,8 @@ 0 0 0 +4 +2 1 0xb93a 0xfadba4db @@ -51238,6 +64048,8 @@ 1 1 0 +4 +0 2 0x839d 0x184bd92a @@ -51247,6 +64059,8 @@ 0 0 4 +3 +4 0x1697 0xd60eee3c 256 @@ -51254,6 +64068,8 @@ 0 0 0 +4 +2 1 0x3d5a 0xce6ef05d @@ -51262,6 +64078,8 @@ 1 1 0 +4 +0 1 0x5816 0x31a5745c @@ -51271,6 +64089,8 @@ 1 0 4 +4 +4 0xedfd 0x3eb7bef 256 @@ -51279,6 +64099,8 @@ 0 0 3 +4 +3 0x1e57 0x563ff7a 256 @@ -51287,6 +64109,8 @@ 0 0 2 +5 +2 0x40c9 0xc41bda76 256 @@ -51294,6 +64118,8 @@ 0 0 0 +4 +3 3 0x2f1d 0x6b2b0b52 @@ -51302,6 +64128,8 @@ 0 0 0 +1 +1 2 0x70dd 0x28bfceff @@ -51311,6 +64139,8 @@ 0 0 4 +0 +4 0x6c5f 0x1c4d888d 256 @@ -51318,6 +64148,8 @@ 1 1 0 +5 +3 1 0xa406 0x93807548 @@ -51327,6 +64159,8 @@ 0 0 2 +4 +2 0xb209 0x6b31297a 256 @@ -51334,6 +64168,8 @@ 0 0 0 +5 +3 4 0x3fde 0x517ad41f @@ -51342,6 +64178,8 @@ 1 0 0 +1 +1 4 0x506d 0x4b4e2a31 @@ -51350,6 +64188,8 @@ 1 1 0 +2 +4 4 0x884c 0xe6c982 @@ -51358,6 +64198,8 @@ 0 0 0 +5 +3 2 0xbd60 0xac001b1e @@ -51366,6 +64208,8 @@ 0 0 0 +0 +5 4 0xfa62 0xecd95d8e @@ -51374,6 +64218,8 @@ 1 1 0 +2 +2 4 0xc484 0x19d27ebb @@ -51383,6 +64229,8 @@ 0 0 4 +1 +4 0x37cd 0xd6f123ba 256 @@ -51390,6 +64238,8 @@ 0 0 0 +0 +0 1 0xc644 0xa8195210 @@ -51398,6 +64248,8 @@ 0 0 0 +3 +3 1 0x6df5 0xcdedfb0a @@ -51406,6 +64258,8 @@ 0 0 0 +1 +1 3 0x2a4a 0x3121ba78 @@ -51414,6 +64268,8 @@ 0 0 0 +0 +3 3 0xa8d6 0xedf5a6d @@ -51423,6 +64279,8 @@ 0 0 1 +5 +1 0xaa93 0x45456c26 256 @@ -51430,6 +64288,8 @@ 0 0 0 +0 +1 2 0x1b56 0xdb483675 @@ -51438,6 +64298,8 @@ 1 0 0 +4 +4 2 0xb272 0x44e8a89e @@ -51446,6 +64308,8 @@ 0 0 0 +0 +4 1 0x9e95 0x83e1b7e6 @@ -51455,6 +64319,8 @@ 1 0 4 +2 +4 0xa84b 0xece3e61f 256 @@ -51462,6 +64328,8 @@ 0 0 0 +4 +4 2 0x4ea2 0x9c2aa7cb @@ -51470,6 +64338,8 @@ 1 1 0 +2 +1 1 0x8134 0xa81c98ab @@ -51478,6 +64348,8 @@ 0 0 0 +3 +2 1 0x2248 0xb7b8640b @@ -51487,6 +64359,8 @@ 0 0 2 +1 +2 0xc0f7 0x8d555647 256 @@ -51494,6 +64368,8 @@ 1 0 0 +4 +4 1 0x75f4 0xde7fbbe1 @@ -51502,6 +64378,8 @@ 0 0 0 +2 +2 3 0xc382 0x7ca23a48 @@ -51510,6 +64388,8 @@ 0 0 0 +3 +0 1 0x99bf 0xa8738efb @@ -51518,6 +64398,8 @@ 0 0 0 +5 +3 2 0x3b43 0x961bcd8c @@ -51526,6 +64408,8 @@ 1 0 0 +5 +5 3 0x427e 0x3465b6ad @@ -51535,6 +64419,8 @@ 1 0 3 +3 +3 0x5d98 0xc9f9d09a 256 @@ -51542,6 +64428,8 @@ 1 1 0 +0 +4 1 0xe868 0x2d47cdd0 @@ -51551,6 +64439,8 @@ 0 0 1 +3 +1 0x6f9a 0x1b6f1ce8 256 @@ -51559,6 +64449,8 @@ 1 0 4 +1 +4 0xed97 0x2daef124 256 @@ -51567,6 +64459,8 @@ 0 0 4 +4 +4 0xcd7 0x3905d4f3 256 @@ -51574,6 +64468,8 @@ 0 0 0 +5 +0 4 0x8118 0x97bef8b8 @@ -51582,6 +64478,8 @@ 1 1 0 +5 +1 1 0x60a2 0xefa6fc7f @@ -51590,6 +64488,8 @@ 0 0 0 +1 +0 2 0x89f4 0xc0945749 @@ -51599,13 +64499,17 @@ 0 0 4 -0x1432 +1 +4 +0x1432 0x23d53ef7 256 256 0 0 0 +1 +4 4 0x974 0x1e06aaff @@ -51614,6 +64518,8 @@ 0 0 0 +4 +4 2 0x81d8 0xdd4e0201 @@ -51623,6 +64529,8 @@ 0 0 4 +2 +4 0x9971 0x42ce504 256 @@ -51630,6 +64538,8 @@ 0 0 0 +0 +4 1 0xf91 0x80adcd4b @@ -51638,6 +64548,8 @@ 0 0 0 +0 +1 3 0xdab2 0xae94aa6c @@ -51647,6 +64559,8 @@ 0 0 1 +4 +1 0x3cad 0xcd86c3c6 256 @@ -51654,6 +64568,8 @@ 0 0 0 +1 +0 3 0xeb6a 0x95349468 @@ -51662,6 +64578,8 @@ 1 0 0 +0 +3 1 0xea27 0xf8b7c74e @@ -51671,6 +64589,8 @@ 0 0 3 +1 +3 0x29d9 0xdedbb9f4 256 @@ -51679,6 +64599,8 @@ 1 0 4 +1 +4 0xb79 0x5fd31982 256 @@ -51686,6 +64608,8 @@ 0 0 0 +1 +3 3 0x2385 0x19bfe21a @@ -51694,6 +64618,8 @@ 0 0 0 +1 +0 3 0x9efc 0x8a1e7799 @@ -51702,6 +64628,8 @@ 1 1 0 +3 +2 2 0x858f 0xf352d764 @@ -51710,6 +64638,8 @@ 1 0 0 +5 +5 2 0xdf47 0xbae0f602 @@ -51718,6 +64648,8 @@ 1 0 0 +3 +4 1 0x62f7 0x3d85850c @@ -51727,6 +64659,8 @@ 0 0 4 +2 +4 0x4cf8 0x5c642e3 256 @@ -51734,6 +64668,8 @@ 0 0 0 +0 +4 3 0x6d57 0xc6daa26f @@ -51742,6 +64678,8 @@ 0 0 0 +0 +2 4 0x9255 0x5fb43e82 @@ -51750,6 +64688,8 @@ 1 1 0 +5 +3 1 0x3cb6 0x4fd2af3 @@ -51758,6 +64698,8 @@ 0 0 0 +3 +5 2 0x553c 0x4e759ed8 @@ -51766,6 +64708,8 @@ 0 0 0 +3 +2 2 0x4a33 0x2111794b @@ -51774,6 +64718,8 @@ 1 0 0 +2 +3 4 0x5b3e 0xe58b9cce @@ -51782,6 +64728,8 @@ 1 0 0 +5 +0 2 0xed46 0xbb80547a @@ -51790,6 +64738,8 @@ 0 0 0 +3 +0 2 0x240c 0x41008e4c @@ -51798,6 +64748,8 @@ 0 0 0 +2 +1 3 0x8ac5 0x12daedce @@ -51807,6 +64759,8 @@ 0 0 4 +5 +4 0x113d 0x625474f8 256 @@ -51814,6 +64768,8 @@ 1 0 0 +2 +0 4 0xc42d 0x296fa2e5 @@ -51822,6 +64778,8 @@ 0 0 0 +5 +4 4 0x18d8 0xbd31fd69 @@ -51831,6 +64789,8 @@ 0 0 2 +0 +2 0x6018 0x89244444 256 @@ -51838,6 +64798,8 @@ 0 0 0 +2 +1 4 0xbe91 0x4c9f19ef @@ -51846,6 +64808,8 @@ 1 1 0 +0 +4 1 0x2075 0xedd77043 @@ -51854,6 +64818,8 @@ 0 0 0 +1 +4 2 0xed74 0x79a26553 @@ -51863,6 +64829,8 @@ 1 0 4 +3 +4 0x3e93 0x5ca79276 256 @@ -51870,6 +64838,8 @@ 0 0 0 +0 +3 4 0x2991 0xdea39297 @@ -51878,6 +64848,8 @@ 1 1 0 +4 +2 3 0x9deb 0x87de0b1b @@ -51886,6 +64858,8 @@ 0 0 0 +4 +5 1 0x536 0x9e81f2d6 @@ -51894,6 +64868,8 @@ 0 0 0 +5 +4 4 0x683b 0x376492a5 @@ -51903,6 +64879,8 @@ 0 0 4 +3 +4 0x43db 0x4ca3752c 256 @@ -51910,6 +64888,8 @@ 1 1 0 +3 +2 4 0xef9c 0x4d1b0340 @@ -51918,6 +64898,8 @@ 1 0 0 +1 +1 2 0xe7a6 0xa3d87a40 @@ -51926,6 +64908,8 @@ 1 0 0 +4 +1 1 0xdd87 0x8473283b @@ -51934,6 +64918,8 @@ 0 0 0 +3 +1 4 0x475 0x30fb2013 @@ -51943,6 +64929,8 @@ 0 0 2 +1 +2 0xe8ed 0x3450fb0e 256 @@ -51950,6 +64938,8 @@ 0 0 0 +3 +4 4 0x9dc8 0x963b771f @@ -51958,6 +64948,8 @@ 0 0 0 +4 +2 1 0xe20f 0xf63ebde6 @@ -51966,6 +64958,8 @@ 1 0 0 +1 +3 4 0xe969 0xf8acdcaf @@ -51974,6 +64968,8 @@ 0 0 0 +0 +5 1 0xd64e 0xc83233b1 @@ -51982,6 +64978,8 @@ 0 0 0 +3 +3 4 0x62d 0xd36d6f4d @@ -51990,6 +64988,8 @@ 1 0 0 +3 +3 1 0x1711 0xa050b6ad @@ -51998,6 +64998,8 @@ 0 0 0 +0 +1 1 0x224c 0x59fa85a7 @@ -52006,6 +65008,8 @@ 0 0 0 +4 +1 3 0xbfcc 0x78e83dcf @@ -52015,6 +65019,8 @@ 1 0 4 +4 +4 0x3d10 0xc0517eb4 256 @@ -52022,6 +65028,8 @@ 0 0 0 +2 +0 1 0x7c20 0xe301af1f @@ -52030,6 +65038,8 @@ 0 0 0 +1 +2 3 0x3fad 0xab1bc066 @@ -52038,6 +65048,8 @@ 0 0 0 +5 +3 2 0xc668 0x5be70689 @@ -52047,6 +65059,8 @@ 0 0 4 +4 +4 0xdc8f 0x540bdc30 256 @@ -52054,6 +65068,8 @@ 1 1 0 +1 +0 3 0x4ddc 0x5ed30baa @@ -52063,6 +65079,8 @@ 0 0 4 +1 +4 0x622e 0xb0de51c3 256 @@ -52070,6 +65088,8 @@ 1 1 0 +4 +2 2 0x6155 0x3c59b789 @@ -52078,6 +65098,8 @@ 0 0 0 +1 +3 4 0x8e05 0xb2392209 @@ -52086,6 +65108,8 @@ 1 1 0 +1 +3 2 0x978d 0xf04528fa @@ -52094,6 +65118,8 @@ 0 0 0 +3 +4 4 0xbde9 0xc6da1ef0 @@ -52103,6 +65129,8 @@ 0 0 1 +5 +1 0xe4ef 0x77a127cf 256 @@ -52110,6 +65138,8 @@ 0 0 0 +1 +3 3 0x452d 0x6f309023 @@ -52118,6 +65148,8 @@ 1 0 0 +5 +1 4 0x87b 0x78ef2c44 @@ -52126,6 +65158,8 @@ 0 0 0 +0 +4 4 0xe09f 0xf6e32fe @@ -52134,6 +65168,8 @@ 0 0 0 +2 +0 1 0x2c62 0xb0e9eb6b @@ -52142,6 +65178,8 @@ 1 1 0 +1 +0 4 0x17d8 0xba6f1553 @@ -52150,6 +65188,8 @@ 0 0 0 +4 +0 2 0xd96b 0x15b99ca3 @@ -52158,6 +65198,8 @@ 1 0 0 +2 +2 1 0xd331 0x26ee3f62 @@ -52166,6 +65208,8 @@ 0 0 0 +5 +3 2 0x29c4 0x46c22fa @@ -52174,6 +65218,8 @@ 1 0 0 +5 +4 2 0x241d 0x301dc649 @@ -52182,6 +65228,8 @@ 0 0 0 +4 +4 3 0x9849 0xf79ff3ba @@ -52190,6 +65238,8 @@ 0 0 0 +2 +0 4 0xba3b 0xcd03dc84 @@ -52198,6 +65248,8 @@ 1 1 0 +2 +3 4 0x2417 0xc4d90498 @@ -52207,6 +65259,8 @@ 0 0 4 +3 +4 0x8f03 0x371ab75b 256 @@ -52215,6 +65269,8 @@ 0 0 3 +4 +3 0x67ba 0x5ac15598 256 @@ -52222,6 +65278,8 @@ 0 0 0 +0 +4 2 0xfa62 0xf5d7daa6 @@ -52231,6 +65289,8 @@ 1 0 3 +2 +3 0x22de 0xf83b939d 256 @@ -52238,6 +65298,8 @@ 1 1 0 +0 +0 1 0x8741 0x8d4eed67 @@ -52246,6 +65308,8 @@ 0 0 0 +0 +2 4 0xd9ef 0xe53fbc81 @@ -52254,6 +65318,8 @@ 1 0 0 +1 +2 3 0xc167 0x674e6be9 @@ -52262,6 +65328,8 @@ 0 0 0 +5 +2 1 0x5bf5 0x5cd66f4a @@ -52270,6 +65338,8 @@ 0 0 0 +4 +2 2 0x2b8b 0x175a0dc6 @@ -52278,6 +65348,8 @@ 0 0 0 +0 +3 3 0x6fb9 0xe441db7f @@ -52286,6 +65358,8 @@ 0 0 0 +3 +1 4 0xdaf9 0xfa68ad48 @@ -52294,6 +65368,8 @@ 1 0 0 +0 +1 4 0xbc95 0xb306f232 @@ -52302,6 +65378,8 @@ 1 1 0 +5 +4 2 0x2851 0xaba74c03 @@ -52310,6 +65388,8 @@ 0 0 0 +4 +1 1 0xa893 0xa1d3fe7f @@ -52318,6 +65398,8 @@ 1 0 0 +3 +1 2 0x9572 0x13dda0f3 @@ -52326,6 +65408,8 @@ 0 0 0 +5 +1 2 0x8c25 0x7371d555 @@ -52334,6 +65418,8 @@ 0 0 0 +1 +0 3 0x3666 0xc88b4c57 @@ -52342,6 +65428,8 @@ 1 0 0 +3 +0 2 0x89ac 0xf9744dbf @@ -52350,6 +65438,8 @@ 1 0 0 +2 +0 3 0xcf59 0x7dedc2c3 @@ -52358,6 +65448,8 @@ 1 1 0 +5 +2 3 0x57e6 0xd7a2de33 @@ -52367,6 +65459,8 @@ 0 0 4 +3 +4 0x84f5 0x64b64593 256 @@ -52375,6 +65469,8 @@ 0 0 2 +1 +2 0x6327 0x5ebda75c 256 @@ -52382,6 +65478,8 @@ 1 1 0 +3 +1 1 0x71d5 0x56b1f58c @@ -52390,6 +65488,8 @@ 0 0 0 +2 +0 3 0x2269 0xc6062881 @@ -52398,6 +65498,8 @@ 1 1 0 +0 +4 1 0xe295 0x67d2d090 @@ -52407,6 +65509,8 @@ 0 0 3 +1 +3 0xd804 0x8c184547 256 @@ -52414,6 +65518,8 @@ 1 0 0 +0 +4 3 0x19c6 0x3290522b @@ -52422,6 +65528,8 @@ 0 0 0 +0 +2 3 0xf0db 0xa335439 @@ -52431,6 +65539,8 @@ 0 0 3 +0 +3 0x39be 0xd856782b 256 @@ -52438,6 +65548,8 @@ 0 0 0 +4 +2 3 0xbbae 0xa06fb876 @@ -52446,6 +65558,8 @@ 0 0 0 +2 +2 4 0x2573 0x54bb05ff @@ -52455,6 +65569,8 @@ 0 0 1 +1 +1 0xbf81 0xe3ce91a6 256 @@ -52462,6 +65578,8 @@ 0 0 0 +0 +0 4 0x6729 0x905ed9e6 @@ -52470,6 +65588,8 @@ 0 0 0 +4 +3 1 0xd702 0x7f1fe52f @@ -52478,6 +65598,8 @@ 0 0 0 +4 +0 1 0x74d3 0xd5a503ed @@ -52486,6 +65608,8 @@ 1 0 0 +3 +2 4 0x68bb 0x871b4497 @@ -52494,6 +65618,8 @@ 0 0 0 +5 +1 4 0xb423 0xf131aa7f @@ -52502,6 +65628,8 @@ 1 0 0 +5 +1 2 0xfdd7 0x8180b8c3 @@ -52511,6 +65639,8 @@ 0 0 4 +3 +4 0xa8a7 0xa31bd547 256 @@ -52518,6 +65648,8 @@ 0 0 0 +2 +1 3 0x9bde 0xd352a92c @@ -52526,6 +65658,8 @@ 1 0 0 +1 +2 3 0xa93c 0xb35d91c7 @@ -52534,6 +65668,8 @@ 0 0 0 +2 +0 1 0xafe5 0x535f3441 @@ -52542,6 +65678,8 @@ 0 0 0 +5 +5 1 0x9bc6 0x2c8b447 @@ -52550,6 +65688,8 @@ 0 0 0 +2 +4 3 0x8f49 0xbb68d7d @@ -52558,6 +65698,8 @@ 1 0 0 +2 +1 1 0x1d42 0xbbb6a69e @@ -52566,6 +65708,8 @@ 1 1 0 +0 +2 3 0x45ae 0x25dcf34d @@ -52574,6 +65718,8 @@ 1 1 0 +5 +1 2 0xc8b0 0xb2ddaa0a @@ -52582,6 +65728,8 @@ 1 1 0 +1 +0 4 0x3a8d 0xb1ad2c8e @@ -52590,6 +65738,8 @@ 0 0 0 +0 +1 2 0x4d81 0x9d0c489a @@ -52598,6 +65748,8 @@ 0 0 0 +4 +2 1 0xc95b 0xf5d3d4ce @@ -52606,6 +65758,8 @@ 0 0 0 +5 +1 4 0x9707 0x5ce1ea32 @@ -52614,6 +65768,8 @@ 0 0 0 +2 +4 4 0x2dfc 0x49e9ce1a @@ -52622,6 +65778,8 @@ 0 0 0 +5 +5 4 0x684d 0x550857b6 @@ -52630,6 +65788,8 @@ 0 0 0 +5 +2 3 0x38cd 0x254ab58e @@ -52638,6 +65798,8 @@ 1 1 0 +5 +5 4 0x9e92 0x6c3142f3 @@ -52647,6 +65809,8 @@ 0 0 1 +1 +1 0xa173 0xdb359406 256 @@ -52654,6 +65818,8 @@ 1 0 0 +3 +3 2 0x81cb 0xe52a336f @@ -52662,6 +65828,8 @@ 1 0 0 +4 +4 2 0x7c0e 0x28a0730b @@ -52670,6 +65838,8 @@ 0 0 0 +4 +5 3 0x172f 0xb94f90a5 @@ -52678,6 +65848,8 @@ 1 1 0 +1 +0 3 0xf6dc 0x3efb1d5e @@ -52686,6 +65858,8 @@ 0 0 0 +5 +3 3 0xa9e6 0x1349b5ee @@ -52695,6 +65869,8 @@ 0 0 3 +1 +3 0x2363 0xb999c4b8 256 @@ -52702,6 +65878,8 @@ 0 0 0 +2 +4 3 0xc24e 0xbe23256b @@ -52710,6 +65888,8 @@ 1 1 0 +5 +3 1 0x5086 0x3b2cb545 @@ -52719,6 +65899,8 @@ 0 0 4 +4 +4 0x2be0 0xdc9d842a 256 @@ -52726,6 +65908,8 @@ 0 0 0 +4 +4 3 0x5c7d 0xbc65808c @@ -52734,6 +65918,8 @@ 0 0 0 +0 +2 2 0xf0ee 0x83576f94 @@ -52742,6 +65928,8 @@ 0 0 0 +0 +3 4 0x3c61 0x9cfb8cae @@ -52750,6 +65938,8 @@ 0 0 0 +2 +3 1 0x384a 0x50f67719 @@ -52758,6 +65948,8 @@ 1 0 0 +0 +1 4 0xeadc 0xb8f43cfa @@ -52767,6 +65959,8 @@ 0 0 4 +2 +4 0xceb8 0x832a5da6 256 @@ -52774,6 +65968,8 @@ 0 0 0 +5 +2 3 0x5006 0xcdb761af @@ -52783,6 +65979,8 @@ 0 0 3 +3 +3 0x65c5 0x506fdd07 256 @@ -52790,6 +65988,8 @@ 1 0 0 +4 +3 2 0x289c 0xcc708191 @@ -52798,6 +65998,8 @@ 0 0 0 +4 +1 2 0x6b02 0xe2f2dc9a @@ -52806,6 +66008,8 @@ 0 0 0 +2 +5 4 0xa866 0xdd02da7f @@ -52814,6 +66018,8 @@ 1 1 0 +0 +5 2 0x816f 0xe986b308 @@ -52822,6 +66028,8 @@ 0 0 0 +3 +1 2 0x9d98 0xe568cbbd @@ -52830,6 +66038,8 @@ 1 1 0 +4 +1 2 0xf2ca 0x66e083ca @@ -52838,6 +66048,8 @@ 1 1 0 +2 +0 1 0xda94 0xe790c369 @@ -52846,6 +66058,8 @@ 1 1 0 +0 +3 2 0xa9d2 0x5cbc2bf6 @@ -52854,6 +66068,8 @@ 0 0 0 +3 +4 4 0x6c83 0xcf98cf7b @@ -52863,6 +66079,8 @@ 0 0 3 +0 +3 0xc9c4 0xf862ec6e 256 @@ -52871,6 +66089,8 @@ 1 0 4 +5 +4 0x68ba 0x2e8dba3c 256 @@ -52878,6 +66098,8 @@ 1 1 0 +3 +4 2 0xb10f 0x44173798 @@ -52886,6 +66108,8 @@ 0 0 0 +5 +2 1 0xa34b 0xf52327d5 @@ -52895,6 +66119,8 @@ 0 0 2 +5 +2 0x23cd 0x2fc11ce1 256 @@ -52902,6 +66128,8 @@ 1 0 0 +3 +5 2 0x67bf 0xfa7c742c @@ -52910,6 +66138,8 @@ 0 0 0 +0 +0 1 0x7f2e 0xc0dac89d @@ -52918,6 +66148,8 @@ 1 1 0 +2 +0 4 0x6c7f 0xb8c77dfc @@ -52926,6 +66158,8 @@ 0 0 0 +0 +1 3 0x39e5 0xc802e03d @@ -52935,6 +66169,8 @@ 1 0 2 +1 +2 0x3ff2 0x68551715 256 @@ -52943,6 +66179,8 @@ 1 0 2 +1 +2 0xbde9 0xb401d351 256 @@ -52950,6 +66188,8 @@ 0 0 0 +5 +0 3 0xa24d 0x2bddde84 @@ -52958,6 +66198,8 @@ 1 1 0 +4 +5 2 0x49ab 0x71d22b72 @@ -52967,6 +66209,8 @@ 0 0 1 +0 +1 0xd2ed 0x56b315d6 256 @@ -52974,6 +66218,8 @@ 0 0 0 +2 +1 3 0x3d8b 0x4c3a6267 @@ -52982,6 +66228,8 @@ 1 1 0 +5 +1 2 0x82a2 0x4a2975a5 @@ -52990,6 +66238,8 @@ 0 0 0 +2 +3 4 0x17 0x9a7215fa @@ -52998,6 +66248,8 @@ 0 0 0 +0 +5 1 0x6d54 0x888b9e93 @@ -53006,6 +66258,8 @@ 0 0 0 +0 +4 2 0x8f2f 0x93d42060 @@ -53014,6 +66268,8 @@ 1 1 0 +0 +5 1 0x5e7a 0x124414a6 @@ -53023,6 +66279,8 @@ 0 0 2 +5 +2 0xbad5 0x498f410e 256 @@ -53030,6 +66288,8 @@ 1 1 0 +3 +4 1 0x1b0c 0x9cac27b2 @@ -53039,6 +66299,8 @@ 1 0 1 +1 +1 0x9a62 0x3abb2361 256 @@ -53046,6 +66308,8 @@ 1 0 0 +2 +3 3 0x4b2 0xa08fbfe4 @@ -53054,6 +66318,8 @@ 0 0 0 +0 +3 3 0x31c2 0x3c7f2970 @@ -53062,6 +66328,8 @@ 1 1 0 +3 +0 4 0xab2b 0xdd347db5 @@ -53070,6 +66338,8 @@ 0 0 0 +5 +5 4 0x2cc3 0xf121bb92 @@ -53079,6 +66349,8 @@ 0 0 4 +2 +4 0x6dae 0x3b04ad4a 256 @@ -53086,6 +66358,8 @@ 0 0 0 +0 +5 1 0xa9b3 0x5533d7d0 @@ -53094,6 +66368,8 @@ 0 0 0 +3 +5 4 0xb2a 0xc8aee15f @@ -53102,6 +66378,8 @@ 1 0 0 +0 +0 4 0x9fcc 0xb4fcf99a @@ -53110,6 +66388,8 @@ 0 0 0 +4 +5 3 0xf5b 0x9614dbbe @@ -53118,6 +66398,8 @@ 0 0 0 +0 +2 1 0xdb9b 0x13967201 @@ -53127,6 +66409,8 @@ 0 0 2 +5 +2 0xcf54 0x197d49a 256 @@ -53134,6 +66418,8 @@ 1 1 0 +5 +1 4 0x1b92 0x7da5c460 @@ -53142,6 +66428,8 @@ 0 0 0 +5 +5 2 0xc1bd 0xb808e4b1 @@ -53150,6 +66438,8 @@ 0 0 0 +3 +2 2 0x82cf 0xaaad7615 @@ -53158,6 +66448,8 @@ 1 1 0 +4 +5 2 0x3fa 0x881611ad @@ -53166,6 +66458,8 @@ 0 0 0 +2 +3 4 0xac13 0x3b8bdcfb @@ -53174,6 +66468,8 @@ 1 0 0 +2 +3 4 0x81ad 0xce03cde6 @@ -53182,6 +66478,8 @@ 1 0 0 +1 +0 4 0x2e6e 0xe67a28bf @@ -53191,6 +66489,8 @@ 0 0 1 +3 +1 0xc3bb 0xdb8efd1c 256 @@ -53198,6 +66498,8 @@ 0 0 0 +1 +3 4 0x4e0e 0x72ce64e7 @@ -53206,6 +66508,8 @@ 1 0 0 +0 +5 4 0xeede 0x9f521489 @@ -53214,6 +66518,8 @@ 1 1 0 +5 +2 1 0xa86 0xb8b5e2b6 @@ -53222,6 +66528,8 @@ 1 0 0 +3 +0 2 0x48e4 0xff9e2941 @@ -53231,6 +66539,8 @@ 1 0 3 +0 +3 0x9eab 0x6912c44b 256 @@ -53238,6 +66548,8 @@ 0 0 0 +2 +5 1 0x79f5 0xf6c1e3db @@ -53246,6 +66558,8 @@ 1 1 0 +5 +4 3 0x499d 0xef0d769e @@ -53254,6 +66568,8 @@ 1 0 0 +1 +4 4 0x8d3f 0x5004054d @@ -53263,6 +66579,8 @@ 0 0 3 +0 +3 0xb761 0x8639c290 256 @@ -53270,6 +66588,8 @@ 0 0 0 +1 +0 4 0x8016 0xfcf4405f @@ -53278,6 +66598,8 @@ 1 1 0 +5 +5 1 0x7a93 0xe684511e @@ -53286,6 +66608,8 @@ 1 0 0 +0 +2 1 0xb29c 0x518c4225 @@ -53294,6 +66618,8 @@ 0 0 0 +5 +1 4 0x9d24 0x2eb035e5 @@ -53302,6 +66628,8 @@ 1 1 0 +0 +3 1 0x2953 0xd98e0df8 @@ -53310,6 +66638,8 @@ 1 1 0 +2 +0 1 0x9580 0xf387cf43 @@ -53318,6 +66648,8 @@ 0 0 0 +1 +3 2 0xc6d4 0xdd06bf6 @@ -53327,6 +66659,8 @@ 0 0 4 +0 +4 0x2676 0x37213651 256 @@ -53335,6 +66669,8 @@ 1 0 4 +5 +4 0x3e70 0xac99f902 256 @@ -53343,6 +66679,8 @@ 0 0 4 +0 +4 0xb0d 0x7de1f766 256 @@ -53351,6 +66689,8 @@ 0 0 4 +0 +4 0xaf8a 0xb97d22e5 256 @@ -53358,6 +66698,8 @@ 0 0 0 +2 +2 1 0x60ed 0xd59465b6 @@ -53366,6 +66708,8 @@ 0 0 0 +0 +1 3 0x85ea 0x89069f34 @@ -53374,6 +66718,8 @@ 0 0 0 +3 +4 4 0xe1a 0x1b00645 @@ -53382,6 +66728,8 @@ 1 1 0 +3 +0 1 0xf9f4 0x6ad577c2 @@ -53390,6 +66738,8 @@ 1 0 0 +2 +4 3 0x7a98 0xa69b97e7 @@ -53398,6 +66748,8 @@ 1 1 0 +3 +2 1 0x3df9 0xa492292 @@ -53406,6 +66758,8 @@ 0 0 0 +4 +1 1 0x8196 0x8955828a @@ -53414,6 +66768,8 @@ 1 1 0 +2 +1 3 0x9c0c 0xda0b7181 @@ -53422,6 +66778,8 @@ 1 1 0 +5 +3 2 0x90d2 0x6dc0c4b8 @@ -53430,6 +66788,8 @@ 0 0 0 +2 +3 1 0x54d 0x182b97d2 @@ -53438,6 +66798,8 @@ 1 1 0 +5 +0 1 0x66cb 0x25ee674e @@ -53446,6 +66808,8 @@ 1 0 0 +0 +5 2 0xbcdd 0xf66c172f @@ -53454,6 +66818,8 @@ 1 1 0 +3 +5 1 0xea34 0xd35e24cf @@ -53462,6 +66828,8 @@ 0 0 0 +3 +5 1 0xfe7b 0xb9f7f5a3 @@ -53471,6 +66839,8 @@ 1 0 4 +2 +4 0x4b31 0x2612bb5e 256 @@ -53478,6 +66848,8 @@ 1 0 0 +5 +5 1 0x2db 0x32af45aa @@ -53486,6 +66858,8 @@ 1 1 0 +0 +5 4 0x819b 0xb1c82b6f @@ -53495,6 +66869,8 @@ 1 0 3 +1 +3 0xe43f 0x245ece0 256 @@ -53502,6 +66878,8 @@ 1 0 0 +4 +0 1 0x8398 0x6f29a4e3 @@ -53510,6 +66888,8 @@ 1 0 0 +1 +2 2 0x15ce 0x801ccf57 @@ -53519,6 +66899,8 @@ 1 0 3 +1 +3 0x1b99 0x145c8227 256 @@ -53526,6 +66908,8 @@ 0 0 0 +5 +3 2 0x5e09 0xf509b9a8 @@ -53534,6 +66918,8 @@ 1 0 0 +3 +2 2 0x3ef4 0x2778354e @@ -53542,6 +66928,8 @@ 0 0 0 +1 +2 3 0x217b 0x52908e89 @@ -53550,6 +66938,8 @@ 1 1 0 +0 +0 1 0xde0f 0x19e5e452 @@ -53558,6 +66948,8 @@ 0 0 0 +1 +2 2 0x4318 0x7a813590 @@ -53566,6 +66958,8 @@ 1 1 0 +0 +1 4 0x2177 0x9f89a7d @@ -53574,6 +66968,8 @@ 0 0 0 +0 +2 4 0x54f9 0x362c30b0 @@ -53582,6 +66978,8 @@ 1 0 0 +0 +5 4 0x5a09 0x57ae83b7 @@ -53590,6 +66988,8 @@ 0 0 0 +5 +0 4 0x3037 0xef07384b @@ -53598,6 +66998,8 @@ 1 1 0 +5 +0 4 0x314f 0x1124ad71 @@ -53606,6 +67008,8 @@ 0 0 0 +0 +3 1 0xc363 0x36e1a1cb @@ -53614,6 +67018,8 @@ 0 0 0 +0 +5 3 0x5157 0xc5e41583 @@ -53623,6 +67029,8 @@ 0 0 3 +3 +3 0x3a83 0x7018928c 256 @@ -53630,6 +67038,8 @@ 0 0 0 +0 +3 1 0xc22e 0x8ade87a1 @@ -53638,6 +67048,8 @@ 0 0 0 +4 +3 1 0xdeaf 0x5535d839 @@ -53646,6 +67058,8 @@ 1 1 0 +3 +4 2 0x9a97 0x137c00db @@ -53654,6 +67068,8 @@ 1 1 0 +0 +3 3 0xf1b7 0x892bfed @@ -53662,6 +67078,8 @@ 1 1 0 +0 +0 4 0x6bf4 0x3f43b1aa @@ -53670,6 +67088,8 @@ 0 0 0 +2 +5 1 0xc6b4 0xd6a1a095 @@ -53678,6 +67098,8 @@ 0 0 0 +1 +3 2 0xe1c5 0xb5dfc43a @@ -53686,6 +67108,8 @@ 1 1 0 +0 +2 1 0xd42f 0x3a955910 @@ -53694,6 +67118,8 @@ 1 0 0 +1 +0 3 0x67da 0x6ec7caed @@ -53702,6 +67128,8 @@ 0 0 0 +1 +3 2 0x7217 0x31126645 @@ -53711,6 +67139,8 @@ 0 0 2 +1 +2 0xa653 0x7165de88 256 @@ -53718,6 +67148,8 @@ 0 0 0 +3 +2 1 0x571d 0x49941ece @@ -53726,6 +67158,8 @@ 0 0 0 +4 +3 3 0xd560 0x4294e10d @@ -53734,6 +67168,8 @@ 0 0 0 +0 +4 4 0x21d5 0x712dd147 @@ -53742,6 +67178,8 @@ 1 1 0 +3 +2 4 0xef0f 0x9ed22212 @@ -53750,6 +67188,8 @@ 1 0 0 +5 +2 3 0xd677 0xa97367b5 @@ -53758,6 +67198,8 @@ 0 0 0 +4 +5 1 0x822b 0xab41833c @@ -53766,6 +67208,8 @@ 0 0 0 +1 +0 4 0x46e5 0x72a50381 @@ -53774,6 +67218,8 @@ 0 0 0 +3 +5 1 0x1df4 0xa902550b @@ -53783,6 +67229,8 @@ 0 0 2 +1 +2 0x30c1 0x8ddac24d 256 @@ -53790,6 +67238,8 @@ 1 1 0 +5 +1 4 0xee38 0x2372028e @@ -53799,6 +67249,8 @@ 0 0 3 +3 +3 0x3da2 0x3b3efa80 256 @@ -53807,6 +67259,8 @@ 0 0 4 +3 +4 0x8c4 0xcf65df77 256 @@ -53814,6 +67268,8 @@ 1 0 0 +4 +0 1 0x3ecc 0x38080631 @@ -53822,6 +67278,8 @@ 0 0 0 +3 +4 4 0x68e 0x1ed8d94f @@ -53830,6 +67288,8 @@ 0 0 0 +0 +5 2 0xf7f3 0x742475f @@ -53838,6 +67298,8 @@ 0 0 0 +4 +4 2 0x845 0x4ea30562 @@ -53847,6 +67309,8 @@ 1 0 1 +2 +1 0x6447 0xee049107 256 @@ -53855,6 +67319,8 @@ 0 0 3 +3 +3 0x14dd 0x85bf5118 256 @@ -53862,6 +67328,8 @@ 0 0 0 +5 +0 3 0x928 0x3639e831 @@ -53871,6 +67339,8 @@ 0 0 1 +5 +1 0xfae5 0x30a13757 256 @@ -53878,6 +67348,8 @@ 0 0 0 +5 +4 1 0x61d9 0x4f1ceba4 @@ -53886,6 +67358,8 @@ 1 1 0 +5 +2 2 0x5b9c 0x8e271d4d @@ -53895,6 +67369,8 @@ 0 0 4 +2 +4 0x8c13 0x9890b68a 256 @@ -53902,6 +67378,8 @@ 0 0 0 +0 +2 3 0x9e91 0x9bc5f92b @@ -53911,6 +67389,8 @@ 0 0 2 +3 +2 0x3c45 0xeb8edead 256 @@ -53919,6 +67399,8 @@ 0 0 4 +4 +4 0x206f 0x1b3f2810 256 @@ -53926,6 +67408,8 @@ 0 0 0 +2 +3 1 0x1315 0x17bfa30 @@ -53934,6 +67418,8 @@ 1 1 0 +2 +5 1 0x76a4 0xd4d2bf6d @@ -53942,6 +67428,8 @@ 0 0 0 +3 +2 1 0x99f8 0xe1436e4e @@ -53950,6 +67438,8 @@ 1 0 0 +4 +4 2 0x76c2 0x6f3cc1c @@ -53958,6 +67448,8 @@ 1 1 0 +4 +1 3 0x6abb 0xf39370ea @@ -53966,6 +67458,8 @@ 1 0 0 +5 +2 4 0x1f95 0xa3d8e179 @@ -53974,6 +67468,8 @@ 0 0 0 +2 +4 4 0xfe39 0x6997c62c @@ -53982,6 +67478,8 @@ 1 0 0 +4 +2 3 0x29b6 0x3e48c6ce @@ -53990,6 +67488,8 @@ 0 0 0 +2 +1 4 0x6ad9 0xf31547d @@ -53999,6 +67499,8 @@ 0 0 3 +0 +3 0xdf5b 0x929f1d38 256 @@ -54006,6 +67508,8 @@ 0 0 0 +1 +3 2 0x31da 0x5b009424 @@ -54014,6 +67518,8 @@ 1 0 0 +4 +3 3 0xcac6 0xea727985 @@ -54022,6 +67528,8 @@ 0 0 0 +5 +4 2 0x4c2e 0xdbd4590d @@ -54031,6 +67539,8 @@ 0 0 3 +5 +3 0xa17c 0x649fb555 256 @@ -54038,6 +67548,8 @@ 0 0 0 +0 +3 1 0x887e 0x2b5e196d @@ -54046,6 +67558,8 @@ 1 0 0 +2 +2 1 0xd33 0x22e716c5 @@ -54054,6 +67568,8 @@ 0 0 0 +0 +5 3 0x7861 0xd2f2812c @@ -54062,6 +67578,8 @@ 0 0 0 +2 +1 1 0x45c1 0x3864e2fe @@ -54070,6 +67588,8 @@ 1 0 0 +5 +4 2 0x65ba 0xa9c93961 @@ -54078,6 +67598,8 @@ 0 0 0 +0 +0 3 0x7d52 0x76f3ca3b @@ -54086,6 +67608,8 @@ 0 0 0 +2 +5 3 0xf934 0x90f4cfec @@ -54094,6 +67618,8 @@ 1 1 0 +1 +2 3 0xb29f 0x2a40631b @@ -54102,6 +67628,8 @@ 0 0 0 +4 +3 1 0x744 0x214cc3d5 @@ -54111,6 +67639,8 @@ 1 0 2 +5 +2 0x5310 0xce320c07 256 @@ -54118,6 +67648,8 @@ 0 0 0 +1 +1 3 0x551a 0xfbaf8377 @@ -54126,6 +67658,8 @@ 1 1 0 +2 +3 3 0x230d 0x19a37f25 @@ -54134,6 +67668,8 @@ 1 1 0 +5 +1 1 0x8aec 0x67c9da96 @@ -54142,6 +67678,8 @@ 1 1 0 +4 +4 1 0x4365 0x73ef98d6 @@ -54150,6 +67688,8 @@ 0 0 0 +2 +4 3 0x82de 0x10b8038e @@ -54159,6 +67699,8 @@ 0 0 2 +1 +2 0x44f0 0x8e9bc502 256 @@ -54166,6 +67708,8 @@ 1 0 0 +2 +2 4 0x870b 0x98709d7c @@ -54174,6 +67718,8 @@ 0 0 0 +4 +4 3 0xccda 0xfd40b5b8 @@ -54182,6 +67728,8 @@ 0 0 0 +4 +1 2 0x3779 0xffd1af10 @@ -54191,6 +67739,8 @@ 0 0 2 +1 +2 0xb6cf 0x76caf845 256 @@ -54198,6 +67748,8 @@ 1 1 0 +3 +2 1 0xa54d 0x3d6faa5c @@ -54206,6 +67758,8 @@ 1 0 0 +2 +1 3 0xfad4 0x3c49be41 @@ -54214,6 +67768,8 @@ 1 0 0 +3 +3 4 0x9b84 0x708ceaec @@ -54222,6 +67778,8 @@ 0 0 0 +0 +1 3 0x2824 0xfa10675e @@ -54230,6 +67788,8 @@ 0 0 0 +4 +1 2 0xf57 0x7fbb48ed @@ -54238,6 +67798,8 @@ 1 0 0 +1 +4 4 0x8051 0x285b38d9 @@ -54246,6 +67808,8 @@ 1 1 0 +2 +3 4 0xe5d7 0x8e3a2bcb @@ -54255,6 +67819,8 @@ 1 0 2 +1 +2 0x4e30 0xa5b12a7a 256 @@ -54262,6 +67828,8 @@ 1 0 0 +4 +3 2 0x5893 0x2713b6b5 @@ -54270,6 +67838,8 @@ 1 0 0 +0 +1 1 0xd2be 0x6c1c317b @@ -54278,6 +67848,8 @@ 0 0 0 +4 +0 1 0x2a53 0x9bcb52a7 @@ -54286,6 +67858,8 @@ 0 0 0 +0 +0 1 0x9fcc 0xf4061d17 @@ -54294,6 +67868,8 @@ 1 0 0 +1 +2 4 0x96a7 0xe5ebade2 @@ -54302,6 +67878,8 @@ 0 0 0 +4 +1 2 0x852a 0xedd7d21a @@ -54310,6 +67888,8 @@ 1 0 0 +2 +5 1 0xd6d 0xa24cf0c5 @@ -54318,6 +67898,8 @@ 1 0 0 +4 +2 3 0xe2fc 0xa2413f10 @@ -54326,6 +67908,8 @@ 1 1 0 +3 +3 1 0x5c11 0x31ac698b @@ -54334,6 +67918,8 @@ 0 0 0 +2 +1 3 0xcccf 0x7127f5ad @@ -54342,6 +67928,8 @@ 1 0 0 +4 +3 3 0x7eb5 0x88759a80 @@ -54350,6 +67938,8 @@ 1 0 0 +5 +4 1 0x5801 0xddcf6bf @@ -54358,6 +67948,8 @@ 1 0 0 +1 +2 4 0x280a 0x7bd9a5a6 @@ -54366,6 +67958,8 @@ 0 0 0 +5 +5 1 0xe288 0xac61932f @@ -54374,6 +67968,8 @@ 1 0 0 +0 +0 4 0x7150 0x4a3428ef @@ -54382,6 +67978,8 @@ 1 0 0 +2 +4 3 0x73b2 0xa3b881d2 @@ -54390,6 +67988,8 @@ 0 0 0 +0 +1 4 0x6175 0xa8a3f4fb @@ -54398,6 +67998,8 @@ 0 0 0 +4 +2 2 0x38c2 0xf6de0930 @@ -54406,6 +68008,8 @@ 0 0 0 +3 +4 1 0x4ece 0xd3b67bb0 @@ -54414,6 +68018,8 @@ 1 0 0 +5 +4 4 0x945 0xf9c40e71 @@ -54422,6 +68028,8 @@ 0 0 0 +1 +1 4 0xed2 0x9fe48ad4 @@ -54430,6 +68038,8 @@ 1 0 0 +5 +4 3 0x6320 0x49fb0ddb @@ -54438,6 +68048,8 @@ 1 1 0 +1 +5 4 0xfd3d 0x8d0686da @@ -54446,6 +68058,8 @@ 1 0 0 +5 +1 2 0x8e8c 0x6e0e0c53 @@ -54454,6 +68068,8 @@ 1 1 0 +5 +4 4 0x36d6 0x79e91aeb @@ -54463,6 +68079,8 @@ 0 0 3 +5 +3 0x2322 0x91794ba5 256 @@ -54470,6 +68088,8 @@ 1 1 0 +3 +5 4 0x7d37 0x407cb8c2 @@ -54478,6 +68098,8 @@ 1 1 0 +0 +0 3 0x8cfa 0x50b02f46 @@ -54486,6 +68108,8 @@ 1 0 0 +1 +0 2 0xee7f 0xcc3452a5 @@ -54494,6 +68118,8 @@ 1 0 0 +0 +5 2 0x9964 0xf34336db @@ -54502,6 +68128,8 @@ 0 0 0 +0 +0 2 0x8fcc 0x95ef6301 @@ -54510,6 +68138,8 @@ 0 0 0 +5 +5 3 0x3295 0x820a3044 @@ -54518,6 +68148,8 @@ 0 0 0 +1 +2 4 0xede4 0x57404b00 @@ -54527,6 +68159,8 @@ 1 0 2 +2 +2 0x812a 0x931cf7f5 256 @@ -54534,6 +68168,8 @@ 1 1 0 +0 +3 2 0x8c75 0xa3a2f300 @@ -54542,6 +68178,8 @@ 0 0 0 +4 +1 2 0x43fa 0xddbe6835 @@ -54550,6 +68188,8 @@ 1 1 0 +1 +1 2 0x9311 0xafda12e6 @@ -54558,6 +68198,8 @@ 0 0 0 +2 +4 3 0x466e 0x311cf254 @@ -54566,6 +68208,8 @@ 1 1 0 +0 +4 4 0xb3f7 0x9c8a6978 @@ -54574,6 +68218,8 @@ 0 0 0 +2 +0 3 0x24f7 0xfaee2004 @@ -54583,6 +68229,8 @@ 0 0 4 +3 +4 0x4789 0x823b84d9 256 @@ -54590,6 +68238,8 @@ 0 0 0 +1 +1 3 0x6ce6 0x1380b7c3 @@ -54598,6 +68248,8 @@ 1 1 0 +3 +5 1 0x1f88 0xa6decdde @@ -54607,6 +68259,8 @@ 0 0 1 +3 +1 0x82e6 0xd823ac7f 256 @@ -54614,6 +68268,8 @@ 1 1 0 +3 +4 1 0x5b18 0x5a821150 @@ -54622,6 +68278,8 @@ 1 0 0 +5 +5 4 0x394d 0x68b0c789 @@ -54630,6 +68288,8 @@ 1 0 0 +4 +3 1 0xf415 0x7ca80f3e @@ -54638,6 +68298,8 @@ 1 0 0 +5 +5 1 0x5c2 0x64e37341 @@ -54647,6 +68309,8 @@ 0 0 3 +5 +3 0x3262 0x2c277f5b 256 @@ -54654,6 +68318,8 @@ 1 1 0 +3 +4 4 0x4b4d 0x6e960b4b @@ -54662,6 +68328,8 @@ 0 0 0 +3 +5 1 0x46fb 0x52e84b69 @@ -54670,6 +68338,8 @@ 1 1 0 +4 +1 3 0x7b5b 0xf24c593e @@ -54678,6 +68348,8 @@ 1 0 0 +5 +0 3 0x4730 0x42cd44b8 @@ -54686,6 +68358,8 @@ 0 0 0 +4 +5 2 0x2fcb 0x82089c83 @@ -54695,6 +68369,8 @@ 1 0 4 +1 +4 0x5d87 0x345cea22 256 @@ -54702,6 +68378,8 @@ 1 1 0 +5 +1 3 0x862a 0x177b955 @@ -54711,6 +68389,8 @@ 0 0 4 +5 +4 0xbe80 0x9e1142b9 256 @@ -54718,6 +68398,8 @@ 1 1 0 +0 +5 4 0x40f9 0x4e2ee682 @@ -54726,6 +68408,8 @@ 0 0 0 +4 +5 2 0x172b 0x7a0aa3c2 @@ -54734,6 +68418,8 @@ 1 1 0 +0 +4 2 0x207c 0x91ac599 @@ -54742,6 +68428,8 @@ 0 0 0 +5 +2 1 0xebc3 0x9fbd93e0 @@ -54750,6 +68438,8 @@ 0 0 0 +2 +3 4 0xf81d 0x90cb9e26 @@ -54758,6 +68448,8 @@ 1 0 0 +5 +2 2 0xa6ef 0xe4700660 @@ -54766,6 +68458,8 @@ 0 0 0 +2 +3 4 0x33ed 0x14a0776f @@ -54774,6 +68468,8 @@ 0 0 0 +5 +5 4 0xe5cf 0xc86d7cc8 @@ -54782,6 +68478,8 @@ 1 0 0 +2 +5 1 0xa59e 0xa7aa4c84 @@ -54790,6 +68488,8 @@ 0 0 0 +0 +2 2 0xde72 0xbdfed2f9 @@ -54798,6 +68498,8 @@ 1 1 0 +5 +2 2 0xeb3e 0xbd7622a9 @@ -54806,6 +68508,8 @@ 0 0 0 +5 +4 1 0xfedf 0xacc4080 @@ -54814,6 +68518,8 @@ 1 0 0 +1 +4 2 0x429e 0x531d518 @@ -54822,6 +68528,8 @@ 0 0 0 +0 +1 4 0xda10 0x5a50cee9 @@ -54830,6 +68538,8 @@ 0 0 0 +4 +0 1 0xd5dc 0xe1bf4ebe @@ -54838,6 +68548,8 @@ 1 1 0 +1 +5 4 0x515d 0xccd89331 @@ -54846,6 +68558,8 @@ 0 0 0 +0 +5 4 0x6a44 0x9405b5b1 @@ -54854,6 +68568,8 @@ 1 1 0 +1 +5 3 0x7d55 0x553bce80 @@ -54862,6 +68578,8 @@ 1 0 0 +5 +1 2 0x7493 0x87e28e9d @@ -54870,6 +68588,8 @@ 1 1 0 +3 +0 2 0x866a 0x6d79c3b9 @@ -54878,6 +68598,8 @@ 0 0 0 +0 +1 3 0xcd28 0xf1b119a4 @@ -54886,6 +68608,8 @@ 1 1 0 +5 +1 1 0x8989 0x576000d7 @@ -54894,6 +68618,8 @@ 1 1 0 +2 +0 4 0xe1c0 0x9ec44982 @@ -54902,6 +68628,8 @@ 0 0 0 +5 +3 3 0x33de 0xece0346a @@ -54910,6 +68638,8 @@ 1 0 0 +5 +3 2 0x9a00 0x148311bf @@ -54919,6 +68649,8 @@ 0 0 4 +4 +4 0xd259 0x2b52dd60 256 @@ -54926,6 +68658,8 @@ 0 0 0 +0 +2 3 0x59f8 0x5b936c70 @@ -54934,6 +68668,8 @@ 0 0 0 +5 +5 1 0x8ab6 0x6a0a4d33 @@ -54943,6 +68679,8 @@ 0 0 2 +2 +2 0x7063 0x6cfbacaf 256 @@ -54950,6 +68688,8 @@ 0 0 0 +1 +5 2 0xab0e 0x2082dad1 @@ -54958,6 +68698,8 @@ 1 1 0 +2 +4 1 0x5f8f 0xcb0739bf @@ -54967,6 +68709,8 @@ 1 0 2 +2 +2 0xac1f 0x9edc3876 256 @@ -54975,6 +68719,8 @@ 0 0 3 +3 +3 0x758f 0x9a1b3ac1 256 @@ -54983,6 +68729,8 @@ 0 0 3 +4 +3 0x40cb 0xbc3d8ca 256 @@ -54991,6 +68739,8 @@ 0 0 3 +0 +3 0xf970 0x4f2c22f4 256 @@ -54998,6 +68748,8 @@ 1 0 0 +1 +5 4 0xf981 0x846c130f @@ -55006,6 +68758,8 @@ 0 0 0 +1 +5 2 0x998 0x85d8092c @@ -55014,6 +68768,8 @@ 1 1 0 +1 +2 3 0xfa1b 0xdfbf824b @@ -55022,6 +68778,8 @@ 0 0 0 +1 +4 3 0x54a0 0x1e4c1ff9 @@ -55030,6 +68788,8 @@ 1 0 0 +2 +0 3 0x4e55 0x788e6c31 @@ -55039,6 +68799,8 @@ 1 0 2 +3 +2 0xaa3e 0x4b028b1d 256 @@ -55046,6 +68808,8 @@ 0 0 0 +2 +5 1 0x24cb 0x3459188e @@ -55054,6 +68818,8 @@ 0 0 0 +0 +3 4 0x966d 0xd418aabb @@ -55062,6 +68828,8 @@ 0 0 0 +4 +0 2 0xb0f8 0x5ffe8f15 @@ -55070,6 +68838,8 @@ 0 0 0 +5 +3 2 0xc14b 0xa32e3251 @@ -55078,6 +68848,8 @@ 0 0 0 +2 +3 1 0x5287 0xd794784b @@ -55086,6 +68858,8 @@ 1 1 0 +5 +0 4 0xb4f2 0x527ebd77 @@ -55095,6 +68869,8 @@ 0 0 2 +3 +2 0x47b6 0x59c5fba3 256 @@ -55102,6 +68878,8 @@ 1 0 0 +5 +2 1 0xcce6 0x6ff6d33e @@ -55110,6 +68888,8 @@ 1 1 0 +5 +3 4 0x6609 0x63fa32fd @@ -55118,6 +68898,8 @@ 1 1 0 +2 +2 3 0xb94b 0x882f7654 @@ -55126,6 +68908,8 @@ 0 0 0 +0 +5 3 0x44fe 0x60749dad @@ -55134,6 +68918,8 @@ 1 1 0 +0 +3 3 0x2300 0xf786a5f4 @@ -55142,6 +68928,8 @@ 0 0 0 +3 +0 4 0x320e 0x24b0c7d6 @@ -55150,6 +68938,8 @@ 1 1 0 +1 +2 2 0x2d35 0xff05607a @@ -55158,6 +68948,8 @@ 0 0 0 +3 +3 1 0xf5bb 0xe32982eb @@ -55166,6 +68958,8 @@ 1 1 0 +5 +2 4 0xf4ef 0x6f869148 @@ -55174,6 +68968,8 @@ 0 0 0 +2 +3 4 0xc312 0x73bcf427 @@ -55182,6 +68978,8 @@ 1 1 0 +4 +3 2 0xf692 0x70a0c797 @@ -55191,6 +68989,8 @@ 0 0 2 +2 +2 0xf161 0x3ed9e188 256 @@ -55198,6 +68998,8 @@ 1 1 0 +3 +4 1 0xf66 0x10037dc7 @@ -55206,6 +69008,8 @@ 0 0 0 +4 +4 3 0x2032 0x5ed8d77e @@ -55214,6 +69018,8 @@ 1 1 0 +4 +3 3 0xabcf 0x6836c754 @@ -55222,6 +69028,8 @@ 0 0 0 +1 +3 3 0x1574 0xe0526bb2 @@ -55230,6 +69038,8 @@ 1 0 0 +5 +0 4 0xa7b 0x625a38a2 @@ -55239,6 +69049,8 @@ 0 0 3 +5 +3 0x9b54 0x2e3c99ea 256 @@ -55246,6 +69058,8 @@ 1 1 0 +2 +1 1 0xb0b8 0xbe28260e @@ -55254,6 +69068,8 @@ 1 1 0 +0 +1 2 0xabe3 0xd3d72ca9 @@ -55263,6 +69079,8 @@ 0 0 3 +3 +3 0x1727 0x5c4d41de 256 @@ -55270,6 +69088,8 @@ 1 1 0 +5 +5 4 0x992c 0x7e9379d5 @@ -55278,6 +69098,8 @@ 1 1 0 +4 +3 1 0x632a 0xd921d900 @@ -55287,6 +69109,8 @@ 0 0 4 +4 +4 0x872d 0x785d9c98 256 @@ -55294,6 +69118,8 @@ 1 0 0 +2 +3 3 0xf9dc 0xa25cf733 @@ -55302,6 +69128,8 @@ 0 0 0 +3 +3 4 0xf847 0x99403f7d @@ -55310,6 +69138,8 @@ 0 0 0 +1 +4 4 0x1ca5 0x284832c6 @@ -55318,6 +69148,8 @@ 0 0 0 +4 +4 2 0xba27 0xca9ec9e1 @@ -55326,6 +69158,8 @@ 0 0 0 +4 +3 2 0x6a73 0x1df87f85 @@ -55334,6 +69168,8 @@ 1 1 0 +5 +2 3 0xe539 0xd8fb727 @@ -55342,6 +69178,8 @@ 1 0 0 +0 +1 2 0xb25c 0xbf3efd5a @@ -55351,6 +69189,8 @@ 1 0 2 +2 +2 0xc466 0x64a7330 256 @@ -55358,6 +69198,8 @@ 0 0 0 +0 +5 1 0x763b 0xd117ca2e @@ -55366,6 +69208,8 @@ 1 1 0 +0 +1 2 0x664b 0x7d6e362b @@ -55374,6 +69218,8 @@ 0 0 0 +5 +1 2 0xed8e 0xfff9b4ab @@ -55382,6 +69228,8 @@ 0 0 0 +0 +1 2 0x6992 0xb9817e4c @@ -55390,6 +69238,8 @@ 1 0 0 +0 +1 2 0xc773 0xc434fdb0 @@ -55398,6 +69248,8 @@ 0 0 0 +1 +3 4 0xef03 0x8a62a840 @@ -55406,6 +69258,8 @@ 1 0 0 +2 +1 3 0x2998 0x9e6f4d6a @@ -55414,6 +69268,8 @@ 1 1 0 +4 +3 1 0x187b 0x458bc83d @@ -55423,6 +69279,8 @@ 0 0 4 +4 +4 0x1b81 0xa0ac876d 256 @@ -55430,6 +69288,8 @@ 1 0 0 +2 +1 1 0xd14f 0x3cae846b @@ -55438,6 +69298,8 @@ 1 1 0 +0 +1 4 0xe38 0x8b65e015 @@ -55447,6 +69309,8 @@ 1 0 3 +3 +3 0xe02 0x920814e6 256 @@ -55455,6 +69319,8 @@ 0 0 2 +3 +2 0xa917 0x289bd840 256 @@ -55462,6 +69328,8 @@ 0 0 0 +4 +4 1 0x256e 0x97fd3842 @@ -55470,6 +69338,8 @@ 0 0 0 +0 +2 1 0x3df4 0x2006874e @@ -55478,6 +69348,8 @@ 1 0 0 +1 +3 2 0x87d3 0xd944b5a6 @@ -55486,6 +69358,8 @@ 0 0 0 +5 +3 1 0x4cc1 0x4ad06742 @@ -55494,6 +69368,8 @@ 0 0 0 +2 +0 3 0x1a76 0xbc11d208 @@ -55502,6 +69378,8 @@ 0 0 0 +4 +2 3 0x7ce9 0xdc9089a3 @@ -55510,6 +69388,8 @@ 1 0 0 +2 +4 1 0x676d 0xc9553d69 @@ -55519,6 +69399,8 @@ 0 0 3 +0 +3 0x637b 0xead17b13 256 @@ -55526,6 +69408,8 @@ 0 0 0 +1 +2 3 0x302d 0x52913ca2 @@ -55534,6 +69418,8 @@ 0 0 0 +5 +3 3 0xe4d4 0x1ac1e920 @@ -55542,6 +69428,8 @@ 1 0 0 +5 +1 2 0xe75 0x6f51fe0 @@ -55550,6 +69438,8 @@ 1 1 0 +5 +0 1 0xe9b5 0xc0bc084 @@ -55558,6 +69448,8 @@ 0 0 0 +5 +5 2 0xb86c 0x88651aae @@ -55566,6 +69458,8 @@ 1 0 0 +5 +2 1 0xe3dd 0xe3d312d2 @@ -55574,6 +69468,8 @@ 0 0 0 +3 +0 1 0x9106 0x9d9939be @@ -55582,6 +69478,8 @@ 0 0 0 +2 +0 4 0xb5ae 0xca6147e0 @@ -55590,6 +69488,8 @@ 1 1 0 +2 +5 3 0x9f7a 0x231433b8 @@ -55599,6 +69499,8 @@ 0 0 4 +2 +4 0xc7cb 0x9951ef57 256 @@ -55606,6 +69508,8 @@ 0 0 0 +3 +1 4 0x619a 0xe85e4f93 @@ -55614,6 +69518,8 @@ 0 0 0 +3 +0 2 0x4c9d 0x5cebb939 @@ -55622,6 +69528,8 @@ 0 0 0 +1 +0 2 0xf338 0xa81cca70 @@ -55631,6 +69539,8 @@ 1 0 2 +3 +2 0x312 0xf0fa0168 256 @@ -55638,6 +69548,8 @@ 1 0 0 +1 +0 3 0x3b02 0xc7f591d5 @@ -55646,6 +69558,8 @@ 1 1 0 +3 +3 1 0x86ff 0x72494010 @@ -55654,6 +69568,8 @@ 0 0 0 +4 +1 3 0x6185 0xc051bfc @@ -55662,6 +69578,8 @@ 1 1 0 +3 +4 1 0x398a 0x32325c0d @@ -55670,6 +69588,8 @@ 0 0 0 +0 +5 3 0xf3b8 0x2afd552f @@ -55678,6 +69598,8 @@ 1 0 0 +1 +4 3 0xe189 0x2d29850a @@ -55687,6 +69609,8 @@ 1 0 4 +1 +4 0x2557 0xd326e047 256 @@ -55694,6 +69618,8 @@ 1 0 0 +5 +5 4 0x5fb5 0x2fb4e177 @@ -55702,6 +69628,8 @@ 1 0 0 +0 +4 2 0x72ae 0xa29c5051 @@ -55710,6 +69638,8 @@ 1 1 0 +5 +4 4 0x4bc0 0xd545fcb @@ -55718,6 +69648,8 @@ 0 0 0 +5 +4 1 0xfb8e 0xf3a65596 @@ -55726,6 +69658,8 @@ 1 0 0 +3 +4 2 0xab7a 0x5973ce2b @@ -55734,6 +69668,8 @@ 0 0 0 +3 +3 4 0x1215 0xb2b9918e @@ -55742,6 +69678,8 @@ 0 0 0 +1 +4 2 0xfecb 0x32a224ea @@ -55750,6 +69688,8 @@ 0 0 0 +1 +0 3 0xb5f 0xa9fb67b2 @@ -55758,6 +69698,8 @@ 0 0 0 +0 +1 3 0x35e9 0x581dc30a @@ -55766,6 +69708,8 @@ 1 0 0 +3 +0 2 0xa2f7 0x36feeb63 @@ -55774,6 +69718,8 @@ 0 0 0 +3 +3 4 0x9897 0x181beed1 @@ -55782,6 +69728,8 @@ 0 0 0 +2 +3 3 0x5c7d 0x4263096f @@ -55791,6 +69739,8 @@ 1 0 1 +3 +1 0xd0a8 0xf5f1c51f 256 @@ -55798,6 +69748,8 @@ 0 0 0 +1 +2 2 0xd3c1 0x7e039e20 @@ -55806,6 +69758,8 @@ 0 0 0 +0 +0 2 0x8bf8 0xac4814f8 @@ -55815,6 +69769,8 @@ 0 0 4 +5 +4 0x55ee 0x9823b5d3 256 @@ -55822,6 +69778,8 @@ 0 0 0 +4 +0 3 0xf3a4 0x528e093e @@ -55831,6 +69789,8 @@ 0 0 1 +5 +1 0xfbd5 0xfa6ed180 256 @@ -55838,6 +69798,8 @@ 1 1 0 +5 +5 1 0x3000 0xb583f288 @@ -55846,6 +69808,8 @@ 0 0 0 +2 +1 4 0xa900 0x4c0c4f24 @@ -55854,6 +69818,8 @@ 0 0 0 +4 +3 3 0xf56c 0xe0ec37b5 @@ -55862,6 +69828,8 @@ 1 1 0 +2 +5 4 0xb914 0x510b7cd4 @@ -55870,6 +69838,8 @@ 1 1 0 +4 +3 1 0x8108 0x1b139fcc @@ -55878,6 +69848,8 @@ 1 0 0 +5 +5 1 0xfdf9 0x59a0e6c7 @@ -55886,6 +69858,8 @@ 0 0 0 +2 +1 1 0x6121 0xc1b0bcd9 @@ -55895,6 +69869,8 @@ 0 0 3 +4 +3 0x7bf0 0x9f2f08d1 256 @@ -55902,6 +69878,8 @@ 1 1 0 +3 +2 1 0xb8ff 0x1736191e @@ -55911,6 +69889,8 @@ 1 0 2 +5 +2 0xbd56 0xa2982b05 256 @@ -55918,6 +69898,8 @@ 0 0 0 +4 +3 2 0xddf2 0x59ffc404 @@ -55926,6 +69908,8 @@ 1 0 0 +0 +0 3 0xe6f5 0x3982f814 @@ -55934,6 +69918,8 @@ 1 1 0 +2 +3 1 0xd67b 0xc8ac8d8c @@ -55942,6 +69928,8 @@ 0 0 0 +3 +2 4 0xcd8f 0x352cd66c @@ -55950,6 +69938,8 @@ 1 0 0 +2 +1 4 0x9879 0x99d1b69b @@ -55958,6 +69948,8 @@ 1 1 0 +4 +3 2 0x9722 0xcce76576 @@ -55966,6 +69958,8 @@ 0 0 0 +0 +0 2 0x13 0xec97f98a @@ -55974,6 +69968,8 @@ 0 0 0 +3 +5 4 0x71de 0xe42f6d92 @@ -55982,6 +69978,8 @@ 0 0 0 +0 +5 4 0x3acf 0xc0dc05e @@ -55990,6 +69988,8 @@ 1 0 0 +4 +1 1 0x871e 0xc2b12101 @@ -55998,6 +69998,8 @@ 0 0 0 +1 +3 3 0x219a 0xa776d1c2 @@ -56006,6 +70008,8 @@ 0 0 0 +3 +3 1 0x325e 0x5331cbb4 @@ -56014,6 +70018,8 @@ 0 0 0 +4 +1 3 0x3680 0xb59d8088 @@ -56022,6 +70028,8 @@ 1 1 0 +0 +1 2 0xae77 0x48d1acab @@ -56030,6 +70038,8 @@ 0 0 0 +0 +2 3 0x6cb9 0x2b7d1dca @@ -56038,6 +70048,8 @@ 0 0 0 +4 +1 2 0xeef4 0xa6030515 @@ -56046,6 +70058,8 @@ 1 1 0 +0 +4 3 0x21c2 0x9592eb70 @@ -56054,6 +70068,8 @@ 1 0 0 +5 +4 3 0xfa40 0xb878c044 @@ -56062,6 +70078,8 @@ 1 0 0 +0 +5 1 0x8b1e 0x36ea476c @@ -56070,6 +70088,8 @@ 0 0 0 +2 +5 3 0xe4b8 0xffcddd94 @@ -56078,6 +70098,8 @@ 1 1 0 +5 +3 3 0xfe62 0x83036eba @@ -56086,6 +70108,8 @@ 1 1 0 +5 +2 2 0x4f83 0xa3561383 @@ -56094,6 +70118,8 @@ 1 0 0 +1 +0 2 0x9e2a 0x8d1bac7d @@ -56102,6 +70128,8 @@ 0 0 0 +5 +5 1 0xd059 0xae284339 @@ -56111,6 +70139,8 @@ 0 0 1 +1 +1 0x60e2 0x31e82213 256 @@ -56118,6 +70148,8 @@ 0 0 0 +4 +5 3 0x3803 0x2cac12d8 @@ -56126,6 +70158,8 @@ 1 0 0 +1 +3 4 0x6d5a 0x100276e2 @@ -56135,6 +70169,8 @@ 0 0 1 +4 +1 0xcc2b 0xd72f52b9 256 @@ -56142,6 +70178,8 @@ 1 0 0 +0 +3 4 0x883a 0x79cb8b9f @@ -56150,6 +70188,8 @@ 1 0 0 +4 +4 2 0x6a0b 0x75ffad23 @@ -56158,6 +70198,8 @@ 1 1 0 +0 +5 1 0xca68 0x34dd5e2d @@ -56166,6 +70208,8 @@ 1 1 0 +3 +1 4 0xc4 0x29e7579e @@ -56174,6 +70218,8 @@ 1 1 0 +3 +2 4 0xeb8e 0x232c3590 @@ -56182,6 +70228,8 @@ 0 0 0 +0 +1 1 0xe58b 0xe8dd279a @@ -56190,6 +70238,8 @@ 0 0 0 +5 +4 2 0xbf4 0x2cc15326 @@ -56199,6 +70249,8 @@ 1 0 2 +4 +2 0x231c 0xe2d5bd67 256 @@ -56206,6 +70258,8 @@ 0 0 0 +1 +3 3 0xc945 0x616ee2d4 @@ -56214,6 +70268,8 @@ 0 0 0 +3 +3 1 0x1c7f 0x60bab3f3 @@ -56223,6 +70279,8 @@ 0 0 3 +4 +3 0xee9d 0x832e6ebb 256 @@ -56230,6 +70288,8 @@ 1 0 0 +4 +2 3 0xb5a4 0x137e6996 @@ -56238,6 +70298,8 @@ 0 0 0 +4 +5 1 0x2304 0x22bc287b @@ -56247,6 +70309,8 @@ 0 0 1 +2 +1 0x8661 0x12e7e770 256 @@ -56255,6 +70319,8 @@ 0 0 1 +2 +1 0x3c98 0x12077d52 256 @@ -56262,6 +70328,8 @@ 0 0 0 +0 +5 2 0x72f3 0x50a1355 @@ -56270,6 +70338,8 @@ 0 0 0 +5 +0 3 0x722e 0x2270bee3 @@ -56278,6 +70348,8 @@ 0 0 0 +4 +4 1 0x10b9 0xf155980c @@ -56286,6 +70358,8 @@ 1 1 0 +3 +0 1 0x4750 0x90d4f5a9 @@ -56294,6 +70368,8 @@ 0 0 0 +0 +5 3 0x10d 0xfe8926d @@ -56302,6 +70378,8 @@ 0 0 0 +3 +1 2 0xb90 0x7f697bed @@ -56310,6 +70388,8 @@ 0 0 0 +2 +4 3 0x532 0x4de9e301 @@ -56318,6 +70398,8 @@ 1 1 0 +2 +2 4 0xe061 0x5811a21c @@ -56326,6 +70408,8 @@ 0 0 0 +0 +3 2 0xec8f 0x600d74ce @@ -56334,6 +70418,8 @@ 1 0 0 +3 +4 2 0x9fe3 0x47fcb97c @@ -56342,6 +70428,8 @@ 0 0 0 +1 +0 3 0xef59 0x6aa245a6 @@ -56351,6 +70439,8 @@ 0 0 2 +4 +2 0xb75b 0xe7a40fd0 256 @@ -56359,6 +70449,8 @@ 0 0 2 +3 +2 0x8f7f 0xb46d506 256 @@ -56366,6 +70458,8 @@ 1 1 0 +2 +5 1 0x71ba 0x866d56e6 @@ -56374,6 +70468,8 @@ 0 0 0 +5 +3 4 0xc0a0 0xa40cad30 @@ -56382,6 +70478,8 @@ 0 0 0 +4 +1 2 0xec42 0x710fe678 @@ -56390,6 +70488,8 @@ 0 0 0 +5 +1 1 0x9e1a 0xc5252bf1 @@ -56398,6 +70498,8 @@ 0 0 0 +1 +4 3 0x7401 0x4627e741 @@ -56406,6 +70508,8 @@ 0 0 0 +4 +2 3 0x42c7 0xb5038128 @@ -56414,6 +70518,8 @@ 1 0 0 +3 +5 1 0x88fb 0x2b7ce4 @@ -56422,6 +70528,8 @@ 0 0 0 +2 +2 4 0x18f1 0xec61d40f @@ -56430,6 +70538,8 @@ 1 0 0 +5 +3 3 0x72a3 0xefe4f5c7 @@ -56438,6 +70548,8 @@ 0 0 0 +3 +0 2 0xc49f 0xb9c911fc @@ -56446,6 +70558,8 @@ 0 0 0 +0 +0 3 0x45e9 0xc1af7ddc @@ -56455,6 +70569,8 @@ 0 0 2 +2 +2 0x93c3 0xb090735a 256 @@ -56462,6 +70578,8 @@ 0 0 0 +4 +2 3 0x2a04 0xcdecb846 @@ -56471,6 +70589,8 @@ 1 0 1 +0 +1 0xc816 0x76f4b016 256 @@ -56478,6 +70598,8 @@ 1 0 0 +1 +3 3 0x8711 0x342c8506 @@ -56486,6 +70608,8 @@ 0 0 0 +2 +5 4 0xbcc7 0x5259e82d @@ -56494,6 +70618,8 @@ 0 0 0 +3 +3 1 0x61e1 0x2c999879 @@ -56502,6 +70628,8 @@ 1 1 0 +5 +2 4 0x5fa1 0x7a8bee9a @@ -56510,6 +70638,8 @@ 1 1 0 +4 +4 1 0xec7d 0x9e37d336 @@ -56518,6 +70648,8 @@ 0 0 0 +3 +3 4 0x445d 0x307c7eaf @@ -56526,6 +70658,8 @@ 1 1 0 +3 +3 2 0x9f19 0x1a93ec73 @@ -56534,6 +70668,8 @@ 1 0 0 +5 +5 4 0x475f 0xd94ef779 @@ -56543,6 +70679,8 @@ 0 0 4 +4 +4 0xad66 0x10c01413 256 @@ -56550,6 +70688,8 @@ 0 0 0 +2 +0 4 0x70b4 0x75884274 @@ -56558,6 +70698,8 @@ 1 1 0 +5 +0 2 0x76a9 0x7961cb59 @@ -56566,6 +70708,8 @@ 1 0 0 +5 +3 3 0xd111 0x4c4d0e39 @@ -56574,6 +70718,8 @@ 0 0 0 +0 +2 3 0xa21a 0xc5087fff @@ -56582,6 +70728,8 @@ 0 0 0 +3 +1 1 0xf28 0x3e2198e4 @@ -56590,6 +70738,8 @@ 1 1 0 +4 +1 1 0x1e35 0xff33f957 @@ -56598,6 +70748,8 @@ 1 0 0 +4 +2 1 0x4ec4 0x9980bebf @@ -56606,6 +70758,8 @@ 0 0 0 +5 +1 3 0x1f25 0x2664cf4f @@ -56614,6 +70768,8 @@ 1 0 0 +1 +5 4 0xfdda 0x518db2dd @@ -56622,6 +70778,8 @@ 1 1 0 +2 +0 3 0x2f12 0x6df677ce @@ -56630,6 +70788,8 @@ 1 0 0 +2 +3 4 0xf114 0xbf336b2 @@ -56638,6 +70798,8 @@ 0 0 0 +2 +0 1 0xad08 0xfdbe0f2 @@ -56646,6 +70808,8 @@ 0 0 0 +2 +4 4 0xab83 0xcb29a574 @@ -56655,6 +70819,8 @@ 0 0 4 +0 +4 0x76dc 0x5791263f 256 @@ -56662,6 +70828,8 @@ 0 0 0 +3 +1 4 0x2596 0x55f9faed @@ -56670,6 +70838,8 @@ 0 0 0 +5 +1 1 0x3ea7 0x7c413632 @@ -56678,6 +70848,8 @@ 0 0 0 +1 +0 2 0xcb59 0x6f650c0a @@ -56686,6 +70858,8 @@ 0 0 0 +4 +2 1 0xa24f 0x2a0040b0 @@ -56694,6 +70868,8 @@ 1 0 0 +0 +0 4 0x571a 0xde6d4430 @@ -56702,6 +70878,8 @@ 1 0 0 +5 +2 1 0xcf72 0x2bab597d @@ -56710,6 +70888,8 @@ 1 1 0 +1 +3 2 0xd463 0x885620c5 @@ -56718,6 +70898,8 @@ 0 0 0 +3 +3 4 0xcf5a 0xd2cfc0dc @@ -56726,6 +70908,8 @@ 1 0 0 +5 +1 3 0x4271 0xa9b34fa4 @@ -56734,6 +70918,8 @@ 0 0 0 +5 +5 4 0xfedf 0xd49489e2 @@ -56742,6 +70928,8 @@ 0 0 0 +1 +3 3 0x4f50 0x4cfb92a @@ -56750,6 +70938,8 @@ 0 0 0 +5 +2 4 0x9d8c 0xd3fbf473 @@ -56758,6 +70948,8 @@ 0 0 0 +5 +2 3 0xd152 0x481c3c2e @@ -56767,6 +70959,8 @@ 0 0 2 +3 +2 0xf23b 0x636df7fa 256 @@ -56775,6 +70969,8 @@ 1 0 3 +2 +3 0x2ec3 0x703271fe 256 @@ -56782,6 +70978,8 @@ 1 1 0 +1 +3 2 0x4157 0xc3a5f88c @@ -56790,6 +70988,8 @@ 1 0 0 +3 +3 1 0xedc7 0x6d426f25 @@ -56798,6 +70998,8 @@ 1 0 0 +4 +5 3 0x9afe 0xabbe5296 @@ -56806,6 +71008,8 @@ 0 0 0 +0 +0 1 0xd54b 0x18401e94 @@ -56814,6 +71018,8 @@ 1 0 0 +5 +1 2 0x6806 0x4c508671 @@ -56822,6 +71028,8 @@ 0 0 0 +1 +1 3 0xe293 0x61e5ba72 @@ -56830,6 +71038,8 @@ 1 0 0 +3 +1 1 0x2d18 0x34d15505 @@ -56838,6 +71048,8 @@ 1 1 0 +0 +0 4 0xd127 0x98e778ae @@ -56846,6 +71058,8 @@ 1 0 0 +4 +4 3 0x695c 0xb2492f33 @@ -56855,6 +71069,8 @@ 0 0 2 +1 +2 0x9da3 0xe5972c3a 256 @@ -56862,6 +71078,8 @@ 0 0 0 +0 +4 1 0x5a5c 0xcfe452e5 @@ -56870,6 +71088,8 @@ 0 0 0 +5 +1 4 0xc745 0xa085cb4a @@ -56878,6 +71098,8 @@ 1 1 0 +3 +3 2 0x9081 0xc3d9ca8b @@ -56886,6 +71108,8 @@ 1 0 0 +1 +0 2 0x2973 0x161ab8dd @@ -56894,6 +71118,8 @@ 0 0 0 +5 +0 3 0xdf35 0xa936ca5d @@ -56902,6 +71128,8 @@ 1 1 0 +1 +4 3 0x1d65 0xa6ab04e4 @@ -56911,6 +71139,8 @@ 0 0 4 +2 +4 0xad54 0xc37a008b 256 @@ -56918,6 +71148,8 @@ 0 0 0 +5 +3 2 0xbc26 0x110a67f2 @@ -56926,6 +71158,8 @@ 0 0 0 +1 +2 4 0x35b3 0x7a2814d @@ -56935,6 +71169,8 @@ 1 0 4 +1 +4 0xe0e0 0x6694620f 256 @@ -56942,6 +71178,8 @@ 1 1 0 +4 +3 2 0xf492 0xfaa470b2 @@ -56950,6 +71188,8 @@ 0 0 0 +3 +3 2 0xf3b5 0x32e6090a @@ -56958,6 +71198,8 @@ 0 0 0 +0 +2 1 0xbc1 0x4baa6104 @@ -56967,6 +71209,8 @@ 1 0 4 +5 +4 0x6968 0xa8dc65cf 256 @@ -56974,6 +71218,8 @@ 1 1 0 +0 +2 3 0xdb9c 0x508270e1 @@ -56982,6 +71228,8 @@ 0 0 0 +5 +0 3 0x48e 0x67d32d29 @@ -56990,6 +71238,8 @@ 0 0 0 +2 +1 1 0x8c56 0x8a4f3987 @@ -56998,6 +71248,8 @@ 0 0 0 +5 +2 2 0x3d82 0xcf0df29e @@ -57006,6 +71258,8 @@ 0 0 0 +4 +5 3 0x7774 0x5a39eb0 @@ -57014,6 +71268,8 @@ 0 0 0 +1 +1 2 0x848a 0x685ba1db @@ -57022,6 +71278,8 @@ 1 1 0 +4 +4 2 0xf039 0x876a367d @@ -57030,6 +71288,8 @@ 0 0 0 +5 +5 3 0x47ae 0x3778a40f @@ -57038,6 +71298,8 @@ 0 0 0 +4 +2 3 0xcf10 0xf9362e9 @@ -57046,6 +71308,8 @@ 1 0 0 +4 +2 2 0x3f10 0xb4048f7f @@ -57055,6 +71319,8 @@ 1 0 3 +4 +3 0xdd70 0x3c672da1 256 @@ -57062,6 +71328,8 @@ 1 1 0 +2 +4 3 0x9b10 0x9922517c @@ -57070,6 +71338,8 @@ 1 0 0 +3 +3 1 0x713a 0x3f5a9325 @@ -57079,6 +71349,8 @@ 0 0 1 +3 +1 0x466 0x3e298ebb 256 @@ -57086,6 +71358,8 @@ 1 1 0 +4 +4 2 0x8d68 0x511959f1 @@ -57094,6 +71368,8 @@ 0 0 0 +4 +5 2 0x9fab 0x13e6346c @@ -57103,6 +71379,8 @@ 0 0 4 +3 +4 0x78c4 0xc9788e03 256 @@ -57111,6 +71389,8 @@ 0 0 4 +2 +4 0x898f 0xaa8eecd1 256 @@ -57118,6 +71398,8 @@ 1 1 0 +2 +1 1 0xe721 0x55bc652b @@ -57127,6 +71409,8 @@ 0 0 3 +2 +3 0x6b2a 0x9a83e51e 256 @@ -57134,6 +71418,8 @@ 0 0 0 +4 +0 1 0x7282 0xcaa6ce9 @@ -57143,6 +71429,8 @@ 0 0 4 +5 +4 0x6983 0xa72a375b 256 @@ -57150,6 +71438,8 @@ 1 0 0 +5 +0 2 0xfbe4 0xaecb372 @@ -57158,6 +71448,8 @@ 0 0 0 +0 +0 2 0x2402 0x4f0fbd9b @@ -57166,6 +71458,8 @@ 0 0 0 +1 +0 3 0x396f 0x7e27d31 @@ -57174,6 +71468,8 @@ 1 1 0 +3 +0 1 0x92f9 0x34b08c0a @@ -57182,6 +71478,8 @@ 0 0 0 +2 +1 1 0xeb0f 0x84874956 @@ -57190,6 +71488,8 @@ 0 0 0 +2 +1 3 0x7a7d 0x22334fd6 @@ -57198,6 +71498,8 @@ 0 0 0 +4 +2 1 0x6f87 0xd86f31ea @@ -57206,6 +71508,8 @@ 0 0 0 +4 +4 1 0xf8d6 0x1519f400 @@ -57214,6 +71518,8 @@ 1 1 0 +1 +5 4 0x83dd 0xe369b5cd @@ -57222,6 +71528,8 @@ 1 1 0 +2 +5 3 0x42df 0xfee1ae83 @@ -57230,6 +71538,8 @@ 1 0 0 +5 +0 4 0xe566 0x3f3f684 @@ -57238,6 +71548,8 @@ 1 1 0 +4 +2 3 0xe2e4 0x5d85bf3 @@ -57247,6 +71559,8 @@ 0 0 1 +0 +1 0xb0f9 0xe2bc1165 256 @@ -57255,6 +71569,8 @@ 0 0 3 +5 +3 0x24bf 0x88b7c421 256 @@ -57262,6 +71578,8 @@ 0 0 0 +2 +2 1 0xaf45 0x3ad4a755 @@ -57270,6 +71588,8 @@ 1 0 0 +1 +3 2 0x9326 0xfda44f7d @@ -57278,6 +71598,8 @@ 1 0 0 +1 +2 2 0x1461 0x3b9ebc0d @@ -57286,6 +71608,8 @@ 1 0 0 +5 +5 2 0x31a6 0xbb77edf8 @@ -57295,6 +71619,8 @@ 0 0 1 +0 +1 0x3bb6 0xc0e1c58c 256 @@ -57302,6 +71628,8 @@ 1 1 0 +5 +4 3 0x622a 0x3cf4f535 @@ -57310,6 +71638,8 @@ 1 0 0 +0 +2 3 0x6338 0x47dcb811 @@ -57318,6 +71648,8 @@ 0 0 0 +4 +5 3 0x8814 0x30dab2e7 @@ -57326,6 +71658,8 @@ 0 0 0 +5 +4 1 0x5b7f 0xb4f16e1b @@ -57334,6 +71668,8 @@ 1 0 0 +4 +1 3 0xde70 0x7dc33c81 @@ -57342,6 +71678,8 @@ 0 0 0 +1 +0 3 0x2d6e 0xe2ffefb3 @@ -57350,6 +71688,8 @@ 0 0 0 +0 +4 2 0x760b 0xeeecd1c4 @@ -57358,6 +71698,8 @@ 1 0 0 +0 +2 1 0x9db 0x44492862 @@ -57366,6 +71708,8 @@ 0 0 0 +0 +4 3 0x7f09 0x6e3a6a9b @@ -57374,6 +71718,8 @@ 0 0 0 +5 +0 1 0x633a 0xa26f132b @@ -57382,6 +71728,8 @@ 0 0 0 +1 +1 2 0x72cc 0x9a2b4b3f @@ -57390,6 +71738,8 @@ 0 0 0 +3 +0 2 0x6a77 0x2d95469 @@ -57399,6 +71749,8 @@ 0 0 4 +0 +4 0x1e5c 0xc403de83 256 @@ -57406,6 +71758,8 @@ 1 0 0 +2 +5 3 0x207c 0x1d915478 @@ -57414,6 +71768,8 @@ 1 0 0 +2 +4 1 0x7b19 0xf8f7390a @@ -57422,6 +71778,8 @@ 0 0 0 +2 +3 4 0x1322 0x7943d951 @@ -57430,6 +71788,8 @@ 0 0 0 +5 +0 3 0x76b2 0xafb1117 @@ -57438,6 +71798,8 @@ 1 1 0 +4 +1 3 0x36c7 0xf5de9ea7 @@ -57447,6 +71809,8 @@ 1 0 3 +5 +3 0x127e 0xdd592a86 256 @@ -57454,6 +71818,8 @@ 1 1 0 +4 +2 3 0xcd65 0x6c7444b0 @@ -57462,6 +71828,8 @@ 1 0 0 +1 +4 2 0xa2c4 0x6128096b @@ -57470,6 +71838,8 @@ 0 0 0 +3 +5 4 0xf143 0x89401c39 @@ -57478,6 +71848,8 @@ 0 0 0 +4 +5 1 0x856c 0xdea83022 @@ -57486,6 +71858,8 @@ 1 1 0 +3 +5 1 0x5d50 0x5361f748 @@ -57495,6 +71869,8 @@ 1 0 3 +4 +3 0x8ea 0xc205d588 256 @@ -57503,6 +71879,8 @@ 0 0 3 +2 +3 0x2c78 0x61644ab5 256 @@ -57510,6 +71888,8 @@ 1 1 0 +4 +0 1 0x326a 0xdca3bb0d @@ -57518,6 +71898,8 @@ 1 1 0 +2 +1 3 0x7c60 0x5d7972e @@ -57527,6 +71909,8 @@ 0 0 3 +4 +3 0x2d4f 0xd095f574 256 @@ -57534,6 +71918,8 @@ 0 0 0 +5 +4 4 0x5ad2 0x4c60d5ad @@ -57542,6 +71928,8 @@ 0 0 0 +0 +4 2 0xfac2 0xcb93101f @@ -57550,6 +71938,8 @@ 1 0 0 +5 +5 2 0x1af9 0x9fb8be24 @@ -57558,6 +71948,8 @@ 0 0 0 +1 +3 2 0x49bc 0x76e2fdc1 @@ -57566,6 +71958,8 @@ 0 0 0 +0 +3 2 0xa9b5 0x83693cc6 @@ -57574,6 +71968,8 @@ 1 1 0 +5 +5 3 0x28b 0xea9f56d5 @@ -57583,6 +71979,8 @@ 1 0 3 +0 +3 0xa111 0x3b038508 256 @@ -57591,6 +71989,8 @@ 0 0 1 +2 +1 0xa45a 0x50d1290e 256 @@ -57598,6 +71998,8 @@ 0 0 0 +4 +5 2 0x121e 0xd29a9643 @@ -57606,6 +72008,8 @@ 0 0 0 +3 +0 4 0x8fb2 0xc6868778 @@ -57614,6 +72018,8 @@ 0 0 0 +2 +3 4 0x3b38 0x6a255804 @@ -57622,6 +72028,8 @@ 0 0 0 +1 +3 3 0xe120 0xb458f041 @@ -57630,6 +72038,8 @@ 1 1 0 +4 +1 1 0xe543 0x6fb94a12 @@ -57638,6 +72048,8 @@ 1 1 0 +4 +1 3 0x4649 0x690218f8 @@ -57646,6 +72058,8 @@ 0 0 0 +1 +4 2 0xb32a 0x7cb54bf0 @@ -57654,6 +72068,8 @@ 0 0 0 +0 +4 4 0xe1f6 0xd359af3d @@ -57663,6 +72079,8 @@ 0 0 2 +3 +2 0x8d1c 0x699d705e 256 @@ -57670,6 +72088,8 @@ 0 0 0 +2 +0 4 0x4b35 0xba57b33b @@ -57678,6 +72098,8 @@ 0 0 0 +5 +0 2 0xf7ae 0x55688565 @@ -57686,6 +72108,8 @@ 0 0 0 +4 +2 2 0xa119 0x7ce3e289 @@ -57694,6 +72118,8 @@ 0 0 0 +4 +5 3 0x63ea 0x574d4745 @@ -57702,6 +72128,8 @@ 1 1 0 +2 +4 4 0x9fe5 0xca878d9e @@ -57711,6 +72139,8 @@ 0 0 2 +5 +2 0xeb98 0x94620114 256 @@ -57718,6 +72148,8 @@ 1 0 0 +0 +0 1 0x467d 0x10a3f942 @@ -57726,6 +72158,8 @@ 1 1 0 +2 +2 4 0x7907 0x77086171 @@ -57734,6 +72168,8 @@ 1 0 0 +1 +1 3 0x75bd 0x75a9653c @@ -57742,6 +72178,8 @@ 1 1 0 +5 +1 1 0xd230 0xb8f7ca60 @@ -57750,6 +72188,8 @@ 0 0 0 +3 +3 1 0xa87c 0x48757f8b @@ -57758,6 +72198,8 @@ 0 0 0 +0 +4 1 0x4216 0xc317685 @@ -57766,6 +72208,8 @@ 0 0 0 +0 +1 1 0xa0b0 0x66d068d5 @@ -57775,6 +72219,8 @@ 0 0 4 +1 +4 0xbae0 0x3bda02c5 256 @@ -57782,6 +72228,8 @@ 1 1 0 +1 +3 2 0x564 0xb6431d4c @@ -57790,6 +72238,8 @@ 0 0 0 +0 +2 3 0xeb9f 0x782ca845 @@ -57798,6 +72248,8 @@ 0 0 0 +5 +1 2 0xf06c 0x2bf188c1 @@ -57806,6 +72258,8 @@ 1 1 0 +0 +5 4 0x3d45 0xae240bc5 @@ -57815,6 +72269,8 @@ 1 0 3 +3 +3 0x1cf 0x1c1c1d50 256 @@ -57822,6 +72278,8 @@ 1 0 0 +5 +4 4 0xafff 0x53429795 @@ -57830,6 +72288,8 @@ 1 0 0 +4 +2 1 0x2bcd 0xfba03fcf @@ -57838,6 +72298,8 @@ 1 0 0 +1 +0 4 0xc1f6 0xa9a1729f @@ -57846,6 +72308,8 @@ 1 1 0 +3 +5 1 0x6de6 0xc5dd7a2 @@ -57854,6 +72318,8 @@ 0 0 0 +4 +3 3 0x92fa 0x289e0544 @@ -57862,6 +72328,8 @@ 0 0 0 +1 +1 3 0x80f9 0x45a9df58 @@ -57871,6 +72339,8 @@ 1 0 2 +5 +2 0x8dc2 0xd0c684db 256 @@ -57878,6 +72348,8 @@ 0 0 0 +3 +1 2 0x87de 0x7b49008e @@ -57886,6 +72358,8 @@ 0 0 0 +5 +1 2 0xad55 0x22ac648b @@ -57894,6 +72368,8 @@ 0 0 0 +2 +5 3 0x1a6f 0x70089bc0 @@ -57902,6 +72378,8 @@ 0 0 0 +3 +0 2 0x8e69 0x52554583 @@ -57910,6 +72388,8 @@ 1 0 0 +4 +2 2 0x2945 0x7daa143 @@ -57919,6 +72399,8 @@ 0 0 1 +4 +1 0x3416 0x50fa33c0 256 @@ -57926,6 +72408,8 @@ 0 0 0 +3 +5 4 0x9b22 0xf19064fe @@ -57934,6 +72418,8 @@ 0 0 0 +3 +1 4 0x2ba3 0xefa5130 @@ -57942,6 +72428,8 @@ 1 0 0 +1 +4 2 0xe26e 0x49624451 @@ -57950,6 +72438,8 @@ 1 0 0 +4 +0 3 0x35ee 0x59d27bb @@ -57958,6 +72448,8 @@ 0 0 0 +5 +1 4 0x6be3 0x8042bcd9 @@ -57966,6 +72458,8 @@ 0 0 0 +5 +3 1 0x80f 0x3ecd9fdf @@ -57974,6 +72468,8 @@ 0 0 0 +2 +3 1 0x5936 0x855f530d @@ -57982,6 +72478,8 @@ 0 0 0 +2 +4 3 0xa47f 0xb016843d @@ -57990,6 +72488,8 @@ 0 0 0 +0 +2 4 0x7b8b 0x509bf97 @@ -57998,6 +72498,8 @@ 1 0 0 +4 +1 1 0xdc4f 0xdcfe2f0e @@ -58006,6 +72508,8 @@ 0 0 0 +2 +1 3 0xbb5e 0x4be1d759 @@ -58014,6 +72518,8 @@ 1 0 0 +1 +2 4 0x954c 0xf8fa2502 @@ -58022,6 +72528,8 @@ 1 1 0 +3 +4 2 0x2168 0x286d89a1 @@ -58030,6 +72538,8 @@ 0 0 0 +2 +3 4 0x8635 0x3c1d67 @@ -58038,6 +72548,8 @@ 1 1 0 +4 +1 1 0x89a9 0xb56a1ebf @@ -58046,6 +72558,8 @@ 0 0 0 +2 +3 3 0xbbf0 0xd6adf2e @@ -58054,6 +72568,8 @@ 0 0 0 +0 +1 3 0x4a70 0x2de910e1 @@ -58062,6 +72578,8 @@ 0 0 0 +3 +1 1 0x49c3 0x9fe8bc1 @@ -58070,6 +72588,8 @@ 1 1 0 +3 +5 4 0xbff3 0xdb9182a1 @@ -58079,6 +72599,8 @@ 0 0 1 +3 +1 0xd9ba 0x908132a4 256 @@ -58086,6 +72608,8 @@ 1 0 0 +1 +5 2 0x4341 0x626ca68 @@ -58094,6 +72618,8 @@ 1 1 0 +1 +2 2 0xbc5c 0x3459cdf7 @@ -58102,6 +72628,8 @@ 0 0 0 +4 +2 3 0x5205 0x12887277 @@ -58110,6 +72638,8 @@ 1 1 0 +3 +4 2 0x3790 0x7a485372 @@ -58118,6 +72648,8 @@ 0 0 0 +5 +2 3 0xf4be 0x3856e0bf @@ -58126,6 +72658,8 @@ 1 1 0 +3 +0 1 0xe1bd 0x2abcd99e @@ -58134,6 +72668,8 @@ 0 0 0 +1 +5 4 0x9b09 0xf840608c @@ -58142,6 +72678,8 @@ 0 0 0 +5 +3 1 0x205c 0xab564eb0 @@ -58151,6 +72689,8 @@ 0 0 4 +0 +4 0x553d 0x2dc6acc1 256 @@ -58158,6 +72698,8 @@ 0 0 0 +1 +4 3 0x891 0x928d1036 @@ -58166,6 +72708,8 @@ 1 1 0 +4 +4 2 0xdbb3 0xdce50c40 @@ -58174,6 +72718,8 @@ 1 1 0 +4 +1 3 0x19a7 0x67e2cae0 @@ -58183,6 +72729,8 @@ 0 0 4 +1 +4 0xd039 0x5a6cd871 256 @@ -58190,6 +72738,8 @@ 0 0 0 +2 +2 4 0xb267 0x60d243b4 @@ -58198,6 +72748,8 @@ 0 0 0 +0 +5 4 0x29d5 0x413c16ee @@ -58206,6 +72758,8 @@ 1 1 0 +0 +1 2 0xb414 0xcb78af6 @@ -58214,6 +72768,8 @@ 0 0 0 +0 +4 4 0x7833 0xf08cb5ce @@ -58222,6 +72778,8 @@ 0 0 0 +2 +4 3 0x38e2 0x630598b2 @@ -58230,6 +72788,8 @@ 0 0 0 +4 +1 3 0x86d 0xf5568779 @@ -58238,6 +72798,8 @@ 1 0 0 +3 +4 4 0x373d 0x341fb453 @@ -58246,6 +72808,8 @@ 1 0 0 +4 +5 3 0xf9ad 0x64d81148 @@ -58255,6 +72819,8 @@ 0 0 1 +2 +1 0xcf97 0xdf391105 256 @@ -58262,6 +72828,8 @@ 0 0 0 +5 +3 2 0x9cde 0x99516980 @@ -58270,6 +72838,8 @@ 1 0 0 +4 +1 2 0xe461 0xa330bc04 @@ -58279,6 +72849,8 @@ 0 0 2 +2 +2 0x7c3c 0xc979942c 256 @@ -58286,6 +72858,8 @@ 0 0 0 +4 +5 3 0xcdb9 0x8284c995 @@ -58295,6 +72869,8 @@ 0 0 1 +3 +1 0x1881 0x5d5bdc42 256 @@ -58302,6 +72878,8 @@ 0 0 0 +1 +0 4 0xea02 0xc584f99c @@ -58310,6 +72888,8 @@ 1 0 0 +4 +4 3 0xda9f 0x9653f138 @@ -58318,6 +72898,8 @@ 0 0 0 +5 +1 4 0x8a8d 0xbf365e27 @@ -58326,6 +72908,8 @@ 1 0 0 +5 +0 4 0xffae 0x59045c59 @@ -58334,6 +72918,8 @@ 0 0 0 +3 +0 1 0x4586 0xeed7bb0f @@ -58342,6 +72928,8 @@ 0 0 0 +1 +1 3 0x6744 0xedf96c61 @@ -58350,6 +72938,8 @@ 1 1 0 +2 +0 1 0xe9c7 0x63f6f1aa @@ -58358,6 +72948,8 @@ 1 1 0 +2 +4 4 0x2aeb 0xefa4180c @@ -58366,6 +72958,8 @@ 0 0 0 +0 +3 4 0x51ef 0x244ca8bc @@ -58374,6 +72968,8 @@ 1 1 0 +4 +3 1 0x53fe 0xf20958f6 @@ -58382,6 +72978,8 @@ 0 0 0 +5 +4 2 0xd50f 0xe80408b4 @@ -58390,6 +72988,8 @@ 1 1 0 +3 +0 2 0x23b 0xcc2d59ff @@ -58398,6 +72998,8 @@ 1 1 0 +1 +3 3 0x5342 0xbae32eff @@ -58407,6 +73009,8 @@ 1 0 2 +2 +2 0x41ff 0xc936ef83 256 @@ -58414,6 +73018,8 @@ 1 1 0 +0 +0 4 0xe484 0xb2ce1c3a @@ -58422,6 +73028,8 @@ 0 0 0 +4 +5 3 0x48fd 0x3448a0dc @@ -58431,6 +73039,8 @@ 1 0 2 +2 +2 0x5473 0xc44929e 256 @@ -58439,6 +73049,8 @@ 0 0 4 +2 +4 0x343d 0x22fd0cb6 256 @@ -58447,6 +73059,8 @@ 0 0 3 +3 +3 0xd66b 0x385c76d9 256 @@ -58454,6 +73068,8 @@ 0 0 0 +3 +5 1 0xa415 0x56eb4404 @@ -58462,6 +73078,8 @@ 1 1 0 +2 +0 3 0xdb2a 0x883deac9 @@ -58470,6 +73088,8 @@ 1 0 0 +1 +5 3 0xf41c 0xe38adf8b @@ -58478,6 +73098,8 @@ 0 0 0 +5 +3 4 0x3a5f 0x3582eac4 @@ -58486,6 +73108,8 @@ 0 0 0 +3 +1 2 0x77ab 0x9157ad0 @@ -58495,6 +73119,8 @@ 1 0 1 +1 +1 0xa66f 0xf38dcdcd 256 @@ -58502,6 +73128,8 @@ 0 0 0 +0 +4 2 0x8e80 0xe09536d4 @@ -58511,6 +73139,8 @@ 0 0 3 +0 +3 0x8d84 0xc9ecd242 256 @@ -58519,6 +73149,8 @@ 0 0 4 +3 +4 0xdf9a 0x5ea0950c 256 @@ -58526,6 +73158,8 @@ 0 0 0 +5 +5 1 0x94a1 0x3ec6a8e1 @@ -58534,6 +73168,8 @@ 1 0 0 +1 +4 4 0x3478 0x1d141611 @@ -58542,6 +73178,8 @@ 0 0 0 +1 +3 4 0x7f9c 0x7b65d339 @@ -58551,6 +73189,8 @@ 0 0 2 +1 +2 0x55d5 0xd79613f9 256 @@ -58558,6 +73198,8 @@ 0 0 0 +4 +3 2 0x9d5 0x8e286f7e @@ -58566,6 +73208,8 @@ 1 0 0 +0 +5 2 0x60e4 0x4ce72265 @@ -58575,6 +73219,8 @@ 0 0 3 +3 +3 0x6f1e 0x11b25db1 256 @@ -58582,6 +73228,8 @@ 1 0 0 +2 +4 3 0xdab6 0xd26db604 @@ -58590,6 +73238,8 @@ 1 0 0 +5 +5 4 0xa74f 0x860932fb @@ -58599,6 +73249,8 @@ 0 0 1 +5 +1 0xac62 0x28bb83d6 256 @@ -58606,6 +73258,8 @@ 0 0 0 +0 +1 3 0xce9d 0x29737f02 @@ -58614,6 +73268,8 @@ 0 0 0 +0 +3 2 0x18c6 0x9fcef682 @@ -58622,6 +73278,8 @@ 1 0 0 +0 +0 1 0x4955 0x83e86ea2 @@ -58630,6 +73288,8 @@ 1 1 0 +0 +5 4 0x289a 0x528fb3c2 @@ -58638,6 +73298,8 @@ 1 0 0 +4 +5 1 0x17ad 0x5e8e83cd @@ -58646,6 +73308,8 @@ 1 0 0 +0 +5 1 0x245e 0xb7114c65 @@ -58654,6 +73318,8 @@ 0 0 0 +5 +2 3 0xdec2 0x3b2dd91f @@ -58662,6 +73328,8 @@ 0 0 0 +3 +5 1 0xc6ee 0x422d7311 @@ -58670,6 +73338,8 @@ 1 0 0 +1 +0 3 0x9e65 0xb29e9ee3 @@ -58678,6 +73348,8 @@ 1 0 0 +1 +1 2 0xbbfb 0xf9650778 @@ -58687,6 +73359,8 @@ 0 0 4 +2 +4 0xad72 0x28a2e8fc 256 @@ -58694,6 +73368,8 @@ 0 0 0 +0 +4 1 0x4e54 0x1520f105 @@ -58702,6 +73378,8 @@ 1 1 0 +2 +3 4 0x3a59 0xb7dce5ec @@ -58711,6 +73389,8 @@ 0 0 4 +4 +4 0x6392 0xc21bd7a 256 @@ -58719,6 +73399,8 @@ 0 0 1 +3 +1 0xc0a2 0x62b60de6 256 @@ -58726,6 +73408,8 @@ 1 0 0 +4 +3 2 0x5783 0xca67369a @@ -58734,6 +73418,8 @@ 0 0 0 +5 +0 3 0x2f3c 0x60b60371 @@ -58742,6 +73428,8 @@ 0 0 0 +0 +4 1 0x7c23 0x878e437e @@ -58750,6 +73438,8 @@ 1 0 0 +4 +2 3 0x36cb 0x9e368421 @@ -58758,6 +73448,8 @@ 1 0 0 +2 +3 4 0x4ea9 0x18a667d1 @@ -58766,6 +73458,8 @@ 0 0 0 +0 +5 3 0xea32 0x17d838d1 @@ -58774,6 +73468,8 @@ 0 0 0 +3 +1 1 0x713f 0x7bfc6dfe @@ -58782,6 +73478,8 @@ 1 0 0 +0 +1 4 0x215c 0x9d856cbe @@ -58790,6 +73488,8 @@ 0 0 0 +3 +2 4 0x330 0xe3fa02a6 @@ -58798,6 +73498,8 @@ 0 0 0 +0 +5 2 0x1acd 0x1151a64d @@ -58807,6 +73509,8 @@ 0 0 4 +1 +4 0xe72a 0xfd3aa439 256 @@ -58814,6 +73518,8 @@ 1 0 0 +0 +0 2 0x2390 0x50a31e0f @@ -58823,6 +73529,8 @@ 0 0 2 +3 +2 0x5d8b 0x6f80fe0c 256 @@ -58830,6 +73538,8 @@ 0 0 0 +5 +1 3 0x2c0e 0x74a761d6 @@ -58838,6 +73548,8 @@ 0 0 0 +4 +2 1 0x7e5d 0x61b8d97 @@ -58846,6 +73558,8 @@ 1 1 0 +1 +3 2 0xcbab 0x4c04851f @@ -58854,6 +73568,8 @@ 0 0 0 +4 +1 1 0x61db 0x9dd0976b @@ -58862,6 +73578,8 @@ 0 0 0 +0 +2 1 0x9730 0x8377570f @@ -58870,6 +73588,8 @@ 0 0 0 +4 +4 3 0x53 0xf7b462c6 @@ -58878,6 +73598,8 @@ 0 0 0 +1 +3 3 0x73c2 0x412b3a55 @@ -58886,6 +73608,8 @@ 1 0 0 +3 +4 1 0x4497 0x1667d42 @@ -58894,6 +73618,8 @@ 1 1 0 +5 +2 3 0x3599 0x9578b592 @@ -58902,6 +73628,8 @@ 0 0 0 +2 +5 4 0xf794 0xa5d23a94 @@ -58910,6 +73638,8 @@ 1 1 0 +1 +3 3 0x7884 0xc232d8c6 @@ -58918,6 +73648,8 @@ 0 0 0 +1 +1 2 0x4c5b 0x89bd7cb9 @@ -58926,6 +73658,8 @@ 0 0 0 +1 +1 2 0x6f61 0x9fd43492 @@ -58935,6 +73669,8 @@ 0 0 4 +4 +4 0xd3ed 0x64d2bc15 256 @@ -58943,6 +73679,8 @@ 0 0 2 +4 +2 0x3eac 0x105aeb10 256 @@ -58950,6 +73688,8 @@ 1 0 0 +3 +4 2 0xd009 0x282c36c0 @@ -58958,6 +73698,8 @@ 1 0 0 +1 +0 2 0x5e90 0xa33ff5cb @@ -58966,6 +73708,8 @@ 1 0 0 +1 +1 3 0x8cf9 0xc4e5293a @@ -58974,6 +73718,8 @@ 0 0 0 +5 +0 3 0x26fd 0x79ac6644 @@ -58982,6 +73728,8 @@ 0 0 0 +3 +4 2 0x6927 0x4557d676 @@ -58990,6 +73738,8 @@ 1 0 0 +4 +0 1 0x1446 0x584a9048 @@ -58998,6 +73748,8 @@ 1 0 0 +0 +2 3 0x75ac 0x2d44a03e @@ -59006,6 +73758,8 @@ 1 0 0 +3 +1 2 0x5e65 0x8ddc0532 @@ -59014,6 +73768,8 @@ 0 0 0 +4 +4 3 0xada9 0xcc3c01d1 @@ -59022,6 +73778,8 @@ 1 0 0 +3 +5 4 0x69ab 0xbf558283 @@ -59030,6 +73788,8 @@ 1 0 0 +3 +4 2 0xe3cd 0xc64a5b4b @@ -59039,6 +73799,8 @@ 0 0 2 +3 +2 0xc99e 0x2307e225 256 @@ -59046,6 +73808,8 @@ 0 0 0 +4 +5 2 0xbff9 0xb01ead67 @@ -59054,6 +73818,8 @@ 0 0 0 +1 +3 3 0x3b3d 0x276fb5b9 @@ -59062,6 +73828,8 @@ 1 1 0 +0 +0 4 0xdf24 0x60980512 @@ -59070,6 +73838,8 @@ 0 0 0 +0 +4 4 0x1c12 0xca6b6de8 @@ -59079,6 +73849,8 @@ 1 0 2 +2 +2 0x7fe4 0xbe1edeba 256 @@ -59086,6 +73858,8 @@ 0 0 0 +2 +1 1 0xb8f5 0x5df5e39f @@ -59094,6 +73868,8 @@ 1 0 0 +1 +3 3 0x7252 0xc3e15a15 @@ -59102,6 +73878,8 @@ 0 0 0 +5 +4 1 0xb2eb 0xe179596b @@ -59111,6 +73889,8 @@ 0 0 1 +1 +1 0xdf6c 0x95d61799 256 @@ -59118,6 +73898,8 @@ 0 0 0 +3 +5 2 0xd662 0x172a4842 @@ -59126,6 +73908,8 @@ 0 0 0 +3 +5 1 0x132a 0x32837e1c @@ -59134,6 +73918,8 @@ 0 0 0 +1 +1 3 0x4f6c 0x7ce5570f @@ -59142,6 +73928,8 @@ 0 0 0 +0 +1 3 0x4c13 0xc4fec281 @@ -59150,6 +73938,8 @@ 0 0 0 +5 +1 2 0x447f 0x8a2540f1 @@ -59158,6 +73948,8 @@ 1 0 0 +4 +0 2 0x9951 0x13cbe0e5 @@ -59166,6 +73958,8 @@ 0 0 0 +2 +1 3 0x1fc8 0x704ef35f @@ -59174,6 +73968,8 @@ 1 1 0 +0 +5 1 0xa222 0x9aed5690 @@ -59182,6 +73978,8 @@ 0 0 0 +5 +3 2 0x5ce6 0x58ce0863 @@ -59191,6 +73989,8 @@ 0 0 1 +5 +1 0xae04 0x7e1d143f 256 @@ -59198,6 +73998,8 @@ 1 0 0 +4 +2 2 0x2d64 0xae1e7e5b @@ -59206,6 +74008,8 @@ 1 1 0 +4 +4 3 0xdaca 0x3204a1f2 @@ -59215,6 +74019,8 @@ 0 0 2 +3 +2 0x8fe0 0x9513dc38 256 @@ -59222,6 +74028,8 @@ 1 0 0 +0 +4 4 0x5f28 0xd9753fbd @@ -59230,6 +74038,8 @@ 1 1 0 +2 +0 3 0x8889 0x42f14331 @@ -59238,6 +74048,8 @@ 0 0 0 +1 +1 2 0x424b 0x309167c9 @@ -59246,6 +74058,8 @@ 0 0 0 +0 +3 4 0xe248 0xa6f6706b @@ -59254,6 +74068,8 @@ 1 1 0 +3 +0 2 0x4505 0x57776d96 @@ -59262,6 +74078,8 @@ 0 0 0 +2 +3 1 0x2a8e 0xa3e40781 @@ -59271,6 +74089,8 @@ 0 0 1 +2 +1 0x99c3 0xd27c96b7 256 @@ -59279,6 +74099,8 @@ 0 0 2 +3 +2 0xf2f3 0xf14e660b 256 @@ -59286,6 +74108,8 @@ 1 1 0 +2 +5 1 0x10b7 0x70c6bca0 @@ -59294,6 +74118,8 @@ 1 0 0 +3 +3 4 0xa615 0x8f2ef22e @@ -59303,6 +74129,8 @@ 1 0 3 +0 +3 0xe0ae 0x1728ad67 256 @@ -59311,6 +74139,8 @@ 0 0 4 +1 +4 0x88d4 0xa588cc52 256 @@ -59319,6 +74149,8 @@ 1 0 2 +1 +2 0xde69 0xb1d302f1 256 @@ -59326,6 +74158,8 @@ 0 0 0 +4 +3 2 0xe291 0x4ebd8dc3 @@ -59334,6 +74168,8 @@ 0 0 0 +2 +3 4 0xd9cd 0x598a5f6e @@ -59342,6 +74178,8 @@ 1 1 0 +0 +2 3 0xf197 0x1ee5a1a1 @@ -59351,6 +74189,8 @@ 0 0 1 +2 +1 0xe147 0x68010bde 256 @@ -59358,6 +74198,8 @@ 1 1 0 +5 +3 2 0xe0f5 0x2a73ae65 @@ -59367,6 +74209,8 @@ 1 0 3 +2 +3 0xba3a 0xf3aad6c 256 @@ -59374,6 +74218,8 @@ 1 0 0 +3 +1 1 0x61b2 0xe86a24b @@ -59382,6 +74228,8 @@ 1 0 0 +3 +2 2 0xb59e 0x37573547 @@ -59390,6 +74238,8 @@ 1 1 0 +5 +2 3 0xca50 0xfeb6c441 @@ -59399,6 +74249,8 @@ 0 0 4 +0 +4 0xcfc0 0x6a0f3dd8 256 @@ -59406,6 +74258,8 @@ 1 1 0 +3 +1 2 0x10a6 0x89661c2 @@ -59415,6 +74269,8 @@ 0 0 4 +5 +4 0xcd04 0x3cc8f4f 256 @@ -59422,6 +74278,8 @@ 0 0 0 +1 +3 3 0xcd2e 0x7c50ce01 @@ -59430,6 +74288,8 @@ 0 0 0 +0 +5 4 0xcdf6 0xf66d33e @@ -59438,6 +74298,8 @@ 1 1 0 +5 +2 3 0xbed9 0xf55e45ba @@ -59446,6 +74308,8 @@ 0 0 0 +5 +0 4 0x926c 0xb9379670 @@ -59454,6 +74318,8 @@ 1 0 0 +1 +0 3 0x16f3 0x63eb4016 @@ -59463,6 +74329,8 @@ 0 0 3 +0 +3 0x8b5a 0xdd59592d 256 @@ -59470,6 +74338,8 @@ 1 0 0 +1 +0 4 0xad74 0xe2be7856 @@ -59478,6 +74348,8 @@ 0 0 0 +5 +1 3 0x8b3 0x6a16730c @@ -59486,6 +74358,8 @@ 0 0 0 +5 +5 2 0xfe2 0x1107f61d @@ -59494,6 +74368,8 @@ 0 0 0 +0 +1 2 0xc9a8 0x405daa1c @@ -59502,6 +74378,8 @@ 0 0 0 +5 +3 1 0xfdfd 0x2eeaf411 @@ -59510,6 +74388,8 @@ 0 0 0 +1 +1 4 0xc26a 0x863f23e8 @@ -59518,6 +74398,8 @@ 1 0 0 +4 +1 1 0x85cc 0x93e48ed @@ -59526,6 +74408,8 @@ 1 0 0 +3 +1 4 0xaaff 0xf4b059f3 @@ -59534,6 +74418,8 @@ 1 0 0 +5 +5 2 0x5289 0x7f10d379 @@ -59542,6 +74428,8 @@ 1 0 0 +4 +3 3 0x86fa 0x1d2338e8 @@ -59550,6 +74438,8 @@ 0 0 0 +5 +3 3 0x6ab 0xb52967ce @@ -59558,6 +74448,8 @@ 0 0 0 +5 +1 3 0x1c4b 0x45a6b004 @@ -59566,6 +74458,8 @@ 1 0 0 +4 +1 3 0xde54 0x27ce7889 @@ -59574,6 +74468,8 @@ 0 0 0 +1 +0 3 0xb76b 0x61d85d9f @@ -59582,6 +74478,8 @@ 1 1 0 +0 +1 4 0x5e26 0x7c4066f2 @@ -59590,6 +74488,8 @@ 1 1 0 +4 +0 1 0xb5a4 0x4fa59fd6 @@ -59598,6 +74498,8 @@ 0 0 0 +0 +3 3 0x6ebe 0x6a8f5f2c @@ -59606,6 +74508,8 @@ 1 1 0 +3 +1 4 0xb182 0x11a6a431 @@ -59614,6 +74518,8 @@ 0 0 0 +0 +3 3 0xb153 0x31dc12f2 @@ -59622,6 +74528,8 @@ 1 0 0 +0 +0 2 0xfd17 0x2a88a494 @@ -59631,6 +74539,8 @@ 0 0 2 +5 +2 0xce4f 0xa08ddf60 256 @@ -59638,6 +74548,8 @@ 1 0 0 +5 +5 4 0x566f 0xebd1020b @@ -59646,6 +74558,8 @@ 1 0 0 +0 +4 3 0x2c42 0x37943188 @@ -59654,6 +74568,8 @@ 1 0 0 +3 +2 2 0xb60e 0x93638bb9 @@ -59662,6 +74578,8 @@ 1 1 0 +0 +2 3 0x502e 0x5841bd07 @@ -59671,6 +74589,8 @@ 0 0 4 +2 +4 0xfe36 0xc2fa0c45 256 @@ -59679,6 +74599,8 @@ 0 0 4 +1 +4 0x16c4 0xb8e64c26 256 @@ -59686,6 +74608,8 @@ 0 0 0 +5 +2 1 0x5509 0x6010d853 @@ -59694,6 +74618,8 @@ 0 0 0 +4 +5 2 0x63db 0x2abe4b34 @@ -59702,6 +74628,8 @@ 1 1 0 +5 +0 3 0x5326 0xe39e1134 @@ -59710,6 +74638,8 @@ 1 1 0 +2 +0 1 0x4f70 0xddec1440 @@ -59719,6 +74649,8 @@ 1 0 4 +0 +4 0x2d75 0xb5c9beec 256 @@ -59727,6 +74659,8 @@ 0 0 1 +0 +1 0xbb5b 0x3c63bbbc 256 @@ -59734,6 +74668,8 @@ 1 0 0 +2 +2 4 0xe3b3 0xa2313eca @@ -59742,6 +74678,8 @@ 1 0 0 +1 +4 4 0x8ecb 0x60a12f5e @@ -59750,6 +74688,8 @@ 0 0 0 +0 +3 1 0x5639 0x6ad2d06d @@ -59758,6 +74698,8 @@ 1 1 0 +5 +2 1 0xc9b9 0xb09bb45 @@ -59766,6 +74708,8 @@ 0 0 0 +1 +5 3 0x776c 0x57b81636 @@ -59774,6 +74718,8 @@ 0 0 0 +2 +3 4 0xd313 0x8fef28a1 @@ -59782,6 +74728,8 @@ 1 1 0 +4 +4 1 0x7d20 0x96da0db3 @@ -59790,6 +74738,8 @@ 1 0 0 +3 +5 4 0x73ec 0x5d5c16d7 @@ -59799,6 +74749,8 @@ 0 0 3 +0 +3 0x7d22 0xb1d32782 256 @@ -59806,6 +74758,8 @@ 1 0 0 +3 +5 2 0x8016 0xeb14f16f @@ -59815,6 +74769,8 @@ 0 0 4 +4 +4 0x74d7 0x88348646 256 @@ -59822,6 +74778,8 @@ 1 1 0 +0 +1 3 0x2df5 0x9c84e363 @@ -59830,6 +74788,8 @@ 1 0 0 +0 +3 3 0x36f8 0x1d283205 @@ -59838,6 +74798,8 @@ 1 1 0 +1 +3 3 0x53e8 0xa4fa55dc @@ -59847,6 +74809,8 @@ 0 0 2 +0 +2 0xc443 0x5e89bb24 256 @@ -59854,6 +74818,8 @@ 1 0 0 +1 +0 3 0xa7ec 0x830aaed7 @@ -59862,6 +74828,8 @@ 0 0 0 +3 +5 2 0xa6f2 0x11bd48d7 @@ -59870,6 +74838,8 @@ 1 0 0 +0 +2 2 0x755b 0x1050def6 @@ -59878,6 +74848,8 @@ 0 0 0 +2 +0 4 0xa353 0x125b950e @@ -59886,6 +74858,8 @@ 0 0 0 +1 +5 2 0x15bf 0x8c045924 @@ -59894,6 +74868,8 @@ 0 0 0 +3 +4 1 0x97e8 0x5144d475 @@ -59902,6 +74878,8 @@ 1 1 0 +3 +5 1 0xf935 0x108d84e9 @@ -59910,6 +74888,8 @@ 1 1 0 +5 +3 3 0xb7a1 0x7a91dc94 @@ -59918,6 +74898,8 @@ 0 0 0 +2 +1 1 0xffb4 0x4260b0e4 @@ -59927,6 +74909,8 @@ 1 0 4 +2 +4 0x8b3d 0xeb024d8e 256 @@ -59934,6 +74918,8 @@ 0 0 0 +3 +5 4 0xf699 0xbad7adaa @@ -59942,6 +74928,8 @@ 0 0 0 +1 +5 4 0xdc41 0x2937d303 @@ -59950,6 +74938,8 @@ 1 0 0 +0 +2 2 0xdd0e 0x87461975 @@ -59958,6 +74948,8 @@ 0 0 0 +2 +5 3 0xb3a0 0xf6067cec @@ -59966,6 +74958,8 @@ 0 0 0 +1 +3 2 0x1a64 0x268682e7 @@ -59975,6 +74969,8 @@ 0 0 4 +2 +4 0xb383 0x29b6327a 256 @@ -59983,6 +74979,8 @@ 1 0 2 +0 +2 0xa168 0x6f143473 256 @@ -59990,6 +74988,8 @@ 0 0 0 +4 +5 1 0x66b 0xd817c689 @@ -59998,6 +74998,8 @@ 1 0 0 +0 +5 4 0x4443 0x243205e7 @@ -60006,6 +75008,8 @@ 0 0 0 +5 +2 3 0x623b 0x1155ece7 @@ -60014,6 +75018,8 @@ 1 1 0 +0 +2 4 0x52ee 0xfa025a4d @@ -60022,6 +75028,8 @@ 0 0 0 +1 +2 4 0x3745 0x482cb6eb @@ -60030,6 +75038,8 @@ 0 0 0 +1 +0 2 0xe229 0xa5fb00d9 @@ -60038,6 +75048,8 @@ 0 0 0 +3 +3 1 0xe4d1 0xf26f2d9a @@ -60046,6 +75058,8 @@ 0 0 0 +4 +1 3 0xfce3 0x4eb03e75 @@ -60054,6 +75068,8 @@ 0 0 0 +2 +2 1 0x8281 0xe6c4c67e @@ -60062,6 +75078,8 @@ 0 0 0 +1 +0 2 0x6eac 0x523e7c53 @@ -60070,6 +75088,8 @@ 0 0 0 +0 +3 2 0xfb41 0x4f069032 @@ -60078,6 +75098,8 @@ 0 0 0 +2 +5 3 0x3ff2 0xdeadfe9c @@ -60086,6 +75108,8 @@ 1 1 0 +3 +0 4 0x402f 0xbf497427 @@ -60095,6 +75119,8 @@ 0 0 1 +0 +1 0x751e 0x50efa4b8 256 @@ -60102,6 +75128,8 @@ 0 0 0 +3 +1 1 0x3e08 0x785333ed @@ -60110,6 +75138,8 @@ 1 0 0 +1 +5 4 0x2eee 0xff68f13 @@ -60118,6 +75148,8 @@ 1 1 0 +1 +4 3 0x41bc 0x19fe42df @@ -60126,6 +75158,8 @@ 0 0 0 +1 +5 3 0x2f50 0x8078cd35 @@ -60135,6 +75169,8 @@ 0 0 2 +3 +2 0x438b 0x443a6e7c 256 @@ -60142,6 +75178,8 @@ 1 1 0 +0 +4 3 0x8323 0xe2eb5d0b @@ -60150,6 +75188,8 @@ 1 0 0 +0 +1 2 0x7a71 0x89a4ce73 @@ -60158,6 +75198,8 @@ 0 0 0 +5 +4 1 0x8c93 0x710211da @@ -60166,6 +75208,8 @@ 0 0 0 +4 +3 2 0x8ca6 0xf0b8ee86 @@ -60174,6 +75218,8 @@ 0 0 0 +1 +3 2 0x2efb 0x50190abf @@ -60182,6 +75228,8 @@ 0 0 0 +4 +1 3 0xe12d 0xca519913 @@ -60191,6 +75239,8 @@ 0 0 4 +2 +4 0xd8e3 0xc143adc5 256 @@ -60198,6 +75248,8 @@ 1 0 0 +4 +1 1 0x41b0 0x402ecc18 @@ -60206,6 +75258,8 @@ 1 1 0 +0 +0 4 0x68b 0xa9e5758 @@ -60214,6 +75268,8 @@ 1 1 0 +0 +5 1 0xddc9 0x6bc0324f @@ -60222,6 +75278,8 @@ 1 0 0 +5 +0 4 0x5533 0x77642d18 @@ -60230,6 +75288,8 @@ 1 1 0 +5 +2 2 0x975c 0xf8db9334 @@ -60238,6 +75298,8 @@ 0 0 0 +2 +1 1 0x811a 0x744d189f @@ -60246,6 +75308,8 @@ 1 0 0 +0 +0 3 0xaf6d 0x88a4461 @@ -60254,6 +75318,8 @@ 0 0 0 +4 +4 2 0x2856 0xab048489 @@ -60262,6 +75328,8 @@ 0 0 0 +0 +5 2 0x5bb2 0x505a2079 @@ -60271,6 +75339,8 @@ 1 0 2 +3 +2 0x776 0xdfa7ee5a 256 @@ -60278,6 +75348,8 @@ 0 0 0 +3 +0 2 0x6bbe 0xfef11b6e @@ -60286,6 +75358,8 @@ 0 0 0 +0 +3 1 0xbac8 0xe6b7ccee @@ -60295,6 +75369,8 @@ 0 0 1 +5 +1 0x3c91 0x9fb453c6 256 @@ -60302,6 +75378,8 @@ 1 0 0 +0 +4 3 0xe199 0xd2534576 @@ -60310,6 +75388,8 @@ 0 0 0 +1 +1 3 0xe59a 0x2e5da8b2 @@ -60318,6 +75398,8 @@ 1 1 0 +1 +0 4 0x2054 0x134b9dde @@ -60326,6 +75408,8 @@ 0 0 0 +1 +1 2 0xdb0b 0xac494255 @@ -60334,6 +75418,8 @@ 1 0 0 +0 +4 4 0xde1e 0xb590f4d2 @@ -60342,6 +75428,8 @@ 0 0 0 +5 +2 3 0x3ab 0xe58ede94 @@ -60350,6 +75438,8 @@ 0 0 0 +5 +5 1 0xf046 0x8659d1b3 @@ -60358,6 +75448,8 @@ 1 1 0 +4 +3 3 0xa8da 0xfedd0c21 @@ -60366,6 +75458,8 @@ 1 1 0 +0 +2 4 0xb9b9 0x787b3fba @@ -60374,6 +75468,8 @@ 1 1 0 +4 +4 3 0xdfd3 0x978a4091 @@ -60383,6 +75479,8 @@ 1 0 3 +0 +3 0x7263 0xb99ff8cf 256 @@ -60391,6 +75489,8 @@ 1 0 3 +5 +3 0x5499 0x8e2fb479 256 @@ -60398,6 +75498,8 @@ 0 0 0 +0 +5 2 0xc699 0xf0a52ff9 @@ -60407,6 +75509,8 @@ 0 0 3 +1 +3 0xc97c 0x79ba29ec 256 @@ -60415,6 +75519,8 @@ 0 0 3 +4 +3 0xcdaf 0xe7cf671c 256 @@ -60422,6 +75528,8 @@ 0 0 0 +4 +2 3 0xbcd2 0x4090e3f8 @@ -60430,6 +75538,8 @@ 1 1 0 +3 +3 1 0x65f3 0x6494d1dc @@ -60438,6 +75548,8 @@ 1 0 0 +0 +5 4 0xe312 0x376f5868 @@ -60446,6 +75558,8 @@ 1 0 0 +4 +2 3 0xabb6 0x8a7867af @@ -60454,6 +75568,8 @@ 0 0 0 +4 +0 1 0x539b 0xc831dc60 @@ -60463,6 +75579,8 @@ 0 0 1 +1 +1 0xb6f6 0x62faa50e 256 @@ -60470,6 +75588,8 @@ 0 0 0 +4 +5 2 0xc26b 0xacac61d @@ -60479,6 +75599,8 @@ 0 0 1 +3 +1 0x1fb8 0x7e26a9af 256 @@ -60487,6 +75609,8 @@ 0 0 1 +3 +1 0x1947 0x6e77abeb 256 @@ -60494,6 +75618,8 @@ 1 1 0 +2 +3 3 0x1c21 0x9990b47b @@ -60502,6 +75628,8 @@ 0 0 0 +2 +0 3 0x2370 0x516d385d @@ -60510,6 +75638,8 @@ 0 0 0 +1 +3 3 0x8118 0xeb13536a @@ -60518,6 +75648,8 @@ 1 0 0 +1 +5 4 0x5b18 0x1b1d2056 @@ -60526,6 +75658,8 @@ 1 0 0 +3 +2 2 0x2c32 0xde4487cb @@ -60534,6 +75668,8 @@ 0 0 0 +2 +3 1 0x9d33 0xb753c2e3 @@ -60542,6 +75678,8 @@ 1 1 0 +1 +1 2 0xba24 0x9f7bceb2 @@ -60550,6 +75688,8 @@ 0 0 0 +3 +5 2 0x7d49 0x1bcebc20 @@ -60558,6 +75698,8 @@ 0 0 0 +1 +4 3 0x8def 0x20d7a2f5 @@ -60566,6 +75708,8 @@ 1 1 0 +4 +2 2 0x640e 0xb47d16b0 @@ -60574,6 +75718,8 @@ 1 0 0 +4 +5 1 0x11cc 0x80125b1d @@ -60583,6 +75729,8 @@ 1 0 3 +3 +3 0xb22e 0xc06933f2 256 @@ -60591,6 +75739,8 @@ 1 0 1 +5 +1 0x8fe1 0xf15dd502 256 @@ -60598,6 +75748,8 @@ 0 0 0 +0 +1 2 0xd737 0xf5208e87 @@ -60606,6 +75758,8 @@ 1 1 0 +5 +5 3 0x887c 0x3b43003 @@ -60614,6 +75768,8 @@ 1 1 0 +4 +2 2 0x217f 0xfeb34ed4 @@ -60622,6 +75778,8 @@ 1 0 0 +3 +5 1 0x88b 0xb8a3b7b3 @@ -60630,6 +75788,8 @@ 1 1 0 +5 +0 1 0x9cde 0xdcda7b9a @@ -60638,6 +75798,8 @@ 0 0 0 +4 +2 1 0x6cdf 0xdbc2abaf @@ -60646,6 +75808,8 @@ 0 0 0 +4 +2 3 0x5fdf 0x923b5ff0 @@ -60655,6 +75819,8 @@ 1 0 4 +5 +4 0x4a73 0xfae25316 256 @@ -60662,6 +75828,8 @@ 0 0 0 +0 +2 3 0xd531 0x1b28ca4a @@ -60670,6 +75838,8 @@ 1 1 0 +2 +3 4 0x592a 0x95c8494a @@ -60678,6 +75848,8 @@ 0 0 0 +2 +2 3 0x85f6 0xe1b41a4d @@ -60687,6 +75859,8 @@ 0 0 3 +0 +3 0xe6af 0x546d5f32 256 @@ -60694,6 +75868,8 @@ 1 0 0 +3 +5 2 0x5f1a 0xca03fd6d @@ -60702,6 +75878,8 @@ 0 0 0 +3 +2 1 0xb2d9 0x91d20a2a @@ -60710,6 +75888,8 @@ 1 1 0 +2 +1 3 0x7cf4 0xc4465a0b @@ -60718,6 +75898,8 @@ 0 0 0 +5 +4 1 0x76ac 0xb4632409 @@ -60726,6 +75908,8 @@ 0 0 0 +1 +3 2 0x9fbb 0x4cbe41d0 @@ -60735,6 +75919,8 @@ 0 0 3 +2 +3 0x8b5a 0x6aef4353 256 @@ -60743,6 +75929,8 @@ 0 0 3 +3 +3 0x7d12 0x2863c385 256 @@ -60750,6 +75938,8 @@ 1 1 0 +5 +3 2 0xb008 0x355bd452 @@ -60758,6 +75948,8 @@ 0 0 0 +0 +5 1 0xc18f 0x22fafef6 @@ -60766,6 +75958,8 @@ 0 0 0 +1 +1 4 0x1a06 0xc8aa2390 @@ -60774,6 +75968,8 @@ 0 0 0 +4 +0 1 0x82e7 0xd8c4779f @@ -60782,6 +75978,8 @@ 1 0 0 +4 +0 3 0x99 0xf738132e @@ -60790,6 +75988,8 @@ 1 1 0 +5 +2 1 0x57bf 0xae2fae94 @@ -60798,6 +75998,8 @@ 0 0 0 +3 +0 4 0xe704 0xda73e8a8 @@ -60806,6 +76008,8 @@ 0 0 0 +0 +2 4 0x7ceb 0x4d85c836 @@ -60814,6 +76018,8 @@ 0 0 0 +1 +3 4 0x88ed 0xd4c415a2 @@ -60822,6 +76028,8 @@ 1 1 0 +5 +0 2 0x8b58 0xedee3121 @@ -60830,6 +76038,8 @@ 0 0 0 +0 +5 4 0xb1a7 0x1304ae78 @@ -60838,6 +76048,8 @@ 1 1 0 +1 +3 3 0xa92c 0x9b31ba25 @@ -60846,6 +76058,8 @@ 1 1 0 +3 +3 4 0x1d8c 0x5893a400 @@ -60854,6 +76068,8 @@ 0 0 0 +5 +2 1 0xe1ca 0xa645d80f @@ -60862,6 +76078,8 @@ 1 1 0 +0 +0 1 0x35fd 0x6aa7132a @@ -60870,6 +76088,8 @@ 0 0 0 +1 +3 3 0x6232 0xa6e64d65 @@ -60878,6 +76098,8 @@ 0 0 0 +1 +1 4 0xc987 0xc6fa056 @@ -60886,6 +76108,8 @@ 0 0 0 +5 +2 2 0x1149 0xc1012bf5 @@ -60894,6 +76118,8 @@ 0 0 0 +5 +5 2 0xb6ac 0x2b835e14 @@ -60902,6 +76128,8 @@ 1 0 0 +1 +4 3 0x66bc 0xae36aea1 @@ -60910,6 +76138,8 @@ 0 0 0 +5 +1 1 0x5e85 0x78a851fa @@ -60918,6 +76148,8 @@ 0 0 0 +0 +3 3 0xcba3 0x3335ab84 @@ -60926,6 +76158,8 @@ 1 1 0 +5 +4 1 0xe6bf 0x65e37c5d @@ -60934,6 +76168,8 @@ 0 0 0 +1 +1 3 0x41f8 0x89e766c9 @@ -60942,6 +76178,8 @@ 1 1 0 +5 +1 1 0x12b0 0xeadc67e8 @@ -60950,6 +76188,8 @@ 1 0 0 +5 +2 3 0xde8a 0x9f28bbaa @@ -60958,6 +76198,8 @@ 1 0 0 +1 +2 4 0x8f6f 0xa2a3d272 @@ -60967,6 +76209,8 @@ 0 0 3 +1 +3 0x61b 0x3b03f57b 256 @@ -60975,6 +76219,8 @@ 0 0 4 +1 +4 0xa61b 0xebfca9d7 256 @@ -60982,6 +76228,8 @@ 1 0 0 +1 +4 4 0x33aa 0x82b23486 @@ -60990,6 +76238,8 @@ 1 0 0 +4 +2 3 0x4b2d 0xd9de1598 @@ -60998,6 +76248,8 @@ 0 0 0 +5 +0 1 0xc85a 0x21c441a4 @@ -61007,6 +76259,8 @@ 1 0 1 +3 +1 0x66cc 0xb42f14db 256 @@ -61015,6 +76269,8 @@ 0 0 3 +0 +3 0x6d31 0x698c230c 256 @@ -61022,6 +76278,8 @@ 1 0 0 +4 +2 2 0x1068 0x4d635e70 @@ -61030,6 +76288,8 @@ 1 1 0 +5 +3 3 0xfa03 0x425b74ab @@ -61038,6 +76298,8 @@ 1 0 0 +2 +0 4 0x3da6 0xbc35741e @@ -61046,6 +76308,8 @@ 0 0 0 +3 +0 4 0x5795 0x6d191f @@ -61054,6 +76318,8 @@ 0 0 0 +4 +0 2 0x1919 0xb2168061 @@ -61062,6 +76328,8 @@ 0 0 0 +4 +1 1 0x6f54 0x31e835b1 @@ -61070,6 +76338,8 @@ 1 1 0 +0 +4 3 0x1b04 0x1d84d3ef @@ -61078,6 +76348,8 @@ 0 0 0 +4 +3 3 0x86b4 0xff425cf9 @@ -61087,6 +76359,8 @@ 0 0 4 +2 +4 0x7736 0x923aac64 256 @@ -61094,6 +76368,8 @@ 0 0 0 +5 +4 2 0x16f3 0xe95e0905 @@ -61102,6 +76378,8 @@ 1 1 0 +3 +4 2 0xd624 0x61d2c56b @@ -61110,6 +76388,8 @@ 0 0 0 +2 +2 4 0x6749 0xab72e5aa @@ -61118,6 +76398,8 @@ 1 1 0 +4 +1 1 0x13bb 0x621b4efc @@ -61126,6 +76408,8 @@ 0 0 0 +0 +4 2 0x9be 0x383a57ba @@ -61134,6 +76418,8 @@ 0 0 0 +1 +4 4 0x8b0c 0x3cfe4e1a @@ -61142,6 +76428,8 @@ 0 0 0 +0 +5 3 0xaa6f 0xb176455c @@ -61151,6 +76439,8 @@ 0 0 3 +0 +3 0xa968 0xc0d470b 256 @@ -61158,6 +76448,8 @@ 1 1 0 +1 +0 2 0xa2c0 0xa764dd2e @@ -61166,6 +76458,8 @@ 0 0 0 +0 +4 2 0x3de6 0x927e2474 @@ -61174,6 +76468,8 @@ 0 0 0 +4 +1 3 0x1c5c 0x577e1ac2 @@ -61182,6 +76478,8 @@ 1 0 0 +2 +2 1 0x9d6 0x4921cbba @@ -61190,6 +76488,8 @@ 0 0 0 +4 +0 3 0x53c3 0xbfd1efc9 @@ -61198,6 +76498,8 @@ 0 0 0 +5 +4 1 0xcd8a 0x32b12459 @@ -61206,6 +76508,8 @@ 1 1 0 +3 +5 4 0x1b57 0x73b4038 @@ -61215,6 +76519,8 @@ 0 0 3 +4 +3 0xe7c 0x37a2a4fc 256 @@ -61222,6 +76528,8 @@ 0 0 0 +1 +0 4 0xda0c 0x9b055889 @@ -61230,6 +76538,8 @@ 1 0 0 +3 +0 1 0x9676 0xd3d04f92 @@ -61239,6 +76549,8 @@ 1 0 4 +3 +4 0x5b2b 0x21c4c8f8 256 @@ -61246,6 +76558,8 @@ 1 0 0 +1 +1 3 0x5923 0x7905e84c @@ -61254,6 +76568,8 @@ 0 0 0 +2 +2 1 0x39d2 0x21a12590 @@ -61262,6 +76578,8 @@ 1 1 0 +1 +4 4 0xdc4f 0x393d4d5f @@ -61270,6 +76588,8 @@ 0 0 0 +2 +0 1 0xd589 0x513f68f3 @@ -61278,6 +76598,8 @@ 1 1 0 +5 +1 4 0x8da2 0x4908ba9a @@ -61286,6 +76608,8 @@ 0 0 0 +3 +3 1 0xfe50 0x1c1cd70c @@ -61294,6 +76618,8 @@ 0 0 0 +5 +3 3 0x7f2 0x9e855ee9 @@ -61302,6 +76628,8 @@ 1 1 0 +5 +1 1 0xa974 0x8bba0840 @@ -61310,6 +76638,8 @@ 0 0 0 +5 +0 1 0xb5df 0x853497e7 @@ -61318,6 +76648,8 @@ 1 1 0 +4 +5 3 0x2cdd 0x97e4c7d4 @@ -61326,6 +76658,8 @@ 1 0 0 +0 +1 1 0x1743 0x3b99e584 @@ -61335,6 +76669,8 @@ 0 0 2 +1 +2 0xd04d 0x3ca142c6 256 @@ -61343,6 +76679,8 @@ 0 0 3 +2 +3 0xc7d7 0x5a657540 256 @@ -61351,13 +76689,17 @@ 0 0 4 -0x9e75 +2 +4 +0x9e75 0xab155a33 256 256 1 0 0 +5 +3 2 0xb32d 0x1357ae9c @@ -61367,6 +76709,8 @@ 0 0 3 +0 +3 0xad96 0x2ed14f66 256 @@ -61374,6 +76718,8 @@ 0 0 0 +1 +4 3 0x6ab 0xc52da993 @@ -61382,6 +76728,8 @@ 1 1 0 +0 +4 2 0xbc1d 0x479f215f @@ -61390,6 +76738,8 @@ 1 1 0 +0 +1 3 0x7e46 0xe2c2c6fc @@ -61398,6 +76748,8 @@ 1 1 0 +4 +1 3 0xf71f 0xb9321048 @@ -61406,6 +76758,8 @@ 1 1 0 +5 +2 4 0xc6bd 0xe2a8b84e @@ -61414,6 +76768,8 @@ 1 1 0 +5 +1 2 0x1c54 0x35d79e38 @@ -61422,6 +76778,8 @@ 0 0 0 +1 +1 4 0xad57 0x800368ce @@ -61430,6 +76788,8 @@ 0 0 0 +1 +5 3 0x9925 0x86c3168f @@ -61438,6 +76798,8 @@ 0 0 0 +1 +2 3 0xa6ac 0x36780c01 @@ -61446,6 +76808,8 @@ 0 0 0 +0 +5 3 0xc934 0x69929255 @@ -61455,6 +76819,8 @@ 0 0 3 +0 +3 0x7d5 0xca48bd9f 256 @@ -61462,6 +76828,8 @@ 0 0 0 +1 +1 4 0xaf63 0xe6669d86 @@ -61471,6 +76839,8 @@ 0 0 4 +1 +4 0x1f2e 0xdbe50ac9 256 @@ -61478,6 +76848,8 @@ 0 0 0 +5 +2 4 0x4660 0x88e8eff3 @@ -61486,6 +76858,8 @@ 0 0 0 +5 +5 2 0x644c 0x81d0593b @@ -61494,6 +76868,8 @@ 0 0 0 +4 +3 2 0xe818 0x7ec48ed7 @@ -61502,6 +76878,8 @@ 1 0 0 +0 +4 4 0x5519 0xc3e23a7 @@ -61510,6 +76888,8 @@ 1 0 0 +5 +3 2 0x3e38 0xceeda513 @@ -61518,6 +76898,8 @@ 1 1 0 +0 +2 2 0xd19c 0xa1418616 @@ -61526,6 +76908,8 @@ 1 0 0 +5 +0 4 0xd999 0x22debc65 @@ -61534,6 +76918,8 @@ 0 0 0 +1 +1 3 0x5d09 0x72be8da4 @@ -61543,6 +76929,8 @@ 0 0 2 +2 +2 0x3510 0x7ce2a91b 256 @@ -61550,6 +76938,8 @@ 0 0 0 +1 +0 2 0x7918 0x2affeeba @@ -61558,6 +76948,8 @@ 1 0 0 +4 +4 2 0x52b6 0x68ed689e @@ -61566,6 +76958,8 @@ 1 1 0 +1 +2 2 0x1081 0xae89e983 @@ -61575,6 +76969,8 @@ 1 0 3 +1 +3 0x543f 0xbca5faf2 256 @@ -61582,6 +76978,8 @@ 0 0 0 +1 +0 4 0x48d7 0x7e8ae93f @@ -61590,6 +76988,8 @@ 1 1 0 +0 +4 1 0xa394 0x807e2af0 @@ -61598,6 +76998,8 @@ 1 1 0 +4 +3 2 0xde81 0xba8dbd6c @@ -61606,6 +77008,8 @@ 0 0 0 +2 +4 3 0xcbde 0xe69b8c2f @@ -61614,6 +77018,8 @@ 0 0 0 +5 +0 2 0xdfd3 0x9f42a86c @@ -61622,6 +77028,8 @@ 0 0 0 +5 +1 2 0x100 0x676396ed @@ -61630,6 +77038,8 @@ 1 1 0 +3 +0 4 0xc13a 0xc2ed522e @@ -61638,6 +77048,8 @@ 1 0 0 +2 +4 1 0x8011 0x3d355313 @@ -61646,6 +77058,8 @@ 0 0 0 +2 +4 1 0x28d5 0x2fe660d7 @@ -61654,6 +77068,8 @@ 1 1 0 +0 +4 1 0xc2ff 0x55c6fbfc @@ -61662,6 +77078,8 @@ 0 0 0 +2 +0 4 0x34a3 0xbe973cc7 @@ -61670,6 +77088,8 @@ 0 0 0 +4 +0 3 0xa67c 0x70a193ba @@ -61678,6 +77098,8 @@ 1 0 0 +5 +4 4 0x56ac 0x749b3ef @@ -61686,6 +77108,8 @@ 1 1 0 +3 +4 4 0x7985 0x1c5c1305 @@ -61694,6 +77118,8 @@ 0 0 0 +3 +1 2 0x1ab3 0x499581e @@ -61702,6 +77128,8 @@ 0 0 0 +3 +2 4 0x9b16 0x8f4dbafa @@ -61710,6 +77138,8 @@ 0 0 0 +0 +1 4 0x6d90 0x952b188e @@ -61718,6 +77148,8 @@ 1 1 0 +0 +2 4 0x6349 0x30cb0384 @@ -61727,6 +77159,8 @@ 1 0 3 +3 +3 0x3226 0x687e52be 256 @@ -61734,6 +77168,8 @@ 1 1 0 +5 +2 2 0x61cf 0xe0282113 @@ -61742,6 +77178,8 @@ 1 0 0 +3 +5 2 0xb66e 0x2b9a3a89 @@ -61750,6 +77188,8 @@ 1 1 0 +0 +3 2 0x9980 0x13158b61 @@ -61758,6 +77198,8 @@ 0 0 0 +4 +3 2 0x61fd 0x7e22ad89 @@ -61766,6 +77208,8 @@ 0 0 0 +4 +2 1 0x9325 0x9bf66446 @@ -61775,6 +77219,8 @@ 0 0 2 +1 +2 0xf455 0x3a2f7b9d 256 @@ -61782,6 +77228,8 @@ 1 1 0 +4 +0 3 0xed94 0xdce46c95 @@ -61790,6 +77238,8 @@ 0 0 0 +0 +0 3 0x332c 0xc382656d @@ -61798,6 +77248,8 @@ 1 1 0 +3 +5 4 0xcf5b 0x4d8f6d5c @@ -61806,6 +77258,8 @@ 1 0 0 +4 +5 1 0x5fd9 0x84c73614 @@ -61815,6 +77269,8 @@ 0 0 2 +2 +2 0x4d4c 0x33c4566f 256 @@ -61822,6 +77278,8 @@ 1 0 0 +3 +2 4 0xd0c6 0x1faddfb4 @@ -61830,6 +77288,8 @@ 1 1 0 +3 +2 1 0xfa9d 0xd8d6bff @@ -61838,6 +77298,8 @@ 0 0 0 +5 +0 1 0xd175 0x342518d7 @@ -61847,6 +77309,8 @@ 0 0 1 +4 +1 0xb93a 0xb5941820 256 @@ -61854,6 +77318,8 @@ 0 0 0 +5 +0 3 0x8cc4 0x8ae6ec82 @@ -61862,6 +77328,8 @@ 0 0 0 +1 +2 4 0x6faa 0x4d1928c0 @@ -61870,6 +77338,8 @@ 1 0 0 +0 +1 3 0x9481 0xb74f8cf2 @@ -61878,6 +77348,8 @@ 0 0 0 +1 +5 2 0x8cfb 0x11f46b45 @@ -61886,6 +77358,8 @@ 0 0 0 +0 +0 1 0x6951 0x4974fa8b @@ -61894,6 +77368,8 @@ 0 0 0 +5 +2 2 0x489c 0x6fd2cb60 @@ -61902,6 +77378,8 @@ 1 1 0 +5 +1 1 0x6307 0x2385809f @@ -61911,6 +77389,8 @@ 0 0 2 +5 +2 0xb9cc 0xd91c1c2f 256 @@ -61918,6 +77398,8 @@ 0 0 0 +0 +1 2 0x5d76 0x88840c79 @@ -61926,6 +77408,8 @@ 0 0 0 +1 +4 4 0xdc8f 0x44e76269 @@ -61934,6 +77418,8 @@ 1 0 0 +5 +5 1 0x4c00 0x2d420aea @@ -61942,6 +77428,8 @@ 0 0 0 +3 +1 1 0xac83 0x91e2fd6a @@ -61950,6 +77438,8 @@ 0 0 0 +1 +5 2 0x5321 0x9d1142da @@ -61958,6 +77448,8 @@ 0 0 0 +5 +5 4 0xf330 0xa91c95be @@ -61966,6 +77458,8 @@ 0 0 0 +2 +0 1 0x1636 0x8adf691c @@ -61974,6 +77468,8 @@ 1 1 0 +2 +0 4 0x9db2 0x3ef44621 @@ -61982,6 +77478,8 @@ 1 0 0 +4 +1 1 0x7f78 0xc98b2a03 @@ -61991,6 +77489,8 @@ 0 0 4 +2 +4 0x7835 0x724fcbe2 256 @@ -61998,6 +77498,8 @@ 0 0 0 +4 +2 3 0x2db2 0xad2ee2e4 @@ -62006,6 +77508,8 @@ 1 0 0 +4 +2 3 0x3067 0x99406ae0 @@ -62015,6 +77519,8 @@ 0 0 4 +5 +4 0xea30 0xb6ca0a47 256 @@ -62022,6 +77528,8 @@ 1 0 0 +2 +1 4 0x21ad 0x2b303a8d @@ -62030,6 +77538,8 @@ 1 1 0 +0 +4 1 0x6749 0x40d3650b @@ -62038,6 +77548,8 @@ 1 0 0 +4 +0 1 0xad9e 0x3b44aa06 @@ -62046,6 +77558,8 @@ 1 1 0 +3 +0 1 0x44de 0xd144cda @@ -62054,6 +77568,8 @@ 1 0 0 +1 +2 3 0xfd86 0xc23036f @@ -62062,6 +77578,8 @@ 1 0 0 +3 +5 4 0x52da 0xb87378c5 @@ -62070,6 +77588,8 @@ 1 0 0 +4 +3 2 0x6964 0xf3c5b435 @@ -62078,6 +77598,8 @@ 1 0 0 +3 +1 1 0xe6a0 0x1942349b @@ -62086,6 +77608,8 @@ 1 1 0 +2 +5 1 0x40bf 0xd76b7ab @@ -62094,6 +77618,8 @@ 1 1 0 +0 +4 4 0x1a8f 0x2e39d0d8 @@ -62102,6 +77628,8 @@ 0 0 0 +1 +2 2 0x63c4 0x8812b96c @@ -62110,6 +77638,8 @@ 1 0 0 +1 +4 4 0xc119 0x6b7e4106 @@ -62118,6 +77648,8 @@ 0 0 0 +4 +4 3 0x1255 0x22f4f170 @@ -62126,6 +77658,8 @@ 1 1 0 +4 +2 1 0x43f4 0x4048c65b @@ -62134,6 +77668,8 @@ 0 0 0 +5 +1 4 0xdc01 0x5c0f30fb @@ -62142,6 +77678,8 @@ 1 1 0 +1 +2 3 0x5aed 0xdcb65b7f @@ -62150,6 +77688,8 @@ 0 0 0 +4 +2 2 0xf816 0x607ad9a7 @@ -62158,6 +77698,8 @@ 0 0 0 +1 +3 4 0x9bd7 0x469b2f6b @@ -62166,6 +77708,8 @@ 1 1 0 +2 +0 4 0x4a42 0x8881b86d @@ -62174,6 +77718,8 @@ 1 1 0 +4 +4 3 0x26b6 0xe3500632 @@ -62182,6 +77728,8 @@ 0 0 0 +0 +5 2 0x83bf 0xf21c8b3 @@ -62190,6 +77738,8 @@ 0 0 0 +2 +5 1 0xa803 0x8a5dee91 @@ -62198,6 +77748,8 @@ 0 0 0 +5 +5 3 0x9a89 0xfb4cf49e @@ -62207,6 +77759,8 @@ 1 0 1 +5 +1 0xb24a 0x2b696c76 256 @@ -62214,6 +77768,8 @@ 1 1 0 +4 +5 3 0x4845 0x53ac1af7 @@ -62222,6 +77778,8 @@ 1 1 0 +0 +4 2 0xcca6 0x9764edcb @@ -62231,6 +77789,8 @@ 0 0 4 +0 +4 0x8cfe 0x5c7c16a2 256 @@ -62238,6 +77798,8 @@ 1 1 0 +5 +1 4 0x2d00 0x8701a940 @@ -62246,6 +77808,8 @@ 1 0 0 +3 +1 4 0x563c 0x5b0d004 @@ -62254,6 +77818,8 @@ 0 0 0 +4 +2 2 0x2168 0xc421295b @@ -62262,6 +77828,8 @@ 1 1 0 +2 +0 4 0xc385 0xcffe1d13 @@ -62270,6 +77838,8 @@ 0 0 0 +1 +1 2 0xce70 0xdbd827b8 @@ -62278,6 +77848,8 @@ 0 0 0 +0 +0 1 0xa2f1 0x74c83ad8 @@ -62286,6 +77858,8 @@ 0 0 0 +5 +3 4 0x801f 0xedcc6c8f @@ -62294,6 +77868,8 @@ 1 1 0 +5 +4 1 0x83f3 0xf8e6b431 @@ -62302,6 +77878,8 @@ 0 0 0 +3 +5 1 0xc350 0x8a150ebe @@ -62310,6 +77888,8 @@ 1 1 0 +1 +4 3 0x2b24 0x668a0341 @@ -62318,6 +77898,8 @@ 0 0 0 +3 +5 4 0xc6b5 0x6c0ff992 @@ -62326,6 +77908,8 @@ 1 1 0 +5 +4 2 0xe481 0xa1b3b83f @@ -62335,6 +77919,8 @@ 0 0 3 +5 +3 0x134c 0xe286238a 256 @@ -62342,6 +77928,8 @@ 0 0 0 +1 +3 2 0x5432 0x4db37c01 @@ -62350,6 +77938,8 @@ 1 0 0 +1 +3 3 0xd8b4 0x8137d6f6 @@ -62359,6 +77949,8 @@ 1 0 3 +5 +3 0x6451 0x28684e56 256 @@ -62366,6 +77958,8 @@ 0 0 0 +5 +1 4 0x4c3a 0xab6028b5 @@ -62374,6 +77968,8 @@ 1 0 0 +2 +0 3 0xc3ff 0xeb4b9f95 @@ -62382,6 +77978,8 @@ 0 0 0 +0 +1 1 0xae76 0x1c850e8e @@ -62390,6 +77988,8 @@ 0 0 0 +1 +3 4 0x5ea0 0xf947295c @@ -62399,6 +77999,8 @@ 1 0 2 +1 +2 0xf7c 0x90c323bd 256 @@ -62406,6 +78008,8 @@ 0 0 0 +2 +5 1 0x550c 0xdfcee113 @@ -62414,6 +78018,8 @@ 0 0 0 +5 +1 1 0x8f2d 0x1f20f4fc @@ -62422,6 +78028,8 @@ 1 1 0 +1 +3 2 0xb233 0xd6050c14 @@ -62431,6 +78039,8 @@ 1 0 3 +3 +3 0xafd0 0x6d357fbb 256 @@ -62438,6 +78048,8 @@ 0 0 0 +2 +2 1 0x4c4c 0xa33f1a36 @@ -62446,6 +78058,8 @@ 1 1 0 +2 +0 4 0x3cc3 0x5f0be151 @@ -62454,6 +78068,8 @@ 1 0 0 +0 +0 3 0xdad1 0x6993cad9 @@ -62462,6 +78078,8 @@ 1 1 0 +5 +3 1 0xfd76 0x7679f4e3 @@ -62470,6 +78088,8 @@ 0 0 0 +4 +2 2 0x4a27 0x44e99fef @@ -62478,6 +78098,8 @@ 1 0 0 +4 +5 2 0xd75b 0xc858f05a @@ -62486,6 +78108,8 @@ 1 0 0 +4 +2 2 0x895b 0x64b02c5e @@ -62494,6 +78118,8 @@ 1 0 0 +4 +4 2 0x97f7 0x8cae41e1 @@ -62502,6 +78128,8 @@ 1 1 0 +0 +3 2 0x858d 0xc8447135 @@ -62510,6 +78138,8 @@ 0 0 0 +2 +5 4 0xb93 0x172616d7 @@ -62518,6 +78148,8 @@ 1 0 0 +1 +5 2 0xe9d7 0xdc4c5a49 @@ -62526,6 +78158,8 @@ 1 0 0 +3 +1 4 0xea11 0x26275339 @@ -62534,6 +78168,8 @@ 1 1 0 +0 +2 1 0xc3d4 0x80be425 @@ -62542,6 +78178,8 @@ 0 0 0 +0 +5 2 0xd1e6 0x44e62c87 @@ -62550,6 +78188,8 @@ 1 1 0 +3 +3 1 0xcab5 0xa79f02e @@ -62558,6 +78198,8 @@ 1 1 0 +0 +5 1 0xae84 0xb56fbc8d @@ -62566,6 +78208,8 @@ 0 0 0 +1 +4 3 0xa843 0x46c2e748 @@ -62574,6 +78218,8 @@ 1 1 0 +0 +4 1 0x7a60 0x2ab378ce @@ -62582,6 +78228,8 @@ 1 0 0 +0 +2 2 0xd890 0x6c1be48 @@ -62591,6 +78239,8 @@ 0 0 4 +2 +4 0x1a8f 0x9b132a6f 256 @@ -62598,6 +78248,8 @@ 1 1 0 +1 +1 3 0x149c 0xd9e13d9a @@ -62607,6 +78259,8 @@ 0 0 2 +5 +2 0xeed9 0xb90d39fc 256 @@ -62614,6 +78268,8 @@ 1 1 0 +2 +2 1 0xd48b 0xbc55c351 @@ -62622,6 +78278,8 @@ 1 0 0 +0 +2 3 0x5381 0x5458f4de @@ -62630,6 +78288,8 @@ 1 0 0 +2 +0 1 0x23b9 0x29612004 @@ -62638,6 +78298,8 @@ 1 0 0 +0 +1 3 0x545f 0xa368f36f @@ -62646,6 +78308,8 @@ 1 0 0 +4 +2 3 0xfa05 0x9c824fed @@ -62654,6 +78318,8 @@ 1 1 0 +5 +4 1 0x2e93 0x18976322 @@ -62663,6 +78329,8 @@ 0 0 4 +4 +4 0x3e4c 0x56389852 256 @@ -62670,6 +78338,8 @@ 0 0 0 +5 +5 4 0xc346 0x22fa10a0 @@ -62679,6 +78349,8 @@ 1 0 3 +4 +3 0x48cb 0x1c143cad 256 @@ -62686,6 +78358,8 @@ 0 0 0 +5 +2 3 0x5e0e 0x458abd05 @@ -62694,6 +78368,8 @@ 1 1 0 +5 +5 4 0xf682 0x693f4fa9 @@ -62703,6 +78379,8 @@ 0 0 4 +3 +4 0x7df9 0x4141d530 256 @@ -62711,6 +78389,8 @@ 1 0 3 +5 +3 0x720a 0x9a0890a7 256 @@ -62719,6 +78399,8 @@ 0 0 4 +1 +4 0xb16c 0xcc73c945 256 @@ -62726,6 +78408,8 @@ 1 0 0 +3 +0 2 0x8c54 0x5b474411 @@ -62734,6 +78418,8 @@ 1 0 0 +3 +1 4 0xb490 0x49cc0c74 @@ -62742,6 +78428,8 @@ 1 1 0 +4 +5 2 0x6381 0xc0e5856c @@ -62751,6 +78439,8 @@ 0 0 1 +1 +1 0xe904 0x786bcf5a 256 @@ -62758,6 +78448,8 @@ 1 0 0 +3 +5 2 0x826d 0x76ef7b05 @@ -62767,6 +78459,8 @@ 0 0 3 +2 +3 0xbe6f 0x84817784 256 @@ -62775,6 +78469,8 @@ 0 0 4 +5 +4 0xa5c4 0x8965e654 256 @@ -62782,6 +78478,8 @@ 0 0 0 +1 +3 2 0x667a 0x462ffdde @@ -62791,6 +78489,8 @@ 1 0 4 +5 +4 0xe699 0x529d34f2 256 @@ -62798,6 +78498,8 @@ 1 1 0 +5 +0 4 0xcb9b 0x87008b83 @@ -62806,6 +78508,8 @@ 0 0 0 +4 +5 1 0x86a8 0x6e99d66c @@ -62814,6 +78518,8 @@ 0 0 0 +1 +3 2 0xeadb 0x3ce3bef2 @@ -62822,6 +78528,8 @@ 0 0 0 +5 +3 1 0xc9e3 0x9959a4da @@ -62831,6 +78539,8 @@ 0 0 4 +0 +4 0x9162 0xd474813b 256 @@ -62838,6 +78548,8 @@ 1 1 0 +5 +5 4 0xb38c 0x7ec29e9 @@ -62847,6 +78559,8 @@ 1 0 3 +3 +3 0x7b7c 0xa9703635 256 @@ -62854,6 +78568,8 @@ 1 0 0 +2 +1 4 0x2489 0x65a48a6b @@ -62862,6 +78578,8 @@ 0 0 0 +5 +2 2 0x5e1b 0xc029d1a2 @@ -62870,6 +78588,8 @@ 0 0 0 +4 +3 1 0x38ed 0x9690faeb @@ -62878,6 +78598,8 @@ 0 0 0 +4 +0 2 0xe4cc 0xbd9d90ac @@ -62886,6 +78608,8 @@ 1 0 0 +3 +3 4 0xebae 0x2d986ab8 @@ -62894,6 +78618,8 @@ 1 1 0 +3 +5 2 0x232d 0xc72be8bc @@ -62902,6 +78628,8 @@ 0 0 0 +4 +4 1 0xbbf2 0x488877da @@ -62910,6 +78638,8 @@ 1 0 0 +5 +5 2 0x6bec 0x2fbcef2f @@ -62918,6 +78648,8 @@ 1 0 0 +1 +0 4 0xecce 0x47c2f0f4 @@ -62926,6 +78658,8 @@ 1 0 0 +0 +5 4 0x2e98 0x5cfeb96a @@ -62935,6 +78669,8 @@ 1 0 2 +4 +2 0xbb4d 0x2158fb7 256 @@ -62942,6 +78678,8 @@ 0 0 0 +5 +0 3 0xa5b8 0xd9db0351 @@ -62950,6 +78688,8 @@ 0 0 0 +2 +1 3 0x6e6e 0x2eb52860 @@ -62958,6 +78698,8 @@ 1 0 0 +1 +4 4 0x6b6b 0x8d5dd7ae @@ -62966,6 +78708,8 @@ 0 0 0 +1 +2 2 0xc26f 0x44462c7 @@ -62974,6 +78718,8 @@ 0 0 0 +0 +3 3 0xc2c7 0xddffcf7f @@ -62982,6 +78728,8 @@ 0 0 0 +1 +3 4 0xc82d 0xdb063c58 @@ -62990,6 +78738,8 @@ 0 0 0 +4 +4 1 0x55d0 0x665c01f5 @@ -62998,6 +78748,8 @@ 0 0 0 +2 +2 3 0x3b79 0xb94188af @@ -63007,6 +78759,8 @@ 0 0 2 +3 +2 0x1b9 0x1ed4eecf 256 @@ -63014,6 +78768,8 @@ 0 0 0 +0 +3 1 0x47a3 0xfce0ad06 @@ -63023,6 +78779,8 @@ 0 0 2 +3 +2 0xed4d 0xf86baceb 256 @@ -63030,6 +78788,8 @@ 1 0 0 +4 +3 3 0x3def 0x609eb2f1 @@ -63038,6 +78798,8 @@ 0 0 0 +4 +2 1 0xa1e8 0x91ace1a4 @@ -63046,6 +78808,8 @@ 1 1 0 +5 +3 1 0x6fd4 0xeaa57623 @@ -63054,6 +78818,8 @@ 0 0 0 +1 +4 3 0x4ab3 0x605daba3 @@ -63062,6 +78828,8 @@ 1 1 0 +3 +1 1 0xa71d 0xa1141a7e @@ -63070,6 +78838,8 @@ 1 0 0 +5 +2 2 0xff3d 0x85b8e1a6 @@ -63078,6 +78848,8 @@ 0 0 0 +1 +1 4 0xfd6c 0xd833dbdd @@ -63087,6 +78859,8 @@ 0 0 4 +2 +4 0xc4bd 0xb3da3ac9 256 @@ -63094,6 +78868,8 @@ 0 0 0 +5 +3 4 0x5f3f 0xeb677183 @@ -63102,6 +78878,8 @@ 0 0 0 +2 +4 1 0xca55 0xf956e9af @@ -63111,6 +78889,8 @@ 0 0 1 +4 +1 0x16e0 0xfe4a36aa 256 @@ -63119,6 +78899,8 @@ 0 0 2 +3 +2 0xa4a1 0x7604b579 256 @@ -63127,6 +78909,8 @@ 1 0 3 +4 +3 0x5260 0x20e659a6 256 @@ -63134,6 +78918,8 @@ 0 0 0 +4 +1 3 0x3e0f 0x97d46879 @@ -63142,6 +78928,8 @@ 1 0 0 +2 +1 1 0x10e3 0x74ad2ca @@ -63150,6 +78938,8 @@ 1 1 0 +3 +1 2 0x3b0b 0xd072ebc3 @@ -63158,6 +78948,8 @@ 1 1 0 +4 +5 3 0x438 0xb1fad46 @@ -63166,6 +78958,8 @@ 1 1 0 +1 +2 4 0x7505 0x272b5bb6 @@ -63174,6 +78968,8 @@ 1 0 0 +4 +2 1 0xa1b1 0x2b0839f2 @@ -63183,6 +78979,8 @@ 0 0 1 +2 +1 0xe675 0x1074de37 256 @@ -63190,6 +78988,8 @@ 0 0 0 +2 +3 4 0x2969 0x19b541f0 @@ -63198,6 +78998,8 @@ 1 1 0 +3 +3 1 0xe267 0xab691201 @@ -63206,6 +79008,8 @@ 1 1 0 +5 +2 2 0x6ca1 0x6fee602c @@ -63215,6 +79019,8 @@ 0 0 1 +0 +1 0xf396 0xb98241db 256 @@ -63222,6 +79028,8 @@ 1 1 0 +5 +1 3 0x211f 0xc3051d3b @@ -63230,6 +79038,8 @@ 0 0 0 +2 +1 1 0x891b 0x47cb61e3 @@ -63238,6 +79048,8 @@ 1 1 0 +1 +0 4 0xf58 0x3b54f461 @@ -63246,6 +79058,8 @@ 0 0 0 +2 +4 1 0x9074 0xe3909c0e @@ -63254,6 +79068,8 @@ 0 0 0 +1 +4 4 0x845c 0x4cb4c7 @@ -63262,6 +79078,8 @@ 0 0 0 +5 +1 3 0xd480 0x2b4bebf1 @@ -63270,6 +79088,8 @@ 1 1 0 +3 +5 2 0x306 0x8659d7e6 @@ -63278,6 +79098,8 @@ 0 0 0 +1 +1 3 0x3b49 0x44cc4944 @@ -63286,6 +79108,8 @@ 1 1 0 +0 +0 4 0x5977 0x5cc48460 @@ -63294,6 +79118,8 @@ 0 0 0 +2 +5 3 0xa694 0x3893772a @@ -63302,6 +79128,8 @@ 1 1 0 +1 +1 2 0xb31a 0x856f7c32 @@ -63310,6 +79138,8 @@ 0 0 0 +2 +2 1 0xd134 0x283d14e0 @@ -63318,6 +79148,8 @@ 0 0 0 +1 +3 4 0xf34 0x737bc639 @@ -63326,6 +79158,8 @@ 1 1 0 +5 +4 1 0xa532 0x7d1e3f01 @@ -63334,6 +79168,8 @@ 1 0 0 +3 +2 4 0xde2e 0xbc991908 @@ -63342,6 +79178,8 @@ 1 1 0 +5 +0 2 0x8867 0x6bf44936 @@ -63350,6 +79188,8 @@ 1 0 0 +0 +0 3 0x8cff 0x99a3cea4 @@ -63358,6 +79198,8 @@ 1 1 0 +3 +4 2 0xf2a9 0x4171fde7 @@ -63366,6 +79208,8 @@ 0 0 0 +5 +3 2 0xeb92 0x728cca7d @@ -63374,6 +79218,8 @@ 1 0 0 +3 +1 4 0xe02e 0x3e5f2833 @@ -63382,6 +79228,8 @@ 1 0 0 +1 +1 2 0x65df 0x5140af8c @@ -63391,6 +79239,8 @@ 0 0 3 +1 +3 0x87f8 0x856b89cf 256 @@ -63398,6 +79248,8 @@ 0 0 0 +0 +0 3 0x28ca 0xa33767ec @@ -63407,6 +79259,8 @@ 0 0 1 +4 +1 0x39f9 0x1014293c 256 @@ -63414,6 +79268,8 @@ 1 1 0 +5 +2 4 0x214c 0x9153c419 @@ -63422,6 +79278,8 @@ 1 0 0 +2 +5 4 0xf24d 0x138be720 @@ -63430,6 +79288,8 @@ 1 1 0 +1 +4 4 0xaac9 0xceba7cd7 @@ -63438,6 +79298,8 @@ 1 0 0 +3 +5 1 0x4219 0xaf1804cf @@ -63447,6 +79309,8 @@ 1 0 4 +1 +4 0x50a6 0x3a6849ad 256 @@ -63454,6 +79318,8 @@ 1 0 0 +2 +5 3 0x41fa 0xa9652589 @@ -63462,6 +79328,8 @@ 1 1 0 +5 +3 3 0x34ee 0xd3982f58 @@ -63470,6 +79338,8 @@ 0 0 0 +5 +3 3 0x1fdc 0x5956fd41 @@ -63479,6 +79349,8 @@ 0 0 2 +1 +2 0xd9f3 0x5765d141 256 @@ -63486,6 +79358,8 @@ 0 0 0 +0 +4 3 0xa961 0x4fd5bff5 @@ -63494,6 +79368,8 @@ 1 0 0 +0 +2 4 0xc294 0xca03ff71 @@ -63503,6 +79379,8 @@ 1 0 3 +5 +3 0x7b73 0xa28996b6 256 @@ -63511,6 +79389,8 @@ 0 0 3 +4 +3 0xfb45 0xecaf78e6 256 @@ -63518,6 +79398,8 @@ 1 1 0 +1 +2 2 0xae8a 0xa2bd081c @@ -63526,6 +79408,8 @@ 0 0 0 +0 +0 3 0x437f 0xb94823af @@ -63534,6 +79418,8 @@ 0 0 0 +4 +3 1 0xb745 0x4d7d027d @@ -63542,6 +79428,8 @@ 1 0 0 +5 +4 3 0x3d8e 0x38c6523a @@ -63550,6 +79438,8 @@ 0 0 0 +5 +2 4 0xd6df 0x7bc9f4e4 @@ -63559,6 +79449,8 @@ 0 0 1 +4 +1 0x83db 0x908c21da 256 @@ -63566,6 +79458,8 @@ 0 0 0 +2 +5 4 0xeb24 0x620bf5ad @@ -63575,6 +79469,8 @@ 0 0 3 +0 +3 0x7c70 0xdd08146f 256 @@ -63583,6 +79479,8 @@ 0 0 3 +2 +3 0xe3f9 0xbf20e37c 256 @@ -63591,6 +79489,8 @@ 0 0 1 +3 +1 0xaa8d 0xab706d76 256 @@ -63599,6 +79499,8 @@ 0 0 2 +5 +2 0xd686 0xcec405bc 256 @@ -63606,6 +79508,8 @@ 1 1 0 +4 +1 1 0x11f2 0x85efab76 @@ -63614,6 +79518,8 @@ 1 0 0 +5 +5 1 0x66e6 0x72dee6d0 @@ -63622,6 +79528,8 @@ 1 1 0 +3 +3 4 0x2faa 0x85e9b9fe @@ -63630,6 +79538,8 @@ 1 0 0 +3 +2 1 0x997 0xfb63fea0 @@ -63639,6 +79549,8 @@ 0 0 4 +1 +4 0x634 0x99d8df15 256 @@ -63647,6 +79559,8 @@ 1 0 1 +1 +1 0xe9a1 0x302c1156 256 @@ -63654,6 +79568,8 @@ 1 0 0 +0 +5 3 0x77a3 0xb7cbe8ac @@ -63662,6 +79578,8 @@ 0 0 0 +5 +1 1 0x97a0 0x4e135363 @@ -63670,6 +79588,8 @@ 0 0 0 +2 +1 4 0xaffc 0x3e468d76 @@ -63678,6 +79598,8 @@ 0 0 0 +3 +3 2 0xc89f 0x58f1b601 @@ -63686,6 +79608,8 @@ 1 1 0 +3 +2 4 0x835c 0x57e38ede @@ -63694,6 +79618,8 @@ 0 0 0 +2 +5 4 0x4da3 0x7729f72c @@ -63702,6 +79628,8 @@ 1 0 0 +2 +4 3 0x521e 0xd84837e @@ -63710,6 +79638,8 @@ 0 0 0 +2 +2 4 0xebb1 0x63d1b6bc @@ -63718,6 +79648,8 @@ 1 1 0 +0 +4 1 0xe2e6 0x7977c582 @@ -63726,6 +79658,8 @@ 0 0 0 +2 +3 1 0x7271 0xdb39c613 @@ -63734,6 +79668,8 @@ 1 1 0 +5 +0 2 0x8955 0x93ab919d @@ -63743,6 +79679,8 @@ 0 0 3 +2 +3 0xd3d9 0x479ec2dd 256 @@ -63750,6 +79688,8 @@ 1 1 0 +4 +3 2 0x68a0 0x458c6a9b @@ -63758,6 +79698,8 @@ 0 0 0 +4 +1 1 0xb233 0x3642c804 @@ -63766,6 +79708,8 @@ 1 0 0 +5 +3 2 0x3b19 0xde424962 @@ -63774,6 +79718,8 @@ 0 0 0 +0 +3 4 0x3d80 0x8f61f15a @@ -63782,6 +79728,8 @@ 0 0 0 +1 +4 2 0xdac1 0x700000ce @@ -63790,6 +79738,8 @@ 0 0 0 +0 +0 1 0x4b03 0x87f7264b @@ -63798,6 +79748,8 @@ 0 0 0 +1 +3 4 0x3ed0 0xe7473ba @@ -63806,6 +79758,8 @@ 1 1 0 +3 +5 1 0xde23 0xe0814c91 @@ -63814,6 +79768,8 @@ 1 0 0 +1 +2 3 0xc26b 0xc03ab90 @@ -63822,6 +79778,8 @@ 0 0 0 +1 +5 3 0xae2e 0xd2a6dd22 @@ -63830,6 +79788,8 @@ 0 0 0 +1 +2 4 0xe1da 0x8f129795 @@ -63838,6 +79798,8 @@ 1 0 0 +2 +2 1 0x38c7 0xa42e5125 @@ -63846,6 +79808,8 @@ 0 0 0 +0 +0 1 0xd6d8 0x9893bf88 @@ -63854,6 +79818,8 @@ 0 0 0 +1 +3 4 0xb678 0x2d55d14f @@ -63862,6 +79828,8 @@ 1 0 0 +0 +3 3 0xbeb1 0x2b88f7b1 @@ -63870,6 +79838,8 @@ 0 0 0 +5 +4 2 0xfdc2 0x9d78d1f1 @@ -63878,6 +79848,8 @@ 1 1 0 +4 +1 1 0xbb88 0x4bda31d5 @@ -63886,6 +79858,8 @@ 1 0 0 +4 +3 1 0x38bd 0x87647227 @@ -63894,6 +79868,8 @@ 0 0 0 +4 +3 3 0xba3d 0x35a6dedc @@ -63902,6 +79878,8 @@ 0 0 0 +2 +1 3 0xa194 0xafce97a2 @@ -63910,6 +79888,8 @@ 0 0 0 +2 +5 3 0x7e05 0xa606a8e3 @@ -63918,6 +79898,8 @@ 1 1 0 +5 +2 1 0x9fce 0x327e561a @@ -63926,6 +79908,8 @@ 0 0 0 +5 +1 1 0x6a2f 0xfd1cf76c @@ -63934,6 +79918,8 @@ 0 0 0 +0 +1 3 0x3dac 0x440a18fe @@ -63942,6 +79928,8 @@ 1 1 0 +0 +5 1 0xa53f 0x542bc08d @@ -63950,6 +79938,8 @@ 1 1 0 +0 +4 1 0x7558 0x6654aae7 @@ -63958,6 +79948,8 @@ 0 0 0 +5 +5 2 0xf7ec 0x97e3854e @@ -63966,6 +79958,8 @@ 1 0 0 +2 +1 3 0x6c66 0x4c529d4a @@ -63974,6 +79968,8 @@ 0 0 0 +4 +2 3 0x37b1 0x24c31519 @@ -63982,6 +79978,8 @@ 1 1 0 +3 +1 1 0xd461 0xb874af9a @@ -63990,6 +79988,8 @@ 1 1 0 +1 +2 2 0xe129 0x3dbe0cce @@ -63999,6 +79999,8 @@ 0 0 2 +5 +2 0xfa7a 0x2c5ab64b 256 @@ -64006,6 +80008,8 @@ 1 0 0 +2 +4 3 0x41d2 0x4166f75f @@ -64014,6 +80018,8 @@ 1 1 0 +0 +0 4 0xe9aa 0xaa751fcc @@ -64022,6 +80028,8 @@ 0 0 0 +0 +4 2 0x24c4 0xcf097b0 @@ -64030,6 +80038,8 @@ 1 0 0 +2 +4 1 0xf73f 0x35393d17 @@ -64038,6 +80048,8 @@ 1 1 0 +1 +0 3 0xefce 0x4b30f90c @@ -64046,6 +80058,8 @@ 0 0 0 +2 +2 1 0x9047 0xb1b8aa5c @@ -64055,6 +80069,8 @@ 0 0 3 +4 +3 0x5718 0x582fa5bb 256 @@ -64062,6 +80078,8 @@ 0 0 0 +4 +4 1 0xe24f 0x5bea11bf @@ -64070,6 +80088,8 @@ 1 1 0 +2 +1 3 0xb24a 0xcdf94c01 @@ -64079,6 +80099,8 @@ 0 0 2 +5 +2 0x7681 0xfdb8d751 256 @@ -64086,6 +80108,8 @@ 0 0 0 +2 +0 4 0xbb27 0x167f581d @@ -64094,6 +80118,8 @@ 0 0 0 +0 +3 2 0x7d5a 0xb423eb0a @@ -64102,6 +80128,8 @@ 0 0 0 +2 +2 4 0x553b 0x648b8ebd @@ -64110,6 +80138,8 @@ 0 0 0 +4 +0 3 0x4f2 0x3c688e5 @@ -64118,6 +80148,8 @@ 1 0 0 +5 +4 3 0x1da4 0x5f79827b @@ -64126,6 +80158,8 @@ 0 0 0 +1 +5 3 0x59e7 0x6f508636 @@ -64134,6 +80168,8 @@ 0 0 0 +3 +5 1 0x765a 0x287b70c9 @@ -64142,6 +80178,8 @@ 1 0 0 +1 +0 3 0x989a 0x136a1b1a @@ -64150,6 +80188,8 @@ 0 0 0 +0 +0 4 0xadc3 0xb026c227 @@ -64158,6 +80198,8 @@ 1 1 0 +2 +3 4 0xe0cf 0xf49d4b20 @@ -64166,6 +80208,8 @@ 1 1 0 +1 +5 4 0x243d 0x7c8a17f3 @@ -64175,6 +80219,8 @@ 0 0 2 +1 +2 0x6fe9 0x298b0270 256 @@ -64182,6 +80228,8 @@ 1 0 0 +2 +3 3 0x9fe3 0xbe29ffb7 @@ -64190,6 +80238,8 @@ 0 0 0 +5 +3 1 0x9337 0x94fc1c6d @@ -64198,6 +80248,8 @@ 0 0 0 +5 +0 4 0xd723 0xfb3db053 @@ -64206,6 +80258,8 @@ 1 0 0 +2 +1 3 0xd8a6 0x6a1825bc @@ -64214,6 +80268,8 @@ 0 0 0 +5 +3 2 0x18d7 0x3eb33a1c @@ -64222,6 +80278,8 @@ 0 0 0 +4 +2 1 0x9ef1 0xbfe951a @@ -64230,6 +80288,8 @@ 0 0 0 +2 +0 1 0xe3d6 0x39254663 @@ -64238,6 +80298,8 @@ 0 0 0 +5 +4 4 0xbef3 0xcd5934d2 @@ -64246,6 +80308,8 @@ 1 0 0 +4 +0 1 0xfa2b 0x393e12e3 @@ -64254,6 +80318,8 @@ 1 1 0 +0 +0 4 0xc67a 0xb7b22327 @@ -64262,6 +80328,8 @@ 1 1 0 +0 +5 4 0xeda4 0xe19e5960 @@ -64270,6 +80338,8 @@ 0 0 0 +0 +2 1 0xede6 0x170966a9 @@ -64279,6 +80349,8 @@ 0 0 4 +1 +4 0xfee4 0x91ae467f 256 @@ -64286,6 +80358,8 @@ 1 0 0 +3 +3 1 0x76e7 0x40781aea @@ -64295,6 +80369,8 @@ 0 0 1 +1 +1 0xda4 0xa1fba710 256 @@ -64302,6 +80378,8 @@ 1 0 0 +4 +2 1 0xea23 0x30963d6 @@ -64310,6 +80388,8 @@ 1 1 0 +2 +3 3 0xbee9 0xe662934f @@ -64318,6 +80398,8 @@ 1 1 0 +1 +2 4 0x89b0 0xb31d8f6e @@ -64326,6 +80408,8 @@ 1 1 0 +0 +5 4 0x378 0x9624bd11 @@ -64334,6 +80418,8 @@ 0 0 0 +5 +5 4 0x3946 0x4ea1717d @@ -64342,6 +80428,8 @@ 0 0 0 +2 +4 4 0x256b 0x6a1bbbe8 @@ -64350,6 +80438,8 @@ 0 0 0 +3 +1 1 0xab91 0xe3da1215 @@ -64359,6 +80449,8 @@ 0 0 2 +1 +2 0x6fb5 0xb7c4131c 256 @@ -64366,6 +80458,8 @@ 1 0 0 +3 +3 2 0x1771 0x5f2d15eb @@ -64374,6 +80468,8 @@ 1 0 0 +2 +4 3 0x7da5 0x29445b4a @@ -64382,6 +80478,8 @@ 1 0 0 +0 +1 3 0xf4c0 0xd338874a @@ -64390,6 +80488,8 @@ 0 0 0 +2 +2 3 0xbb15 0xdeb073f @@ -64398,6 +80498,8 @@ 0 0 0 +0 +0 2 0x5802 0xf7353327 @@ -64406,6 +80508,8 @@ 1 0 0 +0 +0 4 0x1530 0xedada497 @@ -64414,6 +80518,8 @@ 0 0 0 +4 +2 3 0xdb1 0x1beb912e @@ -64422,6 +80528,8 @@ 1 1 0 +0 +4 3 0x93b 0xb90b5765 @@ -64430,6 +80538,8 @@ 0 0 0 +4 +2 1 0xfc3d 0xe73f88bc @@ -64439,6 +80549,8 @@ 0 0 3 +4 +3 0x66c2 0x8d88ea29 256 @@ -64446,6 +80558,8 @@ 1 0 0 +0 +5 2 0xa228 0xf461afb @@ -64455,6 +80569,8 @@ 0 0 4 +2 +4 0xd3d4 0xd6475539 256 @@ -64462,6 +80578,8 @@ 1 0 0 +5 +2 4 0x2623 0xc1b7ac86 @@ -64470,6 +80588,8 @@ 1 1 0 +4 +3 1 0x77ca 0x48aec23a @@ -64478,6 +80598,8 @@ 1 1 0 +4 +4 2 0x87d4 0x253ad889 @@ -64486,6 +80608,8 @@ 0 0 0 +3 +0 1 0x7fe9 0x8ed70282 @@ -64494,6 +80618,8 @@ 1 1 0 +5 +0 2 0x6569 0xce5219a1 @@ -64502,6 +80628,8 @@ 0 0 0 +2 +4 1 0x5b8d 0x6a70a981 @@ -64510,6 +80638,8 @@ 0 0 0 +0 +2 4 0xe8f7 0x4fc2448f @@ -64518,6 +80648,8 @@ 0 0 0 +0 +1 4 0xcaa7 0xb5119cab @@ -64526,6 +80658,8 @@ 1 1 0 +3 +5 4 0xa53e 0x5b41db95 @@ -64534,6 +80668,8 @@ 0 0 0 +5 +1 2 0x4cb4 0xd2b45673 @@ -64542,6 +80678,8 @@ 0 0 0 +2 +4 1 0x5f1e 0x7cc5a42b @@ -64550,6 +80688,8 @@ 0 0 0 +1 +4 2 0xf0a1 0xd75885f9 @@ -64558,6 +80698,8 @@ 1 1 0 +4 +0 1 0xb7a5 0x233b2313 @@ -64566,6 +80708,8 @@ 1 0 0 +1 +3 2 0x78a 0x219b5acd @@ -64574,6 +80718,8 @@ 1 1 0 +0 +4 2 0xd56a 0xaff328d @@ -64583,6 +80729,8 @@ 0 0 2 +1 +2 0x5a8a 0xddd037b9 256 @@ -64591,6 +80739,8 @@ 0 0 1 +1 +1 0x42ce 0x6492feec 256 @@ -64598,6 +80748,8 @@ 1 0 0 +1 +1 2 0x3164 0x320b4896 @@ -64606,6 +80758,8 @@ 0 0 0 +1 +0 4 0x592b 0x9f92f689 @@ -64614,6 +80768,8 @@ 1 0 0 +3 +0 1 0xd6b7 0x78b2ca5 @@ -64623,6 +80779,8 @@ 0 0 4 +3 +4 0xd207 0x5d770c1d 256 @@ -64630,6 +80788,8 @@ 0 0 0 +0 +0 2 0x2437 0xc6999039 @@ -64638,6 +80798,8 @@ 0 0 0 +0 +4 4 0x7ecc 0xe7bc6a71 @@ -64646,6 +80808,8 @@ 0 0 0 +0 +2 3 0xdd28 0x859140b8 @@ -64654,6 +80818,8 @@ 1 1 0 +5 +5 4 0x4b63 0x9cd5174c @@ -64662,6 +80828,8 @@ 1 0 0 +3 +0 1 0x7472 0xdd26e72f @@ -64671,6 +80839,8 @@ 0 0 3 +1 +3 0xbad7 0x310c23ad 256 @@ -64679,6 +80849,8 @@ 0 0 3 +0 +3 0xd8c7 0x44fd3493 256 @@ -64686,6 +80858,8 @@ 0 0 0 +4 +0 1 0x1860 0xfdca9a0f @@ -64694,6 +80868,8 @@ 0 0 0 +4 +2 3 0x726f 0xaefe8911 @@ -64702,6 +80878,8 @@ 1 0 0 +0 +1 2 0x5319 0x87d0c942 @@ -64710,6 +80888,8 @@ 1 1 0 +4 +0 2 0xbf60 0x98be8487 @@ -64718,6 +80898,8 @@ 0 0 0 +4 +0 1 0x246d 0x18fe74b9 @@ -64726,6 +80908,8 @@ 0 0 0 +0 +3 1 0x2f75 0xf25bc8f7 @@ -64734,6 +80918,8 @@ 1 0 0 +1 +2 3 0x3478 0x36e492c6 @@ -64742,6 +80928,8 @@ 1 0 0 +1 +3 4 0xda8a 0x585ca161 @@ -64750,6 +80938,8 @@ 0 0 0 +4 +4 1 0x8204 0xeeb693dd @@ -64759,6 +80949,8 @@ 0 0 1 +2 +1 0x3b48 0xa03c8347 256 @@ -64766,6 +80958,8 @@ 0 0 0 +5 +5 2 0x395c 0xd42053cc @@ -64774,6 +80968,8 @@ 0 0 0 +4 +2 1 0x12c1 0x5202cde8 @@ -64782,6 +80978,8 @@ 0 0 0 +2 +0 3 0x7681 0x97277f76 @@ -64790,6 +80988,8 @@ 0 0 0 +0 +4 1 0xc5ad 0xefe6b942 @@ -64798,6 +80998,8 @@ 1 1 0 +1 +5 2 0x79fc 0x13d05885 @@ -64807,6 +81009,8 @@ 0 0 1 +5 +1 0x321c 0xc31a2ca4 256 @@ -64814,6 +81018,8 @@ 0 0 0 +5 +0 3 0x6342 0x47fe6660 @@ -64822,6 +81028,8 @@ 0 0 0 +1 +4 3 0xcc2 0xbe06d5e0 @@ -64830,6 +81038,8 @@ 1 1 0 +1 +2 3 0x8a3b 0xa529ae0f @@ -64838,6 +81048,8 @@ 1 0 0 +5 +1 4 0x9dd9 0xcaf16799 @@ -64846,6 +81058,8 @@ 1 1 0 +0 +1 2 0xd011 0x27bf80d4 @@ -64854,6 +81068,8 @@ 0 0 0 +0 +2 3 0x2349 0xd6fc9454 @@ -64862,6 +81078,8 @@ 1 0 0 +1 +2 4 0x5c44 0x1c1bb7a7 @@ -64870,6 +81088,8 @@ 0 0 0 +3 +5 4 0x49c5 0x69984cd2 @@ -64878,6 +81098,8 @@ 1 0 0 +2 +1 1 0x7188 0x7d95e7a3 @@ -64886,6 +81108,8 @@ 1 0 0 +2 +1 3 0xc17b 0xcd04785b @@ -64894,6 +81118,8 @@ 0 0 0 +0 +5 1 0x4b3b 0xfde2fff1 @@ -64902,6 +81128,8 @@ 0 0 0 +2 +3 4 0x1b82 0xbcb1bcc4 @@ -64911,6 +81139,8 @@ 0 0 1 +1 +1 0xe81f 0x37fbe7bc 256 @@ -64918,6 +81148,8 @@ 0 0 0 +5 +1 2 0xa4a8 0xd88c952c @@ -64926,6 +81158,8 @@ 1 0 0 +0 +2 2 0xcbfc 0x50e6b9c1 @@ -64935,6 +81169,8 @@ 0 0 1 +5 +1 0xd781 0xe4ca8130 256 @@ -64942,6 +81178,8 @@ 1 1 0 +1 +0 2 0xabca 0x2351de03 @@ -64950,6 +81188,8 @@ 0 0 0 +0 +5 4 0x45c2 0x92ebe152 @@ -64958,6 +81198,8 @@ 0 0 0 +4 +5 1 0x7170 0x7eb98caa @@ -64966,6 +81208,8 @@ 1 0 0 +0 +2 1 0xc0e2 0x9e3c7d1f @@ -64974,6 +81218,8 @@ 0 0 0 +2 +0 3 0xe12 0x973d6e50 @@ -64982,6 +81228,8 @@ 1 0 0 +1 +4 2 0xd9ff 0x218549ca @@ -64990,6 +81238,8 @@ 1 1 0 +3 +1 1 0x7ca3 0xcb03531a @@ -64998,6 +81248,8 @@ 0 0 0 +1 +5 2 0xf79f 0x61822dce @@ -65007,6 +81259,8 @@ 1 0 4 +1 +4 0x822a 0x4e82ee90 256 @@ -65014,6 +81268,8 @@ 1 1 0 +1 +3 3 0x5615 0xa5d824c6 @@ -65022,6 +81278,8 @@ 0 0 0 +0 +5 4 0xb205 0xceedcbfa @@ -65030,6 +81288,8 @@ 1 1 0 +5 +5 2 0x1964 0x337849ba @@ -65038,6 +81298,8 @@ 0 0 0 +5 +1 2 0xc953 0x3112eb9d @@ -65046,6 +81308,8 @@ 1 0 0 +0 +2 3 0x4ee5 0xb16fd9b1 @@ -65054,6 +81318,8 @@ 0 0 0 +3 +3 2 0x8d3b 0x5ddb688f @@ -65062,6 +81328,8 @@ 0 0 0 +5 +3 1 0x68d2 0xd0922961 @@ -65070,6 +81338,8 @@ 1 1 0 +1 +1 2 0x5539 0xed2e914 @@ -65078,6 +81348,8 @@ 0 0 0 +0 +1 1 0xc901 0xd7bf60dd @@ -65086,6 +81358,8 @@ 0 0 0 +4 +2 1 0x4421 0xd5dc70b0 @@ -65094,6 +81368,8 @@ 0 0 0 +4 +3 1 0x266e 0x9d5bb857 @@ -65103,6 +81379,8 @@ 0 0 4 +3 +4 0x5cac 0x1cdaf589 256 @@ -65110,6 +81388,8 @@ 0 0 0 +4 +5 2 0xfe62 0xb0dc4bd5 @@ -65118,6 +81398,8 @@ 1 1 0 +3 +4 4 0x26f6 0x5521a56a @@ -65127,6 +81409,8 @@ 0 0 2 +2 +2 0x27f9 0x806b9a4c 256 @@ -65134,6 +81418,8 @@ 1 1 0 +2 +0 4 0xcdb1 0xb898c815 @@ -65143,6 +81429,8 @@ 0 0 3 +5 +3 0x2b52 0x743f4b2b 256 @@ -65150,6 +81438,8 @@ 1 1 0 +5 +2 2 0x5dd8 0xc7303209 @@ -65158,6 +81448,8 @@ 1 1 0 +0 +5 4 0xbef6 0x3894c2 @@ -65166,6 +81458,8 @@ 1 1 0 +3 +3 4 0xe825 0x69ec4c70 @@ -65174,6 +81468,8 @@ 0 0 0 +3 +0 2 0x69ce 0x75ff6e10 @@ -65182,6 +81478,8 @@ 0 0 0 +0 +5 2 0xcbbf 0x82c70449 @@ -65190,6 +81488,8 @@ 0 0 0 +1 +4 2 0x4452 0x776e419a @@ -65198,6 +81498,8 @@ 1 0 0 +2 +4 3 0x8d20 0xdf1f826b @@ -65206,6 +81508,8 @@ 0 0 0 +3 +4 1 0xf23a 0x18a28f11 @@ -65214,6 +81518,8 @@ 0 0 0 +0 +3 2 0x4745 0x1b6ec8d0 @@ -65222,6 +81528,8 @@ 1 0 0 +1 +4 3 0xf5cf 0xb9b43429 @@ -65230,6 +81538,8 @@ 1 0 0 +0 +5 2 0x3844 0xbcf01fc8 @@ -65238,6 +81548,8 @@ 1 0 0 +3 +1 4 0x334f 0x86703c11 @@ -65246,6 +81558,8 @@ 1 1 0 +2 +2 3 0x87bc 0xc4f2a680 @@ -65254,6 +81568,8 @@ 1 0 0 +3 +4 1 0x81ae 0xa8b5e887 @@ -65262,6 +81578,8 @@ 0 0 0 +0 +5 2 0xf96f 0x1f32779d @@ -65271,6 +81589,8 @@ 0 0 3 +1 +3 0x72f3 0x11be87ce 256 @@ -65278,6 +81598,8 @@ 1 1 0 +5 +2 4 0x16f4 0x480ac69c @@ -65286,6 +81608,8 @@ 0 0 0 +1 +5 3 0x11dc 0x7cb89c50 @@ -65294,6 +81618,8 @@ 1 1 0 +5 +5 1 0xdba6 0xd0254381 @@ -65302,6 +81628,8 @@ 1 1 0 +2 +3 4 0xc4d4 0x76fd4ddd @@ -65310,6 +81638,8 @@ 0 0 0 +4 +1 2 0x4e70 0x30690531 @@ -65318,6 +81648,8 @@ 1 1 0 +5 +2 1 0x76dc 0x75fd6707 @@ -65326,6 +81658,8 @@ 1 0 0 +5 +0 1 0x4233 0x91ea8ee1 @@ -65334,6 +81668,8 @@ 1 1 0 +5 +2 3 0xbb45 0xe739a6e9 @@ -65342,6 +81678,8 @@ 0 0 0 +1 +3 4 0x769 0x6e40a8ac @@ -65350,6 +81688,8 @@ 1 0 0 +2 +2 3 0xa617 0xc86b55e1 @@ -65358,6 +81698,8 @@ 0 0 0 +5 +5 3 0x3c94 0x5ac317d7 @@ -65367,6 +81709,8 @@ 0 0 4 +3 +4 0x7a30 0x36aaf1bb 256 @@ -65374,6 +81718,8 @@ 1 0 0 +1 +4 3 0x7809 0xbb6a80b @@ -65382,6 +81728,8 @@ 1 0 0 +0 +4 3 0x636c 0x14ec3607 @@ -65390,6 +81738,8 @@ 1 0 0 +1 +5 3 0x727d 0xecbe5192 @@ -65398,6 +81748,8 @@ 1 1 0 +2 +3 3 0xd93d 0xa187d737 @@ -65406,6 +81758,8 @@ 1 1 0 +0 +1 1 0xe129 0x83e038fb @@ -65414,6 +81768,8 @@ 1 1 0 +5 +0 2 0xbf42 0x4d1cf985 @@ -65422,6 +81778,8 @@ 0 0 0 +1 +0 3 0xc9ae 0x2daf4b02 @@ -65430,6 +81788,8 @@ 0 0 0 +2 +1 1 0x2276 0xe64d5192 @@ -65438,6 +81798,8 @@ 0 0 0 +4 +5 3 0x4b3 0x9ef6469c @@ -65446,6 +81808,8 @@ 0 0 0 +2 +3 1 0x3572 0x51628cdc @@ -65454,6 +81818,8 @@ 0 0 0 +5 +4 1 0x81bb 0xf17140f0 @@ -65462,6 +81828,8 @@ 1 0 0 +4 +4 1 0xfd6 0x7770e00d @@ -65471,6 +81839,8 @@ 0 0 2 +1 +2 0xe81 0x6e76e850 256 @@ -65478,6 +81848,8 @@ 1 1 0 +4 +5 3 0x52d8 0xf71d4c90 @@ -65486,6 +81858,8 @@ 1 1 0 +4 +5 3 0xcd02 0x6cf468ed @@ -65495,6 +81869,8 @@ 1 0 1 +5 +1 0xb60b 0xae199497 256 @@ -65502,6 +81878,8 @@ 0 0 0 +4 +2 3 0xd1cd 0xc40a17e1 @@ -65510,6 +81888,8 @@ 0 0 0 +1 +1 2 0x9e0e 0xc401841b @@ -65518,6 +81898,8 @@ 1 0 0 +3 +4 4 0x96ce 0xc4473494 @@ -65526,6 +81908,8 @@ 1 1 0 +5 +4 4 0x7ff6 0x8eb7102d @@ -65534,6 +81918,8 @@ 0 0 0 +2 +2 3 0xa544 0x8b10e0f9 @@ -65542,6 +81928,8 @@ 1 1 0 +5 +5 3 0xf93c 0x10aa0a0b @@ -65550,6 +81938,8 @@ 1 0 0 +5 +1 1 0x368a 0x5f9887f4 @@ -65559,6 +81949,8 @@ 1 0 1 +4 +1 0x5f7 0x4aced308 256 @@ -65566,6 +81958,8 @@ 1 1 0 +0 +5 4 0x31e6 0xc550f14e @@ -65575,6 +81969,8 @@ 0 0 1 +1 +1 0xc9dd 0x6ee936b0 256 @@ -65582,6 +81978,8 @@ 0 0 0 +0 +0 4 0x9a4e 0x217fcba2 @@ -65591,6 +81989,8 @@ 1 0 3 +4 +3 0x6641 0xc5620e1c 256 @@ -65598,6 +81998,8 @@ 0 0 0 +0 +2 3 0x4cf5 0x97ca7615 @@ -65606,6 +82008,8 @@ 0 0 0 +5 +4 3 0x709a 0x10488fb @@ -65614,6 +82018,8 @@ 1 1 0 +3 +5 4 0x30e 0x418b7500 @@ -65622,6 +82028,8 @@ 1 1 0 +3 +1 1 0xd075 0xac80881c @@ -65630,6 +82038,8 @@ 1 1 0 +2 +0 4 0x835c 0x348571 @@ -65638,6 +82048,8 @@ 1 0 0 +3 +4 4 0xa568 0xa78a44a5 @@ -65646,6 +82058,8 @@ 0 0 0 +2 +5 4 0xc8e1 0xe1779203 @@ -65654,6 +82068,8 @@ 0 0 0 +5 +0 4 0xb150 0x9af73405 @@ -65663,6 +82079,8 @@ 0 0 4 +2 +4 0xca8d 0x7ebdbb2d 256 @@ -65671,6 +82089,8 @@ 1 0 3 +2 +3 0x3670 0x68432fd5 256 @@ -65678,6 +82098,8 @@ 0 0 0 +5 +2 3 0x5626 0x8675fc96 @@ -65686,6 +82108,8 @@ 1 1 0 +2 +5 1 0x69ad 0xe6e3b5b8 @@ -65694,6 +82118,8 @@ 0 0 0 +4 +2 3 0x93c7 0x535626b9 @@ -65702,6 +82128,8 @@ 0 0 0 +3 +4 2 0xc66 0xf5b06fb7 @@ -65710,6 +82138,8 @@ 0 0 0 +2 +4 1 0x72bd 0xa651c536 @@ -65718,6 +82148,8 @@ 1 0 0 +3 +4 2 0x3584 0x41aa451 @@ -65726,6 +82158,8 @@ 1 0 0 +5 +1 4 0x32bd 0x273e2db @@ -65734,6 +82168,8 @@ 0 0 0 +2 +2 1 0xb430 0x8666d4be @@ -65742,6 +82178,8 @@ 1 0 0 +1 +0 3 0xf736 0xb29bfb46 @@ -65750,6 +82188,8 @@ 0 0 0 +0 +4 2 0xd960 0xd7ec1cc7 @@ -65758,6 +82198,8 @@ 0 0 0 +2 +2 3 0x910b 0x89213a96 @@ -65766,6 +82208,8 @@ 0 0 0 +5 +2 4 0x66f1 0xc7e2cb78 @@ -65774,6 +82218,8 @@ 0 0 0 +2 +4 1 0xd242 0x38d0dde3 @@ -65782,6 +82228,8 @@ 1 1 0 +2 +0 1 0xf080 0x88606ea5 @@ -65790,6 +82238,8 @@ 0 0 0 +0 +4 2 0xd1ae 0xb0e62ee3 @@ -65799,6 +82249,8 @@ 0 0 4 +5 +4 0xd302 0x81c4b541 256 @@ -65806,6 +82258,8 @@ 1 0 0 +4 +0 3 0xdd1e 0x43303cf9 @@ -65814,6 +82268,8 @@ 1 0 0 +4 +4 3 0xcf27 0xb5d6c7b7 @@ -65822,6 +82278,8 @@ 1 1 0 +1 +3 2 0xb7f 0xb7a6acbe @@ -65830,6 +82288,8 @@ 1 1 0 +3 +3 4 0xf459 0x69566e9d @@ -65838,6 +82298,8 @@ 1 1 0 +0 +2 3 0xbd8d 0x2f94d4cd @@ -65846,6 +82308,8 @@ 0 0 0 +5 +0 4 0xf3de 0xc95eef67 @@ -65854,6 +82318,8 @@ 1 1 0 +4 +5 2 0xdbc9 0x9fd8c252 @@ -65862,6 +82328,8 @@ 1 0 0 +0 +2 4 0xec37 0x21b4e5a2 @@ -65870,6 +82338,8 @@ 0 0 0 +2 +1 3 0xef74 0xfbc193b6 @@ -65878,6 +82348,8 @@ 1 0 0 +5 +4 1 0x35c0 0x7fa9c3b7 @@ -65887,6 +82359,8 @@ 1 0 4 +2 +4 0xbc1a 0xf854920e 256 @@ -65894,6 +82368,8 @@ 0 0 0 +0 +3 3 0xa120 0x22c3f75b @@ -65902,6 +82378,8 @@ 1 0 0 +0 +0 1 0xe564 0xc542c0b3 @@ -65910,6 +82388,8 @@ 0 0 0 +0 +4 2 0x7aa0 0x8232c44 @@ -65918,6 +82398,8 @@ 1 1 0 +2 +4 3 0xaf78 0x28b82ef @@ -65926,6 +82408,8 @@ 1 1 0 +3 +1 4 0x3b04 0x8556f60b @@ -65934,6 +82418,8 @@ 1 1 0 +1 +4 3 0x4507 0x1f441d66 @@ -65942,6 +82428,8 @@ 1 0 0 +3 +0 4 0x7179 0xef09cb57 @@ -65951,6 +82439,8 @@ 0 0 2 +1 +2 0xcfc5 0x77b15363 256 @@ -65958,6 +82448,8 @@ 1 0 0 +1 +0 2 0xe981 0x4afa434a @@ -65966,6 +82458,8 @@ 1 0 0 +3 +2 2 0xbac0 0x7c123e38 @@ -65974,6 +82468,8 @@ 0 0 0 +3 +0 1 0x6fb6 0xffd3bc01 @@ -65982,6 +82478,8 @@ 0 0 0 +5 +5 2 0xb403 0xb45356 @@ -65990,6 +82488,8 @@ 1 0 0 +0 +0 3 0xd21b 0x5d63b3d7 @@ -65999,6 +82499,8 @@ 0 0 4 +2 +4 0x898e 0x6d601011 256 @@ -66006,6 +82508,8 @@ 1 0 0 +5 +3 1 0xf898 0xf5065157 @@ -66014,6 +82518,8 @@ 1 1 0 +4 +1 1 0x9fa 0x79e83b6a @@ -66022,6 +82528,8 @@ 0 0 0 +2 +5 1 0xb249 0x871d6604 @@ -66030,6 +82538,8 @@ 1 1 0 +3 +2 4 0x9afe 0xec2d560a @@ -66038,6 +82548,8 @@ 0 0 0 +2 +4 4 0x3d69 0x5ac23a13 @@ -66046,6 +82558,8 @@ 1 0 0 +2 +3 3 0x1444 0xeb751b4b @@ -66054,6 +82568,8 @@ 1 1 0 +4 +3 2 0xe1f9 0x23d72d2a @@ -66063,6 +82579,8 @@ 0 0 4 +4 +4 0x77a0 0xa8e635b0 256 @@ -66070,6 +82588,8 @@ 0 0 0 +5 +4 1 0x8eac 0x7aa04ccb @@ -66078,6 +82598,8 @@ 0 0 0 +2 +1 3 0xafcc 0x2f8c49d4 @@ -66086,6 +82608,8 @@ 0 0 0 +2 +3 3 0x81bc 0x2fe3bc77 @@ -66095,6 +82619,8 @@ 0 0 2 +1 +2 0x301 0xaf678a91 256 @@ -66102,6 +82628,8 @@ 1 1 0 +0 +3 1 0x9671 0x42ca3c17 @@ -66110,6 +82638,8 @@ 1 0 0 +5 +0 3 0x1fb 0xdf54e899 @@ -66118,6 +82648,8 @@ 1 1 0 +4 +4 2 0xf0e2 0xebf2abac @@ -66126,6 +82658,8 @@ 0 0 0 +4 +0 1 0x2b68 0x4d69e787 @@ -66135,6 +82669,8 @@ 0 0 2 +4 +2 0x7d68 0xc904a30a 256 @@ -66142,6 +82678,8 @@ 0 0 0 +3 +1 1 0xcf04 0xa7b78e0d @@ -66150,6 +82688,8 @@ 0 0 0 +4 +2 2 0x9ba1 0x6befd5b9 @@ -66158,6 +82698,8 @@ 0 0 0 +1 +4 4 0x4e6f 0xf347c71d @@ -66166,6 +82708,8 @@ 1 0 0 +5 +5 2 0x8b90 0xa617d40 @@ -66174,6 +82718,8 @@ 0 0 0 +5 +2 4 0x1e94 0xf77dfd16 @@ -66182,6 +82728,8 @@ 0 0 0 +3 +1 4 0xbcb 0x1b691653 @@ -66190,6 +82738,8 @@ 1 1 0 +3 +0 4 0xbef9 0x613ccf2 @@ -66198,6 +82748,8 @@ 0 0 0 +2 +2 3 0xbcf7 0x5396a6f @@ -66206,6 +82758,8 @@ 1 0 0 +4 +5 2 0x1a8a 0x40aef95b @@ -66214,6 +82768,8 @@ 0 0 0 +0 +3 3 0xe657 0xb6a8a5ad @@ -66222,6 +82778,8 @@ 0 0 0 +5 +3 4 0x83a4 0x37a23c45 @@ -66231,6 +82789,8 @@ 0 0 4 +0 +4 0x422 0x7b8d8b76 256 @@ -66238,6 +82798,8 @@ 1 1 0 +0 +1 1 0xaee9 0xb64707d2 @@ -66246,6 +82808,8 @@ 1 0 0 +2 +0 3 0x2c4a 0x518a66c3 @@ -66254,6 +82818,8 @@ 0 0 0 +5 +3 4 0x134 0x8b072d85 @@ -66262,6 +82828,8 @@ 1 0 0 +4 +5 3 0xaa59 0xe134d0c2 @@ -66270,6 +82838,8 @@ 0 0 0 +2 +3 4 0x5051 0xb7f11f0b @@ -66278,6 +82848,8 @@ 0 0 0 +4 +0 2 0xf97f 0x61b6d5d9 @@ -66286,6 +82858,8 @@ 0 0 0 +0 +3 2 0x3893 0xc8525086 @@ -66294,6 +82868,8 @@ 0 0 0 +3 +4 4 0x63a3 0x5baa8827 @@ -66302,6 +82878,8 @@ 1 1 0 +4 +2 2 0xfb82 0x23a68910 @@ -66310,6 +82888,8 @@ 0 0 0 +0 +1 4 0xb41a 0x3192ec2a @@ -66318,6 +82898,8 @@ 0 0 0 +5 +1 3 0x214b 0x970509c2 @@ -66326,6 +82908,8 @@ 1 1 0 +5 +5 2 0x7c75 0x94663112 @@ -66335,6 +82919,8 @@ 0 0 3 +4 +3 0x53c2 0x8444e23b 256 @@ -66342,6 +82928,8 @@ 0 0 0 +2 +2 4 0xe2a1 0x8dc86e42 @@ -66350,6 +82938,8 @@ 1 0 0 +5 +2 3 0xc6db 0x4d1b7fde @@ -66358,6 +82948,8 @@ 1 0 0 +0 +4 3 0xf4bc 0x87558d41 @@ -66366,6 +82958,8 @@ 1 1 0 +2 +4 4 0xa36d 0x4aaffc64 @@ -66374,6 +82968,8 @@ 1 0 0 +0 +3 1 0x20d0 0xb8ad80dc @@ -66382,6 +82978,8 @@ 0 0 0 +5 +2 4 0x1037 0x8e70fa03 @@ -66391,6 +82989,8 @@ 0 0 1 +2 +1 0xf358 0x6fbef980 256 @@ -66398,6 +82998,8 @@ 0 0 0 +4 +5 3 0x275d 0x168fcc35 @@ -66406,6 +83008,8 @@ 0 0 0 +1 +2 2 0x172f 0xfaf704fb @@ -66414,6 +83018,8 @@ 0 0 0 +3 +1 1 0x1a4a 0xc63a5b5e @@ -66422,6 +83028,8 @@ 1 1 0 +2 +3 1 0x3894 0x2b42d0f1 @@ -66430,6 +83038,8 @@ 1 0 0 +3 +3 1 0x4bfb 0xe288f8ef @@ -66438,6 +83048,8 @@ 1 1 0 +4 +2 3 0xebea 0xc6f9bbf2 @@ -66446,6 +83058,8 @@ 0 0 0 +1 +1 4 0xa3d4 0x21e24a90 @@ -66454,6 +83068,8 @@ 1 1 0 +3 +5 1 0xb7f5 0x9ac00fb5 @@ -66462,6 +83078,8 @@ 1 1 0 +4 +5 2 0x6ce1 0x8f60f76 @@ -66470,6 +83088,8 @@ 0 0 0 +0 +0 1 0xbecf 0x2fe7dc72 @@ -66478,6 +83098,8 @@ 1 0 0 +5 +4 3 0xfbf0 0x97d3a30b @@ -66486,6 +83108,8 @@ 1 1 0 +0 +1 3 0x33d4 0xd839e81c @@ -66494,6 +83118,8 @@ 0 0 0 +2 +3 4 0x7a2e 0x7cd99e37 @@ -66502,6 +83128,8 @@ 1 1 0 +5 +5 3 0xbd75 0x5d89eec1 @@ -66510,6 +83138,8 @@ 0 0 0 +3 +4 1 0xf39 0x929dbf5d @@ -66518,6 +83148,8 @@ 0 0 0 +2 +1 4 0x9ebb 0xa430728 @@ -66526,6 +83158,8 @@ 1 0 0 +1 +5 2 0x35fb 0xc0dcac44 @@ -66534,6 +83168,8 @@ 1 0 0 +1 +0 4 0x3e76 0x795a76f3 @@ -66542,6 +83178,8 @@ 0 0 0 +2 +2 1 0x474f 0xa85a82f1 @@ -66550,6 +83188,8 @@ 0 0 0 +4 +5 2 0x4e64 0xacf9557f @@ -66558,6 +83198,8 @@ 0 0 0 +0 +2 1 0x9333 0xd7ad8f7c @@ -66566,6 +83208,8 @@ 1 1 0 +1 +5 3 0x4fd7 0x70ac68fc @@ -66574,6 +83218,8 @@ 0 0 0 +5 +4 2 0xf4a3 0x1c1f8cf3 @@ -66582,6 +83228,8 @@ 0 0 0 +4 +0 3 0x8f6e 0xd8ef0607 @@ -66590,6 +83238,8 @@ 0 0 0 +1 +3 2 0xfd49 0x29b5bf89 @@ -66598,6 +83248,8 @@ 0 0 0 +0 +3 2 0xc285 0xe8aebfc0 @@ -66607,6 +83259,8 @@ 0 0 2 +4 +2 0x431d 0xa8aed162 256 @@ -66614,6 +83268,8 @@ 1 1 0 +1 +3 2 0xa0f4 0xe8403265 @@ -66622,6 +83278,8 @@ 1 1 0 +1 +2 4 0xad36 0x9bb722d8 @@ -66631,6 +83289,8 @@ 0 0 2 +3 +2 0xb1a 0x896b5f66 256 @@ -66638,6 +83298,8 @@ 1 1 0 +5 +5 3 0x990 0xaa1ff6c @@ -66646,6 +83308,8 @@ 0 0 0 +5 +5 2 0x38c5 0xd274d483 @@ -66654,6 +83318,8 @@ 1 1 0 +2 +1 1 0xdaf4 0xecd9947 @@ -66662,6 +83328,8 @@ 0 0 0 +0 +1 1 0x6678 0x788e822b @@ -66670,6 +83338,8 @@ 1 1 0 +2 +3 3 0xd993 0x9c66ec42 @@ -66678,6 +83348,8 @@ 0 0 0 +0 +5 1 0x9b45 0x38d75378 @@ -66687,6 +83359,8 @@ 0 0 4 +1 +4 0x2c4d 0xf47dfdfd 256 @@ -66694,6 +83368,8 @@ 1 1 0 +3 +1 4 0x75cf 0x1b0647ef @@ -66702,6 +83378,8 @@ 1 0 0 +4 +2 1 0x35b3 0x73d00213 @@ -66710,6 +83388,8 @@ 0 0 0 +0 +0 4 0xfb14 0x3fab95a5 @@ -66718,6 +83398,8 @@ 0 0 0 +5 +1 4 0x5770 0x7b225167 @@ -66726,6 +83408,8 @@ 1 1 0 +0 +2 1 0x5e59 0xc1979b51 @@ -66734,6 +83418,8 @@ 1 0 0 +0 +2 3 0x4f57 0xb11fd5af @@ -66742,6 +83428,8 @@ 0 0 0 +0 +0 4 0x9858 0x257ba9ae @@ -66750,6 +83438,8 @@ 1 1 0 +4 +1 1 0x3a53 0x2d511293 @@ -66758,6 +83448,8 @@ 1 1 0 +0 +1 3 0x516d 0x66a414d5 @@ -66767,6 +83459,8 @@ 0 0 2 +0 +2 0xae5b 0x1678d1d 256 @@ -66774,6 +83468,8 @@ 0 0 0 +1 +3 2 0x90db 0x1d82aa21 @@ -66782,6 +83478,8 @@ 0 0 0 +5 +5 1 0xf0f7 0x298950ee @@ -66791,6 +83489,8 @@ 0 0 4 +0 +4 0xdfde 0xb07c5a89 256 @@ -66798,6 +83498,8 @@ 0 0 0 +5 +0 1 0x5329 0x2edbdbcf @@ -66806,6 +83508,8 @@ 0 0 0 +2 +3 3 0x6794 0xd5d62d81 @@ -66814,6 +83518,8 @@ 0 0 0 +2 +2 4 0xbe94 0x3948865e @@ -66822,6 +83528,8 @@ 0 0 0 +4 +0 1 0x1b51 0x530d2027 @@ -66830,6 +83538,8 @@ 0 0 0 +3 +1 2 0x1816 0x5c89b823 @@ -66838,6 +83548,8 @@ 1 0 0 +0 +2 1 0x16bb 0x3ccf0aa0 @@ -66846,6 +83558,8 @@ 1 0 0 +1 +2 4 0xe48 0xe1e7c321 @@ -66854,6 +83568,8 @@ 1 0 0 +0 +3 1 0xc457 0x5d6f758d @@ -66862,6 +83578,8 @@ 0 0 0 +5 +0 2 0x3a42 0xf1d36ddc @@ -66870,6 +83588,8 @@ 0 0 0 +2 +2 1 0xa936 0x7fafb9e0 @@ -66878,6 +83598,8 @@ 0 0 0 +1 +4 4 0x6515 0x24d2c676 @@ -66887,6 +83609,8 @@ 1 0 2 +1 +2 0x6b2f 0x8d1f5e78 256 @@ -66895,6 +83619,8 @@ 0 0 1 +5 +1 0x50e2 0x6891c689 256 @@ -66903,6 +83629,8 @@ 0 0 3 +2 +3 0x3753 0x7685d49d 256 @@ -66910,6 +83638,8 @@ 1 1 0 +3 +5 2 0x618d 0xd7c4bdf0 @@ -66918,6 +83648,8 @@ 1 1 0 +5 +0 3 0x7ff0 0xfa34257 @@ -66926,6 +83658,8 @@ 1 1 0 +2 +3 4 0xa37c 0x24766187 @@ -66935,6 +83669,8 @@ 0 0 3 +5 +3 0x3a11 0x562c062b 256 @@ -66943,6 +83679,8 @@ 1 0 3 +4 +3 0x60d5 0xba82b29d 256 @@ -66950,6 +83688,8 @@ 0 0 0 +0 +2 4 0xeac 0xc84ea918 @@ -66958,6 +83698,8 @@ 1 0 0 +3 +2 4 0x75b2 0x6bde44f4 @@ -66966,6 +83708,8 @@ 1 1 0 +2 +1 3 0xae01 0xc4ce673 @@ -66974,6 +83718,8 @@ 0 0 0 +1 +3 2 0xdbfe 0xa1ef56f6 @@ -66982,6 +83728,8 @@ 1 0 0 +5 +0 4 0x9baa 0x1dfb8a0e @@ -66990,6 +83738,8 @@ 1 1 0 +5 +5 1 0xd05d 0x651ffc27 @@ -66998,6 +83748,8 @@ 0 0 0 +0 +5 1 0x893f 0x876cf22 @@ -67006,6 +83758,8 @@ 0 0 0 +0 +3 1 0x1edb 0x9aee1144 @@ -67014,6 +83768,8 @@ 1 1 0 +2 +1 3 0xa9a 0x4eb619ff @@ -67022,6 +83778,8 @@ 0 0 0 +5 +5 4 0x77ba 0xae3c3ffb @@ -67030,6 +83788,8 @@ 1 1 0 +1 +2 4 0x14dd 0x1c678892 @@ -67038,6 +83798,8 @@ 1 1 0 +4 +4 1 0x33da 0x9e89d361 @@ -67046,6 +83808,8 @@ 1 0 0 +5 +4 2 0x6037 0x8d3a7a41 @@ -67054,6 +83818,8 @@ 1 1 0 +1 +1 3 0xe7d2 0xfa154eb0 @@ -67063,6 +83829,8 @@ 0 0 1 +1 +1 0x3026 0xdf2ce63a 256 @@ -67070,6 +83838,8 @@ 0 0 0 +0 +0 1 0x39eb 0x78fae82 @@ -67078,6 +83848,8 @@ 1 1 0 +5 +4 3 0x7f24 0xbaf0f81 @@ -67087,6 +83859,8 @@ 1 0 1 +4 +1 0xccb3 0xdf1a2af 256 @@ -67094,6 +83868,8 @@ 1 1 0 +2 +2 4 0xe635 0xa2b65f64 @@ -67102,6 +83878,8 @@ 0 0 0 +2 +2 1 0xe64 0x7395de3d @@ -67110,6 +83888,8 @@ 1 1 0 +3 +1 1 0x646f 0xc2007666 @@ -67119,6 +83899,8 @@ 0 0 4 +5 +4 0x6ad0 0xdf03534c 256 @@ -67126,6 +83908,8 @@ 1 0 0 +2 +2 3 0x4a33 0xdabdaba1 @@ -67134,6 +83918,8 @@ 0 0 0 +2 +2 3 0xc412 0xe7bda438 @@ -67143,6 +83929,8 @@ 0 0 1 +0 +1 0x9cda 0xd55d08da 256 @@ -67150,6 +83938,8 @@ 0 0 0 +4 +0 2 0x405d 0xcff3ee1e @@ -67158,6 +83948,8 @@ 0 0 0 +3 +4 2 0x9e60 0xa20040f9 @@ -67166,6 +83958,8 @@ 0 0 0 +2 +4 4 0x208c 0xe9706be0 @@ -67174,6 +83968,8 @@ 1 0 0 +3 +4 1 0x9894 0x1370c883 @@ -67182,6 +83978,8 @@ 0 0 0 +5 +4 2 0x8aba 0x34dfde22 @@ -67190,6 +83988,8 @@ 1 0 0 +4 +1 1 0x2ee6 0x8e9cf52f @@ -67198,6 +83998,8 @@ 1 0 0 +3 +0 1 0x5cc5 0xa63255bf @@ -67206,6 +84008,8 @@ 0 0 0 +2 +1 3 0x6177 0x82f55fb2 @@ -67214,6 +84018,8 @@ 0 0 0 +0 +0 4 0xeed6 0xc040ac28 @@ -67222,6 +84028,8 @@ 0 0 0 +3 +4 1 0xf8f0 0x1cf49501 @@ -67230,6 +84038,8 @@ 0 0 0 +5 +5 4 0xa507 0xff096a05 @@ -67238,6 +84048,8 @@ 0 0 0 +5 +5 1 0xd80e 0xb8762ca8 @@ -67247,6 +84059,8 @@ 0 0 2 +5 +2 0xa806 0xbe5f4a4c 256 @@ -67254,6 +84068,8 @@ 1 0 0 +5 +3 1 0x66fd 0x6f708817 @@ -67263,6 +84079,8 @@ 0 0 2 +2 +2 0x9f64 0x4b55ecce 256 @@ -67271,6 +84089,8 @@ 0 0 2 +4 +2 0xdbb8 0x198297ba 256 @@ -67278,6 +84098,8 @@ 1 1 0 +0 +3 1 0xfdfe 0x132676d8 @@ -67286,6 +84108,8 @@ 0 0 0 +5 +2 4 0xca3d 0xa550b53d @@ -67294,6 +84118,8 @@ 0 0 0 +3 +0 4 0xc122 0x66614e49 @@ -67302,6 +84128,8 @@ 1 0 0 +0 +0 2 0xa101 0xb8930bc @@ -67310,6 +84138,8 @@ 0 0 0 +0 +3 4 0x8b5f 0x72bad3c4 @@ -67318,6 +84148,8 @@ 1 0 0 +0 +2 1 0xd73d 0xabf9f99b @@ -67326,6 +84158,8 @@ 1 1 0 +3 +1 4 0x884b 0x906af8cf @@ -67334,6 +84168,8 @@ 0 0 0 +0 +5 1 0x5263 0x93333457 @@ -67342,6 +84178,8 @@ 1 0 0 +4 +2 2 0xdc04 0x29fdf5a8 @@ -67351,6 +84189,8 @@ 0 0 4 +5 +4 0xca04 0x44b37ae 256 @@ -67358,6 +84198,8 @@ 0 0 0 +4 +3 2 0x4bbd 0xdb8a569 @@ -67366,6 +84208,8 @@ 1 0 0 +4 +3 2 0x46dd 0xc362d2bf @@ -67374,6 +84218,8 @@ 1 0 0 +0 +2 4 0xe7c4 0x77aac91 @@ -67382,6 +84228,8 @@ 1 1 0 +5 +3 3 0x26f6 0x3d34f11a @@ -67391,6 +84239,8 @@ 0 0 2 +5 +2 0xac00 0x484614cb 256 @@ -67399,6 +84249,8 @@ 0 0 2 +4 +2 0xc126 0xd50569d4 256 @@ -67406,6 +84258,8 @@ 0 0 0 +3 +1 2 0xae94 0xca792d50 @@ -67414,6 +84268,8 @@ 0 0 0 +5 +1 1 0x7a8 0x705b9d00 @@ -67422,6 +84278,8 @@ 1 0 0 +5 +5 3 0x41e5 0xa1611fb4 @@ -67430,6 +84288,8 @@ 0 0 0 +0 +5 4 0x143d 0x24a519a5 @@ -67438,6 +84298,8 @@ 0 0 0 +5 +1 3 0xc2ce 0x62c7e354 @@ -67446,6 +84308,8 @@ 1 1 0 +4 +4 1 0x39ff 0xcb796b44 @@ -67454,6 +84318,8 @@ 0 0 0 +0 +0 4 0x5f6f 0xf72368df @@ -67462,6 +84328,8 @@ 0 0 0 +1 +1 3 0xf408 0x25821c06 @@ -67471,6 +84339,8 @@ 0 0 4 +4 +4 0x9779 0x205f505e 256 @@ -67478,6 +84348,8 @@ 0 0 0 +2 +1 3 0x16d9 0xcc28706 @@ -67487,6 +84359,8 @@ 0 0 1 +1 +1 0xddf0 0x2bd9a7b2 256 @@ -67494,6 +84368,8 @@ 0 0 0 +1 +5 2 0x4a0e 0x9638cfa8 @@ -67502,6 +84378,8 @@ 0 0 0 +3 +3 2 0x2ef1 0xa28efb5b @@ -67510,6 +84388,8 @@ 1 0 0 +0 +4 3 0xd2d8 0xb40bf65e @@ -67519,6 +84399,8 @@ 1 0 4 +0 +4 0xce18 0xfda87139 256 @@ -67527,6 +84409,8 @@ 0 0 1 +5 +1 0x7803 0xc5e4d2e 256 @@ -67534,6 +84418,8 @@ 1 0 0 +3 +1 4 0xb8da 0x93ce53e6 @@ -67542,6 +84428,8 @@ 1 0 0 +0 +3 3 0x9ff9 0x66a4f99a @@ -67551,6 +84439,8 @@ 0 0 3 +0 +3 0x5d3c 0x5d01560b 256 @@ -67558,6 +84448,8 @@ 1 1 0 +1 +5 2 0xe760 0xa59c74c7 @@ -67566,6 +84458,8 @@ 0 0 0 +2 +5 4 0xed6 0xfcab4220 @@ -67574,6 +84468,8 @@ 0 0 0 +1 +2 2 0x1865 0x645acd80 @@ -67582,6 +84478,8 @@ 1 0 0 +3 +3 4 0x5b85 0xad1b24b8 @@ -67590,6 +84488,8 @@ 1 0 0 +1 +4 4 0xd998 0xa314d5a4 @@ -67598,6 +84498,8 @@ 1 0 0 +4 +3 2 0xbede 0x5e6f91a6 @@ -67607,6 +84509,8 @@ 0 0 1 +3 +1 0x605b 0xd73407c9 256 @@ -67614,6 +84518,8 @@ 0 0 0 +5 +5 2 0xb939 0xb03587f1 @@ -67622,6 +84528,8 @@ 0 0 0 +5 +2 2 0x7a6 0x90784569 @@ -67630,6 +84538,8 @@ 1 0 0 +3 +1 2 0xf7bb 0xa546df6d @@ -67638,6 +84548,8 @@ 1 1 0 +2 +1 1 0x7ef5 0xee946818 @@ -67646,6 +84558,8 @@ 1 0 0 +3 +1 1 0x2f61 0x53859e54 @@ -67654,6 +84568,8 @@ 0 0 0 +4 +2 3 0xf1ea 0x5fa7c60 @@ -67662,6 +84578,8 @@ 1 0 0 +1 +1 3 0xf94b 0xf66c69f4 @@ -67670,6 +84588,8 @@ 1 1 0 +1 +0 2 0xa80 0xc18fdadd @@ -67678,6 +84598,8 @@ 1 1 0 +5 +0 3 0x8480 0x67f58407 @@ -67687,6 +84609,8 @@ 0 0 1 +4 +1 0x97af 0x2b30ad35 256 @@ -67694,6 +84618,8 @@ 1 1 0 +5 +1 1 0x520f 0xd7a13094 @@ -67702,6 +84628,8 @@ 1 0 0 +4 +2 2 0x82e5 0xf65fe522 @@ -67710,6 +84638,8 @@ 0 0 0 +5 +5 3 0x6a3c 0x64d5b098 @@ -67718,6 +84648,8 @@ 1 0 0 +1 +0 4 0xeb2a 0x3cb4b896 @@ -67727,6 +84659,8 @@ 0 0 1 +4 +1 0xc6d4 0xf9c7d98a 256 @@ -67735,6 +84669,8 @@ 1 0 3 +5 +3 0xca30 0xe944a697 256 @@ -67743,6 +84679,8 @@ 0 0 4 +1 +4 0x2f94 0x62ebab48 256 @@ -67750,6 +84688,8 @@ 1 0 0 +0 +5 1 0xaea9 0xfe7e7c38 @@ -67758,6 +84698,8 @@ 0 0 0 +5 +2 4 0xd95 0xd1735fa9 @@ -67766,6 +84708,8 @@ 1 0 0 +1 +3 2 0x58dc 0x83c82e4a @@ -67774,6 +84718,8 @@ 1 1 0 +5 +3 1 0xd65f 0x66008f15 @@ -67782,6 +84728,8 @@ 1 0 0 +0 +5 2 0xd0c2 0x687f8689 @@ -67791,6 +84739,8 @@ 0 0 2 +5 +2 0x2e3d 0xa84a4ae3 256 @@ -67798,6 +84748,8 @@ 0 0 0 +2 +5 3 0xa9ea 0x30ba7d5a @@ -67807,6 +84759,8 @@ 1 0 2 +0 +2 0x5869 0xd474d968 256 @@ -67814,6 +84768,8 @@ 0 0 0 +0 +0 3 0x8a20 0x9c249935 @@ -67822,6 +84778,8 @@ 0 0 0 +2 +3 3 0x4cc5 0xccee4ea4 @@ -67831,6 +84789,8 @@ 1 0 4 +5 +4 0x755d 0xecb382e5 256 @@ -67838,6 +84798,8 @@ 1 1 0 +3 +5 1 0x3424 0xada1173c @@ -67846,6 +84808,8 @@ 0 0 0 +5 +5 2 0xa0bc 0x2a19087f @@ -67854,6 +84818,8 @@ 1 1 0 +4 +1 3 0x4c9a 0xfe397a39 @@ -67863,6 +84829,8 @@ 1 0 2 +4 +2 0x3c88 0x38f15870 256 @@ -67870,6 +84838,8 @@ 1 1 0 +0 +3 4 0x52b5 0x22af919c @@ -67878,6 +84848,8 @@ 1 1 0 +5 +2 4 0x7170 0xe96b188b @@ -67887,6 +84859,8 @@ 0 0 1 +2 +1 0xcf70 0xb3caa229 256 @@ -67895,6 +84869,8 @@ 0 0 3 +2 +3 0x969c 0xd023836d 256 @@ -67902,6 +84878,8 @@ 0 0 0 +2 +2 3 0xfc9b 0x3e48f8b @@ -67910,6 +84888,8 @@ 1 0 0 +3 +5 4 0x3917 0xe7b6822b @@ -67918,6 +84898,8 @@ 0 0 0 +5 +0 3 0x7447 0xf8f59024 @@ -67926,6 +84908,8 @@ 0 0 0 +0 +1 1 0xe544 0xd5e3485e @@ -67934,6 +84918,8 @@ 1 0 0 +5 +1 1 0xd7dd 0xb0a6e764 @@ -67942,6 +84928,8 @@ 0 0 0 +1 +1 4 0x3c53 0xb1af04b9 @@ -67950,6 +84938,8 @@ 1 0 0 +1 +0 4 0x8124 0xac32f33e @@ -67958,6 +84948,8 @@ 0 0 0 +0 +2 4 0xa668 0x4a580865 @@ -67966,6 +84958,8 @@ 0 0 0 +5 +3 2 0x279f 0xb608816b @@ -67975,6 +84969,8 @@ 0 0 2 +5 +2 0x151 0xa506f94a 256 @@ -67982,6 +84978,8 @@ 1 0 0 +3 +3 4 0x80a8 0xfa312c61 @@ -67990,6 +84988,8 @@ 1 1 0 +0 +0 4 0x2e50 0xef7c9b73 @@ -67998,6 +84998,8 @@ 1 1 0 +4 +0 3 0x202d 0x24e4b2db @@ -68006,6 +85008,8 @@ 0 0 0 +3 +0 1 0x94cc 0xadb34d88 @@ -68014,6 +85018,8 @@ 0 0 0 +1 +3 2 0x2b38 0xbea4928c @@ -68022,6 +85028,8 @@ 0 0 0 +4 +3 3 0x199a 0xabfee899 @@ -68031,6 +85039,8 @@ 0 0 2 +2 +2 0x4084 0x113d0c10 256 @@ -68038,6 +85048,8 @@ 1 0 0 +4 +1 1 0x92ac 0xc263ac60 @@ -68046,6 +85058,8 @@ 0 0 0 +3 +0 4 0x5d4d 0xf49f68a6 @@ -68054,6 +85068,8 @@ 1 0 0 +5 +4 1 0x2ffc 0xfe6aa52d @@ -68062,6 +85078,8 @@ 0 0 0 +5 +4 1 0xec1b 0x67d1c587 @@ -68070,6 +85088,8 @@ 1 0 0 +2 +4 3 0x6ebf 0x34db2c7f @@ -68078,6 +85098,8 @@ 0 0 0 +3 +0 2 0xf3e 0x83df448e @@ -68086,6 +85108,8 @@ 0 0 0 +5 +0 1 0xebdc 0xff1daa0d @@ -68094,6 +85118,8 @@ 1 0 0 +0 +1 4 0x8a28 0x83933154 @@ -68102,6 +85128,8 @@ 1 0 0 +3 +0 1 0xae8d 0x934f663e @@ -68110,6 +85138,8 @@ 0 0 0 +4 +4 2 0x4ef6 0xe7cdb486 @@ -68119,6 +85149,8 @@ 0 0 1 +5 +1 0xca1b 0x9da44ab2 256 @@ -68126,6 +85158,8 @@ 1 0 0 +4 +3 2 0xdc8d 0xfeca40b9 @@ -68134,6 +85168,8 @@ 0 0 0 +4 +2 3 0xe4c6 0xd5d8956 @@ -68142,6 +85178,8 @@ 0 0 0 +1 +4 3 0x52f6 0xfe4d6d9e @@ -68150,6 +85188,8 @@ 1 1 0 +1 +4 4 0x82 0xbda86394 @@ -68158,6 +85198,8 @@ 0 0 0 +1 +0 4 0xb655 0x754bba3 @@ -68166,6 +85208,8 @@ 0 0 0 +5 +1 4 0x199b 0x26f1f983 @@ -68174,6 +85218,8 @@ 1 1 0 +0 +1 1 0x6be 0x31300c9a @@ -68182,6 +85228,8 @@ 1 1 0 +0 +2 2 0xfd3a 0x88681321 @@ -68190,6 +85238,8 @@ 1 1 0 +2 +3 3 0xa20c 0x486702e6 @@ -68198,6 +85248,8 @@ 1 0 0 +0 +4 4 0x9857 0x9fd04284 @@ -68206,6 +85258,8 @@ 0 0 0 +5 +2 2 0x4c23 0xfd0ef3d6 @@ -68214,6 +85268,8 @@ 1 0 0 +3 +2 1 0x4f0c 0x493b5637 @@ -68222,6 +85278,8 @@ 1 0 0 +5 +1 2 0xeb2d 0x11174501 @@ -68230,6 +85288,8 @@ 0 0 0 +1 +3 3 0x7293 0xa290da0b @@ -68239,6 +85299,8 @@ 0 0 3 +0 +3 0x38c0 0xa38901a3 256 @@ -68246,6 +85308,8 @@ 1 0 0 +3 +5 2 0xaeb1 0xc4dface7 @@ -68254,6 +85318,8 @@ 0 0 0 +3 +0 2 0xe842 0xd7aac03e @@ -68262,6 +85328,8 @@ 1 0 0 +0 +0 1 0x9bc3 0x63ef64ad @@ -68270,6 +85338,8 @@ 1 0 0 +5 +3 3 0x63df 0xcbfc7796 @@ -68278,6 +85348,8 @@ 1 0 0 +1 +4 2 0xad75 0x763f17dd @@ -68286,6 +85358,8 @@ 1 1 0 +1 +0 2 0xc521 0x5d16680a @@ -68295,6 +85369,8 @@ 0 0 2 +1 +2 0x5c15 0x1d14b326 256 @@ -68302,6 +85378,8 @@ 0 0 0 +0 +2 3 0xb4b8 0x4ca98a1a @@ -68310,6 +85388,8 @@ 1 1 0 +5 +3 4 0x14d2 0xb13c5f03 @@ -68319,6 +85399,8 @@ 1 0 4 +4 +4 0xf57f 0x1f9f0695 256 @@ -68326,6 +85408,8 @@ 1 1 0 +5 +0 2 0xac9c 0xea52a82a @@ -68334,6 +85418,8 @@ 0 0 0 +2 +4 3 0xa553 0x94d109f8 @@ -68343,6 +85429,8 @@ 0 0 3 +0 +3 0x1cbf 0xfc88cab9 256 @@ -68350,6 +85438,8 @@ 0 0 0 +4 +5 1 0x415b 0x21b23d3e @@ -68358,6 +85448,8 @@ 0 0 0 +2 +4 1 0x7fdf 0x923292a7 @@ -68367,6 +85459,8 @@ 0 0 1 +2 +1 0xec46 0x7cef78c4 256 @@ -68374,6 +85468,8 @@ 1 0 0 +1 +1 4 0xc906 0x4f53cb8b @@ -68382,6 +85478,8 @@ 0 0 0 +0 +3 2 0x199 0xb5a02e64 @@ -68391,6 +85489,8 @@ 0 0 2 +2 +2 0x966d 0x56d3d3ef 256 @@ -68398,6 +85498,8 @@ 1 1 0 +2 +5 3 0xf89a 0x76b8a03e @@ -68406,6 +85508,8 @@ 1 0 0 +3 +5 4 0xfb1c 0xc4c5a397 @@ -68414,6 +85518,8 @@ 1 1 0 +2 +1 3 0x9a16 0xd1cdbe6 @@ -68422,6 +85528,8 @@ 1 1 0 +2 +3 1 0x1b13 0x78601bce @@ -68430,6 +85538,8 @@ 0 0 0 +2 +4 3 0xe67c 0x66e01661 @@ -68438,6 +85548,8 @@ 0 0 0 +5 +3 2 0xdae4 0x66eeff3b @@ -68446,6 +85558,8 @@ 0 0 0 +4 +0 1 0x3741 0x395a0dfb @@ -68454,6 +85568,8 @@ 0 0 0 +5 +5 4 0x9d11 0x5a9aca74 @@ -68462,6 +85578,8 @@ 1 1 0 +5 +2 1 0x1d42 0xb5e835a7 @@ -68470,6 +85588,8 @@ 0 0 0 +2 +2 3 0x5d4e 0x18396ea2 @@ -68478,6 +85598,8 @@ 1 1 0 +5 +1 4 0xa970 0xf4ae97fd @@ -68486,6 +85608,8 @@ 1 0 0 +5 +3 2 0xb22d 0xdfa43942 @@ -68494,6 +85618,8 @@ 0 0 0 +2 +1 3 0x4c62 0xfb7c0640 @@ -68502,6 +85628,8 @@ 0 0 0 +1 +3 3 0x39f2 0x2436a6f6 @@ -68510,6 +85638,8 @@ 0 0 0 +2 +1 3 0x724e 0x6bc49b14 @@ -68518,6 +85648,8 @@ 1 0 0 +0 +1 4 0x2bd8 0x22c388df @@ -68527,6 +85659,8 @@ 0 0 2 +5 +2 0xded6 0xbf01355d 256 @@ -68534,6 +85668,8 @@ 1 1 0 +5 +0 4 0xab8f 0x2e84529a @@ -68543,6 +85679,8 @@ 0 0 1 +0 +1 0xd764 0x51e04706 256 @@ -68550,6 +85688,8 @@ 1 0 0 +2 +0 1 0xf468 0x2e3a2a51 @@ -68558,6 +85698,8 @@ 1 1 0 +0 +5 3 0x3742 0x8d38fd78 @@ -68566,6 +85708,8 @@ 0 0 0 +2 +0 3 0x536f 0xcb398a0d @@ -68574,6 +85718,8 @@ 1 1 0 +3 +4 4 0xeaa 0xfca46b93 @@ -68582,6 +85728,8 @@ 1 0 0 +4 +3 1 0x999b 0xe666d772 @@ -68590,6 +85738,8 @@ 1 1 0 +4 +0 2 0xfdaf 0x42ca6557 @@ -68598,6 +85748,8 @@ 1 1 0 +0 +5 2 0x4e60 0x1f54c3cf @@ -68607,6 +85759,8 @@ 0 0 3 +4 +3 0x45b3 0xba3e772c 256 @@ -68614,6 +85768,8 @@ 1 1 0 +0 +4 4 0x9e2b 0xabf646dc @@ -68622,6 +85778,8 @@ 1 1 0 +1 +3 2 0x44c0 0x49412908 @@ -68630,6 +85788,8 @@ 0 0 0 +0 +3 1 0x73a5 0xd196ae3a @@ -68638,6 +85798,8 @@ 1 1 0 +1 +3 2 0x2fa2 0xb33face1 @@ -68646,6 +85808,8 @@ 0 0 0 +4 +3 1 0xc97a 0x1803d2aa @@ -68654,6 +85818,8 @@ 1 1 0 +4 +1 3 0xb4fb 0xc48d9309 @@ -68663,6 +85829,8 @@ 1 0 4 +0 +4 0xb1cd 0x605ff8a 256 @@ -68670,6 +85838,8 @@ 0 0 0 +1 +2 3 0xa8ab 0xfc7e23c @@ -68678,6 +85848,8 @@ 0 0 0 +3 +5 1 0x139d 0xce56be60 @@ -68686,6 +85858,8 @@ 1 1 0 +5 +1 3 0xa5a1 0xf6ed698b @@ -68694,6 +85868,8 @@ 1 0 0 +1 +2 4 0x662d 0x6ab1a624 @@ -68702,6 +85878,8 @@ 0 0 0 +0 +5 3 0x5749 0xec0d63d4 @@ -68711,6 +85889,8 @@ 0 0 4 +2 +4 0xc7ee 0xec09b2ed 256 @@ -68719,6 +85899,8 @@ 0 0 1 +0 +1 0xdfa3 0x8bd3b449 256 @@ -68726,6 +85908,8 @@ 0 0 0 +3 +5 4 0x374 0x43bc884 @@ -68734,6 +85918,8 @@ 1 0 0 +5 +0 2 0xae05 0xf4076a11 @@ -68742,6 +85928,8 @@ 1 1 0 +0 +3 3 0x6bb8 0x6255aa5f @@ -68750,6 +85938,8 @@ 0 0 0 +1 +5 2 0xa0a 0x9317c9cd @@ -68759,6 +85949,8 @@ 0 0 1 +4 +1 0xe21d 0x18b5c536 256 @@ -68766,6 +85958,8 @@ 0 0 0 +0 +0 4 0xcef0 0xab5d79ed @@ -68774,6 +85968,8 @@ 1 1 0 +2 +2 1 0x5667 0xf7d31987 @@ -68783,6 +85979,8 @@ 0 0 2 +4 +2 0xb338 0xf9f3985 256 @@ -68790,6 +85988,8 @@ 1 1 0 +0 +0 1 0xd177 0xb75bad5e @@ -68798,6 +85998,8 @@ 0 0 0 +1 +3 3 0x7f72 0x4fb3c953 @@ -68806,6 +86008,8 @@ 1 0 0 +5 +2 3 0xadf4 0x10005ebc @@ -68815,6 +86019,8 @@ 0 0 2 +5 +2 0xecf2 0x1d258f22 256 @@ -68822,6 +86028,8 @@ 0 0 0 +3 +3 1 0x865e 0x4c08d3d7 @@ -68830,6 +86038,8 @@ 0 0 0 +5 +4 1 0x1e64 0x4c37cf61 @@ -68839,6 +86049,8 @@ 0 0 3 +5 +3 0x4970 0x49b6d87a 256 @@ -68846,6 +86058,8 @@ 1 1 0 +5 +5 4 0x6b91 0x1e2ceb87 @@ -68854,6 +86068,8 @@ 0 0 0 +0 +4 4 0x68ae 0xe8306750 @@ -68862,6 +86078,8 @@ 1 0 0 +1 +2 2 0xa7ae 0xf875f2de @@ -68870,6 +86088,8 @@ 1 0 0 +0 +4 4 0xfbc3 0xf8424d5c @@ -68878,6 +86098,8 @@ 1 0 0 +4 +3 3 0x324c 0x8e918ec7 @@ -68887,6 +86109,8 @@ 1 0 3 +1 +3 0x3b31 0x98e8e03c 256 @@ -68895,6 +86119,8 @@ 1 0 3 +1 +3 0x745 0x6df2c8df 256 @@ -68902,6 +86128,8 @@ 1 0 0 +3 +2 1 0x694d 0xe44dd89c @@ -68911,6 +86139,8 @@ 0 0 2 +2 +2 0xb2fc 0x55266e3a 256 @@ -68918,6 +86148,8 @@ 0 0 0 +0 +3 2 0x70d3 0x6c81689 @@ -68926,6 +86158,8 @@ 1 1 0 +5 +5 2 0x36d5 0xaf5f4dce @@ -68935,6 +86169,8 @@ 0 0 3 +0 +3 0x9c85 0xfd8dbb49 256 @@ -68942,6 +86178,8 @@ 1 0 0 +1 +3 3 0xa2f 0x3d8f6daa @@ -68950,6 +86188,8 @@ 0 0 0 +0 +0 4 0xba6a 0x50d4113 @@ -68958,6 +86198,8 @@ 0 0 0 +5 +4 1 0x1a53 0x1bc4c038 @@ -68967,6 +86209,8 @@ 1 0 4 +3 +4 0x33c 0x7a3cc5c0 256 @@ -68974,6 +86218,8 @@ 1 0 0 +1 +4 2 0x9b2a 0xeed1b410 @@ -68982,6 +86228,8 @@ 0 0 0 +4 +4 2 0xd063 0x3b1cec24 @@ -68990,6 +86238,8 @@ 1 1 0 +1 +0 3 0xcc6e 0x99f9ddec @@ -68998,6 +86248,8 @@ 1 0 0 +0 +1 4 0x9d52 0x32b03d93 @@ -69006,6 +86258,8 @@ 0 0 0 +3 +5 1 0x5026 0x81c337f @@ -69015,6 +86269,8 @@ 0 0 3 +4 +3 0x670d 0xcc2a9eb4 256 @@ -69023,6 +86279,8 @@ 0 0 4 +5 +4 0x55c3 0x3ec7c2f7 256 @@ -69030,6 +86288,8 @@ 0 0 0 +1 +1 2 0x306e 0x7cf76a38 @@ -69038,6 +86298,8 @@ 0 0 0 +4 +1 2 0x70d9 0x3168b1e8 @@ -69046,6 +86308,8 @@ 1 0 0 +0 +1 4 0x83 0x941b1e10 @@ -69054,6 +86318,8 @@ 1 1 0 +2 +0 4 0x1b26 0x8d20814f @@ -69062,6 +86328,8 @@ 0 0 0 +3 +3 4 0xf03c 0xb91dab6b @@ -69070,6 +86338,8 @@ 1 0 0 +3 +5 1 0xb0e7 0xb5ae8f3b @@ -69078,6 +86348,8 @@ 0 0 0 +2 +1 1 0x6697 0x863395f2 @@ -69087,6 +86359,8 @@ 1 0 4 +0 +4 0x93e7 0xd934c9d4 256 @@ -69094,6 +86368,8 @@ 0 0 0 +4 +0 3 0xec0b 0x45a30cf2 @@ -69102,6 +86378,8 @@ 1 0 0 +2 +4 1 0xd6f2 0x1c0b37f1 @@ -69110,6 +86388,8 @@ 0 0 0 +5 +0 3 0x6222 0xd7eb86 @@ -69118,6 +86398,8 @@ 1 1 0 +0 +5 2 0x84f4 0xb8352eac @@ -69126,6 +86408,8 @@ 1 0 0 +5 +3 3 0x476f 0x58d04bf @@ -69134,6 +86418,8 @@ 0 0 0 +5 +5 2 0x7051 0x2391b706 @@ -69143,6 +86429,8 @@ 0 0 1 +4 +1 0x6394 0xbac1afcf 256 @@ -69150,6 +86438,8 @@ 0 0 0 +5 +3 4 0xdfa8 0x24a48cce @@ -69158,6 +86448,8 @@ 1 0 0 +4 +5 2 0xe29 0x5bebcbae @@ -69167,6 +86459,8 @@ 0 0 1 +4 +1 0x5fa5 0xfe4fad22 256 @@ -69174,6 +86468,8 @@ 1 1 0 +3 +3 4 0x1b00 0xb3bb861a @@ -69182,6 +86478,8 @@ 1 0 0 +1 +4 3 0x97ac 0xe1eeab62 @@ -69190,6 +86488,8 @@ 0 0 0 +3 +2 4 0xb293 0xf8f90c19 @@ -69198,6 +86498,8 @@ 0 0 0 +5 +2 3 0xe774 0xf56da854 @@ -69206,6 +86508,8 @@ 0 0 0 +3 +2 1 0x650c 0xee2418d0 @@ -69215,6 +86519,8 @@ 0 0 4 +4 +4 0x5c20 0xd6cbcfa1 256 @@ -69222,6 +86528,8 @@ 1 0 0 +2 +3 1 0xa39b 0xec2f7b2c @@ -69230,6 +86538,8 @@ 1 1 0 +3 +4 1 0x8208 0xea5ce447 @@ -69238,6 +86548,8 @@ 0 0 0 +5 +3 1 0x59 0x5cd56432 @@ -69247,6 +86559,8 @@ 1 0 2 +5 +2 0xa56b 0x7df5c018 256 @@ -69254,6 +86568,8 @@ 1 0 0 +4 +1 3 0x9570 0x811eba99 @@ -69262,6 +86578,8 @@ 0 0 0 +2 +1 1 0x434c 0x8ee7461a @@ -69271,6 +86589,8 @@ 1 0 1 +3 +1 0x61e7 0xa8263d3d 256 @@ -69278,6 +86598,8 @@ 0 0 0 +5 +1 3 0xb22c 0x226b735a @@ -69287,6 +86609,8 @@ 0 0 3 +3 +3 0x72b8 0x37ef3697 256 @@ -69295,6 +86619,8 @@ 0 0 1 +5 +1 0x4401 0x3074177a 256 @@ -69302,6 +86628,8 @@ 1 0 0 +2 +5 4 0x9287 0x7aed610a @@ -69310,6 +86638,8 @@ 0 0 0 +2 +3 1 0xef2d 0x438b8660 @@ -69318,6 +86648,8 @@ 0 0 0 +1 +3 2 0xc662 0x11eca97d @@ -69326,6 +86658,8 @@ 1 1 0 +5 +0 2 0x2c7d 0x1ecd5777 @@ -69334,6 +86668,8 @@ 0 0 0 +0 +1 3 0xcc8 0x94ef46c9 @@ -69342,6 +86678,8 @@ 1 1 0 +4 +0 2 0x2536 0xc2624699 @@ -69350,6 +86688,8 @@ 0 0 0 +3 +0 4 0xad99 0x8e5de5f3 @@ -69358,6 +86698,8 @@ 1 0 0 +1 +3 2 0x3228 0xa15521bf @@ -69367,6 +86709,8 @@ 0 0 3 +4 +3 0x101d 0x167115c5 256 @@ -69375,6 +86719,8 @@ 1 0 1 +3 +1 0xa235 0x647f97da 256 @@ -69382,6 +86728,8 @@ 1 0 0 +0 +4 2 0xaea8 0xd67f9101 @@ -69390,6 +86738,8 @@ 1 1 0 +4 +4 2 0x69fa 0xa62edd56 @@ -69398,6 +86748,8 @@ 0 0 0 +4 +4 2 0x9d16 0x41eb7752 @@ -69406,6 +86758,8 @@ 0 0 0 +1 +4 3 0xc3c5 0x179cb3a6 @@ -69414,6 +86768,8 @@ 1 0 0 +3 +1 1 0x94e4 0x174e76a5 @@ -69422,6 +86778,8 @@ 0 0 0 +2 +5 1 0xce2e 0xcce41a93 @@ -69430,6 +86788,8 @@ 1 0 0 +3 +4 1 0x9d94 0xbcbb7f52 @@ -69438,6 +86798,8 @@ 0 0 0 +4 +4 1 0x1deb 0x7dfcab86 @@ -69446,6 +86808,8 @@ 1 1 0 +4 +2 1 0xb684 0x63aa8135 @@ -69454,6 +86818,8 @@ 0 0 0 +0 +2 2 0x7501 0x9b52f764 @@ -69462,6 +86828,8 @@ 0 0 0 +4 +5 1 0x1acb 0x61d3679d @@ -69470,6 +86838,8 @@ 1 0 0 +1 +3 4 0xc0b7 0x5bb93b0c @@ -69478,6 +86848,8 @@ 0 0 0 +2 +1 3 0x1b09 0x239d85e8 @@ -69486,6 +86858,8 @@ 1 1 0 +4 +3 1 0xf735 0x4cfab4de @@ -69494,6 +86868,8 @@ 1 1 0 +2 +0 1 0xc80d 0x997727e5 @@ -69502,6 +86878,8 @@ 1 1 0 +5 +1 4 0x5bc8 0x3fe8a8a8 @@ -69510,6 +86888,8 @@ 1 0 0 +0 +4 1 0x2f49 0xcfdd3547 @@ -69518,6 +86898,8 @@ 0 0 0 +2 +4 3 0x96be 0x7dc2ea84 @@ -69526,6 +86908,8 @@ 0 0 0 +0 +5 4 0x546a 0xeadc280d @@ -69534,6 +86918,8 @@ 0 0 0 +0 +0 4 0xba3 0x9bed13ef @@ -69543,6 +86929,8 @@ 0 0 2 +2 +2 0xfef0 0x7e7069ec 256 @@ -69550,6 +86938,8 @@ 1 1 0 +1 +5 4 0x950c 0x8513aea3 @@ -69558,6 +86948,8 @@ 0 0 0 +1 +2 4 0x3ccb 0xb35d3642 @@ -69567,6 +86959,8 @@ 0 0 3 +2 +3 0xb8a 0x5484c8b4 256 @@ -69574,6 +86968,8 @@ 1 1 0 +1 +3 4 0x3406 0x59bfef57 @@ -69582,6 +86978,8 @@ 0 0 0 +1 +1 4 0xe3bf 0xe25dbabc @@ -69591,6 +86989,8 @@ 0 0 4 +0 +4 0x14af 0xed9f53e4 256 @@ -69598,6 +86998,8 @@ 1 1 0 +0 +1 3 0xda15 0x83e7baff @@ -69606,6 +87008,8 @@ 0 0 0 +1 +3 2 0x1e87 0xea684375 @@ -69614,6 +87018,8 @@ 1 0 0 +0 +1 3 0x4948 0xa1ffd4a4 @@ -69622,6 +87028,8 @@ 1 1 0 +2 +1 4 0x1579 0x7106f494 @@ -69630,6 +87038,8 @@ 1 0 0 +1 +4 3 0x2e08 0x123193f9 @@ -69638,6 +87048,8 @@ 0 0 0 +3 +5 4 0xf096 0xfd2d8937 @@ -69646,6 +87058,8 @@ 1 0 0 +1 +5 4 0x94ab 0x166bcba @@ -69654,6 +87068,8 @@ 0 0 0 +3 +5 1 0x68b3 0xe56700d5 @@ -69663,6 +87079,8 @@ 0 0 2 +4 +2 0x4476 0xaa17ddb2 256 @@ -69671,6 +87089,8 @@ 0 0 1 +4 +1 0x8705 0x9c7a8cc7 256 @@ -69678,6 +87098,8 @@ 1 0 0 +1 +4 3 0x84d 0x82bb7d95 @@ -69686,6 +87108,8 @@ 1 0 0 +2 +1 4 0x4839 0xa85d04b1 @@ -69695,6 +87119,8 @@ 0 0 3 +4 +3 0x9743 0x82a0c17 256 @@ -69703,6 +87129,8 @@ 1 0 4 +1 +4 0xc14f 0x5f3f18f9 256 @@ -69710,6 +87138,8 @@ 1 0 0 +5 +2 3 0xaede 0xd3eb60cb @@ -69718,6 +87148,8 @@ 1 1 0 +4 +1 3 0xaad3 0x9d43be85 @@ -69726,6 +87158,8 @@ 1 1 0 +1 +0 3 0x235e 0xf25cb388 @@ -69734,6 +87168,8 @@ 0 0 0 +5 +1 3 0xf7af 0x24a41b0d @@ -69742,6 +87178,8 @@ 1 1 0 +4 +5 1 0x6f9b 0x828278f @@ -69750,6 +87188,8 @@ 1 0 0 +4 +5 2 0xa610 0x1f08e10b @@ -69758,6 +87198,8 @@ 0 0 0 +4 +1 3 0xf2f3 0x29172394 @@ -69766,6 +87208,8 @@ 1 0 0 +5 +1 1 0xa9ea 0xcc03dde2 @@ -69774,6 +87218,8 @@ 0 0 0 +1 +1 4 0xb5a4 0xee6fcf30 @@ -69782,6 +87228,8 @@ 1 1 0 +5 +2 4 0xb472 0xaeafc349 @@ -69790,6 +87238,8 @@ 1 0 0 +2 +4 3 0x7551 0x862e9751 @@ -69798,6 +87248,8 @@ 0 0 0 +0 +2 1 0xf8e8 0x8e841009 @@ -69806,6 +87258,8 @@ 0 0 0 +2 +4 4 0x3b49 0xde5b333e @@ -69814,6 +87268,8 @@ 0 0 0 +4 +5 1 0xb754 0x7dc2ce7e @@ -69822,6 +87278,8 @@ 0 0 0 +2 +3 3 0x8bc1 0xd961ab9f @@ -69830,6 +87288,8 @@ 0 0 0 +4 +1 1 0x91e1 0xc937a4d1 @@ -69838,6 +87298,8 @@ 1 0 0 +1 +5 4 0x78d7 0x69667d26 @@ -69846,6 +87308,8 @@ 0 0 0 +0 +1 4 0x2a1c 0xb09fb65e @@ -69854,6 +87318,8 @@ 0 0 0 +3 +2 1 0x816e 0xa9bca00d @@ -69863,6 +87329,8 @@ 1 0 1 +2 +1 0x302c 0xf6239f80 256 @@ -69870,6 +87338,8 @@ 0 0 0 +0 +0 1 0xe62e 0x21f7b030 @@ -69878,6 +87348,8 @@ 0 0 0 +3 +5 4 0xcec2 0xe12eb89b @@ -69887,6 +87359,8 @@ 0 0 2 +1 +2 0xb238 0x2727af53 256 @@ -69894,6 +87368,8 @@ 1 0 0 +3 +3 1 0xb3c7 0x4287550e @@ -69902,6 +87378,8 @@ 0 0 0 +4 +5 3 0xf04 0xc6e144b8 @@ -69910,6 +87388,8 @@ 1 0 0 +3 +2 1 0xbac 0xb697265 @@ -69918,6 +87398,8 @@ 0 0 0 +0 +3 4 0x4e6d 0x4f46d457 @@ -69926,6 +87408,8 @@ 0 0 0 +5 +1 1 0x5f5f 0x3ccb4e03 @@ -69934,6 +87418,8 @@ 1 0 0 +0 +3 3 0xed90 0xf1c076e1 @@ -69942,6 +87428,8 @@ 1 1 0 +5 +1 3 0xa777 0xaea3cf4b @@ -69950,6 +87438,8 @@ 1 1 0 +3 +0 1 0x4dbc 0xb49c825e @@ -69958,6 +87448,8 @@ 1 0 0 +5 +3 3 0xaa6 0x8105020d @@ -69966,6 +87458,8 @@ 0 0 0 +0 +2 3 0x6dde 0xfe50f807 @@ -69974,6 +87468,8 @@ 1 0 0 +1 +3 2 0x9502 0x39dc8a0c @@ -69983,6 +87479,8 @@ 0 0 3 +2 +3 0x391c 0x82f386f6 256 @@ -69990,6 +87488,8 @@ 0 0 0 +3 +0 2 0x4bb1 0xeeb33c01 @@ -69999,6 +87499,8 @@ 0 0 3 +1 +3 0xe02c 0x4918ff47 256 @@ -70006,6 +87508,8 @@ 1 1 0 +0 +2 1 0xf0ed 0x29b1a25d @@ -70014,6 +87518,8 @@ 0 0 0 +1 +0 3 0xa4e7 0x6301b1cb @@ -70022,6 +87528,8 @@ 0 0 0 +1 +0 2 0x14a0 0xb317df0c @@ -70030,6 +87538,8 @@ 1 0 0 +3 +1 1 0x29b5 0xfbc4369 @@ -70038,6 +87548,8 @@ 1 1 0 +1 +0 3 0xf597 0x58183ccb @@ -70046,6 +87558,8 @@ 1 0 0 +4 +5 2 0xd027 0x64901e30 @@ -70055,6 +87569,8 @@ 0 0 1 +5 +1 0xd49f 0x87932c56 256 @@ -70062,6 +87578,8 @@ 0 0 0 +2 +4 4 0xeb97 0x8d933275 @@ -70070,6 +87588,8 @@ 0 0 0 +3 +3 2 0x176c 0xab47d623 @@ -70078,6 +87598,8 @@ 0 0 0 +5 +2 1 0x8779 0x2b8aee77 @@ -70087,6 +87609,8 @@ 0 0 3 +4 +3 0x5e39 0x3a4b8585 256 @@ -70094,6 +87618,8 @@ 0 0 0 +5 +5 4 0xc3b 0x2414c4b7 @@ -70102,6 +87628,8 @@ 1 1 0 +2 +0 1 0x2a6c 0xe554d60d @@ -70110,6 +87638,8 @@ 1 0 0 +4 +2 2 0xb51 0xd59a1991 @@ -70118,6 +87648,8 @@ 0 0 0 +4 +1 2 0x6fcc 0xd6dbe7ef @@ -70126,6 +87658,8 @@ 0 0 0 +0 +5 1 0xfdc6 0x7c0d406d @@ -70134,6 +87668,8 @@ 0 0 0 +1 +0 4 0x9cb9 0xd1ea39c2 @@ -70142,6 +87678,8 @@ 0 0 0 +5 +0 3 0x2168 0x8a3ba8d8 @@ -70150,6 +87688,8 @@ 0 0 0 +4 +1 1 0x760b 0x3d2981bb @@ -70158,6 +87698,8 @@ 1 1 0 +5 +0 1 0xef8a 0xa5f84e59 @@ -70166,6 +87708,8 @@ 0 0 0 +0 +2 3 0xd37b 0x224b6518 @@ -70175,6 +87719,8 @@ 0 0 2 +4 +2 0x5194 0xac89487a 256 @@ -70182,6 +87728,8 @@ 1 0 0 +1 +0 4 0x2c9d 0xe88dd63e @@ -70190,6 +87738,8 @@ 1 0 0 +4 +1 3 0xc0e9 0x4698cba4 @@ -70198,6 +87748,8 @@ 1 0 0 +5 +0 1 0xd767 0x7226339f @@ -70206,6 +87758,8 @@ 0 0 0 +5 +4 3 0xeba3 0x12262ceb @@ -70214,6 +87768,8 @@ 1 0 0 +3 +2 2 0xefe9 0xd939d377 @@ -70222,6 +87778,8 @@ 0 0 0 +4 +1 2 0x78ef 0x97f8a218 @@ -70230,6 +87788,8 @@ 0 0 0 +3 +0 4 0x369e 0x3a4e8ee1 @@ -70238,6 +87798,8 @@ 0 0 0 +0 +0 2 0xab6d 0xa8cf1811 @@ -70246,6 +87808,8 @@ 0 0 0 +5 +1 4 0xa1e7 0x9170b2de @@ -70254,6 +87818,8 @@ 0 0 0 +0 +4 4 0x5562 0x22c5ff95 @@ -70262,6 +87828,8 @@ 0 0 0 +5 +3 2 0xfa34 0x41b988fd @@ -70270,6 +87838,8 @@ 0 0 0 +2 +1 3 0xb4dd 0xb188b9f8 @@ -70278,6 +87848,8 @@ 0 0 0 +4 +0 1 0x363d 0xe2329f90 @@ -70286,6 +87858,8 @@ 1 0 0 +2 +4 3 0x4b42 0xd12056af @@ -70294,6 +87868,8 @@ 0 0 0 +3 +5 1 0x2a9a 0x3d30c4a9 @@ -70302,6 +87878,8 @@ 0 0 0 +1 +1 4 0x22b8 0x3f59ac1a @@ -70310,6 +87888,8 @@ 0 0 0 +5 +0 2 0x58ee 0xdfec086d @@ -70318,6 +87898,8 @@ 0 0 0 +3 +2 1 0xe0c5 0xa0b02fee @@ -70326,6 +87908,8 @@ 1 0 0 +0 +2 4 0x1565 0x5d2d6f57 @@ -70334,6 +87918,8 @@ 1 1 0 +1 +4 4 0xc899 0x68ce467e @@ -70342,6 +87928,8 @@ 0 0 0 +5 +4 2 0xc603 0x250c70c4 @@ -70350,6 +87938,8 @@ 0 0 0 +4 +4 1 0x641 0x2ccf0e58 @@ -70358,6 +87948,8 @@ 0 0 0 +5 +3 4 0x81fb 0x3e2af000 @@ -70366,6 +87958,8 @@ 1 1 0 +5 +0 2 0x8346 0x64bfe94c @@ -70374,6 +87968,8 @@ 1 1 0 +3 +0 4 0x8777 0x16607b56 @@ -70382,6 +87978,8 @@ 0 0 0 +5 +5 1 0x6dc5 0xb963e880 @@ -70390,6 +87988,8 @@ 1 0 0 +1 +4 3 0xce9f 0xfdb709d1 @@ -70398,6 +87998,8 @@ 0 0 0 +4 +1 2 0x6558 0x1b427302 @@ -70406,6 +88008,8 @@ 1 1 0 +0 +4 2 0xf79 0x5e42e341 @@ -70415,6 +88019,8 @@ 0 0 3 +5 +3 0xd99e 0x8645bf74 256 @@ -70422,6 +88028,8 @@ 0 0 0 +0 +3 2 0x23de 0xcbdee7cc @@ -70430,6 +88038,8 @@ 0 0 0 +1 +0 2 0xe80 0x6040c634 @@ -70438,6 +88048,8 @@ 0 0 0 +5 +4 4 0x124c 0xe03d98 @@ -70446,6 +88058,8 @@ 1 1 0 +2 +0 1 0x5b61 0x57fdfb6d @@ -70455,6 +88069,8 @@ 1 0 1 +0 +1 0x1483 0xa50f2344 256 @@ -70462,6 +88078,8 @@ 1 0 0 +4 +0 3 0x379b 0xd9768ffc @@ -70470,6 +88088,8 @@ 1 1 0 +0 +0 4 0xcabd 0xb76ca983 @@ -70478,6 +88098,8 @@ 1 0 0 +1 +3 4 0xede0 0x59fc0d73 @@ -70486,6 +88108,8 @@ 0 0 0 +0 +0 3 0x9629 0x6161048e @@ -70494,6 +88118,8 @@ 0 0 0 +3 +2 4 0x23f 0x82151e19 @@ -70502,6 +88128,8 @@ 0 0 0 +1 +4 2 0xb1b6 0x3b118b3e @@ -70510,6 +88138,8 @@ 1 1 0 +2 +2 3 0x5467 0x9a9c1db1 @@ -70518,6 +88148,8 @@ 0 0 0 +3 +0 1 0x4556 0xd6a1b096 @@ -70526,6 +88158,8 @@ 1 1 0 +2 +2 1 0xdf8f 0x88f6abe1 @@ -70534,6 +88168,8 @@ 0 0 0 +1 +5 4 0xc838 0x10686e8b @@ -70542,6 +88178,8 @@ 1 0 0 +4 +4 3 0x117d 0xbcfc61aa @@ -70550,6 +88188,8 @@ 1 1 0 +4 +1 2 0x8447 0xbeebf99d @@ -70558,6 +88198,8 @@ 1 0 0 +5 +1 2 0x6cab 0xa367b219 @@ -70566,6 +88208,8 @@ 1 1 0 +1 +3 2 0x9bf6 0x1da231fc @@ -70574,6 +88218,8 @@ 1 1 0 +2 +5 4 0x22f2 0x8da7fa92 @@ -70582,6 +88228,8 @@ 1 0 0 +3 +1 2 0xa392 0x11a8c1f8 @@ -70590,6 +88238,8 @@ 1 1 0 +0 +4 3 0xcf35 0x52f84703 @@ -70598,6 +88248,8 @@ 1 1 0 +3 +4 1 0x7f29 0x56872e82 @@ -70606,6 +88258,8 @@ 1 1 0 +0 +1 4 0x2776 0x9e562cb8 @@ -70614,6 +88268,8 @@ 0 0 0 +1 +3 2 0xc332 0xf53ab7aa @@ -70622,6 +88278,8 @@ 0 0 0 +2 +1 4 0xef13 0x924c6cc6 @@ -70630,6 +88288,8 @@ 0 0 0 +4 +1 2 0x7633 0x69b2de6c @@ -70638,6 +88298,8 @@ 0 0 0 +3 +3 2 0x8254 0x2eed85b @@ -70646,6 +88308,8 @@ 1 1 0 +2 +1 1 0x303c 0x25e553d4 @@ -70654,6 +88318,8 @@ 1 1 0 +3 +2 1 0x23ed 0xdd8ccadd @@ -70662,6 +88328,8 @@ 1 1 0 +1 +4 4 0xb790 0x32da19ef @@ -70671,6 +88339,8 @@ 1 0 4 +5 +4 0xc7d0 0x7c8a0210 256 @@ -70678,6 +88348,8 @@ 0 0 0 +0 +2 3 0x785 0x88b56cd6 @@ -70687,6 +88359,8 @@ 1 0 1 +3 +1 0x1c0e 0x4b72e65e 256 @@ -70694,6 +88368,8 @@ 1 0 0 +1 +0 4 0xad9 0xb307d060 @@ -70702,6 +88378,8 @@ 1 0 0 +0 +0 2 0x7f38 0x42b3367f @@ -70710,6 +88388,8 @@ 1 1 0 +3 +0 2 0xbd78 0x4af77ab1 @@ -70718,6 +88398,8 @@ 0 0 0 +0 +1 4 0xa668 0x2a1b23bf @@ -70726,6 +88408,8 @@ 1 0 0 +5 +2 1 0xba09 0x93ba988e @@ -70735,6 +88419,8 @@ 0 0 1 +5 +1 0xb990 0xb15eb841 256 @@ -70742,6 +88428,8 @@ 0 0 0 +5 +5 4 0x4602 0x4adc6043 @@ -70750,6 +88438,8 @@ 0 0 0 +3 +4 4 0x1ed3 0xa5312874 @@ -70758,6 +88448,8 @@ 0 0 0 +3 +1 4 0x9bb3 0x4aa77eaa @@ -70766,6 +88458,8 @@ 0 0 0 +0 +5 4 0x2e38 0x657fc55b @@ -70774,6 +88468,8 @@ 0 0 0 +1 +5 3 0xd976 0x858d9311 @@ -70783,6 +88479,8 @@ 0 0 4 +4 +4 0xc4b3 0x90941268 256 @@ -70790,6 +88488,8 @@ 1 0 0 +1 +5 2 0x9b87 0x91ca02a3 @@ -70798,6 +88498,8 @@ 1 1 0 +5 +0 1 0x239a 0xa673add9 @@ -70806,6 +88508,8 @@ 0 0 0 +1 +2 3 0xef00 0x381c0bda @@ -70814,6 +88518,8 @@ 1 0 0 +3 +3 2 0xd9e7 0xc382f30a @@ -70822,6 +88528,8 @@ 0 0 0 +5 +5 3 0xd4a7 0xa9943d52 @@ -70830,6 +88538,8 @@ 1 0 0 +0 +1 1 0x8d0c 0xeb5a3e58 @@ -70838,6 +88548,8 @@ 1 0 0 +2 +0 4 0xce1 0x83735bd2 @@ -70846,6 +88558,8 @@ 1 1 0 +5 +2 2 0x20cb 0xe304ded @@ -70854,6 +88568,8 @@ 1 1 0 +1 +2 3 0x90a2 0xb1097103 @@ -70862,6 +88578,8 @@ 0 0 0 +4 +5 2 0xdbbf 0x84a6276d @@ -70871,6 +88589,8 @@ 0 0 2 +4 +2 0xbb0a 0x72bfe2c3 256 @@ -70878,6 +88598,8 @@ 1 0 0 +0 +2 1 0x9df6 0x21a078a9 @@ -70886,6 +88608,8 @@ 1 0 0 +1 +0 2 0x1895 0x78801852 @@ -70894,6 +88618,8 @@ 1 1 0 +5 +3 3 0xa017 0x28d1ac03 @@ -70902,6 +88628,8 @@ 1 0 0 +0 +3 1 0x3275 0x16a61bc6 @@ -70910,6 +88638,8 @@ 0 0 0 +4 +5 1 0xf927 0x3c2a728c @@ -70918,6 +88648,8 @@ 1 0 0 +4 +1 3 0x8de1 0xe5965b2f @@ -70927,6 +88659,8 @@ 0 0 4 +0 +4 0xc72d 0x415ff435 256 @@ -70934,6 +88668,8 @@ 0 0 0 +5 +0 1 0x7cb5 0xa4b3b157 @@ -70942,6 +88678,8 @@ 0 0 0 +4 +3 1 0x52 0x6f215026 @@ -70950,6 +88688,8 @@ 1 1 0 +0 +0 2 0x6a1e 0x531d14ee @@ -70958,6 +88698,8 @@ 0 0 0 +0 +5 3 0x62b9 0x8f64502c @@ -70967,6 +88709,8 @@ 0 0 1 +5 +1 0x563c 0x3627d9e0 256 @@ -70974,6 +88718,8 @@ 0 0 0 +2 +3 3 0xdaed 0x72a88917 @@ -70983,6 +88729,8 @@ 0 0 4 +0 +4 0xa0dd 0xc6d79e66 256 @@ -70991,6 +88739,8 @@ 0 0 1 +0 +1 0x3bfd 0xb8c86169 256 @@ -70998,6 +88748,8 @@ 1 1 0 +5 +1 3 0x572e 0x152d6126 @@ -71006,6 +88758,8 @@ 0 0 0 +0 +5 1 0x851a 0x18e9557 @@ -71014,6 +88768,8 @@ 1 1 0 +4 +0 3 0x1769 0x769f0188 @@ -71022,6 +88778,8 @@ 1 0 0 +5 +5 1 0x7b27 0x6a86a8d5 @@ -71030,6 +88788,8 @@ 0 0 0 +5 +1 1 0xf9bc 0x92d94326 @@ -71038,6 +88798,8 @@ 0 0 0 +0 +1 4 0x76af 0x415aa28b @@ -71046,6 +88808,8 @@ 1 1 0 +0 +4 2 0x6a71 0x61ddd8fb @@ -71054,6 +88818,8 @@ 0 0 0 +1 +5 2 0xf903 0x49e012dd @@ -71062,6 +88828,8 @@ 1 1 0 +2 +1 3 0x2a31 0x6d816589 @@ -71070,6 +88838,8 @@ 0 0 0 +0 +0 1 0x7562 0xfb489651 @@ -71078,6 +88848,8 @@ 1 0 0 +4 +1 3 0x99a9 0x4aae2807 @@ -71086,6 +88858,8 @@ 1 1 0 +5 +4 1 0x95f 0x2db235e @@ -71094,6 +88868,8 @@ 1 0 0 +4 +0 3 0x9ab5 0xf4f24450 @@ -71102,6 +88878,8 @@ 1 1 0 +4 +5 1 0x22f 0xf045a8a7 @@ -71110,6 +88888,8 @@ 0 0 0 +2 +2 3 0x7cee 0x47fb8db7 @@ -71118,6 +88898,8 @@ 0 0 0 +4 +4 2 0x1dfd 0x3075b353 @@ -71126,6 +88908,8 @@ 1 1 0 +4 +3 3 0x67fa 0xd431a4d @@ -71134,6 +88918,8 @@ 0 0 0 +1 +5 3 0xc936 0xa2c9d10 @@ -71142,6 +88928,8 @@ 0 0 0 +5 +5 2 0x6b5f 0xddfbda8e @@ -71150,6 +88938,8 @@ 0 0 0 +4 +1 3 0xee62 0x9bebee8a @@ -71158,6 +88948,8 @@ 1 0 0 +1 +3 4 0x1a93 0x84e474ef @@ -71166,6 +88958,8 @@ 1 1 0 +4 +2 1 0xda74 0xa5a4f1f8 @@ -71174,6 +88968,8 @@ 0 0 0 +4 +5 2 0xe4bd 0x907975ad @@ -71182,6 +88978,8 @@ 1 0 0 +5 +2 1 0xd180 0xc3d15878 @@ -71191,6 +88989,8 @@ 0 0 2 +5 +2 0x9271 0xb3523bcd 256 @@ -71198,6 +88998,8 @@ 0 0 0 +5 +3 1 0x82db 0x75107688 @@ -71206,6 +89008,8 @@ 0 0 0 +1 +1 4 0x1faf 0x262bc2a2 @@ -71214,6 +89018,8 @@ 0 0 0 +3 +2 2 0xafeb 0x529bfc1c @@ -71222,6 +89028,8 @@ 0 0 0 +3 +2 4 0xbf04 0x7577dd54 @@ -71230,6 +89038,8 @@ 0 0 0 +4 +3 1 0xe48 0x1c16ccac @@ -71238,7 +89048,9 @@ 0 0 0 -4 +2 +1 +4 0x2ed9 0xc281be69 256 @@ -71246,6 +89058,8 @@ 1 1 0 +4 +2 3 0xb1ef 0x147586d2 @@ -71254,6 +89068,8 @@ 1 0 0 +1 +0 2 0xda1 0xd34a0d60 @@ -71262,6 +89078,8 @@ 1 0 0 +3 +4 4 0xaa92 0x3193f7b6 @@ -71270,6 +89088,8 @@ 0 0 0 +2 +1 1 0x5b1b 0x3fd38c2e @@ -71278,6 +89098,8 @@ 0 0 0 +4 +0 3 0xbcb 0x83599e1e @@ -71286,6 +89108,8 @@ 1 0 0 +3 +5 2 0xea96 0x357eb7c8 @@ -71294,6 +89118,8 @@ 1 1 0 +4 +3 1 0x731b 0xcac22792 @@ -71302,6 +89128,8 @@ 0 0 0 +0 +3 4 0x7536 0xbb08a740 @@ -71310,6 +89138,8 @@ 0 0 0 +0 +0 4 0x6d2c 0xf3491642 @@ -71318,6 +89148,8 @@ 0 0 0 +1 +5 4 0x5196 0x99b4164b @@ -71326,6 +89158,8 @@ 0 0 0 +5 +5 4 0xf33 0x53d1c4a7 @@ -71334,6 +89168,8 @@ 0 0 0 +4 +4 1 0x485e 0xddbe2fa8 @@ -71342,6 +89178,8 @@ 0 0 0 +0 +4 3 0xb423 0x8261b8 @@ -71350,6 +89188,8 @@ 1 0 0 +1 +0 4 0xc359 0x43fe7b11 @@ -71358,6 +89198,8 @@ 0 0 0 +1 +3 2 0x5163 0xd610ee47 @@ -71366,6 +89208,8 @@ 1 1 0 +5 +4 1 0x8e 0x12a9cf5d @@ -71374,6 +89218,8 @@ 1 1 0 +4 +5 1 0xee7a 0xda85949b @@ -71382,6 +89228,8 @@ 1 1 0 +2 +2 1 0x46b8 0x57e6a815 @@ -71390,6 +89238,8 @@ 0 0 0 +3 +1 1 0x28b0 0xb9a64d1d @@ -71398,6 +89248,8 @@ 0 0 0 +3 +0 1 0xaa4a 0x7e13119d @@ -71407,6 +89259,8 @@ 1 0 3 +5 +3 0x5e31 0x57c495cd 256 @@ -71414,6 +89268,8 @@ 0 0 0 +3 +2 1 0xb090 0xceff345b @@ -71422,6 +89278,8 @@ 0 0 0 +5 +4 4 0xdd35 0x6992d5e5 @@ -71430,6 +89288,8 @@ 1 0 0 +2 +4 3 0x7eed 0xdd5c0837 @@ -71438,6 +89298,8 @@ 0 0 0 +1 +4 4 0x81b5 0x97f83475 @@ -71446,6 +89308,8 @@ 0 0 0 +1 +2 3 0xe621 0x7197cd42 @@ -71454,6 +89318,8 @@ 0 0 0 +2 +2 3 0x9307 0x5f4d4c32 @@ -71462,6 +89328,8 @@ 1 1 0 +3 +5 1 0x43d0 0x86c44925 @@ -71470,6 +89338,8 @@ 0 0 0 +1 +0 4 0xded8 0x895b9967 @@ -71478,6 +89348,8 @@ 0 0 0 +4 +4 1 0x75fb 0x9a01a195 @@ -71486,6 +89358,8 @@ 0 0 0 +3 +4 2 0x953c 0x9e9456d3 @@ -71494,6 +89368,8 @@ 0 0 0 +4 +1 2 0xcf68 0x8a401a54 @@ -71502,6 +89378,8 @@ 1 1 0 +5 +0 3 0xd07c 0xbf5b47b @@ -71510,6 +89388,8 @@ 1 1 0 +3 +3 2 0x44ce 0xbf41cd01 @@ -71518,6 +89398,8 @@ 0 0 0 +0 +3 3 0xcde0 0xaa542cd7 @@ -71527,6 +89409,8 @@ 0 0 4 +2 +4 0xda7a 0x27a1c262 256 @@ -71534,6 +89418,8 @@ 1 0 0 +2 +4 4 0xdc66 0x4b58ce55 @@ -71542,6 +89428,8 @@ 1 0 0 +4 +2 2 0x23e0 0xf0aca276 @@ -71550,6 +89438,8 @@ 1 1 0 +4 +5 3 0x7aef 0x437f76c1 @@ -71558,6 +89448,8 @@ 0 0 0 +0 +2 3 0xf96a 0x5085281d @@ -71566,6 +89458,8 @@ 1 1 0 +4 +3 1 0x4df1 0x3ada5f1a @@ -71575,6 +89469,8 @@ 0 0 3 +4 +3 0xde84 0x94ae71c7 256 @@ -71582,6 +89478,8 @@ 0 0 0 +5 +4 1 0x60f1 0x7e507ac7 @@ -71590,6 +89488,8 @@ 0 0 0 +2 +0 1 0xe20a 0x5d9798a1 @@ -71599,6 +89499,8 @@ 0 0 2 +3 +2 0xaca2 0xded494c8 256 @@ -71606,6 +89508,8 @@ 0 0 0 +0 +5 4 0x4ca7 0xa0bd3682 @@ -71615,6 +89519,8 @@ 0 0 3 +5 +3 0x7a83 0xf36f0aa6 256 @@ -71623,6 +89529,8 @@ 0 0 3 +4 +3 0x2c04 0x89a63566 256 @@ -71630,6 +89538,8 @@ 1 1 0 +0 +0 4 0x1264 0x1294787c @@ -71638,6 +89548,8 @@ 1 1 0 +0 +0 3 0xf099 0x2281d850 @@ -71646,6 +89558,8 @@ 1 1 0 +3 +2 4 0xc713 0x55cbe336 @@ -71654,6 +89568,8 @@ 0 0 0 +4 +5 2 0x6a2f 0xd5c7456c @@ -71662,6 +89578,8 @@ 1 0 0 +0 +5 4 0x9f7a 0x17aae72 @@ -71670,6 +89588,8 @@ 1 1 0 +4 +2 2 0x2671 0x30737d5f @@ -71678,6 +89598,8 @@ 1 1 0 +5 +4 4 0x491c 0x342d2b4a @@ -71686,6 +89608,8 @@ 1 0 0 +2 +1 4 0xd9de 0x1743bad1 @@ -71694,6 +89618,8 @@ 0 0 0 +1 +5 2 0xe016 0xef495462 @@ -71702,6 +89628,8 @@ 1 0 0 +3 +4 1 0xce03 0xeb29454 @@ -71710,6 +89638,8 @@ 0 0 0 +0 +4 1 0xe52a 0xb6161cee @@ -71718,6 +89648,8 @@ 1 0 0 +0 +1 4 0x1444 0xb6b71bd2 @@ -71726,6 +89658,8 @@ 0 0 0 +1 +5 2 0xe97d 0xcc196e06 @@ -71734,6 +89668,8 @@ 1 0 0 +2 +0 1 0x434e 0x8c0af3c5 @@ -71742,6 +89678,8 @@ 1 0 0 +3 +2 1 0x5a9d 0x76d4bdb8 @@ -71751,6 +89689,8 @@ 0 0 3 +0 +3 0xcd32 0xe1d2e052 256 @@ -71758,6 +89698,8 @@ 0 0 0 +2 +4 3 0x3432 0x68647292 @@ -71766,6 +89708,8 @@ 1 0 0 +2 +3 1 0xcb7 0x621b8adf @@ -71774,6 +89718,8 @@ 1 0 0 +2 +4 1 0x540e 0xce04c7c0 @@ -71782,6 +89728,8 @@ 1 1 0 +0 +5 3 0xcf2b 0x3493ce93 @@ -71790,6 +89738,8 @@ 1 0 0 +4 +2 3 0xdded 0xe96c416e @@ -71798,6 +89748,8 @@ 1 0 0 +5 +1 4 0x6547 0xfa6bc07c @@ -71806,6 +89758,8 @@ 0 0 0 +1 +4 2 0xf8c0 0x9c558b @@ -71814,6 +89768,8 @@ 0 0 0 +1 +2 3 0xae43 0x8f3d6209 @@ -71822,6 +89778,8 @@ 0 0 0 +0 +2 4 0xf7f8 0xe5f3799c @@ -71830,6 +89788,8 @@ 0 0 0 +1 +0 2 0x32a0 0xcb2cffb8 @@ -71838,6 +89798,8 @@ 1 1 0 +4 +2 3 0xfdc8 0x7f0fe199 @@ -71846,6 +89808,8 @@ 1 0 0 +5 +0 3 0x896f 0xf5a2ac22 @@ -71854,6 +89818,8 @@ 0 0 0 +2 +2 3 0x4b0f 0x3d4a0c7d @@ -71862,6 +89828,8 @@ 1 1 0 +0 +1 3 0x6602 0x2b89823d @@ -71870,6 +89838,8 @@ 1 0 0 +3 +2 4 0x649e 0xe5bdb6ab @@ -71879,6 +89849,8 @@ 0 0 2 +5 +2 0x7d05 0x509fca27 256 @@ -71886,6 +89858,8 @@ 0 0 0 +0 +1 1 0x8e73 0xc460cbdd @@ -71894,6 +89868,8 @@ 1 1 0 +2 +1 1 0xc1ce 0xd0ab277e @@ -71902,6 +89878,8 @@ 1 0 0 +4 +2 2 0x8bef 0x2f4e2ddc @@ -71910,6 +89888,8 @@ 1 1 0 +5 +0 1 0xf173 0x6a98ef93 @@ -71918,6 +89898,8 @@ 0 0 0 +0 +2 4 0x1742 0xf32ea3d2 @@ -71926,6 +89908,8 @@ 1 0 0 +4 +2 3 0x8791 0x5ac3783b @@ -71935,6 +89919,8 @@ 1 0 1 +3 +1 0xe55d 0x200470a1 256 @@ -71942,6 +89928,8 @@ 1 0 0 +3 +1 2 0x50b3 0x6f31ec79 @@ -71951,6 +89939,8 @@ 0 0 3 +1 +3 0x48de 0x2f05cf4a 256 @@ -71958,6 +89948,8 @@ 1 1 0 +2 +4 3 0x4c2f 0x7da1da45 @@ -71966,6 +89958,8 @@ 1 0 0 +0 +2 2 0x8003 0x2cddb962 @@ -71974,6 +89968,8 @@ 0 0 0 +3 +3 2 0x1b2 0x60b66114 @@ -71982,6 +89978,8 @@ 0 0 0 +3 +0 1 0xd7b 0xf80998d7 @@ -71990,6 +89988,8 @@ 1 1 0 +3 +3 2 0x1c7f 0x6ed06f3d @@ -71998,6 +89998,8 @@ 0 0 0 +0 +4 2 0x732f 0x21602a5d @@ -72006,6 +90008,8 @@ 1 0 0 +1 +2 3 0x681c 0xf41ea735 @@ -72015,6 +90019,8 @@ 0 0 4 +4 +4 0x3889 0x3c655d29 256 @@ -72022,6 +90028,8 @@ 1 1 0 +2 +1 1 0x6084 0xe9539cb7 @@ -72030,6 +90038,8 @@ 1 0 0 +0 +4 4 0xf8e1 0x4c5d87bd @@ -72038,6 +90048,8 @@ 0 0 0 +3 +0 2 0x46bc 0xc10bc83f @@ -72047,6 +90059,8 @@ 0 0 2 +3 +2 0x64cc 0x5f9bcac 256 @@ -72054,6 +90068,8 @@ 0 0 0 +4 +1 3 0xf8e2 0xcaba8f1f @@ -72063,6 +90079,8 @@ 0 0 4 +0 +4 0x24e2 0x91e63802 256 @@ -72070,6 +90088,8 @@ 1 1 0 +0 +4 2 0xde39 0x303289e4 @@ -72078,6 +90098,8 @@ 0 0 0 +3 +4 1 0x14ed 0x92cf07f2 @@ -72086,6 +90108,8 @@ 1 1 0 +5 +0 2 0xf73c 0x6aae6ab @@ -72095,6 +90119,8 @@ 0 0 4 +0 +4 0x932b 0x84a5c079 256 @@ -72102,6 +90128,8 @@ 0 0 0 +5 +5 4 0x74a6 0xcca88a11 @@ -72110,6 +90138,8 @@ 0 0 0 +5 +2 3 0x479d 0x8840e107 @@ -72118,6 +90148,8 @@ 0 0 0 +4 +2 3 0x8270 0x7cb461b5 @@ -72126,6 +90158,8 @@ 0 0 0 +0 +1 3 0x114 0x27f2c114 @@ -72134,6 +90168,8 @@ 0 0 0 +5 +5 3 0x974e 0xd05ca140 @@ -72142,6 +90178,8 @@ 1 1 0 +0 +5 3 0x1b5d 0x133d8114 @@ -72150,6 +90188,8 @@ 0 0 0 +1 +2 4 0x45c 0xb0bca8ab @@ -72158,6 +90198,8 @@ 0 0 0 +1 +0 3 0xf79b 0x1b9b9fa8 @@ -72167,6 +90209,8 @@ 1 0 1 +0 +1 0x6c2a 0x7257dd40 256 @@ -72174,6 +90218,8 @@ 1 1 0 +0 +1 4 0x5bff 0x507d833 @@ -72183,6 +90229,8 @@ 0 0 4 +0 +4 0x25c3 0xbb7aee8e 256 @@ -72190,6 +90238,8 @@ 1 0 0 +4 +5 2 0x71dd 0xf78aa2bd @@ -72198,6 +90248,8 @@ 0 0 0 +0 +1 2 0xa9b1 0x5d6c86d5 @@ -72206,6 +90258,8 @@ 0 0 0 +3 +2 2 0x984f 0x13e2cce8 @@ -72214,6 +90268,8 @@ 0 0 0 +3 +2 1 0x6724 0x8dd5f846 @@ -72223,6 +90279,8 @@ 0 0 4 +2 +4 0x19e5 0x9cfc9bb5 256 @@ -72230,6 +90288,8 @@ 0 0 0 +4 +5 2 0x29b9 0x3121c099 @@ -72238,6 +90298,8 @@ 0 0 0 +3 +0 1 0x31c4 0x89922cd8 @@ -72246,6 +90308,8 @@ 0 0 0 +4 +4 2 0x699e 0x53efd09c @@ -72254,6 +90318,8 @@ 1 1 0 +4 +2 1 0x678a 0xbd2a4c0a @@ -72263,6 +90329,8 @@ 1 0 4 +2 +4 0xd453 0x3d24109c 256 @@ -72270,6 +90338,8 @@ 1 0 0 +5 +3 3 0x4270 0x822ec48c @@ -72278,6 +90348,8 @@ 0 0 0 +1 +3 3 0x56f5 0x945aa6be @@ -72286,6 +90358,8 @@ 0 0 0 +5 +1 4 0x2849 0x5d068efd @@ -72294,6 +90368,8 @@ 1 1 0 +5 +3 1 0xfc35 0xf5872325 @@ -72302,6 +90378,8 @@ 0 0 0 +1 +0 4 0xda69 0x5cea5f26 @@ -72310,6 +90388,8 @@ 0 0 0 +1 +1 3 0x4ea4 0xbfd51675 @@ -72318,6 +90398,8 @@ 1 0 0 +0 +4 1 0xd320 0xe8098213 @@ -72326,6 +90408,8 @@ 1 1 0 +3 +4 1 0x2565 0x413de3d4 @@ -72334,6 +90418,8 @@ 1 0 0 +4 +4 2 0x7da3 0x2fdabe3a @@ -72342,6 +90428,8 @@ 0 0 0 +2 +3 1 0xcb47 0x33d93b40 @@ -72350,6 +90438,8 @@ 0 0 0 +0 +5 1 0x62e9 0xf69917a8 @@ -72358,6 +90448,8 @@ 0 0 0 +0 +0 3 0x6f40 0x9f7af3ab @@ -72366,6 +90458,8 @@ 0 0 0 +3 +2 1 0x6ccc 0x71c831f5 @@ -72374,6 +90468,8 @@ 0 0 0 +1 +4 2 0x5186 0x3f564e53 @@ -72382,6 +90478,8 @@ 0 0 0 +1 +3 2 0xaf69 0x54db272e @@ -72390,6 +90488,8 @@ 0 0 0 +0 +0 4 0x4e8c 0x823e2610 @@ -72398,6 +90498,8 @@ 1 1 0 +0 +2 1 0xc850 0xac63373e @@ -72406,6 +90508,8 @@ 0 0 0 +2 +5 1 0x6459 0x3483451b @@ -72414,6 +90518,8 @@ 0 0 0 +0 +0 1 0xacc0 0xd09a2428 @@ -72422,6 +90528,8 @@ 0 0 0 +5 +3 3 0xe928 0xb9af66d1 @@ -72431,6 +90539,8 @@ 0 0 3 +0 +3 0x1bec 0x516549f5 256 @@ -72439,6 +90549,8 @@ 0 0 1 +0 +1 0xbc0b 0xbea34f17 256 @@ -72447,6 +90559,8 @@ 0 0 4 +1 +4 0xc94a 0x505c24e8 256 @@ -72454,6 +90568,8 @@ 0 0 0 +1 +4 3 0xf51c 0x80200cb0 @@ -72462,6 +90578,8 @@ 0 0 0 +3 +3 1 0x54d 0x36c16dd @@ -72470,6 +90588,8 @@ 1 1 0 +0 +0 1 0xfcae 0x9e995adb @@ -72478,6 +90598,8 @@ 0 0 0 +0 +4 2 0x1e41 0x15b84236 @@ -72486,6 +90608,8 @@ 1 0 0 +2 +5 1 0x9ad2 0x921f7b67 @@ -72494,6 +90618,8 @@ 0 0 0 +0 +5 4 0x8207 0x9d04f164 @@ -72502,6 +90628,8 @@ 0 0 0 +0 +5 1 0xbb62 0x98294a31 @@ -72510,6 +90638,8 @@ 0 0 0 +2 +5 1 0xad98 0x517f2eb2 @@ -72518,6 +90648,8 @@ 1 1 0 +4 +1 2 0x63ec 0x6e0d1bff @@ -72526,6 +90658,8 @@ 0 0 0 +2 +5 1 0xab47 0x7075a444 @@ -72535,6 +90669,8 @@ 0 0 1 +4 +1 0xc692 0xa89fa866 256 @@ -72542,6 +90678,8 @@ 1 1 0 +1 +1 3 0x1a7e 0x4ea5f617 @@ -72551,6 +90689,8 @@ 1 0 1 +0 +1 0x641f 0x8c5e709c 256 @@ -72558,6 +90698,8 @@ 0 0 0 +5 +5 4 0xc4c1 0x6fc1fb88 @@ -72566,6 +90708,8 @@ 0 0 0 +1 +5 2 0x5ce4 0x780fefc7 @@ -72574,6 +90718,8 @@ 1 0 0 +4 +1 2 0xcf95 0x2f02f7a1 @@ -72583,6 +90729,8 @@ 0 0 4 +3 +4 0x3e8f 0x2b9c5652 256 @@ -72590,6 +90738,8 @@ 0 0 0 +5 +1 3 0xb73d 0x4a838a4d @@ -72598,6 +90748,8 @@ 0 0 0 +1 +5 4 0x586b 0xe6f72bca @@ -72607,6 +90759,8 @@ 1 0 2 +0 +2 0x870a 0x52057df6 256 @@ -72614,6 +90768,8 @@ 1 0 0 +5 +2 2 0x2a3a 0xaf4017fc @@ -72623,6 +90779,8 @@ 0 0 3 +4 +3 0xa896 0x506ef5a7 256 @@ -72631,6 +90789,8 @@ 1 0 2 +0 +2 0x5e8d 0x90648f38 256 @@ -72638,6 +90798,8 @@ 1 0 0 +3 +2 1 0x4e57 0xd56c3af6 @@ -72646,6 +90808,8 @@ 0 0 0 +4 +1 1 0xae37 0xa46feeb2 @@ -72654,6 +90818,8 @@ 0 0 0 +0 +0 1 0x3b1f 0x38c14c76 @@ -72662,6 +90828,8 @@ 1 1 0 +4 +3 2 0xbb02 0x6d22132f @@ -72670,6 +90838,8 @@ 0 0 0 +2 +3 1 0xe09a 0x6229ea96 @@ -72678,6 +90848,8 @@ 0 0 0 +5 +5 3 0x61ff 0xf438286c @@ -72686,6 +90858,8 @@ 1 1 0 +1 +4 4 0xb1 0xba261a6 @@ -72695,6 +90869,8 @@ 0 0 4 +2 +4 0x441f 0xb8f8f46b 256 @@ -72702,6 +90878,8 @@ 1 0 0 +5 +3 4 0xc8a1 0xb1138864 @@ -72710,6 +90888,8 @@ 1 1 0 +2 +0 3 0x5bfe 0x7821f52f @@ -72718,6 +90898,8 @@ 0 0 0 +5 +4 2 0xba71 0xbbf4fa04 @@ -72726,6 +90908,8 @@ 0 0 0 +2 +0 3 0x940c 0x8430a567 @@ -72735,6 +90919,8 @@ 1 0 1 +5 +1 0x9797 0x695be808 256 @@ -72742,6 +90928,8 @@ 1 0 0 +3 +3 2 0x3f2b 0x599b7866 @@ -72751,6 +90939,8 @@ 1 0 1 +4 +1 0xc98f 0x688827a1 256 @@ -72758,6 +90948,8 @@ 1 0 0 +5 +2 3 0xa29 0xe5823051 @@ -72767,6 +90959,8 @@ 0 0 2 +1 +2 0xcad9 0x37c1ca34 256 @@ -72774,6 +90968,8 @@ 1 1 0 +4 +5 3 0x15a 0xa991cb6c @@ -72782,6 +90978,8 @@ 1 0 0 +0 +5 3 0x4b78 0x3879b9dc @@ -72790,6 +90988,8 @@ 1 0 0 +4 +1 2 0x179a 0xf5ed5189 @@ -72798,6 +90998,8 @@ 1 0 0 +5 +2 1 0xfbd6 0xcc6d5a3a @@ -72806,6 +91008,8 @@ 1 1 0 +3 +2 2 0x333b 0xd016231c @@ -72814,6 +91018,8 @@ 0 0 0 +2 +4 3 0xddac 0xa6c49d70 @@ -72822,6 +91028,8 @@ 1 0 0 +1 +4 4 0xd4c7 0xb92a43e8 @@ -72830,6 +91038,8 @@ 1 1 0 +3 +3 4 0x8c5a 0x4a76b51a @@ -72838,6 +91048,8 @@ 1 0 0 +2 +4 4 0xc3f6 0x494cfa08 @@ -72846,6 +91058,8 @@ 0 0 0 +5 +1 3 0x872c 0xa5da03d5 @@ -72854,6 +91068,8 @@ 0 0 0 +0 +1 3 0x6f45 0x75eb49ee @@ -72862,6 +91078,8 @@ 1 1 0 +3 +1 4 0x9795 0x401a8a48 @@ -72870,6 +91088,8 @@ 1 0 0 +5 +0 1 0x2c47 0xa0fcbfdb @@ -72878,6 +91098,8 @@ 0 0 0 +1 +0 3 0x7fd4 0x4d14bf1e @@ -72886,6 +91108,8 @@ 0 0 0 +5 +5 3 0x2212 0xa08b27ee @@ -72894,6 +91118,8 @@ 0 0 0 +1 +0 4 0xaa23 0x5f135ae4 @@ -72903,6 +91129,8 @@ 0 0 3 +3 +3 0xfa60 0x127fced6 256 @@ -72910,6 +91138,8 @@ 0 0 0 +1 +5 3 0x2969 0x9d1f2455 @@ -72918,6 +91148,8 @@ 1 0 0 +5 +1 1 0x41b6 0x7da58a29 @@ -72926,6 +91158,8 @@ 0 0 0 +5 +5 1 0xd75d 0xf7a75a2 @@ -72934,6 +91168,8 @@ 1 1 0 +2 +1 4 0xc5a4 0x6bac9cdd @@ -72943,6 +91179,8 @@ 0 0 3 +5 +3 0x871 0xe03156ca 256 @@ -72950,6 +91188,8 @@ 0 0 0 +4 +0 2 0x3a3b 0xe552c29 @@ -72959,6 +91199,8 @@ 0 0 1 +4 +1 0xb47b 0x3603cd 256 @@ -72966,6 +91208,8 @@ 0 0 0 +4 +5 3 0x2c7a 0x7ed90fad @@ -72974,6 +91218,8 @@ 1 1 0 +4 +5 2 0x2682 0x18a05800 @@ -72983,6 +91229,8 @@ 0 0 3 +4 +3 0x5349 0x95fb3efc 256 @@ -72990,6 +91238,8 @@ 0 0 0 +5 +5 2 0x1eab 0x5bc9b8a3 @@ -72999,6 +91249,8 @@ 0 0 4 +4 +4 0x223 0x18b69e0e 256 @@ -73006,6 +91258,8 @@ 0 0 0 +3 +2 2 0xe91c 0x8ab0e69a @@ -73014,6 +91268,8 @@ 1 1 0 +4 +3 3 0x975c 0x266a1492 @@ -73023,6 +91279,8 @@ 0 0 2 +3 +2 0xd5aa 0xab7d3420 256 @@ -73030,6 +91288,8 @@ 1 0 0 +1 +3 4 0x4a73 0x7e4750a5 @@ -73038,6 +91298,8 @@ 0 0 0 +5 +2 2 0xeabb 0x280d6825 @@ -73046,6 +91308,8 @@ 0 0 0 +2 +4 3 0xfb26 0xf50eaf2d @@ -73054,6 +91318,8 @@ 1 0 0 +4 +3 2 0x2db2 0x8b93661f @@ -73062,6 +91328,8 @@ 1 0 0 +3 +2 4 0x51ba 0x64799733 @@ -73071,6 +91339,8 @@ 0 0 3 +4 +3 0x3b44 0x21cb0353 256 @@ -73078,6 +91348,8 @@ 1 0 0 +2 +2 4 0x1749 0x10d2fdcc @@ -73086,6 +91358,8 @@ 1 0 0 +3 +3 2 0xe9d8 0x15ab95db @@ -73094,6 +91368,8 @@ 1 0 0 +3 +4 1 0x417c 0x15859896 @@ -73102,6 +91378,8 @@ 0 0 0 +4 +2 1 0x7a86 0xfe384b7e @@ -73111,6 +91389,8 @@ 0 0 4 +3 +4 0xf402 0x61317393 256 @@ -73118,6 +91398,8 @@ 0 0 0 +5 +4 1 0x5e0c 0xb93129e8 @@ -73126,6 +91408,8 @@ 0 0 0 +3 +4 4 0x9163 0xad9febbb @@ -73134,6 +91418,8 @@ 0 0 0 +1 +4 3 0x61cb 0xd687bc90 @@ -73142,6 +91428,8 @@ 0 0 0 +3 +4 1 0x3853 0x97c576d6 @@ -73150,6 +91438,8 @@ 0 0 0 +1 +4 4 0xc279 0xe03ddb14 @@ -73158,6 +91448,8 @@ 0 0 0 +2 +5 1 0x62fa 0x86f25a62 @@ -73166,6 +91458,8 @@ 0 0 0 +5 +2 4 0x380b 0xda1707d0 @@ -73175,6 +91469,8 @@ 0 0 1 +3 +1 0x7133 0x83ab9f93 256 @@ -73182,6 +91478,8 @@ 0 0 0 +1 +3 3 0x5aa0 0xe232ca73 @@ -73190,6 +91488,8 @@ 0 0 0 +5 +0 2 0x1482 0x360ea034 @@ -73198,6 +91498,8 @@ 1 1 0 +2 +3 3 0x41a3 0x33fe09f @@ -73206,6 +91508,8 @@ 1 0 0 +5 +0 3 0x19ad 0xd638456b @@ -73214,6 +91518,8 @@ 1 0 0 +0 +0 3 0xf082 0x1c76c27c @@ -73222,6 +91528,8 @@ 0 0 0 +2 +3 1 0xea42 0xbf387d15 @@ -73230,6 +91538,8 @@ 1 0 0 +2 +1 3 0xcb82 0x1f5a6d9f @@ -73238,6 +91548,8 @@ 1 1 0 +2 +2 3 0x436 0x52220bbc @@ -73246,6 +91558,8 @@ 1 1 0 +2 +1 1 0xc740 0x14623d2c @@ -73254,6 +91568,8 @@ 0 0 0 +5 +3 4 0x9b17 0x5877a604 @@ -73262,6 +91578,8 @@ 0 0 0 +2 +2 3 0xea90 0x20600a29 @@ -73270,6 +91588,8 @@ 0 0 0 +2 +0 4 0x6f71 0x9b534997 @@ -73278,6 +91598,8 @@ 0 0 0 +5 +4 1 0xaaeb 0xf2c1c171 @@ -73286,6 +91608,8 @@ 0 0 0 +1 +3 2 0x8f53 0xca497d35 @@ -73294,6 +91618,8 @@ 0 0 0 +3 +3 2 0x4732 0x637033f2 @@ -73302,6 +91628,8 @@ 1 1 0 +2 +4 4 0x17b7 0xf2bc8f2a @@ -73311,6 +91639,8 @@ 1 0 2 +4 +2 0x11bf 0x4d4f60b7 256 @@ -73319,6 +91649,8 @@ 0 0 4 +5 +4 0x74ed 0x701cb93f 256 @@ -73326,6 +91658,8 @@ 1 1 0 +1 +3 3 0xed3 0x9db41c71 @@ -73334,6 +91668,8 @@ 1 0 0 +0 +5 4 0x2fe7 0xe0c2981f @@ -73342,6 +91678,8 @@ 0 0 0 +0 +5 2 0xbb3 0x672783b0 @@ -73351,6 +91689,8 @@ 0 0 4 +3 +4 0x4fc9 0x467bdaf3 256 @@ -73358,6 +91698,8 @@ 1 0 0 +4 +1 3 0x6c32 0x3282410 @@ -73366,6 +91708,8 @@ 0 0 0 +5 +3 3 0xf73f 0xa569e29e @@ -73374,6 +91718,8 @@ 0 0 0 +5 +0 3 0x213f 0x6726f743 @@ -73382,6 +91728,8 @@ 1 1 0 +4 +0 2 0x9efe 0x294561b2 @@ -73391,6 +91739,8 @@ 0 0 3 +4 +3 0x18d9 0xdd6cd049 256 @@ -73398,6 +91748,8 @@ 1 0 0 +2 +5 4 0x206c 0xf1cf4adb @@ -73407,6 +91759,8 @@ 1 0 2 +4 +2 0xbe74 0x5e6f8da 256 @@ -73415,6 +91769,8 @@ 0 0 2 +4 +2 0x6769 0xef74c7c0 256 @@ -73423,6 +91779,8 @@ 0 0 3 +1 +3 0xd888 0xb8f50a66 256 @@ -73430,6 +91788,8 @@ 1 1 0 +4 +0 2 0x8b6d 0x5ac39fcd @@ -73438,6 +91798,8 @@ 0 0 0 +0 +1 3 0x8ea3 0x616d8249 @@ -73446,6 +91808,8 @@ 0 0 0 +3 +3 1 0xc199 0x3d51a55 @@ -73454,6 +91818,8 @@ 0 0 0 +0 +4 2 0x9132 0x2a7dfc03 @@ -73462,6 +91828,8 @@ 1 1 0 +0 +0 3 0x7ac5 0x89375eb0 @@ -73470,6 +91838,8 @@ 0 0 0 +5 +0 3 0x3c34 0x82f1c5c8 @@ -73478,6 +91848,8 @@ 1 1 0 +0 +1 2 0x65cf 0x4ba103 @@ -73486,6 +91858,8 @@ 0 0 0 +0 +5 4 0xdb4a 0x9aaf5218 @@ -73494,6 +91868,8 @@ 0 0 0 +3 +5 2 0xe2ec 0xba30fad @@ -73503,6 +91879,8 @@ 0 0 4 +3 +4 0xa538 0x4a440400 256 @@ -73511,6 +91889,8 @@ 1 0 1 +3 +1 0xd09c 0x28b48e03 256 @@ -73518,6 +91898,8 @@ 0 0 0 +5 +4 4 0x96cc 0x9811d5b1 @@ -73526,6 +91908,8 @@ 1 0 0 +2 +5 4 0x735d 0x7010b9ed @@ -73534,6 +91918,8 @@ 1 0 0 +5 +0 2 0xfd3f 0x2be6a20f @@ -73542,6 +91928,8 @@ 1 1 0 +5 +0 4 0xf0e3 0xd26a8011 @@ -73550,6 +91938,8 @@ 1 1 0 +4 +4 1 0xc049 0xda2a657d @@ -73558,6 +91948,8 @@ 0 0 0 +5 +3 2 0x7130 0x6a1065e @@ -73566,6 +91958,8 @@ 1 1 0 +1 +1 3 0x241 0x50403fb1 @@ -73574,6 +91968,8 @@ 0 0 0 +2 +2 3 0xbb66 0xd2093a18 @@ -73583,6 +91979,8 @@ 0 0 2 +4 +2 0xf003 0x4afb6c7e 256 @@ -73590,6 +91988,8 @@ 0 0 0 +3 +5 1 0x982b 0xdc4052a @@ -73599,6 +91999,8 @@ 0 0 1 +1 +1 0x9eed 0x8169dcd7 256 @@ -73606,6 +92008,8 @@ 0 0 0 +1 +2 4 0x91fc 0x9cbb6cc1 @@ -73614,6 +92018,8 @@ 0 0 0 +5 +1 2 0xaf63 0xcef86ce1 @@ -73623,6 +92029,8 @@ 1 0 1 +5 +1 0xef86 0x76d06355 256 @@ -73630,6 +92038,8 @@ 0 0 0 +2 +5 1 0x44fc 0xbbea5f48 @@ -73638,6 +92048,8 @@ 0 0 0 +5 +0 4 0xc4ca 0xd32f7c6e @@ -73646,6 +92058,8 @@ 1 1 0 +2 +1 1 0x3962 0xa81cf6e0 @@ -73654,6 +92068,8 @@ 0 0 0 +1 +3 2 0xd957 0xb22b86ee @@ -73662,6 +92078,8 @@ 0 0 0 +5 +0 3 0x4e03 0x2e438886 @@ -73671,6 +92089,8 @@ 0 0 2 +3 +2 0x3d5 0x54ced8fd 256 @@ -73678,6 +92098,8 @@ 0 0 0 +0 +3 1 0xaf20 0xea5f8074 @@ -73686,6 +92108,8 @@ 1 0 0 +0 +3 3 0x7faf 0xb2017b @@ -73694,6 +92118,8 @@ 0 0 0 +4 +5 1 0x51db 0x3efdc21a @@ -73702,6 +92128,8 @@ 1 1 0 +1 +0 3 0xfb9a 0xda5474a9 @@ -73710,6 +92138,8 @@ 0 0 0 +4 +3 1 0x4455 0x67877713 @@ -73718,6 +92148,8 @@ 1 0 0 +5 +2 3 0x5630 0xae10d98a @@ -73726,6 +92158,8 @@ 0 0 0 +5 +2 2 0x766b 0x2ef8cafa @@ -73734,6 +92168,8 @@ 1 0 0 +2 +2 1 0xf2ef 0x22eb5323 @@ -73742,6 +92178,8 @@ 1 0 0 +0 +2 3 0x3237 0xc702deff @@ -73750,6 +92188,8 @@ 1 1 0 +3 +2 4 0x77be 0x57e09897 @@ -73758,6 +92198,8 @@ 0 0 0 +0 +4 3 0x4098 0x825348c4 @@ -73767,6 +92209,8 @@ 0 0 4 +1 +4 0x836f 0x6dd94e4d 256 @@ -73774,6 +92218,8 @@ 0 0 0 +3 +0 4 0xaf4e 0x62d9563c @@ -73783,6 +92229,8 @@ 0 0 4 +5 +4 0x550e 0x6a5f2bb8 256 @@ -73790,6 +92238,8 @@ 0 0 0 +3 +2 4 0xec27 0xd19b6deb @@ -73799,6 +92249,8 @@ 1 0 2 +4 +2 0x889b 0x578558fd 256 @@ -73806,6 +92258,8 @@ 0 0 0 +3 +0 2 0xf90f 0x7ab99bf1 @@ -73814,6 +92268,8 @@ 1 0 0 +0 +4 2 0x271a 0x4a52816d @@ -73822,6 +92278,8 @@ 0 0 0 +1 +1 4 0x51b4 0x5e615df6 @@ -73830,6 +92288,8 @@ 0 0 0 +0 +5 4 0x489c 0x1bde8da3 @@ -73838,6 +92298,8 @@ 0 0 0 +4 +4 3 0xcd6c 0x2044da22 @@ -73846,6 +92308,8 @@ 0 0 0 +5 +3 3 0xa7d4 0xe9904736 @@ -73854,6 +92318,8 @@ 1 0 0 +0 +2 4 0xa7ed 0x74069975 @@ -73862,6 +92328,8 @@ 1 1 0 +5 +2 3 0x7f9a 0xe80f6873 @@ -73871,6 +92339,8 @@ 0 0 1 +3 +1 0xc69 0xb0e29107 256 @@ -73878,6 +92348,8 @@ 1 1 0 +4 +1 1 0x30c3 0x1ab9c868 @@ -73886,6 +92358,8 @@ 1 0 0 +2 +1 4 0xe579 0x42c3f802 @@ -73895,6 +92369,8 @@ 0 0 4 +0 +4 0x65a6 0xe8052598 256 @@ -73903,6 +92379,8 @@ 0 0 4 +0 +4 0xde21 0xd304dc2b 256 @@ -73910,6 +92388,8 @@ 0 0 0 +5 +1 3 0x2ab5 0xe6d238db @@ -73918,6 +92398,8 @@ 1 1 0 +3 +2 1 0x71bb 0x1dc07a7 @@ -73927,6 +92409,8 @@ 0 0 4 +4 +4 0x4574 0x6b54b71c 256 @@ -73934,6 +92418,8 @@ 0 0 0 +5 +0 4 0x937b 0x64ffe52 @@ -73942,6 +92428,8 @@ 1 0 0 +0 +2 4 0x7137 0xac1dc951 @@ -73950,6 +92438,8 @@ 0 0 0 +4 +2 1 0x8805 0xe089a340 @@ -73958,6 +92448,8 @@ 0 0 0 +2 +0 1 0x9a4e 0x3a339be @@ -73966,6 +92458,8 @@ 1 1 0 +0 +1 2 0x6ac5 0x45c97a71 @@ -73974,6 +92468,8 @@ 0 0 0 +5 +0 1 0xf430 0x294abfea @@ -73982,6 +92478,8 @@ 1 0 0 +1 +1 3 0x69f7 0x81916afa @@ -73990,6 +92488,8 @@ 0 0 0 +3 +0 4 0xaae 0x9a3cccbb @@ -73998,6 +92498,8 @@ 1 0 0 +4 +3 1 0x9493 0xef2007f8 @@ -74006,6 +92508,8 @@ 1 0 0 +1 +3 4 0x274a 0xfde35c0f @@ -74014,6 +92518,8 @@ 1 1 0 +5 +1 1 0xcf66 0x6fa823d7 @@ -74022,6 +92528,8 @@ 1 1 0 +4 +4 3 0xf1f9 0xd2ef278d @@ -74030,6 +92538,8 @@ 0 0 0 +1 +4 2 0x4ed5 0x92abf237 @@ -74038,6 +92548,8 @@ 1 0 0 +5 +3 1 0x334d 0xb2e40adb @@ -74046,6 +92558,8 @@ 1 0 0 +5 +1 2 0x6a2d 0xc5102535 @@ -74054,6 +92568,8 @@ 1 0 0 +5 +4 2 0x1724 0x6bbfe14f @@ -74062,6 +92578,8 @@ 0 0 0 +1 +2 4 0xe6de 0x9579b46b @@ -74071,6 +92589,8 @@ 1 0 3 +4 +3 0x5aae 0xb2882c8f 256 @@ -74079,6 +92599,8 @@ 0 0 3 +0 +3 0x5bf1 0x1fb2efd3 256 @@ -74087,6 +92609,8 @@ 0 0 1 +0 +1 0x8ae1 0xd53b5b5 256 @@ -74094,6 +92618,8 @@ 1 0 0 +5 +3 1 0x5c14 0x4dfd1757 @@ -74102,6 +92628,8 @@ 1 1 0 +4 +1 2 0x377c 0x5a1889c0 @@ -74111,6 +92639,8 @@ 0 0 2 +5 +2 0xc6c3 0x6f2581c4 256 @@ -74118,6 +92648,8 @@ 0 0 0 +4 +3 3 0x5f80 0x1df143bf @@ -74126,6 +92658,8 @@ 1 1 0 +2 +5 4 0x3662 0x75298b55 @@ -74134,6 +92668,8 @@ 0 0 0 +5 +2 2 0x1bfd 0xbc21268 @@ -74142,6 +92678,8 @@ 0 0 0 +0 +2 1 0x80 0x14721a9b @@ -74150,6 +92688,8 @@ 0 0 0 +3 +2 2 0x9e2b 0x8f381868 @@ -74158,6 +92698,8 @@ 1 0 0 +1 +5 3 0x708d 0x6221dc77 @@ -74166,6 +92708,8 @@ 0 0 0 +0 +5 1 0x61a6 0x73f9d0ba @@ -74174,6 +92718,8 @@ 0 0 0 +0 +2 1 0x9150 0xb1476575 @@ -74182,6 +92728,8 @@ 1 0 0 +5 +4 3 0xb89f 0xf3c29429 @@ -74190,6 +92738,8 @@ 1 1 0 +2 +2 3 0x2a2f 0x374153e0 @@ -74198,6 +92748,8 @@ 1 1 0 +5 +5 1 0xa280 0x8029eb58 @@ -74207,6 +92759,8 @@ 0 0 1 +4 +1 0x3498 0xdb2713e6 256 @@ -74214,6 +92768,8 @@ 0 0 0 +1 +4 3 0x49ad 0x84875612 @@ -74223,6 +92779,8 @@ 0 0 3 +1 +3 0xf4b8 0x4712dee0 256 @@ -74231,6 +92789,8 @@ 0 0 4 +3 +4 0xfb98 0x5d898bac 256 @@ -74238,6 +92798,8 @@ 0 0 0 +1 +0 3 0xa925 0xae0b8281 @@ -74246,6 +92808,8 @@ 0 0 0 +3 +4 4 0xd154 0xbdd6eadb @@ -74254,6 +92818,8 @@ 1 1 0 +1 +0 4 0x967c 0x5717e227 @@ -74263,6 +92829,8 @@ 0 0 2 +0 +2 0xb1fe 0x9a26dbd5 256 @@ -74270,6 +92838,8 @@ 0 0 0 +0 +2 3 0x81b5 0xfd0c6af7 @@ -74278,6 +92848,8 @@ 1 0 0 +3 +1 2 0x51d1 0xf64f4249 @@ -74286,6 +92858,8 @@ 0 0 0 +1 +0 3 0xec72 0x422c8732 @@ -74294,6 +92868,8 @@ 1 0 0 +5 +5 3 0xc85f 0xde69f088 @@ -74302,6 +92878,8 @@ 0 0 0 +5 +3 3 0x4aeb 0xd7a71449 @@ -74311,6 +92889,8 @@ 0 0 2 +4 +2 0x291a 0x20e1614e 256 @@ -74318,6 +92898,8 @@ 0 0 0 +5 +4 2 0xd401 0x65de5509 @@ -74326,6 +92908,8 @@ 1 0 0 +5 +0 2 0x7484 0xf66f18d0 @@ -74334,6 +92918,8 @@ 1 1 0 +5 +4 3 0x4d11 0xc9695f6f @@ -74342,6 +92928,8 @@ 0 0 0 +0 +5 1 0xbde5 0x8d1bab18 @@ -74350,6 +92938,8 @@ 0 0 0 +5 +4 3 0xaaf6 0xea9dcee2 @@ -74358,6 +92948,8 @@ 1 0 0 +2 +3 3 0x724b 0x458fdd7d @@ -74367,6 +92959,8 @@ 0 0 4 +5 +4 0xe6d5 0x75f6ddff 256 @@ -74374,6 +92968,8 @@ 0 0 0 +5 +2 4 0xfe2b 0xb1fb1bf9 @@ -74382,6 +92978,8 @@ 1 0 0 +4 +4 1 0x9282 0xae4168ea @@ -74391,6 +92989,8 @@ 1 0 3 +3 +3 0x1f90 0xbf39d9e4 256 @@ -74398,6 +92998,8 @@ 0 0 0 +1 +2 3 0xf14e 0x9eac2316 @@ -74406,6 +93008,8 @@ 1 0 0 +0 +0 4 0xde8b 0x956c284f @@ -74414,6 +93018,8 @@ 1 0 0 +2 +3 4 0x637c 0xc790750a @@ -74422,6 +93028,8 @@ 0 0 0 +5 +1 2 0xdc9f 0x224c7e9d @@ -74430,6 +93038,8 @@ 1 0 0 +4 +1 3 0x56c8 0xf6a120e4 @@ -74438,6 +93048,8 @@ 0 0 0 +1 +0 3 0xdfca 0x20d18ef1 @@ -74447,6 +93059,8 @@ 0 0 1 +1 +1 0x231f 0x4dc944a6 256 @@ -74455,6 +93069,8 @@ 0 0 2 +5 +2 0x1d47 0x95c39623 256 @@ -74463,6 +93079,8 @@ 1 0 4 +2 +4 0xa4e7 0xf6b32b23 256 @@ -74470,6 +93088,8 @@ 0 0 0 +4 +5 3 0x7d3c 0x17f6c7b7 @@ -74478,6 +93098,8 @@ 1 1 0 +0 +5 4 0xf7e5 0xf5b835f @@ -74486,6 +93108,8 @@ 0 0 0 +0 +4 4 0x520e 0x38227eb @@ -74494,6 +93118,8 @@ 0 0 0 +5 +2 3 0x74d0 0x37779424 @@ -74503,6 +93129,8 @@ 0 0 2 +5 +2 0x818e 0xc77ab001 256 @@ -74510,6 +93138,8 @@ 1 1 0 +0 +2 3 0xc7ed 0xc3f4ca85 @@ -74519,6 +93149,8 @@ 0 0 1 +5 +1 0x550 0x641bccca 256 @@ -74527,6 +93159,8 @@ 0 0 1 +1 +1 0xbb5e 0x879e81ac 256 @@ -74534,6 +93168,8 @@ 1 0 0 +5 +5 3 0x9ff5 0xad3f206e @@ -74542,6 +93178,8 @@ 0 0 0 +5 +1 1 0xa2ab 0xa084308b @@ -74550,6 +93188,8 @@ 1 1 0 +3 +4 4 0x7619 0x64175df2 @@ -74558,6 +93198,8 @@ 0 0 0 +2 +2 1 0x9398 0xe3a5220e @@ -74566,6 +93208,8 @@ 1 1 0 +3 +1 4 0x57a8 0xd83afdf7 @@ -74574,6 +93218,8 @@ 0 0 0 +2 +1 4 0x7a3e 0x41d0f79e @@ -74582,6 +93228,8 @@ 0 0 0 +4 +2 2 0xbc16 0xf7ba3bf2 @@ -74590,6 +93238,8 @@ 0 0 0 +2 +2 1 0xd217 0x3f22d567 @@ -74598,6 +93248,8 @@ 1 1 0 +4 +3 1 0x5129 0xa878dadc @@ -74606,6 +93258,8 @@ 0 0 0 +2 +0 4 0x5209 0x3537ff5b @@ -74614,6 +93268,8 @@ 1 1 0 +3 +4 1 0x1e47 0xe0f7ff46 @@ -74622,6 +93278,8 @@ 0 0 0 +2 +2 4 0xd75e 0xa20bc315 @@ -74631,6 +93289,8 @@ 0 0 2 +0 +2 0xf3f 0x5aa44b37 256 @@ -74638,6 +93298,8 @@ 0 0 0 +3 +1 4 0x57c7 0x6160ce0e @@ -74646,6 +93308,8 @@ 1 0 0 +3 +5 2 0x605e 0xe2054285 @@ -74654,6 +93318,8 @@ 0 0 0 +1 +2 3 0x1733 0xded7a517 @@ -74663,6 +93329,8 @@ 0 0 2 +1 +2 0x41e0 0x21a810f5 256 @@ -74671,6 +93339,8 @@ 0 0 3 +1 +3 0xa685 0x85efe128 256 @@ -74678,6 +93348,8 @@ 1 1 0 +4 +3 1 0xc4b3 0x9e5d680f @@ -74687,6 +93359,8 @@ 0 0 4 +2 +4 0x2684 0x8c957ea 256 @@ -74694,6 +93368,8 @@ 1 1 0 +0 +1 1 0xe428 0x2a0f96b1 @@ -74702,6 +93378,8 @@ 1 1 0 +0 +5 1 0x8689 0x75627cbf @@ -74710,6 +93388,8 @@ 0 0 0 +0 +5 1 0xdc62 0x6bd76167 @@ -74719,6 +93399,8 @@ 0 0 2 +0 +2 0xb2f9 0xbd605a81 256 @@ -74726,6 +93408,8 @@ 0 0 0 +3 +3 4 0x2376 0xab611507 @@ -74734,6 +93418,8 @@ 0 0 0 +1 +2 3 0xae48 0xe99a606 @@ -74742,6 +93428,8 @@ 0 0 0 +1 +5 3 0x271f 0x1eb5aa77 @@ -74750,6 +93438,8 @@ 1 1 0 +1 +3 3 0x8123 0x72e01234 @@ -74758,6 +93448,8 @@ 1 1 0 +2 +4 4 0x19a7 0xde61a3dd @@ -74767,6 +93459,8 @@ 1 0 2 +1 +2 0x448b 0x5f901c01 256 @@ -74774,6 +93468,8 @@ 1 1 0 +0 +5 4 0x7b0 0x9a283447 @@ -74783,6 +93479,8 @@ 0 0 3 +5 +3 0xa8d 0x23f32f1b 256 @@ -74790,6 +93488,8 @@ 0 0 0 +2 +0 1 0xa14e 0x290e6797 @@ -74798,6 +93498,8 @@ 1 1 0 +1 +4 2 0xe6e5 0x5311002c @@ -74806,6 +93508,8 @@ 1 0 0 +4 +3 3 0x8c87 0x7934db13 @@ -74815,6 +93519,8 @@ 0 0 2 +0 +2 0xc44 0xf22d1e67 256 @@ -74823,6 +93529,8 @@ 0 0 1 +0 +1 0x70e8 0x6e59748 256 @@ -74830,6 +93538,8 @@ 1 0 0 +2 +2 3 0x4858 0x4eee64f @@ -74838,6 +93548,8 @@ 1 0 0 +5 +2 4 0x4e58 0x96b7886f @@ -74846,6 +93558,8 @@ 0 0 0 +5 +1 2 0xe3b7 0x6ac36c95 @@ -74854,6 +93568,8 @@ 1 0 0 +3 +2 4 0xe919 0xbe440f0b @@ -74862,6 +93578,8 @@ 1 0 0 +2 +3 1 0x3680 0x3ba908c9 @@ -74870,6 +93588,8 @@ 0 0 0 +0 +4 4 0xe811 0xdb9e5299 @@ -74879,6 +93599,8 @@ 1 0 1 +5 +1 0xaf4e 0x3f5ca421 256 @@ -74886,6 +93608,8 @@ 1 0 0 +1 +3 2 0x52ed 0xd3986ec1 @@ -74894,6 +93618,8 @@ 0 0 0 +5 +4 3 0x986c 0x576a1c02 @@ -74903,6 +93629,8 @@ 0 0 2 +1 +2 0x1fcb 0x4770a4be 256 @@ -74911,6 +93639,8 @@ 0 0 3 +3 +3 0xe2e6 0x83775d9d 256 @@ -74918,6 +93648,8 @@ 1 1 0 +0 +3 2 0x61a9 0x2f2b9e11 @@ -74926,6 +93658,8 @@ 1 0 0 +1 +5 2 0x1c43 0xe1d27869 @@ -74934,6 +93668,8 @@ 0 0 0 +4 +5 2 0xcd32 0xd54e727b @@ -74943,6 +93679,8 @@ 1 0 3 +3 +3 0x5b60 0x44b9f1f8 256 @@ -74950,6 +93688,8 @@ 1 0 0 +5 +0 3 0x7347 0x1c6fdca8 @@ -74958,6 +93698,8 @@ 0 0 0 +0 +3 4 0x4156 0x412c05bd @@ -74966,6 +93708,8 @@ 0 0 0 +1 +2 2 0xe7a0 0xd38897ca @@ -74974,6 +93718,8 @@ 1 0 0 +2 +0 1 0xb6fb 0xa25d47ca @@ -74982,6 +93728,8 @@ 0 0 0 +3 +2 2 0x111e 0x71aaa15a @@ -74990,6 +93738,8 @@ 0 0 0 +0 +3 2 0x23e 0x32213557 @@ -74998,6 +93748,8 @@ 0 0 0 +2 +2 3 0x7991 0x2660b394 @@ -75006,6 +93758,8 @@ 1 1 0 +0 +2 1 0x6b9e 0xfba90453 @@ -75014,6 +93768,8 @@ 1 1 0 +0 +2 2 0xceb2 0x46abe02c @@ -75023,6 +93779,8 @@ 0 0 4 +4 +4 0x780b 0x183b63b 256 @@ -75030,6 +93788,8 @@ 0 0 0 +2 +2 3 0x4c7c 0x39125fb0 @@ -75038,6 +93798,8 @@ 1 0 0 +3 +0 4 0xd4ac 0xe20af36f @@ -75047,6 +93809,8 @@ 1 0 4 +4 +4 0x69c5 0x74def74d 256 @@ -75054,6 +93818,8 @@ 1 0 0 +0 +4 4 0x22fc 0x786c71cd @@ -75062,6 +93828,8 @@ 1 0 0 +0 +2 3 0x6e92 0xd6818b69 @@ -75070,6 +93838,8 @@ 1 0 0 +4 +0 3 0xe9ea 0x82f6916a @@ -75078,6 +93848,8 @@ 1 1 0 +1 +0 2 0x8f74 0x2dadc487 @@ -75086,6 +93858,8 @@ 1 1 0 +2 +3 3 0x3f22 0x5189889a @@ -75094,6 +93868,8 @@ 0 0 0 +1 +0 2 0x43b0 0x85ffae92 @@ -75102,6 +93878,8 @@ 1 0 0 +1 +3 4 0x9964 0x22a0e42b @@ -75110,6 +93888,8 @@ 1 1 0 +0 +4 2 0x6873 0xf296a9e6 @@ -75118,6 +93898,8 @@ 1 0 0 +1 +3 3 0x53c2 0x6fc9554a @@ -75126,6 +93908,8 @@ 0 0 0 +0 +0 4 0xf242 0xc4648910 @@ -75134,6 +93918,8 @@ 0 0 0 +4 +0 2 0x94b8 0xb78a7e65 @@ -75142,6 +93928,8 @@ 0 0 0 +4 +5 2 0x70b0 0x78626fc5 @@ -75150,6 +93938,8 @@ 1 0 0 +1 +4 4 0xc9a0 0x6080887c @@ -75158,6 +93948,8 @@ 0 0 0 +5 +4 2 0x9073 0x5507beac @@ -75166,6 +93958,8 @@ 1 1 0 +2 +2 4 0xe83a 0x3627c663 @@ -75174,6 +93968,8 @@ 0 0 0 +0 +4 2 0xcf85 0x30b4bcfa @@ -75182,6 +93978,8 @@ 1 0 0 +4 +0 2 0xec7d 0x937a038b @@ -75190,6 +93988,8 @@ 1 1 0 +1 +3 4 0x4fc4 0xa38c3384 @@ -75198,6 +93998,8 @@ 1 1 0 +0 +1 3 0x1b65 0xd19f94d4 @@ -75206,6 +94008,8 @@ 1 0 0 +1 +0 4 0x1619 0x262e2007 @@ -75215,6 +94019,8 @@ 0 0 3 +1 +3 0x44a2 0x22cbe2de 256 @@ -75222,6 +94028,8 @@ 0 0 0 +0 +3 4 0xa6f1 0xa9283bd0 @@ -75230,6 +94038,8 @@ 1 1 0 +5 +3 2 0xdcc4 0x3388046b @@ -75238,6 +94048,8 @@ 0 0 0 +1 +4 3 0xa6c7 0x3eb3ca98 @@ -75246,6 +94058,8 @@ 1 1 0 +1 +4 2 0x5006 0xa70715fe @@ -75254,6 +94068,8 @@ 1 1 0 +1 +1 2 0x1445 0xb7be1ca8 @@ -75262,6 +94078,8 @@ 1 0 0 +2 +1 1 0x9723 0x855a0a59 @@ -75270,6 +94088,8 @@ 0 0 0 +0 +3 3 0xcf12 0x467828c3 @@ -75279,6 +94099,8 @@ 0 0 1 +5 +1 0x6f8e 0x183706ae 256 @@ -75286,6 +94108,8 @@ 1 1 0 +3 +2 1 0xe8a3 0x32498988 @@ -75294,6 +94118,8 @@ 1 1 0 +5 +0 2 0x3e27 0xbe3019bb @@ -75302,6 +94128,8 @@ 0 0 0 +0 +0 3 0xc647 0x8d9169a6 @@ -75310,6 +94138,8 @@ 1 0 0 +5 +4 3 0x1760 0x3e5034f2 @@ -75318,6 +94148,8 @@ 1 0 0 +0 +4 2 0x8594 0xa57267d6 @@ -75326,6 +94158,8 @@ 0 0 0 +4 +4 3 0x8e4c 0xb918e271 @@ -75335,6 +94169,8 @@ 1 0 4 +2 +4 0x8a8f 0xb5b4a66 256 @@ -75343,6 +94179,8 @@ 0 0 2 +5 +2 0x4f92 0x353147ec 256 @@ -75350,6 +94188,8 @@ 1 0 0 +5 +5 3 0x4c23 0x9e5f5ba6 @@ -75358,6 +94198,8 @@ 0 0 0 +0 +1 1 0xc4e8 0xebc63718 @@ -75366,6 +94208,8 @@ 0 0 0 +5 +3 2 0x8c05 0x7bf26a0c @@ -75375,6 +94219,8 @@ 0 0 2 +2 +2 0xef9c 0x94485dca 256 @@ -75382,6 +94228,8 @@ 1 0 0 +4 +3 3 0x51e0 0x19334416 @@ -75390,6 +94238,8 @@ 1 0 0 +2 +3 4 0x2690 0x5976411e @@ -75398,6 +94248,8 @@ 0 0 0 +0 +0 4 0xa6e8 0x3460e287 @@ -75406,6 +94258,8 @@ 1 1 0 +3 +4 4 0x25bb 0x117a9076 @@ -75414,6 +94268,8 @@ 0 0 0 +4 +1 3 0x646b 0xb500d644 @@ -75422,6 +94278,8 @@ 1 1 0 +2 +0 3 0xfa78 0xd1e12634 @@ -75431,6 +94289,8 @@ 1 0 3 +2 +3 0x7128 0xe1d1f546 256 @@ -75438,6 +94298,8 @@ 0 0 0 +5 +1 2 0x51f1 0x30cdc3d @@ -75446,6 +94308,8 @@ 1 0 0 +1 +5 3 0x8ceb 0x2c371549 @@ -75454,6 +94318,8 @@ 1 0 0 +1 +0 3 0x40cd 0x9251efe5 @@ -75462,6 +94328,8 @@ 1 1 0 +4 +0 1 0x28ce 0x7229530e @@ -75470,6 +94338,8 @@ 0 0 0 +0 +4 3 0x7f8c 0x309104e @@ -75478,6 +94348,8 @@ 0 0 0 +2 +0 1 0x6a9a 0x106f174 @@ -75486,6 +94358,8 @@ 0 0 0 +0 +3 1 0x5b2b 0xfe23b02d @@ -75494,6 +94368,8 @@ 1 1 0 +0 +3 1 0x337c 0x61e1e2a8 @@ -75502,6 +94378,8 @@ 0 0 0 +0 +1 4 0xe4f5 0xad933a8c @@ -75510,6 +94388,8 @@ 0 0 0 +3 +0 1 0xde4d 0xe7451177 @@ -75518,6 +94398,8 @@ 0 0 0 +0 +2 3 0x6c8d 0x155b937a @@ -75526,6 +94408,8 @@ 1 1 0 +1 +3 4 0xabc 0x6f47f164 @@ -75534,6 +94418,8 @@ 1 1 0 +3 +3 1 0x8bb4 0xae299ba0 @@ -75542,6 +94428,8 @@ 0 0 0 +2 +2 3 0x2cef 0xaa829946 @@ -75550,6 +94438,8 @@ 0 0 0 +1 +2 3 0x607a 0x2e985bb6 @@ -75558,6 +94448,8 @@ 0 0 0 +0 +5 1 0xc0f8 0xe7aeec8c @@ -75566,6 +94458,8 @@ 0 0 0 +3 +1 2 0xd290 0xc918f240 @@ -75574,6 +94468,8 @@ 0 0 0 +5 +2 4 0x6df0 0xf413b154 @@ -75582,6 +94478,8 @@ 1 1 0 +0 +4 3 0x29e4 0xec76ec14 @@ -75590,6 +94488,8 @@ 0 0 0 +4 +2 3 0x9e5e 0xe7a70eb2 @@ -75598,6 +94498,8 @@ 0 0 0 +2 +2 4 0x9a6b 0x20b8232 @@ -75606,6 +94508,8 @@ 0 0 0 +2 +1 4 0x483 0x1d859470 @@ -75614,6 +94518,8 @@ 1 0 0 +2 +3 4 0x88be 0xab581af5 @@ -75622,6 +94528,8 @@ 1 1 0 +5 +0 3 0x7b0e 0x768e0446 @@ -75630,6 +94538,8 @@ 1 0 0 +5 +2 2 0xdb43 0xc7ba72a9 @@ -75638,6 +94548,8 @@ 1 1 0 +4 +3 3 0x59f1 0x3298468a @@ -75647,6 +94559,8 @@ 0 0 3 +0 +3 0x825b 0x6f9fbb12 256 @@ -75654,6 +94568,8 @@ 1 0 0 +0 +1 1 0xe544 0x409517e5 @@ -75662,6 +94578,8 @@ 0 0 0 +4 +0 2 0x39d8 0xb3f8c604 @@ -75670,6 +94588,8 @@ 1 0 0 +0 +3 3 0x3b4b 0x5b7c521e @@ -75678,6 +94598,8 @@ 1 1 0 +0 +1 2 0xd13 0xbbc4824d @@ -75686,6 +94608,8 @@ 1 1 0 +5 +1 2 0xce1f 0xea89b786 @@ -75694,6 +94618,8 @@ 0 0 0 +4 +3 2 0xe370 0xda6d74cf @@ -75702,6 +94628,8 @@ 0 0 0 +2 +5 3 0xa906 0x9820d96a @@ -75710,6 +94638,8 @@ 0 0 0 +1 +1 3 0xb667 0xc090f0cf @@ -75718,6 +94648,8 @@ 0 0 0 +4 +3 1 0xae26 0x5c9a3c88 @@ -75726,6 +94658,8 @@ 0 0 0 +2 +5 3 0x1224 0x5484309f @@ -75734,6 +94668,8 @@ 0 0 0 +5 +3 4 0xf28f 0x25398d56 @@ -75743,6 +94679,8 @@ 0 0 2 +2 +2 0xd963 0xa6f8976f 256 @@ -75751,6 +94689,8 @@ 0 0 1 +1 +1 0x4fc5 0x8f71383d 256 @@ -75758,6 +94698,8 @@ 1 1 0 +3 +4 1 0x2946 0xe594c12 @@ -75767,6 +94709,8 @@ 0 0 2 +5 +2 0x293c 0x4e9674d5 256 @@ -75775,6 +94719,8 @@ 0 0 2 +0 +2 0xfe69 0x68ebd847 256 @@ -75782,6 +94728,8 @@ 0 0 0 +4 +4 2 0xbab5 0xfbd8e5cc @@ -75790,6 +94738,8 @@ 1 0 0 +5 +5 4 0xdb60 0x118052c9 @@ -75799,6 +94749,8 @@ 0 0 2 +3 +2 0x70f9 0xd5cbb985 256 @@ -75806,6 +94758,8 @@ 1 1 0 +5 +3 2 0x82ec 0xbcb9b6f3 @@ -75814,6 +94768,8 @@ 1 1 0 +3 +5 1 0x42e6 0xaf9396de @@ -75822,6 +94778,8 @@ 1 0 0 +0 +4 2 0x32d9 0xce7fb974 @@ -75831,6 +94789,8 @@ 0 0 2 +2 +2 0x735 0x7ae7c59f 256 @@ -75838,6 +94798,8 @@ 1 1 0 +0 +5 1 0xfed7 0xd502b1b5 @@ -75846,6 +94808,8 @@ 0 0 0 +2 +4 4 0xdee5 0x5a38cc99 @@ -75854,6 +94818,8 @@ 0 0 0 +0 +2 3 0xc9ac 0x38b1ccc @@ -75863,6 +94829,8 @@ 1 0 3 +5 +3 0xd900 0x421354f4 256 @@ -75870,6 +94838,8 @@ 1 1 0 +3 +3 4 0x4c4a 0xec386452 @@ -75878,6 +94848,8 @@ 0 0 0 +1 +0 4 0xad57 0x1d2ac682 @@ -75886,6 +94858,8 @@ 0 0 0 +1 +2 2 0xced8 0x2930fb5b @@ -75894,6 +94868,8 @@ 1 1 0 +4 +2 3 0x6e27 0x3924989f @@ -75902,6 +94878,8 @@ 0 0 0 +3 +0 4 0xb7be 0xac593019 @@ -75910,6 +94888,8 @@ 0 0 0 +3 +3 1 0x6a9a 0xc611e09d @@ -75918,6 +94898,8 @@ 1 0 0 +1 +1 4 0xaaa2 0xd570f903 @@ -75926,6 +94908,8 @@ 0 0 0 +1 +2 2 0xbc1e 0xe370fc13 @@ -75934,6 +94918,8 @@ 1 0 0 +5 +3 3 0xb9e3 0x5525a638 @@ -75942,6 +94928,8 @@ 0 0 0 +0 +2 2 0xaa2e 0xf385dd4b @@ -75950,6 +94938,8 @@ 1 0 0 +4 +5 2 0x81e0 0xa4cdfb9b @@ -75958,6 +94948,8 @@ 0 0 0 +4 +0 1 0xbf95 0x51d64d7b @@ -75966,6 +94958,8 @@ 1 1 0 +0 +0 4 0xaa35 0xfa3a5b2b @@ -75975,6 +94969,8 @@ 0 0 3 +4 +3 0x59e6 0xef38b1b8 256 @@ -75983,6 +94979,8 @@ 1 0 4 +0 +4 0xa17c 0x5f66b6e6 256 @@ -75990,6 +94988,8 @@ 1 0 0 +5 +0 4 0xea 0x30506515 @@ -75998,6 +94998,8 @@ 1 1 0 +5 +0 1 0xaff3 0xa682dd06 @@ -76006,6 +95008,8 @@ 1 0 0 +3 +4 4 0x1f60 0x58eb2409 @@ -76014,6 +95018,8 @@ 0 0 0 +3 +3 1 0xdc35 0x5755a624 @@ -76022,6 +95028,8 @@ 1 0 0 +0 +3 3 0xa0c 0x493878b2 @@ -76030,6 +95038,8 @@ 0 0 0 +0 +0 4 0xf3c6 0xa0973ff5 @@ -76039,6 +95049,8 @@ 0 0 1 +4 +1 0x9a65 0x92d79b47 256 @@ -76046,6 +95058,8 @@ 1 1 0 +1 +1 2 0xb76a 0x541d57fb @@ -76055,6 +95069,8 @@ 0 0 3 +3 +3 0xbd46 0xab64bffd 256 @@ -76062,6 +95078,8 @@ 1 0 0 +0 +3 1 0x574c 0x56ea51a9 @@ -76070,6 +95088,8 @@ 1 1 0 +1 +0 3 0x7bb1 0xfab469a0 @@ -76078,6 +95098,8 @@ 1 1 0 +1 +4 3 0xc7d3 0x166202dc @@ -76087,6 +95109,8 @@ 0 0 2 +2 +2 0x7214 0x7eb1f54c 256 @@ -76094,6 +95118,8 @@ 0 0 0 +0 +0 2 0x714e 0xc9f7f674 @@ -76103,6 +95129,8 @@ 0 0 2 +0 +2 0xf3b6 0x446ba560 256 @@ -76110,6 +95138,8 @@ 1 0 0 +3 +0 4 0x3aa5 0x471f8dab @@ -76118,6 +95148,8 @@ 0 0 0 +3 +1 1 0x5084 0x7161534a @@ -76126,6 +95158,8 @@ 1 1 0 +3 +0 1 0x682c 0xfada44ad @@ -76134,6 +95168,8 @@ 0 0 0 +0 +0 2 0xcf83 0x799c0e5b @@ -76142,6 +95178,8 @@ 0 0 0 +0 +5 4 0x710b 0x70013f13 @@ -76150,6 +95188,8 @@ 0 0 0 +2 +5 4 0x45d1 0xfbf827ba @@ -76158,6 +95198,8 @@ 1 1 0 +3 +4 1 0x8774 0x91ca8af0 @@ -76166,6 +95208,8 @@ 1 1 0 +4 +4 1 0x1f2a 0xecdffcaa @@ -76174,6 +95218,8 @@ 1 1 0 +1 +1 4 0xf0f1 0x50ee0edc @@ -76183,6 +95229,8 @@ 0 0 2 +3 +2 0x3048 0x746b10ae 256 @@ -76190,6 +95238,8 @@ 1 1 0 +4 +5 1 0x892c 0x63ba0142 @@ -76198,6 +95248,8 @@ 0 0 0 +0 +3 1 0x7ad1 0x222fe97b @@ -76206,6 +95258,8 @@ 1 0 0 +3 +3 4 0xaa41 0x5c1f18ff @@ -76214,6 +95268,8 @@ 1 0 0 +0 +5 2 0xb9a8 0x8ed3b567 @@ -76222,6 +95278,8 @@ 1 1 0 +1 +3 2 0x3308 0x80176ed9 @@ -76231,6 +95289,8 @@ 1 0 2 +0 +2 0xa1e0 0xba8675cc 256 @@ -76238,6 +95298,8 @@ 0 0 0 +3 +3 2 0xc008 0x565828a4 @@ -76247,6 +95309,8 @@ 1 0 3 +5 +3 0xcd78 0x4a1fbb6a 256 @@ -76254,6 +95318,8 @@ 0 0 0 +4 +1 2 0xd5ac 0xa1b3c03e @@ -76262,6 +95328,8 @@ 0 0 0 +1 +2 3 0x57e0 0xc84509b1 @@ -76270,6 +95338,8 @@ 0 0 0 +3 +5 2 0x9194 0x334dc989 @@ -76278,6 +95348,8 @@ 0 0 0 +4 +2 3 0xa43f 0x5a273f4c @@ -76286,6 +95358,8 @@ 1 0 0 +0 +3 2 0xbe17 0x58e52537 @@ -76294,6 +95368,8 @@ 1 0 0 +2 +2 3 0x120a 0x63be85b7 @@ -76303,6 +95379,8 @@ 0 0 2 +3 +2 0xd2c5 0x9d8cccd4 256 @@ -76310,6 +95388,8 @@ 0 0 0 +3 +0 1 0xf44c 0x1daed7f7 @@ -76318,6 +95398,8 @@ 1 0 0 +4 +2 3 0x79f7 0x637d38a9 @@ -76326,6 +95408,8 @@ 0 0 0 +4 +4 3 0x3276 0x37a36756 @@ -76334,6 +95418,8 @@ 1 0 0 +4 +4 2 0xa81c 0x4bf07985 @@ -76342,6 +95428,8 @@ 0 0 0 +3 +3 4 0xf362 0x989a3365 @@ -76350,6 +95438,8 @@ 0 0 0 +5 +2 2 0xcb40 0x803ffccc @@ -76358,6 +95448,8 @@ 1 1 0 +0 +2 2 0x3dc8 0xa68ed16c @@ -76366,6 +95458,8 @@ 0 0 0 +2 +5 3 0x4d33 0xfc5d6498 @@ -76374,6 +95468,8 @@ 1 1 0 +4 +0 3 0x82d0 0x5e3b6efa @@ -76383,6 +95479,8 @@ 0 0 1 +0 +1 0x8763 0x4388486d 256 @@ -76390,6 +95488,8 @@ 1 0 0 +0 +5 4 0xe9b8 0xc1aae47c @@ -76398,6 +95498,8 @@ 1 0 0 +0 +5 3 0x280c 0xe85aea42 @@ -76406,6 +95508,8 @@ 1 0 0 +4 +4 3 0x27ad 0x9039d644 @@ -76414,6 +95518,8 @@ 0 0 0 +5 +2 1 0xf354 0x5d12a75a @@ -76422,6 +95528,8 @@ 0 0 0 +2 +5 4 0x1a40 0x202c01f1 @@ -76430,6 +95538,8 @@ 1 1 0 +5 +4 3 0xb93a 0xba9a0fe2 @@ -76438,6 +95548,8 @@ 1 0 0 +1 +3 3 0x3828 0x415c5c9 @@ -76446,6 +95558,8 @@ 0 0 0 +5 +4 3 0x3331 0x2e9dd71a @@ -76454,6 +95568,8 @@ 0 0 0 +2 +1 1 0xb62 0xf30ae6a4 @@ -76462,6 +95578,8 @@ 0 0 0 +4 +0 3 0x647d 0x73d88160 @@ -76470,6 +95588,8 @@ 1 1 0 +0 +2 3 0x7a54 0xf9d7c393 @@ -76478,6 +95598,8 @@ 1 1 0 +3 +0 1 0xa794 0x8e55aefb @@ -76486,6 +95608,8 @@ 0 0 0 +0 +1 2 0xc7b2 0x1af1bc74 @@ -76494,6 +95618,8 @@ 0 0 0 +2 +5 1 0x4a44 0x584f4fa8 @@ -76503,6 +95629,8 @@ 1 0 4 +2 +4 0x4866 0xc3f1bed8 256 @@ -76510,6 +95638,8 @@ 1 1 0 +0 +4 4 0x6cef 0x8f231466 @@ -76518,6 +95648,8 @@ 0 0 0 +3 +0 4 0x405b 0xa805af11 @@ -76526,6 +95658,8 @@ 0 0 0 +2 +0 3 0x3b39 0x2de9a971 @@ -76534,6 +95668,8 @@ 1 0 0 +5 +4 4 0x46a6 0x688c4fe4 @@ -76542,6 +95678,8 @@ 1 0 0 +5 +5 1 0x64d3 0x505865fe @@ -76550,6 +95688,8 @@ 0 0 0 +0 +4 3 0xdf91 0xa3093fef @@ -76559,6 +95699,8 @@ 0 0 3 +2 +3 0x5d4f 0xe822f27f 256 @@ -76566,6 +95708,8 @@ 0 0 0 +5 +0 2 0x4794 0x2accc193 @@ -76574,6 +95718,8 @@ 0 0 0 +3 +1 1 0x1fb6 0xee634a4c @@ -76582,6 +95728,8 @@ 1 0 0 +4 +1 1 0x613 0x4f0f26d6 @@ -76591,6 +95739,8 @@ 1 0 2 +2 +2 0xf13c 0xc87ab678 256 @@ -76598,6 +95748,8 @@ 1 0 0 +1 +2 4 0x4985 0x43b2d549 @@ -76606,6 +95758,8 @@ 0 0 0 +2 +3 3 0xbb96 0x10f034b0 @@ -76614,6 +95768,8 @@ 0 0 0 +2 +4 1 0x521 0xa5f6facc @@ -76622,6 +95778,8 @@ 0 0 0 +3 +1 4 0x9629 0x5f267042 @@ -76630,6 +95788,8 @@ 0 0 0 +0 +1 3 0x4ae0 0xeda847b0 @@ -76638,6 +95798,8 @@ 1 0 0 +4 +4 2 0x5d8d 0xa2327df8 @@ -76646,6 +95808,8 @@ 1 1 0 +5 +4 1 0xb93e 0x46cbe35e @@ -76654,6 +95818,8 @@ 1 1 0 +4 +3 1 0x84a 0x9f4acfdc @@ -76663,6 +95829,8 @@ 0 0 3 +3 +3 0x39ce 0xd44fbf83 256 @@ -76670,6 +95838,8 @@ 1 1 0 +1 +0 3 0x481d 0xfebc2b73 @@ -76678,6 +95848,8 @@ 0 0 0 +5 +0 1 0x2ad1 0xb9ccd2d8 @@ -76686,6 +95858,8 @@ 0 0 0 +5 +1 1 0xdb4d 0x3303f6fe @@ -76694,6 +95868,8 @@ 0 0 0 +4 +0 2 0x22a4 0xc3bb56ae @@ -76702,6 +95878,8 @@ 0 0 0 +0 +3 1 0xe0f4 0xc4e8f3ba @@ -76710,6 +95888,8 @@ 0 0 0 +3 +3 1 0xccb 0x76680cc3 @@ -76719,6 +95899,8 @@ 0 0 4 +3 +4 0xc93d 0xdd9d56cc 256 @@ -76726,6 +95908,8 @@ 1 1 0 +1 +4 4 0x42ab 0x4c9bcb3f @@ -76734,6 +95918,8 @@ 0 0 0 +3 +5 2 0xdab2 0x372ef532 @@ -76742,6 +95928,8 @@ 0 0 0 +5 +5 3 0xaf33 0xdee7a25 @@ -76751,6 +95939,8 @@ 0 0 2 +2 +2 0xc4a1 0x6435607c 256 @@ -76758,6 +95948,8 @@ 0 0 0 +0 +2 1 0xdc44 0xc4c576e3 @@ -76766,6 +95958,8 @@ 0 0 0 +4 +3 1 0xbab0 0x189015cc @@ -76774,6 +95968,8 @@ 0 0 0 +1 +1 3 0x7115 0xa055d7cf @@ -76783,6 +95979,8 @@ 1 0 3 +1 +3 0xf8f2 0x22ab50b4 256 @@ -76790,6 +95988,8 @@ 0 0 0 +2 +0 4 0x5879 0x27e06db0 @@ -76798,6 +95998,8 @@ 0 0 0 +4 +0 1 0xf7c 0x48ee4cb2 @@ -76806,6 +96008,8 @@ 1 1 0 +5 +1 4 0xf0ed 0x9d4d05da @@ -76814,6 +96018,8 @@ 0 0 0 +0 +0 3 0x6711 0xed0a58a4 @@ -76823,6 +96029,8 @@ 0 0 4 +0 +4 0x57c6 0xd7bad246 256 @@ -76830,6 +96038,8 @@ 0 0 0 +1 +0 3 0xab96 0x72997e88 @@ -76838,6 +96048,8 @@ 1 1 0 +5 +4 1 0x27d 0x8dfdc814 @@ -76846,6 +96058,8 @@ 1 1 0 +2 +4 1 0xb968 0x581b064f @@ -76854,6 +96068,8 @@ 0 0 0 +1 +1 2 0x3738 0x8dcbd155 @@ -76862,6 +96078,8 @@ 1 0 0 +2 +5 4 0x17d1 0xf9794382 @@ -76870,6 +96088,8 @@ 0 0 0 +1 +4 3 0xd007 0xd7863e93 @@ -76878,6 +96098,8 @@ 1 1 0 +4 +3 1 0xb7b1 0x81b82490 @@ -76886,6 +96108,8 @@ 0 0 0 +0 +2 4 0x84cd 0x946a7e73 @@ -76894,6 +96118,8 @@ 0 0 0 +3 +4 4 0xaac1 0x49d5ba02 @@ -76902,6 +96128,8 @@ 1 0 0 +5 +0 1 0x78a7 0x49348797 @@ -76911,6 +96139,8 @@ 1 0 1 +1 +1 0x3e19 0xbefbaa4a 256 @@ -76918,6 +96148,8 @@ 0 0 0 +0 +4 4 0x6974 0xaacc2ce5 @@ -76926,6 +96158,8 @@ 1 1 0 +1 +5 2 0x7652 0xc077bcc9 @@ -76934,6 +96168,8 @@ 0 0 0 +1 +2 4 0x42a3 0xaa42534a @@ -76943,6 +96179,8 @@ 0 0 1 +3 +1 0xdb6b 0x999433f3 256 @@ -76950,6 +96188,8 @@ 1 1 0 +0 +3 4 0xd3 0x7fd9955b @@ -76959,6 +96199,8 @@ 1 0 4 +3 +4 0x5480 0x2aaba009 256 @@ -76966,6 +96208,8 @@ 0 0 0 +2 +3 1 0xd127 0x7315e73f @@ -76974,6 +96218,8 @@ 0 0 0 +1 +3 2 0x7acf 0xa04f69d5 @@ -76982,6 +96228,8 @@ 1 1 0 +4 +3 2 0x2b13 0xf9552067 @@ -76990,6 +96238,8 @@ 0 0 0 +4 +5 3 0x45e0 0xd346845e @@ -76999,6 +96249,8 @@ 0 0 3 +1 +3 0x8ec0 0xb16ce837 256 @@ -77006,6 +96258,8 @@ 0 0 0 +0 +4 2 0x9bad 0x53752312 @@ -77014,6 +96268,8 @@ 1 0 0 +3 +5 4 0x96a6 0xb23839cd @@ -77022,6 +96278,8 @@ 1 0 0 +2 +5 1 0xe43a 0xed133b21 @@ -77031,6 +96289,8 @@ 1 0 3 +2 +3 0x790b 0x8a059fe8 256 @@ -77038,6 +96298,8 @@ 1 0 0 +2 +0 4 0xef81 0xccfb9f87 @@ -77046,6 +96308,8 @@ 0 0 0 +4 +2 2 0xc1f6 0x77f2d858 @@ -77054,6 +96318,8 @@ 0 0 0 +4 +3 3 0x3ce8 0x2eb4dca5 @@ -77062,6 +96328,8 @@ 0 0 0 +5 +3 3 0xe333 0xe1e2dc66 @@ -77070,6 +96338,8 @@ 0 0 0 +0 +3 3 0x7046 0x3991dd5b @@ -77079,6 +96349,8 @@ 1 0 2 +3 +2 0xf7df 0x9482295c 256 @@ -77087,6 +96359,8 @@ 0 0 2 +4 +2 0x61e 0xe76f4859 256 @@ -77094,6 +96368,8 @@ 1 1 0 +2 +1 3 0x8b5f 0xc39ee897 @@ -77102,6 +96378,8 @@ 0 0 0 +3 +0 4 0xabfd 0xec573393 @@ -77110,6 +96388,8 @@ 0 0 0 +5 +4 4 0xbdd 0x5f87e125 @@ -77118,6 +96398,8 @@ 1 0 0 +0 +4 4 0x640c 0x371d25de @@ -77126,6 +96408,8 @@ 0 0 0 +4 +5 2 0x64fe 0xa95084eb @@ -77134,6 +96418,8 @@ 1 0 0 +4 +3 2 0xad74 0x335875e3 @@ -77142,6 +96428,8 @@ 1 1 0 +5 +2 2 0x1ca2 0x4b3db956 @@ -77150,6 +96438,8 @@ 1 0 0 +4 +0 2 0xf5d1 0x6d80c9c0 @@ -77158,6 +96448,8 @@ 1 0 0 +3 +4 2 0x49c 0xeb660096 @@ -77166,6 +96458,8 @@ 1 0 0 +0 +3 2 0xaccc 0xce05e284 @@ -77174,6 +96468,8 @@ 0 0 0 +5 +4 2 0xc734 0xdc9cb550 @@ -77182,6 +96478,8 @@ 1 0 0 +2 +2 4 0x6f55 0xe8189469 @@ -77190,6 +96488,8 @@ 0 0 0 +1 +5 2 0x6421 0x28483217 @@ -77199,6 +96499,8 @@ 0 0 3 +0 +3 0xaf05 0x182fb775 256 @@ -77206,6 +96508,8 @@ 1 1 0 +2 +5 1 0x5c8f 0x842ec728 @@ -77215,6 +96519,8 @@ 0 0 3 +0 +3 0x8725 0xa90e412c 256 @@ -77222,6 +96528,8 @@ 0 0 0 +4 +4 2 0x929a 0x13a83ffb @@ -77230,6 +96538,8 @@ 1 0 0 +5 +5 3 0x1361 0x778035c0 @@ -77238,6 +96548,8 @@ 0 0 0 +2 +5 1 0xfd0 0xf68fca50 @@ -77246,6 +96558,8 @@ 1 1 0 +2 +1 4 0x1b90 0x6d72ba3c @@ -77254,6 +96568,8 @@ 1 1 0 +4 +2 1 0x44b2 0x96496b75 @@ -77262,6 +96578,8 @@ 0 0 0 +4 +4 3 0x6284 0x9cd21c25 @@ -77271,6 +96589,8 @@ 1 0 2 +5 +2 0xe8ad 0x15c69db2 256 @@ -77279,6 +96599,8 @@ 0 0 3 +1 +3 0x9fee 0xf5d314a8 256 @@ -77286,6 +96608,8 @@ 0 0 0 +5 +1 2 0x6c14 0x51c84f31 @@ -77294,6 +96618,8 @@ 0 0 0 +5 +5 1 0xd737 0x54e97ca7 @@ -77302,6 +96628,8 @@ 0 0 0 +0 +4 3 0x8fcf 0x671e9593 @@ -77310,6 +96638,8 @@ 1 0 0 +5 +1 2 0x8b39 0x5114f6f @@ -77318,6 +96648,8 @@ 1 1 0 +4 +4 1 0x1696 0x53f830a1 @@ -77326,6 +96658,8 @@ 1 0 0 +2 +3 4 0xbb3a 0xf49f5c8a @@ -77334,6 +96668,8 @@ 0 0 0 +5 +3 4 0x8947 0xef51de31 @@ -77342,6 +96678,8 @@ 1 1 0 +5 +2 2 0xd702 0xbdc11f8a @@ -77351,6 +96689,8 @@ 0 0 2 +0 +2 0xf0d5 0x247abd53 256 @@ -77358,6 +96698,8 @@ 1 1 0 +2 +3 4 0xb40d 0x78080f13 @@ -77367,6 +96709,8 @@ 1 0 3 +4 +3 0x3918 0x1eac5d58 256 @@ -77374,6 +96718,8 @@ 1 0 0 +1 +5 3 0xdb3c 0x7b9a05bf @@ -77382,6 +96728,8 @@ 1 1 0 +0 +1 2 0x3acf 0xfb771343 @@ -77390,6 +96738,8 @@ 1 0 0 +4 +1 2 0x4fb9 0x6702ac89 @@ -77398,6 +96748,8 @@ 0 0 0 +3 +5 2 0xe1eb 0xabdf1ef1 @@ -77406,6 +96758,8 @@ 0 0 0 +5 +1 3 0x3ab1 0x5ed433da @@ -77414,6 +96768,8 @@ 0 0 0 +5 +1 1 0x4410 0x2afa7bb2 @@ -77422,6 +96778,8 @@ 0 0 0 +1 +1 2 0xf89d 0xb36279d5 @@ -77431,6 +96789,8 @@ 0 0 4 +3 +4 0x1d62 0x491e6a1c 256 @@ -77438,6 +96798,8 @@ 1 0 0 +2 +0 1 0x31ad 0xe8674a86 @@ -77446,6 +96808,8 @@ 1 1 0 +5 +5 3 0x8e12 0x75fca467 @@ -77454,6 +96818,8 @@ 1 0 0 +1 +0 4 0x2fba 0xd504bab6 @@ -77463,6 +96829,8 @@ 0 0 3 +1 +3 0x509 0x91730f34 256 @@ -77470,6 +96838,8 @@ 1 1 0 +0 +5 1 0xa614 0x1a81e7b9 @@ -77478,6 +96848,8 @@ 0 0 0 +5 +1 3 0xd61f 0x4e104ece @@ -77486,6 +96858,8 @@ 1 0 0 +4 +0 2 0xdea 0xd26d464a @@ -77494,6 +96868,8 @@ 1 0 0 +4 +0 2 0xb5e2 0xcfcf75ab @@ -77502,6 +96878,8 @@ 1 0 0 +5 +3 1 0xaa83 0xe1b11c4c @@ -77510,6 +96888,8 @@ 1 1 0 +5 +5 4 0xf348 0x34c8db59 @@ -77518,6 +96898,8 @@ 1 1 0 +1 +0 3 0x63f 0xbad309c9 @@ -77526,6 +96908,8 @@ 1 1 0 +1 +4 2 0xd5fb 0xadbe4bea @@ -77534,6 +96918,8 @@ 1 0 0 +0 +4 1 0xd8a9 0xd92c088 @@ -77543,6 +96929,8 @@ 0 0 4 +3 +4 0xed82 0xa8456b6 256 @@ -77550,6 +96938,8 @@ 1 0 0 +2 +3 1 0x181a 0xd7beaa73 @@ -77559,6 +96949,8 @@ 0 0 3 +3 +3 0xb19d 0xe469f742 256 @@ -77566,6 +96958,8 @@ 1 0 0 +4 +5 1 0xc7d8 0xbc2efa57 @@ -77574,6 +96968,8 @@ 1 0 0 +0 +4 4 0xd35a 0x24712a30 @@ -77583,6 +96979,8 @@ 1 0 2 +3 +2 0x5c44 0x32bfe93 256 @@ -77590,6 +96988,8 @@ 1 1 0 +5 +5 2 0xf103 0x849316a4 @@ -77598,6 +96998,8 @@ 1 1 0 +3 +2 4 0x5001 0x84b3fd2e @@ -77606,6 +97008,8 @@ 1 0 0 +4 +4 2 0x7a3d 0x8005d48f @@ -77614,6 +97018,8 @@ 1 1 0 +2 +5 4 0x4eac 0x67412539 @@ -77622,6 +97028,8 @@ 0 0 0 +0 +0 3 0x92d6 0xdfbd2d27 @@ -77630,6 +97038,8 @@ 1 0 0 +3 +2 1 0x6c27 0x1365d77a @@ -77638,6 +97048,8 @@ 0 0 0 +4 +2 2 0x203e 0xf0d5e888 @@ -77646,6 +97058,8 @@ 1 0 0 +1 +0 2 0xb984 0xd42c484b @@ -77654,6 +97068,8 @@ 0 0 0 +3 +3 2 0x8837 0x50bc073 @@ -77662,6 +97078,8 @@ 1 1 0 +3 +1 1 0xd420 0x754e9d93 @@ -77670,6 +97088,8 @@ 1 1 0 +0 +0 1 0x5e0f 0x9391f3cb @@ -77678,6 +97098,8 @@ 0 0 0 +0 +5 1 0xd94c 0xcff0d7b9 @@ -77687,6 +97109,8 @@ 1 0 4 +2 +4 0x42fb 0x1c5f09d9 256 @@ -77695,6 +97119,8 @@ 0 0 2 +3 +2 0xa32d 0x3440e857 256 @@ -77702,6 +97128,8 @@ 0 0 0 +0 +1 1 0xea29 0x1dd6f112 @@ -77710,6 +97138,8 @@ 1 1 0 +3 +1 2 0x1996 0x7ea17352 @@ -77718,6 +97148,8 @@ 1 0 0 +1 +3 4 0xaa5f 0xf30acc66 @@ -77726,6 +97158,8 @@ 0 0 0 +2 +0 1 0xbb71 0x5ba4374f @@ -77734,6 +97168,8 @@ 0 0 0 +4 +0 3 0xacbe 0x89182472 @@ -77742,6 +97178,8 @@ 1 1 0 +1 +4 3 0xe50e 0xf055b68d @@ -77751,6 +97189,8 @@ 1 0 4 +4 +4 0xfa6a 0xe2a8b0a 256 @@ -77758,6 +97198,8 @@ 1 1 0 +5 +5 3 0xfc1a 0x85453e7d @@ -77766,6 +97208,8 @@ 0 0 0 +1 +3 2 0x80a7 0xf13ffaec @@ -77774,6 +97218,8 @@ 1 1 0 +3 +1 2 0x98b7 0x91ee859e @@ -77782,6 +97228,8 @@ 1 0 0 +1 +1 3 0x6cab 0x159f8b44 @@ -77790,6 +97238,8 @@ 0 0 0 +3 +4 1 0x900c 0xc93b746f @@ -77799,6 +97249,8 @@ 0 0 3 +4 +3 0xc7c3 0x8ce530ca 256 @@ -77806,6 +97258,8 @@ 0 0 0 +4 +0 1 0xd65c 0x4f58c0f5 @@ -77814,6 +97268,8 @@ 0 0 0 +2 +2 4 0x92b8 0xd291ffbc @@ -77823,6 +97279,8 @@ 0 0 2 +0 +2 0xd4a2 0x85551be5 256 @@ -77830,6 +97288,8 @@ 0 0 0 +0 +4 3 0x167a 0xcc0dfda2 @@ -77838,6 +97298,8 @@ 0 0 0 +4 +4 1 0xe581 0xc05e4da @@ -77846,6 +97308,8 @@ 0 0 0 +4 +2 3 0x96c2 0xd3de86ee @@ -77854,6 +97318,8 @@ 0 0 0 +1 +2 4 0x3f75 0xf7e3e365 @@ -77862,6 +97328,8 @@ 1 1 0 +3 +3 1 0xa711 0x3d0b0bef @@ -77870,6 +97338,8 @@ 1 0 0 +3 +1 4 0xbab 0xb2ba872e @@ -77878,6 +97348,8 @@ 1 1 0 +4 +5 3 0xc20f 0xd7bfecf7 @@ -77886,6 +97358,8 @@ 0 0 0 +3 +2 1 0x4fcf 0xbcb2731c @@ -77894,6 +97368,8 @@ 1 0 0 +3 +0 4 0x955c 0xa6cc90af @@ -77902,6 +97378,8 @@ 0 0 0 +5 +4 1 0x8e49 0xf3ae2a57 @@ -77910,6 +97388,8 @@ 1 1 0 +1 +4 4 0x6b52 0x832856d3 @@ -77918,6 +97398,8 @@ 1 1 0 +1 +5 4 0x3e74 0xd8e472b7 @@ -77926,6 +97408,8 @@ 1 0 0 +0 +0 2 0x4c9c 0xf13dddf2 @@ -77934,6 +97418,8 @@ 1 0 0 +0 +2 4 0x15b5 0xe558bb2a @@ -77942,6 +97428,8 @@ 1 0 0 +4 +4 1 0x8f69 0x43ee7637 @@ -77950,6 +97438,8 @@ 0 0 0 +4 +4 1 0x6da5 0xf7f73266 @@ -77958,6 +97448,8 @@ 1 1 0 +4 +0 3 0x3c31 0x8b01abc8 @@ -77967,6 +97459,8 @@ 0 0 2 +3 +2 0xaa1a 0xa6f7e8f8 256 @@ -77974,6 +97468,8 @@ 1 0 0 +4 +3 1 0x4697 0x6d01402 @@ -77982,6 +97478,8 @@ 1 0 0 +1 +3 4 0x5cdd 0x3b15dcd7 @@ -77990,6 +97488,8 @@ 1 1 0 +0 +5 2 0xb493 0x2a75f099 @@ -77998,6 +97498,8 @@ 1 0 0 +0 +1 4 0x6093 0xa7b91932 @@ -78006,6 +97508,8 @@ 0 0 0 +0 +0 1 0x499 0xcbd77325 @@ -78014,6 +97518,8 @@ 1 0 0 +0 +1 1 0x836a 0x3a1f74 @@ -78022,6 +97528,8 @@ 1 0 0 +1 +5 3 0xfcb4 0x90c4a14f @@ -78030,6 +97538,8 @@ 0 0 0 +2 +0 3 0x35c1 0xc2f59e21 @@ -78038,6 +97548,8 @@ 0 0 0 +0 +2 3 0x53c0 0xb424c105 @@ -78046,6 +97558,8 @@ 1 1 0 +1 +2 4 0xdf93 0x9abda821 @@ -78054,6 +97568,8 @@ 0 0 0 +1 +5 4 0x861f 0x94a33d88 @@ -78063,6 +97579,8 @@ 0 0 4 +4 +4 0xbb62 0x5b7356e5 256 @@ -78070,6 +97588,8 @@ 1 1 0 +2 +2 3 0x9131 0x8bfae786 @@ -78078,6 +97598,8 @@ 1 1 0 +1 +3 2 0xa108 0x52ab28fc @@ -78087,6 +97609,8 @@ 0 0 3 +5 +3 0x4c18 0xfcd4de5b 256 @@ -78094,6 +97618,8 @@ 0 0 0 +2 +2 1 0xfbb1 0xb44608a0 @@ -78103,6 +97629,8 @@ 1 0 2 +2 +2 0xa1cf 0x3993e9f7 256 @@ -78110,6 +97638,8 @@ 0 0 0 +2 +0 4 0xd779 0xdb49edba @@ -78118,6 +97648,8 @@ 0 0 0 +1 +1 3 0x3ae0 0x8417c97 @@ -78127,6 +97659,8 @@ 0 0 3 +2 +3 0x8db 0x69838578 256 @@ -78134,6 +97668,8 @@ 1 0 0 +2 +5 3 0x68f8 0x58a3286c @@ -78143,6 +97679,8 @@ 0 0 2 +3 +2 0x7300 0x18d586e6 256 @@ -78150,6 +97688,8 @@ 1 0 0 +5 +0 2 0xed03 0x91f6b866 @@ -78158,6 +97698,8 @@ 0 0 0 +2 +3 4 0xe21c 0x85e16d44 @@ -78166,6 +97708,8 @@ 1 1 0 +3 +1 4 0xaa21 0x2818f67d @@ -78175,6 +97719,8 @@ 0 0 3 +4 +3 0x292e 0x6eec1179 256 @@ -78182,6 +97728,8 @@ 1 0 0 +1 +2 4 0xe010 0x361ea30 @@ -78191,6 +97739,8 @@ 1 0 3 +1 +3 0x6bae 0xefcf8b49 256 @@ -78198,6 +97748,8 @@ 1 0 0 +1 +4 4 0x1b23 0x9344e49c @@ -78206,6 +97758,8 @@ 0 0 0 +1 +1 3 0x9cec 0x96b27fe9 @@ -78214,6 +97768,8 @@ 0 0 0 +1 +3 3 0x69bd 0xbf3fb485 @@ -78223,6 +97779,8 @@ 0 0 2 +4 +2 0x26d 0x86e0a849 256 @@ -78230,6 +97788,8 @@ 1 1 0 +0 +3 3 0x54d8 0xedbfd420 @@ -78238,6 +97798,8 @@ 0 0 0 +1 +1 3 0xce9f 0x97d8c459 @@ -78246,6 +97808,8 @@ 1 0 0 +5 +0 1 0xf709 0xf79d01dc @@ -78255,6 +97819,8 @@ 1 0 2 +0 +2 0x48ad 0x2aab3e5f 256 @@ -78263,6 +97829,8 @@ 0 0 1 +4 +1 0xe28c 0x2bec5dcb 256 @@ -78271,6 +97839,8 @@ 1 0 2 +4 +2 0x8ba8 0xb2115f0b 256 @@ -78278,6 +97848,8 @@ 1 1 0 +2 +0 3 0xa8b1 0x51148bd7 @@ -78286,6 +97858,8 @@ 0 0 0 +5 +1 3 0x1cd7 0x774de8eb @@ -78295,6 +97869,8 @@ 0 0 1 +2 +1 0x435b 0xe3ab8afd 256 @@ -78303,6 +97879,8 @@ 0 0 1 +5 +1 0x71a1 0x62ad4093 256 @@ -78310,6 +97888,8 @@ 1 1 0 +0 +2 3 0x7822 0xacb94bbf @@ -78318,6 +97898,8 @@ 0 0 0 +3 +2 4 0x6e9 0xd6ee94ef @@ -78326,6 +97908,8 @@ 1 0 0 +5 +4 2 0x7ffb 0xa692246f @@ -78334,6 +97918,8 @@ 1 1 0 +4 +3 3 0x4ea8 0x526b934c @@ -78342,6 +97928,8 @@ 1 1 0 +5 +2 3 0x1ee7 0x82ee739d @@ -78350,6 +97938,8 @@ 1 0 0 +0 +0 4 0xa738 0xbf827d31 @@ -78358,6 +97948,8 @@ 1 0 0 +3 +3 1 0x1bec 0xb40c68b5 @@ -78366,6 +97958,8 @@ 1 1 0 +3 +1 1 0x1939 0x12457a5b @@ -78374,6 +97968,8 @@ 1 1 0 +4 +3 1 0x9c6b 0x11ce3e98 @@ -78382,6 +97978,8 @@ 0 0 0 +4 +1 3 0x930 0x857982d6 @@ -78391,6 +97989,8 @@ 0 0 3 +1 +3 0x9eaf 0xf6b85e78 256 @@ -78398,6 +97998,8 @@ 1 1 0 +4 +1 3 0xc874 0x6453afaa @@ -78406,6 +98008,8 @@ 1 0 0 +5 +3 2 0xf665 0x5574e288 @@ -78414,6 +98018,8 @@ 1 1 0 +2 +0 1 0x7199 0x97accd3f @@ -78422,6 +98028,8 @@ 1 0 0 +1 +5 2 0xab4d 0xd79de4da @@ -78431,6 +98039,8 @@ 0 0 2 +0 +2 0x70ea 0x5185415c 256 @@ -78438,6 +98048,8 @@ 0 0 0 +5 +5 3 0x30cc 0x9b5ae598 @@ -78446,6 +98058,8 @@ 0 0 0 +5 +1 2 0x4940 0x76db7242 @@ -78454,6 +98068,8 @@ 0 0 0 +4 +3 1 0x1d38 0x7b3533f @@ -78463,6 +98079,8 @@ 0 0 3 +3 +3 0x51a5 0xa0ac0675 256 @@ -78470,6 +98088,8 @@ 0 0 0 +0 +5 1 0xafc5 0xe2bc97c3 @@ -78478,6 +98098,8 @@ 0 0 0 +0 +5 2 0xf627 0xc29dcad8 @@ -78487,6 +98109,8 @@ 0 0 2 +5 +2 0x4239 0x47d46b45 256 @@ -78494,6 +98118,8 @@ 0 0 0 +3 +2 4 0x2cc2 0xb20a3354 @@ -78502,6 +98128,8 @@ 1 0 0 +4 +0 1 0xe22b 0xdfed47da @@ -78510,6 +98138,8 @@ 1 1 0 +1 +5 3 0xf704 0xaf60fff7 @@ -78518,6 +98148,8 @@ 0 0 0 +0 +0 4 0xf2f7 0xc7fa0b57 @@ -78526,6 +98158,8 @@ 1 0 0 +5 +1 4 0xf67a 0x8356cdd1 @@ -78534,6 +98168,8 @@ 0 0 0 +3 +5 4 0xef28 0xc2f11a97 @@ -78542,6 +98178,8 @@ 1 0 0 +0 +3 1 0xb61d 0xa72b4aeb @@ -78550,6 +98188,8 @@ 0 0 0 +3 +1 2 0x97d8 0xdc5a9ace @@ -78558,6 +98198,8 @@ 0 0 0 +0 +2 3 0x2262 0xeda0b433 @@ -78567,6 +98209,8 @@ 0 0 4 +3 +4 0x9f55 0xf9ef8824 256 @@ -78574,6 +98218,8 @@ 1 0 0 +5 +4 1 0xa57 0x2793c1c1 @@ -78583,6 +98229,8 @@ 0 0 4 +2 +4 0x4bf2 0x5f48f85b 256 @@ -78590,6 +98238,8 @@ 0 0 0 +5 +1 4 0xe61d 0xdeddf6ac @@ -78599,6 +98249,8 @@ 1 0 2 +5 +2 0x17a4 0xfd12fe3e 256 @@ -78606,6 +98258,8 @@ 0 0 0 +5 +3 3 0xb755 0x172f2c5 @@ -78614,6 +98268,8 @@ 1 0 0 +5 +1 2 0xda69 0x93144844 @@ -78622,6 +98278,8 @@ 0 0 0 +2 +2 4 0x733c 0x1021a1d8 @@ -78630,6 +98288,8 @@ 0 0 0 +4 +3 2 0xccc0 0x184524fb @@ -78639,6 +98299,8 @@ 0 0 3 +3 +3 0x553a 0xe3cd8b18 256 @@ -78646,6 +98308,8 @@ 0 0 0 +0 +1 1 0x608a 0xabe09d5e @@ -78654,6 +98318,8 @@ 1 0 0 +2 +3 4 0x6a 0x87f2deff @@ -78662,6 +98328,8 @@ 0 0 0 +0 +0 3 0x7b42 0x387dee78 @@ -78670,6 +98338,8 @@ 1 1 0 +4 +0 2 0xe21c 0xf37b0bea @@ -78678,6 +98348,8 @@ 1 0 0 +0 +4 1 0xec4e 0xfb819250 @@ -78686,6 +98358,8 @@ 1 0 0 +4 +5 1 0xae3b 0x8873487e @@ -78695,6 +98369,8 @@ 0 0 1 +4 +1 0x7982 0x29c85b4b 256 @@ -78702,6 +98378,8 @@ 0 0 0 +0 +4 2 0x6b5b 0xf89d08be @@ -78710,6 +98388,8 @@ 1 1 0 +0 +3 3 0x9d9a 0xece475a6 @@ -78718,6 +98398,8 @@ 1 0 0 +4 +4 2 0x14ce 0x6fd5e7ba @@ -78726,6 +98408,8 @@ 1 0 0 +1 +3 2 0x198e 0x7c81e286 @@ -78734,6 +98418,8 @@ 1 0 0 +0 +5 3 0x3c88 0x257744b0 @@ -78742,6 +98428,8 @@ 0 0 0 +3 +2 4 0xdb35 0x2718e2be @@ -78750,6 +98438,8 @@ 0 0 0 +0 +2 3 0xa053 0x27b731ba @@ -78758,6 +98448,8 @@ 1 1 0 +2 +4 1 0x8773 0x1dddbbcf @@ -78766,6 +98458,8 @@ 1 0 0 +4 +2 1 0x18f1 0xba346c6f @@ -78774,6 +98468,8 @@ 1 0 0 +0 +2 1 0xbd77 0xd97f4cdf @@ -78782,6 +98478,8 @@ 1 0 0 +0 +4 4 0x115e 0x546d55a1 @@ -78790,6 +98488,8 @@ 1 1 0 +5 +2 1 0x5469 0x8f215128 @@ -78798,6 +98498,8 @@ 1 1 0 +2 +2 1 0x8df8 0x62ba1114 @@ -78806,6 +98508,8 @@ 0 0 0 +3 +3 2 0x7a15 0x2e957988 @@ -78815,6 +98519,8 @@ 0 0 3 +4 +3 0xde73 0xd135243a 256 @@ -78822,6 +98528,8 @@ 0 0 0 +0 +5 1 0x3e4 0x45f18d95 @@ -78830,6 +98538,8 @@ 1 0 0 +2 +4 1 0x4d79 0xcf0ede26 @@ -78839,6 +98549,8 @@ 0 0 4 +3 +4 0x4476 0x23d33391 256 @@ -78846,6 +98558,8 @@ 0 0 0 +5 +5 1 0xb492 0x2c32aadb @@ -78855,6 +98569,8 @@ 0 0 1 +5 +1 0xa1f1 0xddc5046a 256 @@ -78862,6 +98578,8 @@ 0 0 0 +2 +5 1 0x8f08 0x768c9f40 @@ -78870,6 +98588,8 @@ 0 0 0 +5 +1 2 0x8568 0xd42fb575 @@ -78878,6 +98598,8 @@ 0 0 0 +4 +3 1 0xa657 0x5ac23f3f @@ -78886,6 +98608,8 @@ 0 0 0 +0 +1 4 0x4ea0 0x7886db05 @@ -78895,6 +98619,8 @@ 0 0 4 +5 +4 0x68bc 0xf842a176 256 @@ -78902,6 +98628,8 @@ 0 0 0 +4 +5 3 0x4a59 0xec3b6487 @@ -78911,6 +98639,8 @@ 0 0 1 +4 +1 0xd5c1 0x47eae353 256 @@ -78918,6 +98648,8 @@ 1 1 0 +5 +2 3 0x52b8 0x8ad8ba38 @@ -78926,6 +98658,8 @@ 1 0 0 +4 +0 2 0xf8d3 0x40bff4f6 @@ -78934,6 +98668,8 @@ 1 1 0 +0 +4 4 0x86c8 0x7d3b7119 @@ -78942,6 +98678,8 @@ 0 0 0 +4 +5 3 0x78ce 0x963e9963 @@ -78950,6 +98688,8 @@ 1 1 0 +0 +4 1 0xe46e 0x9e8551f4 @@ -78958,6 +98698,8 @@ 1 0 0 +1 +3 3 0x11cb 0x58f92e8b @@ -78967,6 +98709,8 @@ 0 0 2 +2 +2 0xe0c0 0xe1a6554e 256 @@ -78974,6 +98718,8 @@ 0 0 0 +0 +2 2 0xdec 0x47c5be3a @@ -78983,6 +98729,8 @@ 0 0 4 +2 +4 0xcf73 0xb9cab4cd 256 @@ -78990,6 +98738,8 @@ 1 0 0 +3 +5 2 0x84ff 0xe86b4419 @@ -78999,6 +98749,8 @@ 1 0 3 +2 +3 0x9f99 0xe2e330e4 256 @@ -79006,6 +98758,8 @@ 1 0 0 +2 +0 1 0xd2b2 0x333a2dac @@ -79014,6 +98768,8 @@ 0 0 0 +1 +1 3 0x4e33 0x4dfade3 @@ -79022,6 +98778,8 @@ 0 0 0 +3 +4 2 0x6027 0x315254db @@ -79030,6 +98788,8 @@ 1 0 0 +3 +5 2 0xa25e 0x799c0bb7 @@ -79038,6 +98798,8 @@ 0 0 0 +4 +2 1 0x113c 0x3d5aa74 @@ -79047,6 +98809,8 @@ 1 0 4 +4 +4 0xd671 0xe056ad5f 256 @@ -79054,6 +98818,8 @@ 1 0 0 +4 +3 2 0xe95b 0xf06cbc67 @@ -79062,6 +98828,8 @@ 1 1 0 +4 +1 2 0x82bd 0x22611204 @@ -79071,6 +98839,8 @@ 0 0 3 +2 +3 0x6692 0xe85fd965 256 @@ -79078,6 +98848,8 @@ 0 0 0 +0 +1 1 0x6a99 0xae2918 @@ -79086,6 +98858,8 @@ 0 0 0 +1 +3 2 0x829d 0xc390510b @@ -79095,6 +98869,8 @@ 0 0 1 +0 +1 0xe9fe 0xed217135 256 @@ -79102,6 +98878,8 @@ 1 0 0 +5 +0 2 0xc10e 0x4d27f0a1 @@ -79110,6 +98888,8 @@ 1 0 0 +2 +3 4 0xc05f 0x73a4a8f @@ -79118,6 +98898,8 @@ 1 0 0 +4 +0 2 0x4601 0x661fef37 @@ -79127,6 +98909,8 @@ 0 0 4 +1 +4 0xd0 0x3e30516a 256 @@ -79134,6 +98918,8 @@ 0 0 0 +2 +5 1 0x7cce 0xfc29e628 @@ -79142,6 +98928,8 @@ 0 0 0 +3 +3 1 0xced8 0xd2a2ba9a @@ -79150,6 +98938,8 @@ 0 0 0 +1 +3 3 0xf84a 0x9a7520ca @@ -79159,6 +98949,8 @@ 0 0 4 +0 +4 0x59af 0x72521e72 256 @@ -79166,6 +98958,8 @@ 0 0 0 +5 +3 4 0x5e3a 0x7b2e6429 @@ -79174,6 +98968,8 @@ 0 0 0 +3 +0 2 0xad61 0xda51dc28 @@ -79183,6 +98979,8 @@ 0 0 1 +2 +1 0x90e9 0x90c6d82d 256 @@ -79190,6 +98988,8 @@ 0 0 0 +0 +5 1 0xaf49 0xeca972ba @@ -79199,6 +98999,8 @@ 0 0 4 +4 +4 0x1cda 0x88eeb42a 256 @@ -79206,6 +99008,8 @@ 1 1 0 +3 +3 4 0xbd6a 0xc1e26b2 @@ -79214,6 +99018,8 @@ 0 0 0 +2 +3 3 0x7909 0x4a2a3b3a @@ -79222,6 +99028,8 @@ 0 0 0 +1 +4 3 0x8ff 0x19180887 @@ -79230,6 +99038,8 @@ 0 0 0 +5 +4 3 0x1c25 0xd7fea13b @@ -79239,6 +99049,8 @@ 1 0 4 +2 +4 0xb3c6 0x98dd1c9 256 @@ -79246,6 +99058,8 @@ 0 0 0 +2 +5 1 0x6f01 0x9f546ca @@ -79254,6 +99068,8 @@ 0 0 0 +1 +4 3 0x473e 0x2f618c7e @@ -79262,6 +99078,8 @@ 1 0 0 +4 +2 2 0xa0fe 0x3a0b371f @@ -79270,6 +99088,8 @@ 0 0 0 +2 +4 1 0xc7d2 0xd5430db7 @@ -79278,6 +99098,8 @@ 0 0 0 +2 +4 3 0xa4f6 0x43773a59 @@ -79286,6 +99108,8 @@ 0 0 0 +5 +5 4 0x20c 0x4750e64e @@ -79295,6 +99119,8 @@ 0 0 4 +2 +4 0x6eb4 0x7df6e962 256 @@ -79302,6 +99128,8 @@ 1 0 0 +1 +4 3 0x47c6 0xf55a8f73 @@ -79310,6 +99138,8 @@ 0 0 0 +2 +1 3 0xf185 0xf33e93dc @@ -79318,6 +99148,8 @@ 0 0 0 +1 +5 2 0xe86b 0xb3660 @@ -79326,6 +99158,8 @@ 0 0 0 +0 +1 3 0xd72a 0xe134c3b3 @@ -79334,6 +99168,8 @@ 0 0 0 +1 +3 3 0x147d 0x842f5beb @@ -79342,6 +99178,8 @@ 0 0 0 +2 +0 1 0x2c89 0x23af3059 @@ -79351,6 +99189,8 @@ 1 0 2 +0 +2 0x57da 0x91b7af2a 256 @@ -79358,6 +99198,8 @@ 0 0 0 +5 +2 2 0x78bb 0x57c54221 @@ -79366,6 +99208,8 @@ 0 0 0 +5 +5 1 0xcd64 0xc7e74078 @@ -79374,6 +99218,8 @@ 0 0 0 +1 +3 3 0x9491 0x165200d1 @@ -79382,6 +99228,8 @@ 0 0 0 +0 +0 1 0x5507 0x248aef24 @@ -79390,6 +99238,8 @@ 1 1 0 +4 +4 3 0xcb03 0xd54be8cf @@ -79398,6 +99248,8 @@ 0 0 0 +3 +3 1 0x9f1f 0x72cd1b81 @@ -79406,6 +99258,8 @@ 0 0 0 +3 +2 2 0x60a 0x8d616567 @@ -79414,6 +99268,8 @@ 1 1 0 +3 +5 4 0x572 0x46de376e @@ -79422,6 +99278,8 @@ 1 0 0 +2 +3 3 0xbf54 0x1a469cab @@ -79430,6 +99288,8 @@ 1 0 0 +2 +5 4 0xb7f2 0x39dfb496 @@ -79439,6 +99299,8 @@ 1 0 4 +3 +4 0x167b 0x589b6fd0 256 @@ -79446,6 +99308,8 @@ 0 0 0 +0 +5 2 0x2f2a 0xf4acbea3 @@ -79454,6 +99318,8 @@ 1 1 0 +5 +1 4 0x715e 0xad4355b2 @@ -79462,6 +99328,8 @@ 0 0 0 +5 +3 2 0xdd10 0x1488b65f @@ -79470,6 +99338,8 @@ 0 0 0 +5 +3 1 0xc323 0xac1e6fd5 @@ -79479,6 +99349,8 @@ 0 0 3 +4 +3 0x95c5 0x3cca4a 256 @@ -79486,6 +99358,8 @@ 1 1 0 +4 +3 2 0x56cf 0xaa7e1d80 @@ -79494,6 +99368,8 @@ 0 0 0 +1 +4 3 0x46e9 0xb5ae97f0 @@ -79502,6 +99378,8 @@ 1 0 0 +2 +5 3 0xe9c5 0xc2098cca @@ -79510,6 +99388,8 @@ 1 1 0 +2 +2 1 0xf497 0x397a9ec9 @@ -79518,6 +99398,8 @@ 1 0 0 +1 +5 2 0xf23f 0x28302808 @@ -79526,6 +99408,8 @@ 1 0 0 +2 +3 3 0x289d 0xa8a27125 @@ -79534,6 +99418,8 @@ 0 0 0 +2 +5 1 0xac90 0x97859a2e @@ -79542,6 +99428,8 @@ 0 0 0 +2 +3 4 0xb2aa 0xa53c7af4 @@ -79550,6 +99438,8 @@ 1 1 0 +4 +4 3 0x3175 0xc17cb0b6 @@ -79558,6 +99448,8 @@ 1 0 0 +2 +1 3 0x83d7 0xa5364558 @@ -79566,6 +99458,8 @@ 0 0 0 +0 +3 4 0x7458 0x7e1c096 @@ -79574,6 +99468,8 @@ 0 0 0 +1 +3 2 0xd476 0xb0e13df7 @@ -79582,6 +99478,8 @@ 1 0 0 +5 +1 3 0xe0bd 0x9cec4b8b @@ -79590,6 +99488,8 @@ 0 0 0 +0 +4 2 0xba56 0xf6e1c47d @@ -79598,6 +99498,8 @@ 1 0 0 +3 +4 4 0x8c75 0xb3de61cd @@ -79606,6 +99508,8 @@ 0 0 0 +1 +5 4 0x2d13 0xad90158b @@ -79615,6 +99519,8 @@ 0 0 3 +4 +3 0x749d 0xe387ea2f 256 @@ -79622,6 +99528,8 @@ 1 1 0 +5 +3 2 0xc4 0x941a95a3 @@ -79630,6 +99538,8 @@ 0 0 0 +0 +3 4 0x1901 0xcef64560 @@ -79638,6 +99548,8 @@ 0 0 0 +3 +3 2 0x5d49 0x7a62e4b0 @@ -79647,6 +99559,8 @@ 1 0 1 +4 +1 0xf8cd 0x9709b1ed 256 @@ -79654,6 +99568,8 @@ 1 0 0 +3 +4 4 0xb3bb 0xe452c9dc @@ -79662,6 +99578,8 @@ 0 0 0 +3 +0 1 0x2083 0x852f7b6c @@ -79670,6 +99588,8 @@ 1 0 0 +3 +3 2 0x25c7 0x220c49d5 @@ -79678,6 +99598,8 @@ 1 1 0 +2 +2 1 0x7fed 0xa1b67371 @@ -79686,6 +99608,8 @@ 1 0 0 +2 +0 1 0x1d43 0xf7b50c55 @@ -79694,6 +99618,8 @@ 1 0 0 +0 +1 1 0xa229 0xa2f83122 @@ -79702,6 +99628,8 @@ 0 0 0 +0 +3 4 0xc88d 0x90a10523 @@ -79710,6 +99638,8 @@ 1 1 0 +5 +1 2 0x4a65 0x366b6725 @@ -79719,6 +99649,8 @@ 0 0 2 +5 +2 0x5a8e 0x381f96a4 256 @@ -79726,6 +99658,8 @@ 0 0 0 +0 +4 4 0x7752 0x4a1ee4cf @@ -79734,6 +99668,8 @@ 1 1 0 +3 +1 1 0x2489 0xf310afff @@ -79742,6 +99678,8 @@ 1 1 0 +3 +2 4 0xcc68 0xad438115 @@ -79750,6 +99688,8 @@ 0 0 0 +2 +5 3 0x923b 0xb0456076 @@ -79759,6 +99699,8 @@ 0 0 3 +4 +3 0xdca3 0xf4d4d876 256 @@ -79766,6 +99708,8 @@ 1 1 0 +3 +2 4 0x53c3 0x1eac39d6 @@ -79775,6 +99719,8 @@ 1 0 2 +4 +2 0xc350 0xfd77aa7b 256 @@ -79782,6 +99728,8 @@ 1 0 0 +0 +0 3 0xc7b4 0x1d46c9ab @@ -79790,6 +99738,8 @@ 1 1 0 +1 +1 4 0x7288 0x4c71736b @@ -79798,6 +99748,8 @@ 0 0 0 +5 +0 2 0x3f6 0xc9fcbedf @@ -79806,6 +99758,8 @@ 1 0 0 +5 +1 3 0x10e2 0x1e19cdb0 @@ -79814,6 +99768,8 @@ 0 0 0 +4 +3 1 0x802a 0xca029d1d @@ -79822,6 +99778,8 @@ 0 0 0 +1 +5 3 0x427 0xe702e7cb @@ -79830,6 +99788,8 @@ 0 0 0 +5 +4 2 0x36be 0x4e89a52c @@ -79838,6 +99798,8 @@ 1 0 0 +2 +4 4 0x7f44 0x4e36eb1b @@ -79846,6 +99808,8 @@ 1 0 0 +4 +0 1 0x4d86 0xa666e9bc @@ -79854,6 +99818,8 @@ 0 0 0 +3 +2 4 0xcdbd 0xded7d48 @@ -79862,6 +99828,8 @@ 1 1 0 +4 +4 2 0x72ae 0xc6790175 @@ -79871,6 +99839,8 @@ 0 0 1 +0 +1 0xa021 0xca75299 256 @@ -79878,6 +99848,8 @@ 1 0 0 +5 +2 2 0x8d72 0x27042ff8 @@ -79887,6 +99859,8 @@ 0 0 4 +2 +4 0xd46a 0xf3c40310 256 @@ -79894,6 +99868,8 @@ 0 0 0 +2 +5 1 0x2e91 0xd9c9b21a @@ -79903,6 +99879,8 @@ 0 0 1 +3 +1 0x8125 0x3846fe07 256 @@ -79911,6 +99889,8 @@ 0 0 4 +0 +4 0xcbc5 0x6a2e2e80 256 @@ -79918,6 +99898,8 @@ 0 0 0 +5 +1 3 0x55c 0x52a8be96 @@ -79927,6 +99909,8 @@ 0 0 1 +2 +1 0xb74f 0xcd09f3dd 256 @@ -79934,6 +99918,8 @@ 1 0 0 +4 +1 2 0x42c9 0x7d4bf062 @@ -79942,6 +99928,8 @@ 1 1 0 +0 +0 2 0x47a3 0x891c89e9 @@ -79950,6 +99938,8 @@ 0 0 0 +3 +4 4 0xd2b 0x291e6f1f @@ -79959,6 +99949,8 @@ 0 0 1 +0 +1 0x4aff 0xf8c2330e 256 @@ -79966,6 +99958,8 @@ 1 1 0 +2 +1 4 0x457f 0x5a0ab284 @@ -79974,6 +99968,8 @@ 0 0 0 +1 +2 4 0x7369 0xc83f1c09 @@ -79983,6 +99979,8 @@ 0 0 4 +2 +4 0x8960 0x1c9fac5e 256 @@ -79990,6 +99988,8 @@ 0 0 0 +5 +4 1 0x392f 0xa6157a73 @@ -79998,6 +99998,8 @@ 0 0 0 +5 +4 4 0x5805 0x589e7d04 @@ -80006,6 +100008,8 @@ 0 0 0 +4 +5 2 0xe5e5 0x28a4da79 @@ -80015,6 +100019,8 @@ 0 0 4 +5 +4 0x80f9 0xfa8117f2 256 @@ -80022,6 +100028,8 @@ 0 0 0 +0 +0 1 0x913c 0xad97cfa5 @@ -80031,6 +100039,8 @@ 0 0 2 +0 +2 0x63ec 0x404fce08 256 @@ -80038,6 +100048,8 @@ 1 0 0 +2 +3 1 0x17a3 0x226b2746 @@ -80047,6 +100059,8 @@ 0 0 2 +0 +2 0xabc2 0x5266c9c5 256 @@ -80054,6 +100068,8 @@ 1 0 0 +1 +4 2 0xb2fa 0x79be6c61 @@ -80062,6 +100078,8 @@ 1 0 0 +5 +1 2 0x4f4a 0xc23e972 @@ -80070,6 +100088,8 @@ 1 1 0 +2 +5 4 0xf426 0x757417cb @@ -80079,6 +100099,8 @@ 0 0 1 +2 +1 0x2f1a 0xd023f731 256 @@ -80086,6 +100108,8 @@ 1 0 0 +5 +5 3 0xe4d8 0x5b315ac1 @@ -80095,6 +100119,8 @@ 1 0 3 +4 +3 0x65d6 0xc21c5ba9 256 @@ -80102,6 +100128,8 @@ 1 0 0 +4 +2 2 0xa877 0xefdfd4b7 @@ -80110,6 +100138,8 @@ 0 0 0 +3 +5 4 0xc837 0xc5c9321b @@ -80118,6 +100148,8 @@ 0 0 0 +3 +4 4 0xab44 0x6dde4ead @@ -80126,6 +100158,8 @@ 0 0 0 +1 +2 2 0x60be 0xe0901521 @@ -80134,6 +100168,8 @@ 1 1 0 +4 +4 1 0x6173 0x5318eef7 @@ -80142,6 +100178,8 @@ 1 0 0 +0 +3 4 0xb985 0xa43a9ff3 @@ -80150,6 +100188,8 @@ 0 0 0 +1 +2 3 0x64cf 0xbf33cd7b @@ -80158,6 +100198,8 @@ 0 0 0 +3 +0 1 0xe497 0xdb513e94 @@ -80166,6 +100208,8 @@ 0 0 0 +1 +2 4 0xcfdd 0xeff0bd4c @@ -80174,6 +100218,8 @@ 1 0 0 +1 +5 4 0x89e2 0x1c205633 @@ -80182,6 +100228,8 @@ 0 0 0 +1 +1 4 0x9dea 0x6f9dcb72 @@ -80190,6 +100238,8 @@ 1 0 0 +5 +4 1 0x2b58 0x9fbc1c0f @@ -80198,6 +100248,8 @@ 0 0 0 +1 +4 3 0x33dd 0x2e4c73a9 @@ -80207,6 +100259,8 @@ 0 0 4 +4 +4 0x6ca7 0x55390f3b 256 @@ -80214,6 +100268,8 @@ 0 0 0 +5 +0 1 0x7979 0xf481704b @@ -80222,6 +100278,8 @@ 1 0 0 +0 +2 2 0x2058 0x1fb3fa79 @@ -80231,6 +100289,8 @@ 1 0 1 +1 +1 0xc2ff 0xf0747838 256 @@ -80238,6 +100298,8 @@ 0 0 0 +1 +0 3 0x1899 0x891d966c @@ -80246,6 +100308,8 @@ 1 0 0 +4 +1 1 0x3137 0xd963b8b9 @@ -80254,6 +100318,8 @@ 0 0 0 +0 +5 1 0x370e 0x1881c0b9 @@ -80262,6 +100328,8 @@ 1 1 0 +4 +2 1 0x6783 0x83685df7 @@ -80271,6 +100339,8 @@ 1 0 4 +1 +4 0x5eb4 0x47113805 256 @@ -80279,6 +100349,8 @@ 0 0 4 +0 +4 0xe7 0x7db295b9 256 @@ -80286,6 +100358,8 @@ 0 0 0 +3 +2 2 0xfe30 0x75589281 @@ -80294,6 +100368,8 @@ 0 0 0 +2 +3 4 0xb393 0x627e9a55 @@ -80302,6 +100378,8 @@ 0 0 0 +1 +3 3 0x5084 0x32813b6e @@ -80310,6 +100388,8 @@ 1 1 0 +0 +5 2 0xe622 0xbea962f6 @@ -80319,6 +100399,8 @@ 0 0 1 +0 +1 0x396b 0x87184a16 256 @@ -80326,6 +100408,8 @@ 1 0 0 +1 +0 2 0xd361 0x644f21ad @@ -80334,6 +100418,8 @@ 1 1 0 +1 +0 4 0x2730 0x4a138 @@ -80342,6 +100428,8 @@ 0 0 0 +1 +3 2 0xf48 0x3288009d @@ -80351,6 +100439,8 @@ 0 0 2 +5 +2 0xa542 0x4da836f8 256 @@ -80358,6 +100448,8 @@ 0 0 0 +1 +5 4 0x8179 0xa12193f4 @@ -80366,6 +100458,8 @@ 1 1 0 +5 +3 3 0x5b6b 0x9139fead @@ -80374,6 +100468,8 @@ 1 1 0 +3 +0 4 0x8e3a 0x1b14e271 @@ -80382,6 +100478,8 @@ 0 0 0 +5 +3 4 0xb837 0x8255a22e @@ -80390,6 +100488,8 @@ 0 0 0 +5 +2 1 0xf869 0x98ad61bd @@ -80398,6 +100498,8 @@ 1 0 0 +1 +4 4 0x15e0 0xdffcaa2b @@ -80406,6 +100508,8 @@ 1 0 0 +3 +0 4 0xf82c 0x10cddb62 @@ -80414,6 +100518,8 @@ 1 0 0 +2 +5 1 0xa227 0x17125e44 @@ -80423,6 +100529,8 @@ 0 0 4 +4 +4 0x7fa4 0xf3c73c27 256 @@ -80430,6 +100538,8 @@ 1 0 0 +3 +1 2 0xb592 0x28363d24 @@ -80438,6 +100548,8 @@ 1 0 0 +4 +0 3 0xc105 0xbce6e02f @@ -80446,6 +100558,8 @@ 0 0 0 +0 +0 3 0x312 0xb9cedfb4 @@ -80454,6 +100568,8 @@ 1 0 0 +4 +5 3 0xd825 0x97017fe3 @@ -80463,6 +100579,8 @@ 0 0 3 +4 +3 0xad03 0x78a544d3 256 @@ -80471,6 +100589,8 @@ 0 0 2 +1 +2 0x2da7 0xd9db41de 256 @@ -80478,6 +100598,8 @@ 0 0 0 +4 +3 1 0x7294 0xf3892c2d @@ -80486,6 +100608,8 @@ 0 0 0 +0 +1 2 0xad48 0x1b51386d @@ -80494,6 +100618,8 @@ 0 0 0 +3 +2 2 0x3779 0xc2d36ee6 @@ -80502,6 +100628,8 @@ 1 1 0 +1 +2 3 0xa4c 0x428178a3 @@ -80510,6 +100638,8 @@ 0 0 0 +3 +2 1 0xf661 0xa3b2e4de @@ -80518,6 +100648,8 @@ 0 0 0 +5 +4 3 0x10ed 0x83440f0e @@ -80526,6 +100658,8 @@ 1 0 0 +2 +1 4 0xcacd 0x6577fd87 @@ -80534,6 +100668,8 @@ 1 0 0 +1 +3 4 0xd89b 0xd58aad93 @@ -80542,6 +100678,8 @@ 0 0 0 +0 +4 1 0xe7af 0x3d08c0b3 @@ -80550,6 +100688,8 @@ 1 1 0 +2 +2 4 0x3b23 0xc450d952 @@ -80558,6 +100698,8 @@ 0 0 0 +1 +0 4 0x578a 0x8fd9f57d @@ -80566,6 +100708,8 @@ 1 1 0 +4 +3 1 0x4400 0x9f9c6873 @@ -80574,6 +100718,8 @@ 0 0 0 +2 +0 3 0x56fd 0x19701e85 @@ -80582,6 +100728,8 @@ 1 0 0 +1 +1 4 0x1ea 0xce100a63 @@ -80590,6 +100738,8 @@ 1 1 0 +5 +1 3 0x389 0x7b9e6261 @@ -80599,6 +100749,8 @@ 0 0 1 +5 +1 0xa2ed 0xc6fb4969 256 @@ -80606,6 +100758,8 @@ 1 1 0 +1 +3 3 0x865b 0xaf12bcbd @@ -80614,6 +100768,8 @@ 0 0 0 +4 +2 1 0xfc45 0x9e48caaf @@ -80622,6 +100778,8 @@ 1 1 0 +4 +5 3 0x808 0xb8c73853 @@ -80630,6 +100788,8 @@ 0 0 0 +5 +5 2 0xa6a8 0x96fd2fbe @@ -80639,6 +100799,8 @@ 0 0 4 +4 +4 0x53b4 0xe6c1cc2d 256 @@ -80646,6 +100808,8 @@ 0 0 0 +4 +2 3 0xef42 0x97959c5 @@ -80654,6 +100818,8 @@ 1 1 0 +0 +5 2 0xfb6e 0x8ee63d2a @@ -80663,6 +100829,8 @@ 0 0 2 +5 +2 0x2812 0xad549003 256 @@ -80670,6 +100838,8 @@ 1 0 0 +4 +5 3 0x436 0xc624c4dc @@ -80678,6 +100848,8 @@ 1 1 0 +1 +0 2 0x6129 0xb4190eb4 @@ -80687,6 +100859,8 @@ 0 0 3 +0 +3 0x3bd7 0xa670ee66 256 @@ -80694,6 +100868,8 @@ 0 0 0 +0 +0 1 0xfb51 0x99b1ad64 @@ -80702,6 +100878,8 @@ 0 0 0 +4 +3 2 0x8621 0xf2893f24 @@ -80710,6 +100888,8 @@ 0 0 0 +2 +4 3 0xc5ef 0x3d181e3d @@ -80718,6 +100898,8 @@ 1 0 0 +0 +1 4 0x319c 0x2d84f9b5 @@ -80726,6 +100908,8 @@ 0 0 0 +0 +3 2 0x8afe 0x866bffd7 @@ -80734,6 +100918,8 @@ 1 1 0 +3 +3 4 0x4c4e 0xd59de8a3 @@ -80743,6 +100929,8 @@ 1 0 3 +5 +3 0xfb89 0xbc880234 256 @@ -80750,6 +100938,8 @@ 1 0 0 +3 +1 2 0xc522 0xb566032f @@ -80758,6 +100948,8 @@ 1 0 0 +5 +4 2 0x7fc2 0xf4650161 @@ -80766,6 +100958,8 @@ 0 0 0 +2 +1 4 0x33c 0x28941528 @@ -80774,6 +100968,8 @@ 1 0 0 +4 +0 2 0x942 0x1b849ce2 @@ -80782,6 +100978,8 @@ 0 0 0 +3 +2 4 0x6672 0x4c6d3287 @@ -80790,6 +100988,8 @@ 1 1 0 +0 +4 4 0xec9f 0x28b9e8b7 @@ -80798,6 +100998,8 @@ 1 1 0 +0 +0 4 0xe241 0x258172cc @@ -80806,6 +101008,8 @@ 1 1 0 +0 +1 4 0x9810 0xdba38849 @@ -80815,6 +101019,8 @@ 0 0 2 +3 +2 0x59b4 0xd06d30e0 256 @@ -80822,6 +101028,8 @@ 1 0 0 +1 +0 2 0x3e8f 0x4eb35f98 @@ -80830,6 +101038,8 @@ 1 1 0 +1 +5 2 0xbb3a 0xddb9f726 @@ -80838,6 +101048,8 @@ 1 1 0 +5 +1 2 0x6519 0x7c1f9d70 @@ -80846,6 +101058,8 @@ 0 0 0 +2 +1 3 0x9b18 0xfd49a849 @@ -80854,6 +101068,8 @@ 1 0 0 +3 +0 1 0x907 0x9afab3df @@ -80862,6 +101078,8 @@ 0 0 0 +0 +4 3 0x529c 0xcce4f66b @@ -80870,6 +101088,8 @@ 0 0 0 +3 +4 4 0xf06d 0x7e8cd00d @@ -80878,6 +101098,8 @@ 0 0 0 +3 +1 2 0x94e7 0x42e08be8 @@ -80886,6 +101108,8 @@ 0 0 0 +0 +1 1 0x4e62 0x657ca3d5 @@ -80894,6 +101118,8 @@ 1 0 0 +5 +1 2 0x37b5 0x722d25c5 @@ -80902,6 +101128,8 @@ 1 1 0 +3 +5 4 0xe9e1 0xcc956cd0 @@ -80911,6 +101139,8 @@ 1 0 1 +0 +1 0x5f19 0x19aad874 256 @@ -80918,6 +101148,8 @@ 1 0 0 +5 +3 4 0x7804 0xaaafc25 @@ -80926,6 +101158,8 @@ 0 0 0 +2 +5 1 0xde39 0xcc0e9dab @@ -80934,6 +101168,8 @@ 1 0 0 +0 +3 2 0x731f 0xdd20843 @@ -80942,6 +101178,8 @@ 0 0 0 +0 +1 2 0xc28b 0x2574fbc7 @@ -80950,6 +101188,8 @@ 1 1 0 +1 +5 2 0x56e 0x45d4173b @@ -80958,6 +101198,8 @@ 0 0 0 +5 +2 1 0x9328 0xaf4af59c @@ -80966,6 +101208,8 @@ 1 1 0 +3 +4 4 0x5f71 0xc580f00e @@ -80974,6 +101218,8 @@ 0 0 0 +2 +4 1 0x10e1 0xc7a721f5 @@ -80983,6 +101229,8 @@ 0 0 1 +2 +1 0xb5e0 0x27abbc87 256 @@ -80990,6 +101238,8 @@ 0 0 0 +1 +4 2 0x4ab9 0x74c98203 @@ -80998,6 +101248,8 @@ 1 1 0 +1 +0 2 0xdbf2 0x5ce8d9ec @@ -81006,6 +101258,8 @@ 1 0 0 +2 +4 4 0xd33 0x885857df @@ -81014,6 +101268,8 @@ 1 0 0 +2 +5 4 0xc144 0xee64bef5 @@ -81022,6 +101278,8 @@ 0 0 0 +2 +4 3 0x3ce4 0xf07909d7 @@ -81030,6 +101288,8 @@ 1 1 0 +4 +5 1 0x44f1 0x6cd1b516 @@ -81038,6 +101298,8 @@ 1 0 0 +1 +2 3 0x283b 0xcc577453 @@ -81047,6 +101309,8 @@ 1 0 1 +0 +1 0xd44f 0x4d05c85a 256 @@ -81054,6 +101318,8 @@ 0 0 0 +2 +1 4 0xb084 0xffc162f7 @@ -81062,6 +101328,8 @@ 0 0 0 +3 +5 2 0x54ea 0x9494cf3d @@ -81070,6 +101338,8 @@ 0 0 0 +5 +4 3 0x417d 0xc0a8fed4 @@ -81078,6 +101348,8 @@ 0 0 0 +4 +0 1 0x7ebc 0xc9df5cee @@ -81086,6 +101358,8 @@ 1 1 0 +5 +1 3 0xf28d 0x83d32865 @@ -81094,6 +101368,8 @@ 0 0 0 +3 +5 1 0xcae9 0xfd206681 @@ -81102,6 +101378,8 @@ 0 0 0 +2 +4 1 0x56b1 0xbf51d93f @@ -81110,6 +101388,8 @@ 1 1 0 +2 +4 3 0x7c7 0x23d831f5 @@ -81118,6 +101398,8 @@ 0 0 0 +4 +3 3 0xfc5c 0xbcaee7e8 @@ -81126,6 +101408,8 @@ 0 0 0 +3 +2 2 0xc50e 0x4cef03f6 @@ -81134,6 +101418,8 @@ 0 0 0 +5 +1 4 0x7b4f 0xe372926 @@ -81142,6 +101428,8 @@ 0 0 0 +5 +0 2 0xfc4e 0xd83b87b3 @@ -81150,6 +101438,8 @@ 1 1 0 +2 +3 3 0xa310 0xff271677 @@ -81159,6 +101449,8 @@ 0 0 4 +2 +4 0xce7f 0x4ff91094 256 @@ -81166,6 +101458,8 @@ 1 0 0 +3 +1 4 0x981b 0x271e68a2 @@ -81174,6 +101468,8 @@ 0 0 0 +1 +1 4 0xd404 0xc69a6b53 @@ -81183,6 +101479,8 @@ 0 0 1 +3 +1 0xedbf 0x53620a1b 256 @@ -81190,6 +101488,8 @@ 0 0 0 +5 +4 4 0x9922 0xde7c3706 @@ -81198,6 +101498,8 @@ 0 0 0 +1 +5 4 0x608d 0xb4e257bf @@ -81206,6 +101508,8 @@ 0 0 0 +0 +2 2 0xdcb5 0xcda8d3c4 @@ -81214,6 +101518,8 @@ 1 0 0 +2 +1 4 0x86e9 0x301956e2 @@ -81222,6 +101528,8 @@ 1 0 0 +2 +5 4 0x1fe3 0x3352d241 @@ -81231,6 +101539,8 @@ 0 0 4 +5 +4 0xcbe 0x3ba45efa 256 @@ -81238,6 +101548,8 @@ 1 0 0 +4 +5 2 0xc6e8 0x777c3a31 @@ -81246,6 +101558,8 @@ 0 0 0 +3 +4 1 0x73de 0xce71a158 @@ -81254,6 +101568,8 @@ 0 0 0 +1 +2 2 0xb792 0xc9443cab @@ -81262,6 +101578,8 @@ 0 0 0 +3 +0 4 0x4f8a 0x12dfe021 @@ -81270,6 +101588,8 @@ 0 0 0 +1 +2 3 0x7ce6 0x9103c7fa @@ -81278,6 +101598,8 @@ 0 0 0 +4 +5 1 0xfc57 0xc3d28e18 @@ -81286,6 +101608,8 @@ 0 0 0 +2 +0 1 0x1cde 0xddc929f4 @@ -81294,6 +101618,8 @@ 1 0 0 +2 +4 3 0x7eb1 0x5ef2e255 @@ -81302,6 +101628,8 @@ 0 0 0 +4 +1 2 0xe9f5 0xa6e481e1 @@ -81310,6 +101638,8 @@ 1 1 0 +4 +4 1 0xbb41 0xbc62d7b2 @@ -81318,6 +101648,8 @@ 1 0 0 +5 +0 4 0xa597 0x5db319ee @@ -81326,6 +101658,8 @@ 1 0 0 +3 +1 4 0x8e5b 0x680619c4 @@ -81334,6 +101668,8 @@ 0 0 0 +4 +2 2 0xc627 0x4ca1250f @@ -81342,6 +101678,8 @@ 1 0 0 +5 +4 2 0x1416 0xda2e4f48 @@ -81350,6 +101688,8 @@ 0 0 0 +3 +4 2 0x7731 0x610f8e92 @@ -81358,6 +101698,8 @@ 0 0 0 +0 +0 1 0x9bf2 0x4164332a @@ -81366,6 +101708,8 @@ 1 0 0 +4 +3 3 0x2f8b 0xd5e80e4 @@ -81374,6 +101718,8 @@ 0 0 0 +2 +4 1 0x2ea5 0xa4163c1 @@ -81382,6 +101728,8 @@ 1 1 0 +4 +1 1 0x5126 0x64a0ddc5 @@ -81391,6 +101739,8 @@ 1 0 3 +1 +3 0xa8e0 0x9ee689f8 256 @@ -81398,6 +101748,8 @@ 1 0 0 +1 +4 3 0xabdf 0xa53c6cef @@ -81406,6 +101758,8 @@ 1 1 0 +5 +4 4 0xc666 0x48246977 @@ -81414,6 +101768,8 @@ 1 1 0 +4 +4 1 0x41f3 0x470e1e96 @@ -81422,6 +101778,8 @@ 1 1 0 +3 +3 4 0x72f7 0xa2848eb8 @@ -81430,6 +101788,8 @@ 1 0 0 +3 +3 1 0x6db2 0xabb2fc7b @@ -81438,6 +101798,8 @@ 1 0 0 +4 +2 1 0xba3 0xd32efa4f @@ -81446,6 +101808,8 @@ 0 0 0 +3 +2 2 0x183e 0xc52e7b9b @@ -81454,6 +101818,8 @@ 1 1 0 +0 +5 1 0x2ff5 0x533026c3 @@ -81462,6 +101828,8 @@ 0 0 0 +0 +4 1 0x367f 0x5f6f8a78 @@ -81470,6 +101838,8 @@ 0 0 0 +2 +2 4 0x6395 0x2c3cc300 @@ -81478,6 +101848,8 @@ 0 0 0 +3 +2 2 0xa896 0x352dd26d @@ -81486,6 +101858,8 @@ 0 0 0 +5 +5 4 0x8e72 0x4651447 @@ -81494,6 +101868,8 @@ 0 0 0 +1 +0 3 0xc8d7 0x30a76c17 @@ -81502,6 +101878,8 @@ 0 0 0 +1 +5 3 0x402e 0xce30b3dc @@ -81510,6 +101888,8 @@ 1 0 0 +0 +5 1 0xff75 0xb209bd49 @@ -81518,6 +101898,8 @@ 0 0 0 +4 +0 2 0x4091 0x55b2597 @@ -81526,6 +101908,8 @@ 0 0 0 +5 +2 2 0x4854 0xc4f2ec03 @@ -81534,6 +101918,8 @@ 0 0 0 +5 +5 2 0x3258 0xb41229ca @@ -81542,6 +101928,8 @@ 0 0 0 +5 +1 1 0xc630 0xb231c474 @@ -81550,6 +101938,8 @@ 0 0 0 +0 +4 1 0x3990 0x5548875b @@ -81558,6 +101948,8 @@ 1 1 0 +4 +4 2 0x8a3b 0xfaa6b4ec @@ -81566,6 +101958,8 @@ 0 0 0 +2 +5 4 0x68a4 0x4dd0efca @@ -81575,6 +101969,8 @@ 0 0 2 +5 +2 0x9a85 0x2105d748 256 @@ -81582,6 +101978,8 @@ 0 0 0 +2 +2 1 0x8a7a 0x7b1a9fee @@ -81590,6 +101988,8 @@ 1 1 0 +1 +1 4 0x271f 0xf0afbd85 @@ -81598,6 +101998,8 @@ 1 0 0 +2 +1 3 0x211b 0x9aed765b @@ -81606,6 +102008,8 @@ 1 0 0 +4 +0 2 0x9e13 0x909c142 @@ -81615,6 +102019,8 @@ 1 0 3 +1 +3 0x1100 0x79158702 256 @@ -81622,6 +102028,8 @@ 1 0 0 +5 +5 2 0xe76f 0xaf2bf433 @@ -81630,6 +102038,8 @@ 1 0 0 +0 +3 2 0xbc3c 0x33647e12 @@ -81639,6 +102049,8 @@ 0 0 1 +3 +1 0x10e4 0x7f85d0b5 256 @@ -81646,6 +102058,8 @@ 0 0 0 +0 +5 1 0x1d86 0x7aadac31 @@ -81654,6 +102068,8 @@ 1 0 0 +5 +0 3 0x9acd 0x916e91bd @@ -81662,6 +102078,8 @@ 1 1 0 +3 +3 1 0x58c4 0x4b7a66ee @@ -81670,6 +102088,8 @@ 1 1 0 +3 +5 1 0xbc62 0x4ee86b13 @@ -81678,6 +102098,8 @@ 1 0 0 +4 +3 3 0xbe7e 0x8750a40d @@ -81686,6 +102108,8 @@ 0 0 0 +1 +5 4 0x8ecc 0xdbe7b98b @@ -81694,6 +102118,8 @@ 1 1 0 +0 +5 3 0x3863 0x8b8585db @@ -81702,6 +102128,8 @@ 0 0 0 +2 +3 3 0x2dcf 0xeb28e556 @@ -81711,6 +102139,8 @@ 0 0 1 +3 +1 0x7971 0x702b652a 256 @@ -81718,6 +102148,8 @@ 0 0 0 +4 +4 1 0x377c 0x1badd7d @@ -81726,6 +102158,8 @@ 1 1 0 +0 +1 2 0xdd 0x9ff52255 @@ -81734,6 +102168,8 @@ 1 1 0 +3 +0 1 0xe467 0xf9a3970 @@ -81742,6 +102178,8 @@ 1 1 0 +2 +2 3 0xa5a6 0x62c4212e @@ -81750,6 +102188,8 @@ 0 0 0 +2 +4 3 0x6177 0x9bd30e3b @@ -81758,6 +102198,8 @@ 0 0 0 +4 +2 1 0xe3a2 0xf743a08d @@ -81767,6 +102209,8 @@ 0 0 3 +4 +3 0xb1e1 0x968e75e3 256 @@ -81774,6 +102218,8 @@ 1 1 0 +0 +3 2 0x7ef8 0xfaf43b3b @@ -81782,6 +102228,8 @@ 0 0 0 +5 +5 1 0x4cef 0xa871598 @@ -81790,6 +102238,8 @@ 0 0 0 +0 +5 1 0xf208 0xc507127d @@ -81798,6 +102248,8 @@ 0 0 0 +3 +4 2 0xee5d 0x6b55e161 @@ -81806,6 +102258,8 @@ 1 0 0 +3 +2 4 0xb253 0x4a81d8fb @@ -81815,6 +102269,8 @@ 0 0 1 +3 +1 0xe07a 0x45ae42d8 256 @@ -81823,6 +102279,8 @@ 1 0 1 +0 +1 0x33a8 0x26daffeb 256 @@ -81830,6 +102288,8 @@ 1 1 0 +2 +5 3 0x5b2b 0x6e512353 @@ -81838,6 +102298,8 @@ 0 0 0 +4 +3 1 0x2f46 0x3cc8795d @@ -81846,6 +102308,8 @@ 0 0 0 +1 +3 4 0x3faf 0xf3ab1fec @@ -81855,6 +102319,8 @@ 0 0 3 +1 +3 0xc682 0x13edae2b 256 @@ -81862,6 +102328,8 @@ 0 0 0 +4 +2 3 0x5dda 0x11b4543b @@ -81870,6 +102338,8 @@ 1 0 0 +3 +2 2 0x9845 0xecb49949 @@ -81878,6 +102348,8 @@ 1 1 0 +5 +4 1 0x7cc2 0x2d85d970 @@ -81886,6 +102358,8 @@ 1 0 0 +4 +3 2 0xe91f 0xa37c7d41 @@ -81895,6 +102369,8 @@ 0 0 3 +2 +3 0x2f77 0x81bea733 256 @@ -81902,6 +102378,8 @@ 1 1 0 +2 +2 4 0x6ec0 0x5160547f @@ -81910,6 +102388,8 @@ 0 0 0 +3 +5 1 0x2e5d 0xee446a9f @@ -81918,6 +102398,8 @@ 1 0 0 +1 +2 2 0xffaf 0x634a8da1 @@ -81926,6 +102408,8 @@ 0 0 0 +4 +5 2 0xaba1 0x21b4288c @@ -81934,6 +102418,8 @@ 1 0 0 +3 +1 4 0xd6a6 0xf4e55597 @@ -81942,6 +102428,8 @@ 1 1 0 +3 +0 4 0xa7dc 0x219ce68f @@ -81950,6 +102438,8 @@ 0 0 0 +1 +4 2 0xa341 0x7c51beff @@ -81958,6 +102448,8 @@ 0 0 0 +1 +4 2 0xceba 0xfc349d34 @@ -81966,6 +102458,8 @@ 1 0 0 +4 +2 1 0x42c6 0xb006d837 @@ -81974,6 +102468,8 @@ 1 0 0 +1 +2 3 0x55c 0x26d4d55 @@ -81983,6 +102479,8 @@ 0 0 4 +3 +4 0x59f0 0xe153cd25 256 @@ -81990,6 +102488,8 @@ 0 0 0 +5 +4 4 0x64a7 0x570ceb6 @@ -81998,6 +102498,8 @@ 0 0 0 +3 +5 2 0x878d 0x3bfd2b0a @@ -82006,6 +102508,8 @@ 0 0 0 +2 +0 1 0x6fa3 0xcad1ed1b @@ -82015,6 +102519,8 @@ 0 0 4 +3 +4 0xc699 0xeee28b9d 256 @@ -82022,6 +102528,8 @@ 0 0 0 +2 +2 3 0x568f 0x30b490f8 @@ -82031,6 +102539,8 @@ 0 0 3 +3 +3 0xfadb 0x873a87c 256 @@ -82038,6 +102548,8 @@ 1 0 0 +3 +4 4 0xd777 0xf5c4bc41 @@ -82046,6 +102558,8 @@ 0 0 0 +5 +4 2 0xe9fe 0xe1bf4be3 @@ -82054,6 +102568,8 @@ 1 0 0 +1 +1 4 0xf4a2 0x71b47397 @@ -82063,6 +102579,8 @@ 0 0 1 +0 +1 0x5e7 0xe513407 256 @@ -82070,6 +102588,8 @@ 1 0 0 +4 +3 3 0x85d4 0x87c42779 @@ -82078,6 +102598,8 @@ 1 1 0 +5 +2 4 0x8e4e 0x1e2fe382 @@ -82086,6 +102608,8 @@ 0 0 0 +5 +0 3 0x30 0x99dd4ab1 @@ -82095,6 +102619,8 @@ 0 0 1 +0 +1 0x46ae 0xd086462 256 @@ -82102,6 +102628,8 @@ 0 0 0 +4 +4 1 0x5173 0x9623ef30 @@ -82110,6 +102638,8 @@ 0 0 0 +4 +3 3 0x5ca0 0xd95d6db1 @@ -82118,6 +102648,8 @@ 1 0 0 +3 +4 2 0xb866 0xeb86bb68 @@ -82126,6 +102658,8 @@ 1 0 0 +4 +1 1 0x7fa7 0xb11d4f1e @@ -82134,6 +102668,8 @@ 1 0 0 +5 +1 2 0x9e2e 0xb482f216 @@ -82142,6 +102678,8 @@ 1 1 0 +4 +3 2 0x3435 0x98ce448e @@ -82150,6 +102688,8 @@ 1 0 0 +0 +5 4 0xfbcf 0x15724869 @@ -82158,6 +102698,8 @@ 1 0 0 +0 +1 2 0xa5a0 0x5c837bbc @@ -82166,6 +102708,8 @@ 1 1 0 +0 +3 1 0x34f6 0x28c372c8 @@ -82174,6 +102718,8 @@ 0 0 0 +4 +1 1 0xaac 0xabc27223 @@ -82182,6 +102728,8 @@ 1 1 0 +3 +3 4 0x6ccc 0x6b40773d @@ -82190,6 +102738,8 @@ 0 0 0 +1 +2 4 0x8374 0xb81f86eb @@ -82198,6 +102748,8 @@ 0 0 0 +3 +1 4 0xc2d1 0xe067a8a1 @@ -82206,6 +102758,8 @@ 1 0 0 +4 +5 1 0x6429 0x44e34ba7 @@ -82215,6 +102769,8 @@ 0 0 4 +3 +4 0x97f4 0xd59ec56 256 @@ -82222,6 +102778,8 @@ 0 0 0 +1 +1 4 0x3686 0xbbef43ca @@ -82230,6 +102788,8 @@ 1 1 0 +5 +3 2 0x8f76 0x63c26b11 @@ -82239,6 +102799,8 @@ 0 0 1 +2 +1 0x2d63 0xfefd5baa 256 @@ -82246,6 +102808,8 @@ 0 0 0 +1 +4 2 0xc0d4 0x6afc58bc @@ -82254,6 +102818,8 @@ 1 1 0 +3 +5 4 0x108a 0x32805a79 @@ -82262,6 +102828,8 @@ 1 1 0 +2 +3 3 0xfe62 0x1b903fb1 @@ -82270,6 +102838,8 @@ 1 1 0 +2 +3 1 0x4ec1 0xc251439 @@ -82278,6 +102848,8 @@ 0 0 0 +5 +1 4 0xa2f4 0x5a1ed7be @@ -82286,6 +102858,8 @@ 0 0 0 +5 +4 4 0xe3a3 0x8b2683d @@ -82294,6 +102868,8 @@ 0 0 0 +1 +5 3 0x3173 0xfadf61f2 @@ -82302,6 +102878,8 @@ 1 1 0 +5 +0 3 0xf7b5 0x81e10909 @@ -82311,6 +102889,8 @@ 0 0 3 +4 +3 0x4c02 0xb544a965 256 @@ -82318,6 +102898,8 @@ 0 0 0 +0 +2 4 0x3a98 0x710502d3 @@ -82326,6 +102908,8 @@ 0 0 0 +4 +3 3 0x6276 0x8cf925f8 @@ -82335,6 +102919,8 @@ 0 0 1 +5 +1 0x7f0c 0x672a4ba3 256 @@ -82343,6 +102929,8 @@ 0 0 2 +5 +2 0xf1bb 0x3ace33fe 256 @@ -82350,6 +102938,8 @@ 0 0 0 +2 +1 4 0x3162 0x7b4df189 @@ -82358,6 +102948,8 @@ 1 0 0 +5 +3 4 0xa232 0xb38b82a4 @@ -82367,6 +102959,8 @@ 1 0 1 +0 +1 0xf1a1 0x83d2d56e 256 @@ -82375,6 +102969,8 @@ 1 0 3 +4 +3 0xfe69 0x303080a8 256 @@ -82382,6 +102978,8 @@ 0 0 0 +4 +0 2 0x1892 0x31ccf53b @@ -82390,6 +102988,8 @@ 0 0 0 +4 +0 2 0xd60d 0x66830f59 @@ -82398,6 +102998,8 @@ 1 1 0 +3 +3 1 0x1042 0x76eac30f @@ -82406,6 +103008,8 @@ 1 0 0 +0 +5 2 0xea17 0x5e6ec972 @@ -82415,6 +103019,8 @@ 0 0 1 +0 +1 0x9dca 0xfb07bcc8 256 @@ -82422,6 +103028,8 @@ 0 0 0 +0 +0 3 0x12f 0x56a78c5a @@ -82430,6 +103038,8 @@ 0 0 0 +4 +5 3 0x3305 0xdfd706fd @@ -82438,6 +103048,8 @@ 0 0 0 +5 +1 1 0xebd6 0x7affe1b6 @@ -82446,6 +103058,8 @@ 1 0 0 +5 +2 3 0xb44a 0xad5ee7b9 @@ -82454,6 +103068,8 @@ 0 0 0 +0 +0 3 0x71a2 0x7ff53078 @@ -82462,6 +103078,8 @@ 0 0 0 +1 +2 3 0x3109 0xafbe9bdc @@ -82470,6 +103088,8 @@ 1 0 0 +2 +4 4 0x4d9c 0x301b7167 @@ -82478,6 +103098,8 @@ 0 0 0 +2 +3 4 0x8520 0xc6ec503e @@ -82487,6 +103109,8 @@ 0 0 3 +3 +3 0x6539 0xefbc690b 256 @@ -82494,6 +103118,8 @@ 1 0 0 +3 +5 2 0x1f1a 0xa3add62c @@ -82502,6 +103128,8 @@ 1 1 0 +0 +5 3 0x1b93 0x7fa6fca0 @@ -82511,6 +103139,8 @@ 0 0 3 +5 +3 0x3066 0xa28c8685 256 @@ -82518,6 +103148,8 @@ 1 0 0 +0 +1 2 0xd267 0xbbb34dcf @@ -82526,6 +103158,8 @@ 0 0 0 +0 +2 3 0xa030 0x780f980c @@ -82535,6 +103169,8 @@ 0 0 4 +0 +4 0xdc2e 0x31718c9c 256 @@ -82542,6 +103178,8 @@ 1 0 0 +5 +4 3 0x6efa 0x9dc59a3f @@ -82550,6 +103188,8 @@ 1 1 0 +4 +2 1 0xceb9 0xa67f1d20 @@ -82559,6 +103199,8 @@ 0 0 2 +5 +2 0x54a2 0x2d35f830 256 @@ -82566,6 +103208,8 @@ 1 0 0 +5 +1 3 0x21e7 0xb6faf652 @@ -82574,6 +103218,8 @@ 0 0 0 +5 +2 1 0xe572 0x80ea791a @@ -82582,6 +103228,8 @@ 0 0 0 +1 +2 2 0x7960 0xa31c4545 @@ -82590,6 +103238,8 @@ 0 0 0 +0 +3 1 0xf33b 0x968be372 @@ -82599,6 +103249,8 @@ 0 0 4 +5 +4 0x652d 0x270b2963 256 @@ -82606,6 +103258,8 @@ 0 0 0 +2 +3 4 0x9cb9 0x870a2ca1 @@ -82614,6 +103268,8 @@ 0 0 0 +3 +0 2 0x80cc 0xc38971dd @@ -82623,6 +103279,8 @@ 0 0 4 +4 +4 0x741 0x4524e97d 256 @@ -82630,6 +103288,8 @@ 0 0 0 +4 +1 3 0x1d5c 0x35ba5438 @@ -82638,6 +103298,8 @@ 1 1 0 +5 +4 1 0x306b 0xbfcf7123 @@ -82646,6 +103308,8 @@ 1 0 0 +1 +2 4 0x92f9 0xe747b2f6 @@ -82654,6 +103318,8 @@ 1 0 0 +4 +1 1 0x2178 0xdb275de8 @@ -82662,6 +103328,8 @@ 1 1 0 +3 +2 1 0xb48c 0xdc5af159 @@ -82670,6 +103338,8 @@ 1 0 0 +0 +3 4 0x2c48 0x6534045d @@ -82678,6 +103348,8 @@ 0 0 0 +2 +0 3 0x56d3 0x43aa4be @@ -82687,6 +103359,8 @@ 1 0 1 +0 +1 0xb0e2 0x3bd1e491 256 @@ -82694,6 +103368,8 @@ 0 0 0 +1 +3 2 0x38f3 0xe0aa158d @@ -82702,6 +103378,8 @@ 0 0 0 +2 +0 4 0x21ed 0xc347b146 @@ -82710,6 +103388,8 @@ 1 0 0 +1 +3 3 0x78ba 0xb6494bc8 @@ -82718,6 +103398,8 @@ 1 0 0 +0 +0 4 0x46fd 0xc1dbdfef @@ -82726,6 +103408,8 @@ 1 1 0 +4 +0 1 0x7a4a 0xfa57caf9 @@ -82735,6 +103419,8 @@ 0 0 2 +4 +2 0x2794 0x118c49b1 256 @@ -82743,6 +103429,8 @@ 0 0 3 +5 +3 0x500c 0x9f4b34b7 256 @@ -82750,6 +103438,8 @@ 0 0 0 +2 +3 1 0x8ebd 0xffde558e @@ -82759,6 +103449,8 @@ 0 0 4 +2 +4 0xa671 0x27aa704a 256 @@ -82766,6 +103458,8 @@ 0 0 0 +3 +0 4 0x4958 0x4fe86920 @@ -82775,6 +103469,8 @@ 1 0 3 +5 +3 0xc826 0x83e50da3 256 @@ -82782,6 +103478,8 @@ 0 0 0 +0 +3 3 0xb2c5 0xbcc026e5 @@ -82791,6 +103489,8 @@ 0 0 1 +1 +1 0xc041 0x718c45f4 256 @@ -82798,6 +103498,8 @@ 0 0 0 +4 +2 1 0x9c04 0xf2ce1523 @@ -82806,6 +103508,8 @@ 0 0 0 +4 +2 2 0x7fa6 0x5e70d55b @@ -82814,6 +103518,8 @@ 1 1 0 +3 +0 4 0x4eb0 0x78fc0652 @@ -82822,6 +103528,8 @@ 0 0 0 +2 +3 1 0xd4b 0xc30c70d5 @@ -82830,6 +103538,8 @@ 1 0 0 +4 +1 1 0xa033 0xe42abc1b @@ -82838,6 +103548,8 @@ 0 0 0 +5 +1 2 0x3053 0xc38173b0 @@ -82846,6 +103558,8 @@ 1 1 0 +5 +2 2 0x7f90 0x3e73e70b @@ -82854,6 +103568,8 @@ 1 1 0 +4 +1 3 0xcbdc 0x3330d373 @@ -82862,6 +103578,8 @@ 1 1 0 +4 +0 3 0x4a9f 0x29ca7cf9 @@ -82870,6 +103588,8 @@ 0 0 0 +0 +5 3 0xef8 0x7364cab9 @@ -82878,6 +103598,8 @@ 1 1 0 +2 +3 4 0x6a65 0x8278207c @@ -82887,6 +103609,8 @@ 0 0 4 +2 +4 0xfb0c 0x9e707d8 256 @@ -82894,6 +103618,8 @@ 0 0 0 +0 +3 2 0x223b 0xf62f9ed2 @@ -82902,6 +103628,8 @@ 0 0 0 +5 +1 1 0x1024 0x3393041 @@ -82910,6 +103638,8 @@ 0 0 0 +1 +5 3 0x4bce 0x678dd7a2 @@ -82918,6 +103648,8 @@ 1 0 0 +4 +1 3 0x6894 0x195a6c5 @@ -82926,6 +103658,8 @@ 0 0 0 +0 +4 4 0x753b 0x2e25553a @@ -82934,6 +103668,8 @@ 0 0 0 +1 +2 4 0x6b80 0xd94fd243 @@ -82942,6 +103678,8 @@ 1 1 0 +0 +0 2 0x40b8 0x137c4172 @@ -82950,6 +103688,8 @@ 0 0 0 +5 +1 2 0xfbca 0xe3b2c33e @@ -82958,6 +103698,8 @@ 0 0 0 +5 +5 4 0x631d 0x7248b359 @@ -82966,6 +103708,8 @@ 0 0 0 +5 +5 4 0x9063 0xc189e025 @@ -82974,6 +103718,8 @@ 1 0 0 +1 +4 2 0x69e3 0x82be304d @@ -82982,6 +103728,8 @@ 0 0 0 +0 +1 1 0x3141 0xf599e51 @@ -82990,6 +103738,8 @@ 1 1 0 +1 +5 2 0xf31b 0x4c623792 @@ -82998,6 +103748,8 @@ 1 1 0 +2 +5 3 0x96eb 0xd1bc2ff1 @@ -83006,6 +103758,8 @@ 1 0 0 +1 +4 3 0xa91b 0x3931435 @@ -83014,6 +103768,8 @@ 0 0 0 +4 +0 1 0xa3b7 0xa5a2d32a @@ -83022,6 +103778,8 @@ 1 0 0 +0 +2 2 0x15cf 0xb54ad096 @@ -83030,6 +103788,8 @@ 1 0 0 +5 +3 4 0x8068 0xff46bbd3 @@ -83038,6 +103798,8 @@ 1 1 0 +1 +1 4 0x3f3a 0xc392f350 @@ -83046,6 +103808,8 @@ 0 0 0 +1 +3 2 0xb96d 0xb099a7bd @@ -83054,6 +103818,8 @@ 0 0 0 +2 +4 4 0x6321 0x1602da13 @@ -83062,6 +103828,8 @@ 0 0 0 +4 +2 2 0x5cb0 0x2d18af7e @@ -83070,6 +103838,8 @@ 0 0 0 +3 +0 1 0xb127 0x599219f9 @@ -83078,6 +103848,8 @@ 0 0 0 +5 +3 3 0xd58d 0xf96b366d @@ -83086,6 +103858,8 @@ 0 0 0 +0 +5 4 0xa7ae 0x9b7885e2 @@ -83095,6 +103869,8 @@ 1 0 4 +4 +4 0xc5f2 0x52c925c8 256 @@ -83102,6 +103878,8 @@ 0 0 0 +3 +2 1 0xee8d 0xe3dae155 @@ -83110,6 +103888,8 @@ 0 0 0 +5 +2 3 0xffff 0xd631a105 @@ -83119,6 +103899,8 @@ 0 0 2 +0 +2 0xa6ab 0x79f2f9a1 256 @@ -83126,6 +103908,8 @@ 0 0 0 +5 +0 2 0x3e88 0xcd5ab996 @@ -83134,6 +103918,8 @@ 1 0 0 +3 +3 2 0x9c04 0xc837c69b @@ -83142,6 +103928,8 @@ 1 0 0 +3 +5 4 0xe2a5 0x8a4a1dff @@ -83150,6 +103938,8 @@ 1 1 0 +0 +1 4 0xd01b 0x99907a91 @@ -83158,6 +103948,8 @@ 1 1 0 +0 +5 3 0xf0ef 0x1eb780a0 @@ -83166,6 +103958,8 @@ 1 0 0 +5 +0 3 0xe0e 0x3d0ce5bc @@ -83175,6 +103969,8 @@ 0 0 1 +2 +1 0x73ff 0x696457c0 256 @@ -83183,6 +103979,8 @@ 0 0 1 +4 +1 0x5fa 0x44e26206 256 @@ -83191,6 +103989,8 @@ 0 0 1 +1 +1 0x526b 0xfd3f5f 256 @@ -83198,6 +103998,8 @@ 1 0 0 +5 +5 4 0xf5ee 0x38db64b8 @@ -83206,6 +104008,8 @@ 0 0 0 +5 +1 1 0xd91d 0x55d0ce1b @@ -83214,6 +104018,8 @@ 0 0 0 +5 +2 2 0xff64 0x639fe561 @@ -83223,6 +104029,8 @@ 0 0 3 +2 +3 0xcb0f 0x949b59d8 256 @@ -83230,6 +104038,8 @@ 0 0 0 +3 +5 1 0xfd09 0xcac92ca @@ -83238,6 +104048,8 @@ 1 1 0 +3 +0 1 0x7d76 0x10f7e388 @@ -83246,6 +104058,8 @@ 1 1 0 +5 +5 4 0x403b 0x3979a289 @@ -83254,6 +104068,8 @@ 0 0 0 +3 +3 1 0xb6f7 0x101e60b3 @@ -83263,6 +104079,8 @@ 0 0 2 +4 +2 0x9ed4 0x8ebd6905 256 @@ -83270,6 +104088,8 @@ 0 0 0 +0 +4 4 0x993b 0xf50ef4e1 @@ -83278,6 +104098,8 @@ 0 0 0 +0 +1 2 0x31 0x8f83f383 @@ -83286,6 +104108,8 @@ 0 0 0 +5 +3 4 0x133f 0x90734644 @@ -83294,6 +104118,8 @@ 0 0 0 +2 +0 4 0xe856 0x25ef7fef @@ -83302,6 +104128,8 @@ 1 1 0 +3 +4 1 0xb360 0x86341dfa @@ -83310,6 +104138,8 @@ 0 0 0 +2 +5 1 0xa9bf 0x83990d7c @@ -83318,6 +104148,8 @@ 0 0 0 +2 +3 4 0xcb03 0xf06e543a @@ -83326,6 +104158,8 @@ 0 0 0 +1 +4 4 0xd8ff 0x78b4935e @@ -83334,6 +104168,8 @@ 0 0 0 +5 +1 4 0xf92e 0xa3d12d15 @@ -83342,6 +104178,8 @@ 0 0 0 +5 +1 4 0xf5b1 0xfaefb5c7 @@ -83350,6 +104188,8 @@ 0 0 0 +0 +1 1 0x413d 0x14bf180a @@ -83358,6 +104198,8 @@ 0 0 0 +2 +5 4 0x9761 0x635e8f99 @@ -83366,6 +104208,8 @@ 0 0 0 +4 +2 1 0x805b 0x5dd9c561 @@ -83374,6 +104218,8 @@ 0 0 0 +0 +5 1 0x6be4 0x8a2664e0 @@ -83382,6 +104228,8 @@ 1 0 0 +0 +5 2 0x1d2f 0x4553fe47 @@ -83390,6 +104238,8 @@ 0 0 0 +2 +4 4 0xcf98 0xb558e151 @@ -83398,6 +104248,8 @@ 0 0 0 +1 +2 4 0x50b5 0x8d060123 @@ -83407,6 +104259,8 @@ 0 0 2 +5 +2 0xa040 0xd1559b29 256 @@ -83414,6 +104268,8 @@ 0 0 0 +2 +2 1 0xda4b 0x4788670c @@ -83422,6 +104278,8 @@ 0 0 0 +2 +2 1 0x1743 0xb11652d0 @@ -83430,6 +104288,8 @@ 0 0 0 +3 +3 2 0xe0e 0x700618a9 @@ -83438,6 +104298,8 @@ 0 0 0 +2 +0 3 0xf468 0x7186bb93 @@ -83446,6 +104308,8 @@ 0 0 0 +2 +4 3 0x10d5 0x9c0bc487 @@ -83454,6 +104318,8 @@ 0 0 0 +4 +0 3 0xe37e 0x74e8f925 @@ -83462,6 +104328,8 @@ 1 0 0 +3 +3 1 0x522d 0x556cc61d @@ -83470,6 +104338,8 @@ 1 1 0 +0 +2 1 0x4d14 0x6334cc35 @@ -83478,6 +104348,8 @@ 1 1 0 +0 +2 2 0xce2e 0xbc18b833 @@ -83486,6 +104358,8 @@ 1 1 0 +0 +2 3 0xe07c 0x79027f41 @@ -83495,6 +104369,8 @@ 0 0 4 +4 +4 0x2232 0xcf69f3a7 256 @@ -83502,6 +104378,8 @@ 1 1 0 +5 +5 3 0x3d55 0xf26c6c86 @@ -83510,6 +104388,8 @@ 0 0 0 +1 +4 2 0xb584 0xbbeec235 @@ -83518,6 +104398,8 @@ 0 0 0 +3 +3 1 0x7a8 0x2b009ac0 @@ -83526,6 +104408,8 @@ 0 0 0 +4 +1 3 0xb89a 0x6276f59c @@ -83534,6 +104418,8 @@ 1 0 0 +3 +3 1 0xce48 0xa07202b1 @@ -83542,6 +104428,8 @@ 1 0 0 +2 +2 3 0xd035 0x47212eff @@ -83551,6 +104439,8 @@ 0 0 2 +2 +2 0xd458 0xc66b107e 256 @@ -83558,6 +104448,8 @@ 1 1 0 +0 +4 2 0x3b49 0x95609d6 @@ -83566,6 +104458,8 @@ 0 0 0 +0 +4 2 0xb0d 0x79524fe4 @@ -83574,6 +104468,8 @@ 0 0 0 +1 +0 4 0x5c17 0x4094ad66 @@ -83582,6 +104478,8 @@ 0 0 0 +5 +2 1 0x4d04 0x6dead6bb @@ -83590,6 +104488,8 @@ 0 0 0 +0 +0 2 0x4155 0x5b109308 @@ -83598,6 +104498,8 @@ 1 0 0 +4 +5 2 0xcc5 0x336a4f5c @@ -83606,6 +104508,8 @@ 1 1 0 +4 +3 1 0x88a6 0xd5272ef @@ -83614,6 +104518,8 @@ 0 0 0 +1 +3 2 0xe317 0x4821fad8 @@ -83622,6 +104528,8 @@ 0 0 0 +4 +2 2 0x9533 0xcd2ebfc0 @@ -83631,6 +104539,8 @@ 0 0 4 +2 +4 0x98c7 0xa64ca4a8 256 @@ -83638,6 +104548,8 @@ 0 0 0 +1 +0 3 0x321b 0xd2cf79f8 @@ -83646,6 +104558,8 @@ 0 0 0 +2 +4 3 0x4232 0x50319316 @@ -83654,6 +104568,8 @@ 1 0 0 +5 +3 2 0x7638 0x1b3b6245 @@ -83662,6 +104578,8 @@ 1 0 0 +1 +5 4 0x8d59 0xe9a2a37b @@ -83670,6 +104588,8 @@ 1 1 0 +3 +2 4 0x4081 0xd1415004 @@ -83678,6 +104598,8 @@ 0 0 0 +3 +4 4 0xf9fc 0x95de9227 @@ -83687,6 +104609,8 @@ 0 0 3 +0 +3 0x38f8 0xd0987463 256 @@ -83694,6 +104618,8 @@ 1 0 0 +1 +0 4 0x5eb 0x5468aea8 @@ -83702,6 +104628,8 @@ 1 0 0 +4 +0 1 0xc99b 0x17147fbf @@ -83710,6 +104638,8 @@ 0 0 0 +4 +5 2 0xc133 0xf72a54c1 @@ -83718,6 +104648,8 @@ 1 0 0 +4 +2 1 0xebb3 0xc05cf59e @@ -83727,6 +104659,8 @@ 0 0 2 +5 +2 0xa611 0x1504234f 256 @@ -83734,6 +104668,8 @@ 1 0 0 +5 +3 4 0xd6ef 0x9398cad @@ -83742,6 +104678,8 @@ 0 0 0 +2 +1 3 0x6b86 0xefe2f3a6 @@ -83750,6 +104688,8 @@ 0 0 0 +4 +5 3 0x7839 0xb2a161be @@ -83758,6 +104698,8 @@ 1 0 0 +1 +5 4 0x3940 0x73cced5a @@ -83766,6 +104708,8 @@ 0 0 0 +4 +5 3 0x3c11 0x9f6e5ce8 @@ -83774,6 +104718,8 @@ 0 0 0 +2 +2 4 0x3ff6 0x53b561ef @@ -83782,6 +104728,8 @@ 0 0 0 +3 +5 1 0x3bed 0x75ec3cc @@ -83790,6 +104738,8 @@ 0 0 0 +0 +5 3 0x838c 0x8a28e8ce @@ -83798,6 +104748,8 @@ 0 0 0 +5 +2 1 0x4f17 0xb2bd75d2 @@ -83807,6 +104759,8 @@ 0 0 1 +2 +1 0xecf8 0xe1a85ea3 256 @@ -83814,6 +104768,8 @@ 1 1 0 +5 +1 1 0x6e6d 0xc9001fdc @@ -83822,6 +104778,8 @@ 1 1 0 +0 +2 4 0x579a 0xd5ba591b @@ -83830,6 +104788,8 @@ 0 0 0 +2 +1 1 0x7c26 0x3f172661 @@ -83838,6 +104798,8 @@ 1 1 0 +2 +1 4 0x6980 0xaec03469 @@ -83846,6 +104808,8 @@ 1 1 0 +1 +5 3 0x5af4 0x232f189 @@ -83854,6 +104818,8 @@ 0 0 0 +4 +2 3 0xea27 0x3925c0c8 @@ -83862,6 +104828,8 @@ 1 1 0 +5 +4 3 0x26c 0xdd50826 @@ -83870,6 +104838,8 @@ 1 0 0 +3 +5 1 0xe8fd 0x2c954d95 @@ -83878,6 +104848,8 @@ 0 0 0 +0 +2 2 0x1702 0x8bc7078b @@ -83886,6 +104858,8 @@ 0 0 0 +4 +3 2 0xcca1 0x4610cc6a @@ -83894,6 +104868,8 @@ 0 0 0 +5 +2 3 0xe0ab 0x326e779f @@ -83902,6 +104878,8 @@ 0 0 0 +1 +2 2 0xc8bf 0xf62cca21 @@ -83910,6 +104888,8 @@ 0 0 0 +3 +2 4 0xf16b 0x58c5917c @@ -83918,6 +104898,8 @@ 0 0 0 +5 +0 1 0xe476 0x6320f014 @@ -83927,6 +104909,8 @@ 0 0 2 +1 +2 0xf3c4 0xe6560101 256 @@ -83934,6 +104918,8 @@ 1 1 0 +5 +2 3 0xefc0 0x37fb0065 @@ -83943,6 +104929,8 @@ 0 0 4 +5 +4 0xa8ae 0x48e466c 256 @@ -83950,6 +104938,8 @@ 1 1 0 +1 +2 2 0xd4f 0xb98cc0d @@ -83958,6 +104948,8 @@ 1 1 0 +3 +5 1 0x3722 0xc647eb27 @@ -83966,6 +104958,8 @@ 1 1 0 +5 +0 4 0x90f2 0xe788e734 @@ -83975,6 +104969,8 @@ 0 0 1 +4 +1 0xa185 0x5216c4f 256 @@ -83982,6 +104978,8 @@ 0 0 0 +4 +3 3 0xf4ed 0xceca8099 @@ -83990,6 +104988,8 @@ 0 0 0 +0 +4 3 0x6ed2 0x52d07a24 @@ -83998,6 +104998,8 @@ 0 0 0 +3 +1 1 0xac26 0x8983b63d @@ -84006,6 +105008,8 @@ 0 0 0 +5 +3 4 0xf662 0x842f5b0d @@ -84015,6 +105019,8 @@ 1 0 4 +5 +4 0x1b69 0x75355c97 256 @@ -84022,6 +105028,8 @@ 0 0 0 +5 +3 3 0x47ae 0xae260d18 @@ -84030,6 +105038,8 @@ 1 0 0 +0 +3 3 0xadec 0xe99de299 @@ -84038,6 +105048,8 @@ 1 0 0 +2 +0 1 0x18bb 0x3bef0b51 @@ -84046,6 +105058,8 @@ 1 0 0 +5 +4 2 0xe36c 0x52f27c7a @@ -84054,6 +105068,8 @@ 1 1 0 +4 +5 1 0x1f3c 0x3245f40d @@ -84063,6 +105079,8 @@ 0 0 4 +3 +4 0x5990 0x8ea3d9da 256 @@ -84070,6 +105088,8 @@ 0 0 0 +5 +4 3 0x356d 0x19c2a013 @@ -84078,6 +105098,8 @@ 1 0 0 +2 +0 3 0x55a 0x8128345a @@ -84087,6 +105109,8 @@ 0 0 4 +5 +4 0x4c7e 0x84d1f575 256 @@ -84094,6 +105118,8 @@ 0 0 0 +4 +4 3 0xea50 0x11503fb3 @@ -84102,6 +105128,8 @@ 0 0 0 +1 +0 2 0x73d1 0xc46935b3 @@ -84110,6 +105138,8 @@ 1 1 0 +1 +5 3 0xfe72 0x42acd699 @@ -84118,6 +105148,8 @@ 0 0 0 +0 +3 4 0xf1c5 0x3235efa9 @@ -84126,6 +105158,8 @@ 1 0 0 +3 +3 1 0xaa17 0x6d3283b0 @@ -84135,6 +105169,8 @@ 0 0 1 +4 +1 0x2381 0x94186f8f 256 @@ -84142,6 +105178,8 @@ 1 1 0 +1 +1 4 0xec84 0x5cbe25 @@ -84150,6 +105188,8 @@ 1 1 0 +2 +3 4 0x1e20 0x9b3a6117 @@ -84159,6 +105199,8 @@ 0 0 4 +3 +4 0x3226 0xddcc6d9a 256 @@ -84166,6 +105208,8 @@ 0 0 0 +0 +5 3 0x872e 0xd23c2de3 @@ -84174,6 +105218,8 @@ 1 1 0 +1 +0 2 0x50e9 0xefe8443c @@ -84183,6 +105229,8 @@ 0 0 2 +4 +2 0x778 0xabfaae1f 256 @@ -84190,6 +105238,8 @@ 0 0 0 +3 +2 4 0xe502 0x4b5b9df0 @@ -84198,6 +105248,8 @@ 1 0 0 +3 +5 4 0xe855 0x2922cdf9 @@ -84206,6 +105258,8 @@ 0 0 0 +3 +2 1 0x4770 0x8ae654cc @@ -84214,6 +105268,8 @@ 1 1 0 +3 +2 1 0xd04 0x54183eb9 @@ -84222,6 +105278,8 @@ 0 0 0 +2 +1 1 0xd46f 0x56efe841 @@ -84230,6 +105288,8 @@ 0 0 0 +4 +3 2 0xeb5a 0xfa97fcb0 @@ -84238,6 +105298,8 @@ 0 0 0 +5 +4 4 0x3349 0x43bef68e @@ -84247,6 +105309,8 @@ 0 0 1 +0 +1 0x948c 0xa64d6b94 256 @@ -84254,6 +105318,8 @@ 0 0 0 +1 +4 2 0x85d7 0x3b3b5b86 @@ -84262,6 +105328,8 @@ 0 0 0 +1 +1 3 0x8614 0x44d13b65 @@ -84270,6 +105338,8 @@ 0 0 0 +0 +5 2 0x3e27 0x4264601f @@ -84278,6 +105348,8 @@ 1 1 0 +0 +0 4 0x604b 0xe8feb9b3 @@ -84286,6 +105358,8 @@ 0 0 0 +5 +1 3 0xbbc9 0xe5a34961 @@ -84294,6 +105368,8 @@ 1 1 0 +3 +0 2 0x816c 0x83c03d8e @@ -84302,6 +105378,8 @@ 1 0 0 +3 +5 1 0x2fff 0xc7109093 @@ -84310,6 +105388,8 @@ 1 1 0 +3 +2 2 0xa0f1 0x7fdb0855 @@ -84319,6 +105399,8 @@ 1 0 4 +5 +4 0x4f58 0x30ac1b13 256 @@ -84326,6 +105408,8 @@ 0 0 0 +4 +3 2 0x4da4 0xfaad29c4 @@ -84334,6 +105418,8 @@ 1 1 0 +3 +2 4 0x10e6 0xd57a5005 @@ -84342,6 +105428,8 @@ 1 0 0 +0 +1 3 0x7d85 0x83afa103 @@ -84350,6 +105438,8 @@ 0 0 0 +3 +5 1 0xfacc 0x6318e075 @@ -84358,6 +105448,8 @@ 0 0 0 +3 +3 1 0x6407 0x2970be8a @@ -84366,6 +105458,8 @@ 0 0 0 +5 +0 1 0x97d5 0x4a767dc6 @@ -84374,6 +105468,8 @@ 1 1 0 +3 +5 4 0xad7f 0x569faf17 @@ -84382,6 +105478,8 @@ 0 0 0 +5 +5 4 0x661a 0xca6c72d2 @@ -84390,6 +105488,8 @@ 0 0 0 +2 +5 4 0xb07f 0x4f7eee18 @@ -84398,6 +105498,8 @@ 1 0 0 +1 +5 2 0xe7f8 0x92cd0f11 @@ -84406,6 +105508,8 @@ 0 0 0 +5 +3 1 0x48d8 0xac029c5c @@ -84415,6 +105519,8 @@ 0 0 3 +2 +3 0x4c36 0x348fa053 256 @@ -84422,6 +105528,8 @@ 0 0 0 +1 +5 3 0x6591 0x33f792ed @@ -84430,6 +105538,8 @@ 0 0 0 +0 +5 2 0xf72f 0x31a7cd96 @@ -84438,6 +105548,8 @@ 0 0 0 +5 +5 4 0xc12c 0x56ac3fcf @@ -84447,6 +105559,8 @@ 1 0 2 +5 +2 0x9f8f 0xd7cd4db0 256 @@ -84454,6 +105568,8 @@ 0 0 0 +1 +2 4 0x3098 0x1303abb4 @@ -84462,6 +105578,8 @@ 0 0 0 +4 +2 2 0x6467 0x404aec76 @@ -84470,6 +105588,8 @@ 1 0 0 +5 +3 4 0xd230 0xf269ef40 @@ -84478,6 +105598,8 @@ 0 0 0 +4 +3 3 0x555b 0x2788cc7b @@ -84486,6 +105608,8 @@ 0 0 0 +0 +3 4 0x880 0x7da6a090 @@ -84494,6 +105618,8 @@ 0 0 0 +3 +2 1 0xc72 0x80e21754 @@ -84502,6 +105628,8 @@ 0 0 0 +4 +1 2 0x5774 0x8c865d90 @@ -84510,6 +105638,8 @@ 1 1 0 +1 +1 3 0xafe0 0x2a42123d @@ -84518,6 +105648,8 @@ 1 1 0 +5 +4 2 0xa3b8 0xdb3ce1be @@ -84526,6 +105658,8 @@ 0 0 0 +5 +0 4 0xd8f1 0xf90a48d8 @@ -84534,6 +105668,8 @@ 0 0 0 +4 +4 2 0x21bd 0x22c7e9ff @@ -84542,6 +105678,8 @@ 0 0 0 +1 +4 2 0xa1d6 0x73d524c7 @@ -84550,6 +105688,8 @@ 0 0 0 +2 +3 3 0x3e0b 0xa6196c72 @@ -84559,6 +105699,8 @@ 0 0 2 +3 +2 0x28b1 0x9d1337b5 256 @@ -84567,6 +105709,8 @@ 0 0 2 +0 +2 0xbede 0xa8beb246 256 @@ -84574,6 +105718,8 @@ 0 0 0 +5 +1 3 0x67e4 0xd5909f04 @@ -84582,6 +105728,8 @@ 0 0 0 +3 +0 4 0xaf14 0x2b2dd1de @@ -84590,6 +105738,8 @@ 1 1 0 +3 +3 2 0xcb45 0x5fc97d8d @@ -84598,6 +105748,8 @@ 0 0 0 +5 +1 3 0x7eba 0xc73aefe3 @@ -84607,6 +105759,8 @@ 0 0 4 +2 +4 0x6d1d 0x17721bab 256 @@ -84614,6 +105768,8 @@ 1 1 0 +1 +1 3 0x6ff3 0xd0895ab6 @@ -84622,6 +105778,8 @@ 0 0 0 +0 +1 1 0x4cad 0x69d1331a @@ -84630,6 +105788,8 @@ 1 0 0 +1 +0 3 0x38d1 0x2aee6b6f @@ -84638,6 +105798,8 @@ 1 0 0 +4 +1 2 0xf36 0x8b7a0c8a @@ -84647,6 +105809,8 @@ 0 0 4 +1 +4 0x11c5 0x4876da3b 256 @@ -84654,6 +105818,8 @@ 0 0 0 +3 +1 2 0xfc90 0x84ac9573 @@ -84662,6 +105828,8 @@ 1 1 0 +2 +0 4 0xba5a 0xc79bf0f2 @@ -84670,6 +105838,8 @@ 1 0 0 +0 +4 1 0x3fa 0x74eaa799 @@ -84678,6 +105848,8 @@ 1 0 0 +0 +3 2 0x8854 0x6f3cf445 @@ -84686,6 +105858,8 @@ 1 0 0 +0 +5 3 0x976f 0xac44d730 @@ -84694,6 +105868,8 @@ 0 0 0 +3 +5 2 0x3464 0x6c8027b0 @@ -84703,6 +105879,8 @@ 0 0 2 +0 +2 0x6231 0xe01d5192 256 @@ -84710,6 +105888,8 @@ 0 0 0 +4 +3 1 0x5f42 0xd8e6d7e2 @@ -84719,6 +105899,8 @@ 0 0 4 +4 +4 0xdaf9 0xbb30bb8f 256 @@ -84726,6 +105908,8 @@ 0 0 0 +3 +0 4 0x80e 0x23829e18 @@ -84734,6 +105918,8 @@ 0 0 0 +0 +4 1 0xb2c6 0x43f6a8ca @@ -84743,6 +105929,8 @@ 0 0 2 +0 +2 0xf02d 0x8d09e425 256 @@ -84750,6 +105938,8 @@ 1 0 0 +5 +2 4 0xb5fc 0xe47c7bab @@ -84758,6 +105948,8 @@ 0 0 0 +5 +0 1 0xadcb 0x56ae557b @@ -84766,6 +105958,8 @@ 1 0 0 +1 +0 3 0x113b 0x9d3c92eb @@ -84774,6 +105968,8 @@ 1 1 0 +3 +0 4 0x48f3 0xf559e61f @@ -84782,6 +105978,8 @@ 0 0 0 +1 +4 4 0x2f9c 0xfe388f1 @@ -84790,6 +105988,8 @@ 1 1 0 +5 +3 3 0x83b7 0xbe76d978 @@ -84798,6 +105998,8 @@ 0 0 0 +4 +2 2 0x2975 0x1a97dc5b @@ -84806,6 +106008,8 @@ 1 0 0 +2 +0 3 0x34e5 0xcaaf2169 @@ -84815,6 +106019,8 @@ 1 0 1 +0 +1 0xd1d6 0xeb5aae89 256 @@ -84822,6 +106028,8 @@ 1 0 0 +1 +3 2 0x519c 0x10c41a97 @@ -84830,6 +106038,8 @@ 0 0 0 +3 +4 1 0xd6fa 0x594deccf @@ -84838,6 +106048,8 @@ 1 1 0 +1 +5 3 0xf257 0x27f35246 @@ -84846,6 +106058,8 @@ 1 0 0 +1 +3 3 0x4bd3 0xa3befb07 @@ -84854,6 +106068,8 @@ 1 0 0 +5 +0 1 0x3163 0x5f8b4a1 @@ -84862,6 +106078,8 @@ 1 0 0 +0 +5 1 0x904 0xbb33d1b3 @@ -84870,6 +106088,8 @@ 0 0 0 +0 +3 3 0xd3dc 0x782eb59f @@ -84878,6 +106098,8 @@ 1 1 0 +0 +4 3 0x58f 0xb00a0a50 @@ -84886,6 +106108,8 @@ 1 0 0 +4 +3 2 0xcd12 0x184fed7f @@ -84895,6 +106119,8 @@ 0 0 2 +3 +2 0x5ce6 0x45a80a86 256 @@ -84902,6 +106128,8 @@ 1 1 0 +2 +1 4 0x6759 0x95801dc7 @@ -84910,6 +106138,8 @@ 1 1 0 +5 +1 1 0xd632 0xe451f1ee @@ -84918,6 +106148,8 @@ 1 0 0 +3 +4 1 0xfc37 0x9b5a3e74 @@ -84926,6 +106158,8 @@ 0 0 0 +1 +4 2 0x503a 0x524962a2 @@ -84934,6 +106168,8 @@ 1 0 0 +0 +1 2 0x8266 0xe01901c @@ -84942,6 +106178,8 @@ 0 0 0 +2 +5 3 0x7ea3 0xd7244e08 @@ -84950,6 +106188,8 @@ 1 0 0 +5 +0 2 0xe0f3 0xb9553d4c @@ -84958,6 +106198,8 @@ 0 0 0 +0 +1 1 0x30b4 0xbbc05b0d @@ -84966,6 +106208,8 @@ 1 1 0 +4 +5 2 0x46a 0xf0e0b8d8 @@ -84975,6 +106219,8 @@ 0 0 1 +0 +1 0x44e2 0x4ec258d2 256 @@ -84982,6 +106228,8 @@ 0 0 0 +0 +1 4 0x1f92 0xfab8f9f9 @@ -84990,6 +106238,8 @@ 0 0 0 +4 +3 2 0xbe0 0x3dd2d4ea @@ -84999,6 +106249,8 @@ 1 0 1 +5 +1 0x34d 0x7535ec24 256 @@ -85007,6 +106259,8 @@ 1 0 3 +0 +3 0x3764 0x6e9b7795 256 @@ -85014,6 +106268,8 @@ 0 0 0 +2 +1 3 0x17de 0x7eec56e0 @@ -85022,6 +106278,8 @@ 0 0 0 +4 +1 1 0x8ea 0xf38d1fb6 @@ -85031,6 +106289,8 @@ 0 0 1 +3 +1 0xac89 0x921339c0 256 @@ -85038,6 +106298,8 @@ 1 0 0 +0 +2 3 0x7e02 0x18e7cb0b @@ -85046,6 +106308,8 @@ 1 0 0 +0 +3 3 0x2097 0x1e86e969 @@ -85054,6 +106318,8 @@ 0 0 0 +4 +4 2 0xf9a0 0x68a4646e @@ -85062,6 +106328,8 @@ 1 1 0 +3 +1 4 0x2fb2 0x241ce94a @@ -85070,6 +106338,8 @@ 0 0 0 +3 +5 2 0xde58 0x88b3ab66 @@ -85078,6 +106348,8 @@ 1 1 0 +0 +0 3 0x2dbf 0x45ebc772 @@ -85087,6 +106359,8 @@ 0 0 1 +4 +1 0x5e0d 0x73d19fe7 256 @@ -85094,6 +106368,8 @@ 1 0 0 +3 +0 2 0xf107 0x8c63d107 @@ -85102,6 +106378,8 @@ 0 0 0 +3 +1 1 0xaa8b 0x57415366 @@ -85110,6 +106388,8 @@ 1 0 0 +0 +2 2 0xde7 0x9666076a @@ -85118,6 +106398,8 @@ 1 1 0 +3 +3 4 0x3fb1 0xa5c9e30d @@ -85126,6 +106408,8 @@ 0 0 0 +3 +0 2 0xd990 0x959b9c04 @@ -85134,6 +106418,8 @@ 1 1 0 +4 +5 1 0xfb80 0xfd39ad04 @@ -85142,6 +106428,8 @@ 0 0 0 +3 +0 4 0x3d55 0xf75a0750 @@ -85150,6 +106438,8 @@ 0 0 0 +0 +1 2 0x2f7f 0x28071948 @@ -85158,6 +106448,8 @@ 1 0 0 +3 +3 4 0xb055 0xf4c45db @@ -85167,6 +106459,8 @@ 1 0 1 +0 +1 0x910e 0x5d99cb38 256 @@ -85174,6 +106468,8 @@ 1 1 0 +5 +2 1 0x1135 0xb51c2c92 @@ -85182,6 +106478,8 @@ 0 0 0 +3 +1 4 0xe3b0 0xcd958771 @@ -85190,6 +106488,8 @@ 0 0 0 +4 +4 2 0xae84 0xbb8802fd @@ -85198,6 +106498,8 @@ 1 1 0 +5 +2 4 0x8a3e 0x9edc0814 @@ -85206,6 +106508,8 @@ 0 0 0 +0 +4 2 0x9c27 0xcd3f1168 @@ -85214,6 +106518,8 @@ 0 0 0 +5 +1 2 0xeb61 0xc364a05c @@ -85222,6 +106528,8 @@ 1 1 0 +5 +2 3 0xdf00 0x43fe733f @@ -85230,6 +106538,8 @@ 0 0 0 +5 +3 3 0xcff4 0x6cc7a06e @@ -85238,6 +106548,8 @@ 0 0 0 +1 +5 2 0x2864 0xacdf8d2 @@ -85246,6 +106558,8 @@ 0 0 0 +0 +2 3 0x87de 0x1ea7507f @@ -85254,6 +106568,8 @@ 0 0 0 +2 +0 1 0x37ed 0xdf4dcf51 @@ -85262,6 +106578,8 @@ 1 1 0 +4 +3 2 0xdc21 0xc3247bab @@ -85270,6 +106588,8 @@ 0 0 0 +3 +4 2 0xb21d 0x5e2357af @@ -85278,6 +106598,8 @@ 1 0 0 +4 +1 1 0x70b4 0x7983a9ec @@ -85286,6 +106608,8 @@ 0 0 0 +3 +3 4 0x2fb1 0x19820514 @@ -85295,6 +106619,8 @@ 1 0 2 +5 +2 0x6136 0x90010ccf 256 @@ -85302,6 +106628,8 @@ 1 1 0 +0 +3 2 0xffb5 0xce490b2f @@ -85310,6 +106638,8 @@ 1 0 0 +1 +3 4 0x39 0x77966df @@ -85318,6 +106648,8 @@ 1 1 0 +5 +5 3 0x9c9 0xa4d382fa @@ -85327,6 +106659,8 @@ 1 0 2 +4 +2 0xe742 0x4510b24a 256 @@ -85334,6 +106668,8 @@ 0 0 0 +0 +2 1 0xb489 0x4d00e817 @@ -85342,6 +106678,8 @@ 1 0 0 +4 +1 3 0x3e8a 0x2eeec4ec @@ -85350,6 +106688,8 @@ 1 0 0 +1 +5 3 0x87c7 0x620637f0 @@ -85358,6 +106698,8 @@ 0 0 0 +4 +1 1 0xcea4 0xe19b0493 @@ -85366,6 +106708,8 @@ 1 1 0 +5 +3 3 0xba78 0x7d685535 @@ -85374,6 +106718,8 @@ 0 0 0 +0 +0 4 0x5c4f 0x2ef211eb @@ -85383,6 +106729,8 @@ 1 0 4 +1 +4 0xae19 0xa80f21d0 256 @@ -85390,6 +106738,8 @@ 0 0 0 +5 +1 2 0x36e3 0xaa12014f @@ -85398,6 +106748,8 @@ 1 1 0 +5 +5 4 0xb22e 0xe350cb1e @@ -85406,6 +106758,8 @@ 0 0 0 +0 +4 3 0x3f81 0xdfc721f9 @@ -85414,6 +106768,8 @@ 0 0 0 +5 +2 3 0xce96 0x25e27223 @@ -85423,6 +106779,8 @@ 0 0 4 +5 +4 0xacdd 0x6e03823e 256 @@ -85431,6 +106789,8 @@ 1 0 3 +3 +3 0xb034 0x6fc09dfb 256 @@ -85438,6 +106798,8 @@ 1 0 0 +0 +0 4 0x281e 0x97c0a2db @@ -85447,6 +106809,8 @@ 0 0 4 +2 +4 0xcdb6 0x2d2fcafe 256 @@ -85454,6 +106818,8 @@ 0 0 0 +4 +4 1 0x2954 0xee21530e @@ -85462,6 +106828,8 @@ 1 1 0 +1 +4 3 0x200c 0xd4abf92 @@ -85470,6 +106838,8 @@ 1 1 0 +4 +4 3 0x8cec 0x54ea1acc @@ -85478,6 +106848,8 @@ 0 0 0 +3 +1 1 0xf903 0x40e1f8cc @@ -85486,6 +106858,8 @@ 0 0 0 +5 +3 1 0x83ef 0x99e545a7 @@ -85494,6 +106868,8 @@ 1 1 0 +4 +1 2 0xbce5 0x4a8c063 @@ -85502,6 +106878,8 @@ 0 0 0 +0 +4 4 0xb369 0xff5a4cf1 @@ -85510,6 +106888,8 @@ 1 1 0 +5 +4 4 0xf0da 0x7329ef79 @@ -85518,6 +106898,8 @@ 1 1 0 +1 +4 2 0xe034 0x9049e5af @@ -85526,6 +106908,8 @@ 1 1 0 +0 +4 4 0x68dd 0xe78eb23f @@ -85535,6 +106919,8 @@ 0 0 1 +5 +1 0x7775 0xd7d07fe1 256 @@ -85542,6 +106928,8 @@ 1 1 0 +1 +5 4 0x1e90 0x66c2b9 @@ -85550,6 +106938,8 @@ 0 0 0 +2 +3 4 0x9a06 0xd2ae9aad @@ -85558,6 +106948,8 @@ 1 0 0 +0 +5 4 0x57b5 0xc342341e @@ -85566,6 +106958,8 @@ 1 0 0 +5 +5 2 0xc4f3 0x9d833ecb @@ -85574,6 +106968,8 @@ 0 0 0 +5 +0 1 0x3584 0xb564279 @@ -85582,6 +106978,8 @@ 0 0 0 +3 +3 2 0xb060 0xef9e0bbb @@ -85590,6 +106988,8 @@ 1 0 0 +2 +4 1 0xfd01 0x317afcc8 @@ -85598,6 +106998,8 @@ 0 0 0 +1 +1 2 0xedd8 0x8e17b47c @@ -85606,6 +107008,8 @@ 1 0 0 +1 +3 4 0x435f 0xfe13baae @@ -85615,6 +107019,8 @@ 0 0 4 +3 +4 0xc29e 0x66073eb1 256 @@ -85622,6 +107028,8 @@ 1 1 0 +1 +2 2 0x50c4 0x58a89a86 @@ -85630,6 +107038,8 @@ 0 0 0 +0 +3 3 0xb6be 0xcf695d8b @@ -85638,6 +107048,8 @@ 0 0 0 +5 +1 1 0x532a 0xa17a5ee7 @@ -85646,6 +107058,8 @@ 1 0 0 +0 +4 4 0xfdcf 0x32f06919 @@ -85654,6 +107068,8 @@ 0 0 0 +4 +2 2 0x1366 0xfb60004e @@ -85662,6 +107078,8 @@ 1 1 0 +4 +4 3 0x2d1b 0x4d0e18cc @@ -85671,6 +107089,8 @@ 1 0 4 +4 +4 0x8e77 0x5b845960 256 @@ -85678,6 +107098,8 @@ 1 1 0 +1 +1 2 0x5d43 0x2d234db7 @@ -85687,6 +107109,8 @@ 0 0 2 +2 +2 0x6035 0x3f5aa18b 256 @@ -85694,6 +107118,8 @@ 0 0 0 +0 +3 3 0xe7a4 0x19c3283c @@ -85702,6 +107128,8 @@ 1 0 0 +2 +5 4 0x53a8 0x2de3f1ce @@ -85710,6 +107138,8 @@ 1 0 0 +3 +2 4 0xf75f 0xa65a47d8 @@ -85718,6 +107148,8 @@ 0 0 0 +2 +5 4 0xfc6f 0x6ad590a6 @@ -85726,6 +107158,8 @@ 1 0 0 +5 +1 3 0xf7df 0xb4710172 @@ -85734,6 +107168,8 @@ 1 1 0 +1 +5 2 0xa3a8 0xf75f8f41 @@ -85742,6 +107178,8 @@ 0 0 0 +2 +0 3 0x1b5a 0x7040c074 @@ -85750,6 +107188,8 @@ 0 0 0 +1 +3 3 0x1038 0x3c85c3f @@ -85758,6 +107198,8 @@ 1 0 0 +0 +2 2 0x929e 0x12f5d82e @@ -85766,6 +107208,8 @@ 0 0 0 +0 +4 2 0xb4e6 0x16df5100 @@ -85774,6 +107218,8 @@ 0 0 0 +5 +4 3 0xf828 0x1c19ec58 @@ -85782,6 +107228,8 @@ 1 0 0 +0 +5 4 0xfcca 0xf4f0ac12 @@ -85790,6 +107238,8 @@ 1 0 0 +2 +2 3 0x2d8b 0xaece689e @@ -85798,6 +107248,8 @@ 0 0 0 +0 +5 2 0x7f85 0x4b13554c @@ -85806,6 +107258,8 @@ 0 0 0 +5 +5 2 0xd97d 0x88c0eb58 @@ -85814,6 +107268,8 @@ 0 0 0 +3 +5 2 0x3991 0xeb74f4c7 @@ -85823,6 +107279,8 @@ 0 0 2 +4 +2 0x409a 0x1a617581 256 @@ -85830,6 +107288,8 @@ 0 0 0 +5 +5 4 0x3eef 0x62b356ff @@ -85838,6 +107298,8 @@ 0 0 0 +3 +3 2 0xa567 0xbaa20a92 @@ -85846,6 +107308,8 @@ 0 0 0 +4 +0 1 0xe2b2 0x73e4f0e @@ -85855,6 +107319,8 @@ 0 0 2 +5 +2 0x90d7 0x97f9598b 256 @@ -85862,6 +107328,8 @@ 1 1 0 +2 +5 1 0x6278 0xaf854086 @@ -85870,6 +107338,8 @@ 1 0 0 +2 +0 4 0x2ab1 0xa302f694 @@ -85878,6 +107348,8 @@ 1 1 0 +0 +5 1 0xbfe8 0xc5a3957c @@ -85886,6 +107358,8 @@ 1 1 0 +4 +0 1 0xde83 0xd9dd3be4 @@ -85894,6 +107368,8 @@ 1 0 0 +1 +1 4 0xa98e 0x11aa66af @@ -85902,6 +107378,8 @@ 1 1 0 +3 +4 1 0xa91a 0xcecfa702 @@ -85910,6 +107388,8 @@ 0 0 0 +4 +4 1 0x36fb 0x4e217e35 @@ -85919,6 +107399,8 @@ 0 0 3 +1 +3 0x9bf5 0x542c97af 256 @@ -85926,6 +107408,8 @@ 1 0 0 +3 +4 4 0x47b3 0x7e246c @@ -85934,6 +107418,8 @@ 1 0 0 +2 +4 4 0x82c5 0x81711f8a @@ -85942,6 +107428,8 @@ 1 1 0 +0 +3 4 0x45b2 0xb8a6e49e @@ -85950,6 +107438,8 @@ 0 0 0 +1 +1 3 0xdf0 0x475dff26 @@ -85958,6 +107448,8 @@ 1 1 0 +5 +0 4 0xb721 0xa6cbc7ea @@ -85966,6 +107458,8 @@ 0 0 0 +3 +4 2 0x8490 0x6301dcc9 @@ -85974,6 +107468,8 @@ 0 0 0 +3 +1 4 0xc9c4 0x26e8f868 @@ -85982,6 +107478,8 @@ 1 0 0 +2 +5 4 0x841 0xfcebc995 @@ -85991,6 +107489,8 @@ 0 0 4 +4 +4 0x9e4e 0x202fd317 256 @@ -85998,6 +107498,8 @@ 1 0 0 +2 +4 4 0x84e5 0xd34b74eb @@ -86006,6 +107508,8 @@ 1 1 0 +2 +1 1 0x606b 0xeced2b17 @@ -86014,6 +107518,8 @@ 1 0 0 +3 +5 1 0x576d 0x145a10e5 @@ -86022,6 +107528,8 @@ 0 0 0 +0 +5 2 0x1658 0xa32b34a9 @@ -86030,6 +107538,8 @@ 1 1 0 +1 +0 3 0xa9fc 0x668f51ab @@ -86038,6 +107548,8 @@ 1 1 0 +1 +4 2 0x96e8 0xeea89d13 @@ -86047,6 +107559,8 @@ 0 0 4 +4 +4 0xdb22 0x332b903b 256 @@ -86054,6 +107568,8 @@ 1 1 0 +5 +5 2 0x51e8 0xe2894707 @@ -86062,6 +107578,8 @@ 0 0 0 +1 +5 4 0x73a6 0xc7634b9 @@ -86070,6 +107588,8 @@ 1 0 0 +4 +4 3 0x393f 0xacd50d2e @@ -86078,6 +107598,8 @@ 0 0 0 +2 +0 4 0x592a 0xec433ba2 @@ -86086,6 +107608,8 @@ 1 1 0 +0 +4 1 0x5aba 0xe1db3843 @@ -86094,6 +107618,8 @@ 1 0 0 +1 +1 4 0x1241 0x3451e12c @@ -86102,6 +107628,8 @@ 0 0 0 +2 +0 4 0x6ae3 0xe6404816 @@ -86110,6 +107638,8 @@ 1 0 0 +0 +1 4 0x5e27 0x373c3791 @@ -86118,6 +107648,8 @@ 0 0 0 +5 +2 3 0xf93d 0x5114a31 @@ -86126,6 +107658,8 @@ 0 0 0 +2 +2 4 0x86d1 0x8ead455e @@ -86134,6 +107668,8 @@ 1 1 0 +2 +4 3 0x1671 0x85b1399f @@ -86142,6 +107678,8 @@ 1 0 0 +4 +1 1 0x8f56 0x9cf284ba @@ -86150,6 +107688,8 @@ 1 0 0 +5 +3 3 0x9d4e 0xe25c902 @@ -86159,6 +107699,8 @@ 1 0 2 +3 +2 0x5133 0xb6f7755b 256 @@ -86166,6 +107708,8 @@ 1 0 0 +4 +1 3 0xaa26 0x4390f078 @@ -86174,6 +107718,8 @@ 1 1 0 +3 +3 1 0xb964 0xce6ddab4 @@ -86183,6 +107729,8 @@ 0 0 3 +2 +3 0x2653 0xacbba342 256 @@ -86190,6 +107738,8 @@ 1 0 0 +4 +0 2 0xa11e 0x8747b7ca @@ -86198,6 +107748,8 @@ 1 1 0 +2 +5 1 0xc928 0x94b3510d @@ -86206,6 +107758,8 @@ 0 0 0 +0 +0 4 0xd58 0xe75961ea @@ -86214,6 +107768,8 @@ 0 0 0 +2 +4 3 0x7527 0x2ca39a6a @@ -86222,6 +107778,8 @@ 1 1 0 +5 +5 4 0xd7a 0x71fc962a @@ -86230,6 +107788,8 @@ 1 1 0 +4 +5 1 0x999 0x3b6b8170 @@ -86238,6 +107798,8 @@ 0 0 0 +4 +4 1 0x2f36 0x156851ea @@ -86246,6 +107808,8 @@ 1 1 0 +1 +1 2 0xa52c 0xbc8ff6e4 @@ -86254,6 +107818,8 @@ 0 0 0 +5 +1 4 0x11b4 0x6e42c3e6 @@ -86263,6 +107829,8 @@ 0 0 4 +5 +4 0xd8ba 0x3afb01c8 256 @@ -86270,6 +107838,8 @@ 1 1 0 +0 +5 3 0x4840 0x78dc39bb @@ -86278,6 +107848,8 @@ 0 0 0 +3 +0 1 0x92d1 0xb3b048c1 @@ -86286,6 +107858,8 @@ 1 0 0 +5 +5 3 0xa979 0xa83e9ae1 @@ -86294,6 +107868,8 @@ 1 0 0 +0 +3 1 0xcae5 0x9eea5bd0 @@ -86302,6 +107878,8 @@ 0 0 0 +0 +4 3 0x6125 0x20041394 @@ -86310,6 +107888,8 @@ 0 0 0 +5 +1 4 0xa293 0x7104ca39 @@ -86318,6 +107898,8 @@ 1 0 0 +0 +3 1 0xbde3 0xb37d8f24 @@ -86326,6 +107908,8 @@ 0 0 0 +3 +2 2 0x911c 0x5cfb18c @@ -86334,6 +107918,8 @@ 1 0 0 +4 +3 3 0xf62e 0xedfb6219 @@ -86342,6 +107928,8 @@ 1 0 0 +2 +5 4 0x2f32 0x747f41c7 @@ -86350,6 +107938,8 @@ 0 0 0 +0 +2 1 0x7c28 0x417b2aef @@ -86359,6 +107949,8 @@ 0 0 1 +1 +1 0xb90b 0xc3b288b 256 @@ -86367,6 +107959,8 @@ 0 0 4 +5 +4 0x41a8 0x85ba4f81 256 @@ -86374,6 +107968,8 @@ 1 0 0 +5 +2 2 0x762c 0xb192d0bc @@ -86382,6 +107978,8 @@ 0 0 0 +2 +5 3 0x8add 0x7bbaeaa6 @@ -86390,6 +107988,8 @@ 1 1 0 +3 +0 2 0x22e9 0x8234359d @@ -86398,6 +107998,8 @@ 0 0 0 +1 +4 3 0x3f93 0xd6d32ba5 @@ -86406,6 +108008,8 @@ 1 1 0 +5 +4 2 0x795f 0x77c242f2 @@ -86414,6 +108018,8 @@ 0 0 0 +2 +0 4 0x5faa 0xd74c5713 @@ -86422,6 +108028,8 @@ 0 0 0 +4 +1 3 0xfe91 0x20cba99a @@ -86430,6 +108038,8 @@ 0 0 0 +2 +1 4 0x3e6f 0x2f93a78a @@ -86438,6 +108048,8 @@ 1 0 0 +3 +5 1 0xa158 0x5cc4b279 @@ -86447,6 +108059,8 @@ 0 0 4 +2 +4 0xa38a 0xe885113 256 @@ -86454,6 +108068,8 @@ 0 0 0 +1 +4 4 0xbc18 0x2f08a8a9 @@ -86462,6 +108078,8 @@ 0 0 0 +1 +1 3 0xa017 0x4817d587 @@ -86470,6 +108088,8 @@ 0 0 0 +5 +4 4 0xc35a 0x8612efb9 @@ -86478,6 +108098,8 @@ 0 0 0 +0 +3 2 0x316a 0xd32df127 @@ -86486,6 +108108,8 @@ 1 0 0 +4 +3 2 0xae1a 0xf8300c5d @@ -86494,6 +108118,8 @@ 1 0 0 +3 +0 2 0xeeea 0x5784b549 @@ -86503,6 +108129,8 @@ 1 0 3 +4 +3 0x4a26 0x34f3a60 256 @@ -86510,6 +108138,8 @@ 1 0 0 +5 +4 4 0xb806 0xfe31cee @@ -86518,6 +108148,8 @@ 1 0 0 +5 +2 1 0xe55d 0x34af213e @@ -86527,6 +108159,8 @@ 0 0 2 +3 +2 0x683e 0xf8fc5c5a 256 @@ -86534,6 +108168,8 @@ 0 0 0 +5 +5 1 0x6edf 0x29703c53 @@ -86542,6 +108178,8 @@ 1 0 0 +0 +1 4 0x989 0xc12743f1 @@ -86550,6 +108188,8 @@ 0 0 0 +0 +3 4 0xf1d8 0x6d0f7980 @@ -86558,6 +108198,8 @@ 1 0 0 +5 +1 3 0x9fd9 0xc6392e6e @@ -86566,6 +108208,8 @@ 1 1 0 +0 +0 4 0x13f3 0x299fb96c @@ -86574,6 +108218,8 @@ 0 0 0 +5 +1 3 0xd281 0x4cb0b66d @@ -86582,6 +108228,8 @@ 1 1 0 +4 +1 2 0x1e46 0x7a6cf8e3 @@ -86591,6 +108239,8 @@ 0 0 2 +2 +2 0x9d8f 0x96a4136f 256 @@ -86598,6 +108248,8 @@ 1 1 0 +1 +2 3 0xd2fe 0x60a523c1 @@ -86606,6 +108258,8 @@ 1 1 0 +1 +4 2 0xfbb7 0x9cd50c1c @@ -86614,6 +108268,8 @@ 0 0 0 +5 +4 1 0x2b4e 0xdde084fa @@ -86622,6 +108278,8 @@ 0 0 0 +0 +3 3 0x5583 0x32ed3b02 @@ -86630,6 +108288,8 @@ 0 0 0 +2 +0 3 0xb512 0xc6017299 @@ -86638,6 +108298,8 @@ 0 0 0 +1 +3 2 0x685e 0x85febb94 @@ -86647,6 +108309,8 @@ 1 0 4 +0 +4 0xab6f 0x5a365754 256 @@ -86654,6 +108318,8 @@ 0 0 0 +5 +3 4 0xbe26 0xda7891f6 @@ -86662,6 +108328,8 @@ 0 0 0 +3 +1 2 0x4259 0x3021e140 @@ -86671,6 +108339,8 @@ 0 0 1 +4 +1 0xe3a6 0xc4e3cd88 256 @@ -86679,6 +108349,8 @@ 1 0 3 +2 +3 0x6642 0x7fecd44f 256 @@ -86686,6 +108358,8 @@ 0 0 0 +0 +3 3 0xc3f4 0x3b8a8fc2 @@ -86694,6 +108368,8 @@ 1 0 0 +4 +5 1 0xe288 0x6898fa20 @@ -86702,6 +108378,8 @@ 1 0 0 +2 +2 1 0x1c9e 0x61b7dd3d @@ -86710,6 +108388,8 @@ 1 0 0 +0 +3 3 0x64f7 0x926857dc @@ -86719,6 +108399,8 @@ 0 0 2 +5 +2 0xca9e 0x6b384078 256 @@ -86726,6 +108408,8 @@ 0 0 0 +5 +2 2 0x6c23 0x36f8faab @@ -86734,6 +108418,8 @@ 1 0 0 +4 +0 2 0xb41d 0xc1f8c554 @@ -86742,6 +108428,8 @@ 1 1 0 +1 +2 3 0x5fab 0x2ceea217 @@ -86751,6 +108439,8 @@ 0 0 2 +5 +2 0x9d6d 0xc4b40d8a 256 @@ -86758,6 +108448,8 @@ 0 0 0 +2 +1 1 0x83b 0x168b541f @@ -86767,6 +108459,8 @@ 0 0 2 +4 +2 0x4ea0 0x286f72c9 256 @@ -86774,6 +108468,8 @@ 1 0 0 +2 +1 4 0xb204 0x3dd44ce0 @@ -86782,6 +108478,8 @@ 0 0 0 +0 +3 3 0x13af 0xe23807cf @@ -86791,6 +108489,8 @@ 0 0 1 +0 +1 0xe023 0xb090f847 256 @@ -86798,6 +108498,8 @@ 0 0 0 +5 +5 4 0xb0b7 0x87e5f38d @@ -86806,6 +108508,8 @@ 1 0 0 +3 +1 2 0x7399 0x8e9191cc @@ -86815,6 +108519,8 @@ 1 0 2 +1 +2 0xfc95 0x1ab2ddb1 256 @@ -86823,6 +108529,8 @@ 0 0 4 +5 +4 0xef9e 0x8aa84c60 256 @@ -86830,6 +108538,8 @@ 0 0 0 +0 +3 4 0x3fb2 0xecea56ff @@ -86839,6 +108549,8 @@ 0 0 3 +5 +3 0x4ddb 0x7d55903 256 @@ -86846,6 +108558,8 @@ 1 1 0 +0 +1 4 0xba78 0x50a9b928 @@ -86854,6 +108568,8 @@ 0 0 0 +3 +3 4 0x1461 0x955e8926 @@ -86863,6 +108579,8 @@ 0 0 1 +5 +1 0xbf74 0x5c918cd0 256 @@ -86870,6 +108588,8 @@ 0 0 0 +1 +0 2 0x4586 0x8cccaa9f @@ -86878,6 +108598,8 @@ 0 0 0 +5 +3 1 0xed92 0x4e4740e5 @@ -86886,6 +108608,8 @@ 1 0 0 +4 +5 3 0xb6b9 0x1817b9c @@ -86894,6 +108618,8 @@ 1 0 0 +2 +2 4 0xfd12 0x950a6abc @@ -86903,6 +108629,8 @@ 0 0 2 +2 +2 0xbdf3 0x463a1be6 256 @@ -86910,6 +108638,8 @@ 0 0 0 +0 +2 2 0xa7b6 0x7be150d9 @@ -86919,6 +108649,8 @@ 1 0 4 +5 +4 0xa7c6 0x1afe0758 256 @@ -86926,6 +108658,8 @@ 0 0 0 +0 +3 2 0xe2cb 0xa96baac1 @@ -86934,6 +108668,8 @@ 0 0 0 +5 +1 3 0x8e49 0x477cf6ec @@ -86942,6 +108678,8 @@ 0 0 0 +2 +5 1 0xae09 0x972c52d6 @@ -86950,6 +108688,8 @@ 1 0 0 +5 +3 1 0xac68 0xd03558f1 @@ -86959,6 +108699,8 @@ 0 0 3 +0 +3 0x4810 0xa48cc95b 256 @@ -86966,6 +108708,8 @@ 0 0 0 +1 +1 4 0xd0fa 0x2f778e31 @@ -86974,6 +108718,8 @@ 0 0 0 +4 +2 1 0xf437 0x5c268915 @@ -86982,6 +108728,8 @@ 1 0 0 +2 +5 1 0x4b11 0x118de6dc @@ -86990,6 +108738,8 @@ 1 1 0 +2 +1 3 0xb7b4 0x5f92675d @@ -86998,6 +108748,8 @@ 1 1 0 +2 +5 3 0x45cc 0x5ce31188 @@ -87007,6 +108759,8 @@ 0 0 4 +3 +4 0x9cb0 0x65ad4219 256 @@ -87014,6 +108768,8 @@ 0 0 0 +5 +4 4 0xede7 0x6aec1a54 @@ -87023,6 +108779,8 @@ 0 0 4 +4 +4 0xe2d4 0x762a57b8 256 @@ -87030,6 +108788,8 @@ 0 0 0 +4 +4 2 0x4091 0x3d7c083e @@ -87039,6 +108799,8 @@ 0 0 1 +3 +1 0xe64b 0xd3ed03c4 256 @@ -87047,6 +108809,8 @@ 0 0 2 +5 +2 0xc0e6 0xeadf8af8 256 @@ -87054,6 +108818,8 @@ 1 1 0 +4 +1 1 0xd074 0x585f9bc8 @@ -87062,6 +108828,8 @@ 1 0 0 +4 +0 3 0x4de5 0x27b008fc @@ -87070,6 +108838,8 @@ 1 0 0 +4 +0 3 0xb951 0xe2ba1b9c @@ -87078,6 +108848,8 @@ 0 0 0 +4 +2 1 0x4cf6 0xfcebf4b @@ -87086,6 +108858,8 @@ 0 0 0 +4 +2 1 0xc141 0xf4c8f1e0 @@ -87094,6 +108868,8 @@ 0 0 0 +3 +2 4 0xe438 0x91420e34 @@ -87102,6 +108878,8 @@ 0 0 0 +0 +3 2 0xb507 0x21549b66 @@ -87110,6 +108888,8 @@ 1 1 0 +4 +5 3 0x2fe4 0x456ad22e @@ -87119,6 +108899,8 @@ 1 0 2 +2 +2 0x4976 0x61bd53b3 256 @@ -87126,6 +108908,8 @@ 1 0 0 +3 +1 1 0xe18f 0xc0c5eafe @@ -87135,6 +108919,8 @@ 1 0 4 +2 +4 0xfcc8 0x33645a56 256 @@ -87143,6 +108929,8 @@ 0 0 2 +1 +2 0x77 0xfc8b0f73 256 @@ -87150,6 +108938,8 @@ 1 0 0 +3 +3 2 0xd116 0x50cad4f1 @@ -87158,6 +108948,8 @@ 0 0 0 +3 +4 2 0x555e 0x13a5b810 @@ -87166,6 +108958,8 @@ 1 0 0 +2 +5 4 0x7696 0x5fe38c60 @@ -87174,6 +108968,8 @@ 0 0 0 +4 +1 1 0x65d7 0x55d6cb93 @@ -87182,6 +108978,8 @@ 1 1 0 +4 +2 3 0x4e9e 0x12153fe3 @@ -87190,6 +108988,8 @@ 0 0 0 +2 +1 3 0xf00f 0xfda3bc35 @@ -87199,6 +108999,8 @@ 0 0 2 +2 +2 0x2fe3 0x870c7880 256 @@ -87206,6 +109008,8 @@ 0 0 0 +4 +3 3 0x6903 0x728bb7b8 @@ -87214,6 +109018,8 @@ 0 0 0 +2 +4 3 0x3c4c 0x678acf9f @@ -87223,6 +109029,8 @@ 0 0 1 +1 +1 0x921f 0xc69aa7c1 256 @@ -87231,6 +109039,8 @@ 0 0 1 +4 +1 0x1faf 0xc51470b5 256 @@ -87239,6 +109049,8 @@ 0 0 3 +4 +3 0x7771 0xe687e85f 256 @@ -87246,6 +109058,8 @@ 1 1 0 +1 +5 3 0x10000 0x7a8dc8f6 @@ -87254,6 +109068,8 @@ 1 0 0 +5 +5 1 0xc90c 0x4e8b8478 @@ -87262,6 +109078,8 @@ 0 0 0 +1 +2 2 0x11c1 0x1ecc40a1 @@ -87270,6 +109088,8 @@ 1 1 0 +1 +2 2 0x715c 0xb6add9ac @@ -87278,6 +109098,8 @@ 1 0 0 +1 +5 2 0xd13f 0x8ea5114c @@ -87287,6 +109109,8 @@ 0 0 4 +1 +4 0xaebc 0xb9820406 256 @@ -87294,6 +109118,8 @@ 1 1 0 +5 +4 2 0xe019 0x88858a65 @@ -87302,6 +109128,8 @@ 0 0 0 +5 +3 3 0x2e92 0xd292e40 @@ -87310,6 +109138,8 @@ 0 0 0 +2 +4 3 0x5236 0xa66a053d @@ -87318,6 +109148,8 @@ 0 0 0 +5 +3 4 0x5142 0x6920e78e @@ -87326,6 +109158,8 @@ 0 0 0 +4 +3 1 0x6d61 0x9120ef0c @@ -87334,6 +109168,8 @@ 0 0 0 +2 +0 3 0x1834 0x4fc6270e @@ -87342,6 +109178,8 @@ 0 0 0 +4 +3 1 0xe3dd 0xb2b7a149 @@ -87350,6 +109188,8 @@ 1 0 0 +3 +0 2 0x4b2a 0x26349802 @@ -87358,6 +109198,8 @@ 1 1 0 +4 +0 2 0xf282 0x4782e874 @@ -87366,6 +109208,8 @@ 1 0 0 +3 +4 4 0x3a8b 0xdb249e1 @@ -87374,6 +109218,8 @@ 1 1 0 +4 +5 1 0x7d8f 0x264a6fd8 @@ -87383,6 +109229,8 @@ 1 0 3 +0 +3 0x4cea 0x7d599a8 256 @@ -87390,6 +109238,8 @@ 0 0 0 +0 +5 3 0x65f6 0xa7d034fa @@ -87398,6 +109248,8 @@ 1 0 0 +1 +0 2 0x42f2 0x44d3bd42 @@ -87406,6 +109258,8 @@ 1 0 0 +2 +0 3 0x8a98 0x5e21282f @@ -87414,6 +109268,8 @@ 1 0 0 +1 +2 2 0x5e3e 0x23111cc8 @@ -87422,6 +109278,8 @@ 0 0 0 +2 +0 3 0xbb24 0xe926a737 @@ -87430,6 +109288,8 @@ 1 1 0 +3 +1 4 0xf6cc 0x6d42f015 @@ -87438,6 +109298,8 @@ 0 0 0 +1 +1 2 0x602e 0x3ca9d3ca @@ -87446,6 +109308,8 @@ 1 0 0 +0 +3 4 0x3f6c 0xaee9e0fe @@ -87454,6 +109318,8 @@ 1 1 0 +3 +5 2 0x74bc 0xbcb7e1f8 @@ -87462,6 +109328,8 @@ 0 0 0 +3 +2 4 0xce8b 0x6435a3a6 @@ -87470,6 +109338,8 @@ 1 0 0 +3 +2 1 0xf874 0x783ac8d7 @@ -87478,6 +109348,8 @@ 0 0 0 +5 +5 1 0xb42a 0xb63d10ab @@ -87486,6 +109358,8 @@ 1 1 0 +0 +0 3 0x7c73 0xa97682d1 @@ -87494,6 +109368,8 @@ 1 0 0 +0 +1 3 0x58c2 0xb4225798 @@ -87503,6 +109379,8 @@ 0 0 1 +0 +1 0x17f8 0xefae81db 256 @@ -87510,6 +109388,8 @@ 0 0 0 +2 +2 4 0xee0b 0xed49996d @@ -87518,6 +109398,8 @@ 0 0 0 +3 +0 1 0xc8e4 0xcaec1161 @@ -87526,6 +109408,8 @@ 0 0 0 +5 +2 3 0x2cb1 0x69f3c753 @@ -87534,6 +109418,8 @@ 1 1 0 +3 +3 2 0xaa6d 0xf6bddb49 @@ -87542,6 +109428,8 @@ 1 0 0 +4 +1 3 0x6fb1 0xbef363e8 @@ -87550,6 +109438,8 @@ 0 0 0 +0 +5 3 0x5f18 0x81f4a885 @@ -87558,6 +109448,8 @@ 1 1 0 +0 +1 1 0x74c6 0x2af9393b @@ -87566,6 +109458,8 @@ 1 1 0 +5 +0 2 0x2f75 0x17759416 @@ -87574,6 +109468,8 @@ 1 1 0 +0 +5 3 0x4a5d 0xe72064ad @@ -87582,6 +109478,8 @@ 0 0 0 +0 +5 1 0x4670 0x3ebca8ab @@ -87590,6 +109488,8 @@ 0 0 0 +3 +0 4 0xbeb1 0xf8d233bb @@ -87598,6 +109498,8 @@ 1 1 0 +0 +5 3 0xb90a 0x7768c4e7 @@ -87606,6 +109508,8 @@ 1 0 0 +3 +4 1 0x7f1e 0x6f31a309 @@ -87614,6 +109518,8 @@ 0 0 0 +4 +2 2 0x9bdd 0xbc4a85d4 @@ -87622,6 +109528,8 @@ 0 0 0 +5 +4 2 0x8fed 0x1584b0ec @@ -87631,6 +109539,8 @@ 0 0 2 +5 +2 0x67df 0xe34e6569 256 @@ -87639,6 +109549,8 @@ 0 0 3 +3 +3 0x3ade 0x3db3c5c0 256 @@ -87646,6 +109558,8 @@ 0 0 0 +2 +1 4 0x8a02 0x272d1860 @@ -87654,6 +109568,8 @@ 0 0 0 +5 +3 3 0x61d5 0x6654f201 @@ -87663,6 +109579,8 @@ 0 0 1 +0 +1 0x5dc1 0x8389ffad 256 @@ -87670,6 +109588,8 @@ 1 1 0 +5 +1 4 0x635 0xfeabe748 @@ -87678,6 +109598,8 @@ 0 0 0 +0 +5 2 0x479e 0x3e7609e3 @@ -87686,6 +109608,8 @@ 0 0 0 +2 +2 4 0xf6a0 0x482b5f32 @@ -87694,6 +109618,8 @@ 0 0 0 +3 +4 1 0xcea7 0x6c5d8f47 @@ -87702,6 +109628,8 @@ 0 0 0 +5 +2 3 0xe55d 0x63bbf30d @@ -87710,6 +109638,8 @@ 1 0 0 +5 +5 3 0xe79f 0x8a907801 @@ -87719,6 +109649,8 @@ 0 0 4 +1 +4 0x61d9 0xb59ae3d8 256 @@ -87727,6 +109659,8 @@ 0 0 1 +4 +1 0x7ec0 0xdc8656f1 256 @@ -87735,6 +109669,8 @@ 0 0 4 +5 +4 0x4c3d 0x240ed2c3 256 @@ -87742,6 +109678,8 @@ 0 0 0 +3 +5 4 0x7b9c 0xca54a71a @@ -87750,6 +109688,8 @@ 1 0 0 +3 +2 2 0xccbc 0x9b528d7b @@ -87758,6 +109698,8 @@ 1 1 0 +0 +3 2 0x7428 0xdf172775 @@ -87766,6 +109708,8 @@ 0 0 0 +3 +3 4 0x9dde 0xf39a0dee @@ -87774,6 +109718,8 @@ 0 0 0 +3 +2 2 0x8534 0x9bd506a0 @@ -87782,6 +109728,8 @@ 0 0 0 +1 +1 2 0x68bd 0x5ad862ad @@ -87790,6 +109738,8 @@ 1 1 0 +1 +3 3 0xdf88 0x399facc8 @@ -87798,6 +109748,8 @@ 1 1 0 +4 +4 3 0x9bdb 0xe7452cee @@ -87806,6 +109758,8 @@ 1 1 0 +1 +5 3 0x9861 0x9f96e515 @@ -87814,6 +109768,8 @@ 0 0 0 +3 +0 1 0xde4d 0x33023b63 @@ -87822,6 +109778,8 @@ 0 0 0 +0 +0 2 0x869a 0xc4892235 @@ -87830,6 +109788,8 @@ 1 1 0 +2 +0 3 0x3827 0xcd2b4972 @@ -87839,6 +109799,8 @@ 0 0 4 +1 +4 0x396d 0x27197ca4 256 @@ -87846,6 +109808,8 @@ 0 0 0 +3 +4 4 0x3f08 0x5f4f2822 @@ -87854,6 +109818,8 @@ 0 0 0 +3 +0 2 0x9437 0x5618a661 @@ -87862,6 +109828,8 @@ 1 0 0 +4 +4 3 0xeb0e 0xd1abd303 @@ -87871,6 +109839,8 @@ 0 0 3 +5 +3 0xeeb1 0x42a1fa2 256 @@ -87878,6 +109848,8 @@ 0 0 0 +3 +2 4 0xb433 0x329a02af @@ -87887,6 +109859,8 @@ 0 0 3 +0 +3 0x3c92 0xf67865e2 256 @@ -87894,6 +109868,8 @@ 1 0 0 +3 +1 4 0x7d03 0x52dff46e @@ -87902,6 +109878,8 @@ 0 0 0 +0 +4 4 0xf3d9 0x12946063 @@ -87910,6 +109888,8 @@ 0 0 0 +3 +0 2 0xb855 0xf52c0e15 @@ -87919,6 +109899,8 @@ 0 0 4 +2 +4 0x5ab 0xe841c3dc 256 @@ -87926,6 +109908,8 @@ 1 1 0 +2 +1 1 0xfc4e 0x4c441e4d @@ -87934,6 +109918,8 @@ 1 1 0 +3 +0 2 0xda56 0x1c14ea52 @@ -87942,6 +109928,8 @@ 0 0 0 +3 +2 1 0x68a6 0x93a55420 @@ -87950,6 +109938,8 @@ 1 0 0 +5 +3 2 0xf61f 0xac99853c @@ -87959,6 +109949,8 @@ 0 0 4 +0 +4 0x3ec2 0x720a056 256 @@ -87966,6 +109958,8 @@ 0 0 0 +0 +0 3 0xbde6 0x91473e10 @@ -87974,6 +109968,8 @@ 0 0 0 +0 +2 2 0xc1c6 0xdd5a0d20 @@ -87982,6 +109978,8 @@ 1 0 0 +3 +4 1 0x6cb4 0x4cca154 @@ -87990,6 +109988,8 @@ 1 0 0 +2 +1 3 0x6e33 0xf3a2d547 @@ -87998,6 +109998,8 @@ 1 0 0 +2 +3 1 0xbee0 0xf4c1482a @@ -88006,6 +110008,8 @@ 0 0 0 +3 +5 2 0xc530 0x37230111 @@ -88014,6 +110018,8 @@ 0 0 0 +0 +5 4 0x73f3 0xc2a8277 @@ -88023,6 +110029,8 @@ 1 0 3 +0 +3 0x8791 0x2adc7669 256 @@ -88031,6 +110039,8 @@ 0 0 3 +3 +3 0x5063 0xbb5305bc 256 @@ -88038,6 +110048,8 @@ 0 0 0 +0 +2 1 0x3398 0xbb7bfc05 @@ -88046,6 +110058,8 @@ 1 1 0 +2 +5 4 0x3958 0x28a091dd @@ -88054,6 +110068,8 @@ 1 0 0 +1 +4 3 0x5cae 0xfc0b06b4 @@ -88063,6 +110079,8 @@ 0 0 3 +3 +3 0x7c0c 0x5129e0df 256 @@ -88071,6 +110089,8 @@ 0 0 1 +4 +1 0x4f80 0xe580f3a3 256 @@ -88078,6 +110098,8 @@ 1 0 0 +0 +4 4 0xd970 0x7a703663 @@ -88087,6 +110109,8 @@ 0 0 1 +2 +1 0x89ac 0x78bcbf2f 256 @@ -88094,6 +110118,8 @@ 1 0 0 +5 +2 2 0x97a3 0x81e877b8 @@ -88102,6 +110128,8 @@ 0 0 0 +4 +3 3 0x8ed9 0x6c1a80ed @@ -88110,6 +110138,8 @@ 1 1 0 +5 +0 2 0x422d 0x907ddf8e @@ -88118,6 +110148,8 @@ 1 1 0 +5 +4 2 0xb8d3 0x7f550907 @@ -88126,6 +110158,8 @@ 0 0 0 +4 +0 3 0x2e72 0xbd9bf894 @@ -88134,6 +110168,8 @@ 0 0 0 +3 +5 4 0x633f 0x1b7e8bb6 @@ -88142,6 +110178,8 @@ 1 1 0 +3 +4 4 0x8fb4 0xad45d37d @@ -88150,6 +110188,8 @@ 1 1 0 +2 +3 1 0x508f 0x2374b5c3 @@ -88158,6 +110198,8 @@ 1 0 0 +3 +4 1 0x7971 0xfd82e4fc @@ -88166,6 +110208,8 @@ 1 0 0 +3 +2 2 0x33ed 0xe3ef87e6 @@ -88175,6 +110219,8 @@ 1 0 4 +0 +4 0xfc0d 0xa1f41260 256 @@ -88183,6 +110229,8 @@ 0 0 1 +5 +1 0xc61 0xd578c6bb 256 @@ -88190,6 +110238,8 @@ 0 0 0 +1 +2 2 0x30de 0x4cbf911b @@ -88199,6 +110249,8 @@ 0 0 2 +4 +2 0xaf4e 0xc2101cdd 256 @@ -88206,6 +110258,8 @@ 0 0 0 +4 +3 3 0xd900 0x1e357dc8 @@ -88214,6 +110268,8 @@ 1 0 0 +4 +2 2 0xccaa 0x64adf313 @@ -88222,6 +110278,8 @@ 1 1 0 +4 +5 2 0xb1dc 0x4d865feb @@ -88230,6 +110288,8 @@ 0 0 0 +0 +1 2 0x9271 0xa9b92b05 @@ -88238,6 +110298,8 @@ 0 0 0 +5 +1 3 0x2cd3 0xb899e2a6 @@ -88246,6 +110308,8 @@ 1 0 0 +5 +3 1 0x7219 0x2938b460 @@ -88254,6 +110318,8 @@ 1 0 0 +3 +2 2 0x216c 0xedf880 @@ -88262,6 +110328,8 @@ 0 0 0 +4 +0 2 0x5c56 0xbfc64422 @@ -88270,6 +110338,8 @@ 1 1 0 +1 +4 3 0xc49c 0xda60938b @@ -88279,6 +110349,8 @@ 0 0 3 +5 +3 0x66aa 0xfc8dfafb 256 @@ -88286,6 +110358,8 @@ 0 0 0 +5 +4 2 0xebbf 0xa7fec5f4 @@ -88294,6 +110368,8 @@ 0 0 0 +5 +5 4 0x7b53 0x28336fe1 @@ -88302,6 +110378,8 @@ 0 0 0 +5 +4 1 0x33f9 0x9d32c6ae @@ -88310,6 +110388,8 @@ 1 0 0 +1 +4 3 0x11cf 0x291e06e5 @@ -88318,6 +110398,8 @@ 1 1 0 +5 +4 1 0x8689 0xc70c37e2 @@ -88327,6 +110409,8 @@ 0 0 3 +0 +3 0x8cd 0x95691c25 256 @@ -88334,6 +110418,8 @@ 1 1 0 +4 +5 3 0x3d42 0x2a656dcd @@ -88342,6 +110428,8 @@ 0 0 0 +5 +1 4 0xee29 0xd6804942 @@ -88351,6 +110439,8 @@ 1 0 2 +1 +2 0x821d 0x89859985 256 @@ -88358,6 +110448,8 @@ 0 0 0 +5 +5 3 0xd25a 0x25d5643e @@ -88366,6 +110458,8 @@ 0 0 0 +2 +3 4 0xa86 0x66fce1ac @@ -88374,6 +110468,8 @@ 0 0 0 +0 +1 1 0x7d82 0x1256875a @@ -88382,6 +110478,8 @@ 0 0 0 +5 +4 2 0x1fdf 0x4d3e2532 @@ -88390,6 +110488,8 @@ 1 1 0 +4 +2 1 0x619e 0x3f290043 @@ -88398,6 +110498,8 @@ 1 0 0 +3 +3 2 0x2adf 0xc4520778 @@ -88406,6 +110508,8 @@ 1 0 0 +0 +1 3 0x1da9 0x5e321787 @@ -88414,6 +110518,8 @@ 0 0 0 +3 +0 4 0x8cd9 0xe14d615c @@ -88423,6 +110529,8 @@ 0 0 2 +1 +2 0xa321 0x3b35f73f 256 @@ -88431,6 +110539,8 @@ 0 0 2 +2 +2 0x29bb 0xf53d6cca 256 @@ -88439,6 +110549,8 @@ 0 0 4 +4 +4 0xcc85 0x3df94db8 256 @@ -88446,6 +110558,8 @@ 0 0 0 +3 +4 2 0x219b 0x4da48f80 @@ -88454,6 +110568,8 @@ 0 0 0 +4 +3 2 0x6ba6 0x84a4553d @@ -88462,6 +110578,8 @@ 1 0 0 +1 +2 4 0xa97b 0x210927ca @@ -88470,6 +110588,8 @@ 1 0 0 +5 +1 2 0x9bd3 0xec85b556 @@ -88478,6 +110598,8 @@ 1 0 0 +3 +4 1 0xfc9d 0xd50b1dce @@ -88487,6 +110609,8 @@ 0 0 4 +5 +4 0xb2e1 0x9fbcb155 256 @@ -88494,6 +110618,8 @@ 0 0 0 +2 +0 1 0x67cc 0x3fd5430e @@ -88502,6 +110628,8 @@ 0 0 0 +1 +1 3 0x3258 0x4335f9bb @@ -88510,6 +110638,8 @@ 0 0 0 +0 +4 1 0xa1f3 0x20309029 @@ -88518,6 +110648,8 @@ 1 1 0 +2 +0 4 0xc9f5 0x19dd3d11 @@ -88527,6 +110659,8 @@ 0 0 2 +5 +2 0x65a2 0x8e829dcd 256 @@ -88534,6 +110668,8 @@ 1 0 0 +2 +0 3 0x5be1 0x7ecad770 @@ -88542,6 +110678,8 @@ 1 1 0 +2 +0 1 0x6994 0xb52596d @@ -88550,6 +110688,8 @@ 0 0 0 +0 +0 3 0x9841 0x4b589a55 @@ -88558,6 +110698,8 @@ 1 0 0 +1 +1 2 0x6fa5 0xa68bcf4a @@ -88567,6 +110709,8 @@ 0 0 4 +3 +4 0xe9ea 0x76647cc 256 @@ -88574,6 +110718,8 @@ 1 1 0 +4 +2 1 0x1803 0x291bd9df @@ -88582,6 +110728,8 @@ 1 1 0 +3 +4 4 0x5b48 0x19ca2389 @@ -88590,6 +110738,8 @@ 0 0 0 +5 +0 2 0xaec 0xd285d65b @@ -88598,6 +110748,8 @@ 1 0 0 +2 +0 3 0xb0f4 0x9d02aac2 @@ -88606,6 +110758,8 @@ 1 0 0 +0 +4 1 0x21fb 0x8c23b07a @@ -88614,6 +110768,8 @@ 0 0 0 +3 +2 1 0x28aa 0x950dd0bc @@ -88622,6 +110778,8 @@ 0 0 0 +5 +3 2 0x218 0xfa2d0cea @@ -88630,6 +110788,8 @@ 1 1 0 +4 +0 1 0xc7b2 0x5205ad81 @@ -88638,6 +110798,8 @@ 0 0 0 +2 +0 1 0x6847 0x5fd32741 @@ -88646,6 +110808,8 @@ 1 0 0 +4 +0 3 0x993c 0xcf9b5516 @@ -88655,6 +110819,8 @@ 0 0 1 +2 +1 0xacec 0x1cf037b0 256 @@ -88662,6 +110828,8 @@ 0 0 0 +4 +4 2 0x1629 0xb41b2589 @@ -88670,6 +110838,8 @@ 1 0 0 +0 +0 4 0xa5d8 0xaa508a2e @@ -88678,6 +110848,8 @@ 1 0 0 +3 +4 4 0xfd6 0xe2ac24f0 @@ -88686,6 +110858,8 @@ 1 1 0 +0 +5 3 0xda5a 0x7a67870 @@ -88694,6 +110868,8 @@ 0 0 0 +0 +1 1 0x955f 0x68e47869 @@ -88702,6 +110878,8 @@ 0 0 0 +1 +4 4 0x65f2 0x6297b088 @@ -88710,6 +110888,8 @@ 0 0 0 +3 +5 4 0x26ca 0xffae4ed6 @@ -88718,6 +110898,8 @@ 0 0 0 +3 +0 4 0x5d37 0xbf024cf5 @@ -88726,6 +110908,8 @@ 1 0 0 +5 +1 4 0x45bd 0xbe0222b @@ -88734,6 +110918,8 @@ 0 0 0 +4 +0 3 0xa6c0 0xd96bc17a @@ -88742,6 +110928,8 @@ 1 1 0 +3 +5 2 0x22be 0x1970558e @@ -88750,6 +110938,8 @@ 0 0 0 +2 +4 4 0x463b 0xccbc84cb @@ -88758,6 +110948,8 @@ 0 0 0 +3 +3 1 0x87df 0x5ce8a16a @@ -88767,6 +110959,8 @@ 1 0 2 +1 +2 0xbd4d 0xa36a3dd0 256 @@ -88774,6 +110968,8 @@ 1 1 0 +2 +4 4 0x158b 0xc4611acb @@ -88782,6 +110978,8 @@ 0 0 0 +2 +3 1 0xfc2a 0x3266bf79 @@ -88790,6 +110988,8 @@ 1 0 0 +3 +3 2 0x33e6 0x47f07b15 @@ -88799,6 +110999,8 @@ 0 0 4 +0 +4 0x61ea 0x807747f6 256 @@ -88806,6 +111008,8 @@ 1 0 0 +2 +1 4 0x8f99 0xbb21b1b0 @@ -88814,6 +111018,8 @@ 1 0 0 +4 +4 2 0x480e 0x5530510 @@ -88822,6 +111028,8 @@ 0 0 0 +5 +3 2 0x8648 0xddbf3c46 @@ -88830,6 +111038,8 @@ 1 0 0 +5 +2 1 0x23cd 0x526c2911 @@ -88838,6 +111048,8 @@ 1 1 0 +4 +2 3 0xdc08 0xa00e189f @@ -88846,6 +111058,8 @@ 0 0 0 +4 +5 1 0x5f27 0x4c52e355 @@ -88854,6 +111068,8 @@ 0 0 0 +3 +3 2 0x1465 0x80cd193f @@ -88862,6 +111078,8 @@ 0 0 0 +4 +3 3 0x8df9 0x679dc8a9 @@ -88870,6 +111088,8 @@ 1 1 0 +0 +1 3 0xb5d8 0xdb569d04 @@ -88878,6 +111098,8 @@ 1 1 0 +3 +3 1 0xd824 0x8ea394f0 @@ -88886,6 +111108,8 @@ 1 0 0 +1 +1 4 0x280b 0xc0a65e4 @@ -88894,6 +111118,8 @@ 1 0 0 +3 +5 4 0xa500 0x8846e1ea @@ -88902,6 +111128,8 @@ 0 0 0 +4 +0 3 0xdd0a 0xffe21944 @@ -88910,6 +111138,8 @@ 0 0 0 +1 +1 2 0x419e 0xfb0dc988 @@ -88918,6 +111148,8 @@ 1 0 0 +2 +0 3 0x72e4 0x5c13da35 @@ -88926,6 +111158,8 @@ 1 0 0 +4 +4 1 0xf1fd 0xfd7363f6 @@ -88934,6 +111168,8 @@ 1 1 0 +4 +2 3 0x80f9 0xed4990f2 @@ -88942,6 +111178,8 @@ 1 1 0 +5 +3 4 0x7e29 0x298845bb @@ -88950,6 +111188,8 @@ 0 0 0 +0 +3 4 0x756 0x9f1eefc3 @@ -88958,6 +111198,8 @@ 1 0 0 +4 +0 1 0xc36f 0xb2783d11 @@ -88966,6 +111208,8 @@ 1 0 0 +2 +0 4 0xf5e 0x6daf46f2 @@ -88974,6 +111218,8 @@ 1 0 0 +5 +0 4 0x7f6a 0x5777b695 @@ -88982,6 +111228,8 @@ 0 0 0 +0 +1 4 0x7d00 0xc10051c7 @@ -88990,6 +111238,8 @@ 0 0 0 +0 +3 2 0xf487 0xc965b6ee @@ -88998,6 +111248,8 @@ 0 0 0 +0 +2 2 0x7326 0x67fb3441 @@ -89007,6 +111259,8 @@ 0 0 2 +4 +2 0x4e3d 0x6adecd68 256 @@ -89014,6 +111268,8 @@ 0 0 0 +0 +0 4 0xaf52 0xa4af3090 @@ -89023,6 +111279,8 @@ 0 0 1 +4 +1 0x32e9 0x46d8fda6 256 @@ -89030,6 +111288,8 @@ 1 1 0 +5 +5 3 0x6923 0xdba538ad @@ -89038,6 +111298,8 @@ 1 1 0 +1 +1 2 0xea59 0x8043cbb1 @@ -89046,6 +111308,8 @@ 0 0 0 +5 +2 3 0x34b0 0x8c411dee @@ -89054,6 +111318,8 @@ 0 0 0 +4 +1 3 0x2676 0x67b374c @@ -89063,6 +111329,8 @@ 0 0 2 +2 +2 0xb111 0x35613d3b 256 @@ -89070,6 +111338,8 @@ 1 0 0 +1 +5 3 0xb883 0x642d59d6 @@ -89078,6 +111348,8 @@ 1 0 0 +3 +5 1 0x4dc7 0xcd8fe010 @@ -89086,6 +111358,8 @@ 1 0 0 +3 +4 2 0xf8fe 0x20a50bff @@ -89094,6 +111368,8 @@ 1 0 0 +2 +1 3 0x148b 0x7916b02 @@ -89102,6 +111378,8 @@ 1 0 0 +2 +2 4 0xb166 0xe5c6306d @@ -89111,6 +111389,8 @@ 0 0 1 +0 +1 0x3104 0xfa750ae9 256 @@ -89118,6 +111398,8 @@ 1 1 0 +1 +3 4 0x31bb 0xec0ae556 @@ -89126,6 +111408,8 @@ 1 0 0 +2 +0 4 0x81e2 0x6f75418a @@ -89134,6 +111418,8 @@ 1 0 0 +3 +5 2 0xbe59 0x8162dbbc @@ -89142,6 +111428,8 @@ 1 1 0 +4 +1 2 0x16b 0x6c05697 @@ -89150,6 +111438,8 @@ 1 0 0 +1 +3 3 0xad35 0x9d4a75a8 @@ -89158,6 +111448,8 @@ 1 1 0 +4 +1 1 0xfb8c 0x906bce8a @@ -89166,6 +111458,8 @@ 1 0 0 +1 +2 4 0x6760 0xfea0ee74 @@ -89174,6 +111468,8 @@ 0 0 0 +0 +2 4 0x686 0xe29bfd63 @@ -89182,6 +111478,8 @@ 1 1 0 +5 +5 2 0xa7e7 0x1e53f903 @@ -89190,6 +111488,8 @@ 1 1 0 +1 +3 3 0xbf1a 0x37e1e4c8 @@ -89198,6 +111498,8 @@ 0 0 0 +0 +0 2 0xc94e 0xc186bcd @@ -89206,6 +111508,8 @@ 1 0 0 +3 +4 2 0xb5ad 0x9038a65b @@ -89214,6 +111518,8 @@ 1 1 0 +1 +0 3 0xbe10 0xea385d64 @@ -89222,6 +111528,8 @@ 0 0 0 +5 +2 1 0x19b1 0x7a75fa29 @@ -89230,6 +111538,8 @@ 0 0 0 +5 +5 2 0xf6d8 0x11d8605d @@ -89238,6 +111548,8 @@ 0 0 0 +2 +5 3 0x7554 0xc4838b16 @@ -89246,6 +111558,8 @@ 1 0 0 +3 +4 4 0x928b 0xe19629df @@ -89254,6 +111568,8 @@ 1 1 0 +1 +3 2 0x880c 0xb69566a6 @@ -89262,6 +111578,8 @@ 0 0 0 +4 +5 2 0x1ad3 0xada692b9 @@ -89270,6 +111588,8 @@ 0 0 0 +3 +1 2 0xf885 0x38a83a9e @@ -89278,6 +111598,8 @@ 1 1 0 +5 +0 4 0x4c85 0xa5cb140a @@ -89286,6 +111608,8 @@ 1 1 0 +2 +4 4 0x69c9 0xee4609b1 @@ -89294,6 +111618,8 @@ 0 0 0 +3 +5 2 0xe6c5 0xacea004d @@ -89302,6 +111628,8 @@ 1 0 0 +5 +5 1 0x94f5 0xee3dfe56 @@ -89311,6 +111639,8 @@ 1 0 3 +4 +3 0x155a 0xc57dbfe2 256 @@ -89318,6 +111648,8 @@ 1 0 0 +5 +5 3 0x90b3 0x28c9eb90 @@ -89326,6 +111658,8 @@ 1 1 0 +5 +4 3 0xfc54 0x396fb9ed @@ -89335,6 +111669,8 @@ 1 0 4 +3 +4 0xcd36 0x2fe811a3 256 @@ -89342,6 +111678,8 @@ 1 1 0 +2 +5 1 0x99e2 0x93d5ba64 @@ -89350,6 +111688,8 @@ 0 0 0 +1 +4 4 0x1033 0xb4a3a789 @@ -89359,6 +111699,8 @@ 0 0 1 +2 +1 0xf291 0x4aaffbd6 256 @@ -89366,6 +111708,8 @@ 1 1 0 +2 +4 1 0x9fb4 0x2a5ea826 @@ -89374,6 +111718,8 @@ 0 0 0 +5 +1 3 0x762a 0x1c254209 @@ -89382,6 +111728,8 @@ 0 0 0 +1 +0 4 0x9292 0x7c199752 @@ -89390,6 +111738,8 @@ 1 0 0 +2 +1 3 0x575 0x7ce943c7 @@ -89398,6 +111748,8 @@ 0 0 0 +5 +4 2 0xb45b 0xf760a29a @@ -89406,6 +111758,8 @@ 1 0 0 +5 +4 4 0x2b82 0x7fbaf615 @@ -89414,6 +111768,8 @@ 0 0 0 +0 +3 1 0xeae5 0x58bef92 @@ -89422,6 +111778,8 @@ 1 1 0 +5 +5 3 0xb6c0 0x6c4d3391 @@ -89430,6 +111788,8 @@ 1 0 0 +2 +3 4 0x5286 0x3dcc6199 @@ -89439,6 +111799,8 @@ 0 0 3 +0 +3 0x152 0xe777a47 256 @@ -89447,6 +111809,8 @@ 0 0 4 +5 +4 0x3d0a 0x88f5a98 256 @@ -89454,6 +111818,8 @@ 1 0 0 +2 +5 1 0x6e13 0xf02b03e2 @@ -89463,6 +111829,8 @@ 1 0 3 +3 +3 0x32fd 0x2160d4c8 256 @@ -89470,6 +111838,8 @@ 1 0 0 +3 +4 1 0x71c2 0xc6a7698 @@ -89478,6 +111848,8 @@ 0 0 0 +3 +0 2 0x3d9c 0x6de692ff @@ -89486,6 +111858,8 @@ 0 0 0 +1 +3 3 0x14a7 0xabc5b209 @@ -89494,6 +111868,8 @@ 1 1 0 +1 +2 2 0x6de9 0x2e407e65 @@ -89503,6 +111879,8 @@ 0 0 3 +4 +3 0xd4d3 0x291a0285 256 @@ -89510,6 +111888,8 @@ 1 1 0 +2 +4 4 0x243c 0x65967200 @@ -89518,6 +111898,8 @@ 1 0 0 +5 +3 3 0x5399 0x4c782a4c @@ -89527,6 +111909,8 @@ 0 0 4 +2 +4 0x2f28 0x8e77306 256 @@ -89534,6 +111918,8 @@ 0 0 0 +4 +4 3 0xd9ac 0xdea4751d @@ -89542,6 +111928,8 @@ 1 1 0 +1 +0 3 0xcb1c 0x18ffb3b5 @@ -89550,6 +111938,8 @@ 1 1 0 +3 +0 1 0x5a1c 0x1ccff520 @@ -89558,6 +111948,8 @@ 0 0 0 +5 +2 3 0x5284 0xbaf16497 @@ -89566,6 +111958,8 @@ 0 0 0 +3 +0 4 0x8cc8 0xd322b238 @@ -89574,6 +111968,8 @@ 1 0 0 +2 +3 1 0x1aec 0x4e2cdd25 @@ -89582,6 +111978,8 @@ 1 0 0 +2 +4 4 0xab18 0x4daf4c81 @@ -89591,6 +111989,8 @@ 0 0 2 +5 +2 0x4f2 0xf01d62ea 256 @@ -89598,6 +111998,8 @@ 1 0 0 +0 +2 1 0x9840 0x134398a6 @@ -89606,6 +112008,8 @@ 1 1 0 +1 +0 4 0x58ac 0x968e5163 @@ -89614,6 +112018,8 @@ 0 0 0 +5 +2 3 0xca41 0x8c74d410 @@ -89622,6 +112028,8 @@ 0 0 0 +2 +0 4 0x1403 0x3ee8b92b @@ -89630,6 +112038,8 @@ 0 0 0 +5 +5 1 0x43fd 0x65d59d40 @@ -89638,6 +112048,8 @@ 1 0 0 +5 +5 3 0xf5ea 0x6faafb65 @@ -89646,6 +112058,8 @@ 1 0 0 +1 +1 3 0x7196 0x5a4b23a7 @@ -89654,6 +112068,8 @@ 1 0 0 +3 +0 4 0xd86e 0x88b4b61d @@ -89662,6 +112078,8 @@ 0 0 0 +0 +3 2 0x6e19 0x530c439c @@ -89671,6 +112089,8 @@ 1 0 4 +1 +4 0x5cc0 0x5c6b8ecc 256 @@ -89679,6 +112099,8 @@ 0 0 3 +4 +3 0xce79 0x63e10d1e 256 @@ -89686,6 +112108,8 @@ 1 0 0 +4 +2 3 0xf7f0 0x4b98833c @@ -89694,6 +112118,8 @@ 1 0 0 +5 +4 2 0x118c 0xd00c1aa4 @@ -89702,6 +112128,8 @@ 0 0 0 +4 +1 1 0xd583 0xd71a199 @@ -89710,6 +112138,8 @@ 1 0 0 +2 +1 4 0xa18f 0xf8360a78 @@ -89718,6 +112148,8 @@ 0 0 0 +1 +4 2 0x1262 0xadc2fd6b @@ -89726,6 +112158,8 @@ 0 0 0 +5 +3 2 0x26ea 0x6b23ace5 @@ -89735,6 +112169,8 @@ 0 0 2 +1 +2 0x20a8 0x97f34a6e 256 @@ -89742,6 +112178,8 @@ 0 0 0 +0 +1 2 0x7153 0xc2b810b0 @@ -89751,6 +112189,8 @@ 0 0 2 +2 +2 0x4f30 0x7b85a11b 256 @@ -89758,6 +112198,8 @@ 1 0 0 +1 +5 4 0x6150 0x67c5e3d4 @@ -89767,6 +112209,8 @@ 1 0 1 +2 +1 0x3513 0x26466b81 256 @@ -89775,6 +112219,8 @@ 0 0 3 +3 +3 0x712b 0xd3b1f4e5 256 @@ -89783,6 +112229,8 @@ 0 0 3 +1 +3 0xa228 0x216b4391 256 @@ -89790,6 +112238,8 @@ 1 0 0 +5 +5 1 0x6328 0x95e1fabe @@ -89798,6 +112248,8 @@ 0 0 0 +3 +1 1 0x880c 0xbc831229 @@ -89806,6 +112258,8 @@ 0 0 0 +0 +4 3 0xf9bf 0xb3500de @@ -89815,6 +112269,8 @@ 0 0 4 +2 +4 0xd9d7 0x634c73dc 256 @@ -89822,6 +112278,8 @@ 1 1 0 +2 +5 1 0x8723 0x23efffed @@ -89830,6 +112288,8 @@ 1 1 0 +2 +1 4 0xf403 0xe163654d @@ -89839,6 +112299,8 @@ 0 0 4 +4 +4 0x5d21 0x7b1b6b33 256 @@ -89846,6 +112308,8 @@ 0 0 0 +1 +1 2 0x868a 0x884dbbac @@ -89854,6 +112318,8 @@ 0 0 0 +4 +2 3 0x77ec 0xcd3f030 @@ -89862,6 +112328,8 @@ 1 1 0 +1 +5 4 0xf277 0xe45783bb @@ -89870,6 +112338,8 @@ 1 0 0 +3 +3 1 0x8b07 0x285fefe @@ -89878,6 +112348,8 @@ 0 0 0 +1 +2 2 0x8645 0xed3250af @@ -89886,6 +112358,8 @@ 0 0 0 +2 +4 4 0x2a80 0xb6f0d858 @@ -89894,6 +112368,8 @@ 1 1 0 +1 +2 4 0x791f 0xe6dd9851 @@ -89902,6 +112378,8 @@ 0 0 0 +5 +0 4 0xae0a 0x78eb66ce @@ -89910,6 +112388,8 @@ 0 0 0 +4 +4 3 0xcc9f 0xeefe72e @@ -89918,6 +112398,8 @@ 1 1 0 +0 +0 1 0x20e2 0xc5a876e9 @@ -89926,6 +112408,8 @@ 1 1 0 +2 +4 4 0x8fc5 0x7cd3dc52 @@ -89935,6 +112419,8 @@ 1 0 4 +3 +4 0x2683 0xda2f99d0 256 @@ -89942,6 +112428,8 @@ 0 0 0 +5 +4 4 0xaffa 0x3682997 @@ -89951,6 +112439,8 @@ 0 0 3 +0 +3 0x2644 0xb9b90781 256 @@ -89958,6 +112448,8 @@ 1 0 0 +1 +0 3 0xd999 0x74cc2e0d @@ -89967,6 +112459,8 @@ 1 0 4 +1 +4 0xff7a 0x4b6eeb43 256 @@ -89974,6 +112468,8 @@ 1 0 0 +0 +4 2 0x17f9 0x64ad67e3 @@ -89982,6 +112478,8 @@ 0 0 0 +3 +3 1 0x3e18 0x1d2fa218 @@ -89990,6 +112488,8 @@ 1 1 0 +1 +5 4 0x3554 0xcc808b12 @@ -89998,6 +112498,8 @@ 1 1 0 +0 +2 1 0x75c5 0xcb018fec @@ -90006,6 +112508,8 @@ 1 1 0 +0 +2 4 0xc52d 0xcf97bed2 @@ -90014,6 +112518,8 @@ 0 0 0 +4 +0 1 0x729e 0x5fc4564c @@ -90022,6 +112528,8 @@ 1 0 0 +5 +3 1 0xb8e7 0x8bd6b793 @@ -90030,6 +112538,8 @@ 0 0 0 +0 +2 4 0xfa66 0x61395620 @@ -90038,6 +112548,8 @@ 0 0 0 +5 +4 3 0x61ad 0x923c661f @@ -90046,6 +112558,8 @@ 1 0 0 +4 +5 3 0x6949 0xd228725 @@ -90054,6 +112568,8 @@ 0 0 0 +2 +4 3 0x54d9 0xf783a2be @@ -90063,6 +112579,8 @@ 0 0 3 +1 +3 0x94b7 0x779bd520 256 @@ -90070,6 +112588,8 @@ 1 0 0 +5 +3 4 0xf560 0xe260faee @@ -90078,6 +112598,8 @@ 0 0 0 +4 +1 1 0x7b36 0x2706571e @@ -90086,6 +112608,8 @@ 1 0 0 +5 +5 2 0xffe 0x30b1a93c @@ -90094,6 +112618,8 @@ 1 0 0 +4 +0 3 0x74a8 0x713ed16c @@ -90102,6 +112628,8 @@ 0 0 0 +3 +1 1 0xb24c 0xb7935703 @@ -90111,6 +112639,8 @@ 0 0 3 +1 +3 0x870 0xbf3160d1 256 @@ -90119,6 +112649,8 @@ 1 0 3 +1 +3 0x295 0x333aca63 256 @@ -90126,6 +112658,8 @@ 1 0 0 +2 +5 1 0xc647 0x9a783fab @@ -90134,6 +112668,8 @@ 1 1 0 +5 +2 3 0xff87 0x9aeeb6ca @@ -90142,6 +112678,8 @@ 1 1 0 +0 +1 3 0x369e 0xbc878697 @@ -90150,6 +112688,8 @@ 1 0 0 +2 +2 3 0x98fe 0xfb03e569 @@ -90158,6 +112698,8 @@ 1 1 0 +2 +1 4 0x1b3e 0xe6ff92f8 @@ -90167,6 +112709,8 @@ 1 0 1 +0 +1 0xc3a6 0xd9df0236 256 @@ -90174,6 +112718,8 @@ 0 0 0 +4 +1 2 0x6a6f 0x96049f4b @@ -90183,6 +112729,8 @@ 1 0 1 +0 +1 0xb42f 0x469269d5 256 @@ -90190,6 +112738,8 @@ 0 0 0 +5 +2 1 0x7034 0x65c0c135 @@ -90198,6 +112748,8 @@ 0 0 0 +4 +3 2 0xa912 0x1ebf6905 @@ -90206,6 +112758,8 @@ 1 0 0 +3 +2 4 0x44f2 0x13808ffc @@ -90215,6 +112769,8 @@ 1 0 4 +5 +4 0xeab1 0x70e0c850 256 @@ -90222,6 +112778,8 @@ 0 0 0 +5 +4 4 0xf696 0xf0b1d859 @@ -90230,6 +112788,8 @@ 0 0 0 +5 +2 3 0x7bd6 0x5a80f862 @@ -90238,6 +112798,8 @@ 0 0 0 +4 +0 3 0xbd93 0x32b32433 @@ -90246,6 +112808,8 @@ 1 0 0 +4 +5 3 0x6cd4 0x9e6063e5 @@ -90254,6 +112818,8 @@ 1 0 0 +3 +2 1 0x30ae 0x7b9f08c1 @@ -90262,6 +112828,8 @@ 0 0 0 +2 +0 1 0xd4be 0x7f980b07 @@ -90271,6 +112839,8 @@ 0 0 4 +1 +4 0x7d03 0xe2009714 256 @@ -90278,6 +112848,8 @@ 0 0 0 +4 +2 3 0xb7eb 0x939f1b4 @@ -90286,6 +112858,8 @@ 0 0 0 +4 +0 1 0xcb30 0xf462f6fe @@ -90294,6 +112868,8 @@ 1 1 0 +5 +2 4 0x2d5e 0x413c68e1 @@ -90302,6 +112878,8 @@ 1 0 0 +1 +4 4 0xaac 0xa3dcfc27 @@ -90310,6 +112888,8 @@ 0 0 0 +3 +5 1 0xfae 0x473d327 @@ -90318,6 +112898,8 @@ 1 0 0 +5 +3 4 0x8f46 0xb5008ec2 @@ -90326,6 +112908,8 @@ 1 0 0 +2 +4 4 0xde03 0x6473fe41 @@ -90334,6 +112918,8 @@ 1 1 0 +3 +0 2 0xa8f5 0x559a635f @@ -90342,6 +112928,8 @@ 0 0 0 +0 +4 1 0xa8b6 0x458279 @@ -90350,6 +112938,8 @@ 0 0 0 +4 +4 1 0xd734 0x6f62c41a @@ -90358,6 +112948,8 @@ 0 0 0 +1 +2 4 0x5dda 0x18515102 @@ -90367,6 +112959,8 @@ 0 0 4 +2 +4 0x39ea 0xaf85395a 256 @@ -90374,6 +112968,8 @@ 0 0 0 +0 +0 3 0xc1c4 0x230cce95 @@ -90382,6 +112978,8 @@ 0 0 0 +1 +2 2 0x8b94 0x5533992c @@ -90391,6 +112989,8 @@ 0 0 4 +5 +4 0xed99 0xfea69849 256 @@ -90399,6 +112999,8 @@ 0 0 1 +2 +1 0xca40 0xe9a5cfd3 256 @@ -90406,6 +113008,8 @@ 1 1 0 +3 +1 4 0xa240 0x2dbf4856 @@ -90414,6 +113018,8 @@ 1 1 0 +3 +3 2 0x1fad 0x653733e5 @@ -90422,6 +113028,8 @@ 1 1 0 +4 +4 1 0x1135 0x7ab3b5d0 @@ -90430,6 +113038,8 @@ 0 0 0 +1 +0 4 0xc2b8 0x52a8341 @@ -90438,6 +113048,8 @@ 1 1 0 +0 +4 1 0xb413 0xdc780255 @@ -90446,6 +113058,8 @@ 1 1 0 +3 +5 2 0xfa38 0xf6c6a64c @@ -90454,6 +113068,8 @@ 0 0 0 +3 +3 4 0x34c0 0x862141e2 @@ -90463,6 +113079,8 @@ 0 0 3 +1 +3 0xb511 0xa54fb72c 256 @@ -90470,6 +113088,8 @@ 0 0 0 +3 +3 4 0xc238 0x68cef794 @@ -90478,6 +113098,8 @@ 0 0 0 +4 +3 3 0xe37b 0x643698b0 @@ -90486,6 +113108,8 @@ 1 0 0 +3 +5 2 0xfdfb 0x4c7039dd @@ -90494,6 +113118,8 @@ 0 0 0 +2 +5 4 0xe2d8 0xba4bfbb1 @@ -90502,6 +113128,8 @@ 0 0 0 +1 +0 3 0x1b8b 0xbe23a0bd @@ -90510,6 +113138,8 @@ 0 0 0 +0 +0 2 0x8e18 0x5342adf5 @@ -90518,6 +113148,8 @@ 1 0 0 +0 +4 4 0x4864 0x2a991b4e @@ -90526,6 +113158,8 @@ 1 0 0 +0 +5 1 0x8de4 0x7cb2224e @@ -90534,6 +113168,8 @@ 0 0 0 +0 +4 3 0x99ea 0xd956a95 @@ -90542,6 +113178,8 @@ 1 1 0 +3 +4 4 0xae3d 0xae7f5649 @@ -90550,6 +113188,8 @@ 1 1 0 +3 +3 4 0xe498 0xd0403100 @@ -90558,6 +113198,8 @@ 1 1 0 +5 +0 3 0x1bfd 0x2688eb11 @@ -90566,6 +113208,8 @@ 0 0 0 +1 +3 4 0xf38 0xf1f020ee @@ -90574,6 +113218,8 @@ 1 0 0 +2 +3 1 0x9c57 0xd5122036 @@ -90583,6 +113229,8 @@ 0 0 4 +2 +4 0x1122 0xbe396f41 256 @@ -90590,6 +113238,8 @@ 1 0 0 +0 +0 3 0x1079 0xdf9fd18f @@ -90598,6 +113248,8 @@ 1 0 0 +5 +3 2 0xcb5f 0xb4cd7a8c @@ -90606,6 +113258,8 @@ 1 1 0 +1 +5 3 0x7935 0x2383c870 @@ -90614,6 +113268,8 @@ 1 1 0 +0 +2 3 0x4788 0x814f868 @@ -90622,6 +113278,8 @@ 0 0 0 +4 +2 3 0x21 0x8cfeab20 @@ -90630,6 +113288,8 @@ 0 0 0 +5 +0 2 0x7c34 0xbd309154 @@ -90639,6 +113299,8 @@ 0 0 3 +3 +3 0x7ab4 0xc9fab2f9 256 @@ -90646,6 +113308,8 @@ 0 0 0 +2 +1 4 0x2285 0x8e2aa211 @@ -90654,6 +113318,8 @@ 0 0 0 +4 +4 3 0x5996 0x58a090a6 @@ -90662,6 +113328,8 @@ 0 0 0 +5 +1 2 0xca55 0xa69d9418 @@ -90670,6 +113338,8 @@ 0 0 0 +1 +0 3 0x9b58 0xb19c72df @@ -90678,6 +113348,8 @@ 1 1 0 +4 +5 1 0xba75 0x97c8a55a @@ -90687,6 +113359,8 @@ 0 0 3 +0 +3 0x1943 0xd84bb6f1 256 @@ -90694,6 +113368,8 @@ 0 0 0 +5 +4 4 0xb702 0xdc3ab693 @@ -90702,6 +113378,8 @@ 1 1 0 +0 +0 4 0xf606 0x4ef06560 @@ -90710,6 +113388,8 @@ 1 0 0 +1 +4 2 0x1917 0xfd0debb5 @@ -90718,6 +113398,8 @@ 0 0 0 +5 +1 4 0x97dc 0xb86173a7 @@ -90726,6 +113408,8 @@ 1 0 0 +3 +1 4 0x4b27 0x4ec71c98 @@ -90734,6 +113418,8 @@ 0 0 0 +2 +4 1 0x1d59 0x333d54a9 @@ -90743,6 +113429,8 @@ 0 0 3 +1 +3 0x1d09 0xd1901f5e 256 @@ -90750,6 +113438,8 @@ 1 1 0 +4 +1 1 0x23c5 0xe01a06f6 @@ -90758,6 +113448,8 @@ 0 0 0 +0 +4 1 0x4a0 0x891432d5 @@ -90766,6 +113458,8 @@ 0 0 0 +4 +2 1 0xb700 0x1bab0472 @@ -90774,6 +113468,8 @@ 0 0 0 +0 +4 2 0xc635 0xbc7a8352 @@ -90782,6 +113478,8 @@ 0 0 0 +5 +0 1 0xc805 0x330490ec @@ -90790,6 +113488,8 @@ 1 0 0 +1 +3 4 0xcb31 0xca8fde8d @@ -90798,6 +113498,8 @@ 0 0 0 +1 +4 3 0x85ae 0xcc4f5304 @@ -90807,6 +113509,8 @@ 0 0 1 +1 +1 0xa63f 0x9da0fd51 256 @@ -90814,6 +113518,8 @@ 1 1 0 +1 +1 4 0x7c83 0xcbd4d78a @@ -90822,6 +113528,8 @@ 0 0 0 +1 +3 3 0x647e 0x53bb14d9 @@ -90831,6 +113539,8 @@ 0 0 1 +4 +1 0xec13 0xff776250 256 @@ -90838,6 +113548,8 @@ 1 0 0 +3 +3 4 0x9cd 0xa6424e9e @@ -90846,6 +113558,8 @@ 1 1 0 +2 +3 3 0x5a94 0xb6db3767 @@ -90854,6 +113568,8 @@ 0 0 0 +4 +3 3 0x7adc 0x6d4ff33c @@ -90862,6 +113578,8 @@ 1 0 0 +4 +2 2 0x39ac 0xd24da9ed @@ -90870,6 +113588,8 @@ 0 0 0 +0 +1 2 0xd593 0xf8bb777e @@ -90878,6 +113598,8 @@ 1 0 0 +5 +2 1 0x3c15 0x27c359e0 @@ -90886,6 +113608,8 @@ 0 0 0 +4 +4 1 0xe8c2 0xce6b0aa6 @@ -90894,6 +113618,8 @@ 1 1 0 +4 +3 1 0xbc1c 0x609443f0 @@ -90902,6 +113628,8 @@ 0 0 0 +3 +0 2 0x4168 0x76b838a4 @@ -90910,6 +113638,8 @@ 1 1 0 +3 +0 2 0x6eee 0x33d556ed @@ -90918,6 +113648,8 @@ 1 0 0 +3 +4 1 0xc93f 0x6f73ca88 @@ -90926,6 +113658,8 @@ 1 0 0 +1 +4 4 0x12c 0x562bf8d @@ -90934,6 +113668,8 @@ 0 0 0 +5 +4 3 0x9b54 0xe8719f05 @@ -90943,6 +113679,8 @@ 1 0 2 +4 +2 0xcdf4 0xca0986ba 256 @@ -90950,6 +113688,8 @@ 0 0 0 +2 +0 1 0x5b7a 0xb0aaa75f @@ -90958,6 +113698,8 @@ 0 0 0 +0 +2 4 0x8c75 0x84f8bc08 @@ -90966,6 +113708,8 @@ 0 0 0 +5 +4 4 0xc0fe 0x87d7f692 @@ -90974,6 +113718,8 @@ 0 0 0 +0 +0 3 0x79ba 0x83b0b44a @@ -90982,6 +113728,8 @@ 1 1 0 +5 +4 4 0x567e 0xf4fa345e @@ -90990,6 +113738,8 @@ 1 1 0 +5 +5 1 0xe4e5 0x7f7cc97c @@ -90998,6 +113748,8 @@ 1 1 0 +0 +5 3 0x98b8 0xa0d5ddaf @@ -91006,6 +113758,8 @@ 1 0 0 +2 +2 4 0x3ca3 0x290b9e @@ -91014,6 +113768,8 @@ 0 0 0 +0 +5 4 0x440c 0xf050bbe1 @@ -91022,6 +113778,8 @@ 1 1 0 +3 +1 4 0x6a29 0x42d9a10 @@ -91030,6 +113788,8 @@ 1 0 0 +4 +2 1 0x15a9 0x51e9dfef @@ -91038,6 +113798,8 @@ 1 0 0 +0 +4 1 0x4154 0xf8959427 @@ -91046,6 +113808,8 @@ 0 0 0 +4 +1 1 0xb1d1 0x951e5cd5 @@ -91054,6 +113818,8 @@ 0 0 0 +5 +4 2 0xc154 0x1c9f01c2 @@ -91063,6 +113829,8 @@ 0 0 3 +4 +3 0x2ea7 0xf5e46c88 256 @@ -91070,6 +113838,8 @@ 0 0 0 +0 +3 3 0xfa47 0x4bee6a96 @@ -91079,6 +113849,8 @@ 0 0 2 +1 +2 0x148e 0xbbe4ce32 256 @@ -91086,6 +113858,8 @@ 1 1 0 +5 +3 2 0xe091 0x7e122d4a @@ -91094,6 +113868,8 @@ 1 1 0 +4 +4 2 0xbece 0x561d4eb6 @@ -91102,6 +113878,8 @@ 1 1 0 +4 +2 2 0x6226 0xdf49cf6e @@ -91110,6 +113888,8 @@ 0 0 0 +2 +0 3 0x890b 0xa02226bd @@ -91118,6 +113898,8 @@ 1 0 0 +1 +2 4 0xf8b6 0x78f8d3d8 @@ -91126,6 +113908,8 @@ 1 1 0 +4 +5 1 0xa407 0x2235b459 @@ -91134,6 +113918,8 @@ 0 0 0 +3 +1 2 0x3556 0x9ddd30b9 @@ -91142,6 +113928,8 @@ 0 0 0 +0 +4 4 0x1436 0xc5e4df22 @@ -91150,6 +113938,8 @@ 0 0 0 +4 +2 3 0xb90f 0xaae804ce @@ -91158,6 +113948,8 @@ 1 0 0 +0 +4 3 0x6830 0x9069fef7 @@ -91167,6 +113959,8 @@ 1 0 2 +4 +2 0x9f4b 0x42985e4a 256 @@ -91174,6 +113968,8 @@ 1 0 0 +3 +4 2 0x2150 0x28b51da2 @@ -91182,6 +113978,8 @@ 1 1 0 +3 +2 1 0x2ff0 0xc89c4468 @@ -91190,6 +113988,8 @@ 1 0 0 +1 +4 3 0x6677 0x78ac1862 @@ -91198,6 +113998,8 @@ 0 0 0 +5 +4 4 0xce65 0x4823916 @@ -91206,6 +114008,8 @@ 0 0 0 +5 +5 2 0x2c62 0x12be63d0 @@ -91214,6 +114018,8 @@ 0 0 0 +5 +0 1 0x14b9 0x8892c526 @@ -91222,6 +114028,8 @@ 1 1 0 +4 +5 3 0xd400 0xb97d6227 @@ -91230,6 +114038,8 @@ 1 1 0 +3 +4 2 0x9471 0x3f4e9f80 @@ -91239,6 +114049,8 @@ 1 0 4 +5 +4 0x8935 0xb29d23cf 256 @@ -91246,6 +114058,8 @@ 1 0 0 +3 +4 1 0x9bf8 0xa1f8dfbf @@ -91254,6 +114068,8 @@ 1 0 0 +4 +1 1 0xd2fc 0xabab02d3 @@ -91262,6 +114078,8 @@ 1 0 0 +1 +1 3 0x7c94 0x90ea6257 @@ -91270,6 +114088,8 @@ 0 0 0 +0 +0 3 0x9262 0x391707d3 @@ -91278,6 +114098,8 @@ 1 0 0 +2 +0 4 0x7b23 0x88e377ca @@ -91287,6 +114109,8 @@ 0 0 1 +2 +1 0x8350 0x57abb0d2 256 @@ -91294,6 +114118,8 @@ 1 1 0 +4 +2 2 0xf25c 0xaa829cff @@ -91303,6 +114129,8 @@ 0 0 1 +0 +1 0x9e22 0xbcf1ab60 256 @@ -91310,6 +114138,8 @@ 0 0 0 +0 +4 1 0x11a0 0x38232368 @@ -91318,6 +114148,8 @@ 0 0 0 +5 +1 2 0xc79e 0xa26a40f4 @@ -91326,6 +114158,8 @@ 1 0 0 +4 +2 2 0xd8a8 0x9d959d44 @@ -91334,6 +114168,8 @@ 0 0 0 +5 +4 3 0x405f 0x6cd6d117 @@ -91343,6 +114179,8 @@ 1 0 2 +5 +2 0xa8da 0x52c5c39a 256 @@ -91350,6 +114188,8 @@ 1 0 0 +2 +3 1 0xd293 0x1b8f1a5a @@ -91358,6 +114198,8 @@ 0 0 0 +0 +5 3 0xa37d 0x56c15dab @@ -91366,6 +114208,8 @@ 0 0 0 +4 +3 2 0xee12 0xb9aeffb3 @@ -91374,6 +114218,8 @@ 1 0 0 +2 +3 3 0x90fe 0xd1803aaf @@ -91382,6 +114228,8 @@ 0 0 0 +3 +3 4 0xabdc 0xf71059e6 @@ -91390,6 +114238,8 @@ 0 0 0 +2 +4 4 0xbe4f 0x1ba7863c @@ -91399,6 +114249,8 @@ 0 0 4 +3 +4 0xd907 0x4d72413c 256 @@ -91407,6 +114259,8 @@ 0 0 2 +2 +2 0x1f20 0xbc2870b4 256 @@ -91414,6 +114268,8 @@ 1 1 0 +0 +5 4 0xa43b 0x3339cf51 @@ -91422,6 +114278,8 @@ 1 1 0 +3 +1 2 0xa9ab 0x65e4c393 @@ -91430,6 +114288,8 @@ 0 0 0 +3 +3 2 0x3db4 0x368958bc @@ -91438,6 +114298,8 @@ 1 0 0 +3 +5 1 0xc826 0x8b6fd8cc @@ -91446,6 +114308,8 @@ 0 0 0 +2 +0 1 0xc82d 0xf9b1928c @@ -91454,6 +114318,8 @@ 1 1 0 +2 +2 3 0x2391 0x12b628b @@ -91462,6 +114328,8 @@ 0 0 0 +3 +2 2 0xb0d3 0xf4130368 @@ -91470,6 +114338,8 @@ 1 1 0 +4 +2 2 0xb6bc 0x80aded22 @@ -91479,6 +114349,8 @@ 1 0 3 +0 +3 0x711f 0x120f67a 256 @@ -91486,6 +114358,8 @@ 1 0 0 +1 +2 3 0x4d7e 0x36435f11 @@ -91494,6 +114368,8 @@ 1 1 0 +0 +0 4 0xf623 0x54c838b2 @@ -91502,6 +114378,8 @@ 1 1 0 +2 +5 4 0xc573 0xae70cd06 @@ -91510,6 +114388,8 @@ 0 0 0 +0 +1 3 0xa1a9 0x5036556b @@ -91518,6 +114398,8 @@ 0 0 0 +4 +3 2 0x3bf3 0x5a4384d3 @@ -91526,6 +114408,8 @@ 0 0 0 +5 +3 3 0x88c9 0xb7f953d6 @@ -91534,6 +114418,8 @@ 1 1 0 +5 +5 1 0x9392 0x13b8acc2 @@ -91542,6 +114428,8 @@ 0 0 0 +5 +5 1 0x8eb9 0xff3430d9 @@ -91550,6 +114438,8 @@ 1 0 0 +1 +2 2 0x97b4 0xb93a50ea @@ -91558,6 +114448,8 @@ 1 0 0 +2 +1 1 0x55d7 0x1fd58637 @@ -91566,6 +114458,8 @@ 0 0 0 +0 +4 3 0x7040 0x8f4182c8 @@ -91574,6 +114468,8 @@ 1 0 0 +5 +0 3 0x957d 0x2c754232 @@ -91582,6 +114478,8 @@ 0 0 0 +0 +5 1 0x914c 0x6f4a1e7b @@ -91590,6 +114488,8 @@ 0 0 0 +5 +0 2 0x3e76 0xfa994b75 @@ -91599,6 +114499,8 @@ 0 0 1 +2 +1 0x672d 0x2b41b365 256 @@ -91606,6 +114508,8 @@ 1 0 0 +0 +1 3 0x4077 0x88397d73 @@ -91614,6 +114518,8 @@ 0 0 0 +0 +1 4 0xa5f0 0x1a850d58 @@ -91622,6 +114528,8 @@ 0 0 0 +2 +3 1 0x840e 0xbf5cc190 @@ -91630,6 +114538,8 @@ 1 1 0 +1 +4 3 0x892f 0xf800acb2 @@ -91638,6 +114548,8 @@ 0 0 0 +0 +3 3 0x6281 0x2c96b333 @@ -91646,6 +114558,8 @@ 1 0 0 +4 +3 2 0x148e 0x42f2988b @@ -91655,6 +114569,8 @@ 0 0 3 +3 +3 0x5fcd 0x217cba99 256 @@ -91662,6 +114578,8 @@ 0 0 0 +5 +3 1 0x57a 0xbe0da079 @@ -91670,6 +114588,8 @@ 1 0 0 +3 +5 4 0xd6bc 0x7cc87422 @@ -91678,6 +114598,8 @@ 0 0 0 +5 +1 2 0xed00 0xe2663b3f @@ -91686,6 +114608,8 @@ 1 1 0 +2 +3 3 0x30d3 0x10248117 @@ -91694,6 +114618,8 @@ 1 0 0 +5 +5 3 0xbbc6 0x42ee7beb @@ -91702,6 +114628,8 @@ 1 1 0 +5 +4 3 0xeec9 0x8ced9490 @@ -91711,6 +114639,8 @@ 0 0 4 +2 +4 0x78d7 0xe6574f98 256 @@ -91718,6 +114648,8 @@ 1 0 0 +1 +2 2 0xd7ab 0x689f8637 @@ -91726,6 +114658,8 @@ 0 0 0 +4 +5 1 0x9077 0x22b85aa0 @@ -91734,6 +114668,8 @@ 0 0 0 +1 +1 3 0x76da 0xd0241a63 @@ -91742,6 +114678,8 @@ 0 0 0 +5 +3 3 0x19c9 0xeb632596 @@ -91750,6 +114688,8 @@ 0 0 0 +1 +4 2 0xedf1 0xf6c6b98d @@ -91759,6 +114699,8 @@ 0 0 1 +2 +1 0xdd44 0x8d8ffaf9 256 @@ -91766,6 +114708,8 @@ 0 0 0 +0 +5 3 0x610c 0x68ccb15d @@ -91774,6 +114718,8 @@ 0 0 0 +4 +2 3 0x88bc 0xf5c24e51 @@ -91782,6 +114728,8 @@ 1 0 0 +0 +1 4 0x1699 0xce7bf921 @@ -91790,6 +114738,8 @@ 1 1 0 +4 +3 3 0xbe03 0xe84ee569 @@ -91798,6 +114748,8 @@ 0 0 0 +0 +4 2 0xabed 0x9d83cab6 @@ -91806,6 +114758,8 @@ 0 0 0 +4 +1 1 0x33b0 0xec0d9dbe @@ -91814,6 +114768,8 @@ 0 0 0 +5 +3 2 0x9843 0xa36d4f25 @@ -91822,6 +114778,8 @@ 0 0 0 +1 +5 2 0xdf74 0x32ae8a36 @@ -91830,6 +114788,8 @@ 1 0 0 +4 +4 3 0xb9a5 0x7c7b1ce9 @@ -91838,6 +114798,8 @@ 1 1 0 +4 +0 1 0x2df2 0x8df71aa9 @@ -91846,6 +114808,8 @@ 0 0 0 +1 +3 2 0xf195 0xb11f198f @@ -91854,6 +114818,8 @@ 0 0 0 +4 +4 2 0xb8f3 0x66a1c8a6 @@ -91862,6 +114828,8 @@ 1 1 0 +3 +3 4 0x1a20 0x74f89810 @@ -91870,6 +114838,8 @@ 1 0 0 +2 +4 4 0xc7f3 0x6c059109 @@ -91878,6 +114848,8 @@ 1 1 0 +3 +3 1 0xc2f8 0x5964c311 @@ -91886,6 +114858,8 @@ 0 0 0 +5 +0 1 0x678f 0x3ebdce3a @@ -91894,6 +114868,8 @@ 0 0 0 +3 +1 4 0xde82 0x3c983473 @@ -91902,6 +114878,8 @@ 1 0 0 +4 +1 2 0xa293 0x4678877a @@ -91910,6 +114888,8 @@ 1 1 0 +5 +5 2 0x1413 0xa247e8a9 @@ -91918,6 +114898,8 @@ 0 0 0 +0 +1 4 0x8b21 0x5190d2c0 @@ -91926,6 +114908,8 @@ 0 0 0 +5 +3 3 0x3820 0xb9c7fe56 @@ -91934,6 +114918,8 @@ 0 0 0 +3 +3 2 0xb22a 0x60a51639 @@ -91942,6 +114928,8 @@ 1 1 0 +5 +0 1 0xb979 0x94802876 @@ -91950,6 +114938,8 @@ 0 0 0 +5 +2 1 0x82c6 0xb757054a @@ -91958,6 +114948,8 @@ 1 0 0 +2 +0 4 0xeec8 0xf05220a @@ -91966,6 +114958,8 @@ 1 0 0 +4 +4 1 0x82e6 0x1be87498 @@ -91975,6 +114969,8 @@ 0 0 3 +1 +3 0xdb00 0x98a757f7 256 @@ -91983,6 +114979,8 @@ 1 0 2 +4 +2 0x141a 0x18b91dcc 256 @@ -91991,6 +114989,8 @@ 1 0 2 +5 +2 0x7f7a 0xe6211d9b 256 @@ -91998,6 +114998,8 @@ 0 0 0 +3 +3 1 0xa05b 0x10e97ce4 @@ -92006,6 +115008,8 @@ 0 0 0 +5 +1 2 0x41f5 0x34cb2022 @@ -92014,6 +115018,8 @@ 0 0 0 +4 +5 3 0x7144 0xfd1f655e @@ -92022,6 +115028,8 @@ 1 1 0 +2 +3 4 0x46e9 0xd3b1930d @@ -92030,6 +115038,8 @@ 1 0 0 +2 +2 3 0xd202 0x59eefe01 @@ -92038,6 +115048,8 @@ 1 0 0 +4 +0 3 0x7924 0x4ed122f8 @@ -92046,6 +115058,8 @@ 1 1 0 +3 +0 4 0xf355 0x5af270e1 @@ -92054,6 +115068,8 @@ 0 0 0 +5 +2 1 0x9bef 0xda7203ae @@ -92062,6 +115078,8 @@ 0 0 0 +4 +5 1 0x6b37 0x833d477d @@ -92070,6 +115088,8 @@ 1 1 0 +2 +3 3 0xef8a 0x8472f6e0 @@ -92078,6 +115098,8 @@ 1 1 0 +2 +2 3 0x21e2 0xccb2daf4 @@ -92086,6 +115108,8 @@ 1 1 0 +2 +2 1 0xa98 0xee7cf07b @@ -92094,6 +115118,8 @@ 0 0 0 +1 +2 2 0x6509 0x46395a04 @@ -92102,6 +115128,8 @@ 1 0 0 +5 +1 1 0xb3ad 0x1c603c83 @@ -92110,6 +115138,8 @@ 1 1 0 +5 +4 4 0x1ec3 0xf6ac4708 @@ -92118,6 +115148,8 @@ 1 0 0 +3 +2 1 0xa41a 0x26d23812 @@ -92126,6 +115158,8 @@ 0 0 0 +3 +1 4 0x916f 0x85abeacb @@ -92134,6 +115168,8 @@ 1 1 0 +2 +1 1 0xa7 0x460bb497 @@ -92143,6 +115179,8 @@ 1 0 3 +1 +3 0x9b15 0xd7f5c452 256 @@ -92150,6 +115188,8 @@ 0 0 0 +4 +2 3 0x397c 0x4efa914b @@ -92158,6 +115198,8 @@ 1 0 0 +3 +5 1 0x66e3 0x6be0e146 @@ -92166,6 +115208,8 @@ 0 0 0 +3 +5 1 0xf9c7 0x2267796f @@ -92174,6 +115218,8 @@ 1 1 0 +1 +3 3 0x7835 0x23e321cd @@ -92182,6 +115228,8 @@ 0 0 0 +2 +5 3 0x8f92 0x236ca96b @@ -92190,6 +115238,8 @@ 0 0 0 +0 +4 1 0x2472 0xa15a330f @@ -92199,6 +115249,8 @@ 1 0 2 +1 +2 0x1b1c 0xfa25a556 256 @@ -92206,6 +115258,8 @@ 0 0 0 +3 +5 1 0xfb9c 0x75b28dda @@ -92214,6 +115268,8 @@ 0 0 0 +1 +5 2 0xf627 0xa901965e @@ -92223,6 +115279,8 @@ 1 0 4 +3 +4 0x86b2 0xb7b89e88 256 @@ -92230,6 +115288,8 @@ 1 1 0 +1 +2 4 0x5d47 0x3d994392 @@ -92239,6 +115299,8 @@ 0 0 2 +2 +2 0xb92f 0x3fd70c5b 256 @@ -92246,6 +115308,8 @@ 1 1 0 +2 +3 4 0xffa 0x5b1d79b7 @@ -92255,6 +115319,8 @@ 0 0 2 +5 +2 0x80d 0x54c1d520 256 @@ -92262,6 +115328,8 @@ 1 1 0 +3 +4 4 0xccac 0xb037c229 @@ -92271,6 +115339,8 @@ 0 0 4 +5 +4 0x5708 0xfefb9c23 256 @@ -92278,6 +115348,8 @@ 0 0 0 +0 +0 1 0xbb4b 0x7085edd4 @@ -92286,6 +115358,8 @@ 0 0 0 +0 +5 2 0x579d 0xc1ede9a0 @@ -92295,6 +115369,8 @@ 0 0 2 +2 +2 0x3240 0xf27f57b0 256 @@ -92302,6 +115378,8 @@ 1 0 0 +3 +1 2 0x716 0xfc25501c @@ -92310,6 +115388,8 @@ 0 0 0 +2 +0 4 0xd951 0x2908ae2b @@ -92318,6 +115398,8 @@ 1 1 0 +4 +5 2 0x1cf7 0xab713e79 @@ -92326,6 +115408,8 @@ 0 0 0 +5 +3 4 0x1d6 0x6583cbce @@ -92334,6 +115418,8 @@ 1 1 0 +5 +5 3 0x2c9e 0xd801e2f3 @@ -92343,6 +115429,8 @@ 0 0 2 +4 +2 0x7bc5 0x16cdd8c4 256 @@ -92350,6 +115438,8 @@ 0 0 0 +1 +3 4 0x2471 0x1e3c4d64 @@ -92358,6 +115448,8 @@ 0 0 0 +0 +1 3 0x3fcd 0x111896b0 @@ -92366,6 +115458,8 @@ 0 0 0 +3 +0 2 0x5e12 0x65105149 @@ -92374,6 +115468,8 @@ 0 0 0 +0 +4 2 0xbb5 0x8e066a8a @@ -92382,6 +115478,8 @@ 1 0 0 +5 +4 2 0xf439 0x9093836b @@ -92390,6 +115488,8 @@ 1 0 0 +0 +2 1 0x473f 0xd92bb5e1 @@ -92398,6 +115498,8 @@ 1 0 0 +2 +3 4 0x2646 0x884b1869 @@ -92406,6 +115508,8 @@ 0 0 0 +5 +1 3 0x9bb4 0x4610d846 @@ -92414,6 +115518,8 @@ 1 1 0 +5 +2 2 0xdbf8 0x5d153cc5 @@ -92422,6 +115528,8 @@ 1 1 0 +4 +4 3 0x2ea3 0x73f643b8 @@ -92430,6 +115538,8 @@ 1 1 0 +3 +3 1 0x3b5a 0xae3d1d76 @@ -92438,6 +115548,8 @@ 0 0 0 +5 +0 1 0x7138 0xe83c0323 @@ -92446,6 +115558,8 @@ 0 0 0 +4 +5 3 0x50fb 0x4f09629d @@ -92454,6 +115568,8 @@ 1 1 0 +5 +0 1 0x1e75 0xe9080a6b @@ -92463,6 +115579,8 @@ 0 0 2 +5 +2 0x68d9 0x1b140764 256 @@ -92470,6 +115588,8 @@ 0 0 0 +0 +1 2 0xd814 0x7edb39e4 @@ -92478,6 +115598,8 @@ 1 0 0 +2 +1 3 0xb99f 0x1dee9f06 @@ -92486,6 +115608,8 @@ 0 0 0 +3 +5 1 0x9c4a 0x1b5a3e58 @@ -92495,6 +115619,8 @@ 0 0 1 +3 +1 0x3f23 0x243205c8 256 @@ -92503,6 +115629,8 @@ 0 0 1 +0 +1 0x91a7 0x68f249a 256 @@ -92510,6 +115638,8 @@ 0 0 0 +1 +1 2 0xfdb5 0x85f4e13b @@ -92518,6 +115648,8 @@ 1 1 0 +4 +1 2 0xcf98 0xd92498b @@ -92527,6 +115659,8 @@ 1 0 1 +5 +1 0xeb90 0xa27741fd 256 @@ -92534,6 +115668,8 @@ 0 0 0 +2 +1 4 0xffe5 0x9bf92cc @@ -92542,6 +115678,8 @@ 1 1 0 +0 +2 2 0xdb80 0xe7cde952 @@ -92550,6 +115688,8 @@ 0 0 0 +0 +0 4 0x819 0x9b8508c6 @@ -92558,6 +115698,8 @@ 1 0 0 +4 +3 1 0xfa61 0xd2f27bfd @@ -92566,6 +115708,8 @@ 1 0 0 +3 +5 2 0xef0c 0x235ef4cb @@ -92574,6 +115718,8 @@ 0 0 0 +2 +3 3 0xdb9f 0xfdab5b88 @@ -92582,6 +115728,8 @@ 1 1 0 +4 +1 3 0x733e 0xfdb234a1 @@ -92591,6 +115739,8 @@ 0 0 4 +1 +4 0x239b 0x60776b0f 256 @@ -92599,6 +115749,8 @@ 0 0 2 +1 +2 0x6f5c 0xffbe1379 256 @@ -92606,6 +115758,8 @@ 1 1 0 +3 +1 2 0x5d19 0xb52c386b @@ -92615,6 +115769,8 @@ 1 0 2 +5 +2 0x6e4b 0xdabfa21c 256 @@ -92622,6 +115778,8 @@ 0 0 0 +0 +5 4 0x67a4 0xc04d0ce4 @@ -92631,6 +115789,8 @@ 0 0 2 +0 +2 0xfacb 0xe5192501 256 @@ -92638,6 +115798,8 @@ 1 0 0 +2 +0 3 0xe37f 0x6b293951 @@ -92646,6 +115808,8 @@ 1 0 0 +4 +5 1 0xcda9 0xd61c34e9 @@ -92654,6 +115818,8 @@ 1 0 0 +3 +5 4 0x34d6 0x9e3c3557 @@ -92662,6 +115828,8 @@ 0 0 0 +2 +2 3 0x548c 0x81450d72 @@ -92670,6 +115838,8 @@ 1 0 0 +2 +1 4 0x71eb 0xafe82245 @@ -92678,6 +115848,8 @@ 1 0 0 +1 +0 2 0x84fe 0xc54f14b7 @@ -92686,6 +115858,8 @@ 1 1 0 +5 +2 4 0x3a9e 0x6ebfdf05 @@ -92694,6 +115868,8 @@ 1 1 0 +0 +5 2 0xad90 0x9cedc096 @@ -92702,6 +115878,8 @@ 1 1 0 +1 +5 3 0x26cd 0xc75e59aa @@ -92710,6 +115888,8 @@ 0 0 0 +5 +2 3 0xcf8a 0x7ba89596 @@ -92718,6 +115898,8 @@ 0 0 0 +5 +2 3 0x9c16 0x98c4a71e @@ -92726,6 +115908,8 @@ 1 0 0 +0 +4 2 0xc63a 0x1890966e @@ -92734,6 +115918,8 @@ 1 0 0 +2 +4 3 0xd1bd 0x987321b8 @@ -92742,6 +115928,8 @@ 0 0 0 +2 +4 1 0x7aed 0x73d3dd5c @@ -92750,6 +115938,8 @@ 0 0 0 +0 +1 2 0x8048 0xaaa72f9c @@ -92758,6 +115948,8 @@ 1 0 0 +0 +3 3 0x92bf 0x853278bc @@ -92766,6 +115958,8 @@ 0 0 0 +4 +5 3 0x8746 0x94d5d206 @@ -92775,6 +115969,8 @@ 0 0 1 +2 +1 0x9d5f 0xf881e9e3 256 @@ -92782,6 +115978,8 @@ 1 1 0 +3 +3 4 0x6ed1 0x3715dd22 @@ -92791,6 +115989,8 @@ 0 0 2 +0 +2 0x4a42 0x7c82d1b0 256 @@ -92798,6 +115998,8 @@ 1 0 0 +5 +4 4 0x4b6 0xa019aded @@ -92806,6 +116008,8 @@ 1 0 0 +0 +5 2 0x9eea 0x4617c4d0 @@ -92814,6 +116018,8 @@ 1 1 0 +5 +3 4 0xb7c6 0x31e7c21e @@ -92822,6 +116028,8 @@ 0 0 0 +4 +1 2 0xc1e0 0x189fabfb @@ -92830,6 +116038,8 @@ 1 1 0 +2 +4 1 0xa31c 0xa3e65bc6 @@ -92838,6 +116048,8 @@ 1 0 0 +0 +3 1 0x59ea 0xc0f19516 @@ -92846,6 +116058,8 @@ 1 0 0 +5 +0 2 0xe4a7 0x1974031c @@ -92854,6 +116068,8 @@ 0 0 0 +1 +4 2 0xfd94 0x813d103d @@ -92863,6 +116079,8 @@ 1 0 2 +0 +2 0x57db 0x6a16ac3a 256 @@ -92870,6 +116088,8 @@ 0 0 0 +1 +3 4 0xa2c8 0x384763ef @@ -92878,6 +116098,8 @@ 0 0 0 +4 +3 2 0x846f 0xd1848ef9 @@ -92886,6 +116108,8 @@ 1 0 0 +4 +3 3 0x9fa2 0x9375e094 @@ -92895,6 +116119,8 @@ 0 0 2 +4 +2 0xa57f 0xfbec0a6b 256 @@ -92903,6 +116129,8 @@ 0 0 1 +1 +1 0xb5cf 0xe6ba7cf9 256 @@ -92910,6 +116138,8 @@ 1 0 0 +3 +3 2 0xc9f2 0x38382a73 @@ -92918,6 +116148,8 @@ 0 0 0 +1 +0 2 0x8fc9 0x49c40438 @@ -92926,6 +116158,8 @@ 0 0 0 +0 +3 1 0xbd9 0x1170d470 @@ -92934,6 +116168,8 @@ 0 0 0 +2 +5 1 0x25af 0xbf551e76 @@ -92942,6 +116178,8 @@ 0 0 0 +0 +4 4 0x9ee9 0xe36d8dfd @@ -92951,6 +116189,8 @@ 0 0 1 +5 +1 0xf0ef 0x5c0b5539 256 @@ -92959,6 +116199,8 @@ 0 0 4 +0 +4 0xb509 0xe0fcae6c 256 @@ -92966,6 +116208,8 @@ 0 0 0 +4 +3 1 0x34cc 0x36fa8843 @@ -92974,6 +116218,8 @@ 0 0 0 +0 +4 3 0xdf0 0x16a4979a @@ -92983,6 +116229,8 @@ 0 0 4 +5 +4 0xa175 0xe2e56aac 256 @@ -92990,6 +116238,8 @@ 1 0 0 +5 +3 4 0xb786 0x87e7a77a @@ -92999,6 +116249,8 @@ 0 0 2 +1 +2 0x15b7 0xdca0ca34 256 @@ -93006,6 +116258,8 @@ 1 0 0 +2 +5 3 0xc652 0xa45add7 @@ -93014,6 +116268,8 @@ 0 0 0 +1 +5 4 0xb708 0x95fd3d96 @@ -93022,6 +116278,8 @@ 0 0 0 +5 +3 1 0x42ae 0x2e0151aa @@ -93030,6 +116288,8 @@ 0 0 0 +1 +3 3 0x57da 0xbe3989a7 @@ -93038,6 +116298,8 @@ 1 1 0 +5 +5 4 0xc53c 0x22be062f @@ -93046,6 +116308,8 @@ 0 0 0 +4 +2 2 0x382 0x583af515 @@ -93054,6 +116318,8 @@ 0 0 0 +0 +1 4 0xdfe8 0x8557b1cc @@ -93062,6 +116328,8 @@ 1 1 0 +0 +2 4 0x711d 0xcc6c5924 @@ -93070,6 +116338,8 @@ 1 1 0 +3 +4 2 0xab3c 0xa148e135 @@ -93079,6 +116349,8 @@ 0 0 3 +0 +3 0xe74a 0xa4a25a9b 256 @@ -93087,6 +116359,8 @@ 1 0 3 +0 +3 0x5269 0xe8935c7 256 @@ -93094,6 +116368,8 @@ 0 0 0 +4 +2 2 0x3df4 0x6d39fda9 @@ -93102,6 +116378,8 @@ 0 0 0 +4 +4 1 0xcf79 0xf6c4bca7 @@ -93110,6 +116388,8 @@ 0 0 0 +1 +0 2 0xe5a3 0x965eeabf @@ -93118,6 +116398,8 @@ 1 1 0 +1 +0 4 0x57f1 0xb9d9b330 @@ -93126,6 +116408,8 @@ 0 0 0 +3 +0 1 0xfe4e 0x1bfbcd22 @@ -93134,6 +116418,8 @@ 1 1 0 +4 +5 3 0xe76c 0xa367d90c @@ -93142,6 +116428,8 @@ 0 0 0 +4 +3 1 0x35f1 0xf44fd659 @@ -93150,6 +116438,8 @@ 0 0 0 +5 +3 2 0x3f45 0x5d09083e @@ -93158,6 +116448,8 @@ 0 0 0 +3 +0 4 0xcbb4 0x77855fb9 @@ -93166,6 +116458,8 @@ 1 0 0 +5 +3 3 0xe8d 0x3e1ecdd8 @@ -93174,6 +116468,8 @@ 1 0 0 +3 +3 2 0x2b99 0xc12f5c2c @@ -93182,6 +116478,8 @@ 1 1 0 +4 +4 2 0xd649 0x4fce319b @@ -93191,6 +116489,8 @@ 1 0 2 +4 +2 0xab25 0x68542687 256 @@ -93198,6 +116498,8 @@ 1 0 0 +2 +5 4 0xfef 0x990d6097 @@ -93206,6 +116508,8 @@ 0 0 0 +5 +5 3 0xd3eb 0xca97eb9d @@ -93214,6 +116518,8 @@ 0 0 0 +1 +3 2 0xa5f6 0x826d3987 @@ -93222,6 +116528,8 @@ 1 1 0 +0 +3 3 0x16c7 0x94a568dd @@ -93230,6 +116538,8 @@ 0 0 0 +4 +0 1 0x4ab6 0xfbf70e83 @@ -93239,6 +116549,8 @@ 0 0 4 +3 +4 0x5378 0x5a007f80 256 @@ -93246,6 +116558,8 @@ 1 1 0 +4 +0 2 0x8d2d 0x4b6bda1a @@ -93254,6 +116568,8 @@ 0 0 0 +4 +0 3 0xd303 0x8b686840 @@ -93262,6 +116578,8 @@ 1 0 0 +5 +5 2 0x1a8b 0x96416b1f @@ -93270,6 +116588,8 @@ 1 0 0 +5 +3 2 0xcda1 0xa0baf67f @@ -93278,6 +116598,8 @@ 0 0 0 +2 +3 3 0x3e3c 0x31f63ef9 @@ -93287,6 +116609,8 @@ 0 0 3 +5 +3 0x83e8 0x280272ff 256 @@ -93294,6 +116618,8 @@ 0 0 0 +1 +1 3 0xf41b 0xcdd1ec2d @@ -93303,6 +116629,8 @@ 0 0 4 +4 +4 0x1fc7 0x67b5d2ff 256 @@ -93310,6 +116638,8 @@ 0 0 0 +5 +4 2 0x8b91 0x92cce46c @@ -93318,6 +116648,8 @@ 1 1 0 +4 +3 1 0x8829 0xe644eb48 @@ -93327,6 +116659,8 @@ 0 0 2 +4 +2 0xc2de 0xcbb06e82 256 @@ -93334,6 +116668,8 @@ 0 0 0 +0 +4 3 0x7b50 0x2db800b2 @@ -93342,6 +116678,8 @@ 0 0 0 +0 +3 4 0xcec7 0x7b49a4fb @@ -93350,6 +116688,8 @@ 0 0 0 +5 +2 2 0xdca3 0x865eb8b5 @@ -93358,6 +116698,8 @@ 1 1 0 +3 +2 4 0xe7bc 0x11e8ff @@ -93366,6 +116708,8 @@ 0 0 0 +2 +4 4 0x32df 0x6671fa1d @@ -93374,6 +116718,8 @@ 0 0 0 +4 +2 3 0x6559 0x20474081 @@ -93382,6 +116728,8 @@ 1 0 0 +5 +3 2 0x7a2f 0x30c7abf1 @@ -93390,6 +116738,8 @@ 1 1 0 +2 +1 1 0xf239 0x5dbe628b @@ -93398,6 +116748,8 @@ 0 0 0 +4 +3 1 0x4d2d 0xaaaabde3 @@ -93406,6 +116758,8 @@ 1 1 0 +4 +4 1 0x57cc 0x92fc23a1 @@ -93415,6 +116769,8 @@ 0 0 1 +2 +1 0xa4d9 0x48875c05 256 @@ -93422,6 +116778,8 @@ 0 0 0 +5 +4 1 0x6735 0x1877bf31 @@ -93430,6 +116788,8 @@ 1 0 0 +1 +2 2 0x407e 0xf055cbf8 @@ -93438,6 +116798,8 @@ 1 0 0 +4 +1 2 0x6578 0x78b9a596 @@ -93446,6 +116808,8 @@ 1 1 0 +5 +0 4 0xb65a 0xf6e860be @@ -93455,6 +116819,8 @@ 0 0 2 +5 +2 0x2d50 0xbdc6ccf 256 @@ -93462,6 +116828,8 @@ 1 1 0 +0 +3 1 0xfb55 0x98687a75 @@ -93470,6 +116838,8 @@ 0 0 0 +4 +4 3 0x11f 0xcfe7fd1d @@ -93478,6 +116848,8 @@ 1 1 0 +1 +1 4 0x9a2b 0x927cfa26 @@ -93487,6 +116859,8 @@ 0 0 2 +5 +2 0xb0df 0x8aa00252 256 @@ -93494,6 +116868,8 @@ 1 1 0 +3 +2 1 0xeedd 0xca1d4db8 @@ -93503,6 +116879,8 @@ 0 0 2 +5 +2 0x44bd 0x5f9b559 256 @@ -93510,6 +116888,8 @@ 0 0 0 +1 +3 2 0x3879 0x8424d436 @@ -93519,6 +116899,8 @@ 0 0 2 +4 +2 0x89c8 0x5a917d04 256 @@ -93527,6 +116909,8 @@ 1 0 4 +5 +4 0x7407 0x713ae175 256 @@ -93534,6 +116918,8 @@ 1 0 0 +5 +3 3 0xa924 0xf866e0bd @@ -93542,6 +116928,8 @@ 1 1 0 +1 +4 4 0x31e5 0x958306f5 @@ -93550,6 +116938,8 @@ 1 0 0 +5 +1 4 0x8263 0xfff9e0aa @@ -93559,6 +116949,8 @@ 0 0 1 +3 +1 0x73c8 0xa056feda 256 @@ -93566,6 +116958,8 @@ 0 0 0 +2 +1 1 0x2e8f 0xef162e33 @@ -93574,6 +116968,8 @@ 0 0 0 +3 +3 4 0x4ab4 0x69f68427 @@ -93582,6 +116978,8 @@ 1 1 0 +3 +0 1 0xe19 0x9a51d09b @@ -93590,6 +116988,8 @@ 0 0 0 +1 +3 3 0x5440 0x35c44a5e @@ -93599,6 +116999,8 @@ 0 0 1 +2 +1 0xe820 0x72404757 256 @@ -93606,6 +117008,8 @@ 0 0 0 +2 +3 3 0x3d26 0xdbc7c6c7 @@ -93614,6 +117018,8 @@ 1 0 0 +0 +0 2 0xf863 0x9a8a69b2 @@ -93622,6 +117028,8 @@ 1 0 0 +4 +2 2 0x80cb 0x83e00fac @@ -93631,6 +117039,8 @@ 1 0 2 +3 +2 0xac83 0x727fc9a2 256 @@ -93638,6 +117048,8 @@ 0 0 0 +0 +2 2 0x7a42 0xdd48d164 @@ -93646,6 +117058,8 @@ 1 0 0 +4 +1 2 0xa783 0xaa115bf8 @@ -93654,6 +117068,8 @@ 0 0 0 +0 +0 4 0x9d73 0x62f1872a @@ -93662,6 +117078,8 @@ 0 0 0 +3 +2 4 0x449d 0x4eed343c @@ -93670,6 +117088,8 @@ 1 0 0 +0 +2 1 0xc17a 0xe8d7e90 @@ -93678,6 +117098,8 @@ 0 0 0 +3 +2 2 0xa511 0x44d2f90f @@ -93686,6 +117108,8 @@ 0 0 0 +1 +3 2 0xf6f4 0x7156e3ac @@ -93694,6 +117118,8 @@ 0 0 0 +5 +2 2 0x416c 0x95890771 @@ -93702,6 +117128,8 @@ 0 0 0 +4 +1 1 0x4a68 0x3c6277bc @@ -93710,6 +117138,8 @@ 1 0 0 +1 +4 3 0x4441 0xb96f1b87 @@ -93718,6 +117148,8 @@ 1 1 0 +4 +4 1 0xfdc2 0x6065893 @@ -93726,6 +117158,8 @@ 1 0 0 +5 +2 4 0x2e2c 0x439603f1 @@ -93734,6 +117168,8 @@ 1 1 0 +0 +0 4 0xc0f0 0x23fc8bb2 @@ -93743,6 +117179,8 @@ 0 0 2 +3 +2 0x9a38 0xee2795a0 256 @@ -93750,6 +117188,8 @@ 1 0 0 +4 +5 3 0x3443 0x824ede36 @@ -93758,6 +117198,8 @@ 0 0 0 +0 +4 2 0xcbbd 0x1ab2fb5a @@ -93766,6 +117208,8 @@ 0 0 0 +3 +5 1 0xa61f 0xdc7d332a @@ -93774,6 +117218,8 @@ 0 0 0 +4 +2 1 0x4321 0x85607263 @@ -93782,6 +117228,8 @@ 0 0 0 +2 +0 3 0xa32e 0x944fd20b @@ -93790,6 +117238,8 @@ 0 0 0 +3 +0 1 0xa54b 0xd3f030a2 @@ -93798,6 +117248,8 @@ 1 0 0 +1 +1 3 0x4e16 0xa7b7028e @@ -93806,6 +117258,8 @@ 0 0 0 +1 +4 2 0xfe8e 0x709146ec @@ -93814,6 +117268,8 @@ 1 0 0 +1 +4 2 0x8876 0x2fa5516b @@ -93822,6 +117278,8 @@ 0 0 0 +2 +4 3 0xa709 0x4da6a2e5 @@ -93830,6 +117288,8 @@ 0 0 0 +3 +2 1 0x1fe6 0x4465efc8 @@ -93838,6 +117298,8 @@ 1 1 0 +5 +5 2 0xb5a1 0x8bd287c3 @@ -93847,6 +117309,8 @@ 0 0 1 +2 +1 0xa00b 0x5d25551 256 @@ -93854,6 +117318,8 @@ 1 0 0 +3 +5 1 0xd0a9 0xbbe88e64 @@ -93862,6 +117328,8 @@ 1 0 0 +2 +4 3 0xadd9 0x370f5ff8 @@ -93870,6 +117338,8 @@ 0 0 0 +0 +1 2 0xf33d 0xf163b7fb @@ -93878,6 +117348,8 @@ 1 0 0 +1 +1 4 0xa7b1 0x590d6e7f @@ -93886,6 +117358,8 @@ 1 1 0 +5 +1 3 0xc0ba 0xc2a93011 @@ -93894,6 +117368,8 @@ 1 0 0 +5 +0 1 0x454b 0xfb306d10 @@ -93902,6 +117378,8 @@ 0 0 0 +0 +3 2 0xdf82 0xe48906d8 @@ -93910,6 +117388,8 @@ 0 0 0 +1 +1 2 0xe8eb 0x20345360 @@ -93918,6 +117398,8 @@ 1 1 0 +5 +5 4 0x118 0x9696c68 @@ -93926,6 +117408,8 @@ 1 0 0 +5 +4 2 0x601d 0xe759d1de @@ -93934,6 +117418,8 @@ 0 0 0 +2 +0 4 0x4484 0xb06c9ed6 @@ -93942,6 +117428,8 @@ 1 0 0 +0 +1 1 0x2557 0x85468949 @@ -93950,6 +117438,8 @@ 0 0 0 +2 +5 4 0x4148 0xaa082f5d @@ -93958,6 +117448,8 @@ 1 0 0 +1 +1 4 0xc62c 0xfd712318 @@ -93966,6 +117458,8 @@ 0 0 0 +5 +2 4 0x6f6 0x1bd46440 @@ -93974,6 +117468,8 @@ 1 0 0 +1 +2 4 0x8906 0xa958a92d @@ -93982,6 +117478,8 @@ 0 0 0 +0 +2 1 0x6d8 0x2863c683 @@ -93990,6 +117488,8 @@ 0 0 0 +1 +1 4 0x84c4 0x121b1012 @@ -93998,6 +117498,8 @@ 0 0 0 +5 +3 1 0x4a83 0x2410afd6 @@ -94007,6 +117509,8 @@ 1 0 2 +2 +2 0xa8c6 0xab0b57fc 256 @@ -94014,6 +117518,8 @@ 0 0 0 +5 +1 2 0x6596 0xfdf03278 @@ -94022,6 +117528,8 @@ 1 1 0 +5 +4 3 0xbb54 0xbb2a25e4 @@ -94030,6 +117538,8 @@ 1 0 0 +2 +5 1 0x5d70 0xac49dbe7 @@ -94038,6 +117548,8 @@ 0 0 0 +4 +5 1 0x153a 0xb60d2ef3 @@ -94046,6 +117558,8 @@ 1 0 0 +0 +4 4 0xd047 0xccef34ea @@ -94054,6 +117568,8 @@ 0 0 0 +0 +4 3 0xc93f 0xb680ee9d @@ -94062,6 +117578,8 @@ 1 1 0 +0 +1 4 0x3e81 0xa1ca5aa7 @@ -94070,6 +117588,8 @@ 0 0 0 +0 +5 4 0x9053 0xabe5a7b3 @@ -94079,6 +117599,8 @@ 1 0 2 +0 +2 0xf558 0x52546416 256 @@ -94087,6 +117609,8 @@ 0 0 2 +4 +2 0xff74 0x210d854d 256 @@ -94094,6 +117618,8 @@ 1 1 0 +1 +3 4 0xfdbd 0x49ed4619 @@ -94102,6 +117628,8 @@ 1 0 0 +2 +4 4 0xb5f 0x8a9d264d @@ -94110,6 +117638,8 @@ 1 1 0 +0 +4 3 0x7ce6 0x933d3945 @@ -94119,6 +117649,8 @@ 0 0 2 +4 +2 0x83db 0x3156726b 256 @@ -94126,6 +117658,8 @@ 1 0 0 +4 +2 2 0x19ff 0x7b3a7a24 @@ -94134,6 +117668,8 @@ 0 0 0 +3 +0 4 0x90f8 0x91f213b0 @@ -94142,6 +117678,8 @@ 0 0 0 +2 +2 3 0xed89 0x676b0af9 @@ -94150,6 +117688,8 @@ 1 1 0 +3 +0 1 0x28a4 0x67fbc75d @@ -94158,6 +117698,8 @@ 1 0 0 +5 +3 4 0x5cd0 0x74303731 @@ -94166,6 +117708,8 @@ 0 0 0 +2 +0 4 0xee3d 0xe0352b53 @@ -94174,6 +117718,8 @@ 0 0 0 +5 +3 1 0xf465 0x398e78af @@ -94183,6 +117729,8 @@ 1 0 1 +5 +1 0x29b8 0xcf69c999 256 @@ -94190,6 +117738,8 @@ 1 1 0 +5 +3 2 0x948e 0x523d319d @@ -94198,6 +117748,8 @@ 0 0 0 +5 +2 2 0x6d12 0xb08833de @@ -94206,6 +117758,8 @@ 0 0 0 +5 +1 1 0x380 0x7faf1887 @@ -94214,6 +117768,8 @@ 0 0 0 +1 +0 4 0x8732 0xb9e6f01d @@ -94222,6 +117778,8 @@ 1 0 0 +5 +0 4 0xb503 0xfa8cb8bf @@ -94231,6 +117789,8 @@ 0 0 1 +5 +1 0x430f 0xe22fde24 256 @@ -94238,6 +117798,8 @@ 1 0 0 +0 +4 1 0x94db 0xcda9ff0b @@ -94246,6 +117808,8 @@ 0 0 0 +2 +4 3 0xcea9 0x52573fdc @@ -94254,6 +117818,8 @@ 1 1 0 +5 +1 3 0x4b6d 0x840d3a3e @@ -94263,6 +117829,8 @@ 0 0 4 +3 +4 0x9e8 0x34c6b009 256 @@ -94270,6 +117838,8 @@ 1 1 0 +0 +4 1 0xcbfa 0x175f34b1 @@ -94278,6 +117848,8 @@ 0 0 0 +4 +5 1 0x1821 0x1a2954e9 @@ -94286,6 +117858,8 @@ 0 0 0 +0 +1 3 0x5c6d 0x144a0a96 @@ -94294,6 +117868,8 @@ 1 0 0 +0 +2 3 0x7440 0x3fb1bb85 @@ -94302,6 +117878,8 @@ 1 0 0 +2 +2 3 0xbd08 0xcc92cf5 @@ -94310,6 +117888,8 @@ 1 0 0 +1 +1 3 0x65a9 0x673cd148 @@ -94318,6 +117898,8 @@ 1 1 0 +3 +0 1 0xae60 0x7e276167 @@ -94327,6 +117909,8 @@ 1 0 2 +0 +2 0x9ff1 0x26aa2b53 256 @@ -94334,6 +117918,8 @@ 0 0 0 +4 +4 2 0x13c7 0x6e40a920 @@ -94342,6 +117928,8 @@ 1 0 0 +0 +2 1 0xcd05 0xc47f7684 @@ -94350,6 +117938,8 @@ 0 0 0 +4 +3 2 0x3da6 0xed3c0a8a @@ -94358,6 +117948,8 @@ 1 1 0 +3 +4 4 0xf86f 0xb5c6d83e @@ -94366,6 +117958,8 @@ 0 0 0 +5 +0 4 0x18c8 0xc007f8de @@ -94374,6 +117968,8 @@ 0 0 0 +3 +0 4 0x3935 0xb310209f @@ -94382,6 +117978,8 @@ 0 0 0 +3 +1 2 0x1b39 0x9a10c25d @@ -94391,6 +117989,8 @@ 0 0 1 +3 +1 0x33e8 0x731eaba 256 @@ -94399,6 +117999,8 @@ 0 0 3 +0 +3 0x483a 0x63c5b3e8 256 @@ -94407,6 +118009,8 @@ 1 0 2 +3 +2 0xc840 0x1897dd18 256 @@ -94414,6 +118018,8 @@ 0 0 0 +5 +1 4 0xa5ef 0x4ac9a651 @@ -94423,6 +118029,8 @@ 0 0 1 +1 +1 0x992c 0x61bfa34b 256 @@ -94430,6 +118038,8 @@ 1 1 0 +4 +0 2 0x9efb 0xa8c8cc40 @@ -94438,6 +118048,8 @@ 0 0 0 +0 +4 2 0xd841 0x8f13118d @@ -94446,6 +118058,8 @@ 1 1 0 +2 +4 3 0x75bc 0xb7e9f8c8 @@ -94454,6 +118068,8 @@ 0 0 0 +3 +0 4 0xd3d1 0x72480536 @@ -94462,6 +118078,8 @@ 1 1 0 +5 +2 3 0xb980 0x87a1d7a8 @@ -94470,6 +118088,8 @@ 1 1 0 +0 +2 2 0x83db 0x35bd09d2 @@ -94479,6 +118099,8 @@ 1 0 2 +5 +2 0x92ac 0x71de8937 256 @@ -94487,6 +118109,8 @@ 0 0 2 +4 +2 0x44b0 0xe1342281 256 @@ -94494,6 +118118,8 @@ 1 1 0 +0 +4 4 0x502b 0xbfa58635 @@ -94502,6 +118128,8 @@ 0 0 0 +1 +5 4 0xfc67 0xdf491342 @@ -94511,6 +118139,8 @@ 0 0 3 +2 +3 0x466f 0x1ddcc242 256 @@ -94518,6 +118148,8 @@ 1 1 0 +4 +5 2 0x1458 0x3581f46f @@ -94526,6 +118158,8 @@ 0 0 0 +1 +3 4 0x9ba7 0x192b4f4 @@ -94534,6 +118168,8 @@ 1 0 0 +5 +4 2 0xf10b 0xef0c4b35 @@ -94542,6 +118178,8 @@ 1 1 0 +0 +2 4 0x178d 0xd7acda55 @@ -94550,6 +118188,8 @@ 0 0 0 +3 +4 2 0x2f44 0x998cdadc @@ -94558,6 +118198,8 @@ 0 0 0 +0 +3 4 0x7c3f 0xeb38cd6c @@ -94566,6 +118208,8 @@ 0 0 0 +3 +3 2 0xa485 0xa6d25944 @@ -94574,6 +118218,8 @@ 0 0 0 +2 +0 4 0x2623 0xc5cb99e1 @@ -94582,6 +118228,8 @@ 1 0 0 +5 +5 4 0xfc82 0x21ce4a4c @@ -94590,6 +118238,8 @@ 0 0 0 +5 +2 2 0xa231 0x5f1087ec @@ -94598,6 +118248,8 @@ 0 0 0 +5 +5 1 0x7fbe 0x370f7776 @@ -94606,6 +118258,8 @@ 1 0 0 +5 +4 3 0xeb9b 0x151decd @@ -94614,6 +118268,8 @@ 1 0 0 +3 +0 2 0x6f7 0xfbb295a0 @@ -94622,6 +118278,8 @@ 1 1 0 +2 +1 1 0xf6d5 0x8eeb94c2 @@ -94630,6 +118288,8 @@ 0 0 0 +5 +5 1 0x4931 0x829667f7 @@ -94638,6 +118298,8 @@ 1 0 0 +4 +4 3 0xda79 0x6a4f5e45 @@ -94646,6 +118308,8 @@ 0 0 0 +0 +4 4 0xe835 0xda0fd1ac @@ -94654,6 +118318,8 @@ 0 0 0 +4 +2 3 0xae36 0xa3fcf38e @@ -94662,6 +118328,8 @@ 0 0 0 +0 +2 1 0xb44a 0x8ea888af @@ -94670,6 +118338,8 @@ 1 1 0 +5 +3 2 0xb038 0x12e5b1c0 @@ -94678,6 +118348,8 @@ 0 0 0 +5 +2 1 0xa0f0 0x8f573677 @@ -94687,6 +118359,8 @@ 0 0 4 +4 +4 0x22e0 0x288a2e29 256 @@ -94694,6 +118368,8 @@ 0 0 0 +3 +0 1 0xdf2b 0x85dbcc9f @@ -94702,6 +118378,8 @@ 1 0 0 +4 +2 1 0xd7f7 0x6d690fb6 @@ -94710,6 +118388,8 @@ 1 1 0 +0 +3 1 0x4cdd 0x47a20e2c @@ -94719,6 +118399,8 @@ 0 0 4 +5 +4 0xd12d 0x2a35906a 256 @@ -94726,6 +118408,8 @@ 0 0 0 +5 +1 3 0x9681 0x21adcf5f @@ -94734,6 +118418,8 @@ 0 0 0 +4 +4 3 0x7afe 0xe2d1c1d7 @@ -94742,6 +118428,8 @@ 1 1 0 +4 +3 3 0x6be 0xead3d497 @@ -94750,6 +118438,8 @@ 0 0 0 +0 +4 1 0x79cd 0x1ba48f3 @@ -94758,6 +118448,8 @@ 0 0 0 +4 +2 3 0xe586 0xa4ffce42 @@ -94766,6 +118458,8 @@ 1 1 0 +3 +2 1 0x8c96 0x43fd0b9d @@ -94774,6 +118468,8 @@ 0 0 0 +4 +0 3 0x7f7c 0xc320151c @@ -94782,6 +118478,8 @@ 0 0 0 +2 +1 1 0x166 0xfa8026a4 @@ -94790,6 +118488,8 @@ 0 0 0 +5 +0 1 0x6ca 0xf1d15f9 @@ -94798,6 +118498,8 @@ 0 0 0 +0 +1 1 0xe51a 0x69469477 @@ -94807,6 +118509,8 @@ 1 0 4 +1 +4 0x979d 0x1cf0b55f 256 @@ -94814,6 +118518,8 @@ 0 0 0 +1 +5 4 0x50a8 0xdc7517c8 @@ -94822,6 +118528,8 @@ 0 0 0 +5 +4 4 0x344f 0xcf60dcb1 @@ -94830,6 +118538,8 @@ 0 0 0 +0 +0 3 0x7d76 0x1a3d0dce @@ -94838,6 +118548,8 @@ 1 0 0 +5 +5 2 0xc0ed 0xccc649a8 @@ -94846,6 +118558,8 @@ 0 0 0 +5 +3 1 0x40ff 0x3440e791 @@ -94854,6 +118568,8 @@ 0 0 0 +2 +1 1 0x219 0x14769aa2 @@ -94863,6 +118579,8 @@ 0 0 3 +5 +3 0x1ba2 0xc1f9511f 256 @@ -94870,6 +118588,8 @@ 1 0 0 +1 +2 2 0x73fc 0x284dc42f @@ -94879,6 +118599,8 @@ 0 0 1 +1 +1 0xacb2 0x9c16516a 256 @@ -94886,6 +118608,8 @@ 0 0 0 +1 +1 2 0x4302 0x607e4137 @@ -94895,6 +118619,8 @@ 0 0 4 +0 +4 0xce01 0x30b2a10c 256 @@ -94902,6 +118628,8 @@ 1 1 0 +1 +0 4 0xe01f 0x89f9aab6 @@ -94910,6 +118638,8 @@ 0 0 0 +0 +0 1 0xb129 0xab859e9d @@ -94918,6 +118648,8 @@ 0 0 0 +5 +2 4 0x7948 0x53a2a8f3 @@ -94926,6 +118658,8 @@ 1 0 0 +1 +0 4 0xfc6 0x21094f08 @@ -94934,6 +118668,8 @@ 0 0 0 +4 +0 1 0x4f9d 0x1f750e7e @@ -94942,6 +118678,8 @@ 1 0 0 +0 +1 2 0xd8d8 0x5efea0b @@ -94950,6 +118688,8 @@ 1 0 0 +3 +1 1 0x36fb 0xcce5d2a1 @@ -94958,6 +118698,8 @@ 0 0 0 +4 +0 2 0xf810 0xc70e0de0 @@ -94967,6 +118709,8 @@ 1 0 1 +0 +1 0x5d23 0x2d7cf66e 256 @@ -94975,6 +118719,8 @@ 0 0 3 +0 +3 0x5b49 0x52ff3c73 256 @@ -94982,6 +118728,8 @@ 1 0 0 +1 +0 2 0x96ed 0xa0414db5 @@ -94990,6 +118738,8 @@ 0 0 0 +0 +5 2 0xab01 0x5fc644f0 @@ -94998,6 +118748,8 @@ 0 0 0 +2 +0 4 0x3126 0xbe000398 @@ -95007,6 +118759,8 @@ 0 0 3 +1 +3 0xbbab 0x413fe642 256 @@ -95015,6 +118769,8 @@ 0 0 3 +3 +3 0xf73 0x9b5b1f8b 256 @@ -95022,6 +118778,8 @@ 0 0 0 +3 +3 4 0xb3c5 0x3be9541f @@ -95030,6 +118788,8 @@ 0 0 0 +5 +2 2 0x9cb7 0xac81c918 @@ -95039,6 +118799,8 @@ 1 0 4 +5 +4 0xc99f 0xea843eaa 256 @@ -95047,6 +118809,8 @@ 0 0 3 +0 +3 0xecf0 0x81d23ad1 256 @@ -95054,6 +118818,8 @@ 1 1 0 +5 +5 2 0xae39 0x12b08acc @@ -95062,6 +118828,8 @@ 1 1 0 +5 +0 1 0x4072 0xac92e68e @@ -95070,6 +118838,8 @@ 1 1 0 +1 +0 3 0xcf87 0xcd32596a @@ -95078,6 +118848,8 @@ 0 0 0 +0 +0 2 0x9bac 0x99c68fca @@ -95086,6 +118858,8 @@ 1 1 0 +4 +1 3 0x5edd 0x4ecafa5b @@ -95094,6 +118868,8 @@ 1 1 0 +5 +5 2 0x6fb4 0x1ee4f9ae @@ -95103,6 +118879,8 @@ 0 0 3 +5 +3 0xeab2 0x17e807eb 256 @@ -95110,6 +118888,8 @@ 1 0 0 +0 +5 1 0xf19d 0x214e1893 @@ -95118,6 +118898,8 @@ 0 0 0 +4 +5 3 0xc932 0x71949fd0 @@ -95126,6 +118908,8 @@ 0 0 0 +5 +5 1 0xa9c5 0xdae2b68e @@ -95134,6 +118918,8 @@ 1 0 0 +0 +5 2 0x4858 0x39fc61b9 @@ -95142,6 +118928,8 @@ 0 0 0 +3 +0 4 0x88af 0x685d1974 @@ -95150,6 +118938,8 @@ 1 0 0 +5 +4 3 0xd8d 0x4707b5b @@ -95158,6 +118948,8 @@ 1 1 0 +2 +4 4 0x1a37 0x75d2a33b @@ -95166,6 +118958,8 @@ 1 0 0 +3 +4 2 0xc944 0x23e35e99 @@ -95174,6 +118968,8 @@ 0 0 0 +5 +1 1 0x73c3 0x43ca7e1a @@ -95182,6 +118978,8 @@ 0 0 0 +0 +0 3 0xae52 0xaae234e9 @@ -95190,6 +118988,8 @@ 1 1 0 +3 +4 4 0x3532 0xa1c84792 @@ -95198,6 +118998,8 @@ 0 0 0 +0 +5 2 0x788a 0xab9ef17e @@ -95206,6 +119008,8 @@ 1 1 0 +4 +4 3 0x71e 0xde508a2 @@ -95214,6 +119018,8 @@ 0 0 0 +0 +4 2 0x8931 0x949d7f1 @@ -95222,6 +119028,8 @@ 0 0 0 +3 +3 2 0x80f7 0x70678ffb @@ -95230,6 +119038,8 @@ 0 0 0 +3 +5 1 0x984a 0xa3a87033 @@ -95239,6 +119049,8 @@ 1 0 4 +1 +4 0x1415 0xe1db7e1 256 @@ -95247,6 +119059,8 @@ 1 0 1 +4 +1 0xa340 0xc10ae31e 256 @@ -95254,6 +119068,8 @@ 1 1 0 +1 +3 3 0xf177 0xc0649f06 @@ -95262,6 +119078,8 @@ 0 0 0 +3 +3 4 0xaff4 0x6829cdf1 @@ -95270,6 +119088,8 @@ 0 0 0 +5 +2 4 0xebe0 0xc5666168 @@ -95278,6 +119098,8 @@ 1 1 0 +4 +5 3 0x3047 0xc9019b8 @@ -95286,6 +119108,8 @@ 1 1 0 +4 +5 2 0x9500 0x84a3e719 @@ -95294,6 +119118,8 @@ 1 1 0 +5 +2 3 0x2748 0xd00a5bf6 @@ -95302,6 +119128,8 @@ 1 0 0 +0 +4 1 0xd896 0x11f3871c @@ -95310,6 +119138,8 @@ 1 1 0 +4 +5 3 0x4262 0xbf017d52 @@ -95318,6 +119148,8 @@ 1 1 0 +4 +1 3 0x5cd7 0xfcb334ea @@ -95326,6 +119158,8 @@ 0 0 0 +0 +1 3 0x9205 0x431e5b8 @@ -95334,6 +119168,8 @@ 1 1 0 +4 +3 1 0xa97a 0x5ab014de @@ -95342,6 +119178,8 @@ 0 0 0 +4 +2 3 0x7a7b 0xfe2974d @@ -95350,6 +119188,8 @@ 1 0 0 +1 +4 4 0x3337 0xbb8fad59 @@ -95358,6 +119198,8 @@ 1 1 0 +5 +0 2 0xd77c 0x5178fab @@ -95366,6 +119208,8 @@ 0 0 0 +3 +2 2 0xdbf9 0xb48c4ef2 @@ -95374,6 +119218,8 @@ 1 0 0 +0 +2 4 0xa98 0x84877f7 @@ -95382,6 +119228,8 @@ 0 0 0 +0 +0 1 0xbd8c 0x660b0f73 @@ -95390,6 +119238,8 @@ 0 0 0 +3 +2 4 0xe107 0x2b88e33e @@ -95398,6 +119248,8 @@ 1 0 0 +0 +0 1 0xec24 0x9d64e90 @@ -95406,6 +119258,8 @@ 0 0 0 +4 +3 2 0x70e 0xb6b3f0a3 @@ -95414,6 +119268,8 @@ 1 0 0 +5 +2 4 0x64dc 0xbf66afdd @@ -95422,6 +119278,8 @@ 0 0 0 +2 +0 4 0xec62 0xb7e8ae13 @@ -95430,6 +119288,8 @@ 1 0 0 +2 +2 1 0x53cc 0x7fa0eca8 @@ -95439,6 +119299,8 @@ 0 0 4 +4 +4 0x92db 0x1483214a 256 @@ -95446,6 +119308,8 @@ 0 0 0 +2 +3 3 0xed45 0xea81911c @@ -95455,6 +119319,8 @@ 0 0 1 +0 +1 0x8927 0x226669bd 256 @@ -95462,6 +119328,8 @@ 0 0 0 +1 +1 2 0xb137 0x40c68f3 @@ -95470,6 +119338,8 @@ 0 0 0 +0 +2 2 0xd785 0x3a8ed21f @@ -95478,6 +119348,8 @@ 0 0 0 +0 +3 2 0x9556 0xa12498df @@ -95486,6 +119358,8 @@ 1 1 0 +5 +5 4 0xf7f2 0xc6821684 @@ -95494,6 +119368,8 @@ 0 0 0 +1 +2 3 0xb32c 0x636abce1 @@ -95502,6 +119378,8 @@ 0 0 0 +0 +1 3 0x6fbd 0x94d073c3 @@ -95510,6 +119388,8 @@ 1 0 0 +0 +3 3 0x1912 0xf92b8eb9 @@ -95518,6 +119398,8 @@ 0 0 0 +3 +3 2 0x2a6a 0xbe75978b @@ -95526,6 +119408,8 @@ 0 0 0 +2 +0 1 0x6435 0x2c99ae9 @@ -95534,6 +119418,8 @@ 0 0 0 +5 +1 2 0x9a23 0x30d42255 @@ -95543,6 +119429,8 @@ 0 0 4 +5 +4 0x7985 0x8eea13c6 256 @@ -95550,6 +119438,8 @@ 0 0 0 +3 +1 2 0x867d 0x46c8e608 @@ -95558,6 +119448,8 @@ 0 0 0 +1 +2 2 0xad56 0xa0af4029 @@ -95567,6 +119459,8 @@ 0 0 2 +1 +2 0xc18b 0xeb86a791 256 @@ -95574,6 +119468,8 @@ 1 1 0 +5 +5 1 0x5953 0x5fbe27ae @@ -95582,6 +119478,8 @@ 1 1 0 +1 +5 3 0xd977 0xb347d32a @@ -95591,6 +119489,8 @@ 0 0 1 +1 +1 0x915f 0x9c3f101e 256 @@ -95598,6 +119498,8 @@ 0 0 0 +3 +0 2 0xed5c 0x951164a7 @@ -95606,6 +119508,8 @@ 1 1 0 +0 +1 2 0x9682 0xe92d9bae @@ -95615,6 +119519,8 @@ 0 0 3 +1 +3 0x83ee 0xa5a0cfc3 256 @@ -95622,6 +119528,8 @@ 1 1 0 +0 +0 2 0x93f1 0x52013737 @@ -95630,6 +119538,8 @@ 1 1 0 +1 +3 4 0x49f3 0x45b3097f @@ -95638,6 +119548,8 @@ 1 1 0 +3 +5 1 0xef85 0x36bca41c @@ -95646,6 +119558,8 @@ 0 0 0 +5 +5 1 0x8a71 0x236c9004 @@ -95654,6 +119568,8 @@ 0 0 0 +0 +1 4 0x76b8 0xbe4a8b52 @@ -95662,6 +119578,8 @@ 0 0 0 +3 +5 1 0x868b 0x9b2bca7a @@ -95670,6 +119588,8 @@ 1 1 0 +5 +4 4 0xff5f 0x339341ff @@ -95678,6 +119598,8 @@ 1 1 0 +3 +4 4 0xa1ad 0x1e7dcbb8 @@ -95687,6 +119609,8 @@ 0 0 2 +2 +2 0x4e99 0x5c8b2ba3 256 @@ -95694,6 +119618,8 @@ 1 1 0 +1 +2 2 0x9602 0x8115d8b0 @@ -95702,6 +119628,8 @@ 0 0 0 +3 +3 1 0xe03a 0x85d424ba @@ -95710,6 +119638,8 @@ 0 0 0 +2 +4 1 0x3b01 0x8d16dfb7 @@ -95718,6 +119648,8 @@ 1 0 0 +3 +4 2 0x2cd6 0x7f311e13 @@ -95726,6 +119658,8 @@ 0 0 0 +0 +3 2 0xcde4 0x9e688efb @@ -95734,6 +119668,8 @@ 1 0 0 +4 +5 3 0x70b3 0x66b11256 @@ -95742,6 +119678,8 @@ 1 0 0 +1 +0 3 0x35d5 0xd7ec72ab @@ -95750,6 +119688,8 @@ 0 0 0 +2 +5 1 0xcc90 0x63fb00d7 @@ -95758,6 +119698,8 @@ 0 0 0 +1 +3 3 0xd241 0xfc82c232 @@ -95766,6 +119708,8 @@ 1 0 0 +2 +3 1 0xf027 0x14fd639 @@ -95774,6 +119718,8 @@ 0 0 0 +5 +5 1 0xe0ce 0xf18bae24 @@ -95782,6 +119728,8 @@ 0 0 0 +1 +4 2 0x1cd5 0x9f867b6c @@ -95791,6 +119739,8 @@ 0 0 2 +5 +2 0x6d41 0x3209fe07 256 @@ -95798,6 +119748,8 @@ 0 0 0 +1 +4 4 0xa378 0x36e18b32 @@ -95806,6 +119758,8 @@ 0 0 0 +3 +5 1 0x37f9 0xe4d3def7 @@ -95815,6 +119769,8 @@ 1 0 3 +2 +3 0x525a 0x910f3935 256 @@ -95822,6 +119778,8 @@ 0 0 0 +3 +4 2 0x4cdc 0xf7472615 @@ -95830,6 +119788,8 @@ 1 1 0 +5 +0 1 0x4926 0xd2517396 @@ -95838,6 +119798,8 @@ 1 1 0 +2 +3 3 0xec75 0x11507274 @@ -95846,6 +119808,8 @@ 1 0 0 +4 +3 1 0xd5e5 0xaa7d54a2 @@ -95854,6 +119818,8 @@ 0 0 0 +5 +1 4 0x6921 0xb56d022e @@ -95862,6 +119828,8 @@ 0 0 0 +4 +1 2 0x7ec1 0x4c565059 @@ -95870,6 +119838,8 @@ 1 1 0 +5 +5 3 0xbeae 0x97349d9a @@ -95879,6 +119849,8 @@ 1 0 1 +2 +1 0x779d 0x7f012ac4 256 @@ -95886,6 +119858,8 @@ 0 0 0 +1 +5 4 0xcc54 0xeec7647f @@ -95894,6 +119868,8 @@ 0 0 0 +2 +5 3 0x9006 0x3194bb95 @@ -95902,6 +119878,8 @@ 1 0 0 +0 +4 4 0xdebe 0x92197535 @@ -95910,6 +119888,8 @@ 1 0 0 +4 +5 3 0x1538 0xf328add0 @@ -95918,6 +119898,8 @@ 0 0 0 +5 +5 4 0xfbb7 0x8d441277 @@ -95926,6 +119908,8 @@ 0 0 0 +2 +1 4 0x43ce 0x2eec49e8 @@ -95934,6 +119918,8 @@ 0 0 0 +4 +5 3 0xaddb 0x57325be5 @@ -95942,6 +119928,8 @@ 1 1 0 +0 +2 2 0xb4ba 0x2b5c9764 @@ -95950,6 +119938,8 @@ 1 0 0 +3 +5 4 0xcc74 0xdc45cf78 @@ -95958,6 +119948,8 @@ 1 0 0 +4 +0 2 0xaed9 0x46ec2d4e @@ -95966,6 +119958,8 @@ 0 0 0 +3 +3 4 0xfd7d 0xcd76825a @@ -95975,6 +119969,8 @@ 1 0 1 +0 +1 0xa12f 0xde08829b 256 @@ -95982,6 +119978,8 @@ 1 0 0 +1 +1 2 0x490c 0xdb437ace @@ -95990,6 +119988,8 @@ 1 1 0 +5 +4 1 0xd23d 0xae25cd62 @@ -95998,6 +119998,8 @@ 1 0 0 +2 +5 1 0x9f7f 0xd37c6a3a @@ -96006,6 +120008,8 @@ 0 0 0 +2 +4 3 0x9b39 0xac68d6b3 @@ -96014,6 +120018,8 @@ 1 0 0 +4 +3 3 0xaf92 0xb5e9658e @@ -96022,6 +120028,8 @@ 0 0 0 +5 +5 3 0xfea6 0xdfe60914 @@ -96030,6 +120038,8 @@ 1 1 0 +1 +4 4 0xdc80 0xa8c1da82 @@ -96038,6 +120048,8 @@ 1 1 0 +3 +0 2 0xb10a 0x521550 @@ -96046,6 +120058,8 @@ 0 0 0 +2 +5 3 0xde67 0x269355e8 @@ -96054,6 +120068,8 @@ 1 1 0 +4 +2 2 0xc67f 0x3ac3be50 @@ -96062,6 +120078,8 @@ 0 0 0 +5 +4 2 0xa3b1 0x34d6e30e @@ -96071,6 +120089,8 @@ 1 0 2 +0 +2 0x6475 0x7976bf51 256 @@ -96078,6 +120098,8 @@ 0 0 0 +0 +2 2 0x3b96 0x4fc1e98d @@ -96087,6 +120109,8 @@ 1 0 2 +0 +2 0xf07c 0x661c4c93 256 @@ -96094,6 +120118,8 @@ 0 0 0 +3 +2 1 0x8a2e 0xd960918c @@ -96102,6 +120128,8 @@ 1 1 0 +0 +4 2 0x8e8b 0x544a7fc2 @@ -96110,6 +120138,8 @@ 1 1 0 +2 +3 1 0x133c 0x3bc5c2a9 @@ -96118,6 +120148,8 @@ 0 0 0 +4 +2 3 0x73aa 0xa84a84e7 @@ -96126,6 +120158,8 @@ 0 0 0 +5 +4 1 0x8187 0xd13cf895 @@ -96134,6 +120168,8 @@ 0 0 0 +1 +2 3 0x200e 0xc4b1ab27 @@ -96142,6 +120178,8 @@ 1 0 0 +1 +4 3 0x441d 0xc25f7948 @@ -96150,6 +120188,8 @@ 0 0 0 +0 +5 3 0xbac5 0xfa2cfa18 @@ -96158,6 +120198,8 @@ 1 0 0 +4 +5 1 0xf65c 0xd0a106cd @@ -96166,6 +120208,8 @@ 1 1 0 +5 +2 1 0x8289 0xaf0b8563 @@ -96174,6 +120218,8 @@ 1 1 0 +1 +2 3 0xcee8 0x4765c711 @@ -96183,6 +120229,8 @@ 1 0 3 +3 +3 0xddca 0x5274e926 256 @@ -96190,6 +120238,8 @@ 1 1 0 +3 +5 2 0x7887 0x19601d29 @@ -96198,6 +120248,8 @@ 0 0 0 +5 +2 1 0x6f71 0x3210fc3c @@ -96207,6 +120259,8 @@ 0 0 3 +5 +3 0xcb81 0x8305f488 256 @@ -96214,6 +120268,8 @@ 0 0 0 +2 +4 4 0xeb88 0xb50e1723 @@ -96222,6 +120278,8 @@ 1 0 0 +2 +1 3 0x2d89 0xecd1791d @@ -96230,6 +120288,8 @@ 0 0 0 +1 +0 3 0x1347 0xbe4e86fe @@ -96238,6 +120298,8 @@ 0 0 0 +3 +1 2 0x7dae 0x1227d564 @@ -96246,6 +120308,8 @@ 0 0 0 +0 +4 1 0xa4ae 0xc13b2ab4 @@ -96254,6 +120318,8 @@ 0 0 0 +5 +2 1 0x712f 0x36caecb3 @@ -96262,6 +120328,8 @@ 1 1 0 +5 +2 3 0xf9f 0xb6938ea8 @@ -96270,6 +120338,8 @@ 0 0 0 +5 +0 1 0xc0e 0x4fcc5e0f @@ -96278,6 +120348,8 @@ 1 1 0 +4 +0 3 0x5f7b 0x4a383d02 @@ -96286,6 +120358,8 @@ 1 0 0 +3 +4 4 0xc761 0x7473bafd @@ -96295,6 +120369,8 @@ 0 0 2 +5 +2 0xc863 0x4ca7488b 256 @@ -96302,6 +120378,8 @@ 0 0 0 +5 +5 1 0x822b 0xf0a58991 @@ -96310,6 +120388,8 @@ 1 1 0 +1 +5 3 0x325d 0xdfdd8916 @@ -96319,6 +120399,8 @@ 0 0 1 +2 +1 0xaada 0xf3612076 256 @@ -96327,6 +120409,8 @@ 0 0 4 +4 +4 0x9f60 0xef6d4cdb 256 @@ -96335,6 +120419,8 @@ 0 0 1 +1 +1 0xdf63 0x77e9098 256 @@ -96343,6 +120429,8 @@ 1 0 2 +3 +2 0x4ddf 0x695facf6 256 @@ -96350,6 +120438,8 @@ 0 0 0 +4 +5 2 0x4719 0x6030d7fb @@ -96358,6 +120448,8 @@ 0 0 0 +3 +0 4 0xf98b 0xe81ca817 @@ -96366,6 +120458,8 @@ 1 0 0 +2 +2 4 0x554f 0x686371a @@ -96374,6 +120468,8 @@ 0 0 0 +2 +2 1 0xd20a 0x4b151efb @@ -96382,6 +120478,8 @@ 0 0 0 +0 +0 2 0xdd07 0x48f1f409 @@ -96390,6 +120488,8 @@ 1 0 0 +3 +3 4 0x3922 0xee3217b4 @@ -96398,6 +120498,8 @@ 1 0 0 +0 +2 3 0xa883 0x3dd73942 @@ -96406,6 +120508,8 @@ 1 1 0 +5 +3 3 0x3992 0xab8437c8 @@ -96414,6 +120518,8 @@ 1 1 0 +4 +4 2 0x916c 0xf0df19f4 @@ -96422,6 +120528,8 @@ 1 1 0 +2 +1 4 0xbf33 0x1a35e97 @@ -96430,6 +120538,8 @@ 1 1 0 +1 +2 4 0xafd3 0xf24f9470 @@ -96438,6 +120548,8 @@ 1 1 0 +4 +0 2 0x399a 0x52cfc387 @@ -96446,6 +120558,8 @@ 0 0 0 +1 +4 3 0xfd03 0x810fc38f @@ -96454,6 +120568,8 @@ 0 0 0 +0 +2 1 0x76b4 0x11b771e1 @@ -96462,6 +120578,8 @@ 0 0 0 +2 +5 1 0x2df5 0xbbfd6074 @@ -96470,6 +120588,8 @@ 1 0 0 +4 +5 1 0x54a5 0x491c81d4 @@ -96478,6 +120598,8 @@ 1 1 0 +0 +0 1 0x5f82 0x76c1b19b @@ -96486,6 +120608,8 @@ 0 0 0 +1 +4 2 0x9eef 0x10684ce @@ -96494,6 +120618,8 @@ 0 0 0 +1 +1 4 0x70d0 0xc712ea52 @@ -96502,6 +120628,8 @@ 1 1 0 +1 +1 4 0xe9ae 0x65814022 @@ -96510,6 +120638,8 @@ 1 1 0 +0 +2 3 0xea41 0x174a62b3 @@ -96518,6 +120648,8 @@ 0 0 0 +4 +3 1 0x5560 0x5f7c71d7 @@ -96527,6 +120659,8 @@ 0 0 4 +0 +4 0x9f4b 0x4b9734b8 256 @@ -96534,6 +120668,8 @@ 0 0 0 +5 +4 2 0x62b9 0x952e4566 @@ -96542,6 +120678,8 @@ 0 0 0 +2 +0 4 0xfce1 0x539f65da @@ -96551,6 +120689,8 @@ 0 0 1 +1 +1 0xb4f2 0xca779a2a 256 @@ -96558,6 +120698,8 @@ 0 0 0 +5 +0 4 0xa75e 0xd14d75af @@ -96566,6 +120708,8 @@ 0 0 0 +2 +4 4 0x7cc4 0x33bec533 @@ -96575,6 +120719,8 @@ 0 0 3 +5 +3 0xd608 0xd15aa51 256 @@ -96582,6 +120728,8 @@ 1 1 0 +5 +1 3 0x6db2 0x3163008a @@ -96590,6 +120738,8 @@ 0 0 0 +0 +0 4 0x2dbc 0xcf52f832 @@ -96598,6 +120748,8 @@ 1 1 0 +1 +0 4 0x3948 0x15ad5369 @@ -96606,6 +120758,8 @@ 0 0 0 +5 +1 3 0x3602 0xf8929bd7 @@ -96614,6 +120768,8 @@ 1 1 0 +3 +0 4 0x46ca 0xc0db89b9 @@ -96622,6 +120778,8 @@ 0 0 0 +3 +0 4 0x14db 0xe831758c @@ -96630,6 +120788,8 @@ 0 0 0 +5 +1 1 0x2278 0x965feb1e @@ -96638,6 +120798,8 @@ 0 0 0 +3 +3 1 0xc4d1 0xe58d5265 @@ -96646,6 +120808,8 @@ 0 0 0 +0 +1 1 0x6d61 0xfa6e474d @@ -96654,6 +120818,8 @@ 1 0 0 +1 +4 2 0xf4f0 0x5a01bbeb @@ -96663,6 +120829,8 @@ 0 0 2 +1 +2 0xf9e5 0x17609da8 256 @@ -96670,6 +120838,8 @@ 0 0 0 +2 +4 4 0x1431 0x7fb47033 @@ -96678,6 +120848,8 @@ 0 0 0 +5 +1 4 0x965b 0x9dde9133 @@ -96686,6 +120858,8 @@ 1 0 0 +1 +1 4 0xe0e6 0x1162f3b3 @@ -96695,6 +120869,8 @@ 0 0 3 +1 +3 0x1879 0xafae8a69 256 @@ -96703,6 +120879,8 @@ 0 0 3 +3 +3 0xadd 0x83ceabd3 256 @@ -96711,6 +120889,8 @@ 0 0 4 +0 +4 0x79c9 0xcd93d4c9 256 @@ -96719,6 +120899,8 @@ 0 0 4 +0 +4 0xcd5c 0x62945618 256 @@ -96726,6 +120908,8 @@ 1 0 0 +5 +5 1 0x8191 0x2efcfe12 @@ -96734,6 +120918,8 @@ 0 0 0 +2 +4 1 0xa25b 0x302fb901 @@ -96742,6 +120928,8 @@ 1 0 0 +0 +3 3 0xc4c6 0x93fa5164 @@ -96750,6 +120938,8 @@ 0 0 0 +4 +3 3 0xec3c 0xbdd651dc @@ -96759,6 +120949,8 @@ 0 0 4 +0 +4 0x68f4 0xaf547269 256 @@ -96767,6 +120959,8 @@ 0 0 4 +2 +4 0xe9c4 0xc84636c3 256 @@ -96774,6 +120968,8 @@ 1 0 0 +3 +0 2 0x3d3b 0xc727748d @@ -96783,6 +120979,8 @@ 0 0 2 +3 +2 0x7555 0xe394dfd0 256 @@ -96790,6 +120988,8 @@ 1 0 0 +1 +4 2 0x62c4 0x128d1cd0 @@ -96798,6 +120998,8 @@ 1 0 0 +0 +2 2 0x5749 0xc3c32b25 @@ -96807,6 +121009,8 @@ 1 0 1 +5 +1 0xf677 0x398f2bbe 256 @@ -96814,6 +121018,8 @@ 0 0 0 +5 +0 1 0x3100 0x616477b0 @@ -96822,6 +121028,8 @@ 1 1 0 +5 +0 2 0x24d 0x750f293a @@ -96831,6 +121039,8 @@ 0 0 1 +4 +1 0xd65d 0x49b1d34e 256 @@ -96838,6 +121048,8 @@ 0 0 0 +4 +2 2 0x7dc3 0xb662f259 @@ -96846,6 +121058,8 @@ 0 0 0 +4 +3 1 0xb045 0x522ee628 @@ -96854,6 +121068,8 @@ 1 0 0 +0 +5 3 0xbd81 0xb1c5a199 @@ -96862,6 +121078,8 @@ 1 1 0 +0 +3 4 0xe088 0x34cfdddc @@ -96870,6 +121088,8 @@ 1 1 0 +2 +5 4 0x7c92 0xa1126628 @@ -96878,6 +121098,8 @@ 0 0 0 +4 +1 1 0x8aae 0x50f942e @@ -96886,6 +121108,8 @@ 1 1 0 +2 +1 1 0x9447 0x51ccd3f2 @@ -96894,6 +121118,8 @@ 0 0 0 +3 +4 1 0xfd19 0xc8a02511 @@ -96902,6 +121128,8 @@ 1 0 0 +0 +3 3 0xf7a4 0xb733c5de @@ -96910,6 +121138,8 @@ 1 0 0 +5 +4 1 0xb2e4 0x83c7060a @@ -96918,6 +121148,8 @@ 0 0 0 +5 +3 3 0x150 0x3015dda8 @@ -96926,6 +121158,8 @@ 0 0 0 +3 +1 2 0x8de1 0xa9890e15 @@ -96934,6 +121168,8 @@ 1 0 0 +2 +4 4 0x39b0 0x4e576ba9 @@ -96942,6 +121178,8 @@ 0 0 0 +1 +1 2 0x1df8 0x546df1ba @@ -96950,6 +121188,8 @@ 0 0 0 +5 +5 4 0xfab1 0x5b591410 @@ -96958,6 +121198,8 @@ 1 1 0 +5 +4 4 0x6b21 0x91c7e9fd @@ -96967,6 +121209,8 @@ 0 0 4 +2 +4 0xb78 0x86bb715e 256 @@ -96974,6 +121218,8 @@ 0 0 0 +2 +4 4 0xaacb 0x87f85148 @@ -96982,6 +121228,8 @@ 0 0 0 +2 +2 4 0x75f9 0x1e29dc24 @@ -96990,6 +121238,8 @@ 0 0 0 +0 +5 4 0x81f4 0xb320e591 @@ -96998,6 +121248,8 @@ 1 0 0 +1 +5 3 0xad5d 0x987056b5 @@ -97006,6 +121258,8 @@ 1 1 0 +3 +3 4 0xc9cb 0xfa6c5215 @@ -97014,6 +121268,8 @@ 0 0 0 +0 +0 1 0xfacb 0xbd428454 @@ -97023,6 +121279,8 @@ 0 0 1 +5 +1 0x50b8 0xe7b15531 256 @@ -97030,6 +121288,8 @@ 1 0 0 +0 +0 1 0xe181 0xa4017131 @@ -97039,6 +121299,8 @@ 0 0 4 +4 +4 0x82d1 0x3802a43d 256 @@ -97046,6 +121308,8 @@ 0 0 0 +1 +5 2 0x5ab6 0xd7049fe0 @@ -97054,6 +121318,8 @@ 1 1 0 +0 +0 2 0x77ea 0x7c7710c @@ -97063,6 +121329,8 @@ 1 0 2 +3 +2 0xe4d3 0xb3629835 256 @@ -97070,6 +121338,8 @@ 1 0 0 +0 +2 2 0xe5bc 0x39aed285 @@ -97078,6 +121348,8 @@ 1 0 0 +5 +0 3 0xdc45 0x9d46d55c @@ -97086,6 +121358,8 @@ 0 0 0 +5 +0 4 0x53a 0x5481ab1b @@ -97094,6 +121368,8 @@ 1 1 0 +2 +5 3 0xc479 0x29bca3f9 @@ -97102,6 +121378,8 @@ 0 0 0 +0 +1 4 0xd621 0x5b78012f @@ -97110,6 +121388,8 @@ 0 0 0 +2 +0 3 0x41c1 0x795c793d @@ -97119,6 +121399,8 @@ 0 0 2 +2 +2 0xfb45 0x62c660a3 256 @@ -97127,6 +121409,8 @@ 0 0 3 +3 +3 0xd038 0x8ec2da3b 256 @@ -97134,6 +121418,8 @@ 0 0 0 +0 +0 4 0x7553 0xafa6df96 @@ -97142,6 +121428,8 @@ 0 0 0 +0 +4 1 0x51b4 0x10d4624c @@ -97150,6 +121438,8 @@ 0 0 0 +3 +2 4 0x5185 0x65f1388a @@ -97158,6 +121448,8 @@ 1 1 0 +4 +5 1 0xff8d 0x3451f740 @@ -97166,6 +121458,8 @@ 1 0 0 +5 +4 1 0xd5ca 0x5d952cd3 @@ -97174,6 +121468,8 @@ 0 0 0 +5 +3 4 0xdf4d 0x59d15914 @@ -97182,6 +121478,8 @@ 1 1 0 +3 +2 1 0x9458 0xdd8de1dc @@ -97191,6 +121489,8 @@ 0 0 4 +2 +4 0xedc5 0x832270a4 256 @@ -97198,6 +121498,8 @@ 1 0 0 +0 +1 3 0xfe34 0xa8ef85ab @@ -97206,6 +121508,8 @@ 1 1 0 +5 +4 1 0x5543 0x572d087c @@ -97214,6 +121518,8 @@ 0 0 0 +4 +3 2 0xb53d 0x287ee1f9 @@ -97222,6 +121528,8 @@ 1 0 0 +4 +0 3 0x1cb8 0x6a489de7 @@ -97230,6 +121538,8 @@ 1 1 0 +0 +5 1 0x9abc 0xaa6511b2 @@ -97238,6 +121548,8 @@ 0 0 0 +0 +3 4 0xd0d 0x57eddac0 @@ -97246,6 +121558,8 @@ 1 0 0 +3 +4 2 0x8d40 0x237cc297 @@ -97254,6 +121568,8 @@ 1 0 0 +4 +0 2 0x13f5 0x330d5bc4 @@ -97262,6 +121578,8 @@ 1 0 0 +4 +5 2 0x7ca2 0xbc46eb9e @@ -97270,6 +121588,8 @@ 0 0 0 +4 +0 2 0xef76 0xaaa88010 @@ -97279,6 +121599,8 @@ 0 0 1 +1 +1 0x1769 0xbbb980bc 256 @@ -97287,6 +121609,8 @@ 1 0 2 +0 +2 0xa6bb 0x50eb75af 256 @@ -97294,6 +121618,8 @@ 0 0 0 +0 +4 2 0x584a 0xe6632526 @@ -97302,6 +121628,8 @@ 1 1 0 +3 +2 2 0xdb5e 0xa7f810ad @@ -97310,6 +121638,8 @@ 1 0 0 +3 +3 1 0xab10 0x18dce596 @@ -97318,6 +121648,8 @@ 1 1 0 +4 +1 2 0x3c6a 0x4a058e10 @@ -97326,6 +121658,8 @@ 0 0 0 +0 +3 1 0x903b 0x170e3334 @@ -97334,6 +121668,8 @@ 0 0 0 +5 +3 3 0x812 0x2fe76bb4 @@ -97342,6 +121678,8 @@ 1 0 0 +2 +1 4 0xfd44 0x4e02b45d @@ -97350,6 +121688,8 @@ 0 0 0 +1 +3 2 0xc5c2 0xc236128f @@ -97358,6 +121698,8 @@ 0 0 0 +0 +0 1 0x4aa5 0xb0758fa0 @@ -97366,6 +121708,8 @@ 0 0 0 +2 +5 4 0xab5f 0x25b71cf9 @@ -97374,6 +121718,8 @@ 1 0 0 +1 +1 3 0xc737 0xd368e68c @@ -97383,6 +121729,8 @@ 0 0 4 +5 +4 0x7198 0x93ef8ab1 256 @@ -97390,6 +121738,8 @@ 1 0 0 +2 +3 1 0xd4b5 0x95923176 @@ -97399,6 +121749,8 @@ 0 0 4 +0 +4 0x48d9 0xe46e1051 256 @@ -97406,6 +121758,8 @@ 0 0 0 +2 +5 1 0x3f85 0xac0c2199 @@ -97414,6 +121768,8 @@ 0 0 0 +2 +1 4 0x661e 0x457990ca @@ -97422,6 +121778,8 @@ 1 0 0 +4 +5 1 0xed60 0xb3dbaa33 @@ -97430,6 +121788,8 @@ 0 0 0 +4 +1 2 0x6fa6 0xfac30f55 @@ -97438,6 +121798,8 @@ 0 0 0 +1 +3 2 0x2faf 0x4e4f890e @@ -97446,6 +121808,8 @@ 0 0 0 +1 +3 2 0x972a 0x40e54ac7 @@ -97454,6 +121818,8 @@ 0 0 0 +2 +4 3 0x9024 0xdb74832f @@ -97462,6 +121828,8 @@ 0 0 0 +4 +2 1 0xae5a 0x61142c8e @@ -97471,6 +121839,8 @@ 1 0 1 +5 +1 0x4da5 0x70150001 256 @@ -97478,6 +121848,8 @@ 1 0 0 +5 +4 4 0xa29a 0xe6240853 @@ -97486,6 +121858,8 @@ 0 0 0 +1 +1 3 0x1473 0x29311d20 @@ -97494,6 +121868,8 @@ 1 1 0 +1 +2 2 0x5226 0xb93b41c2 @@ -97502,6 +121878,8 @@ 0 0 0 +4 +0 3 0x81ab 0xa5f188cf @@ -97511,6 +121889,8 @@ 0 0 2 +2 +2 0x4408 0x94cd528b 256 @@ -97518,6 +121898,8 @@ 1 1 0 +2 +4 1 0xaef0 0xa72751f2 @@ -97526,6 +121908,8 @@ 0 0 0 +0 +0 3 0xaa43 0xdea0d974 @@ -97534,6 +121918,8 @@ 0 0 0 +1 +1 3 0x86bb 0xd25f01a4 @@ -97542,6 +121928,8 @@ 0 0 0 +5 +5 1 0x4663 0x7fce349b @@ -97550,6 +121938,8 @@ 0 0 0 +0 +4 2 0x6e5e 0x88d7cb52 @@ -97558,6 +121948,8 @@ 0 0 0 +4 +2 1 0x1234 0x2e3356d0 @@ -97567,6 +121959,8 @@ 0 0 3 +4 +3 0x452a 0x91d0cc17 256 @@ -97574,6 +121968,8 @@ 1 1 0 +5 +2 1 0xb19d 0x75430489 @@ -97582,6 +121978,8 @@ 1 1 0 +1 +2 3 0x7e9f 0x80e9bc7d @@ -97590,6 +121988,8 @@ 1 1 0 +1 +2 4 0x58be 0x2a6441ef @@ -97598,6 +121998,8 @@ 1 1 0 +4 +1 2 0x609e 0x7741f59 @@ -97606,6 +122008,8 @@ 1 0 0 +5 +2 3 0xed88 0xedbe1e21 @@ -97614,6 +122018,8 @@ 0 0 0 +1 +3 2 0xfee5 0x3f7e175a @@ -97623,6 +122029,8 @@ 0 0 3 +2 +3 0x33f5 0x3b459748 256 @@ -97631,6 +122039,8 @@ 0 0 4 +0 +4 0x7377 0xf524155a 256 @@ -97638,6 +122048,8 @@ 1 0 0 +4 +5 1 0xff8a 0x48357745 @@ -97647,6 +122059,8 @@ 0 0 4 +5 +4 0x2c50 0x4a95fad9 256 @@ -97654,6 +122068,8 @@ 1 0 0 +1 +4 3 0x9f7c 0xb882d454 @@ -97663,6 +122079,8 @@ 0 0 3 +5 +3 0x1dcb 0xe28fa596 256 @@ -97670,6 +122088,8 @@ 1 1 0 +4 +2 1 0x9f25 0x2500ea13 @@ -97678,6 +122098,8 @@ 0 0 0 +0 +0 4 0x44c0 0xdbf0964c @@ -97686,6 +122108,8 @@ 0 0 0 +5 +5 3 0x8498 0x2003f22c @@ -97695,6 +122119,8 @@ 0 0 4 +3 +4 0xe794 0xa0f55a00 256 @@ -97702,6 +122128,8 @@ 1 1 0 +2 +5 3 0x4418 0x157e3136 @@ -97710,6 +122138,8 @@ 1 1 0 +4 +3 3 0xc91a 0xe1cfa87 @@ -97718,6 +122148,8 @@ 1 1 0 +2 +0 3 0x8e88 0x969aa37b @@ -97727,6 +122159,8 @@ 1 0 1 +5 +1 0xd7bf 0x8e148ba9 256 @@ -97734,6 +122168,8 @@ 0 0 0 +2 +2 1 0x149f 0xb5a449bb @@ -97742,6 +122178,8 @@ 0 0 0 +2 +0 3 0x29af 0x3d7255a @@ -97751,6 +122189,8 @@ 0 0 2 +4 +2 0x5e9f 0xf523fa3 256 @@ -97758,6 +122198,8 @@ 0 0 0 +1 +1 3 0x656c 0xb471cc31 @@ -97767,6 +122209,8 @@ 0 0 2 +1 +2 0x6c3 0x3a77a526 256 @@ -97774,6 +122218,8 @@ 0 0 0 +3 +3 2 0x1489 0xb58bcaaa @@ -97782,6 +122228,8 @@ 0 0 0 +3 +3 4 0x7e75 0xc811ff50 @@ -97790,6 +122238,8 @@ 1 1 0 +3 +0 2 0xbc33 0x7100a0 @@ -97798,6 +122248,8 @@ 1 1 0 +5 +3 1 0x883 0x94c8bd6d @@ -97806,6 +122258,8 @@ 1 0 0 +1 +2 4 0x580b 0xf48c3cd9 @@ -97814,6 +122268,8 @@ 0 0 0 +4 +1 2 0x7d23 0xc55a2934 @@ -97822,6 +122278,8 @@ 0 0 0 +1 +1 4 0xa69d 0x5cfbd86 @@ -97830,6 +122288,8 @@ 1 1 0 +2 +1 1 0x235c 0xb89c51d2 @@ -97838,6 +122298,8 @@ 1 1 0 +5 +1 4 0xd777 0xa2a8707e @@ -97846,6 +122308,8 @@ 0 0 0 +1 +5 2 0x93ae 0x57007b41 @@ -97854,6 +122318,8 @@ 1 0 0 +5 +3 3 0x133a 0x2b9aadce @@ -97862,6 +122328,8 @@ 1 0 0 +4 +1 3 0x8e54 0x14bfde67 @@ -97870,6 +122338,8 @@ 0 0 0 +3 +2 2 0xe19c 0x9c50170b @@ -97878,6 +122348,8 @@ 1 0 0 +0 +1 2 0x6292 0x81b37823 @@ -97886,6 +122358,8 @@ 0 0 0 +1 +0 3 0x6033 0x2bd6ffdb @@ -97894,6 +122368,8 @@ 1 0 0 +5 +5 2 0x4976 0x20150e68 @@ -97903,6 +122379,8 @@ 0 0 3 +2 +3 0x615 0x5925ae9e 256 @@ -97911,6 +122389,8 @@ 0 0 4 +0 +4 0x8b1c 0x7591a9f6 256 @@ -97918,6 +122398,8 @@ 1 0 0 +1 +3 3 0x235e 0xbe8bca2b @@ -97927,6 +122409,8 @@ 0 0 4 +1 +4 0xb7c7 0x7ff72065 256 @@ -97934,6 +122418,8 @@ 1 1 0 +1 +4 2 0xd023 0xf321baa2 @@ -97942,6 +122428,8 @@ 1 0 0 +3 +0 2 0xce4d 0x90007d96 @@ -97950,6 +122438,8 @@ 0 0 0 +0 +1 1 0xabe5 0x9e124f07 @@ -97958,6 +122448,8 @@ 1 0 0 +4 +5 3 0x34d3 0x3a1d88a0 @@ -97966,6 +122458,8 @@ 1 1 0 +4 +5 2 0x5137 0xe8c4a95e @@ -97975,6 +122469,8 @@ 0 0 1 +2 +1 0x1ee 0x4538207a 256 @@ -97982,6 +122478,8 @@ 1 0 0 +0 +0 4 0x3c4 0xc45867b2 @@ -97990,6 +122488,8 @@ 1 1 0 +5 +2 3 0x7d45 0x620c6a04 @@ -97999,6 +122499,8 @@ 0 0 4 +4 +4 0x9452 0x8efafe24 256 @@ -98006,6 +122508,8 @@ 0 0 0 +3 +1 2 0xfb4b 0xee218cee @@ -98014,6 +122518,8 @@ 0 0 0 +4 +0 1 0x9a60 0xe663abc2 @@ -98022,6 +122528,8 @@ 1 0 0 +4 +4 3 0xee77 0xd98b2885 @@ -98030,6 +122538,8 @@ 0 0 0 +4 +3 1 0xd162 0x666bc497 @@ -98038,6 +122548,8 @@ 1 1 0 +1 +1 3 0x16a5 0x7a837d1a @@ -98046,6 +122558,8 @@ 1 1 0 +1 +3 4 0x1b0e 0x8313f924 @@ -98054,6 +122568,8 @@ 0 0 0 +1 +2 3 0x9bf8 0x761334fe @@ -98062,6 +122578,8 @@ 1 0 0 +0 +4 3 0xdd2b 0x86bbc1e5 @@ -98070,6 +122588,8 @@ 0 0 0 +5 +4 4 0xe004 0x5d923784 @@ -98078,6 +122598,8 @@ 1 1 0 +5 +5 4 0x82b5 0x2bd3879b @@ -98086,6 +122608,8 @@ 0 0 0 +5 +2 2 0xdcbd 0x925fb37f @@ -98094,6 +122618,8 @@ 0 0 0 +2 +2 3 0x3ae 0xa578bedf @@ -98102,6 +122628,8 @@ 1 0 0 +2 +1 4 0x5883 0x583d3b20 @@ -98110,6 +122638,8 @@ 1 1 0 +5 +0 2 0x96e7 0x94cfc07f @@ -98118,6 +122648,8 @@ 1 0 0 +0 +2 1 0x6f8 0x33489ec @@ -98126,6 +122658,8 @@ 1 1 0 +0 +5 2 0xd649 0x889b81fa @@ -98135,6 +122669,8 @@ 0 0 3 +5 +3 0x445c 0xa975b38c 256 @@ -98142,6 +122678,8 @@ 0 0 0 +0 +1 1 0x4747 0x9ac8cf80 @@ -98150,6 +122688,8 @@ 0 0 0 +4 +2 2 0xdb6 0x11ef55d6 @@ -98158,6 +122698,8 @@ 1 0 0 +1 +2 2 0x4692 0x97c70681 @@ -98166,6 +122708,8 @@ 1 1 0 +5 +5 3 0xbb18 0xa649fed0 @@ -98174,6 +122718,8 @@ 1 0 0 +5 +1 2 0x7e29 0xf38e1178 @@ -98182,6 +122728,8 @@ 1 0 0 +5 +2 3 0xdb8a 0xdcefeafc @@ -98190,6 +122738,8 @@ 1 0 0 +5 +0 2 0x9baa 0x1e629108 @@ -98199,6 +122749,8 @@ 0 0 2 +0 +2 0x526a 0xe5966771 256 @@ -98206,6 +122758,8 @@ 0 0 0 +3 +3 4 0x835d 0x8576d6e0 @@ -98214,6 +122768,8 @@ 1 0 0 +5 +1 4 0x4eeb 0xaf57bde2 @@ -98223,6 +122779,8 @@ 1 0 3 +1 +3 0xfc8c 0xba5071e7 256 @@ -98231,6 +122789,8 @@ 0 0 4 +5 +4 0x270 0xefb82db8 256 @@ -98238,6 +122798,8 @@ 1 1 0 +1 +4 2 0xafb9 0x24aaecae @@ -98246,6 +122808,8 @@ 1 0 0 +1 +2 4 0xa321 0x332bc002 @@ -98255,6 +122819,8 @@ 0 0 4 +2 +4 0xbbb9 0x6c174c78 256 @@ -98262,6 +122828,8 @@ 1 1 0 +0 +2 2 0x19a 0xe2cc20e0 @@ -98270,6 +122838,8 @@ 1 0 0 +0 +0 1 0x8459 0x676fabd1 @@ -98278,6 +122848,8 @@ 0 0 0 +4 +0 2 0x3031 0x6440d46b @@ -98286,6 +122858,8 @@ 1 1 0 +0 +2 1 0x880f 0x12106667 @@ -98294,6 +122868,8 @@ 0 0 0 +5 +2 3 0x5c88 0x19469a94 @@ -98302,6 +122878,8 @@ 1 0 0 +5 +2 3 0xd2a0 0xa5ccb6ae @@ -98310,6 +122888,8 @@ 0 0 0 +5 +5 4 0x46bc 0x41f14c4f @@ -98318,6 +122898,8 @@ 0 0 0 +4 +1 3 0x216e 0x27168db6 @@ -98326,6 +122908,8 @@ 0 0 0 +2 +4 1 0xbc41 0x87f35f15 @@ -98334,6 +122918,8 @@ 0 0 0 +2 +1 4 0x2368 0xfcb186e @@ -98342,6 +122928,8 @@ 0 0 0 +0 +5 2 0xdbc9 0xc9f1e454 @@ -98350,6 +122938,8 @@ 1 1 0 +5 +4 2 0x9192 0xa19d67a5 @@ -98359,6 +122949,8 @@ 1 0 1 +2 +1 0x7028 0xc9d5bbbe 256 @@ -98366,6 +122958,8 @@ 1 1 0 +3 +0 4 0x5bb1 0x6378d33e @@ -98374,6 +122968,8 @@ 0 0 0 +0 +0 4 0xd6fe 0xc45959b7 @@ -98382,6 +122978,8 @@ 1 1 0 +4 +5 2 0xea16 0x9a763e30 @@ -98390,6 +122988,8 @@ 1 0 0 +5 +1 2 0xacc6 0x9cadb51e @@ -98399,6 +122999,8 @@ 0 0 3 +3 +3 0x3add 0x64a5a6e6 256 @@ -98407,6 +123009,8 @@ 0 0 4 +3 +4 0xab9d 0x3c3239c3 256 @@ -98414,6 +123018,8 @@ 1 1 0 +1 +2 2 0x7430 0x57b05b91 @@ -98422,6 +123028,8 @@ 0 0 0 +1 +0 3 0x2790 0x3e8af635 @@ -98431,6 +123039,8 @@ 0 0 3 +0 +3 0xe51e 0x66e04f56 256 @@ -98438,6 +123048,8 @@ 1 0 0 +0 +3 1 0x9c36 0x74dc4314 @@ -98446,6 +123058,8 @@ 1 0 0 +0 +0 3 0xc963 0x6523dfb8 @@ -98454,6 +123068,8 @@ 0 0 0 +5 +0 3 0xfe7f 0x54ef4b6b @@ -98462,6 +123078,8 @@ 0 0 0 +0 +1 4 0x1e77 0xd153772d @@ -98470,6 +123088,8 @@ 1 1 0 +5 +0 1 0xdbcb 0x778f29f8 @@ -98478,6 +123098,8 @@ 1 1 0 +5 +2 4 0x45ad 0x202b7f99 @@ -98486,6 +123108,8 @@ 1 1 0 +3 +1 1 0xe775 0x52920fa2 @@ -98494,6 +123118,8 @@ 1 1 0 +2 +1 1 0x4668 0x6c15f458 @@ -98502,6 +123128,8 @@ 1 1 0 +4 +4 2 0x4624 0xe5a6f293 @@ -98510,6 +123138,8 @@ 0 0 0 +3 +1 2 0xce26 0x7e2ce96e @@ -98518,6 +123148,8 @@ 0 0 0 +2 +3 1 0x64cc 0xf88dc51b @@ -98526,6 +123158,8 @@ 1 0 0 +0 +0 4 0x623f 0x4258fc8a @@ -98535,6 +123169,8 @@ 1 0 2 +1 +2 0xd66f 0xe0a0e8d6 256 @@ -98543,6 +123179,8 @@ 0 0 3 +2 +3 0xb61a 0x66822c56 256 @@ -98551,6 +123189,8 @@ 0 0 4 +5 +4 0x8fae 0xbe11df78 256 @@ -98558,6 +123198,8 @@ 0 0 0 +2 +3 3 0x9acc 0x85c0fdd8 @@ -98566,6 +123208,8 @@ 1 1 0 +0 +4 1 0x101b 0x48fce128 @@ -98574,6 +123218,8 @@ 1 1 0 +1 +3 3 0xbd5a 0x41631627 @@ -98582,6 +123228,8 @@ 1 0 0 +2 +2 1 0xaadf 0xe6e55cb0 @@ -98590,6 +123238,8 @@ 1 1 0 +5 +3 2 0xdf44 0x9c65e7f9 @@ -98598,6 +123248,8 @@ 0 0 0 +5 +1 1 0x2d2f 0xa36e7de9 @@ -98606,6 +123258,8 @@ 0 0 0 +0 +1 1 0xcdba 0xe69ec213 @@ -98614,6 +123268,8 @@ 1 0 0 +0 +3 3 0x5836 0x565ae775 @@ -98622,6 +123278,8 @@ 1 1 0 +5 +0 4 0xb470 0x94dcfa1e @@ -98630,6 +123288,8 @@ 1 1 0 +3 +3 1 0x98ce 0x23d36425 @@ -98638,6 +123298,8 @@ 1 0 0 +5 +0 3 0x8d2c 0x3f4d2521 @@ -98646,6 +123308,8 @@ 0 0 0 +1 +5 4 0x7dcc 0x2d777150 @@ -98654,6 +123318,8 @@ 0 0 0 +5 +0 2 0x968c 0xc50114cd @@ -98662,6 +123328,8 @@ 1 1 0 +5 +1 1 0xcfa6 0x6d6af30a @@ -98671,6 +123339,8 @@ 0 0 2 +0 +2 0xe3fc 0x8b51f7ef 256 @@ -98678,6 +123348,8 @@ 0 0 0 +5 +5 4 0xf20e 0x640f80bb @@ -98686,6 +123358,8 @@ 1 0 0 +2 +5 3 0x40d8 0x6d0bc30e @@ -98694,6 +123368,8 @@ 1 1 0 +5 +2 2 0x174d 0x14e2a358 @@ -98702,6 +123378,8 @@ 1 0 0 +1 +0 2 0x2971 0xd8cb337f @@ -98710,6 +123388,8 @@ 0 0 0 +4 +2 2 0xe324 0x80e78706 @@ -98718,6 +123398,8 @@ 1 0 0 +1 +4 4 0x1883 0x450341da @@ -98726,6 +123408,8 @@ 0 0 0 +3 +1 4 0x3fe7 0x4f0b1b58 @@ -98734,6 +123418,8 @@ 1 1 0 +2 +3 3 0xad5c 0x1fa02e2d @@ -98742,6 +123428,8 @@ 1 1 0 +0 +0 4 0x3dc4 0x7fc403c9 @@ -98750,6 +123438,8 @@ 0 0 0 +1 +4 2 0xbe07 0x494acb37 @@ -98758,6 +123448,8 @@ 1 0 0 +5 +5 1 0xe59f 0xae83f8c2 @@ -98766,6 +123458,8 @@ 1 0 0 +4 +3 2 0xe93f 0xda412084 @@ -98775,6 +123469,8 @@ 1 0 3 +2 +3 0xe43b 0x96e98ea1 256 @@ -98783,6 +123479,8 @@ 0 0 1 +2 +1 0xd0d7 0x62b83c 256 @@ -98790,6 +123488,8 @@ 1 1 0 +4 +3 1 0x4748 0x52bced46 @@ -98798,6 +123498,8 @@ 1 1 0 +2 +4 1 0xcb6d 0xdb9c96c4 @@ -98806,6 +123508,8 @@ 1 0 0 +0 +5 1 0x5bab 0xcc72a514 @@ -98815,6 +123519,8 @@ 0 0 4 +2 +4 0x9b8f 0x79232624 256 @@ -98822,6 +123528,8 @@ 1 0 0 +4 +2 1 0x1204 0xda4619d8 @@ -98830,6 +123538,8 @@ 0 0 0 +1 +4 3 0xc435 0x6d917b5e @@ -98839,6 +123549,8 @@ 0 0 1 +0 +1 0x226f 0x1ca434c 256 @@ -98846,6 +123558,8 @@ 1 0 0 +0 +4 4 0x6792 0xca5944ac @@ -98855,6 +123569,8 @@ 0 0 1 +2 +1 0x21f5 0xa8dfc2a3 256 @@ -98862,6 +123578,8 @@ 0 0 0 +1 +5 2 0xe767 0x5c4c586b @@ -98870,6 +123588,8 @@ 1 1 0 +0 +3 1 0x9ce9 0x1d7b8789 @@ -98878,6 +123598,8 @@ 0 0 0 +0 +0 1 0xe7af 0x7cd45f9e @@ -98887,6 +123609,8 @@ 0 0 4 +2 +4 0x1941 0x6efa1d84 256 @@ -98894,6 +123618,8 @@ 1 1 0 +0 +5 3 0x8bce 0x6c414126 @@ -98902,6 +123628,8 @@ 1 0 0 +3 +0 4 0x7c90 0x259eff40 @@ -98910,6 +123638,8 @@ 0 0 0 +4 +5 1 0xc09e 0x723ca333 @@ -98918,6 +123648,8 @@ 1 1 0 +5 +2 3 0xc860 0x75589c40 @@ -98926,6 +123658,8 @@ 1 0 0 +1 +0 2 0xfe47 0xefd754bc @@ -98934,6 +123668,8 @@ 1 1 0 +3 +5 2 0x5f7 0x4c86e53a @@ -98943,6 +123679,8 @@ 0 0 1 +0 +1 0xbb14 0x89e22c99 256 @@ -98950,6 +123688,8 @@ 0 0 0 +0 +4 1 0xb023 0x3e670de8 @@ -98958,6 +123698,8 @@ 0 0 0 +1 +3 4 0x3985 0x74aec96c @@ -98966,6 +123708,8 @@ 0 0 0 +0 +1 3 0xcaa0 0x3cfdf93d @@ -98974,6 +123718,8 @@ 0 0 0 +2 +2 4 0x7a5f 0x177b5676 @@ -98982,6 +123728,8 @@ 1 0 0 +1 +3 4 0x3da3 0xd2ddf53e @@ -98990,6 +123738,8 @@ 1 0 0 +5 +0 1 0xf38a 0x193d30d @@ -98998,6 +123748,8 @@ 1 1 0 +1 +2 3 0xee22 0x127a2384 @@ -99006,6 +123758,8 @@ 1 0 0 +0 +1 3 0x783e 0xfa2b1ace @@ -99014,6 +123768,8 @@ 1 1 0 +4 +3 2 0x41c8 0x10a94568 @@ -99022,6 +123778,8 @@ 0 0 0 +2 +4 4 0x7de2 0x9335428e @@ -99030,6 +123788,8 @@ 0 0 0 +1 +3 4 0xe3b5 0x218f8580 @@ -99038,6 +123798,8 @@ 0 0 0 +2 +0 3 0xabf8 0xc6fa4582 @@ -99046,6 +123808,8 @@ 0 0 0 +4 +2 3 0x40ca 0xa93b65a6 @@ -99054,6 +123818,8 @@ 0 0 0 +5 +0 1 0x5a02 0xab38365a @@ -99062,6 +123828,8 @@ 1 0 0 +0 +5 4 0xbc2f 0x1e8299a5 @@ -99070,6 +123838,8 @@ 0 0 0 +1 +1 2 0x44a1 0x11681f3b @@ -99078,6 +123848,8 @@ 0 0 0 +0 +1 2 0x5ddf 0x7dd26823 @@ -99086,6 +123858,8 @@ 0 0 0 +5 +0 2 0xd34e 0x46d113ef @@ -99094,6 +123868,8 @@ 1 1 0 +0 +4 2 0x273e 0x28eafd81 @@ -99102,6 +123878,8 @@ 1 0 0 +0 +3 4 0xbae3 0x847bed73 @@ -99110,6 +123888,8 @@ 1 0 0 +4 +1 1 0xf979 0x8d820089 @@ -99118,6 +123898,8 @@ 1 1 0 +2 +0 1 0x55e2 0xfcacac14 @@ -99126,6 +123908,8 @@ 1 1 0 +0 +1 3 0x5bbf 0x8a581ff0 @@ -99134,6 +123918,8 @@ 1 1 0 +3 +3 4 0x3657 0xafc25de8 @@ -99142,6 +123928,8 @@ 1 0 0 +3 +2 4 0xf2f7 0xa8475b70 @@ -99150,6 +123938,8 @@ 1 0 0 +4 +4 3 0x495d 0x96846186 @@ -99158,6 +123948,8 @@ 1 1 0 +4 +0 3 0x8403 0xf22f844d @@ -99166,6 +123958,8 @@ 0 0 0 +1 +2 4 0xf5ab 0xc324b078 @@ -99174,6 +123968,8 @@ 1 1 0 +0 +5 1 0xd3b1 0x926a0508 @@ -99183,6 +123979,8 @@ 0 0 4 +1 +4 0x8002 0xc8a2cefc 256 @@ -99190,6 +123988,8 @@ 1 0 0 +3 +4 1 0x7197 0x2d2d63e1 @@ -99198,6 +123998,8 @@ 1 0 0 +5 +2 4 0x5f2b 0xcc7d9374 @@ -99206,6 +124008,8 @@ 1 1 0 +0 +5 1 0x931a 0x322729d4 @@ -99214,6 +124018,8 @@ 1 1 0 +0 +4 1 0xad17 0xd78e81db @@ -99223,6 +124029,8 @@ 0 0 3 +3 +3 0x596d 0xc08d9cfa 256 @@ -99230,6 +124038,8 @@ 1 1 0 +2 +3 3 0x2e6a 0x578e86b8 @@ -99238,6 +124048,8 @@ 1 1 0 +5 +4 4 0xdcb8 0xb0767586 @@ -99247,6 +124059,8 @@ 0 0 2 +5 +2 0x1943 0x67d167d3 256 @@ -99254,6 +124068,8 @@ 0 0 0 +1 +4 4 0x9ca7 0x186cffed @@ -99262,6 +124078,8 @@ 0 0 0 +1 +2 4 0x59cb 0x8a53b6f3 @@ -99270,6 +124088,8 @@ 0 0 0 +5 +1 2 0xcf3 0x123e92eb @@ -99278,6 +124098,8 @@ 0 0 0 +0 +1 1 0x85b3 0x8d06294a @@ -99286,6 +124108,8 @@ 0 0 0 +5 +0 3 0xf1b9 0x107b0560 @@ -99294,6 +124118,8 @@ 0 0 0 +4 +1 3 0x68cf 0x9f08147e @@ -99302,6 +124128,8 @@ 0 0 0 +4 +2 1 0x133c 0x11d42deb @@ -99310,6 +124138,8 @@ 1 0 0 +4 +5 3 0x51ae 0x817b20ca @@ -99318,6 +124148,8 @@ 1 1 0 +5 +3 3 0x3444 0x7675e0d0 @@ -99327,6 +124159,8 @@ 0 0 3 +2 +3 0x9e4f 0x2f3c2b30 256 @@ -99334,6 +124168,8 @@ 0 0 0 +1 +0 4 0xff3c 0x646a01bb @@ -99342,6 +124178,8 @@ 0 0 0 +0 +4 2 0xf515 0x38afe648 @@ -99350,6 +124188,8 @@ 1 1 0 +0 +0 2 0xb88d 0x7e6c0b98 @@ -99358,6 +124198,8 @@ 0 0 0 +4 +3 1 0xa895 0x7de29bc4 @@ -99366,6 +124208,8 @@ 0 0 0 +1 +1 3 0x2bc8 0x881bb1e1 @@ -99375,6 +124219,8 @@ 0 0 3 +4 +3 0x1552 0x6149dbac 256 @@ -99383,6 +124229,8 @@ 1 0 1 +3 +1 0x3a4f 0x6da62180 256 @@ -99390,6 +124238,8 @@ 0 0 0 +1 +2 3 0x3fab 0x26b1430d @@ -99398,6 +124248,8 @@ 0 0 0 +2 +5 3 0xe1db 0xcfa67b6c @@ -99407,6 +124259,8 @@ 0 0 1 +2 +1 0x1f5b 0xed85c893 256 @@ -99414,6 +124268,8 @@ 0 0 0 +1 +4 4 0x7dd4 0x5a74417d @@ -99422,6 +124278,8 @@ 0 0 0 +0 +1 3 0xd8a2 0x9f120800 @@ -99430,6 +124288,8 @@ 0 0 0 +3 +0 2 0x8dd2 0xa8fea8bd @@ -99438,6 +124298,8 @@ 1 1 0 +0 +1 3 0x1a79 0xd0af2bf1 @@ -99446,6 +124308,8 @@ 0 0 0 +1 +0 4 0xfc88 0xc2b0b7c2 @@ -99454,6 +124318,8 @@ 1 0 0 +3 +4 4 0xbe5c 0xfb6fa9da @@ -99462,6 +124328,8 @@ 1 1 0 +4 +2 3 0xcbe4 0x59131ef7 @@ -99470,6 +124338,8 @@ 0 0 0 +2 +0 1 0x6777 0xab969356 @@ -99478,6 +124348,8 @@ 0 0 0 +1 +5 3 0xe19d 0x6b08f8ca @@ -99487,6 +124359,8 @@ 1 0 3 +2 +3 0x56c5 0xe95cda77 256 @@ -99494,6 +124368,8 @@ 1 1 0 +5 +2 4 0x2a00 0x79982f6a @@ -99502,6 +124378,8 @@ 0 0 0 +1 +0 4 0xc94 0x45e2a102 @@ -99510,6 +124388,8 @@ 0 0 0 +3 +3 1 0xadb1 0x3532da21 @@ -99518,6 +124398,8 @@ 0 0 0 +5 +4 1 0xb07f 0x8fbe788b @@ -99527,6 +124409,8 @@ 0 0 3 +1 +3 0xa3f1 0x509cbcbf 256 @@ -99534,6 +124418,8 @@ 1 0 0 +4 +2 2 0x4f0a 0xc20fa9f8 @@ -99542,6 +124428,8 @@ 1 1 0 +2 +2 4 0x54d 0xfe166820 @@ -99550,6 +124438,8 @@ 0 0 0 +1 +1 3 0xbfa2 0x67b605cf @@ -99559,6 +124449,8 @@ 1 0 1 +3 +1 0x18ff 0x2968529f 256 @@ -99566,6 +124458,8 @@ 0 0 0 +1 +2 2 0x932a 0x8abc5ef1 @@ -99574,6 +124468,8 @@ 1 1 0 +4 +3 3 0xabfd 0x6abb90ab @@ -99582,6 +124478,8 @@ 0 0 0 +5 +2 2 0xe364 0x73bf19b6 @@ -99590,6 +124488,8 @@ 1 1 0 +0 +5 1 0xad77 0x81534175 @@ -99598,6 +124498,8 @@ 1 0 0 +5 +5 3 0xe019 0x115c7326 @@ -99606,6 +124508,8 @@ 1 0 0 +5 +5 3 0xf75e 0x72e2fb92 @@ -99614,6 +124518,8 @@ 1 0 0 +0 +4 2 0xa50a 0xb2a5bd23 @@ -99622,6 +124528,8 @@ 0 0 0 +5 +3 2 0x6a90 0x2b5da7b8 @@ -99630,6 +124538,8 @@ 0 0 0 +5 +4 3 0x14d2 0x920cdaa3 @@ -99638,6 +124548,8 @@ 0 0 0 +4 +0 1 0x2ab3 0xc8722530 @@ -99647,6 +124559,8 @@ 1 0 4 +3 +4 0x35de 0x249e8030 256 @@ -99654,6 +124568,8 @@ 0 0 0 +5 +3 1 0xfcb7 0x70581b42 @@ -99663,6 +124579,8 @@ 0 0 3 +3 +3 0x971f 0x6414ab7b 256 @@ -99670,6 +124588,8 @@ 0 0 0 +1 +2 4 0x1924 0x4298751e @@ -99678,6 +124598,8 @@ 0 0 0 +3 +5 2 0xf167 0x2fdf41b5 @@ -99686,6 +124608,8 @@ 0 0 0 +1 +2 4 0x7974 0xd746986 @@ -99694,6 +124618,8 @@ 0 0 0 +3 +1 2 0x16c3 0x9bb0fb17 @@ -99702,6 +124628,8 @@ 1 1 0 +4 +0 2 0xc460 0x1b9b8768 @@ -99710,6 +124638,8 @@ 0 0 0 +5 +1 4 0x8c3c 0xb67e479b @@ -99718,6 +124648,8 @@ 1 0 0 +3 +3 2 0x7440 0x4efe26cb @@ -99726,6 +124658,8 @@ 1 1 0 +5 +0 3 0x3964 0x8c2f19b3 @@ -99734,6 +124668,8 @@ 0 0 0 +3 +5 4 0x5f2e 0x262b658e @@ -99742,6 +124678,8 @@ 0 0 0 +4 +1 1 0xba30 0xd4c191eb @@ -99751,6 +124689,8 @@ 0 0 2 +3 +2 0xf113 0x50692da5 256 @@ -99758,6 +124698,8 @@ 0 0 0 +5 +1 1 0x5cda 0xce6d4d0c @@ -99766,6 +124708,8 @@ 0 0 0 +1 +4 4 0x36fc 0x17ec8e48 @@ -99774,6 +124718,8 @@ 0 0 0 +5 +0 1 0xbaba 0xbf333217 @@ -99782,6 +124728,8 @@ 1 0 0 +5 +3 1 0xf344 0xd98c53b8 @@ -99790,6 +124738,8 @@ 1 1 0 +5 +0 2 0x6d6b 0x6dcf9f74 @@ -99798,6 +124748,8 @@ 0 0 0 +5 +1 1 0x3b54 0x4b401060 @@ -99806,6 +124758,8 @@ 1 0 0 +2 +0 3 0xa4d1 0xb060fe2e @@ -99814,6 +124768,8 @@ 1 0 0 +1 +1 3 0x4e95 0x315a660f @@ -99823,6 +124779,8 @@ 0 0 2 +2 +2 0xb133 0xb923bfaa 256 @@ -99831,6 +124789,8 @@ 0 0 2 +1 +2 0x2896 0x56ea42ba 256 @@ -99838,6 +124798,8 @@ 1 0 0 +3 +3 1 0x3334 0xa478c3e2 @@ -99847,6 +124809,8 @@ 0 0 3 +0 +3 0x2cdc 0xa130162c 256 @@ -99854,6 +124818,8 @@ 0 0 0 +4 +1 3 0x514a 0x79ae89b4 @@ -99863,6 +124829,8 @@ 0 0 2 +3 +2 0x1b3f 0x61290383 256 @@ -99871,6 +124839,8 @@ 0 0 4 +3 +4 0xb2b7 0x7e4f3a6 256 @@ -99878,6 +124848,8 @@ 1 0 0 +3 +2 2 0x8188 0x34114a4d @@ -99886,6 +124858,8 @@ 1 1 0 +2 +4 4 0x8420 0xa7e257a2 @@ -99895,6 +124869,8 @@ 0 0 4 +0 +4 0xf1ba 0xa929ba07 256 @@ -99902,6 +124878,8 @@ 1 1 0 +0 +1 3 0xdffc 0x7f70d461 @@ -99910,6 +124888,8 @@ 1 1 0 +5 +2 2 0x21f0 0x6d9ae2e0 @@ -99918,6 +124898,8 @@ 1 0 0 +5 +1 1 0xa2f 0xe283552c @@ -99926,6 +124908,8 @@ 0 0 0 +5 +2 2 0xcdc1 0x88fa45ec @@ -99935,6 +124919,8 @@ 0 0 2 +0 +2 0xa080 0x96a1225d 256 @@ -99942,6 +124928,8 @@ 1 0 0 +3 +0 2 0xd5e9 0xac876d78 @@ -99950,6 +124938,8 @@ 1 1 0 +1 +2 4 0xb449 0xc9b822b9 @@ -99958,6 +124948,8 @@ 1 0 0 +5 +3 3 0xde 0x4c66cc0a @@ -99966,6 +124958,8 @@ 0 0 0 +2 +1 3 0xa1d6 0x6cb30737 @@ -99974,6 +124968,8 @@ 0 0 0 +0 +5 2 0x4fd1 0x2c8ff860 @@ -99982,6 +124978,8 @@ 0 0 0 +3 +2 2 0xe8e4 0x739d69e1 @@ -99990,6 +124988,8 @@ 1 1 0 +4 +5 3 0x1d 0xff0445cb @@ -99998,6 +124998,8 @@ 1 0 0 +4 +2 2 0xd7df 0x81e45dcb @@ -100006,6 +125008,8 @@ 0 0 0 +2 +1 4 0xe449 0xd3afb3c @@ -100014,6 +125018,8 @@ 0 0 0 +0 +3 1 0xdf56 0x23f42f75 @@ -100022,6 +125028,8 @@ 0 0 0 +3 +2 2 0xe7f5 0x8570f3b2 @@ -100030,6 +125038,8 @@ 0 0 0 +4 +2 2 0x35e3 0xd5dfdfcc @@ -100039,6 +125049,8 @@ 0 0 4 +2 +4 0xa4cd 0x3f04155b 256 @@ -100046,6 +125058,8 @@ 0 0 0 +1 +0 3 0x430 0x3df43b7 @@ -100054,6 +125068,8 @@ 0 0 0 +3 +3 2 0x408d 0x648cce47 @@ -100062,6 +125078,8 @@ 0 0 0 +1 +1 4 0x5b47 0xf7ed18e2 @@ -100071,6 +125089,8 @@ 0 0 2 +1 +2 0xfeb9 0xe8f619e5 256 @@ -100078,6 +125098,8 @@ 0 0 0 +0 +2 1 0xa9e5 0x3d05923d @@ -100086,6 +125108,8 @@ 0 0 0 +2 +4 1 0x50e8 0x3d1a4ea1 @@ -100094,6 +125118,8 @@ 1 1 0 +3 +5 2 0xd17d 0x3d1af8b0 @@ -100102,6 +125128,8 @@ 0 0 0 +5 +5 4 0xef81 0xda1be122 @@ -100110,6 +125138,8 @@ 1 0 0 +5 +1 4 0x66e0 0x565bff8d @@ -100118,6 +125148,8 @@ 1 0 0 +1 +5 2 0xb444 0xfb327500 @@ -100126,6 +125158,8 @@ 0 0 0 +4 +2 1 0x5fb 0xe1737cc @@ -100135,6 +125169,8 @@ 0 0 4 +3 +4 0x8c4a 0xab1a2c65 256 @@ -100143,6 +125179,8 @@ 0 0 4 +4 +4 0xadd6 0xa63341ef 256 @@ -100151,6 +125189,8 @@ 1 0 1 +5 +1 0xb850 0x3c6a4a9c 256 @@ -100159,6 +125199,8 @@ 0 0 1 +3 +1 0x21c3 0x4ac3f0b3 256 @@ -100166,6 +125208,8 @@ 1 1 0 +4 +5 1 0xd44e 0xf80ca73a @@ -100174,6 +125218,8 @@ 1 0 0 +5 +3 4 0x5107 0xa3e134fd @@ -100182,6 +125228,8 @@ 1 1 0 +3 +4 4 0x319f 0xe3f7b672 @@ -100190,6 +125238,8 @@ 1 1 0 +2 +2 1 0x567c 0xdde3c15 @@ -100198,6 +125248,8 @@ 0 0 0 +1 +4 3 0x78fa 0x9688b825 @@ -100206,6 +125258,8 @@ 0 0 0 +3 +3 4 0x7dce 0x1dcbb55a @@ -100214,6 +125268,8 @@ 1 0 0 +5 +2 1 0x6980 0xbee1a69 @@ -100222,6 +125278,8 @@ 1 1 0 +5 +1 4 0x4e40 0x61896c9a @@ -100230,6 +125288,8 @@ 0 0 0 +3 +2 2 0xe0 0xcbe2459d @@ -100238,6 +125298,8 @@ 0 0 0 +1 +2 2 0xb9fd 0x44ca1b33 @@ -100246,6 +125308,8 @@ 1 0 0 +2 +5 4 0xf406 0xef221b02 @@ -100254,6 +125318,8 @@ 0 0 0 +2 +3 1 0x402a 0xd9ffdf94 @@ -100262,6 +125328,8 @@ 0 0 0 +4 +3 1 0x5189 0x5d3ef1e0 @@ -100270,6 +125338,8 @@ 0 0 0 +5 +2 3 0x940e 0x72042083 @@ -100279,6 +125349,8 @@ 0 0 3 +1 +3 0x308f 0xc6d5f413 256 @@ -100286,6 +125358,8 @@ 0 0 0 +3 +5 4 0xb19d 0x18da503e @@ -100295,6 +125369,8 @@ 0 0 2 +1 +2 0x80ce 0x687fdff 256 @@ -100302,6 +125378,8 @@ 1 1 0 +5 +5 3 0xfbd7 0x8af12f4a @@ -100310,6 +125388,8 @@ 1 1 0 +5 +1 1 0xcf89 0x28a4618d @@ -100318,6 +125398,8 @@ 1 1 0 +2 +3 4 0x275 0x3ee13ad1 @@ -100326,6 +125408,8 @@ 1 0 0 +0 +0 1 0xb2f 0xa9215f43 @@ -100335,6 +125419,8 @@ 0 0 4 +1 +4 0xcd91 0x59e898ac 256 @@ -100342,6 +125428,8 @@ 0 0 0 +0 +0 1 0xfd02 0xde3119a3 @@ -100351,6 +125439,8 @@ 0 0 1 +1 +1 0x9a24 0x67483547 256 @@ -100358,6 +125448,8 @@ 0 0 0 +4 +5 1 0xfba4 0x4882ee67 @@ -100366,6 +125458,8 @@ 0 0 0 +2 +5 3 0x4406 0xa8cbda24 @@ -100374,6 +125468,8 @@ 0 0 0 +2 +0 1 0xf21c 0x4823c766 @@ -100383,6 +125479,8 @@ 0 0 2 +1 +2 0x7e40 0xabcb7ceb 256 @@ -100390,6 +125488,8 @@ 0 0 0 +5 +2 3 0x7eaf 0x332d27cf @@ -100398,6 +125498,8 @@ 1 0 0 +3 +0 4 0xc556 0xc975996a @@ -100406,6 +125508,8 @@ 0 0 0 +5 +3 3 0x9361 0xb8974631 @@ -100414,6 +125518,8 @@ 0 0 0 +3 +5 2 0xe3e3 0xed8f06f7 @@ -100422,6 +125528,8 @@ 1 1 0 +1 +4 3 0x4a46 0xfb4cc926 @@ -100430,6 +125538,8 @@ 1 1 0 +4 +3 2 0xde41 0x9ccfc9c9 @@ -100438,6 +125548,8 @@ 0 0 0 +5 +1 1 0x4e03 0x6279912 @@ -100446,6 +125558,8 @@ 0 0 0 +4 +1 1 0x90e6 0xdceb7fdd @@ -100455,6 +125569,8 @@ 0 0 3 +5 +3 0x9cd9 0xb9f8a77f 256 @@ -100462,6 +125578,8 @@ 0 0 0 +3 +1 4 0xab82 0xbed319b2 @@ -100470,6 +125588,8 @@ 1 0 0 +5 +5 1 0x151c 0x9888f6d6 @@ -100478,6 +125598,8 @@ 1 1 0 +0 +0 1 0xeed6 0x4ea1ff5a @@ -100486,6 +125608,8 @@ 1 0 0 +4 +3 2 0xe281 0x8af2ebf2 @@ -100494,6 +125618,8 @@ 1 0 0 +2 +3 4 0x8f48 0x7e03632e @@ -100502,6 +125628,8 @@ 1 0 0 +1 +2 2 0xb8bc 0x75b1c2ac @@ -100510,6 +125638,8 @@ 1 1 0 +2 +4 3 0x9955 0xa05cd9c3 @@ -100518,6 +125648,8 @@ 1 0 0 +5 +3 3 0xb1c2 0xbe30af6f @@ -100527,6 +125659,8 @@ 0 0 4 +5 +4 0xf40a 0xd6332627 256 @@ -100534,6 +125668,8 @@ 1 0 0 +2 +3 3 0x8ffc 0xc680d9f1 @@ -100542,6 +125678,8 @@ 0 0 0 +5 +2 3 0x290d 0xb3a4005 @@ -100550,6 +125688,8 @@ 0 0 0 +0 +0 1 0x5c55 0x9d380589 @@ -100558,6 +125698,8 @@ 1 0 0 +2 +5 4 0xa2b5 0x8628a1ef @@ -100566,6 +125708,8 @@ 1 0 0 +5 +1 3 0x7e60 0x36ad253b @@ -100574,6 +125718,8 @@ 0 0 0 +3 +1 2 0x3386 0x8273dec2 @@ -100582,6 +125728,8 @@ 0 0 0 +0 +4 2 0xb4cb 0xd575cbb5 @@ -100590,6 +125738,8 @@ 1 1 0 +3 +4 4 0xed35 0xfe442cf7 @@ -100598,6 +125748,8 @@ 0 0 0 +5 +2 1 0x466e 0xee2c8db6 @@ -100606,6 +125758,8 @@ 0 0 0 +0 +1 2 0xa193 0xe73dc86 @@ -100614,6 +125768,8 @@ 1 0 0 +5 +0 3 0xc8f 0x6915c7ec @@ -100622,6 +125778,8 @@ 0 0 0 +0 +4 3 0x12c1 0xccc2568e @@ -100630,6 +125788,8 @@ 1 0 0 +0 +4 1 0x37a7 0x604bcdf @@ -100638,6 +125798,8 @@ 0 0 0 +3 +3 1 0xabe2 0xa5b09765 @@ -100646,6 +125808,8 @@ 0 0 0 +1 +5 2 0x38f3 0xbd7756c3 @@ -100654,6 +125818,8 @@ 1 0 0 +2 +2 3 0x37a4 0xf9e752f1 @@ -100662,6 +125828,8 @@ 1 0 0 +3 +3 2 0xeb90 0xc4ebccc0 @@ -100670,6 +125838,8 @@ 0 0 0 +5 +3 4 0x71e0 0x5e9ccd61 @@ -100678,6 +125848,8 @@ 1 0 0 +4 +4 2 0xae3b 0x74b1dedb @@ -100686,6 +125858,8 @@ 1 0 0 +1 +2 3 0x5777 0x28e1594c @@ -100694,6 +125868,8 @@ 0 0 0 +5 +3 4 0x7c03 0x1e81a056 @@ -100703,6 +125879,8 @@ 1 0 1 +2 +1 0xa17f 0xd326962a 256 @@ -100710,6 +125888,8 @@ 1 1 0 +0 +0 3 0x1ada 0x6bb33221 @@ -100718,6 +125898,8 @@ 0 0 0 +4 +0 1 0x9cc0 0x165885b6 @@ -100726,6 +125908,8 @@ 1 1 0 +1 +1 2 0x8641 0x50b6a001 @@ -100734,6 +125918,8 @@ 1 0 0 +0 +4 3 0x36d7 0x92ee974e @@ -100742,6 +125928,8 @@ 1 0 0 +5 +2 2 0x9392 0xd4ac2fbe @@ -100750,6 +125938,8 @@ 1 0 0 +0 +5 3 0x3332 0x460a5217 @@ -100758,6 +125948,8 @@ 0 0 0 +0 +4 1 0x5f3c 0x3413428 @@ -100766,6 +125958,8 @@ 1 1 0 +2 +3 1 0x546e 0x61ede75 @@ -100774,6 +125968,8 @@ 0 0 0 +5 +4 3 0x4361 0xe40720d8 @@ -100783,6 +125979,8 @@ 0 0 4 +0 +4 0x7fd2 0x3d209d61 256 @@ -100790,6 +125988,8 @@ 0 0 0 +0 +0 3 0xdd2c 0x1a255a32 @@ -100798,6 +125998,8 @@ 1 1 0 +3 +2 4 0xb34f 0x758b6604 @@ -100807,6 +126009,8 @@ 1 0 4 +4 +4 0x8b34 0xb2c4a875 256 @@ -100815,6 +126019,8 @@ 0 0 1 +3 +1 0xb1e8 0x2f9d0d21 256 @@ -100823,6 +126029,8 @@ 0 0 2 +2 +2 0xe040 0x44a26e57 256 @@ -100830,6 +126038,8 @@ 0 0 0 +0 +1 4 0x5cb5 0x75c4a518 @@ -100838,6 +126048,8 @@ 1 0 0 +4 +4 2 0xaab1 0x924b768b @@ -100846,6 +126058,8 @@ 1 0 0 +1 +4 4 0x10ec 0xdc686ee @@ -100854,6 +126068,8 @@ 1 1 0 +0 +4 4 0xc07e 0x7ab2cf81 @@ -100862,6 +126078,8 @@ 0 0 0 +5 +2 1 0x8025 0x9f3921a2 @@ -100870,6 +126088,8 @@ 0 0 0 +0 +5 3 0xad19 0xbd90fa44 @@ -100879,6 +126099,8 @@ 1 0 1 +1 +1 0x9103 0x5c4bb67f 256 @@ -100887,6 +126109,8 @@ 0 0 3 +5 +3 0x30fd 0x14a5d2c4 256 @@ -100895,6 +126119,8 @@ 0 0 4 +2 +4 0x5f88 0x9b115f5d 256 @@ -100902,6 +126128,8 @@ 1 1 0 +5 +0 1 0xad84 0x952f0f87 @@ -100910,6 +126138,8 @@ 1 0 0 +0 +1 4 0x69dd 0x3d2dd1bf @@ -100918,6 +126148,8 @@ 0 0 0 +0 +0 3 0x2f9e 0x141bbaa2 @@ -100927,6 +126159,8 @@ 0 0 4 +5 +4 0xb8c7 0xe47e6ede 256 @@ -100934,6 +126168,8 @@ 1 0 0 +5 +2 1 0x6654 0x6a55529d @@ -100942,6 +126178,8 @@ 1 1 0 +1 +4 3 0x2698 0x8abe197f @@ -100951,6 +126189,8 @@ 0 0 4 +5 +4 0x3fe1 0x71230852 256 @@ -100958,6 +126198,8 @@ 1 0 0 +2 +0 3 0xfe91 0x44f5c08c @@ -100966,6 +126208,8 @@ 0 0 0 +5 +3 2 0x12a 0x4c8acb95 @@ -100974,6 +126218,8 @@ 1 1 0 +2 +4 4 0xb523 0xe4c53f60 @@ -100982,6 +126228,8 @@ 1 0 0 +5 +4 2 0x7f72 0x5fb36146 @@ -100991,6 +126239,8 @@ 1 0 3 +5 +3 0x36bb 0x9fb40d5 256 @@ -100998,6 +126248,8 @@ 0 0 0 +4 +2 2 0x53bd 0xf29679ed @@ -101006,6 +126258,8 @@ 1 0 0 +0 +2 2 0x8780 0xaeef640f @@ -101015,6 +126269,8 @@ 0 0 2 +3 +2 0x3586 0x6969a8ca 256 @@ -101022,6 +126278,8 @@ 1 0 0 +0 +1 2 0x4c50 0x6c935149 @@ -101031,6 +126289,8 @@ 0 0 2 +4 +2 0xcf83 0x409d595e 256 @@ -101038,6 +126298,8 @@ 0 0 0 +0 +3 4 0x2f72 0xc042f7a1 @@ -101046,6 +126308,8 @@ 0 0 0 +2 +1 1 0xbca3 0x328b8800 @@ -101054,6 +126318,8 @@ 1 1 0 +1 +5 2 0x7e61 0x5bb9dae0 @@ -101062,6 +126328,8 @@ 1 0 0 +5 +4 4 0xad26 0x5d72091a @@ -101070,6 +126338,8 @@ 1 0 0 +0 +4 3 0x874f 0x537e1189 @@ -101078,6 +126348,8 @@ 0 0 0 +3 +1 4 0x6ddc 0xcbf1e88 @@ -101086,6 +126358,8 @@ 0 0 0 +3 +1 4 0x6bbc 0x6db00af1 @@ -101094,6 +126368,8 @@ 0 0 0 +5 +3 4 0x489d 0x744917a7 @@ -101103,6 +126379,8 @@ 0 0 1 +3 +1 0x61cd 0x68fe980 256 @@ -101110,6 +126388,8 @@ 1 0 0 +4 +3 3 0x1d00 0x3046c19f @@ -101118,6 +126398,8 @@ 0 0 0 +1 +4 3 0x8d03 0xec2f80c @@ -101126,6 +126408,8 @@ 0 0 0 +5 +2 4 0xf770 0x7026f967 @@ -101135,6 +126419,8 @@ 1 0 2 +0 +2 0x304 0x253100df 256 @@ -101142,6 +126428,8 @@ 1 1 0 +5 +2 2 0x30d1 0x344a5d3f @@ -101151,6 +126439,8 @@ 1 0 1 +3 +1 0x3337 0xa2127197 256 @@ -101158,6 +126448,8 @@ 0 0 0 +0 +5 3 0x878b 0x82dd4e4f @@ -101166,6 +126458,8 @@ 0 0 0 +2 +1 3 0x7d81 0x9407c08f @@ -101174,6 +126468,8 @@ 1 1 0 +3 +3 2 0xf59 0xd321981b @@ -101182,6 +126478,8 @@ 1 0 0 +5 +4 3 0x565b 0xfcb3d965 @@ -101190,6 +126488,8 @@ 0 0 0 +4 +3 1 0x1066 0x23a910bf @@ -101198,6 +126498,8 @@ 1 1 0 +5 +0 3 0x8efa 0xab42e1c8 @@ -101206,6 +126508,8 @@ 1 1 0 +2 +5 4 0xf701 0xb83ec2ab @@ -101214,6 +126518,8 @@ 0 0 0 +1 +4 3 0x1ee5 0xe129c82e @@ -101222,6 +126528,8 @@ 0 0 0 +4 +2 3 0xd0db 0xc7406ae3 @@ -101231,6 +126539,8 @@ 0 0 2 +1 +2 0xde9e 0xfe744256 256 @@ -101239,6 +126549,8 @@ 1 0 4 +5 +4 0xa2f4 0x9e33b66c 256 @@ -101246,6 +126558,8 @@ 0 0 0 +3 +0 1 0xabc7 0x125c563c @@ -101254,6 +126568,8 @@ 0 0 0 +4 +1 3 0x53d4 0x5e3ccb08 @@ -101262,6 +126578,8 @@ 0 0 0 +0 +4 3 0x879c 0xba1738bd @@ -101270,6 +126588,8 @@ 1 1 0 +5 +4 1 0x80e5 0x315d5741 @@ -101279,6 +126599,8 @@ 0 0 1 +5 +1 0xc0c9 0xa5a91712 256 @@ -101286,6 +126608,8 @@ 1 1 0 +1 +5 4 0x8bd0 0x153f280e @@ -101294,6 +126618,8 @@ 0 0 0 +5 +5 2 0xbd9e 0xd3a4a67c @@ -101302,6 +126628,8 @@ 1 1 0 +0 +5 2 0xd0a2 0x12f1d289 @@ -101310,6 +126638,8 @@ 1 1 0 +4 +0 1 0x2ea9 0x5df40cc @@ -101318,6 +126648,8 @@ 1 0 0 +0 +0 3 0x5b26 0x7ccd870 @@ -101327,6 +126659,8 @@ 0 0 2 +5 +2 0xd27e 0x6081bbbb 256 @@ -101335,6 +126669,8 @@ 1 0 2 +3 +2 0x92e5 0xd60536ee 256 @@ -101342,6 +126678,8 @@ 1 1 0 +5 +1 3 0xbf4c 0x55a3e8bb @@ -101351,6 +126689,8 @@ 0 0 4 +5 +4 0x6051 0x2bbad6c6 256 @@ -101358,6 +126698,8 @@ 1 0 0 +3 +1 2 0xe835 0x4fb50900 @@ -101367,6 +126709,8 @@ 1 0 4 +0 +4 0xefd9 0xf729eb9b 256 @@ -101374,6 +126718,8 @@ 1 1 0 +3 +3 2 0x5978 0xf427fffa @@ -101382,6 +126728,8 @@ 1 0 0 +1 +3 4 0x5ffa 0xad24ba3e @@ -101390,6 +126738,8 @@ 1 0 0 +1 +2 4 0x96ff 0x5fe3590 @@ -101398,6 +126748,8 @@ 0 0 0 +1 +2 2 0x19a 0xdf910044 @@ -101406,6 +126758,8 @@ 1 1 0 +2 +0 1 0x5d0d 0xeebda1eb @@ -101414,6 +126768,8 @@ 0 0 0 +5 +2 4 0xb8c2 0x9e311949 @@ -101422,6 +126778,8 @@ 1 0 0 +3 +2 1 0x4bef 0xeaf52c5c @@ -101430,6 +126788,8 @@ 0 0 0 +5 +1 3 0xd5 0x11c7d827 @@ -101438,6 +126798,8 @@ 1 1 0 +1 +4 4 0xd97d 0x972041ae @@ -101446,6 +126808,8 @@ 0 0 0 +2 +5 4 0xd342 0xb5ca4141 @@ -101454,6 +126818,8 @@ 0 0 0 +2 +2 4 0x8e36 0x154dc2c @@ -101462,6 +126828,8 @@ 0 0 0 +3 +5 1 0x9393 0x2bb364c2 @@ -101471,6 +126839,8 @@ 0 0 1 +5 +1 0xb48 0x84431426 256 @@ -101478,6 +126848,8 @@ 0 0 0 +5 +4 2 0xbb8 0xfb8f9e32 @@ -101486,6 +126858,8 @@ 1 0 0 +0 +4 4 0xe2ae 0xf576b315 @@ -101494,6 +126868,8 @@ 0 0 0 +0 +0 2 0x494f 0xa44035ef @@ -101502,6 +126878,8 @@ 1 1 0 +2 +5 1 0x7c0c 0xd0cee8a0 @@ -101510,6 +126888,8 @@ 0 0 0 +2 +0 1 0x555c 0x4701f267 @@ -101518,6 +126898,8 @@ 0 0 0 +1 +5 4 0xcb9c 0x6830d84c @@ -101527,6 +126909,8 @@ 1 0 2 +5 +2 0x79c8 0x68b15559 256 @@ -101534,6 +126918,8 @@ 0 0 0 +4 +3 2 0x9a76 0x24f90269 @@ -101542,6 +126928,8 @@ 1 1 0 +0 +4 3 0xcede 0x111b330e @@ -101551,6 +126939,8 @@ 0 0 4 +1 +4 0x21d5 0xe3446c9f 256 @@ -101559,6 +126949,8 @@ 0 0 2 +0 +2 0x40ab 0xea71e921 256 @@ -101566,6 +126958,8 @@ 1 0 0 +0 +2 1 0xeef4 0xe07c6a15 @@ -101574,6 +126968,8 @@ 1 0 0 +0 +3 4 0xc7f 0xb55c096e @@ -101582,6 +126978,8 @@ 0 0 0 +1 +2 4 0xf3ef 0xa68cbe54 @@ -101590,6 +126988,8 @@ 1 0 0 +5 +4 4 0xae14 0xcd010047 @@ -101599,6 +126999,8 @@ 0 0 1 +1 +1 0x8f4f 0x31ff1cd0 256 @@ -101606,6 +127008,8 @@ 1 0 0 +2 +3 1 0x39f7 0xb7b9d840 @@ -101614,6 +127018,8 @@ 0 0 0 +5 +2 2 0x668f 0x7e08debf @@ -101622,6 +127028,8 @@ 1 1 0 +1 +2 2 0xcb67 0xee4657b5 @@ -101630,6 +127038,8 @@ 0 0 0 +5 +2 4 0xba2c 0xd9c2bf88 @@ -101638,6 +127048,8 @@ 1 1 0 +3 +0 4 0x3228 0xe64f38ee @@ -101646,6 +127058,8 @@ 1 1 0 +1 +4 4 0xfbb1 0xacfc3ef @@ -101654,6 +127068,8 @@ 0 0 0 +2 +5 4 0xd303 0x9c7fd6d @@ -101662,6 +127078,8 @@ 0 0 0 +0 +5 1 0x8fe2 0xd6d12f4 @@ -101670,6 +127088,8 @@ 0 0 0 +0 +5 4 0x1440 0x6b59f899 @@ -101678,6 +127098,8 @@ 0 0 0 +2 +1 3 0x64d8 0xafe941dc @@ -101687,6 +127109,8 @@ 0 0 4 +1 +4 0x56f6 0x4b7f6fd7 256 @@ -101694,6 +127118,8 @@ 0 0 0 +0 +3 3 0x1d8b 0xc431275c @@ -101702,6 +127128,8 @@ 1 1 0 +1 +4 2 0xb282 0x749fa2b @@ -101710,6 +127138,8 @@ 1 0 0 +1 +0 2 0xb3a7 0x66ab62ae @@ -101718,6 +127148,8 @@ 0 0 0 +0 +4 1 0xf514 0xd5c03c0b @@ -101726,6 +127158,8 @@ 0 0 0 +0 +3 3 0x38a6 0x9cce1394 @@ -101734,6 +127168,8 @@ 1 0 0 +0 +0 3 0x4843 0x47e76a8a @@ -101742,6 +127178,8 @@ 0 0 0 +0 +1 2 0xdfcc 0x20955085 @@ -101751,6 +127189,8 @@ 1 0 4 +3 +4 0xe2ef 0x22b1bd0c 256 @@ -101758,6 +127198,8 @@ 1 1 0 +5 +0 1 0x3b95 0xef75909e @@ -101766,6 +127208,8 @@ 0 0 0 +1 +3 4 0x1e98 0x7048e3ee @@ -101775,6 +127219,8 @@ 0 0 2 +0 +2 0xbf46 0x477cd94f 256 @@ -101782,6 +127228,8 @@ 1 0 0 +3 +1 2 0x71ec 0x1f7e931c @@ -101790,6 +127238,8 @@ 1 0 0 +3 +3 4 0x3013 0x6f92732f @@ -101798,6 +127248,8 @@ 0 0 0 +2 +5 3 0xb053 0x9403d884 @@ -101806,6 +127258,8 @@ 1 0 0 +3 +0 4 0xefa1 0x535bd90a @@ -101815,6 +127269,8 @@ 0 0 4 +2 +4 0x8fe0 0x9ea1698b 256 @@ -101822,6 +127278,8 @@ 0 0 0 +1 +0 3 0x24dd 0x7003119e @@ -101830,6 +127288,8 @@ 0 0 0 +2 +3 3 0xf223 0x6ae3b49b @@ -101838,6 +127298,8 @@ 1 1 0 +1 +1 2 0x2cf3 0x6d4cf3ba @@ -101846,6 +127308,8 @@ 0 0 0 +2 +5 3 0xbeee 0x51192cc2 @@ -101854,6 +127318,8 @@ 0 0 0 +4 +1 1 0xb2a8 0x67591197 @@ -101862,6 +127328,8 @@ 0 0 0 +1 +1 2 0xe92a 0x39350197 @@ -101870,6 +127338,8 @@ 1 0 0 +2 +0 4 0x4e18 0x6bcb800c @@ -101878,6 +127348,8 @@ 0 0 0 +2 +1 4 0xa0c 0xd95e13b7 @@ -101886,6 +127358,8 @@ 1 1 0 +2 +4 1 0x8d3 0xc7f8f0c0 @@ -101894,6 +127368,8 @@ 1 1 0 +2 +3 1 0x4c67 0x84168f7b @@ -101902,6 +127378,8 @@ 1 0 0 +4 +1 1 0x55c1 0xadc8be22 @@ -101910,6 +127388,8 @@ 1 1 0 +5 +0 1 0x77a8 0x9fda8581 @@ -101918,6 +127398,8 @@ 1 1 0 +2 +1 3 0xb821 0xebf1fd83 @@ -101926,6 +127408,8 @@ 1 0 0 +5 +5 2 0x770a 0xd4a878b6 @@ -101934,6 +127418,8 @@ 1 0 0 +1 +4 4 0xa17d 0x6fa2577b @@ -101942,6 +127428,8 @@ 0 0 0 +4 +3 1 0x30d5 0x1c93479 @@ -101950,6 +127438,8 @@ 1 0 0 +5 +0 3 0x727f 0xbdf5015 @@ -101958,6 +127448,8 @@ 1 0 0 +2 +3 1 0x6fd2 0xf50917f5 @@ -101967,6 +127459,8 @@ 0 0 3 +3 +3 0x2920 0x4ebe09ec 256 @@ -101974,6 +127468,8 @@ 0 0 0 +5 +1 4 0x95a6 0x8e39d1d9 @@ -101982,6 +127478,8 @@ 1 0 0 +1 +4 4 0x226e 0x712feb5d @@ -101990,6 +127488,8 @@ 1 0 0 +5 +4 1 0x4394 0x58a74615 @@ -101998,6 +127498,8 @@ 0 0 0 +5 +2 4 0x8cc6 0x317dc10a @@ -102006,6 +127508,8 @@ 0 0 0 +5 +5 4 0x321f 0x467f1b9c @@ -102014,6 +127518,8 @@ 0 0 0 +2 +0 4 0x4690 0x2475d019 @@ -102022,6 +127528,8 @@ 1 0 0 +0 +5 1 0x4695 0x538a53 @@ -102030,6 +127538,8 @@ 0 0 0 +0 +5 3 0xa2cb 0x74943b7a @@ -102038,6 +127548,8 @@ 1 1 0 +2 +2 1 0xde44 0x2b665fa2 @@ -102046,6 +127558,8 @@ 1 0 0 +0 +0 3 0xd92c 0xe71c8b8b @@ -102054,6 +127568,8 @@ 0 0 0 +1 +2 4 0xb3d9 0xb2dd316e @@ -102062,6 +127578,8 @@ 1 0 0 +2 +3 1 0xa564 0xacaefc09 @@ -102071,6 +127589,8 @@ 0 0 1 +3 +1 0x317c 0x2f18dd01 256 @@ -102078,6 +127598,8 @@ 1 1 0 +0 +5 4 0x5790 0x13d5a688 @@ -102086,6 +127608,8 @@ 0 0 0 +5 +1 3 0x7545 0x4758c4de @@ -102094,6 +127618,8 @@ 0 0 0 +0 +2 1 0x2624 0xe3530a40 @@ -102102,6 +127628,8 @@ 0 0 0 +0 +1 4 0x3b4b 0x3b39f981 @@ -102110,6 +127638,8 @@ 0 0 0 +0 +3 3 0xc88d 0xfd7b1d47 @@ -102118,6 +127648,8 @@ 0 0 0 +3 +3 2 0x5be5 0xcaf8458b @@ -102126,6 +127658,8 @@ 0 0 0 +2 +1 1 0x2920 0xf1525a53 @@ -102134,6 +127668,8 @@ 1 0 0 +0 +5 3 0x46e4 0x4f65fd30 @@ -102142,6 +127678,8 @@ 1 0 0 +5 +3 2 0xa2a5 0xcd3ef5e1 @@ -102150,6 +127688,8 @@ 0 0 0 +2 +2 4 0x23f0 0xd83cec86 @@ -102158,6 +127698,8 @@ 1 1 0 +2 +3 4 0x278c 0x313979cf @@ -102166,6 +127708,8 @@ 0 0 0 +0 +3 1 0x6c55 0xeafe181b @@ -102174,6 +127718,8 @@ 0 0 0 +5 +0 2 0x7e8d 0xab6f1b9f @@ -102182,6 +127728,8 @@ 1 0 0 +3 +2 2 0x4490 0xdf613f6a @@ -102190,6 +127738,8 @@ 0 0 0 +4 +0 3 0xc9b1 0x90c94680 @@ -102198,6 +127748,8 @@ 1 1 0 +1 +0 3 0xbcb4 0xdea58866 @@ -102206,6 +127758,8 @@ 1 1 0 +5 +5 1 0x22df 0xbb13896 @@ -102214,6 +127768,8 @@ 0 0 0 +0 +4 2 0x3c20 0xe5c4b65 @@ -102222,6 +127778,8 @@ 1 1 0 +3 +0 2 0xe958 0x9e6b6d06 @@ -102230,6 +127788,8 @@ 1 1 0 +0 +4 3 0x15fd 0x913ef2c4 @@ -102238,6 +127798,8 @@ 1 1 0 +1 +1 3 0xf82e 0x1a0e547e @@ -102246,6 +127808,8 @@ 0 0 0 +4 +3 3 0x3d79 0x6055c43a @@ -102254,6 +127818,8 @@ 1 1 0 +5 +2 1 0x22b3 0x599609b5 @@ -102262,6 +127828,8 @@ 0 0 0 +1 +3 2 0xcba 0x222dd6fe @@ -102270,6 +127838,8 @@ 1 1 0 +3 +1 4 0xedc 0x3e0d001d @@ -102278,6 +127848,8 @@ 0 0 0 +4 +3 2 0x2e66 0x2a8d5910 @@ -102286,6 +127858,8 @@ 0 0 0 +1 +1 2 0xf391 0x3850c752 @@ -102295,6 +127869,8 @@ 0 0 4 +0 +4 0x67a 0xb54b3ff5 256 @@ -102302,6 +127878,8 @@ 1 0 0 +0 +1 2 0x3119 0x7c152a24 @@ -102310,6 +127888,8 @@ 0 0 0 +1 +5 3 0x697c 0x675c8f16 @@ -102318,6 +127898,8 @@ 0 0 0 +4 +3 3 0x3431 0xba434cd1 @@ -102326,6 +127908,8 @@ 1 0 0 +2 +5 4 0x699d 0xfe561542 @@ -102334,6 +127918,8 @@ 1 1 0 +4 +0 3 0xc668 0xa0fd36bd @@ -102342,6 +127928,8 @@ 1 0 0 +0 +0 1 0x43e2 0x62a3cbd9 @@ -102350,6 +127938,8 @@ 0 0 0 +2 +2 1 0xf32c 0x1a409b60 @@ -102358,6 +127948,8 @@ 1 0 0 +4 +4 2 0x1dfd 0xe5e9e53f @@ -102366,6 +127958,8 @@ 0 0 0 +0 +5 3 0x58da 0xba082a86 @@ -102374,6 +127968,8 @@ 1 1 0 +2 +0 1 0x1b3b 0xc63ee48a @@ -102382,6 +127978,8 @@ 0 0 0 +5 +4 1 0x1f4c 0xd821d6c8 @@ -102390,6 +127988,8 @@ 0 0 0 +5 +4 4 0xf787 0x78954c64 @@ -102399,6 +127999,8 @@ 0 0 4 +1 +4 0x5268 0xeafc1031 256 @@ -102407,6 +128009,8 @@ 0 0 1 +3 +1 0xf90f 0xe48a272 256 @@ -102415,6 +128019,8 @@ 0 0 2 +5 +2 0x8983 0x68ab4c3a 256 @@ -102422,6 +128028,8 @@ 0 0 0 +5 +2 1 0x9fdc 0xa857238f @@ -102430,6 +128038,8 @@ 0 0 0 +5 +4 2 0x697b 0xdb8ce0db @@ -102438,6 +128048,8 @@ 0 0 0 +2 +0 4 0x71eb 0xd9386028 @@ -102446,6 +128058,8 @@ 1 1 0 +4 +4 3 0x44b4 0x1e3d5f67 @@ -102454,6 +128068,8 @@ 0 0 0 +1 +5 3 0x362e 0xe6cb5b4a @@ -102462,6 +128078,8 @@ 0 0 0 +1 +2 2 0xea43 0xb7b0dc36 @@ -102470,6 +128088,8 @@ 0 0 0 +4 +2 1 0x868 0x8322af2d @@ -102478,6 +128098,8 @@ 0 0 0 +5 +4 4 0x4973 0x140636e1 @@ -102486,6 +128108,8 @@ 1 1 0 +0 +1 4 0xf1d9 0xeb9138d2 @@ -102494,6 +128118,8 @@ 0 0 0 +4 +0 2 0xd47a 0x48cf7c10 @@ -102502,6 +128128,8 @@ 1 0 0 +5 +1 3 0x3190 0xe5922ee @@ -102511,6 +128139,8 @@ 0 0 3 +0 +3 0x63bc 0xd59df351 256 @@ -102518,6 +128148,8 @@ 1 1 0 +0 +1 1 0x570e 0xd87b83d1 @@ -102526,6 +128158,8 @@ 1 0 0 +2 +4 4 0x2b69 0x38b147af @@ -102534,6 +128168,8 @@ 1 1 0 +4 +0 3 0x3881 0x570ebf1e @@ -102542,6 +128178,8 @@ 0 0 0 +5 +2 2 0x4820 0x73e0c50c @@ -102550,6 +128188,8 @@ 1 1 0 +3 +5 1 0x390f 0xc6c225ca @@ -102559,6 +128199,8 @@ 1 0 4 +0 +4 0xe613 0x5fc2d718 256 @@ -102566,6 +128208,8 @@ 0 0 0 +4 +0 2 0x764f 0x3bc9bb06 @@ -102574,6 +128218,8 @@ 0 0 0 +5 +5 1 0x2d10 0x26ec26ea @@ -102583,6 +128229,8 @@ 0 0 1 +5 +1 0x1bb0 0x2e8d609d 256 @@ -102590,6 +128238,8 @@ 1 0 0 +1 +4 3 0x40de 0x5c680030 @@ -102599,6 +128249,8 @@ 0 0 2 +3 +2 0x904d 0xdf68df83 256 @@ -102606,6 +128258,8 @@ 1 1 0 +4 +0 1 0xe396 0x2df6effd @@ -102614,6 +128268,8 @@ 0 0 0 +4 +4 2 0xff94 0x65511063 @@ -102622,6 +128278,8 @@ 0 0 0 +3 +5 2 0x16b1 0x2a8f0f61 @@ -102630,6 +128288,8 @@ 0 0 0 +4 +1 3 0x14b2 0xf3570029 @@ -102638,6 +128298,8 @@ 1 1 0 +5 +5 3 0xf4a3 0xa83552c1 @@ -102646,6 +128308,8 @@ 1 0 0 +0 +5 3 0x6a60 0x9ba7eadc @@ -102654,6 +128318,8 @@ 0 0 0 +3 +5 2 0x6f55 0x9c149262 @@ -102662,6 +128328,8 @@ 1 0 0 +5 +0 2 0x1443 0xe411fc49 @@ -102670,6 +128338,8 @@ 1 0 0 +2 +2 1 0xc631 0x7c8c7eb9 @@ -102679,6 +128349,8 @@ 1 0 1 +5 +1 0xd1e0 0xdfc469f1 256 @@ -102686,6 +128358,8 @@ 1 0 0 +2 +3 3 0xbdc8 0x53e48c38 @@ -102694,6 +128368,8 @@ 1 0 0 +3 +5 1 0xd865 0x50904817 @@ -102703,6 +128379,8 @@ 0 0 3 +1 +3 0x5fa 0xb50a2ae0 256 @@ -102710,6 +128388,8 @@ 1 1 0 +3 +4 1 0xbd21 0x3a526ad7 @@ -102718,6 +128398,8 @@ 0 0 0 +3 +3 2 0x6b 0x8222ef9a @@ -102726,6 +128408,8 @@ 0 0 0 +5 +2 1 0x8cae 0xb552ad3e @@ -102734,6 +128418,8 @@ 0 0 0 +5 +4 4 0xb0f7 0xd87f5938 @@ -102742,6 +128428,8 @@ 0 0 0 +0 +0 1 0x9995 0x23b0296c @@ -102750,6 +128438,8 @@ 0 0 0 +2 +5 4 0x971c 0xa9f73783 @@ -102759,6 +128449,8 @@ 1 0 4 +3 +4 0x37cd 0x51d4c039 256 @@ -102767,6 +128459,8 @@ 1 0 3 +2 +3 0xc9e 0xf932f831 256 @@ -102775,6 +128469,8 @@ 1 0 3 +1 +3 0x6cde 0xd5117dff 256 @@ -102782,6 +128478,8 @@ 1 0 0 +0 +4 2 0x380c 0xd2aa97c4 @@ -102790,6 +128488,8 @@ 1 1 0 +3 +0 1 0x11ae 0x7bb79ca5 @@ -102798,6 +128498,8 @@ 1 1 0 +5 +4 4 0x76d7 0xc6d10fb2 @@ -102806,6 +128508,8 @@ 0 0 0 +5 +4 1 0xca85 0x3f08558c @@ -102814,6 +128518,8 @@ 0 0 0 +2 +4 3 0x11f7 0xaad5a78 @@ -102822,6 +128528,8 @@ 0 0 0 +0 +0 2 0x61a6 0xa55338aa @@ -102830,6 +128538,8 @@ 1 0 0 +0 +1 4 0xfbb6 0x3f550ea3 @@ -102838,6 +128548,8 @@ 0 0 0 +0 +2 2 0xb32d 0xb5689709 @@ -102846,6 +128558,8 @@ 1 0 0 +1 +3 2 0xd511 0x47871846 @@ -102855,6 +128569,8 @@ 0 0 4 +3 +4 0xb0b2 0x7f4c2da2 256 @@ -102862,6 +128578,8 @@ 0 0 0 +0 +0 1 0xd36c 0xa668d39e @@ -102870,6 +128588,8 @@ 0 0 0 +0 +2 4 0xdef 0x1a2fc08e @@ -102878,6 +128598,8 @@ 1 0 0 +2 +5 4 0x6587 0x5bc63f62 @@ -102886,6 +128608,8 @@ 0 0 0 +1 +3 3 0x77a7 0x85d366af @@ -102894,6 +128618,8 @@ 1 0 0 +1 +5 2 0x9452 0x96bc3ae5 @@ -102902,6 +128628,8 @@ 0 0 0 +5 +0 1 0x318f 0x187a8496 @@ -102910,6 +128638,8 @@ 1 0 0 +4 +1 3 0xf572 0x6e4c6c4b @@ -102918,6 +128648,8 @@ 1 1 0 +1 +0 3 0x48ff 0xdd36a9e0 @@ -102926,6 +128658,8 @@ 0 0 0 +1 +3 3 0x65ca 0xaab2c4f @@ -102934,6 +128668,8 @@ 0 0 0 +4 +5 3 0xe118 0x5188f7b7 @@ -102942,6 +128678,8 @@ 0 0 0 +3 +3 1 0x4747 0x213e3bef @@ -102950,6 +128688,8 @@ 0 0 0 +2 +2 3 0x109b 0xc7530461 @@ -102958,6 +128698,8 @@ 1 0 0 +4 +0 1 0x96be 0x1b02dc33 @@ -102966,6 +128708,8 @@ 0 0 0 +3 +1 2 0xcea3 0xdb43e53b @@ -102974,6 +128718,8 @@ 1 1 0 +0 +4 1 0xe234 0xe43cc4e2 @@ -102983,6 +128729,8 @@ 0 0 2 +0 +2 0x2607 0xd6060e6c 256 @@ -102991,6 +128739,8 @@ 0 0 3 +0 +3 0x1700 0x9e7fc20c 256 @@ -102998,6 +128748,8 @@ 1 0 0 +1 +0 4 0x755f 0x7968cf89 @@ -103006,6 +128758,8 @@ 1 0 0 +0 +0 3 0x5959 0x94de1750 @@ -103014,6 +128768,8 @@ 0 0 0 +1 +5 3 0x6b87 0x9ad18c3 @@ -103022,6 +128778,8 @@ 0 0 0 +5 +5 2 0xb436 0xcc2377ca @@ -103030,6 +128788,8 @@ 1 0 0 +3 +2 4 0xdf69 0xf2233f6d @@ -103038,6 +128798,8 @@ 1 1 0 +4 +2 2 0x96a7 0x4ef30f5 @@ -103046,6 +128808,8 @@ 0 0 0 +0 +4 2 0x85e0 0xa80c57 @@ -103054,6 +128818,8 @@ 0 0 0 +4 +3 1 0xba0f 0xa859bcb0 @@ -103062,6 +128828,8 @@ 1 1 0 +5 +0 2 0x3799 0x300672dc @@ -103070,6 +128838,8 @@ 1 1 0 +5 +5 2 0xe0ea 0xbc7edccc @@ -103078,6 +128848,8 @@ 1 0 0 +5 +4 3 0xc762 0xb1257754 @@ -103087,6 +128859,8 @@ 0 0 2 +3 +2 0x65bd 0x9a3b1554 256 @@ -103094,6 +128868,8 @@ 1 1 0 +4 +4 2 0x4d37 0xf018b82c @@ -103102,6 +128878,8 @@ 0 0 0 +4 +1 3 0xab69 0x2204f257 @@ -103110,6 +128888,8 @@ 0 0 0 +4 +1 3 0x5885 0xd2830f17 @@ -103118,6 +128898,8 @@ 0 0 0 +4 +3 1 0xa5b7 0xb33a82c6 @@ -103126,6 +128908,8 @@ 0 0 0 +1 +2 4 0x982c 0x5a44ed05 @@ -103134,6 +128918,8 @@ 0 0 0 +2 +1 4 0xbf17 0x68400ea1 @@ -103142,6 +128928,8 @@ 1 0 0 +4 +0 1 0x56f0 0xd5aa2f59 @@ -103150,6 +128938,8 @@ 0 0 0 +0 +5 2 0x66d6 0x6d8aa0ed @@ -103158,6 +128948,8 @@ 1 1 0 +2 +2 1 0x490e 0xe7a12523 @@ -103166,6 +128958,8 @@ 1 0 0 +3 +3 1 0xcec 0x887b826d @@ -103174,6 +128968,8 @@ 1 1 0 +3 +4 1 0x78e5 0x6cd29694 @@ -103182,6 +128978,8 @@ 1 1 0 +5 +5 2 0x49ec 0x660ad1d3 @@ -103190,6 +128988,8 @@ 0 0 0 +3 +1 1 0xc6ab 0x107e944 @@ -103198,6 +128998,8 @@ 0 0 0 +4 +4 2 0xf029 0x52c54fac @@ -103206,6 +129008,8 @@ 1 0 0 +1 +3 2 0x7dcc 0x4d909683 @@ -103215,6 +129019,8 @@ 0 0 2 +4 +2 0x12a5 0x4dfcf33b 256 @@ -103223,6 +129029,8 @@ 1 0 3 +4 +3 0x9877 0x7ce17350 256 @@ -103230,6 +129038,8 @@ 1 1 0 +2 +3 4 0x90bb 0x554cd07 @@ -103239,6 +129049,8 @@ 0 0 3 +4 +3 0xe54c 0x561d9394 256 @@ -103246,6 +129058,8 @@ 0 0 0 +1 +2 4 0xd110 0xb2e5d75f @@ -103254,6 +129068,8 @@ 1 0 0 +5 +0 2 0x82c5 0x312e0af9 @@ -103263,6 +129079,8 @@ 0 0 3 +2 +3 0xb764 0xab46d5c2 256 @@ -103270,6 +129088,8 @@ 0 0 0 +0 +1 1 0x1062 0x2766e428 @@ -103278,6 +129098,8 @@ 0 0 0 +2 +1 4 0x2825 0x245efc2e @@ -103286,6 +129108,8 @@ 1 1 0 +1 +3 4 0x5f4f 0xee7bd0e6 @@ -103294,6 +129118,8 @@ 0 0 0 +2 +3 4 0x571b 0x69c52b5 @@ -103302,6 +129128,8 @@ 0 0 0 +0 +1 3 0xa070 0xbb139159 @@ -103310,6 +129138,8 @@ 1 1 0 +0 +0 3 0x98de 0x99a048ed @@ -103318,6 +129148,8 @@ 0 0 0 +5 +5 2 0x6087 0x84d21145 @@ -103326,6 +129158,8 @@ 1 0 0 +0 +5 2 0xe815 0xa9e9784 @@ -103334,6 +129168,8 @@ 1 0 0 +5 +1 4 0xa3bf 0xf047f86f @@ -103342,6 +129178,8 @@ 0 0 0 +5 +2 2 0xe2ea 0xdc59b07c @@ -103350,6 +129188,8 @@ 0 0 0 +5 +5 1 0x5085 0x736aff86 @@ -103358,6 +129198,8 @@ 1 1 0 +2 +3 1 0x333c 0xe605c76c @@ -103366,6 +129208,8 @@ 1 0 0 +2 +2 4 0xc099 0xcb232af8 @@ -103375,6 +129219,8 @@ 1 0 2 +3 +2 0xa972 0x7a6689bd 256 @@ -103382,6 +129228,8 @@ 0 0 0 +5 +1 2 0xd4ba 0xb6bf296d @@ -103390,6 +129238,8 @@ 0 0 0 +1 +3 4 0x9bab 0x2db85798 @@ -103399,6 +129249,8 @@ 0 0 4 +3 +4 0x6526 0xba1af47b 256 @@ -103407,6 +129259,8 @@ 0 0 4 +3 +4 0x884f 0x91723bb2 256 @@ -103415,6 +129269,8 @@ 1 0 4 +5 +4 0x6741 0xbd984e54 256 @@ -103423,6 +129279,8 @@ 0 0 4 +1 +4 0x1b9e 0x1aeb99fb 256 @@ -103430,6 +129288,8 @@ 1 1 0 +5 +0 3 0xf8b2 0xd668c02 @@ -103438,6 +129298,8 @@ 1 0 0 +1 +1 4 0xe244 0x42840089 @@ -103446,6 +129308,8 @@ 1 0 0 +3 +0 2 0xb48e 0x49fcdf25 @@ -103455,6 +129319,8 @@ 0 0 2 +0 +2 0xc859 0xf46eb4f2 256 @@ -103462,6 +129328,8 @@ 1 1 0 +4 +5 3 0xe176 0xb31af44a @@ -103470,6 +129338,8 @@ 1 0 0 +4 +2 2 0xf774 0x53d69f78 @@ -103478,6 +129348,8 @@ 0 0 0 +5 +1 2 0xaf45 0x3af5245 @@ -103486,6 +129358,8 @@ 1 1 0 +5 +3 3 0xf0f4 0x62d346b3 @@ -103494,6 +129368,8 @@ 1 0 0 +2 +3 1 0xfaf1 0xb6e13d3 @@ -103502,6 +129378,8 @@ 1 0 0 +2 +2 4 0x6189 0x7791db08 @@ -103511,6 +129389,8 @@ 1 0 4 +0 +4 0x3eb1 0x947e8903 256 @@ -103518,6 +129398,8 @@ 1 0 0 +3 +5 2 0x3c94 0x6ba5925f @@ -103527,6 +129409,8 @@ 0 0 1 +5 +1 0x219f 0x8b5b92f6 256 @@ -103534,6 +129418,8 @@ 0 0 0 +5 +3 4 0x4eea 0x25425c04 @@ -103542,6 +129428,8 @@ 1 0 0 +5 +2 3 0xdc52 0xa2b364a9 @@ -103551,6 +129439,8 @@ 0 0 2 +0 +2 0x8934 0xadaf720c 256 @@ -103558,6 +129448,8 @@ 0 0 0 +1 +2 2 0x3da0 0x6b6fec41 @@ -103566,6 +129458,8 @@ 1 1 0 +2 +3 4 0xf279 0xb336ffc0 @@ -103574,6 +129468,8 @@ 0 0 0 +0 +3 2 0x887e 0x6e1a142c @@ -103582,6 +129478,8 @@ 0 0 0 +2 +4 1 0xf143 0xc6b3cd4b @@ -103590,6 +129488,8 @@ 1 0 0 +1 +0 3 0x9023 0xaa64ac0f @@ -103598,6 +129498,8 @@ 0 0 0 +0 +3 2 0xa866 0x67062d21 @@ -103606,6 +129508,8 @@ 1 1 0 +0 +0 3 0x63ef 0x3937bc5d @@ -103614,6 +129518,8 @@ 1 0 0 +1 +0 4 0xacf9 0x49a90298 @@ -103623,6 +129529,8 @@ 0 0 1 +3 +1 0x32cf 0x1683928e 256 @@ -103630,6 +129538,8 @@ 1 0 0 +0 +4 2 0x5c1b 0x7a8a1c16 @@ -103638,6 +129548,8 @@ 1 1 0 +5 +4 3 0xff77 0xb06a8b41 @@ -103646,6 +129558,8 @@ 1 1 0 +2 +1 1 0x251d 0xec7b86c1 @@ -103654,6 +129568,8 @@ 0 0 0 +0 +5 4 0xcf6c 0xcb804eca @@ -103662,6 +129578,8 @@ 0 0 0 +2 +0 3 0x4562 0x73594536 @@ -103670,6 +129588,8 @@ 1 0 0 +1 +0 2 0x743c 0xa038c429 @@ -103678,6 +129598,8 @@ 0 0 0 +5 +5 1 0x158a 0xa14d4042 @@ -103686,6 +129608,8 @@ 1 1 0 +3 +3 4 0xce7f 0xea1cf5c7 @@ -103694,6 +129618,8 @@ 1 0 0 +3 +5 4 0x3152 0x976e8964 @@ -103702,6 +129628,8 @@ 1 0 0 +1 +2 3 0x9a90 0xd44122fe @@ -103710,6 +129638,8 @@ 0 0 0 +2 +1 3 0x86ff 0x7ff53f18 @@ -103718,6 +129648,8 @@ 1 0 0 +4 +2 2 0x964f 0xae91a211 @@ -103726,6 +129658,8 @@ 0 0 0 +3 +5 2 0x65a5 0xda020443 @@ -103734,6 +129668,8 @@ 1 1 0 +3 +0 4 0x6707 0x2769f5b9 @@ -103742,6 +129678,8 @@ 1 0 0 +2 +5 1 0xa76f 0x26ad9e23 @@ -103750,6 +129688,8 @@ 0 0 0 +4 +5 2 0x94cd 0x1a0a68f0 @@ -103758,6 +129698,8 @@ 1 0 0 +1 +0 4 0xed5c 0x39d28218 @@ -103766,6 +129708,8 @@ 0 0 0 +5 +0 4 0x6fa5 0x6784b535 @@ -103774,6 +129718,8 @@ 0 0 0 +4 +2 3 0x8c5b 0x52fbd241 @@ -103782,6 +129728,8 @@ 1 1 0 +2 +3 1 0x3013 0x2a7265b @@ -103790,6 +129738,8 @@ 1 0 0 +2 +2 1 0x5b30 0x76fbadce @@ -103798,6 +129748,8 @@ 0 0 0 +2 +5 4 0xf9bd 0x5302f55 @@ -103806,6 +129758,8 @@ 1 1 0 +5 +0 3 0xe018 0x283bd453 @@ -103815,6 +129769,8 @@ 1 0 4 +5 +4 0xaab9 0x7a855638 256 @@ -103822,6 +129778,8 @@ 1 1 0 +1 +2 3 0x6109 0xd2f98600 @@ -103831,6 +129789,8 @@ 0 0 1 +0 +1 0x43df 0x8411cdb3 256 @@ -103839,6 +129799,8 @@ 0 0 2 +0 +2 0xbda3 0x7b6ab28 256 @@ -103846,6 +129808,8 @@ 0 0 0 +1 +3 3 0xce41 0xf1feab67 @@ -103854,6 +129818,8 @@ 0 0 0 +2 +1 1 0x76cb 0x6c658681 @@ -103862,6 +129828,8 @@ 1 1 0 +2 +2 4 0x833e 0x11316d71 @@ -103870,6 +129838,8 @@ 1 0 0 +4 +1 2 0xb535 0x3e6ad1b7 @@ -103878,6 +129848,8 @@ 0 0 0 +1 +5 3 0x4e0 0x5fe331a4 @@ -103886,6 +129858,8 @@ 0 0 0 +0 +0 3 0x492d 0xb50266ef @@ -103895,6 +129869,8 @@ 1 0 1 +2 +1 0xdabc 0x2e90c2ea 256 @@ -103903,6 +129879,8 @@ 1 0 3 +1 +3 0xac9e 0x1855002b 256 @@ -103910,6 +129888,8 @@ 1 0 0 +1 +3 4 0xb5f5 0x26ab1ebd @@ -103918,6 +129898,8 @@ 1 1 0 +5 +2 4 0xdb28 0xeeb5e90d @@ -103926,6 +129908,8 @@ 1 1 0 +0 +0 2 0xb15a 0xb81ebdba @@ -103934,6 +129918,8 @@ 0 0 0 +0 +3 4 0x26c4 0x494c503b @@ -103942,6 +129928,8 @@ 0 0 0 +4 +2 3 0xb6cf 0x53b5b3eb @@ -103950,6 +129938,8 @@ 0 0 0 +2 +4 1 0x42c4 0xb4f7125e @@ -103959,6 +129949,8 @@ 1 0 1 +5 +1 0xe3f7 0xf96706e 256 @@ -103967,6 +129959,8 @@ 0 0 4 +5 +4 0x513f 0x5625a136 256 @@ -103974,6 +129968,8 @@ 0 0 0 +1 +5 2 0xadc7 0x5de15bc8 @@ -103982,6 +129978,8 @@ 1 0 0 +0 +3 1 0x4df5 0xd677e574 @@ -103991,6 +129989,8 @@ 0 0 3 +3 +3 0x8d08 0x3b896440 256 @@ -103999,6 +129999,8 @@ 1 0 2 +3 +2 0x2ca1 0x5772fb7a 256 @@ -104006,6 +130008,8 @@ 1 1 0 +5 +3 4 0x7935 0xfa1a1f0c @@ -104014,6 +130018,8 @@ 0 0 0 +3 +5 1 0x7682 0x4edb54aa @@ -104022,6 +130028,8 @@ 1 0 0 +0 +5 3 0xecd4 0xc30e8ceb @@ -104030,6 +130038,8 @@ 1 0 0 +0 +0 1 0xe41c 0xa7e9931f @@ -104038,6 +130048,8 @@ 0 0 0 +2 +5 1 0x1240 0xcecf1035 @@ -104046,6 +130058,8 @@ 0 0 0 +5 +2 4 0x9988 0x558c3ef1 @@ -104055,6 +130069,8 @@ 0 0 2 +2 +2 0x46d1 0xf0f2b977 256 @@ -104062,6 +130078,8 @@ 0 0 0 +5 +5 4 0xfae4 0xbb3f7126 @@ -104070,6 +130088,8 @@ 1 1 0 +2 +2 4 0x739 0xda034ea5 @@ -104078,6 +130098,8 @@ 0 0 0 +3 +0 4 0x939b 0x5a3e265d @@ -104086,6 +130108,8 @@ 1 0 0 +1 +1 4 0xf674 0xb4071b0f @@ -104094,6 +130118,8 @@ 0 0 0 +0 +1 2 0x172 0xa48c9bbb @@ -104102,6 +130128,8 @@ 0 0 0 +0 +2 4 0x99bf 0x251ca856 @@ -104110,6 +130138,8 @@ 0 0 0 +4 +0 2 0x1ce5 0x5b1010cc @@ -104118,6 +130148,8 @@ 0 0 0 +1 +4 2 0x92aa 0x966fe279 @@ -104126,6 +130158,8 @@ 1 1 0 +3 +2 1 0x51f8 0x366aaf13 @@ -104134,6 +130168,8 @@ 0 0 0 +2 +4 1 0xfdaa 0xa60958b3 @@ -104142,6 +130178,8 @@ 1 1 0 +2 +0 1 0x3bb6 0x87fd0a7d @@ -104150,6 +130188,8 @@ 1 1 0 +4 +4 3 0xd580 0x2ffa8b64 @@ -104158,6 +130198,8 @@ 0 0 0 +4 +5 3 0xd21c 0x977488c7 @@ -104166,6 +130208,8 @@ 1 0 0 +4 +5 1 0x802e 0xce48f459 @@ -104174,6 +130218,8 @@ 0 0 0 +5 +4 4 0x8df1 0x9d9f6d66 @@ -104182,6 +130228,8 @@ 0 0 0 +4 +2 1 0x8fb3 0x183dc99e @@ -104190,6 +130238,8 @@ 1 1 0 +3 +5 1 0xe9ad 0xb5e8cb94 @@ -104198,6 +130248,8 @@ 0 0 0 +5 +0 3 0x24df 0x176a289 @@ -104207,6 +130259,8 @@ 1 0 2 +4 +2 0xa450 0x63cf6c1c 256 @@ -104214,6 +130268,8 @@ 1 1 0 +3 +4 2 0x10c8 0x78d67abb @@ -104222,6 +130278,8 @@ 1 0 0 +0 +5 3 0x7a81 0x624fbf24 @@ -104230,6 +130288,8 @@ 0 0 0 +0 +0 1 0x9be7 0x561058a5 @@ -104238,6 +130298,8 @@ 1 0 0 +1 +3 4 0x311b 0x24d9bc17 @@ -104246,6 +130308,8 @@ 1 0 0 +4 +2 1 0x62d7 0x6790570e @@ -104254,6 +130318,8 @@ 1 1 0 +3 +1 4 0x1421 0x910cf64e @@ -104262,6 +130328,8 @@ 1 0 0 +0 +2 1 0xed77 0x1f6b0442 @@ -104270,6 +130338,8 @@ 0 0 0 +4 +4 3 0x1e37 0xd27269d6 @@ -104278,6 +130348,8 @@ 0 0 0 +5 +3 4 0x4bde 0x461850b6 @@ -104286,6 +130358,8 @@ 1 0 0 +4 +0 3 0x9d14 0x3f50c724 @@ -104294,6 +130368,8 @@ 0 0 0 +0 +4 3 0x6e07 0x947bba @@ -104302,6 +130378,8 @@ 1 0 0 +1 +1 3 0x5d15 0x65d75108 @@ -104310,6 +130388,8 @@ 0 0 0 +3 +4 1 0x12aa 0x46d58313 @@ -104319,6 +130399,8 @@ 0 0 4 +4 +4 0x333d 0x6fb72765 256 @@ -104326,6 +130408,8 @@ 1 0 0 +0 +4 4 0x699b 0x878a52fc @@ -104334,6 +130418,8 @@ 0 0 0 +1 +3 2 0xf645 0xc4a0c7e5 @@ -104342,6 +130428,8 @@ 1 0 0 +4 +4 3 0x1c85 0x64d262ec @@ -104350,6 +130438,8 @@ 1 1 0 +5 +3 4 0x5c87 0xb93e8df4 @@ -104358,6 +130448,8 @@ 0 0 0 +4 +2 2 0x4dfc 0x45d0bff1 @@ -104366,6 +130458,8 @@ 1 1 0 +0 +0 3 0xd6f 0xc5ba5341 @@ -104374,6 +130468,8 @@ 0 0 0 +0 +0 1 0x376c 0xf21f25c7 @@ -104382,6 +130478,8 @@ 1 0 0 +4 +5 3 0x2e6 0x732e883b @@ -104390,6 +130488,8 @@ 0 0 0 +2 +1 1 0xc204 0x77a7be1a @@ -104399,6 +130499,8 @@ 0 0 2 +1 +2 0xccc1 0x1922c7ae 256 @@ -104406,6 +130508,8 @@ 1 1 0 +0 +1 3 0xfaf0 0xa0a2a259 @@ -104414,6 +130518,8 @@ 0 0 0 +0 +0 3 0x55af 0xe57d3d3f @@ -104422,6 +130528,8 @@ 0 0 0 +4 +4 1 0x3a2e 0x952f9290 @@ -104430,6 +130538,8 @@ 1 1 0 +5 +0 3 0x5f8e 0x7ab5daf8 @@ -104439,6 +130549,8 @@ 0 0 1 +0 +1 0x7c92 0xd8dd4025 256 @@ -104446,6 +130558,8 @@ 1 0 0 +0 +1 2 0x7cf5 0xd033545a @@ -104454,6 +130568,8 @@ 0 0 0 +4 +4 1 0xd16 0x729149c9 @@ -104462,6 +130578,8 @@ 0 0 0 +1 +3 4 0xab06 0x695595f3 @@ -104470,6 +130588,8 @@ 1 0 0 +4 +2 3 0x377 0xb5ddc630 @@ -104479,6 +130599,8 @@ 0 0 2 +2 +2 0x866e 0x4dca49a6 256 @@ -104486,6 +130608,8 @@ 0 0 0 +0 +3 3 0xea5e 0x474375fa @@ -104495,6 +130619,8 @@ 1 0 3 +3 +3 0x3bec 0xe29485a0 256 @@ -104503,6 +130629,8 @@ 0 0 4 +4 +4 0x3839 0xb4f73f3 256 @@ -104511,6 +130639,8 @@ 1 0 2 +3 +2 0x6357 0xc9a5f1d0 256 @@ -104518,6 +130648,8 @@ 0 0 0 +2 +1 4 0xbae0 0x325131c8 @@ -104526,6 +130658,8 @@ 0 0 0 +0 +0 1 0xbadc 0x9cea8522 @@ -104534,6 +130668,8 @@ 1 1 0 +2 +3 1 0x5d0d 0x82149bb @@ -104542,6 +130678,8 @@ 1 1 0 +3 +1 1 0xae29 0xcbe89196 @@ -104550,6 +130688,8 @@ 0 0 0 +4 +3 1 0xcc2a 0xd0ebc8c @@ -104558,6 +130698,8 @@ 1 1 0 +2 +0 3 0x22b6 0x7e63ea1 @@ -104566,6 +130708,8 @@ 1 0 0 +4 +4 3 0x9fb1 0x8390f494 @@ -104574,7 +130718,9 @@ 1 1 0 -2 +4 +0 +2 0x2af4 0x437e2ebe 256 @@ -104582,6 +130728,8 @@ 0 0 0 +4 +0 3 0x5771 0x1af52266 @@ -104590,6 +130738,8 @@ 1 0 0 +2 +0 1 0xc1 0x28d931aa @@ -104598,6 +130748,8 @@ 0 0 0 +4 +2 3 0x4864 0x4be6ab1b @@ -104606,6 +130758,8 @@ 1 0 0 +2 +0 1 0x1c63 0xc53256e9 @@ -104614,6 +130768,8 @@ 1 0 0 +0 +3 2 0x2059 0x2fee07b @@ -104622,6 +130778,8 @@ 0 0 0 +2 +5 1 0x5f27 0xbbb70fc2 @@ -104630,6 +130788,8 @@ 1 0 0 +2 +1 3 0x6a41 0x56846229 @@ -104638,6 +130798,8 @@ 1 1 0 +2 +5 3 0xddf5 0xcd8b28d8 @@ -104646,6 +130808,8 @@ 1 1 0 +0 +1 1 0xae8a 0xa9d92aa3 @@ -104655,6 +130819,8 @@ 0 0 4 +4 +4 0x234c 0x8626f8b9 256 @@ -104663,6 +130829,8 @@ 0 0 1 +2 +1 0xf9c2 0x737a544 256 @@ -104670,6 +130838,8 @@ 1 0 0 +5 +4 1 0xd5e6 0x5d956022 @@ -104678,6 +130848,8 @@ 0 0 0 +1 +4 4 0x3cd1 0x6d64e05e @@ -104686,6 +130858,8 @@ 1 1 0 +3 +4 4 0x769f 0xe43c72d2 @@ -104694,6 +130868,8 @@ 1 1 0 +4 +2 1 0xa5f1 0x50de0a88 @@ -104702,6 +130878,8 @@ 0 0 0 +4 +4 2 0xa27b 0x1cc51edd @@ -104711,6 +130889,8 @@ 0 0 3 +3 +3 0x3fb5 0xbaef367 256 @@ -104718,6 +130898,8 @@ 1 1 0 +0 +3 2 0x481b 0x50042616 @@ -104726,6 +130908,8 @@ 0 0 0 +1 +3 3 0xb503 0xcb3303d6 @@ -104734,6 +130918,8 @@ 1 0 0 +5 +5 1 0x7346 0x6b87e46e @@ -104742,6 +130928,8 @@ 1 1 0 +0 +3 3 0xc6be 0xc627133a @@ -104750,6 +130938,8 @@ 1 1 0 +1 +1 2 0x1524 0x38fb4259 @@ -104759,6 +130949,8 @@ 0 0 4 +1 +4 0x51c3 0xd7c9e1 256 @@ -104766,6 +130958,8 @@ 0 0 0 +0 +5 1 0x7d52 0xcce094f4 @@ -104775,6 +130969,8 @@ 1 0 4 +4 +4 0x11e9 0xcd5c957 256 @@ -104782,6 +130978,8 @@ 1 1 0 +2 +4 3 0x8d9f 0xfe1739c8 @@ -104790,6 +130988,8 @@ 1 1 0 +1 +0 4 0xe949 0x831ad544 @@ -104799,6 +130999,8 @@ 0 0 3 +4 +3 0x194a 0xe37f7db 256 @@ -104806,6 +131008,8 @@ 1 0 0 +5 +3 3 0xe569 0x8af24e2 @@ -104814,6 +131018,8 @@ 1 1 0 +3 +4 1 0x173d 0xa66d5853 @@ -104822,6 +131028,8 @@ 1 1 0 +2 +0 3 0xeb0c 0x56e2a788 @@ -104830,6 +131038,8 @@ 1 0 0 +0 +3 1 0x7094 0xf2068a57 @@ -104838,6 +131048,8 @@ 0 0 0 +0 +4 4 0x13ab 0xf23cd925 @@ -104846,6 +131058,8 @@ 0 0 0 +0 +5 3 0xbfa4 0xac738716 @@ -104854,6 +131068,8 @@ 1 1 0 +5 +3 2 0x26f9 0xd5589d20 @@ -104862,6 +131078,8 @@ 1 0 0 +3 +3 2 0x4c06 0x30401c84 @@ -104870,6 +131088,8 @@ 0 0 0 +4 +1 3 0x5986 0xbfd25568 @@ -104878,6 +131098,8 @@ 0 0 0 +5 +4 1 0xbe75 0x1714fd4e @@ -104887,6 +131109,8 @@ 0 0 2 +4 +2 0x9fcf 0x5bfac8e0 256 @@ -104894,6 +131118,8 @@ 1 1 0 +1 +3 3 0x2acf 0x7f01ce21 @@ -104902,6 +131128,8 @@ 1 0 0 +3 +5 4 0x8f10 0x1b4157f2 @@ -104910,6 +131138,8 @@ 0 0 0 +4 +5 2 0x6b1a 0xa7e375e4 @@ -104919,6 +131149,8 @@ 0 0 2 +3 +2 0x4b2c 0x6797b327 256 @@ -104926,6 +131158,8 @@ 1 1 0 +3 +4 4 0xdd1d 0xcdbe9d1f @@ -104934,6 +131168,8 @@ 1 1 0 +0 +2 2 0xca32 0x222c02dc @@ -104942,6 +131178,8 @@ 0 0 0 +5 +3 1 0x8b50 0xb08fa9c8 @@ -104951,6 +131189,8 @@ 1 0 1 +4 +1 0x44d 0x7e793116 256 @@ -104958,6 +131198,8 @@ 1 0 0 +5 +5 2 0xbf32 0xe3c6515 @@ -104966,6 +131208,8 @@ 0 0 0 +5 +5 3 0x7c68 0x76cabd2c @@ -104974,6 +131218,8 @@ 1 1 0 +0 +2 1 0x1823 0xdea4e3ff @@ -104982,6 +131228,8 @@ 1 0 0 +2 +3 4 0x5340 0x6d4111b4 @@ -104991,6 +131239,8 @@ 0 0 1 +2 +1 0x5379 0xbfc90459 256 @@ -104998,6 +131248,8 @@ 1 1 0 +5 +0 3 0xdc76 0x4cd57a98 @@ -105007,6 +131259,8 @@ 1 0 3 +1 +3 0xe859 0xfdb229a 256 @@ -105014,6 +131268,8 @@ 0 0 0 +5 +2 4 0xab10 0xc7c486f7 @@ -105022,6 +131278,8 @@ 1 0 0 +0 +4 3 0x7fb3 0x4c4afc4b @@ -105030,6 +131288,8 @@ 0 0 0 +5 +5 3 0x1c8e 0x39a512fc @@ -105039,6 +131299,8 @@ 1 0 4 +3 +4 0x9196 0x28645f39 256 @@ -105047,6 +131309,8 @@ 0 0 3 +3 +3 0x721d 0x10224aff 256 @@ -105054,6 +131318,8 @@ 1 0 0 +2 +4 1 0x4b20 0x1751edd2 @@ -105062,6 +131328,8 @@ 1 1 0 +5 +0 3 0x54fc 0x5e1ba995 @@ -105071,6 +131339,8 @@ 0 0 3 +3 +3 0xf28a 0x14c9fa41 256 @@ -105078,6 +131348,8 @@ 1 0 0 +2 +2 4 0x8106 0x64cbfd7d @@ -105086,6 +131358,8 @@ 1 0 0 +5 +5 1 0x8480 0xea4c86c2 @@ -105094,6 +131368,8 @@ 1 1 0 +1 +2 3 0xd322 0x27c8319f @@ -105102,6 +131378,8 @@ 0 0 0 +3 +0 1 0x9563 0xc046263a @@ -105110,6 +131388,8 @@ 0 0 0 +3 +4 1 0x25cf 0xb8e93aa6 @@ -105118,6 +131398,8 @@ 1 1 0 +2 +3 3 0x1663 0x3aeedb0f @@ -105126,6 +131408,8 @@ 0 0 0 +1 +1 3 0xdd6c 0x44149c17 @@ -105134,6 +131418,8 @@ 0 0 0 +2 +4 1 0xf3e8 0x610b2e2 @@ -105142,6 +131428,8 @@ 1 0 0 +4 +3 2 0xb825 0x1a277cd0 @@ -105151,6 +131439,8 @@ 0 0 1 +1 +1 0x1b7c 0x9b28c4a9 256 @@ -105158,6 +131448,8 @@ 1 1 0 +1 +2 4 0x7787 0x96e2f428 @@ -105166,6 +131458,8 @@ 0 0 0 +3 +0 2 0x95a1 0xb362c579 @@ -105174,6 +131468,8 @@ 1 0 0 +0 +1 3 0x2727 0x30b858da @@ -105182,6 +131478,8 @@ 0 0 0 +1 +1 3 0xd786 0xca61a2a6 @@ -105191,6 +131489,8 @@ 0 0 2 +0 +2 0x6906 0x4046982e 256 @@ -105198,6 +131498,8 @@ 0 0 0 +2 +0 1 0x8820 0x88ada17d @@ -105206,6 +131508,8 @@ 1 0 0 +2 +3 3 0x1e6c 0xdd3cb51c @@ -105214,6 +131518,8 @@ 0 0 0 +0 +2 2 0xe187 0xbb608be0 @@ -105222,6 +131528,8 @@ 1 0 0 +3 +4 2 0x167c 0x260d6f9e @@ -105230,6 +131538,8 @@ 1 1 0 +1 +1 4 0xa0f9 0x33f1f6f0 @@ -105238,6 +131548,8 @@ 1 0 0 +5 +0 2 0x977d 0xc5e2a267 @@ -105247,6 +131559,8 @@ 0 0 4 +4 +4 0x423d 0xd412df0c 256 @@ -105254,6 +131568,8 @@ 0 0 0 +3 +0 2 0xf572 0x5cdef51b @@ -105262,6 +131578,8 @@ 1 1 0 +2 +0 1 0x6f6f 0xba8a421 @@ -105271,6 +131589,8 @@ 1 0 4 +0 +4 0xca9 0xca93091d 256 @@ -105278,6 +131598,8 @@ 0 0 0 +5 +5 3 0xd57b 0xa81eac59 @@ -105287,6 +131609,8 @@ 0 0 2 +3 +2 0xd5d2 0xb60dbcfa 256 @@ -105294,6 +131618,8 @@ 1 0 0 +0 +4 2 0xe2a2 0x31b96ed6 @@ -105303,6 +131629,8 @@ 0 0 3 +2 +3 0x6670 0x8a9f54c3 256 @@ -105310,6 +131638,8 @@ 1 0 0 +5 +3 4 0x7689 0x3eb05114 @@ -105318,6 +131648,8 @@ 0 0 0 +3 +1 4 0xe3f8 0xa2d63c1f @@ -105326,6 +131658,8 @@ 1 0 0 +5 +0 3 0xf094 0x9251f138 @@ -105334,6 +131668,8 @@ 0 0 0 +5 +5 4 0xcde9 0x3d4d2465 @@ -105342,6 +131678,8 @@ 1 1 0 +4 +2 1 0x6cd7 0xf39b15d2 @@ -105350,6 +131688,8 @@ 1 1 0 +3 +0 1 0xc15c 0x45211487 @@ -105359,6 +131699,8 @@ 0 0 4 +5 +4 0x83d1 0xaf62837b 256 @@ -105366,6 +131708,8 @@ 0 0 0 +0 +2 2 0x8d1 0x3a00cdd0 @@ -105374,6 +131718,8 @@ 1 0 0 +2 +2 3 0x33ed 0xc0ba06fa @@ -105383,6 +131729,8 @@ 0 0 1 +2 +1 0xec66 0x3ff88437 256 @@ -105390,6 +131738,8 @@ 0 0 0 +4 +0 1 0x1654 0xb3e94e86 @@ -105399,6 +131749,8 @@ 0 0 2 +4 +2 0x1c76 0x44e609ab 256 @@ -105406,6 +131758,8 @@ 0 0 0 +5 +1 4 0x45fd 0xd626236d @@ -105415,6 +131769,8 @@ 0 0 4 +3 +4 0x246 0xfc0fa1c5 256 @@ -105422,6 +131778,8 @@ 0 0 0 +5 +2 4 0x37bf 0x27b1a5e @@ -105430,6 +131788,8 @@ 0 0 0 +5 +1 1 0x77d9 0x1fd48373 @@ -105438,6 +131798,8 @@ 1 0 0 +0 +3 3 0x473 0xb8831f0a @@ -105447,6 +131809,8 @@ 0 0 2 +1 +2 0x8131 0x608f8e76 256 @@ -105454,6 +131818,8 @@ 0 0 0 +1 +4 3 0x6969 0xd6d6b3fe @@ -105463,6 +131829,8 @@ 0 0 4 +4 +4 0xe3f7 0x96810b22 256 @@ -105471,6 +131839,8 @@ 1 0 3 +0 +3 0x769f 0xfc9d614 256 @@ -105478,6 +131848,8 @@ 1 0 0 +4 +4 3 0xfb1e 0xc3aaeb40 @@ -105486,6 +131858,8 @@ 1 0 0 +4 +0 3 0x3d9d 0x47c3a062 @@ -105494,6 +131868,8 @@ 1 0 0 +4 +1 3 0xfb98 0xb4c95131 @@ -105502,6 +131878,8 @@ 1 1 0 +2 +1 3 0x8d3a 0xb6c92070 @@ -105510,6 +131888,8 @@ 0 0 0 +3 +2 4 0x19b3 0xe27b8be4 @@ -105518,6 +131898,8 @@ 1 1 0 +5 +4 1 0x5d6c 0xd5a7a48 @@ -105526,6 +131908,8 @@ 0 0 0 +5 +1 3 0xd99e 0x1cbf6135 @@ -105534,6 +131918,8 @@ 0 0 0 +0 +2 3 0xb763 0xfaa42a57 @@ -105542,6 +131928,8 @@ 0 0 0 +1 +2 4 0x880f 0x5c11b9c3 @@ -105550,6 +131938,8 @@ 0 0 0 +3 +4 4 0x6e86 0xca7a169f @@ -105558,6 +131948,8 @@ 0 0 0 +4 +4 1 0x2d4c 0xda403d91 @@ -105566,6 +131958,8 @@ 1 0 0 +1 +2 3 0x8cba 0xb5d02914 @@ -105574,6 +131968,8 @@ 1 0 0 +1 +2 4 0x3a09 0xec76f0d0 @@ -105582,6 +131978,8 @@ 0 0 0 +1 +4 2 0xd360 0x17d957f3 @@ -105590,6 +131988,8 @@ 0 0 0 +1 +0 3 0xd8ce 0x7137d57b @@ -105598,6 +131998,8 @@ 1 1 0 +5 +4 4 0x7210 0xad9712bc @@ -105606,6 +132008,8 @@ 0 0 0 +2 +4 4 0x5972 0xa2a10cf4 @@ -105614,6 +132018,8 @@ 0 0 0 +5 +4 2 0x7d1 0x2f63743b @@ -105623,6 +132029,8 @@ 0 0 2 +2 +2 0x65ac 0x8a215e7c 256 @@ -105630,6 +132038,8 @@ 1 1 0 +1 +0 2 0x96ce 0x8f6732c8 @@ -105638,6 +132048,8 @@ 0 0 0 +2 +4 3 0x95ea 0xb56e4a39 @@ -105646,6 +132058,8 @@ 0 0 0 +5 +2 2 0x7205 0xa0be724 @@ -105654,6 +132068,8 @@ 1 1 0 +2 +5 1 0xa3b9 0x8d397808 @@ -105662,6 +132078,8 @@ 0 0 0 +2 +3 1 0xb049 0x804f46e0 @@ -105670,6 +132088,8 @@ 1 1 0 +3 +4 2 0x561f 0xea2b304c @@ -105678,6 +132098,8 @@ 0 0 0 +1 +2 3 0xe980 0xbd3b6e09 @@ -105686,6 +132108,8 @@ 0 0 0 +2 +3 1 0xfc0f 0x42aa00fe @@ -105694,6 +132118,8 @@ 0 0 0 +4 +3 3 0xefd3 0xadd02853 @@ -105702,6 +132128,8 @@ 1 0 0 +5 +2 2 0x826 0xec510f61 @@ -105710,6 +132138,8 @@ 1 1 0 +1 +5 3 0xc25f 0xa40af30f @@ -105718,6 +132148,8 @@ 1 0 0 +1 +1 2 0x7886 0x8416b12c @@ -105726,6 +132158,8 @@ 0 0 0 +1 +2 4 0xc2e9 0x457012e0 @@ -105734,6 +132168,8 @@ 1 1 0 +5 +2 3 0x547f 0x354b2c1f @@ -105742,6 +132178,8 @@ 0 0 0 +0 +5 3 0x5f98 0xd6532a08 @@ -105750,6 +132188,8 @@ 1 0 0 +1 +2 2 0x3d5b 0x9a78a991 @@ -105758,6 +132198,8 @@ 0 0 0 +5 +0 3 0xaae 0xe4bd3a43 @@ -105766,6 +132208,8 @@ 1 0 0 +2 +3 4 0x696a 0x9a700618 @@ -105774,6 +132218,8 @@ 0 0 0 +3 +0 4 0x5bf3 0xb118e01a @@ -105783,6 +132229,8 @@ 1 0 1 +5 +1 0x1251 0x7b9810e 256 @@ -105791,6 +132239,8 @@ 0 0 2 +4 +2 0xce25 0xcdac2794 256 @@ -105798,6 +132248,8 @@ 1 1 0 +0 +0 4 0x4071 0x51f875f @@ -105806,6 +132258,8 @@ 0 0 0 +0 +2 2 0xe433 0x5373d77d @@ -105814,6 +132268,8 @@ 0 0 0 +4 +0 2 0x75b6 0x8f0dbaa9 @@ -105822,6 +132278,8 @@ 0 0 0 +0 +3 3 0xe7e7 0x5997c835 @@ -105830,6 +132288,8 @@ 0 0 0 +2 +3 4 0xca0d 0xab6afdf5 @@ -105838,6 +132298,8 @@ 0 0 0 +1 +0 2 0xdcd5 0xe6e92c9b @@ -105846,6 +132308,8 @@ 0 0 0 +5 +1 2 0x57ca 0x568f943e @@ -105854,6 +132318,8 @@ 1 0 0 +4 +1 2 0x6025 0x234a5781 @@ -105862,6 +132328,8 @@ 1 1 0 +3 +5 4 0xafed 0xaad235f1 @@ -105870,6 +132338,8 @@ 1 0 0 +4 +3 3 0x55ae 0x2e7fcc5e @@ -105878,6 +132348,8 @@ 0 0 0 +1 +4 4 0x4fdf 0x77e35b65 @@ -105886,6 +132358,8 @@ 1 0 0 +5 +5 1 0x1b1c 0x1f5e30d @@ -105894,6 +132368,8 @@ 1 1 0 +2 +0 1 0x3b19 0x775a6452 @@ -105902,6 +132378,8 @@ 0 0 0 +0 +3 4 0xaea7 0xcf259aa7 @@ -105910,6 +132388,8 @@ 0 0 0 +1 +2 2 0xb03b 0xa89223a4 @@ -105918,6 +132398,8 @@ 0 0 0 +0 +5 3 0xe15f 0xae56fc53 @@ -105926,6 +132408,8 @@ 1 1 0 +3 +1 1 0x7605 0xddd664c3 @@ -105934,6 +132418,8 @@ 0 0 0 +0 +1 4 0x2de8 0x255fc810 @@ -105942,6 +132428,8 @@ 0 0 0 +4 +4 3 0xf7d2 0x411badec @@ -105950,6 +132438,8 @@ 1 0 0 +1 +4 2 0x5774 0xbff90b41 @@ -105958,6 +132448,8 @@ 1 1 0 +4 +0 3 0x2ecf 0x8119bac4 @@ -105966,6 +132458,8 @@ 1 1 0 +3 +0 4 0x85ac 0x3ccfe77d @@ -105974,6 +132468,8 @@ 0 0 0 +0 +5 2 0xbc0c 0xaaa8e89c @@ -105983,6 +132479,8 @@ 1 0 2 +1 +2 0xc6cf 0x188be062 256 @@ -105990,6 +132488,8 @@ 0 0 0 +4 +0 3 0xda69 0xf5676ad @@ -105998,6 +132498,8 @@ 0 0 0 +3 +4 4 0x1851 0x9835a00 @@ -106006,6 +132508,8 @@ 0 0 0 +2 +1 4 0x1da9 0x86bd705d @@ -106015,6 +132519,8 @@ 0 0 1 +2 +1 0xe3b1 0x8ccec3f8 256 @@ -106022,6 +132528,8 @@ 0 0 0 +0 +1 1 0x3a4d 0x130685cb @@ -106030,6 +132538,8 @@ 0 0 0 +3 +5 2 0xc133 0xa8a1f17d @@ -106038,6 +132548,8 @@ 0 0 0 +5 +5 1 0xc8d7 0xda10cab7 @@ -106046,6 +132558,8 @@ 1 1 0 +0 +0 1 0x3aa9 0x634c6726 @@ -106054,6 +132568,8 @@ 0 0 0 +0 +5 3 0x20dc 0x4abaafb9 @@ -106062,6 +132578,8 @@ 1 0 0 +2 +2 1 0x5d2c 0xec49f67b @@ -106070,6 +132588,8 @@ 1 1 0 +2 +4 1 0x200d 0xab29738f @@ -106078,6 +132598,8 @@ 0 0 0 +4 +2 3 0x81f7 0x1679eb96 @@ -106086,6 +132608,8 @@ 0 0 0 +0 +5 2 0x6593 0x9e7b2a77 @@ -106094,6 +132618,8 @@ 1 0 0 +3 +0 4 0x2494 0x4ba717f5 @@ -106102,6 +132628,8 @@ 1 0 0 +2 +5 3 0xebf4 0x6f1edde @@ -106110,6 +132638,8 @@ 1 1 0 +3 +2 2 0xfda9 0xdcb528e @@ -106118,6 +132648,8 @@ 1 0 0 +0 +4 4 0x2b56 0xa417951a @@ -106126,6 +132658,8 @@ 0 0 0 +2 +5 4 0xe834 0x3f8d898e @@ -106134,6 +132668,8 @@ 1 0 0 +5 +2 2 0x9d5a 0xabc499a6 @@ -106142,6 +132678,8 @@ 0 0 0 +0 +1 1 0x3d68 0x8d2b9e75 @@ -106150,6 +132688,8 @@ 1 0 0 +2 +1 3 0x5e60 0xc5e53dfc @@ -106159,6 +132699,8 @@ 0 0 3 +4 +3 0xbf32 0x98bc3b59 256 @@ -106166,6 +132708,8 @@ 0 0 0 +0 +0 1 0x6ee9 0x4f093e73 @@ -106174,6 +132718,8 @@ 0 0 0 +3 +3 4 0xbd3 0x2a198e33 @@ -106182,6 +132728,8 @@ 0 0 0 +5 +5 2 0x7a54 0x29f4fb80 @@ -106190,6 +132738,8 @@ 1 1 0 +4 +5 2 0x7dec 0x812ad9b8 @@ -106198,6 +132748,8 @@ 0 0 0 +3 +1 2 0x18c7 0x229d1bbf @@ -106206,6 +132758,8 @@ 1 0 0 +1 +1 4 0x1de4 0x89eeb898 @@ -106214,6 +132768,8 @@ 1 0 0 +0 +3 4 0x6f11 0x3d25107e @@ -106222,6 +132778,8 @@ 1 1 0 +3 +1 4 0xa840 0x4580401c @@ -106230,6 +132788,8 @@ 0 0 0 +2 +1 1 0x6ed3 0x4c7e082c @@ -106238,6 +132798,8 @@ 1 1 0 +5 +4 2 0x7218 0x5f59e341 @@ -106246,6 +132808,8 @@ 0 0 0 +4 +0 1 0x213d 0xfda3789d @@ -106255,6 +132819,8 @@ 0 0 2 +0 +2 0x2b79 0xe5b1c07 256 @@ -106262,6 +132828,8 @@ 1 1 0 +2 +3 4 0x9fd2 0xdf2e26e9 @@ -106270,6 +132838,8 @@ 1 1 0 +0 +0 2 0xee22 0xbf56bf03 @@ -106279,6 +132849,8 @@ 0 0 3 +5 +3 0xfff 0xba002204 256 @@ -106286,6 +132858,8 @@ 1 0 0 +5 +3 1 0xfed8 0x151517c1 @@ -106294,6 +132868,8 @@ 0 0 0 +1 +1 4 0xe6db 0x978a3afd @@ -106302,6 +132878,8 @@ 1 0 0 +4 +5 1 0x6ccb 0x882c392b @@ -106310,6 +132888,8 @@ 1 0 0 +4 +2 2 0xec29 0x9c2ff05b @@ -106318,6 +132898,8 @@ 0 0 0 +0 +1 4 0x2134 0x18540c8f @@ -106326,6 +132908,8 @@ 1 0 0 +5 +5 2 0x6d84 0xb8d916d2 @@ -106334,6 +132918,8 @@ 0 0 0 +4 +3 1 0x2f4d 0xeaa42e87 @@ -106342,6 +132928,8 @@ 1 0 0 +2 +1 3 0xef0d 0x1e2f9136 @@ -106350,6 +132938,8 @@ 0 0 0 +4 +3 3 0xfbb9 0x486df907 @@ -106358,6 +132948,8 @@ 0 0 0 +0 +3 4 0x9cf6 0xf9a2f3c0 @@ -106366,6 +132958,8 @@ 1 0 0 +1 +5 4 0x40d6 0x86e13aeb @@ -106374,6 +132968,8 @@ 0 0 0 +5 +5 2 0x2c3b 0x2bba37b9 @@ -106382,6 +132978,8 @@ 1 1 0 +3 +3 2 0xa544 0x59ac2cc3 @@ -106391,6 +132989,8 @@ 0 0 4 +2 +4 0x65e9 0x51a3e90c 256 @@ -106399,6 +132999,8 @@ 0 0 2 +3 +2 0x976b 0x3e6523d2 256 @@ -106407,6 +133009,8 @@ 0 0 3 +2 +3 0x20be 0x7a72cbfe 256 @@ -106414,6 +133018,8 @@ 0 0 0 +3 +5 4 0xf5be 0x166324e2 @@ -106422,6 +133028,8 @@ 1 0 0 +0 +3 2 0x982b 0xf1f6315 @@ -106430,6 +133038,8 @@ 1 0 0 +2 +0 1 0xc07e 0xae05716a @@ -106438,6 +133048,8 @@ 0 0 0 +5 +5 4 0x2d6e 0xfa5e0f08 @@ -106447,6 +133059,8 @@ 1 0 1 +0 +1 0x7c76 0x3efc3f77 256 @@ -106454,6 +133068,8 @@ 1 0 0 +2 +2 1 0xeaaf 0x8f9d5733 @@ -106462,6 +133078,8 @@ 0 0 0 +2 +0 1 0xc462 0xd1c9c973 @@ -106470,6 +133088,8 @@ 0 0 0 +3 +5 2 0x96c9 0xb460e721 @@ -106478,6 +133098,8 @@ 0 0 0 +4 +1 3 0x4716 0xaaa74ead @@ -106487,6 +133109,8 @@ 0 0 2 +4 +2 0x7bfe 0x5dcd1ac1 256 @@ -106494,6 +133118,8 @@ 1 1 0 +0 +4 2 0xccb5 0xdb165f96 @@ -106502,6 +133128,8 @@ 0 0 0 +4 +0 2 0x89bf 0x7a1bdd73 @@ -106510,6 +133138,8 @@ 1 1 0 +2 +2 1 0x3c2b 0xaf272392 @@ -106518,6 +133148,8 @@ 1 1 0 +4 +2 3 0x37b5 0xe2b499f @@ -106526,6 +133158,8 @@ 1 0 0 +1 +2 2 0x72fe 0xcc00f81d @@ -106535,6 +133169,8 @@ 1 0 2 +4 +2 0x424f 0x108cbd56 256 @@ -106542,6 +133178,8 @@ 0 0 0 +5 +5 4 0x7b87 0x63e4006a @@ -106551,6 +133189,8 @@ 0 0 2 +4 +2 0xbad2 0x630a34b8 256 @@ -106559,6 +133199,8 @@ 0 0 4 +4 +4 0xc227 0x52ee6295 256 @@ -106566,6 +133208,8 @@ 0 0 0 +1 +3 2 0x128b 0x70119231 @@ -106574,6 +133218,8 @@ 0 0 0 +3 +4 1 0xd1dc 0x57bbe0d2 @@ -106582,6 +133228,8 @@ 0 0 0 +2 +3 3 0x727e 0xc5eb9554 @@ -106590,6 +133238,8 @@ 0 0 0 +5 +1 4 0xe929 0x2c6f7ce5 @@ -106598,6 +133248,8 @@ 0 0 0 +5 +0 3 0x35fa 0x4f81b128 @@ -106606,6 +133258,8 @@ 1 1 0 +3 +5 4 0x8451 0xb331bf20 @@ -106614,6 +133268,8 @@ 1 1 0 +3 +1 2 0xe3e7 0x61c6269f @@ -106623,6 +133279,8 @@ 0 0 4 +0 +4 0x845b 0xeeb6e388 256 @@ -106630,6 +133288,8 @@ 1 0 0 +2 +4 1 0x81ab 0xa17ed184 @@ -106638,6 +133298,8 @@ 0 0 0 +3 +5 4 0x276d 0xf055e8ea @@ -106646,6 +133308,8 @@ 0 0 0 +4 +2 1 0xdc5f 0x253fbd83 @@ -106655,6 +133319,8 @@ 0 0 4 +2 +4 0x1df2 0xd24f282d 256 @@ -106663,6 +133329,8 @@ 1 0 4 +1 +4 0x604a 0x42681b86 256 @@ -106670,6 +133338,8 @@ 0 0 0 +4 +1 3 0x31b 0xc68ed4fa @@ -106678,6 +133348,8 @@ 0 0 0 +4 +1 3 0x935c 0x8294f44a @@ -106687,6 +133359,8 @@ 0 0 1 +4 +1 0xd784 0xa7b5b78 256 @@ -106694,6 +133368,8 @@ 1 1 0 +0 +0 4 0x6719 0xbfdaa2f5 @@ -106702,6 +133378,8 @@ 1 0 0 +0 +0 2 0xba94 0x7b10c2c6 @@ -106710,6 +133388,8 @@ 1 1 0 +1 +1 2 0x6d07 0x82e42a54 @@ -106719,6 +133399,8 @@ 1 0 4 +1 +4 0x7338 0x26e6e293 256 @@ -106726,6 +133408,8 @@ 1 0 0 +4 +5 2 0x1571 0xde4f3b70 @@ -106734,6 +133418,8 @@ 0 0 0 +0 +2 4 0x2a13 0x32b737e8 @@ -106743,6 +133429,8 @@ 1 0 4 +5 +4 0x225f 0xdecf628b 256 @@ -106751,6 +133439,8 @@ 1 0 1 +5 +1 0x74da 0x97687e8e 256 @@ -106758,6 +133448,8 @@ 0 0 0 +5 +3 3 0x1514 0x361244cd @@ -106766,6 +133458,8 @@ 0 0 0 +0 +3 1 0x2978 0x6648df7b @@ -106774,6 +133468,8 @@ 0 0 0 +0 +3 2 0x84c8 0x45dc340f @@ -106782,6 +133478,8 @@ 1 0 0 +3 +0 1 0xee19 0xaa7e6442 @@ -106790,6 +133488,8 @@ 1 0 0 +5 +4 2 0x4648 0xf7d7a7a1 @@ -106798,6 +133498,8 @@ 0 0 0 +2 +5 4 0x6e11 0x1ace5b78 @@ -106806,6 +133508,8 @@ 1 1 0 +2 +1 3 0x65e7 0xc2427609 @@ -106815,6 +133519,8 @@ 0 0 4 +0 +4 0x37f6 0x17e35a3 256 @@ -106822,6 +133528,8 @@ 0 0 0 +4 +4 1 0xe398 0xcc36d89e @@ -106831,6 +133539,8 @@ 0 0 2 +4 +2 0x4b36 0xf5f405d0 256 @@ -106839,6 +133549,8 @@ 1 0 2 +5 +2 0x73f2 0x1910bd54 256 @@ -106846,6 +133558,8 @@ 1 0 0 +1 +0 4 0x1871 0x12345170 @@ -106854,6 +133568,8 @@ 1 1 0 +0 +2 2 0x654e 0x9870a6a2 @@ -106862,6 +133578,8 @@ 1 0 0 +3 +5 4 0x99a3 0xb68aa42d @@ -106870,6 +133588,8 @@ 1 0 0 +3 +3 2 0xbde2 0xfa564c53 @@ -106878,6 +133598,8 @@ 1 1 0 +2 +5 3 0x2ab8 0xb2513d7a @@ -106886,6 +133608,8 @@ 1 0 0 +4 +2 1 0xc687 0x49847af3 @@ -106894,6 +133618,8 @@ 1 1 0 +3 +5 2 0x5940 0x7ffd8722 @@ -106902,6 +133628,8 @@ 0 0 0 +3 +5 1 0xecf1 0x81629397 @@ -106910,6 +133638,8 @@ 1 1 0 +4 +2 2 0x11af 0x1e4c7f9d @@ -106918,6 +133648,8 @@ 0 0 0 +1 +5 4 0xfb3a 0x2bc18861 @@ -106926,6 +133658,8 @@ 1 1 0 +4 +1 2 0x49dc 0xb8c65829 @@ -106934,6 +133668,8 @@ 1 1 0 +1 +1 3 0x337 0xff32f6d @@ -106942,6 +133678,8 @@ 1 0 0 +2 +1 4 0xb6f8 0x4e20ab9f @@ -106950,6 +133688,8 @@ 1 0 0 +2 +5 3 0x6550 0xfac00c9a @@ -106958,6 +133698,8 @@ 1 0 0 +4 +1 2 0x53d3 0xfbcb201a @@ -106966,6 +133708,8 @@ 0 0 0 +1 +2 3 0x30af 0x2fe5bec8 @@ -106975,6 +133719,8 @@ 0 0 3 +4 +3 0x27f6 0x4fc7c7ff 256 @@ -106982,6 +133728,8 @@ 0 0 0 +5 +0 4 0x75c0 0x44d7d4f2 @@ -106990,6 +133738,8 @@ 1 1 0 +4 +5 1 0xd20a 0x19e95400 @@ -106998,6 +133748,8 @@ 0 0 0 +5 +0 4 0xbb21 0xa7f87fe5 @@ -107007,6 +133759,8 @@ 1 0 3 +5 +3 0x36a5 0x4f39be67 256 @@ -107014,6 +133768,8 @@ 1 0 0 +1 +2 2 0x2f04 0x189cd6d7 @@ -107022,6 +133778,8 @@ 0 0 0 +1 +0 3 0xb7fe 0x500c410d @@ -107030,6 +133788,8 @@ 1 0 0 +1 +2 3 0x222c 0x2a561463 @@ -107038,6 +133798,8 @@ 0 0 0 +0 +3 4 0x5abb 0x943b30e4 @@ -107046,6 +133808,8 @@ 1 1 0 +3 +0 1 0x9ac9 0x889a7704 @@ -107055,6 +133819,8 @@ 0 0 1 +3 +1 0x65fa 0xeeac1014 256 @@ -107062,6 +133828,8 @@ 1 0 0 +0 +4 2 0xb482 0xa5497309 @@ -107070,6 +133838,8 @@ 0 0 0 +2 +3 3 0x8ada 0x8a5e22 @@ -107078,6 +133848,8 @@ 1 0 0 +4 +3 2 0x6a14 0x921dcba6 @@ -107086,6 +133858,8 @@ 1 0 0 +0 +4 2 0x628 0xe8022245 @@ -107094,6 +133868,8 @@ 1 0 0 +3 +0 2 0x405 0x67027399 @@ -107102,6 +133878,8 @@ 1 1 0 +5 +4 4 0x1d28 0x1d226ba0 @@ -107110,6 +133888,8 @@ 0 0 0 +5 +2 1 0xd713 0xed0ecfda @@ -107118,6 +133898,8 @@ 1 0 0 +5 +3 1 0xac50 0x8962d69c @@ -107126,6 +133908,8 @@ 0 0 0 +1 +3 3 0xc3f 0xb4f274f8 @@ -107134,6 +133918,8 @@ 0 0 0 +1 +0 4 0xae40 0x1b82a519 @@ -107142,6 +133928,8 @@ 0 0 0 +5 +0 3 0x453f 0xac71c637 @@ -107150,6 +133938,8 @@ 1 1 0 +1 +4 2 0xc652 0xa4630528 @@ -107158,6 +133948,8 @@ 1 1 0 +2 +0 3 0xa3b8 0x7c866479 @@ -107166,6 +133958,8 @@ 1 0 0 +5 +0 1 0xea2f 0x60f6be35 @@ -107174,6 +133968,8 @@ 0 0 0 +4 +0 2 0x1978 0x366d5387 @@ -107182,6 +133978,8 @@ 1 0 0 +3 +0 1 0xdaeb 0x2ae9747a @@ -107190,6 +133988,8 @@ 0 0 0 +3 +2 1 0xa3c8 0x88c5114c @@ -107199,6 +133999,8 @@ 0 0 4 +5 +4 0xdb81 0xb4a4288b 256 @@ -107206,6 +134008,8 @@ 0 0 0 +0 +3 3 0x73d8 0xc9336d5f @@ -107214,6 +134018,8 @@ 0 0 0 +3 +4 2 0x8baa 0x13b1065a @@ -107222,6 +134028,8 @@ 1 1 0 +1 +3 3 0x56e2 0x646acfbe @@ -107230,6 +134038,8 @@ 0 0 0 +2 +4 4 0xd7ea 0x261d5dcd @@ -107238,6 +134048,8 @@ 0 0 0 +5 +3 3 0x52ae 0x42bd9a47 @@ -107246,6 +134058,8 @@ 0 0 0 +5 +5 4 0x9aa7 0x57072833 @@ -107254,6 +134068,8 @@ 1 0 0 +5 +4 3 0x9fe2 0x9849db00 @@ -107262,6 +134078,8 @@ 0 0 0 +0 +0 4 0x3e87 0x41d1725f @@ -107271,6 +134089,8 @@ 0 0 2 +4 +2 0xaef2 0x11cf3254 256 @@ -107278,6 +134098,8 @@ 0 0 0 +5 +2 2 0xa052 0x36ba1e2b @@ -107286,6 +134108,8 @@ 0 0 0 +2 +2 3 0x5fb0 0xa639242b @@ -107294,6 +134118,8 @@ 0 0 0 +0 +1 2 0x3edd 0x1f02579b @@ -107302,6 +134128,8 @@ 0 0 0 +2 +2 3 0x9a13 0x1b1b7ed @@ -107310,6 +134138,8 @@ 0 0 0 +3 +0 2 0xcd13 0x1828ecb7 @@ -107318,6 +134148,8 @@ 0 0 0 +3 +0 2 0xcc2f 0x17ba0663 @@ -107326,6 +134158,8 @@ 0 0 0 +0 +1 4 0x4a57 0xea5d46a9 @@ -107334,6 +134168,8 @@ 0 0 0 +5 +0 1 0x415e 0xb71f35e4 @@ -107342,6 +134178,8 @@ 0 0 0 +3 +1 2 0xb528 0xaff061e5 @@ -107350,6 +134188,8 @@ 0 0 0 +4 +2 1 0x996a 0x7933f5e2 @@ -107358,6 +134198,8 @@ 0 0 0 +1 +5 2 0x4074 0xd8adaf4 @@ -107366,6 +134208,8 @@ 0 0 0 +0 +1 4 0xccc7 0x209157a2 @@ -107374,6 +134218,8 @@ 1 1 0 +0 +3 3 0x9f1e 0xa8037aca @@ -107382,6 +134228,8 @@ 1 1 0 +3 +3 4 0x5805 0x55a82d5d @@ -107391,6 +134239,8 @@ 1 0 3 +3 +3 0xfe8c 0x9eef7e21 256 @@ -107398,6 +134248,8 @@ 0 0 0 +4 +5 2 0xe8cf 0x59fd6bc5 @@ -107406,6 +134258,8 @@ 1 1 0 +3 +4 1 0xdd89 0x58fa790d @@ -107414,6 +134268,8 @@ 0 0 0 +5 +2 4 0xb62a 0x6422b5c4 @@ -107422,6 +134278,8 @@ 1 0 0 +4 +1 3 0x704d 0xc6deb237 @@ -107430,6 +134288,8 @@ 0 0 0 +5 +1 1 0x1c8a 0x9a424a58 @@ -107439,6 +134299,8 @@ 0 0 1 +1 +1 0x1b20 0xd2e2e356 256 @@ -107446,6 +134308,8 @@ 1 0 0 +4 +1 2 0x9cdd 0x9369b92a @@ -107454,6 +134318,8 @@ 0 0 0 +3 +1 1 0xa8de 0x5acfe78e @@ -107462,6 +134328,8 @@ 1 1 0 +0 +1 2 0xd255 0xb9e8e4a6 @@ -107471,6 +134339,8 @@ 0 0 2 +3 +2 0x5cd2 0x698e9246 256 @@ -107478,6 +134348,8 @@ 1 0 0 +4 +3 2 0x987 0x752bc313 @@ -107486,6 +134358,8 @@ 1 1 0 +5 +1 4 0xb819 0xfb1c21f2 @@ -107494,6 +134368,8 @@ 0 0 0 +4 +5 2 0xddd7 0x1ecea3d @@ -107502,6 +134378,8 @@ 1 1 0 +4 +4 2 0x4659 0x22c735d4 @@ -107510,6 +134388,8 @@ 1 0 0 +0 +5 2 0x70d 0xeb2a16fd @@ -107518,6 +134398,8 @@ 1 1 0 +2 +2 1 0xa32a 0x50f37da8 @@ -107526,6 +134408,8 @@ 0 0 0 +3 +3 2 0xee0a 0xd0a7a639 @@ -107534,6 +134418,8 @@ 0 0 0 +3 +2 1 0xb3ea 0x3c976a13 @@ -107543,6 +134429,8 @@ 0 0 1 +3 +1 0xee25 0xa4ee045d 256 @@ -107550,6 +134438,8 @@ 0 0 0 +2 +5 1 0xc93 0xa480cede @@ -107558,6 +134448,8 @@ 0 0 0 +4 +4 1 0xe521 0xb925c940 @@ -107566,6 +134458,8 @@ 1 1 0 +1 +3 3 0x47f0 0xd41470ce @@ -107574,6 +134468,8 @@ 1 1 0 +4 +1 3 0x5d15 0x5d5d2f02 @@ -107582,6 +134478,8 @@ 1 0 0 +4 +0 3 0x4f0f 0xc924fafb @@ -107590,6 +134488,8 @@ 1 1 0 +2 +3 3 0x6516 0xb9835f0f @@ -107598,6 +134498,8 @@ 1 1 0 +0 +2 2 0xa979 0xbfa98624 @@ -107606,6 +134508,8 @@ 1 1 0 +5 +4 1 0xe8f0 0x2f077e93 @@ -107614,6 +134518,8 @@ 1 0 0 +0 +3 1 0x6a7c 0x63c79c4b @@ -107622,6 +134528,8 @@ 1 0 0 +4 +2 1 0x7cf8 0x1930242f @@ -107630,6 +134538,8 @@ 1 0 0 +0 +0 4 0xa30a 0x5a58819b @@ -107638,6 +134548,8 @@ 0 0 0 +2 +2 1 0xf129 0x59d8bd0a @@ -107646,6 +134558,8 @@ 1 0 0 +4 +3 1 0x9ce4 0x159958f1 @@ -107654,6 +134568,8 @@ 1 1 0 +0 +0 1 0xdcbd 0xf2cdb2f2 @@ -107663,6 +134579,8 @@ 0 0 4 +1 +4 0x5f3c 0x8e5f4ae5 256 @@ -107670,6 +134588,8 @@ 0 0 0 +3 +0 2 0x7184 0x767ba0f9 @@ -107678,6 +134598,8 @@ 0 0 0 +4 +3 3 0xf01f 0xbd3b2148 @@ -107686,6 +134608,8 @@ 1 1 0 +0 +1 3 0x7f2 0x6cf8bec @@ -107694,6 +134618,8 @@ 1 0 0 +2 +5 4 0x6471 0xa7341504 @@ -107702,6 +134628,8 @@ 0 0 0 +2 +0 1 0x953c 0x88b68445 @@ -107710,6 +134638,8 @@ 1 0 0 +4 +2 3 0xaf28 0xfa4dac5d @@ -107718,6 +134648,8 @@ 0 0 0 +5 +5 4 0xc7cb 0x2e2e4322 @@ -107726,6 +134658,8 @@ 0 0 0 +3 +2 1 0x2971 0xbdd9f6d0 @@ -107734,6 +134668,8 @@ 0 0 0 +5 +0 1 0x97c 0xff11fe4a @@ -107743,6 +134679,8 @@ 0 0 3 +0 +3 0x7e7c 0xb1bdc362 256 @@ -107750,6 +134688,8 @@ 0 0 0 +5 +0 2 0x8fa 0xc8d0c41f @@ -107758,6 +134698,8 @@ 0 0 0 +5 +4 4 0x13c0 0x1416a5c5 @@ -107766,6 +134708,8 @@ 1 0 0 +2 +4 3 0x776e 0x3bb5c40b @@ -107774,6 +134718,8 @@ 1 0 0 +1 +0 3 0x7c5 0x9cc66be6 @@ -107782,6 +134728,8 @@ 0 0 0 +1 +1 3 0xb63a 0xf4da4ef @@ -107790,6 +134738,8 @@ 1 0 0 +4 +1 2 0x5a8a 0x3a37e404 @@ -107798,6 +134748,8 @@ 0 0 0 +1 +3 3 0x7b12 0xb7e3826 @@ -107807,6 +134759,8 @@ 1 0 1 +1 +1 0xd10f 0x6777fab 256 @@ -107814,6 +134768,8 @@ 1 1 0 +2 +5 4 0x32df 0x306032fe @@ -107822,6 +134778,8 @@ 0 0 0 +2 +4 4 0xb5d8 0x19740ed0 @@ -107830,6 +134788,8 @@ 1 1 0 +5 +2 1 0x25b3 0xa1c20d37 @@ -107838,6 +134798,8 @@ 1 0 0 +3 +1 2 0xa264 0xf061f8d9 @@ -107847,6 +134809,8 @@ 0 0 2 +2 +2 0x92f7 0xe5de3a4f 256 @@ -107854,6 +134818,8 @@ 1 1 0 +4 +4 1 0x7fd6 0xa7563e25 @@ -107863,6 +134829,8 @@ 1 0 1 +1 +1 0xf7a6 0x1c726021 256 @@ -107871,6 +134839,8 @@ 0 0 3 +1 +3 0xd5d2 0x79873530 256 @@ -107878,6 +134848,8 @@ 1 1 0 +5 +3 2 0x9de9 0x36f829c3 @@ -107886,6 +134858,8 @@ 1 1 0 +0 +5 4 0xd181 0x6c28391a @@ -107894,6 +134868,8 @@ 1 0 0 +1 +3 4 0x288f 0x5f416ba @@ -107902,6 +134878,8 @@ 1 1 0 +2 +5 4 0xe758 0x22b51581 @@ -107910,6 +134888,8 @@ 1 0 0 +3 +3 1 0x50c7 0xacd1b9b2 @@ -107919,6 +134899,8 @@ 0 0 1 +0 +1 0xfb1 0x8bde0348 256 @@ -107927,6 +134909,8 @@ 0 0 3 +1 +3 0x69f2 0xea47c 256 @@ -107934,6 +134918,8 @@ 1 0 0 +5 +1 3 0x16e1 0xa9222b0a @@ -107942,6 +134928,8 @@ 0 0 0 +0 +2 1 0xc3ca 0x8eff8d8e @@ -107951,6 +134939,8 @@ 0 0 2 +1 +2 0xfb67 0xa0638f2b 256 @@ -107958,6 +134948,8 @@ 1 1 0 +2 +1 3 0xa6d8 0x79c803fa @@ -107966,6 +134958,8 @@ 0 0 0 +2 +5 3 0xbd9b 0xfed7e629 @@ -107975,6 +134969,8 @@ 0 0 4 +3 +4 0xd6c8 0xe83d2f4c 256 @@ -107982,6 +134978,8 @@ 1 1 0 +4 +3 3 0xca1d 0xcebb365a @@ -107990,6 +134988,8 @@ 0 0 0 +3 +0 4 0xc697 0xa148dcf4 @@ -107998,6 +134998,8 @@ 0 0 0 +5 +1 1 0xc3ae 0x1428081d @@ -108007,6 +135009,8 @@ 1 0 1 +4 +1 0x9d4 0xb39986ff 256 @@ -108015,6 +135019,8 @@ 0 0 2 +0 +2 0xcbc5 0x93e4821d 256 @@ -108022,6 +135028,8 @@ 1 0 0 +2 +5 1 0xb13a 0x356ae93a @@ -108030,6 +135038,8 @@ 0 0 0 +5 +0 2 0x9200 0xa3d25bb9 @@ -108039,6 +135049,8 @@ 1 0 1 +3 +1 0x28e2 0xc01985c6 256 @@ -108046,6 +135058,8 @@ 1 0 0 +0 +3 1 0x8ef4 0x62b2ffa0 @@ -108055,6 +135069,8 @@ 0 0 1 +5 +1 0xbfd6 0x6eeee63b 256 @@ -108062,6 +135078,8 @@ 0 0 0 +1 +2 3 0x8953 0x4b8eecd @@ -108070,6 +135088,8 @@ 1 1 0 +5 +5 1 0xda9 0x355e84b3 @@ -108078,6 +135098,8 @@ 1 1 0 +1 +3 2 0xeff4 0x8922c62b @@ -108086,6 +135108,8 @@ 0 0 0 +2 +1 1 0x58d6 0x77ee823c @@ -108095,6 +135119,8 @@ 0 0 4 +5 +4 0xe701 0x4c567350 256 @@ -108102,6 +135128,8 @@ 1 0 0 +4 +1 1 0x1699 0x77b57409 @@ -108110,6 +135138,8 @@ 0 0 0 +2 +1 3 0xda00 0xb4411e9e @@ -108118,6 +135148,8 @@ 1 1 0 +1 +1 3 0xfa82 0xe8bf3c59 @@ -108126,6 +135158,8 @@ 0 0 0 +5 +2 2 0xcc0 0x3d169737 @@ -108134,6 +135168,8 @@ 1 0 0 +4 +5 3 0x40d1 0x922a602c @@ -108142,6 +135178,8 @@ 0 0 0 +4 +5 1 0x36e1 0x58e48142 @@ -108151,6 +135189,8 @@ 0 0 3 +3 +3 0xfcca 0xf4d64c25 256 @@ -108158,6 +135198,8 @@ 1 0 0 +3 +1 4 0xae5a 0x9bd2e5bb @@ -108166,6 +135208,8 @@ 0 0 0 +4 +1 2 0x8164 0x8be047d @@ -108174,6 +135218,8 @@ 1 1 0 +4 +5 2 0xc906 0x955f3314 @@ -108182,6 +135228,8 @@ 0 0 0 +0 +4 3 0x93a2 0x44ed7ed4 @@ -108190,6 +135238,8 @@ 0 0 0 +0 +0 2 0x9954 0x9e3efc0c @@ -108199,6 +135249,8 @@ 0 0 4 +1 +4 0x8e9f 0x8dcf174b 256 @@ -108206,6 +135258,8 @@ 1 1 0 +5 +5 4 0x8fa2 0xd7776f6f @@ -108214,6 +135268,8 @@ 0 0 0 +1 +1 2 0x28cd 0x3076706a @@ -108222,6 +135278,8 @@ 1 1 0 +5 +5 2 0xd560 0x41152224 @@ -108231,6 +135289,8 @@ 0 0 3 +5 +3 0xfee9 0x58ab262a 256 @@ -108238,6 +135298,8 @@ 1 0 0 +5 +1 2 0x3e72 0xffd2ef00 @@ -108246,6 +135308,8 @@ 1 0 0 +0 +0 4 0xe6af 0xabac34e1 @@ -108254,6 +135318,8 @@ 1 1 0 +4 +3 2 0x6863 0x23db5fd4 @@ -108262,6 +135328,8 @@ 1 0 0 +5 +1 3 0x37b3 0x765bfea7 @@ -108270,6 +135338,8 @@ 1 1 0 +5 +2 2 0xc0e2 0x4feee5a6 @@ -108278,6 +135348,8 @@ 1 1 0 +1 +1 2 0xafa7 0x26772e63 @@ -108287,6 +135359,8 @@ 1 0 2 +0 +2 0x4746 0x740b8bb2 256 @@ -108294,6 +135368,8 @@ 1 0 0 +4 +4 2 0xfaf1 0x1569cf50 @@ -108303,6 +135379,8 @@ 1 0 2 +4 +2 0xd175 0x8ab0eb7d 256 @@ -108311,6 +135389,8 @@ 0 0 3 +5 +3 0x58a9 0xf024123a 256 @@ -108318,6 +135398,8 @@ 0 0 0 +2 +2 3 0x2df0 0x30c18ea0 @@ -108326,6 +135408,8 @@ 1 0 0 +4 +3 2 0x1596 0x2df6e20d @@ -108334,6 +135418,8 @@ 0 0 0 +0 +0 1 0x6edd 0x42858980 @@ -108342,6 +135428,8 @@ 0 0 0 +0 +3 2 0x16e9 0xde7789bc @@ -108350,6 +135438,8 @@ 0 0 0 +2 +5 1 0x9f4c 0xc5b54e26 @@ -108358,6 +135448,8 @@ 1 0 0 +3 +2 4 0x3c2 0x91da170d @@ -108367,6 +135459,8 @@ 0 0 2 +2 +2 0x5ff1 0x779574b4 256 @@ -108374,6 +135468,8 @@ 1 0 0 +3 +3 4 0xcede 0x3e01f1c @@ -108382,6 +135478,8 @@ 1 1 0 +3 +2 1 0xcb7a 0xa96dae82 @@ -108390,6 +135488,8 @@ 0 0 0 +2 +4 3 0xe842 0x85e2b092 @@ -108398,6 +135498,8 @@ 1 0 0 +4 +0 2 0x84d6 0xe6087548 @@ -108406,6 +135508,8 @@ 1 1 0 +5 +3 1 0xa0a2 0x3ae78a20 @@ -108414,6 +135518,8 @@ 0 0 0 +1 +2 4 0x6523 0xa31d721f @@ -108423,6 +135529,8 @@ 0 0 3 +5 +3 0xaa46 0x6faeb4fc 256 @@ -108430,6 +135538,8 @@ 0 0 0 +0 +5 3 0x7840 0xa4038c97 @@ -108438,6 +135548,8 @@ 1 1 0 +2 +1 3 0x9202 0x44f3bf5b @@ -108446,6 +135558,8 @@ 0 0 0 +1 +5 4 0x11b6 0xb6591554 @@ -108454,6 +135568,8 @@ 0 0 0 +3 +5 2 0x20b 0x94abd01c @@ -108463,6 +135579,8 @@ 1 0 1 +2 +1 0x9260 0xb8149556 256 @@ -108470,6 +135588,8 @@ 0 0 0 +5 +1 1 0xdfe7 0xedf35c1d @@ -108478,6 +135598,8 @@ 0 0 0 +5 +3 1 0x9250 0x870204a7 @@ -108486,6 +135608,8 @@ 1 0 0 +0 +0 4 0xbc5e 0x1bfbda30 @@ -108494,6 +135618,8 @@ 0 0 0 +5 +2 1 0x59e9 0x550e9c63 @@ -108503,6 +135629,8 @@ 1 0 2 +4 +2 0x68b5 0x391b1742 256 @@ -108510,6 +135638,8 @@ 0 0 0 +5 +0 4 0xb919 0x740fd095 @@ -108518,6 +135648,8 @@ 1 1 0 +1 +5 3 0x1e0b 0x5bd30127 @@ -108526,6 +135658,8 @@ 0 0 0 +0 +5 2 0xc7a2 0xf9ade8f7 @@ -108534,6 +135668,8 @@ 1 1 0 +5 +0 1 0x88d1 0x1f9c0a3f @@ -108542,6 +135678,8 @@ 0 0 0 +5 +3 2 0xcec4 0xcfa2c5f4 @@ -108550,6 +135688,8 @@ 0 0 0 +3 +3 1 0x97a5 0xeba87d0 @@ -108558,6 +135698,8 @@ 1 0 0 +0 +1 4 0x64ae 0x7f7b089f @@ -108566,6 +135708,8 @@ 0 0 0 +4 +4 3 0x1044 0x827033c7 @@ -108574,6 +135718,8 @@ 1 1 0 +1 +4 4 0xd5ee 0x8c5e2232 @@ -108582,6 +135728,8 @@ 0 0 0 +0 +5 1 0x92c6 0x5c8a6ca1 @@ -108590,6 +135738,8 @@ 1 0 0 +2 +1 4 0xbf52 0x7ee5e9f3 @@ -108599,6 +135749,8 @@ 0 0 3 +4 +3 0x1c46 0x5cd0f75a 256 @@ -108606,6 +135758,8 @@ 0 0 0 +3 +2 4 0xf5ae 0x459a4179 @@ -108614,6 +135768,8 @@ 1 0 0 +1 +1 4 0x7e3 0xffa3bcef @@ -108622,6 +135778,8 @@ 0 0 0 +4 +1 2 0xaca2 0x97f808f4 @@ -108630,6 +135788,8 @@ 1 1 0 +5 +3 1 0x9306 0x9e712acb @@ -108638,6 +135798,8 @@ 1 0 0 +2 +4 3 0x9345 0x42927ec3 @@ -108647,6 +135809,8 @@ 0 0 3 +1 +3 0xa35d 0x7d2ec47a 256 @@ -108655,6 +135819,8 @@ 0 0 2 +3 +2 0xfd79 0xc18c6e5d 256 @@ -108662,6 +135828,8 @@ 1 0 0 +5 +1 1 0x6933 0xa8af0c9a @@ -108671,6 +135839,8 @@ 0 0 4 +3 +4 0x2e99 0x163c8bdf 256 @@ -108679,6 +135849,8 @@ 0 0 4 +0 +4 0x834e 0xc33ef292 256 @@ -108687,6 +135859,8 @@ 0 0 4 +3 +4 0xe479 0xf3ce831 256 @@ -108694,6 +135868,8 @@ 1 0 0 +1 +1 4 0x2516 0xc3cf51ee @@ -108702,6 +135878,8 @@ 0 0 0 +0 +3 3 0x24b1 0x2f315f52 @@ -108710,6 +135888,8 @@ 0 0 0 +5 +4 1 0xbdba 0xb934f76e @@ -108718,6 +135898,8 @@ 0 0 0 +1 +1 2 0x173b 0x7e8a3c5c @@ -108726,6 +135908,8 @@ 0 0 0 +0 +1 2 0x74d9 0x4772ba6b @@ -108734,6 +135918,8 @@ 1 0 0 +0 +4 4 0xa8bd 0xf770b35f @@ -108742,6 +135928,8 @@ 1 0 0 +3 +0 4 0xc0b5 0xcb91cbb3 @@ -108750,6 +135938,8 @@ 0 0 0 +1 +1 2 0x96ea 0x439c0894 @@ -108758,6 +135948,8 @@ 1 0 0 +2 +0 1 0x3732 0x9782b6c7 @@ -108766,6 +135958,8 @@ 0 0 0 +3 +1 1 0x2b7d 0xf2c832a0 @@ -108774,6 +135968,8 @@ 1 0 0 +4 +5 1 0x3e45 0x5be0fdce @@ -108782,6 +135978,8 @@ 0 0 0 +4 +1 1 0xbabb 0x7e8b9fc5 @@ -108790,6 +135988,8 @@ 0 0 0 +0 +0 4 0xc332 0x3481504f @@ -108799,6 +135999,8 @@ 0 0 2 +3 +2 0x375d 0x63b8c093 256 @@ -108806,6 +136008,8 @@ 1 0 0 +1 +3 4 0xeedd 0x5cc71347 @@ -108814,6 +136018,8 @@ 0 0 0 +3 +3 4 0x5c91 0xa12eba69 @@ -108823,6 +136029,8 @@ 0 0 4 +5 +4 0xd6e2 0xc7aeacf0 256 @@ -108830,6 +136038,8 @@ 1 1 0 +4 +0 3 0x57cd 0xfcbf6fd7 @@ -108839,6 +136049,8 @@ 1 0 3 +1 +3 0x70ad 0x130b4211 256 @@ -108846,6 +136058,8 @@ 0 0 0 +1 +1 3 0x9ab7 0x497dd354 @@ -108854,6 +136068,8 @@ 0 0 0 +0 +3 2 0x2e17 0x57397c99 @@ -108862,6 +136078,8 @@ 0 0 0 +1 +0 4 0x7790 0xba5bbcc4 @@ -108870,6 +136088,8 @@ 1 1 0 +0 +3 1 0x9035 0xa03b1d8b @@ -108878,6 +136098,8 @@ 1 0 0 +5 +4 2 0x135e 0x41964d91 @@ -108887,6 +136109,8 @@ 0 0 3 +0 +3 0x650d 0x47b0abdd 256 @@ -108894,6 +136118,8 @@ 1 0 0 +2 +4 3 0xc5b5 0xe893447b @@ -108902,6 +136128,8 @@ 0 0 0 +2 +2 4 0xae5 0x4f2a9a5 @@ -108911,6 +136139,8 @@ 1 0 2 +0 +2 0x9d93 0x65f490d5 256 @@ -108918,6 +136148,8 @@ 1 0 0 +0 +4 2 0x30ff 0xcd3006ea @@ -108927,6 +136159,8 @@ 0 0 3 +0 +3 0x45eb 0xdc3cfc5c 256 @@ -108934,6 +136168,8 @@ 1 0 0 +0 +1 3 0xe003 0x4dad7af8 @@ -108942,6 +136178,8 @@ 0 0 0 +0 +5 2 0xca86 0x99d255de @@ -108950,6 +136188,8 @@ 1 0 0 +5 +4 3 0xde31 0xaa32fc4c @@ -108958,6 +136198,8 @@ 1 1 0 +3 +3 2 0x3cd9 0x5dbe2de7 @@ -108966,6 +136208,8 @@ 1 0 0 +5 +5 4 0xfa06 0x1f78673d @@ -108975,6 +136219,8 @@ 0 0 1 +4 +1 0xdbf3 0xaa3a9456 256 @@ -108982,6 +136228,8 @@ 1 0 0 +2 +1 4 0xd2f6 0x357ef20b @@ -108990,6 +136238,8 @@ 0 0 0 +4 +0 1 0xcab5 0xf2b3d7a7 @@ -108998,6 +136248,8 @@ 1 0 0 +4 +3 2 0x5d4a 0xb5b6e5e7 @@ -109006,6 +136258,8 @@ 0 0 0 +4 +0 3 0xb050 0x68eb722c @@ -109014,6 +136268,8 @@ 1 1 0 +5 +0 1 0xf2c1 0x395d6396 @@ -109022,6 +136278,8 @@ 0 0 0 +1 +1 3 0x9ab5 0x82333d26 @@ -109031,6 +136289,8 @@ 0 0 3 +5 +3 0x21f4 0x4cb5a6a2 256 @@ -109038,6 +136298,8 @@ 1 1 0 +2 +2 1 0x1e61 0xf2de1b6e @@ -109046,6 +136308,8 @@ 0 0 0 +2 +1 4 0x7ca4 0x19c35f52 @@ -109054,6 +136318,8 @@ 1 0 0 +3 +3 4 0xa88e 0x205971e6 @@ -109062,6 +136328,8 @@ 1 0 0 +0 +3 2 0x739b 0x9ca2308a @@ -109070,6 +136338,8 @@ 0 0 0 +4 +3 1 0x798e 0x2b4213eb @@ -109078,6 +136348,8 @@ 1 1 0 +0 +5 3 0x8e75 0x8bb88234 @@ -109086,6 +136358,8 @@ 0 0 0 +3 +5 2 0x9f68 0xc31bf9af @@ -109095,6 +136369,8 @@ 0 0 4 +2 +4 0x223a 0x37706c23 256 @@ -109102,6 +136378,8 @@ 0 0 0 +1 +2 3 0x1a83 0x3a0ff3da @@ -109110,6 +136388,8 @@ 1 1 0 +4 +4 1 0xea81 0xeba5bb0d @@ -109118,6 +136398,8 @@ 0 0 0 +3 +1 1 0x446f 0x5d3bf37c @@ -109126,6 +136408,8 @@ 1 1 0 +0 +1 4 0xb412 0x3fbacf3 @@ -109135,6 +136419,8 @@ 0 0 1 +2 +1 0x9a16 0xf3c697cc 256 @@ -109142,6 +136428,8 @@ 0 0 0 +5 +2 3 0x2098 0x1ed1cfe5 @@ -109150,6 +136438,8 @@ 0 0 0 +3 +5 4 0x911d 0xd49c93c @@ -109158,6 +136448,8 @@ 1 0 0 +1 +2 3 0xb643 0x44535df0 @@ -109166,6 +136458,8 @@ 0 0 0 +3 +5 4 0xa370 0x47316068 @@ -109174,6 +136468,8 @@ 0 0 0 +3 +1 1 0x30a9 0x299cc090 @@ -109183,6 +136479,8 @@ 1 0 4 +1 +4 0xe3fd 0x66d2e641 256 @@ -109190,6 +136488,8 @@ 1 1 0 +4 +0 1 0x7928 0xcab001ad @@ -109198,6 +136498,8 @@ 0 0 0 +0 +1 1 0xea8 0xc7f2c2f @@ -109206,6 +136508,8 @@ 1 1 0 +5 +2 4 0x237a 0x39afd5e @@ -109215,6 +136519,8 @@ 0 0 1 +0 +1 0x1ba5 0x98040008 256 @@ -109222,6 +136528,8 @@ 1 0 0 +1 +2 4 0x625 0x933290ce @@ -109231,6 +136539,8 @@ 0 0 1 +3 +1 0x2747 0xed7285b9 256 @@ -109238,6 +136548,8 @@ 0 0 0 +2 +4 4 0x3869 0xc6cf287e @@ -109246,6 +136558,8 @@ 0 0 0 +0 +4 2 0x16fa 0xc3c568fe @@ -109254,6 +136568,8 @@ 1 1 0 +3 +5 4 0x9584 0x747ac9b9 @@ -109262,6 +136578,8 @@ 1 1 0 +1 +2 4 0x4d7c 0xfea87e16 @@ -109270,6 +136588,8 @@ 0 0 0 +0 +0 2 0x47f2 0x9fae9de5 @@ -109278,6 +136598,8 @@ 0 0 0 +5 +4 1 0x5180 0x5cb47c0c @@ -109287,6 +136609,8 @@ 1 0 2 +4 +2 0x483e 0xee352718 256 @@ -109294,6 +136618,8 @@ 1 1 0 +2 +5 4 0xd78e 0x3500d01a @@ -109302,6 +136628,8 @@ 1 1 0 +4 +0 2 0x2816 0x4850b360 @@ -109311,6 +136639,8 @@ 1 0 1 +0 +1 0x9b01 0x1f640290 256 @@ -109318,6 +136648,8 @@ 0 0 0 +0 +0 4 0xefc4 0x62ef5792 @@ -109326,6 +136658,8 @@ 0 0 0 +4 +0 3 0xd563 0x2b337368 @@ -109334,6 +136668,8 @@ 0 0 0 +2 +1 3 0x31c2 0xc9531388 @@ -109342,6 +136678,8 @@ 1 1 0 +0 +0 1 0x968e 0x4d999859 @@ -109350,6 +136688,8 @@ 0 0 0 +3 +5 2 0x4807 0x7a8e87c7 @@ -109358,6 +136698,8 @@ 1 1 0 +0 +1 4 0x480 0x948ef168 @@ -109366,6 +136708,8 @@ 0 0 0 +4 +5 3 0x8940 0xefc254f8 @@ -109374,6 +136718,8 @@ 0 0 0 +0 +2 2 0x6db5 0xf560cbd0 @@ -109382,6 +136728,8 @@ 0 0 0 +4 +4 1 0x7eaa 0x90203405 @@ -109390,6 +136738,8 @@ 0 0 0 +4 +5 3 0xa312 0xe45f6730 @@ -109398,6 +136748,8 @@ 1 1 0 +2 +1 3 0xa16d 0xfad9d6b4 @@ -109406,6 +136758,8 @@ 1 0 0 +2 +1 4 0xb75b 0xf8f10274 @@ -109414,6 +136768,8 @@ 1 1 0 +4 +5 2 0x690e 0xd064794c @@ -109423,6 +136779,8 @@ 1 0 2 +1 +2 0xb8ac 0x139f7afe 256 @@ -109431,6 +136789,8 @@ 0 0 2 +2 +2 0x8d12 0xb9eefda5 256 @@ -109438,6 +136798,8 @@ 0 0 0 +5 +2 1 0x3d9e 0xfc532de2 @@ -109446,6 +136808,8 @@ 0 0 0 +4 +3 1 0xf430 0xf8138560 @@ -109454,6 +136818,8 @@ 1 1 0 +1 +0 2 0x1f7 0x97f15366 @@ -109463,6 +136829,8 @@ 1 0 3 +1 +3 0x9260 0xdad8f831 256 @@ -109470,6 +136838,8 @@ 0 0 0 +0 +3 3 0x5221 0xc1c73296 @@ -109478,6 +136848,8 @@ 0 0 0 +2 +3 1 0x6a15 0x9329c14b @@ -109487,6 +136859,8 @@ 0 0 3 +5 +3 0x40fb 0xa033d3b0 256 @@ -109494,6 +136868,8 @@ 0 0 0 +0 +0 3 0x37f1 0x441b8b53 @@ -109502,6 +136878,8 @@ 1 1 0 +5 +1 2 0x5da5 0x9d63adaa @@ -109510,6 +136888,8 @@ 0 0 0 +0 +2 2 0xa377 0x8a892d0b @@ -109518,6 +136898,8 @@ 0 0 0 +0 +1 1 0x966a 0xb824a4a4 @@ -109526,6 +136908,8 @@ 1 0 0 +2 +2 3 0x7e59 0x13030310 @@ -109535,6 +136919,8 @@ 0 0 2 +1 +2 0x2f95 0xc0ab29f 256 @@ -109542,6 +136928,8 @@ 0 0 0 +5 +5 2 0x59f3 0xcff0d116 @@ -109550,6 +136938,8 @@ 0 0 0 +5 +3 3 0x2d6d 0x9ae981a @@ -109558,6 +136948,8 @@ 0 0 0 +2 +0 1 0x2c02 0xb5ac293a @@ -109566,6 +136958,8 @@ 0 0 0 +0 +4 1 0xbfcc 0x49d65696 @@ -109574,6 +136968,8 @@ 1 0 0 +5 +1 4 0x9031 0x6314e62c @@ -109582,6 +136978,8 @@ 0 0 0 +5 +3 4 0xbf74 0x80e0d4f8 @@ -109590,6 +136988,8 @@ 0 0 0 +1 +4 2 0x92b5 0x876b90f @@ -109599,6 +136999,8 @@ 1 0 3 +2 +3 0xf41e 0x6559a473 256 @@ -109606,6 +137008,8 @@ 0 0 0 +5 +5 3 0x1169 0x6f521186 @@ -109614,6 +137018,8 @@ 1 0 0 +0 +1 3 0x57ea 0xa70142c6 @@ -109622,6 +137028,8 @@ 0 0 0 +2 +4 4 0x9ce9 0x9ed02e3b @@ -109630,6 +137038,8 @@ 1 0 0 +2 +4 1 0x1360 0x48168651 @@ -109638,6 +137048,8 @@ 1 1 0 +1 +3 2 0xfa45 0x5a2079aa @@ -109646,6 +137058,8 @@ 0 0 0 +5 +5 4 0xcdf0 0xbd4a3a7e @@ -109654,6 +137068,8 @@ 1 0 0 +4 +1 2 0x4a2a 0xf7f77ba3 @@ -109662,6 +137078,8 @@ 0 0 0 +4 +4 3 0xf84f 0xfa01a210 @@ -109670,6 +137088,8 @@ 1 1 0 +3 +3 2 0xc917 0x6754f8d2 @@ -109678,6 +137098,8 @@ 0 0 0 +0 +3 4 0xe91e 0xae130068 @@ -109686,6 +137108,8 @@ 0 0 0 +5 +1 4 0x6c22 0xdc2e87fe @@ -109694,6 +137118,8 @@ 1 0 0 +1 +1 2 0x27d5 0x49d2b235 @@ -109702,6 +137128,8 @@ 1 1 0 +4 +5 3 0x8af2 0x7ac65aa0 @@ -109711,6 +137139,8 @@ 0 0 4 +0 +4 0x49e4 0x59e46cfc 256 @@ -109718,6 +137148,8 @@ 0 0 0 +3 +1 2 0x8475 0x57a9ca48 @@ -109727,6 +137159,8 @@ 0 0 2 +1 +2 0x6af0 0xd66679de 256 @@ -109734,6 +137168,8 @@ 0 0 0 +4 +3 1 0x1d74 0xee6c5c9 @@ -109742,6 +137178,8 @@ 0 0 0 +5 +2 4 0xf688 0x4d9aca0d @@ -109750,6 +137188,8 @@ 1 1 0 +5 +1 1 0xe886 0xec96daf4 @@ -109758,6 +137198,8 @@ 1 0 0 +4 +2 2 0x142e 0xae598ac8 @@ -109766,6 +137208,8 @@ 1 1 0 +5 +3 1 0xafba 0xb35372c5 @@ -109775,6 +137219,8 @@ 0 0 1 +0 +1 0xbddd 0xc2b55e90 256 @@ -109783,6 +137229,8 @@ 0 0 1 +2 +1 0x77da 0xe3e2eb45 256 @@ -109790,6 +137238,8 @@ 1 0 0 +0 +4 1 0x92c5 0xe0f231da @@ -109799,6 +137249,8 @@ 0 0 4 +4 +4 0x3033 0x6d73f05b 256 @@ -109806,6 +137258,8 @@ 0 0 0 +1 +1 2 0xf9a4 0x42219ef8 @@ -109814,6 +137268,8 @@ 1 0 0 +1 +4 3 0x1a37 0xea91cd68 @@ -109822,6 +137278,8 @@ 0 0 0 +4 +3 2 0x792f 0xa02c50f2 @@ -109830,6 +137288,8 @@ 1 1 0 +0 +2 2 0x7b35 0xa6622c46 @@ -109839,6 +137299,8 @@ 0 0 3 +1 +3 0x2aee 0xaa822eba 256 @@ -109846,6 +137308,8 @@ 0 0 0 +0 +4 2 0xbf14 0x1f55051d @@ -109854,6 +137318,8 @@ 1 0 0 +5 +0 4 0x7e56 0x410ebb3b @@ -109862,6 +137328,8 @@ 0 0 0 +1 +5 4 0x5e7a 0xfb70fd44 @@ -109870,6 +137338,8 @@ 1 1 0 +5 +2 2 0x8e1a 0xf96588d2 @@ -109879,6 +137349,8 @@ 0 0 3 +2 +3 0xd92e 0x1be52f58 256 @@ -109886,6 +137358,8 @@ 0 0 0 +5 +5 1 0xf46 0xf9fc420c @@ -109894,6 +137368,8 @@ 1 0 0 +2 +5 4 0xb3fb 0xaf490b1e @@ -109902,6 +137378,8 @@ 0 0 0 +3 +4 4 0xe149 0x449fcab1 @@ -109910,6 +137388,8 @@ 0 0 0 +5 +1 1 0x5e8 0x34aa3b8a @@ -109918,6 +137398,8 @@ 1 1 0 +4 +1 1 0xcf0c 0x3472968 @@ -109926,6 +137408,8 @@ 0 0 0 +4 +1 1 0x1b8f 0x21d07bed @@ -109934,6 +137418,8 @@ 1 0 0 +1 +2 2 0xb7d6 0xa64e47f9 @@ -109942,6 +137428,8 @@ 1 0 0 +3 +3 4 0x6194 0x3b590e54 @@ -109950,6 +137438,8 @@ 0 0 0 +0 +2 3 0xba04 0x7d9f31f1 @@ -109959,6 +137449,8 @@ 0 0 1 +0 +1 0x21e3 0x215390ff 256 @@ -109966,6 +137458,8 @@ 1 1 0 +5 +4 1 0x5956 0x1c1543e9 @@ -109974,6 +137468,8 @@ 0 0 0 +3 +4 4 0x5a6 0x141d5cb9 @@ -109982,6 +137478,8 @@ 1 1 0 +3 +3 1 0x5b44 0xbaf543ef @@ -109990,6 +137488,8 @@ 1 1 0 +0 +4 3 0x4002 0xc77c6d2b @@ -109998,6 +137498,8 @@ 1 1 0 +0 +5 1 0x518b 0x5f508e45 @@ -110006,6 +137508,8 @@ 1 1 0 +4 +5 1 0x5ec 0x4a127a25 @@ -110014,6 +137518,8 @@ 0 0 0 +2 +5 4 0xda71 0x354892d2 @@ -110022,6 +137528,8 @@ 0 0 0 +5 +5 1 0xf342 0xe2eff08b @@ -110030,6 +137538,8 @@ 0 0 0 +3 +0 2 0xb189 0x53d1392c @@ -110038,6 +137548,8 @@ 0 0 0 +1 +1 4 0x4bcd 0xb9397a9e @@ -110046,6 +137558,8 @@ 0 0 0 +3 +0 2 0x410a 0x2d3113fa @@ -110054,6 +137568,8 @@ 0 0 0 +5 +0 4 0xb941 0xef967204 @@ -110062,6 +137578,8 @@ 0 0 0 +5 +4 1 0xcf30 0x12980207 @@ -110071,6 +137589,8 @@ 0 0 1 +4 +1 0x1f88 0x24085435 256 @@ -110078,6 +137598,8 @@ 1 1 0 +3 +5 4 0x51a3 0xbd260427 @@ -110086,6 +137608,8 @@ 1 0 0 +0 +5 1 0x7cc3 0x9c98f061 @@ -110094,6 +137618,8 @@ 0 0 0 +0 +1 4 0x81f1 0x7a339785 @@ -110103,6 +137629,8 @@ 0 0 3 +4 +3 0x2ea5 0xcbc62bac 256 @@ -110110,6 +137638,8 @@ 0 0 0 +3 +1 2 0x5de3 0x4e55ab6a @@ -110119,6 +137649,8 @@ 0 0 1 +1 +1 0x5937 0x7e9ed407 256 @@ -110126,6 +137658,8 @@ 1 0 0 +4 +3 1 0xc95d 0x36733938 @@ -110134,6 +137668,8 @@ 0 0 0 +3 +1 1 0x947f 0xf7a0912c @@ -110142,6 +137678,8 @@ 1 1 0 +5 +1 1 0x57be 0xfa9fd6e8 @@ -110150,6 +137688,8 @@ 1 0 0 +1 +5 3 0x348d 0x24e12f5f @@ -110158,6 +137698,8 @@ 0 0 0 +2 +0 3 0x323d 0xad77345b @@ -110167,6 +137709,8 @@ 0 0 1 +4 +1 0x2288 0x5f250a70 256 @@ -110174,6 +137718,8 @@ 0 0 0 +1 +3 3 0xd1a 0x54194347 @@ -110182,6 +137728,8 @@ 0 0 0 +0 +5 2 0x5574 0xc512d455 @@ -110190,6 +137738,8 @@ 1 0 0 +5 +3 3 0x4eec 0x79203ab0 @@ -110199,6 +137749,8 @@ 0 0 4 +0 +4 0x1f11 0x9fe9bcc6 256 @@ -110206,6 +137758,8 @@ 1 1 0 +2 +2 3 0xdceb 0x16f0375d @@ -110214,6 +137768,8 @@ 1 0 0 +5 +1 4 0xb2b5 0x5578674b @@ -110222,6 +137778,8 @@ 0 0 0 +2 +0 1 0xc21e 0xe6541766 @@ -110230,6 +137788,8 @@ 1 1 0 +1 +1 2 0x85a6 0xbd5c63ae @@ -110238,6 +137798,8 @@ 1 1 0 +3 +2 2 0x6b78 0x2f291589 @@ -110246,6 +137808,8 @@ 0 0 0 +5 +3 4 0xc04 0xe56465d4 @@ -110254,6 +137818,8 @@ 1 0 0 +0 +0 2 0x129d 0xb92eec7d @@ -110262,6 +137828,8 @@ 1 0 0 +1 +2 2 0x17d5 0xefd75a21 @@ -110270,6 +137838,8 @@ 0 0 0 +4 +4 3 0x92b8 0x602bdabc @@ -110278,6 +137848,8 @@ 0 0 0 +0 +2 2 0x5683 0xdc655751 @@ -110286,6 +137858,8 @@ 1 0 0 +5 +0 3 0xe481 0x3ede4dde @@ -110294,6 +137868,8 @@ 0 0 0 +0 +2 2 0xbd20 0xe772ba31 @@ -110302,6 +137878,8 @@ 1 1 0 +2 +1 1 0x82b6 0x6a7ba126 @@ -110310,6 +137888,8 @@ 0 0 0 +0 +1 1 0x27e5 0x38fdd50 @@ -110318,6 +137898,8 @@ 0 0 0 +0 +3 3 0x45ff 0xa87017fc @@ -110326,6 +137908,8 @@ 1 0 0 +3 +1 4 0x8139 0x1102f421 @@ -110334,6 +137918,8 @@ 1 1 0 +3 +0 2 0x442f 0x1431fb92 @@ -110343,6 +137929,8 @@ 0 0 1 +5 +1 0x8503 0xf83e0ade 256 @@ -110350,6 +137938,8 @@ 0 0 0 +4 +5 1 0x8c47 0xe5ef7cb0 @@ -110358,6 +137948,8 @@ 0 0 0 +3 +4 4 0x7db9 0xe10e64d @@ -110366,6 +137958,8 @@ 0 0 0 +5 +3 4 0x3e5d 0xd3bb4a94 @@ -110374,6 +137968,8 @@ 1 0 0 +3 +5 2 0xf8ea 0x3d8856c6 @@ -110382,6 +137978,8 @@ 0 0 0 +5 +5 3 0x2e33 0x8a5d400a @@ -110390,6 +137988,8 @@ 1 1 0 +2 +5 1 0x9d0e 0x710ae4aa @@ -110398,6 +137998,8 @@ 0 0 0 +1 +5 2 0xbc8d 0xed62499c @@ -110406,6 +138008,8 @@ 0 0 0 +2 +4 1 0x7e7b 0xcd515a7c @@ -110414,6 +138018,8 @@ 0 0 0 +0 +1 4 0xde8d 0x8d4ba4bb @@ -110422,6 +138028,8 @@ 1 1 0 +0 +2 1 0xacd5 0x9ceb6925 @@ -110430,6 +138038,8 @@ 1 1 0 +2 +2 3 0x516f 0x6c0a7439 @@ -110438,6 +138048,8 @@ 1 0 0 +0 +5 1 0x5f14 0x7bebf0c5 @@ -110447,6 +138059,8 @@ 0 0 3 +5 +3 0x526f 0xba6a47f7 256 @@ -110454,6 +138068,8 @@ 0 0 0 +4 +4 2 0xf562 0x8cb4779c @@ -110463,6 +138079,8 @@ 0 0 2 +1 +2 0x9d17 0x255ed01f 256 @@ -110470,6 +138088,8 @@ 0 0 0 +1 +3 3 0xe9b 0x40aa2bd4 @@ -110478,6 +138098,8 @@ 0 0 0 +1 +5 4 0xdd72 0x96224e4f @@ -110486,6 +138108,8 @@ 0 0 0 +2 +3 4 0x86a1 0x78810ae6 @@ -110494,6 +138118,8 @@ 1 1 0 +4 +1 2 0xa1fa 0xe9b981c9 @@ -110502,6 +138128,8 @@ 0 0 0 +3 +1 2 0xeaf 0x59e08866 @@ -110510,6 +138138,8 @@ 1 1 0 +5 +5 2 0xc891 0xba8f78e2 @@ -110519,6 +138149,8 @@ 0 0 2 +0 +2 0x3d6a 0xa6f4f2c5 256 @@ -110526,6 +138158,8 @@ 0 0 0 +2 +4 1 0x2bd1 0x48d32b7e @@ -110535,6 +138169,8 @@ 1 0 1 +3 +1 0x44eb 0x54426c4b 256 @@ -110542,6 +138178,8 @@ 1 1 0 +5 +2 4 0x4b46 0xe1c15cb6 @@ -110551,6 +138189,8 @@ 0 0 1 +4 +1 0x9e23 0x917f8c09 256 @@ -110558,6 +138198,8 @@ 0 0 0 +0 +4 1 0x9cd8 0xf1932855 @@ -110566,6 +138208,8 @@ 0 0 0 +5 +5 4 0x6ee1 0x3f5cee33 @@ -110574,6 +138218,8 @@ 1 0 0 +4 +5 2 0xe4ef 0x1f91c4f2 @@ -110582,6 +138228,8 @@ 0 0 0 +3 +4 2 0xa450 0x467cd37 @@ -110590,6 +138238,8 @@ 1 1 0 +4 +4 2 0xf93e 0x291b9dd1 @@ -110598,6 +138248,8 @@ 0 0 0 +3 +2 1 0x16ac 0x34fa3463 @@ -110606,6 +138258,8 @@ 1 0 0 +1 +3 3 0xf9f2 0x660b7be5 @@ -110614,6 +138268,8 @@ 1 0 0 +1 +3 4 0x6b4c 0xc443db43 @@ -110622,6 +138278,8 @@ 1 0 0 +1 +1 3 0x236a 0xbc1c4a38 @@ -110630,6 +138288,8 @@ 1 1 0 +5 +0 2 0xf2b1 0x16d9afda @@ -110638,6 +138298,8 @@ 0 0 0 +1 +0 2 0x25c7 0xab940ace @@ -110646,6 +138308,8 @@ 0 0 0 +5 +3 2 0x7d00 0x489055e0 @@ -110654,6 +138318,8 @@ 0 0 0 +0 +5 4 0x9d6f 0x8ac30407 @@ -110662,6 +138328,8 @@ 1 0 0 +4 +5 3 0xc606 0xcb9c391d @@ -110670,6 +138338,8 @@ 0 0 0 +1 +3 2 0xfcbc 0x6e30a1ee @@ -110678,6 +138348,8 @@ 0 0 0 +0 +1 2 0x74c2 0x5402644d @@ -110686,6 +138358,8 @@ 1 1 0 +5 +3 1 0x9d98 0xccb97404 @@ -110694,6 +138368,8 @@ 0 0 0 +1 +2 2 0x7a4d 0xfa5eaafa @@ -110702,6 +138378,8 @@ 0 0 0 +1 +0 4 0x5193 0xaf8c7049 @@ -110710,6 +138388,8 @@ 1 0 0 +0 +3 3 0x4b7b 0xa60a9ef5 @@ -110718,6 +138398,8 @@ 1 0 0 +5 +5 4 0xb7c7 0x834a9c0e @@ -110726,6 +138408,8 @@ 0 0 0 +5 +3 3 0x20b4 0x1bee1075 @@ -110734,6 +138418,8 @@ 1 0 0 +0 +5 2 0x906e 0xd024cd47 @@ -110743,6 +138429,8 @@ 0 0 2 +4 +2 0x4f99 0xf7422b36 256 @@ -110750,6 +138438,8 @@ 0 0 0 +1 +4 2 0x8a57 0x664d2e6c @@ -110758,6 +138448,8 @@ 0 0 0 +3 +3 4 0xf2ab 0x16eec893 @@ -110767,6 +138459,8 @@ 0 0 4 +2 +4 0x9343 0x1a33aeee 256 @@ -110775,6 +138469,8 @@ 0 0 1 +1 +1 0xf646 0xb600e39b 256 @@ -110783,6 +138479,8 @@ 0 0 4 +5 +4 0x9b6c 0x56c7e9eb 256 @@ -110790,6 +138488,8 @@ 0 0 0 +5 +3 4 0x2d23 0x3144238b @@ -110798,6 +138498,8 @@ 0 0 0 +5 +1 1 0xad7 0x339f23cf @@ -110806,6 +138508,8 @@ 0 0 0 +2 +5 3 0xab90 0xeadff660 @@ -110815,6 +138519,8 @@ 0 0 1 +5 +1 0x5ea9 0xbff8a91f 256 @@ -110822,6 +138528,8 @@ 0 0 0 +5 +3 2 0xb438 0x139af1c4 @@ -110830,6 +138538,8 @@ 0 0 0 +2 +0 1 0xc866 0x1341e9c1 @@ -110838,6 +138548,8 @@ 1 1 0 +2 +1 1 0xb1dd 0xde9d5287 @@ -110846,6 +138558,8 @@ 1 0 0 +3 +2 1 0xf5cc 0xfae39b04 @@ -110854,6 +138568,8 @@ 0 0 0 +5 +4 3 0x26bd 0x7286ae4a @@ -110862,6 +138578,8 @@ 0 0 0 +5 +3 4 0x3ac1 0x1129013e @@ -110870,6 +138588,8 @@ 0 0 0 +2 +5 3 0x13cf 0xe31f1eae @@ -110878,6 +138598,8 @@ 1 1 0 +1 +2 2 0xf734 0x42c27a40 @@ -110886,6 +138608,8 @@ 1 1 0 +1 +2 3 0xe228 0xcb55b681 @@ -110894,6 +138618,8 @@ 1 1 0 +4 +1 1 0x286f 0xeefca645 @@ -110902,6 +138628,8 @@ 1 0 0 +5 +3 4 0x622c 0x569f3056 @@ -110910,6 +138638,8 @@ 1 0 0 +1 +2 3 0xad8f 0xad5ff9ef @@ -110918,6 +138648,8 @@ 1 1 0 +0 +4 3 0x75c6 0x1a93fdf0 @@ -110927,6 +138659,8 @@ 0 0 4 +5 +4 0x224f 0xb6a6e47f 256 @@ -110934,6 +138668,8 @@ 1 0 0 +3 +0 4 0xcc71 0x56e8d80c @@ -110942,6 +138678,8 @@ 0 0 0 +0 +3 3 0x8cb6 0xc809ea90 @@ -110950,6 +138688,8 @@ 0 0 0 +5 +1 3 0x5588 0xdc146f12 @@ -110958,6 +138698,8 @@ 1 1 0 +4 +4 1 0x5a03 0x3d05e @@ -110966,6 +138708,8 @@ 0 0 0 +3 +2 1 0xfa64 0x32a89271 @@ -110974,6 +138718,8 @@ 0 0 0 +1 +5 3 0xe597 0xb09036a9 @@ -110982,6 +138728,8 @@ 0 0 0 +5 +1 3 0x2270 0xf05377bc @@ -110991,6 +138739,8 @@ 0 0 1 +3 +1 0xbe65 0x6671506b 256 @@ -110998,6 +138748,8 @@ 0 0 0 +4 +5 3 0xee96 0x53836cdb @@ -111006,6 +138758,8 @@ 1 1 0 +0 +3 4 0x3e92 0xd496afb1 @@ -111015,6 +138769,8 @@ 0 0 1 +3 +1 0x6a85 0x2ed70adf 256 @@ -111022,6 +138778,8 @@ 0 0 0 +3 +4 2 0x8e97 0xd146785d @@ -111030,6 +138788,8 @@ 0 0 0 +1 +4 3 0xd62a 0x3b9bab45 @@ -111038,6 +138798,8 @@ 1 0 0 +2 +2 1 0x4216 0xf9bd7672 @@ -111046,6 +138808,8 @@ 1 1 0 +5 +4 2 0xefad 0x11201b4e @@ -111054,6 +138818,8 @@ 0 0 0 +3 +4 4 0x195c 0x9991ede1 @@ -111062,6 +138828,8 @@ 1 1 0 +2 +3 3 0x5df8 0x24008672 @@ -111070,6 +138838,8 @@ 0 0 0 +1 +5 4 0x48e5 0xcba3d26c @@ -111079,6 +138849,8 @@ 0 0 2 +1 +2 0x4969 0x9ffc2c57 256 @@ -111086,6 +138858,8 @@ 1 0 0 +4 +3 3 0xe4e3 0x731c9b2a @@ -111094,6 +138868,8 @@ 0 0 0 +0 +5 4 0x3242 0xab5d5d8d @@ -111102,6 +138878,8 @@ 1 0 0 +1 +2 2 0x5de4 0xf3586ff8 @@ -111110,6 +138888,8 @@ 1 1 0 +3 +0 1 0x26e8 0x2cb7c3c @@ -111118,6 +138898,8 @@ 1 0 0 +1 +2 4 0xd46f 0x90d44952 @@ -111126,6 +138908,8 @@ 1 1 0 +4 +0 1 0x4d2a 0x689536ae @@ -111134,6 +138918,8 @@ 0 0 0 +2 +2 3 0x66f5 0x9e37ca77 @@ -111142,6 +138928,8 @@ 1 1 0 +5 +4 2 0xd631 0x62c2f541 @@ -111150,6 +138938,8 @@ 0 0 0 +2 +2 3 0x87af 0xff6f2c97 @@ -111159,6 +138949,8 @@ 0 0 2 +3 +2 0xbf2b 0x98012c4b 256 @@ -111166,6 +138958,8 @@ 0 0 0 +5 +3 1 0x1bb2 0x68dcf20a @@ -111174,6 +138968,8 @@ 0 0 0 +3 +0 1 0x20de 0x6b6156ba @@ -111182,6 +138978,8 @@ 1 1 0 +4 +4 3 0x7a3f 0x295d0671 @@ -111190,6 +138988,8 @@ 0 0 0 +5 +5 3 0x2613 0xe7528938 @@ -111198,6 +138998,8 @@ 1 0 0 +3 +3 4 0xebb7 0x8ed2cc07 @@ -111206,6 +139008,8 @@ 1 1 0 +4 +3 1 0xe5b9 0xc13e0c0d @@ -111215,6 +139019,8 @@ 0 0 4 +0 +4 0x9696 0x97e208fb 256 @@ -111223,6 +139029,8 @@ 1 0 2 +2 +2 0x455e 0x25504919 256 @@ -111230,6 +139038,8 @@ 1 0 0 +0 +0 3 0x8487 0x860e52a5 @@ -111238,6 +139048,8 @@ 1 0 0 +2 +2 3 0x4c3f 0xa8bd1a9f @@ -111247,6 +139059,8 @@ 1 0 2 +2 +2 0xf65f 0xe2e53b42 256 @@ -111254,6 +139068,8 @@ 1 1 0 +1 +2 2 0xd8ef 0xbe9da40 @@ -111262,6 +139078,8 @@ 0 0 0 +5 +5 3 0xc1bd 0x9468cf9c @@ -111270,6 +139088,8 @@ 1 1 0 +4 +5 2 0x2ee9 0x69d1128c @@ -111278,6 +139098,8 @@ 1 1 0 +4 +0 1 0x9155 0xc87ce9ab @@ -111287,6 +139109,8 @@ 0 0 1 +4 +1 0xb6dd 0xdbd0a6c6 256 @@ -111294,6 +139118,8 @@ 1 1 0 +4 +1 2 0xe396 0x11d8ee57 @@ -111303,6 +139129,8 @@ 0 0 4 +3 +4 0x7ee4 0x89b32269 256 @@ -111311,6 +139139,8 @@ 0 0 4 +2 +4 0x2ab8 0xc57ef24e 256 @@ -111319,6 +139149,8 @@ 0 0 1 +5 +1 0xa5e2 0xe3192f10 256 @@ -111326,6 +139158,8 @@ 1 1 0 +4 +1 3 0x2033 0x81ec27f6 @@ -111335,6 +139169,8 @@ 0 0 4 +3 +4 0x8c2e 0x7887b86e 256 @@ -111342,6 +139178,8 @@ 1 0 0 +3 +5 2 0x7595 0x19300716 @@ -111350,6 +139188,8 @@ 0 0 0 +5 +3 1 0x123b 0xa20e9c60 @@ -111358,6 +139198,8 @@ 0 0 0 +0 +5 1 0x52f3 0xbace385c @@ -111366,6 +139208,8 @@ 1 0 0 +3 +0 2 0xd27b 0xa1cece03 @@ -111374,6 +139218,8 @@ 1 0 0 +4 +4 3 0x17bc 0xd2f51374 @@ -111382,6 +139228,8 @@ 1 1 0 +1 +2 4 0x499 0x6796c764 @@ -111390,6 +139238,8 @@ 1 1 0 +0 +4 2 0xd74 0xdc80f132 @@ -111399,6 +139249,8 @@ 0 0 4 +3 +4 0xef71 0x1c5dca05 256 @@ -111406,6 +139258,8 @@ 1 1 0 +5 +0 2 0xcd86 0x127196fb @@ -111415,6 +139269,8 @@ 1 0 4 +4 +4 0xd174 0x9df8b2c3 256 @@ -111422,6 +139278,8 @@ 1 0 0 +0 +0 4 0x9b2a 0xdf61a98d @@ -111430,6 +139288,8 @@ 1 1 0 +2 +3 4 0x6dff 0x30928f49 @@ -111438,6 +139298,8 @@ 0 0 0 +0 +2 2 0xd1e2 0xefa93438 @@ -111446,6 +139308,8 @@ 0 0 0 +2 +5 4 0x9367 0xe4a87549 @@ -111454,6 +139318,8 @@ 1 0 0 +1 +5 4 0xcc74 0xd226305d @@ -111463,6 +139329,8 @@ 0 0 1 +5 +1 0x44d6 0x21cd26b7 256 @@ -111470,6 +139338,8 @@ 1 0 0 +1 +3 4 0x818d 0xb39d5b56 @@ -111478,6 +139348,8 @@ 0 0 0 +1 +5 4 0x819a 0xd830b157 @@ -111486,6 +139358,8 @@ 1 0 0 +4 +2 1 0xb40a 0x57d6a32c @@ -111494,6 +139368,8 @@ 0 0 0 +5 +2 4 0x1a49 0xc25f625f @@ -111502,6 +139378,8 @@ 0 0 0 +5 +5 1 0x55b7 0xc4a302c1 @@ -111511,6 +139389,8 @@ 1 0 4 +4 +4 0xfb76 0x9acb51f9 256 @@ -111518,6 +139398,8 @@ 1 0 0 +1 +2 3 0x9e5e 0x4257f9d9 @@ -111526,6 +139408,8 @@ 0 0 0 +0 +4 1 0xebdc 0xba35a0fd @@ -111534,6 +139418,8 @@ 0 0 0 +5 +1 1 0x4fb 0xd708c905 @@ -111542,6 +139428,8 @@ 1 1 0 +4 +3 2 0xa181 0x41807c78 @@ -111550,6 +139438,8 @@ 0 0 0 +2 +5 3 0x6979 0x1968d643 @@ -111558,6 +139448,8 @@ 1 0 0 +0 +4 3 0xa335 0x4bfa172 @@ -111566,6 +139458,8 @@ 1 0 0 +2 +1 3 0xce3e 0x8bb26c72 @@ -111574,6 +139468,8 @@ 0 0 0 +4 +0 3 0xdbfe 0xf68ea2cc @@ -111582,6 +139478,8 @@ 1 1 0 +5 +2 2 0x9800 0x9d1e3c37 @@ -111590,6 +139488,8 @@ 0 0 0 +4 +3 3 0x4f4d 0x65938968 @@ -111599,6 +139499,8 @@ 1 0 4 +1 +4 0x381d 0xf8ae2355 256 @@ -111607,6 +139509,8 @@ 0 0 3 +3 +3 0x20e6 0xdf6a13e3 256 @@ -111614,6 +139518,8 @@ 0 0 0 +2 +3 3 0x18b7 0x3ef6e62e @@ -111622,6 +139528,8 @@ 0 0 0 +5 +5 3 0xf84d 0x4c7ccce1 @@ -111630,6 +139538,8 @@ 1 1 0 +2 +2 4 0xbb7a 0x530c65bf @@ -111638,6 +139548,8 @@ 0 0 0 +0 +2 2 0xd660 0x9091c5d7 @@ -111646,6 +139558,8 @@ 1 1 0 +5 +0 4 0x54c0 0x695da108 @@ -111654,6 +139568,8 @@ 0 0 0 +0 +3 3 0xb86 0x15e4f379 @@ -111662,6 +139578,8 @@ 1 0 0 +4 +0 1 0x8dad 0xe3748e53 @@ -111671,6 +139589,8 @@ 0 0 2 +4 +2 0x5d00 0xad6f7454 256 @@ -111678,6 +139598,8 @@ 1 1 0 +4 +0 1 0xbc2a 0xde9a7b2 @@ -111686,6 +139608,8 @@ 1 0 0 +5 +0 1 0xf00d 0x8a119d59 @@ -111694,6 +139618,8 @@ 1 0 0 +3 +2 2 0xe3ba 0xedd919b9 @@ -111702,6 +139628,8 @@ 1 1 0 +0 +1 2 0x6820 0xb0a38c28 @@ -111710,6 +139638,8 @@ 0 0 0 +2 +4 4 0xd06e 0xbd5787bb @@ -111718,6 +139648,8 @@ 1 1 0 +1 +4 4 0x3a79 0xbdf7acbf @@ -111726,6 +139658,8 @@ 0 0 0 +1 +5 4 0xe320 0xc69ff2f4 @@ -111734,6 +139668,8 @@ 0 0 0 +5 +2 2 0x3c1e 0x92dc6e82 @@ -111742,6 +139678,8 @@ 1 0 0 +5 +0 3 0x9f1b 0x6cbfa12 @@ -111750,6 +139688,8 @@ 1 1 0 +3 +2 2 0x700e 0xd97bf619 @@ -111759,6 +139699,8 @@ 0 0 3 +1 +3 0x97e0 0x89e85a13 256 @@ -111766,6 +139708,8 @@ 0 0 0 +4 +0 1 0x3a4a 0x90ca2e29 @@ -111774,6 +139718,8 @@ 0 0 0 +2 +3 3 0xc3c3 0xfa61c6de @@ -111782,6 +139728,8 @@ 1 0 0 +1 +3 2 0x4cb1 0xd04ab65f @@ -111790,6 +139738,8 @@ 1 0 0 +2 +5 1 0x1ea3 0xc12a39fb @@ -111798,6 +139748,8 @@ 1 1 0 +3 +3 1 0x90fd 0x61e3c0f0 @@ -111806,6 +139758,8 @@ 0 0 0 +5 +4 1 0x2424 0xf7813631 @@ -111814,6 +139768,8 @@ 0 0 0 +5 +0 2 0xd96a 0xcca1e04f @@ -111822,6 +139778,8 @@ 0 0 0 +5 +1 4 0x9063 0x408c99f1 @@ -111830,6 +139788,8 @@ 0 0 0 +5 +2 2 0xf194 0x1541f799 @@ -111838,6 +139798,8 @@ 0 0 0 +5 +4 4 0x9571 0x1a73d90d @@ -111846,6 +139808,8 @@ 1 0 0 +3 +0 4 0xec83 0x8c4ec22d @@ -111854,6 +139818,8 @@ 1 0 0 +4 +3 2 0x297d 0xe32ae117 @@ -111862,6 +139828,8 @@ 0 0 0 +2 +2 4 0x6a9f 0xf6705329 @@ -111870,6 +139838,8 @@ 0 0 0 +0 +1 4 0x9cc5 0x76de5762 @@ -111878,6 +139848,8 @@ 1 1 0 +1 +1 4 0xca09 0x92decf40 @@ -111886,6 +139858,8 @@ 1 0 0 +4 +1 1 0x986d 0xe734419e @@ -111894,6 +139868,8 @@ 0 0 0 +2 +0 4 0x75e8 0x73db2406 @@ -111902,6 +139878,8 @@ 0 0 0 +0 +0 1 0x7537 0xcbee9af2 @@ -111910,6 +139888,8 @@ 0 0 0 +4 +4 3 0x1a49 0x1b1bb819 @@ -111918,6 +139898,8 @@ 1 1 0 +3 +5 4 0x7605 0xa99f9fe0 @@ -111926,6 +139908,8 @@ 0 0 0 +5 +4 3 0x7780 0x740850bb @@ -111934,6 +139918,8 @@ 0 0 0 +2 +3 3 0xc2d2 0x5fa68cbc @@ -111942,6 +139928,8 @@ 0 0 0 +1 +2 2 0x1c6d 0x92bca4cd @@ -111951,6 +139939,8 @@ 0 0 3 +2 +3 0xd81f 0xb305dbb4 256 @@ -111958,6 +139948,8 @@ 1 0 0 +0 +3 2 0xb775 0xaa8ddbf7 @@ -111966,6 +139958,8 @@ 1 1 0 +0 +2 1 0xf346 0x8d0c9da3 @@ -111974,6 +139968,8 @@ 0 0 0 +2 +5 3 0xb83a 0x8b3503d7 @@ -111982,6 +139978,8 @@ 1 0 0 +1 +0 2 0x321d 0x5bfd81e1 @@ -111990,6 +139988,8 @@ 0 0 0 +3 +5 4 0x4f53 0xd968f0c2 @@ -111998,6 +139998,8 @@ 0 0 0 +3 +3 1 0xf5a2 0x4af7c9ff @@ -112006,6 +140008,8 @@ 1 0 0 +3 +0 1 0x7215 0x2699a31f @@ -112014,6 +140018,8 @@ 1 0 0 +5 +4 1 0xf03d 0x6bf7bec7 @@ -112022,6 +140028,8 @@ 0 0 0 +4 +5 3 0x5fcc 0x43270373 @@ -112030,6 +140038,8 @@ 1 1 0 +1 +1 4 0x7ace 0xd6a08186 @@ -112038,6 +140048,8 @@ 0 0 0 +0 +3 2 0x7a15 0xc006b59f @@ -112046,6 +140058,8 @@ 0 0 0 +0 +4 4 0xcd8f 0xb33ca3c9 @@ -112054,6 +140068,8 @@ 1 1 0 +4 +5 3 0x1ce5 0x87d1ede5 @@ -112062,6 +140078,8 @@ 1 1 0 +3 +1 1 0x10bb 0x9ea5d822 @@ -112070,6 +140088,8 @@ 0 0 0 +2 +0 1 0xa0b0 0x55dfbf99 @@ -112079,6 +140099,8 @@ 0 0 1 +3 +1 0x5714 0xc0b4ddce 256 @@ -112086,6 +140108,8 @@ 1 1 0 +0 +4 1 0xf24d 0xd85a073b @@ -112094,6 +140118,8 @@ 1 1 0 +5 +0 1 0xf1bd 0x250ea0f4 @@ -112102,6 +140128,8 @@ 0 0 0 +2 +2 1 0x4a28 0xea792536 @@ -112110,6 +140138,8 @@ 1 0 0 +0 +3 1 0xc8bd 0x664fcab0 @@ -112119,6 +140149,8 @@ 0 0 4 +1 +4 0x1650 0x73787fe7 256 @@ -112127,6 +140159,8 @@ 0 0 4 +2 +4 0x91f7 0xf921e99a 256 @@ -112134,6 +140168,8 @@ 0 0 0 +5 +2 2 0xcde7 0x26dba97b @@ -112142,6 +140178,8 @@ 1 1 0 +3 +1 4 0x75db 0xd05abc6f @@ -112151,6 +140189,8 @@ 0 0 3 +1 +3 0xe09a 0x6baeb426 256 @@ -112159,6 +140199,8 @@ 0 0 3 +0 +3 0x8762 0xe07155b6 256 @@ -112166,6 +140208,8 @@ 1 1 0 +1 +4 3 0x2305 0xa612cb4b @@ -112174,6 +140218,8 @@ 0 0 0 +3 +4 4 0x8dd1 0x35ca43c5 @@ -112183,6 +140229,8 @@ 0 0 1 +5 +1 0xf03f 0x2e35d7e6 256 @@ -112190,6 +140238,8 @@ 0 0 0 +3 +0 4 0xfc35 0x61582c2c @@ -112198,6 +140248,8 @@ 0 0 0 +0 +3 1 0xc3c 0x923789c8 @@ -112207,6 +140259,8 @@ 0 0 2 +4 +2 0x3968 0xba2c1d83 256 @@ -112214,6 +140268,8 @@ 1 0 0 +5 +3 3 0xdab5 0xaa642cf @@ -112222,6 +140278,8 @@ 1 1 0 +2 +4 1 0x9a50 0x737d3a25 @@ -112230,6 +140288,8 @@ 0 0 0 +5 +4 1 0x8161 0xc88264a5 @@ -112238,6 +140298,8 @@ 1 0 0 +0 +0 2 0x7b79 0xdaff2bc8 @@ -112247,6 +140309,8 @@ 0 0 1 +4 +1 0x5b3d 0x1684958a 256 @@ -112254,6 +140318,8 @@ 0 0 0 +1 +1 3 0xc761 0xb01dd29e @@ -112262,6 +140328,8 @@ 1 1 0 +0 +1 1 0xe4e0 0x24317cdf @@ -112270,6 +140338,8 @@ 1 1 0 +5 +0 2 0x486d 0x8ae08736 @@ -112279,6 +140349,8 @@ 0 0 1 +3 +1 0x941c 0xa5ad98d8 256 @@ -112286,6 +140358,8 @@ 1 1 0 +5 +2 1 0x402e 0x4ffa1664 @@ -112295,6 +140369,8 @@ 0 0 2 +1 +2 0x647d 0xe5c2f960 256 @@ -112302,6 +140378,8 @@ 1 0 0 +3 +3 4 0xeeb1 0xada9d3b @@ -112310,6 +140388,8 @@ 0 0 0 +1 +3 3 0x2eed 0x474d3e01 @@ -112319,6 +140399,8 @@ 1 0 1 +4 +1 0x28fe 0x53c53ee7 256 @@ -112327,6 +140409,8 @@ 0 0 1 +4 +1 0x9c14 0x168954e6 256 @@ -112334,6 +140418,8 @@ 1 0 0 +4 +0 2 0x143 0xbcd3bf9e @@ -112342,6 +140428,8 @@ 0 0 0 +2 +5 1 0xe608 0x43e8c783 @@ -112350,6 +140438,8 @@ 1 1 0 +5 +1 3 0xc8f 0x6038140e @@ -112358,6 +140448,8 @@ 0 0 0 +3 +0 2 0x9e17 0x901aa464 @@ -112366,6 +140458,8 @@ 0 0 0 +1 +3 2 0xa964 0x4b70b904 @@ -112375,6 +140469,8 @@ 0 0 2 +5 +2 0x785f 0x907e6fe3 256 @@ -112382,6 +140478,8 @@ 1 1 0 +1 +0 3 0x9717 0x3db0d033 @@ -112390,6 +140488,8 @@ 0 0 0 +1 +5 3 0xd232 0xc972a5eb @@ -112398,6 +140498,8 @@ 0 0 0 +0 +2 1 0x712b 0xb32238da @@ -112406,6 +140508,8 @@ 0 0 0 +0 +2 3 0x8077 0x9c584774 @@ -112414,6 +140518,8 @@ 1 0 0 +5 +0 1 0x40b7 0xa6f1205c @@ -112422,6 +140528,8 @@ 0 0 0 +5 +2 4 0xe7d0 0x245d4e8b @@ -112431,6 +140539,8 @@ 0 0 3 +0 +3 0x954b 0x9775124e 256 @@ -112438,6 +140548,8 @@ 1 1 0 +3 +4 2 0xd27c 0xdb0cf8a1 @@ -112446,6 +140558,8 @@ 1 1 0 +0 +4 3 0xe172 0xd95fd4ce @@ -112454,6 +140568,8 @@ 0 0 0 +1 +3 2 0x75e4 0x4feb9cba @@ -112462,6 +140578,8 @@ 0 0 0 +1 +2 3 0x7b31 0x1955bed @@ -112470,6 +140588,8 @@ 0 0 0 +3 +5 2 0x8b77 0x89bec73b @@ -112478,6 +140598,8 @@ 0 0 0 +1 +2 2 0xc7a7 0x8a64ec1f @@ -112486,6 +140608,8 @@ 1 0 0 +5 +5 1 0xfdf0 0x9e91534f @@ -112494,6 +140618,8 @@ 1 0 0 +4 +5 3 0x726 0x1bf5a8b1 @@ -112502,6 +140628,8 @@ 0 0 0 +0 +4 1 0x8929 0x2c606d00 @@ -112510,6 +140638,8 @@ 0 0 0 +2 +2 4 0x1ed2 0x3134075 @@ -112518,6 +140648,8 @@ 1 0 0 +1 +4 2 0x1bf5 0x119a8f1b @@ -112526,6 +140658,8 @@ 1 1 0 +0 +3 4 0x9e99 0x794d7163 @@ -112534,6 +140668,8 @@ 0 0 0 +2 +4 1 0x4646 0x98cc7e90 @@ -112542,6 +140678,8 @@ 1 0 0 +3 +4 2 0x68d6 0xac5dbcb3 @@ -112550,6 +140688,8 @@ 0 0 0 +3 +2 1 0xd95e 0x4f906302 @@ -112559,6 +140699,8 @@ 0 0 3 +1 +3 0x8db5 0xb568ad65 256 @@ -112566,6 +140708,8 @@ 1 0 0 +1 +5 3 0x838d 0xee5d54aa @@ -112574,6 +140718,8 @@ 1 0 0 +0 +2 4 0xcd3 0x270c887f @@ -112582,6 +140728,8 @@ 1 0 0 +3 +1 1 0x67c 0x3aa6a134 @@ -112590,6 +140738,8 @@ 1 0 0 +1 +0 4 0x88ab 0x28082864 @@ -112598,6 +140748,8 @@ 1 0 0 +4 +2 1 0xeaa6 0x9c3cbda4 @@ -112606,6 +140758,8 @@ 1 0 0 +0 +4 4 0x515b 0x71129a82 @@ -112615,6 +140769,8 @@ 0 0 1 +5 +1 0xe39a 0xaea9bf70 256 @@ -112623,6 +140779,8 @@ 0 0 4 +4 +4 0x23dd 0xa2a67c71 256 @@ -112630,6 +140788,8 @@ 0 0 0 +4 +3 3 0xa277 0xc76a7313 @@ -112639,6 +140799,8 @@ 0 0 1 +3 +1 0xb702 0x1615f8c 256 @@ -112646,6 +140808,8 @@ 0 0 0 +4 +3 1 0x6380 0x7bb643ac @@ -112654,6 +140818,8 @@ 0 0 0 +0 +2 2 0x3a08 0xb1313e50 @@ -112662,6 +140828,8 @@ 0 0 0 +0 +2 2 0xd7ae 0x12155a9d @@ -112670,6 +140838,8 @@ 0 0 0 +1 +5 4 0x3db5 0x262d54c5 @@ -112678,6 +140848,8 @@ 0 0 0 +3 +1 1 0x6298 0xea0d2fd5 @@ -112686,6 +140858,8 @@ 0 0 0 +2 +3 4 0xb69f 0x5e4d168e @@ -112694,6 +140868,8 @@ 0 0 0 +3 +4 1 0x30ec 0x3068c6e9 @@ -112703,6 +140879,8 @@ 0 0 3 +3 +3 0x3323 0xa0b121bc 256 @@ -112710,6 +140888,8 @@ 1 1 0 +0 +1 3 0x548c 0x170a0eb3 @@ -112719,6 +140899,8 @@ 0 0 4 +0 +4 0xbf0b 0xe0b884d7 256 @@ -112726,6 +140908,8 @@ 0 0 0 +0 +1 3 0xf1cb 0xa70a8a06 @@ -112734,6 +140918,8 @@ 0 0 0 +5 +5 3 0x488e 0x591f0663 @@ -112742,6 +140928,8 @@ 0 0 0 +4 +0 3 0x9eb2 0xb96cf30c @@ -112750,6 +140938,8 @@ 1 1 0 +5 +3 1 0xab52 0xb2c24387 @@ -112758,6 +140948,8 @@ 1 0 0 +4 +3 2 0x4959 0xfba9f13d @@ -112767,6 +140959,8 @@ 0 0 4 +1 +4 0x9598 0x568d7ce 256 @@ -112775,6 +140969,8 @@ 0 0 3 +0 +3 0x22fd 0x93f2bd6c 256 @@ -112782,6 +140978,8 @@ 1 0 0 +5 +5 3 0x7d2a 0xbdc2311d @@ -112790,6 +140988,8 @@ 1 0 0 +2 +2 3 0x58f 0x20fdb96a @@ -112799,6 +140999,8 @@ 0 0 1 +4 +1 0x5a0a 0x89522323 256 @@ -112807,6 +141009,8 @@ 0 0 1 +0 +1 0x28e2 0x139e7590 256 @@ -112814,6 +141018,8 @@ 0 0 0 +5 +3 2 0x1b16 0xae6a5723 @@ -112822,6 +141028,8 @@ 1 0 0 +2 +3 1 0xd040 0x4ba46a29 @@ -112830,6 +141038,8 @@ 0 0 0 +4 +5 1 0x37f0 0x368ba817 @@ -112838,6 +141048,8 @@ 1 1 0 +2 +0 1 0x4d7e 0xc0219973 @@ -112846,6 +141058,8 @@ 1 0 0 +0 +5 1 0x72e8 0xeaf8288a @@ -112854,6 +141068,8 @@ 1 0 0 +5 +1 2 0x606d 0xc32c4f05 @@ -112862,6 +141078,8 @@ 0 0 0 +0 +2 4 0x62c1 0x2c8fae5 @@ -112871,6 +141089,8 @@ 0 0 1 +0 +1 0xd177 0x45a598f4 256 @@ -112878,6 +141098,8 @@ 1 1 0 +2 +4 1 0xa3e7 0xb426a28f @@ -112886,6 +141108,8 @@ 0 0 0 +5 +2 4 0xd96 0x8da7f206 @@ -112894,6 +141118,8 @@ 1 0 0 +5 +3 1 0x5f7b 0x1633022a @@ -112902,6 +141128,8 @@ 0 0 0 +5 +4 4 0xd05 0x9d5b830c @@ -112910,6 +141138,8 @@ 1 0 0 +0 +4 4 0x1543 0xa1c18595 @@ -112918,6 +141148,8 @@ 1 0 0 +1 +0 4 0x87fa 0x23830a82 @@ -112926,6 +141158,8 @@ 1 0 0 +0 +0 4 0x51e2 0xb9404fd7 @@ -112934,6 +141168,8 @@ 0 0 0 +4 +2 2 0x6347 0x659d4774 @@ -112942,6 +141178,8 @@ 0 0 0 +3 +0 4 0x55d3 0x8f0592db @@ -112950,6 +141188,8 @@ 1 1 0 +0 +2 2 0x15f8 0x4224ce6a @@ -112958,6 +141198,8 @@ 1 0 0 +1 +2 3 0xee7d 0xdbfbc694 @@ -112966,6 +141208,8 @@ 0 0 0 +2 +5 3 0xe05c 0x9a650a62 @@ -112974,6 +141218,8 @@ 0 0 0 +4 +1 1 0xca 0xc9fe9b0c @@ -112982,6 +141228,8 @@ 0 0 0 +0 +1 2 0xfa4f 0x4a6e4816 @@ -112990,6 +141238,8 @@ 1 1 0 +1 +3 4 0x2ee6 0xc56991fa @@ -112998,6 +141248,8 @@ 1 0 0 +2 +1 3 0xfa73 0xf382b235 @@ -113007,6 +141259,8 @@ 0 0 2 +2 +2 0x2a7d 0x4e9887ec 256 @@ -113015,6 +141269,8 @@ 0 0 3 +2 +3 0xdfe2 0x83e931d8 256 @@ -113022,6 +141278,8 @@ 0 0 0 +2 +2 3 0xa1eb 0x646c9c46 @@ -113030,6 +141288,8 @@ 1 1 0 +2 +4 3 0x462b 0xce8a78a5 @@ -113038,6 +141298,8 @@ 0 0 0 +2 +0 1 0x7617 0xf786b6b8 @@ -113046,6 +141308,8 @@ 0 0 0 +3 +1 2 0x66e1 0xf4704ac2 @@ -113054,6 +141318,8 @@ 0 0 0 +3 +2 1 0xf724 0xf8fd3626 @@ -113062,6 +141328,8 @@ 0 0 0 +0 +4 4 0x13db 0xc1f96fee @@ -113070,6 +141338,8 @@ 1 1 0 +2 +0 4 0x8fd1 0xf5515435 @@ -113078,6 +141348,8 @@ 0 0 0 +5 +1 3 0x4a31 0x189ea0e5 @@ -113086,6 +141358,8 @@ 1 0 0 +3 +2 4 0xf054 0x2297a2a4 @@ -113095,6 +141369,8 @@ 0 0 1 +2 +1 0xd090 0xc61c2098 256 @@ -113102,6 +141378,8 @@ 0 0 0 +0 +2 4 0x53e 0x1146bc00 @@ -113110,6 +141388,8 @@ 1 1 0 +0 +2 3 0x9dc 0x3909b98c @@ -113118,6 +141398,8 @@ 1 0 0 +0 +4 4 0xc547 0x9374d805 @@ -113126,6 +141408,8 @@ 1 0 0 +5 +1 2 0xfb7c 0x6c465769 @@ -113134,6 +141418,8 @@ 1 1 0 +2 +4 4 0x1ff8 0xc551c375 @@ -113142,6 +141428,8 @@ 1 0 0 +4 +1 2 0x8dd9 0x398d060a @@ -113150,6 +141438,8 @@ 0 0 0 +3 +2 1 0x2dd5 0xfde51e24 @@ -113158,6 +141448,8 @@ 1 0 0 +0 +5 3 0x968d 0x59ee4c56 @@ -113166,6 +141458,8 @@ 0 0 0 +2 +3 4 0x88da 0xc04b1b05 @@ -113174,6 +141468,8 @@ 0 0 0 +1 +3 2 0xea5b 0x6c752d25 @@ -113182,6 +141478,8 @@ 1 1 0 +1 +4 4 0xcf13 0xe65838c6 @@ -113190,6 +141488,8 @@ 1 1 0 +3 +5 1 0xc0e0 0x85386f7d @@ -113198,6 +141498,8 @@ 0 0 0 +1 +0 4 0x5e6f 0xd60d2a56 @@ -113206,6 +141508,8 @@ 1 0 0 +2 +5 4 0x18ce 0x6d56cd65 @@ -113214,6 +141518,8 @@ 0 0 0 +2 +5 3 0x8ce7 0x6b2cd598 @@ -113223,6 +141529,8 @@ 0 0 1 +2 +1 0x9dca 0xd008126 256 @@ -113230,6 +141538,8 @@ 0 0 0 +3 +0 4 0x8d18 0xb7e142ce @@ -113238,6 +141548,8 @@ 1 0 0 +1 +4 4 0x1a5f 0x3fa85fa2 @@ -113246,6 +141558,8 @@ 0 0 0 +0 +4 4 0xf069 0x4f4e36dd @@ -113254,6 +141568,8 @@ 0 0 0 +0 +5 2 0x5ce1 0x3c6bb127 @@ -113262,6 +141578,8 @@ 0 0 0 +5 +5 1 0x99a2 0xdff8d22a @@ -113270,6 +141588,8 @@ 1 1 0 +1 +4 3 0xea49 0x2528866 @@ -113278,6 +141598,8 @@ 1 0 0 +1 +1 2 0x9462 0x74340498 @@ -113286,6 +141608,8 @@ 1 0 0 +1 +3 4 0xe1f2 0xd3e12623 @@ -113295,6 +141619,8 @@ 1 0 2 +2 +2 0x984 0x2ddd8f74 256 @@ -113302,6 +141628,8 @@ 1 1 0 +2 +5 3 0x98ea 0xd2e478c0 @@ -113310,6 +141638,8 @@ 0 0 0 +0 +4 2 0x9c67 0xe5898707 @@ -113318,6 +141648,8 @@ 0 0 0 +5 +0 3 0x7d23 0xe02031fd @@ -113326,6 +141658,8 @@ 0 0 0 +3 +0 1 0xe37e 0xf7a7557d @@ -113334,6 +141668,8 @@ 0 0 0 +1 +3 4 0x7ea1 0x6574bfc @@ -113343,6 +141679,8 @@ 1 0 2 +4 +2 0x5081 0x2e3ea3b0 256 @@ -113350,6 +141688,8 @@ 0 0 0 +0 +5 3 0x69ed 0xab1ed78e @@ -113358,6 +141698,8 @@ 0 0 0 +0 +5 2 0x3ac 0x21ee4bcf @@ -113366,6 +141708,8 @@ 1 1 0 +5 +3 4 0x2073 0xc471f9a6 @@ -113375,6 +141719,8 @@ 0 0 3 +5 +3 0x1472 0x2ab3ee73 256 @@ -113382,6 +141728,8 @@ 1 1 0 +0 +1 2 0x36d0 0xdc4ab135 @@ -113390,6 +141738,8 @@ 1 0 0 +3 +4 4 0x0 0xe8b6f07 @@ -113398,6 +141748,8 @@ 1 0 0 +4 +5 1 0x7a72 0xc25c8d94 @@ -113407,6 +141759,8 @@ 0 0 4 +5 +4 0x223e 0x7f4a6dea 256 @@ -113414,6 +141768,8 @@ 1 0 0 +5 +3 1 0xd977 0x82bcb843 @@ -113423,6 +141779,8 @@ 1 0 4 +3 +4 0x4d1e 0xbbc3bf3 256 @@ -113430,6 +141788,8 @@ 0 0 0 +4 +5 3 0x3c 0x212222c9 @@ -113438,6 +141798,8 @@ 0 0 0 +3 +4 2 0x3ede 0x3ef4353f @@ -113446,6 +141808,8 @@ 0 0 0 +3 +3 4 0x6e8c 0xd5f2d639 @@ -113455,6 +141819,8 @@ 0 0 3 +1 +3 0x78f 0xd3d1cf6 256 @@ -113462,6 +141828,8 @@ 1 0 0 +3 +2 4 0xc4b5 0xf233eb90 @@ -113470,6 +141838,8 @@ 1 1 0 +2 +0 4 0x5f8d 0x233bd46c @@ -113478,6 +141848,8 @@ 0 0 0 +0 +2 1 0x2b63 0xa85dc314 @@ -113486,6 +141858,8 @@ 1 0 0 +1 +3 2 0xfde0 0xd826b2f6 @@ -113494,6 +141868,8 @@ 0 0 0 +4 +5 3 0xb797 0x54bcc138 @@ -113502,6 +141878,8 @@ 0 0 0 +0 +1 2 0xe9fb 0x39623d2d @@ -113510,6 +141888,8 @@ 0 0 0 +3 +5 4 0xc70b 0x9b69635 @@ -113518,6 +141898,8 @@ 0 0 0 +2 +5 3 0xc0b 0x3a1f7cb8 @@ -113526,6 +141908,8 @@ 0 0 0 +2 +1 3 0xa509 0xbcc37c63 @@ -113534,6 +141918,8 @@ 1 1 0 +5 +3 3 0x9a99 0x77dd56f5 @@ -113542,6 +141928,8 @@ 0 0 0 +3 +0 4 0x6eb2 0x6061a0b9 @@ -113551,6 +141939,8 @@ 0 0 2 +3 +2 0xa22f 0x1854d067 256 @@ -113558,6 +141948,8 @@ 0 0 0 +5 +4 4 0xfecb 0xab84c73a @@ -113566,6 +141958,8 @@ 1 0 0 +5 +1 2 0x946a 0x838d85fb @@ -113574,6 +141968,8 @@ 1 0 0 +4 +5 2 0x88d3 0x64b2a5ad @@ -113583,6 +141979,8 @@ 0 0 3 +1 +3 0x748e 0x1f3e5bfc 256 @@ -113590,6 +141988,8 @@ 0 0 0 +5 +0 3 0x7bdb 0xdfc175b7 @@ -113598,6 +141998,8 @@ 1 1 0 +4 +1 2 0x2b59 0x7c66652a @@ -113606,6 +142008,8 @@ 1 0 0 +4 +0 3 0x4594 0x1026016a @@ -113614,6 +142018,8 @@ 0 0 0 +2 +1 3 0x9c17 0x2bd81ede @@ -113622,6 +142028,8 @@ 1 0 0 +5 +2 4 0xd09f 0x29ab6b96 @@ -113631,6 +142039,8 @@ 0 0 3 +5 +3 0xd656 0x99fa5f71 256 @@ -113638,6 +142048,8 @@ 1 1 0 +0 +2 3 0xd65e 0xfc12dfdc @@ -113646,6 +142058,8 @@ 0 0 0 +0 +0 3 0xa734 0x71da1171 @@ -113654,6 +142068,8 @@ 0 0 0 +1 +0 4 0x5fc8 0x402165a7 @@ -113663,6 +142079,8 @@ 1 0 3 +5 +3 0x3475 0x1fbcc82b 256 @@ -113670,6 +142088,8 @@ 0 0 0 +3 +0 4 0x47e1 0xa144f339 @@ -113678,6 +142098,8 @@ 1 0 0 +4 +4 2 0xa788 0x4a8b57b0 @@ -113687,6 +142109,8 @@ 0 0 3 +1 +3 0x2644 0xdb0e40d5 256 @@ -113694,6 +142118,8 @@ 0 0 0 +1 +3 3 0x38d4 0x35cc6169 @@ -113702,6 +142128,8 @@ 0 0 0 +2 +0 4 0x75ed 0xa468bf7f @@ -113711,6 +142139,8 @@ 0 0 3 +3 +3 0xe370 0xfc7afb25 256 @@ -113718,6 +142148,8 @@ 1 1 0 +3 +0 2 0x5fa3 0x3d3b65c7 @@ -113727,6 +142159,8 @@ 0 0 1 +5 +1 0x6dd8 0xcfdd44e3 256 @@ -113734,6 +142168,8 @@ 1 0 0 +4 +3 3 0x9d6d 0xbb9d6e6c @@ -113742,6 +142178,8 @@ 0 0 0 +0 +0 1 0xe3ec 0xb8d5478f @@ -113750,6 +142188,8 @@ 1 0 0 +0 +3 3 0x10a7 0xeec6ecac @@ -113758,6 +142198,8 @@ 0 0 0 +0 +4 4 0xc453 0xef014a54 @@ -113766,6 +142208,8 @@ 1 1 0 +3 +5 1 0x411e 0xb2942a9 @@ -113775,6 +142219,8 @@ 0 0 2 +4 +2 0x7bbc 0x262e62b2 256 @@ -113782,6 +142228,8 @@ 0 0 0 +1 +3 4 0x3b84 0x4b831f40 @@ -113790,6 +142238,8 @@ 1 0 0 +3 +1 4 0x726b 0xea2d2a04 @@ -113799,6 +142249,8 @@ 0 0 2 +2 +2 0x82fe 0xd9db8373 256 @@ -113807,6 +142259,8 @@ 0 0 4 +5 +4 0x94e1 0xfcbd8b2e 256 @@ -113814,6 +142268,8 @@ 1 1 0 +0 +3 3 0x3cb2 0x7b811dd9 @@ -113822,6 +142278,8 @@ 0 0 0 +5 +3 4 0xf1fe 0x57ecf6a4 @@ -113830,6 +142288,8 @@ 1 1 0 +2 +3 3 0xa441 0x6836b9a1 @@ -113838,6 +142298,8 @@ 1 1 0 +0 +3 3 0x9c2b 0xe7809e9e @@ -113846,6 +142308,8 @@ 0 0 0 +0 +1 1 0x2adb 0x7d73a328 @@ -113854,6 +142318,8 @@ 1 0 0 +5 +2 1 0xd852 0x7ac91cac @@ -113862,6 +142328,8 @@ 1 1 0 +4 +2 1 0x4192 0xdd556af9 @@ -113870,6 +142338,8 @@ 0 0 0 +0 +3 3 0xfc07 0xe1835b8e @@ -113878,6 +142348,8 @@ 1 0 0 +0 +5 3 0x1cfa 0xf0d679c3 @@ -113886,6 +142358,8 @@ 1 1 0 +2 +3 4 0xebd1 0xb8cc70a9 @@ -113894,6 +142368,8 @@ 0 0 0 +3 +1 2 0x482d 0x5c2db5ee @@ -113902,6 +142378,8 @@ 1 0 0 +5 +3 3 0x6714 0x5e4a06f8 @@ -113910,6 +142388,8 @@ 0 0 0 +1 +1 2 0xa2fc 0xe36857a6 @@ -113918,6 +142398,8 @@ 0 0 0 +2 +5 1 0xe290 0x986b9cc7 @@ -113926,6 +142408,8 @@ 1 1 0 +2 +4 1 0x52cc 0xf08cfb7e @@ -113935,6 +142419,8 @@ 0 0 4 +2 +4 0x4c23 0x38755d5c 256 @@ -113942,6 +142428,8 @@ 1 0 0 +5 +2 2 0x30a3 0xe95071c0 @@ -113951,6 +142439,8 @@ 0 0 4 +0 +4 0xd045 0xb573c814 256 @@ -113958,6 +142448,8 @@ 0 0 0 +2 +3 3 0xbe30 0x899f495d @@ -113966,6 +142458,8 @@ 0 0 0 +5 +3 2 0xe844 0x6205ce06 @@ -113975,6 +142469,8 @@ 0 0 1 +5 +1 0xce7f 0xc63da007 256 @@ -113982,6 +142478,8 @@ 1 1 0 +4 +2 2 0xd76a 0x130601c6 @@ -113990,6 +142488,8 @@ 1 0 0 +1 +3 4 0xf6fe 0xe8a51ced @@ -113998,6 +142498,8 @@ 1 0 0 +3 +3 4 0x9fbf 0xf08d856f @@ -114006,6 +142508,8 @@ 0 0 0 +4 +1 3 0xcaf6 0x889fbe94 @@ -114014,6 +142518,8 @@ 1 0 0 +1 +0 4 0x7ea7 0x7e135e18 @@ -114022,6 +142528,8 @@ 0 0 0 +3 +5 4 0x3ab5 0x8d129995 @@ -114030,6 +142538,8 @@ 1 0 0 +2 +4 1 0x892b 0x99e7247c @@ -114038,6 +142548,8 @@ 0 0 0 +1 +3 4 0x1ba0 0xe39efbcf @@ -114046,6 +142558,8 @@ 1 0 0 +5 +3 1 0x9a1d 0xb2dc536a @@ -114054,6 +142568,8 @@ 0 0 0 +5 +2 3 0x56aa 0x1d20df6f @@ -114062,6 +142578,8 @@ 0 0 0 +0 +1 3 0x8ca1 0x422e36b5 @@ -114070,6 +142588,8 @@ 0 0 0 +1 +2 2 0x5dad 0x7ac4007f @@ -114078,6 +142598,8 @@ 0 0 0 +5 +1 4 0xdfc1 0x3a54e06e @@ -114086,6 +142608,8 @@ 1 0 0 +1 +5 4 0xf3a2 0x762660bb @@ -114094,6 +142618,8 @@ 1 1 0 +0 +3 3 0x5b6b 0xa6fc2644 @@ -114103,6 +142629,8 @@ 1 0 3 +3 +3 0x34cc 0x96170442 256 @@ -114110,6 +142638,8 @@ 1 1 0 +5 +0 4 0x9227 0xb373611c @@ -114119,6 +142649,8 @@ 0 0 4 +1 +4 0xf980 0x802fe1b4 256 @@ -114126,6 +142658,8 @@ 1 1 0 +0 +0 2 0xb7ad 0x62fdbfa8 @@ -114134,6 +142668,8 @@ 1 1 0 +1 +2 2 0xbf49 0x4c6dfee6 @@ -114142,6 +142678,8 @@ 0 0 0 +4 +2 1 0x7122 0x710c0022 @@ -114150,6 +142688,8 @@ 1 1 0 +3 +2 4 0x5a12 0x7e43a5c3 @@ -114158,6 +142698,8 @@ 1 0 0 +1 +1 4 0x8cb 0x20ef634f @@ -114166,6 +142708,8 @@ 0 0 0 +0 +4 1 0xd386 0x27c41b55 @@ -114175,6 +142719,8 @@ 0 0 2 +3 +2 0x41b4 0xf4ecd7f4 256 @@ -114182,6 +142728,8 @@ 1 0 0 +1 +0 2 0x8435 0x8fc94e46 @@ -114190,6 +142738,8 @@ 0 0 0 +4 +4 2 0x1df4 0xbf50accd @@ -114199,6 +142749,8 @@ 0 0 3 +0 +3 0x1018 0x564911c7 256 @@ -114206,6 +142758,8 @@ 0 0 0 +0 +1 1 0x9620 0x8628bb35 @@ -114214,6 +142768,8 @@ 1 1 0 +0 +5 4 0xc0bb 0x5c500a6 @@ -114222,6 +142778,8 @@ 1 1 0 +4 +0 1 0x3e67 0x7f8cfccf @@ -114230,6 +142788,8 @@ 0 0 0 +1 +0 3 0x52e2 0x16632d78 @@ -114238,6 +142798,8 @@ 0 0 0 +0 +0 4 0x672b 0xb57b175d @@ -114246,6 +142808,8 @@ 0 0 0 +0 +1 3 0xa460 0x471c8ad7 @@ -114254,6 +142818,8 @@ 1 1 0 +4 +4 1 0x176c 0x8de53197 @@ -114263,6 +142829,8 @@ 0 0 2 +0 +2 0xdc2f 0x27fcb59f 256 @@ -114271,6 +142839,8 @@ 0 0 1 +0 +1 0x4bc 0xdd66d6ef 256 @@ -114278,6 +142848,8 @@ 1 0 0 +0 +3 1 0xd8d1 0x1d6d78cc @@ -114286,6 +142858,8 @@ 0 0 0 +2 +5 1 0xe928 0xead7d7fc @@ -114294,6 +142868,8 @@ 1 1 0 +3 +4 2 0x299c 0x4f124e95 @@ -114302,6 +142878,8 @@ 1 0 0 +5 +1 2 0x7232 0x68b29693 @@ -114310,6 +142888,8 @@ 0 0 0 +2 +1 1 0x1c25 0x187cb8cf @@ -114319,6 +142899,8 @@ 0 0 4 +1 +4 0x84e6 0x7e827b60 256 @@ -114327,6 +142909,8 @@ 0 0 4 +1 +4 0x3ac4 0x2ee3a93c 256 @@ -114334,6 +142918,8 @@ 1 0 0 +1 +2 4 0x3a78 0x7b171d51 @@ -114342,6 +142928,8 @@ 0 0 0 +1 +5 4 0x9f65 0x6b3f1b6c @@ -114350,6 +142938,8 @@ 0 0 0 +5 +5 4 0xee2 0x88e40679 @@ -114358,6 +142948,8 @@ 1 0 0 +2 +3 1 0xe812 0x16743a31 @@ -114366,6 +142958,8 @@ 0 0 0 +1 +3 4 0xa2ea 0x34523e0b @@ -114375,6 +142969,8 @@ 1 0 4 +2 +4 0xa752 0x19dfa196 256 @@ -114382,6 +142978,8 @@ 1 0 0 +5 +2 1 0xb0d6 0xb85d39ec @@ -114390,7 +142988,9 @@ 0 0 0 -2 +1 +4 +2 0xb274 0x74590276 256 @@ -114398,6 +142998,8 @@ 0 0 0 +1 +4 2 0xa49f 0xddf0399 @@ -114406,6 +143008,8 @@ 1 1 0 +3 +4 2 0xb9ac 0xc92e0b64 @@ -114415,6 +143019,8 @@ 0 0 1 +5 +1 0x5831 0xd5099995 256 @@ -114422,6 +143028,8 @@ 1 1 0 +0 +4 1 0x4c05 0x1fd3e417 @@ -114430,6 +143038,8 @@ 1 1 0 +0 +0 3 0x3670 0x27a481c9 @@ -114438,6 +143048,8 @@ 0 0 0 +3 +2 4 0xc8a5 0x4259fdfc @@ -114446,6 +143058,8 @@ 0 0 0 +1 +4 4 0x861f 0xd17a23af @@ -114455,6 +143069,8 @@ 1 0 1 +2 +1 0xfdc8 0xf0b8ebd6 256 @@ -114462,6 +143078,8 @@ 1 0 0 +2 +0 3 0xd101 0xe69b2182 @@ -114470,6 +143088,8 @@ 0 0 0 +3 +5 2 0x62b2 0x2b7d30f @@ -114478,6 +143098,8 @@ 1 1 0 +4 +0 2 0xaa67 0x5711979 @@ -114486,6 +143108,8 @@ 1 1 0 +2 +1 3 0x1703 0x7803a6cb @@ -114494,6 +143118,8 @@ 0 0 0 +3 +2 4 0xb2e1 0x4784679d @@ -114502,6 +143128,8 @@ 1 1 0 +3 +2 4 0x303a 0xdef70cc3 @@ -114510,6 +143138,8 @@ 1 1 0 +5 +0 1 0x4be2 0x866c2898 @@ -114518,6 +143148,8 @@ 1 0 0 +5 +0 1 0x9028 0x629911fd @@ -114526,6 +143158,8 @@ 0 0 0 +5 +5 3 0x7d5a 0xae876fc7 @@ -114534,6 +143168,8 @@ 0 0 0 +0 +5 2 0x7e17 0xe6f0cf7b @@ -114542,6 +143178,8 @@ 1 1 0 +1 +4 2 0x92b5 0xb7b97d00 @@ -114550,6 +143188,8 @@ 1 0 0 +0 +4 3 0x4f16 0x7d604072 @@ -114558,6 +143198,8 @@ 0 0 0 +4 +0 3 0xbbfc 0xe6b9585d @@ -114566,6 +143208,8 @@ 0 0 0 +5 +2 4 0xdd32 0x52acceb1 @@ -114574,6 +143218,8 @@ 1 1 0 +3 +2 2 0xb2a6 0xbcbcd488 @@ -114583,6 +143229,8 @@ 0 0 3 +0 +3 0x143f 0xf303b32e 256 @@ -114590,6 +143238,8 @@ 0 0 0 +3 +1 1 0xd28e 0xb586621a @@ -114598,6 +143248,8 @@ 1 0 0 +4 +4 2 0xd6f9 0xbaa7b604 @@ -114606,6 +143258,8 @@ 1 0 0 +0 +0 3 0x94fb 0x613f88fb @@ -114615,6 +143269,8 @@ 1 0 4 +0 +4 0x700d 0x935e913b 256 @@ -114622,6 +143278,8 @@ 0 0 0 +5 +5 1 0x8c73 0x29340c42 @@ -114630,6 +143288,8 @@ 1 0 0 +5 +2 4 0xd0cb 0x6126b154 @@ -114638,6 +143298,8 @@ 1 1 0 +2 +5 4 0x2356 0xf6c1a27d @@ -114646,6 +143308,8 @@ 1 0 0 +0 +1 1 0xa887 0x4e21e934 @@ -114654,6 +143318,8 @@ 1 0 0 +3 +4 1 0xdacf 0xeea3d65d @@ -114662,6 +143328,8 @@ 0 0 0 +0 +0 1 0xd390 0x1333b599 @@ -114670,6 +143338,8 @@ 0 0 0 +3 +0 1 0xbce6 0xe5d9e53d @@ -114678,6 +143348,8 @@ 1 0 0 +1 +2 3 0xdf7b 0xf4902e17 @@ -114686,6 +143358,8 @@ 0 0 0 +2 +4 3 0x76a4 0x9fef9687 @@ -114694,6 +143368,8 @@ 0 0 0 +1 +1 2 0x3875 0x510b15ba @@ -114702,6 +143378,8 @@ 1 0 0 +0 +4 1 0x3bd9 0xbd059975 @@ -114710,6 +143388,8 @@ 1 0 0 +3 +4 2 0xe2d0 0xeff9fa4e @@ -114718,6 +143398,8 @@ 0 0 0 +4 +1 3 0x3d4b 0x58801eea @@ -114726,6 +143408,8 @@ 1 1 0 +2 +5 4 0xeb0d 0xe3bb4c0b @@ -114734,6 +143418,8 @@ 0 0 0 +3 +1 2 0x3a97 0xe55cf84f @@ -114742,6 +143428,8 @@ 0 0 0 +1 +1 3 0x76e 0x82d85659 @@ -114751,6 +143439,8 @@ 0 0 3 +2 +3 0x1f3e 0x85ada704 256 @@ -114758,6 +143448,8 @@ 1 1 0 +0 +3 3 0x9b22 0xa53904f3 @@ -114766,6 +143458,8 @@ 0 0 0 +3 +4 4 0xb597 0xbf3f815c @@ -114774,6 +143468,8 @@ 1 1 0 +1 +5 3 0x3367 0xcdb7a0bf @@ -114782,6 +143478,8 @@ 1 0 0 +1 +4 2 0x227f 0x6a529b71 @@ -114790,6 +143488,8 @@ 1 0 0 +0 +2 2 0xf885 0x84d6f4e0 @@ -114798,6 +143498,8 @@ 0 0 0 +3 +5 2 0x521f 0x6b434214 @@ -114806,6 +143508,8 @@ 1 0 0 +0 +1 2 0x2d26 0xc17a5fd6 @@ -114815,6 +143519,8 @@ 1 0 1 +2 +1 0x80c3 0x523cc2db 256 @@ -114822,6 +143528,8 @@ 1 1 0 +1 +1 4 0xd7b9 0x424cf6f3 @@ -114831,6 +143539,8 @@ 1 0 2 +0 +2 0xdc71 0xde318eab 256 @@ -114838,6 +143548,8 @@ 1 0 0 +0 +1 2 0xed3d 0x431823e5 @@ -114846,6 +143558,8 @@ 0 0 0 +5 +5 4 0x9951 0x9906b08e @@ -114854,6 +143568,8 @@ 1 0 0 +4 +5 2 0xec44 0x4c8cd180 @@ -114862,6 +143578,8 @@ 0 0 0 +5 +3 1 0x48e4 0x42bdf1b9 @@ -114870,6 +143588,8 @@ 1 0 0 +0 +0 4 0x39b0 0x680ae940 @@ -114878,6 +143598,8 @@ 1 0 0 +5 +3 3 0x74f9 0x5ac377c0 @@ -114887,6 +143609,8 @@ 1 0 2 +5 +2 0xadca 0xbf8cef27 256 @@ -114895,6 +143619,8 @@ 0 0 1 +4 +1 0x18fa 0x182d0ecc 256 @@ -114902,6 +143628,8 @@ 0 0 0 +3 +4 1 0x1615 0x7bbf20dd @@ -114910,6 +143638,8 @@ 0 0 0 +1 +4 4 0x1ae7 0x143f611e @@ -114918,6 +143648,8 @@ 1 1 0 +1 +3 4 0x5207 0x6f82f95f @@ -114926,6 +143658,8 @@ 1 1 0 +1 +5 2 0xdec0 0x45180466 @@ -114935,6 +143669,8 @@ 0 0 4 +4 +4 0x1bfb 0x5bd0b2db 256 @@ -114942,6 +143678,8 @@ 1 0 0 +2 +3 3 0x11b1 0x1e9090d2 @@ -114951,6 +143689,8 @@ 0 0 4 +3 +4 0x8149 0xbd996d1f 256 @@ -114959,6 +143699,8 @@ 1 0 3 +5 +3 0xdda3 0x34162648 256 @@ -114966,6 +143708,8 @@ 0 0 0 +1 +4 4 0x29d3 0x546258a9 @@ -114974,6 +143718,8 @@ 1 1 0 +1 +4 3 0xadaa 0xe6d6937a @@ -114982,6 +143728,8 @@ 0 0 0 +1 +3 2 0x4337 0x5e6183e8 @@ -114990,6 +143738,8 @@ 0 0 0 +0 +0 2 0x899f 0x2227d65 @@ -114998,6 +143748,8 @@ 0 0 0 +1 +1 2 0x2b98 0x57fa10aa @@ -115006,6 +143758,8 @@ 1 0 0 +5 +2 1 0x3c8e 0xfb31722e @@ -115014,6 +143768,8 @@ 1 0 0 +4 +4 2 0x5235 0x434ca9e4 @@ -115022,6 +143778,8 @@ 1 0 0 +1 +5 2 0xac20 0xf881631b @@ -115030,6 +143788,8 @@ 1 0 0 +4 +5 1 0xbfd5 0x44c10df1 @@ -115038,6 +143798,8 @@ 1 0 0 +2 +5 3 0x1da9 0xf70f9f40 @@ -115047,6 +143809,8 @@ 1 0 1 +4 +1 0x23c 0x564a669e 256 @@ -115055,6 +143819,8 @@ 0 0 1 +2 +1 0xafe6 0x579e17b2 256 @@ -115062,6 +143828,8 @@ 1 0 0 +0 +3 2 0xed8b 0x63dddd38 @@ -115070,6 +143838,8 @@ 1 1 0 +3 +4 4 0x65b2 0x38aafba2 @@ -115078,6 +143848,8 @@ 1 0 0 +4 +5 3 0x84b7 0x3bdc2905 @@ -115086,6 +143858,8 @@ 0 0 0 +4 +0 1 0x5f43 0x4f0a14ae @@ -115094,6 +143868,8 @@ 0 0 0 +5 +2 3 0xdf67 0x26d3857b @@ -115102,6 +143878,8 @@ 1 0 0 +3 +3 4 0x2f7e 0xe8b373e8 @@ -115110,6 +143888,8 @@ 1 0 0 +0 +0 2 0x864f 0x7419d71c @@ -115119,6 +143899,8 @@ 0 0 1 +5 +1 0x5893 0xddc2b2b3 256 @@ -115126,6 +143908,8 @@ 0 0 0 +2 +3 3 0xb4d0 0x39f58442 @@ -115134,6 +143918,8 @@ 1 0 0 +4 +0 3 0xa19a 0x80f17078 @@ -115142,6 +143928,8 @@ 0 0 0 +1 +2 3 0x2c34 0x29e8e615 @@ -115150,6 +143938,8 @@ 1 1 0 +5 +3 4 0xe098 0x86a73eeb @@ -115158,6 +143948,8 @@ 1 0 0 +0 +2 4 0xfa9d 0x3f42a459 @@ -115167,6 +143959,8 @@ 0 0 2 +4 +2 0xb8ba 0xb347ebd7 256 @@ -115175,6 +143969,8 @@ 0 0 2 +4 +2 0x5ec1 0x4f9d22d1 256 @@ -115183,6 +143979,8 @@ 0 0 3 +5 +3 0x8061 0x30a3e5b2 256 @@ -115190,6 +143988,8 @@ 0 0 0 +4 +4 3 0x19ff 0xc49933c8 @@ -115199,6 +143999,8 @@ 1 0 3 +5 +3 0x2e9f 0xd68384dd 256 @@ -115206,6 +144008,8 @@ 0 0 0 +0 +4 2 0x411e 0xd1d12313 @@ -115214,6 +144018,8 @@ 0 0 0 +3 +2 1 0x1d8e 0xa24a8a7c @@ -115222,6 +144028,8 @@ 1 1 0 +4 +1 1 0xc7ee 0x7b2b601d @@ -115230,6 +144038,8 @@ 0 0 0 +0 +4 2 0x88a8 0x4afa5bff @@ -115238,6 +144048,8 @@ 0 0 0 +3 +1 2 0xb33f 0x725b142c @@ -115246,6 +144058,8 @@ 1 1 0 +0 +1 4 0x497a 0xa6fd21ef @@ -115254,6 +144068,8 @@ 1 0 0 +5 +2 3 0xf035 0x43c4acdd @@ -115262,6 +144078,8 @@ 0 0 0 +5 +1 2 0x3ae7 0xe4a8c78d @@ -115271,6 +144089,8 @@ 0 0 4 +0 +4 0x2b6b 0x7e46153a 256 @@ -115279,6 +144099,8 @@ 0 0 2 +2 +2 0x8c77 0x9309b375 256 @@ -115287,6 +144109,8 @@ 0 0 1 +0 +1 0x4b7c 0x7586956c 256 @@ -115294,6 +144118,8 @@ 0 0 0 +5 +0 4 0x2c9b 0x7eec2c4 @@ -115303,6 +144129,8 @@ 0 0 2 +3 +2 0x77b2 0xff73c53d 256 @@ -115310,6 +144138,8 @@ 0 0 0 +5 +5 2 0x222b 0xa3c43183 @@ -115318,6 +144148,8 @@ 0 0 0 +2 +0 1 0x2f73 0xa4f0f5e0 @@ -115326,6 +144158,8 @@ 1 1 0 +2 +0 3 0x8f58 0x11cc5238 @@ -115334,6 +144168,8 @@ 0 0 0 +2 +3 4 0x468e 0x80d0208d @@ -115342,6 +144178,8 @@ 1 1 0 +3 +1 1 0x88a4 0x1209f690 @@ -115350,6 +144188,8 @@ 1 0 0 +1 +5 3 0xd44b 0x5cf81b9a @@ -115358,6 +144198,8 @@ 1 1 0 +0 +1 4 0xff18 0xe0a99d7d @@ -115366,6 +144208,8 @@ 1 1 0 +5 +1 2 0x7e5a 0x6360e636 @@ -115375,6 +144219,8 @@ 0 0 2 +3 +2 0x7d7b 0x5c731bdb 256 @@ -115382,6 +144228,8 @@ 0 0 0 +4 +0 2 0x9555 0xa42a72e1 @@ -115390,6 +144238,8 @@ 0 0 0 +1 +2 3 0x15f5 0x454f9dd7 @@ -115398,6 +144248,8 @@ 1 1 0 +5 +5 3 0xd99a 0xb7b58f33 @@ -115406,6 +144258,8 @@ 1 0 0 +0 +4 3 0xcf40 0xee2003e8 @@ -115414,6 +144268,8 @@ 1 1 0 +0 +4 1 0x419c 0xfffe57a2 @@ -115422,6 +144278,8 @@ 1 0 0 +5 +0 3 0x534f 0xf6201411 @@ -115430,6 +144288,8 @@ 0 0 0 +1 +0 3 0x2b64 0x1ced92a5 @@ -115438,6 +144298,8 @@ 1 0 0 +3 +1 2 0xf9cf 0x121a3ab6 @@ -115446,6 +144308,8 @@ 0 0 0 +0 +0 3 0xd541 0xc275b4e1 @@ -115454,6 +144318,8 @@ 0 0 0 +2 +5 4 0x6ee5 0xfa7dbd33 @@ -115462,6 +144328,8 @@ 1 0 0 +2 +3 4 0x86b2 0xeb665f29 @@ -115470,6 +144338,8 @@ 1 0 0 +5 +2 1 0xc04a 0xa459d1cb @@ -115478,6 +144348,8 @@ 1 0 0 +0 +0 1 0xcb13 0x3768ddd9 @@ -115486,6 +144358,8 @@ 1 1 0 +4 +4 2 0x2180 0xa0ba7260 @@ -115494,6 +144368,8 @@ 1 0 0 +1 +2 2 0x5b69 0xa52dcad9 @@ -115502,6 +144378,8 @@ 0 0 0 +5 +3 1 0x2258 0x68466bec @@ -115510,6 +144388,8 @@ 0 0 0 +2 +4 1 0x9347 0xa8d77c63 @@ -115518,6 +144398,8 @@ 1 0 0 +3 +1 2 0x3f69 0xcf27f963 @@ -115526,6 +144408,8 @@ 1 0 0 +2 +0 3 0x50eb 0x4057d917 @@ -115534,6 +144418,8 @@ 0 0 0 +0 +3 2 0x8028 0x9b895094 @@ -115542,6 +144428,8 @@ 1 0 0 +5 +1 1 0x7d1e 0x8c5b207e @@ -115550,6 +144438,8 @@ 1 1 0 +1 +3 3 0x1c94 0xbedc03de @@ -115559,6 +144449,8 @@ 1 0 4 +5 +4 0xec1d 0x32b9e04c 256 @@ -115566,6 +144458,8 @@ 1 1 0 +1 +2 4 0x2ec5 0x24c49c61 @@ -115574,6 +144468,8 @@ 0 0 0 +3 +5 4 0x355b 0x88ee3c3e @@ -115582,6 +144478,8 @@ 0 0 0 +0 +3 4 0x7685 0x8ab82e06 @@ -115591,6 +144489,8 @@ 0 0 2 +3 +2 0x7f3f 0x5c766da1 256 @@ -115598,6 +144498,8 @@ 0 0 0 +1 +5 2 0x729f 0x212162c1 @@ -115606,6 +144508,8 @@ 1 0 0 +1 +2 2 0xe764 0x43c903b8 @@ -115615,6 +144519,8 @@ 0 0 4 +0 +4 0x500b 0x6ede7a2d 256 @@ -115622,6 +144528,8 @@ 0 0 0 +4 +2 1 0x524b 0x35fd712a @@ -115630,6 +144538,8 @@ 1 1 0 +4 +0 2 0xd925 0x4a21e267 @@ -115638,6 +144548,8 @@ 1 1 0 +0 +0 4 0x98dc 0x518e96c @@ -115646,6 +144558,8 @@ 1 0 0 +2 +3 4 0xd664 0xca5ecf1f @@ -115655,6 +144569,8 @@ 0 0 4 +1 +4 0x5d0f 0xbf56daf0 256 @@ -115663,6 +144579,8 @@ 0 0 3 +3 +3 0x72f6 0x359a7f35 256 @@ -115671,6 +144589,8 @@ 0 0 3 +4 +3 0x7637 0xac881854 256 @@ -115678,6 +144598,8 @@ 1 0 0 +3 +1 2 0xb4df 0x4568ff3e @@ -115686,6 +144608,8 @@ 1 1 0 +0 +2 2 0xebd8 0x335f6cdf @@ -115694,6 +144618,8 @@ 0 0 0 +5 +2 3 0x1ea 0x509a6710 @@ -115702,6 +144628,8 @@ 1 0 0 +0 +1 4 0x3eb7 0xf0b7567c @@ -115710,6 +144638,8 @@ 0 0 0 +1 +2 3 0x9f30 0xcd6cb4e8 @@ -115718,6 +144648,8 @@ 0 0 0 +1 +2 2 0x6558 0x7e6304f2 @@ -115727,6 +144659,8 @@ 0 0 3 +2 +3 0xdfd9 0x120c1299 256 @@ -115734,6 +144668,8 @@ 0 0 0 +3 +0 2 0xde4d 0xb8e8599c @@ -115742,6 +144678,8 @@ 0 0 0 +5 +3 2 0xb8c8 0x4b53f0bb @@ -115750,6 +144688,8 @@ 1 1 0 +2 +1 4 0x653e 0x2f9febbc @@ -115758,6 +144698,8 @@ 0 0 0 +5 +2 4 0x9c20 0x16393e76 @@ -115766,6 +144708,8 @@ 1 0 0 +3 +0 1 0x18c3 0x5bedcd28 @@ -115775,6 +144719,8 @@ 0 0 4 +5 +4 0x801e 0x5098c6c8 256 @@ -115782,6 +144728,8 @@ 1 0 0 +0 +0 3 0x2162 0x2d954a4e @@ -115790,6 +144738,8 @@ 1 0 0 +0 +3 4 0x6bde 0x9262de8e @@ -115798,6 +144748,8 @@ 1 0 0 +4 +2 3 0xd84 0x8d464218 @@ -115806,6 +144758,8 @@ 1 0 0 +1 +2 2 0x3211 0x614e0ad0 @@ -115814,6 +144768,8 @@ 0 0 0 +4 +0 2 0x9ecd 0x9958e568 @@ -115822,6 +144778,8 @@ 1 0 0 +2 +0 3 0x3dd1 0xb6a537ca @@ -115830,6 +144788,8 @@ 1 1 0 +5 +1 3 0x4301 0xa3b954b9 @@ -115839,6 +144799,8 @@ 0 0 1 +0 +1 0x24a4 0xa424ee83 256 @@ -115846,6 +144808,8 @@ 1 0 0 +5 +5 2 0x378 0x2c732ff0 @@ -115854,6 +144818,8 @@ 1 1 0 +1 +2 4 0x4020 0x5d3ac68e @@ -115862,6 +144828,8 @@ 1 1 0 +5 +0 3 0x216e 0x2c887e40 @@ -115870,6 +144838,8 @@ 0 0 0 +4 +2 2 0xe4a1 0x71ae5eae @@ -115879,6 +144849,8 @@ 0 0 4 +4 +4 0xccd6 0x3e2cc847 256 @@ -115886,6 +144858,8 @@ 1 1 0 +3 +4 1 0x949f 0x57d2c06f @@ -115894,6 +144868,8 @@ 0 0 0 +0 +3 1 0xf3d0 0x765a61a5 @@ -115902,6 +144878,8 @@ 0 0 0 +0 +2 2 0xf165 0xcb28440d @@ -115910,6 +144888,8 @@ 1 1 0 +4 +3 2 0xb3ac 0xd71c8abd @@ -115919,6 +144899,8 @@ 0 0 2 +0 +2 0xa222 0xddc6d4c5 256 @@ -115927,6 +144909,8 @@ 1 0 2 +3 +2 0x347d 0x7f3f0f68 256 @@ -115934,6 +144918,8 @@ 1 0 0 +4 +0 3 0x7050 0x4917ba5 @@ -115942,6 +144928,8 @@ 1 1 0 +2 +5 3 0x10f3 0xc6f28b9b @@ -115950,6 +144938,8 @@ 0 0 0 +2 +5 4 0xbf90 0x94997a51 @@ -115958,6 +144948,8 @@ 0 0 0 +3 +2 2 0xf766 0x73e591e7 @@ -115967,6 +144959,8 @@ 1 0 1 +3 +1 0xe2a0 0x41acdc8c 256 @@ -115974,6 +144968,8 @@ 1 0 0 +2 +5 1 0x71d2 0xfe5724cf @@ -115982,6 +144978,8 @@ 1 0 0 +1 +1 3 0xe596 0xd1f17d7e @@ -115991,6 +144989,8 @@ 0 0 2 +0 +2 0xb363 0xac018f33 256 @@ -115998,6 +144998,8 @@ 1 1 0 +3 +2 4 0x9f81 0x2b6d4adf @@ -116006,6 +145008,8 @@ 0 0 0 +2 +2 3 0x6070 0x92de8a8a @@ -116014,6 +145018,8 @@ 1 0 0 +0 +0 1 0x697f 0x60b7ad47 @@ -116023,6 +145029,8 @@ 0 0 1 +1 +1 0x6958 0xef727e22 256 @@ -116030,6 +145038,8 @@ 1 0 0 +1 +1 4 0x4edf 0xfe711c3b @@ -116038,6 +145048,8 @@ 1 1 0 +2 +5 1 0x3313 0x75b5c1a8 @@ -116046,6 +145058,8 @@ 1 0 0 +3 +3 2 0xeb62 0x899cb53a @@ -116055,6 +145069,8 @@ 1 0 1 +3 +1 0x758b 0x40c9414 256 @@ -116062,6 +145078,8 @@ 0 0 0 +4 +3 1 0xa1ef 0xefc5e707 @@ -116070,6 +145088,8 @@ 0 0 0 +3 +1 2 0xa662 0x3b8c0a97 @@ -116078,6 +145098,8 @@ 1 0 0 +3 +5 1 0xf7f8 0xd3d22169 @@ -116086,6 +145108,8 @@ 1 0 0 +4 +1 2 0xc6dd 0xa14bb261 @@ -116095,6 +145119,8 @@ 1 0 4 +0 +4 0xaede 0x83e23978 256 @@ -116102,6 +145128,8 @@ 0 0 0 +3 +5 2 0x32b1 0x3f8dedf5 @@ -116110,6 +145138,8 @@ 1 0 0 +2 +4 1 0xdcbc 0x3cbe652e @@ -116118,6 +145148,8 @@ 1 1 0 +3 +1 1 0x79eb 0xf4e4d081 @@ -116126,6 +145158,8 @@ 0 0 0 +2 +3 3 0xf02c 0x4a18b9c1 @@ -116134,6 +145168,8 @@ 1 0 0 +5 +2 2 0xb1a2 0x6a1d2eb0 @@ -116142,6 +145178,8 @@ 1 1 0 +1 +5 4 0x5d1d 0x7e3b2b9 @@ -116150,6 +145188,8 @@ 1 0 0 +4 +5 1 0xb82f 0x566cfc10 @@ -116158,6 +145198,8 @@ 1 0 0 +0 +1 2 0x7370 0x5195b5c3 @@ -116166,6 +145208,8 @@ 0 0 0 +2 +3 4 0x15a5 0xad64c3d5 @@ -116174,6 +145218,8 @@ 0 0 0 +5 +3 2 0x7d04 0xf530c015 @@ -116182,6 +145228,8 @@ 1 1 0 +2 +4 3 0x1543 0x30abb46c @@ -116190,6 +145238,8 @@ 1 0 0 +4 +1 1 0x6a5b 0x16932fc7 @@ -116199,6 +145249,8 @@ 0 0 4 +3 +4 0xcd4a 0x9afec4de 256 @@ -116206,6 +145258,8 @@ 0 0 0 +3 +5 2 0x3dd6 0x2b16c082 @@ -116215,6 +145269,8 @@ 0 0 2 +3 +2 0x3382 0x5c032de6 256 @@ -116222,6 +145278,8 @@ 0 0 0 +5 +4 1 0xfb46 0xa2f212e5 @@ -116230,6 +145288,8 @@ 1 0 0 +5 +3 4 0x7122 0x6c890ecb @@ -116238,6 +145298,8 @@ 0 0 0 +2 +3 4 0x1a21 0xd7bdf930 @@ -116246,6 +145308,8 @@ 1 1 0 +1 +1 2 0xc8b0 0xf833d66c @@ -116254,6 +145318,8 @@ 1 1 0 +1 +4 4 0xeda1 0xb53e80a7 @@ -116262,6 +145328,8 @@ 0 0 0 +4 +1 1 0x7098 0x94ee3226 @@ -116270,6 +145338,8 @@ 0 0 0 +5 +5 4 0xa0ae 0x8d7c85f4 @@ -116279,6 +145349,8 @@ 0 0 2 +3 +2 0xcd17 0x1c97450f 256 @@ -116286,6 +145358,8 @@ 1 1 0 +5 +1 3 0x1751 0xce047c5e @@ -116294,6 +145368,8 @@ 0 0 0 +4 +2 1 0xc3b3 0xd204ce82 @@ -116302,6 +145378,8 @@ 0 0 0 +4 +4 2 0x4f73 0xfb894f @@ -116310,6 +145388,8 @@ 0 0 0 +3 +5 1 0x68df 0x97b80e40 @@ -116318,6 +145398,8 @@ 0 0 0 +1 +4 4 0x2577 0xf6e04c75 @@ -116326,6 +145408,8 @@ 0 0 0 +5 +0 4 0xcc67 0x1bba3bd2 @@ -116334,6 +145418,8 @@ 0 0 0 +5 +4 1 0x97a6 0x54fa7c00 @@ -116342,6 +145428,8 @@ 0 0 0 +4 +0 1 0xc30e 0x6c788aba @@ -116351,6 +145439,8 @@ 1 0 3 +1 +3 0xbf85 0x372ba326 256 @@ -116358,6 +145448,8 @@ 0 0 0 +1 +2 2 0x6984 0x7ef01060 @@ -116366,6 +145458,8 @@ 1 1 0 +2 +5 3 0x3714 0x58ade08b @@ -116374,6 +145468,8 @@ 0 0 0 +2 +2 3 0x1595 0xc931154d @@ -116382,6 +145478,8 @@ 0 0 0 +0 +5 4 0x9af0 0x1f35950 @@ -116390,6 +145488,8 @@ 1 1 0 +2 +3 3 0x5c0c 0xbdb22943 @@ -116398,6 +145498,8 @@ 1 0 0 +4 +3 3 0x7c98 0x28cd8a4f @@ -116406,6 +145508,8 @@ 1 0 0 +3 +4 2 0xd4ba 0x5e31707a @@ -116414,6 +145518,8 @@ 0 0 0 +2 +0 4 0xd5b5 0x86bb3ca5 @@ -116422,6 +145528,8 @@ 0 0 0 +0 +5 2 0x9595 0x49f1cfd5 @@ -116430,6 +145538,8 @@ 0 0 0 +0 +2 1 0x8e8 0x9fbff2f7 @@ -116438,6 +145548,8 @@ 0 0 0 +4 +2 1 0xdacf 0x1392d3be @@ -116446,6 +145558,8 @@ 1 1 0 +4 +4 3 0x4b5c 0xd654bcee @@ -116454,6 +145568,8 @@ 1 1 0 +1 +3 3 0x2ce8 0xe733b293 @@ -116463,6 +145579,8 @@ 0 0 1 +2 +1 0x3c3f 0x630c4361 256 @@ -116470,6 +145588,8 @@ 1 0 0 +5 +1 4 0x62d0 0xc2ba672 @@ -116478,6 +145598,8 @@ 0 0 0 +5 +0 4 0x2be2 0x34b37342 @@ -116487,6 +145609,8 @@ 0 0 4 +2 +4 0xbd58 0xaba1001 256 @@ -116495,6 +145619,8 @@ 0 0 2 +2 +2 0x7392 0x915a6164 256 @@ -116502,6 +145628,8 @@ 0 0 0 +3 +5 2 0x9886 0x28d7d657 @@ -116510,6 +145638,8 @@ 0 0 0 +0 +3 4 0x2662 0x872b6a6c @@ -116518,6 +145648,8 @@ 0 0 0 +2 +0 4 0xa1f3 0x79cca78a @@ -116526,6 +145658,8 @@ 1 1 0 +0 +4 2 0xdabd 0x68d2350b @@ -116535,6 +145669,8 @@ 0 0 4 +2 +4 0x6387 0x183dfce2 256 @@ -116542,6 +145678,8 @@ 0 0 0 +0 +2 4 0x5fef 0xf0e479bb @@ -116550,6 +145688,8 @@ 1 1 0 +4 +5 3 0xe970 0x16ecaecc @@ -116558,6 +145698,8 @@ 0 0 0 +5 +4 1 0x203 0x646f08a8 @@ -116567,6 +145709,8 @@ 0 0 2 +2 +2 0x5168 0x14a91d41 256 @@ -116574,6 +145718,8 @@ 0 0 0 +5 +4 3 0xaf75 0xc0013c44 @@ -116582,6 +145728,8 @@ 0 0 0 +5 +4 3 0x7e46 0x36b191bf @@ -116591,6 +145739,8 @@ 1 0 4 +1 +4 0xe7e0 0x96b364bc 256 @@ -116598,6 +145748,8 @@ 0 0 0 +0 +1 4 0xf97f 0x1c608eb8 @@ -116606,6 +145758,8 @@ 0 0 0 +0 +2 1 0x91 0x22f0d2e6 @@ -116614,6 +145768,8 @@ 1 1 0 +0 +4 1 0x4b03 0x3af0cb55 @@ -116623,6 +145779,8 @@ 0 0 4 +3 +4 0x8d0b 0xd1ef174 256 @@ -116630,6 +145788,8 @@ 0 0 0 +2 +3 3 0x8927 0x929b5685 @@ -116638,6 +145798,8 @@ 0 0 0 +1 +2 3 0xfe5e 0x757be5b2 @@ -116646,6 +145808,8 @@ 1 0 0 +4 +1 1 0xdf0 0xa8b9acd1 @@ -116654,6 +145818,8 @@ 0 0 0 +0 +4 2 0xbae8 0xc379019d @@ -116663,6 +145829,8 @@ 0 0 1 +5 +1 0x1d88 0xa3242777 256 @@ -116670,6 +145838,8 @@ 0 0 0 +4 +2 3 0x3ad3 0x2b7cf677 @@ -116678,6 +145848,8 @@ 1 1 0 +3 +4 2 0x3a8 0xe8fbf33f @@ -116686,6 +145858,8 @@ 0 0 0 +1 +2 3 0x7a63 0xa824c016 @@ -116694,6 +145868,8 @@ 0 0 0 +4 +0 1 0x6903 0xff18c314 @@ -116702,6 +145878,8 @@ 1 1 0 +4 +0 2 0xd894 0x92538cad @@ -116710,6 +145888,8 @@ 0 0 0 +1 +0 2 0xac0d 0xcac17069 @@ -116718,6 +145898,8 @@ 1 0 0 +2 +0 1 0x8817 0x930045a4 @@ -116726,6 +145908,8 @@ 1 0 0 +0 +0 2 0x7895 0x384f6800 @@ -116734,6 +145918,8 @@ 0 0 0 +5 +4 4 0x37a7 0xee792107 @@ -116742,6 +145928,8 @@ 0 0 0 +1 +3 2 0x49e2 0xfb9e9511 @@ -116750,6 +145938,8 @@ 0 0 0 +3 +4 4 0x6b7e 0x589e5643 @@ -116758,6 +145948,8 @@ 1 1 0 +1 +2 4 0x8df5 0x47c3015b @@ -116766,6 +145958,8 @@ 1 0 0 +4 +3 2 0x2b6e 0xcd07d88b @@ -116774,6 +145968,8 @@ 0 0 0 +4 +2 2 0x81b1 0x8aa1d862 @@ -116783,6 +145979,8 @@ 1 0 1 +2 +1 0x807b 0x84afcf5 256 @@ -116791,6 +145989,8 @@ 1 0 1 +0 +1 0x4450 0x1d3c836e 256 @@ -116798,6 +145998,8 @@ 0 0 0 +5 +1 2 0x271c 0x5a8135e6 @@ -116806,6 +146008,8 @@ 0 0 0 +4 +2 1 0xe820 0x78b87c10 @@ -116814,6 +146018,8 @@ 1 0 0 +4 +3 3 0xab90 0x132ff644 @@ -116822,6 +146028,8 @@ 1 1 0 +3 +1 2 0x90db 0xa2ca4f64 @@ -116831,6 +146039,8 @@ 1 0 1 +5 +1 0xb88b 0x6470bbf2 256 @@ -116838,6 +146048,8 @@ 0 0 0 +4 +2 2 0x7d9b 0x57c61eae @@ -116846,6 +146058,8 @@ 0 0 0 +2 +1 4 0x5775 0x64ae2086 @@ -116855,6 +146069,8 @@ 0 0 3 +5 +3 0xa2f6 0x720d978 256 @@ -116862,6 +146078,8 @@ 0 0 0 +4 +1 3 0xa485 0x2e62a4c1 @@ -116870,6 +146088,8 @@ 1 1 0 +4 +1 3 0x14c3 0xfbb9ba2b @@ -116878,6 +146098,8 @@ 1 0 0 +0 +4 2 0x4220 0x717c3ffd @@ -116886,6 +146108,8 @@ 0 0 0 +2 +0 1 0x88eb 0xe03a17c4 @@ -116894,6 +146118,8 @@ 0 0 0 +5 +3 4 0xfe07 0x48dba1d5 @@ -116903,6 +146129,8 @@ 1 0 3 +0 +3 0x91e7 0xfae28ac7 256 @@ -116911,6 +146139,8 @@ 1 0 1 +0 +1 0xf78d 0xd4aa65ae 256 @@ -116918,6 +146148,8 @@ 1 1 0 +2 +0 3 0x6bdc 0xea19f530 @@ -116926,6 +146158,8 @@ 0 0 0 +2 +5 3 0x36d5 0x7883bced @@ -116934,6 +146168,8 @@ 0 0 0 +0 +0 1 0xf984 0xd0518f90 @@ -116942,6 +146178,8 @@ 0 0 0 +3 +1 4 0xbcd6 0xa046c0b1 @@ -116951,6 +146189,8 @@ 0 0 2 +2 +2 0xa1b5 0xb8d28abb 256 @@ -116958,6 +146198,8 @@ 0 0 0 +5 +2 1 0xaf98 0x2a4455b9 @@ -116966,6 +146208,8 @@ 1 1 0 +3 +4 2 0x4758 0x7b1b02a8 @@ -116974,6 +146218,8 @@ 0 0 0 +2 +5 1 0x6aad 0x38b540c2 @@ -116982,6 +146228,8 @@ 0 0 0 +4 +0 1 0x5c4e 0x36a7b647 @@ -116990,6 +146238,8 @@ 1 0 0 +0 +3 4 0x7f03 0x9ff158d3 @@ -116998,6 +146248,8 @@ 1 1 0 +0 +0 4 0x18d5 0xbbb7901f @@ -117006,6 +146258,8 @@ 0 0 0 +0 +1 3 0xf922 0x29e48f70 @@ -117015,6 +146269,8 @@ 0 0 2 +2 +2 0xb925 0x1d6dfe94 256 @@ -117022,6 +146278,8 @@ 0 0 0 +4 +2 1 0x7efb 0x38029b38 @@ -117030,6 +146288,8 @@ 1 0 0 +0 +0 3 0x1d8d 0xcb2951df @@ -117038,6 +146298,8 @@ 0 0 0 +3 +1 1 0x6499 0x45ba30e7 @@ -117046,6 +146308,8 @@ 1 0 0 +4 +3 1 0xd19 0xc4e1d500 @@ -117054,6 +146318,8 @@ 0 0 0 +5 +0 4 0xb080 0x3b37677f @@ -117062,6 +146328,8 @@ 1 1 0 +1 +5 2 0xcc1 0x57148282 @@ -117070,6 +146338,8 @@ 1 0 0 +2 +2 1 0x76a3 0x8de01b0b @@ -117078,6 +146348,8 @@ 1 1 0 +5 +5 3 0x72ed 0xfa57adf1 @@ -117086,6 +146358,8 @@ 1 1 0 +0 +1 1 0x521c 0xfe4d1387 @@ -117094,6 +146368,8 @@ 1 0 0 +5 +2 2 0x4068 0x539d4def @@ -117102,6 +146378,8 @@ 1 0 0 +3 +4 2 0x2f6d 0xc332fd9b @@ -117110,6 +146388,8 @@ 0 0 0 +2 +2 4 0x776c 0x24901117 @@ -117118,6 +146398,8 @@ 1 1 0 +5 +5 1 0x255b 0xef550e6a @@ -117126,6 +146408,8 @@ 0 0 0 +5 +1 2 0xf0d5 0xa23aab95 @@ -117134,6 +146418,8 @@ 0 0 0 +1 +3 3 0x1025 0x751e1bba @@ -117142,6 +146428,8 @@ 0 0 0 +4 +0 1 0x9e94 0xadf09181 @@ -117150,6 +146438,8 @@ 0 0 0 +2 +4 1 0xea1 0xe3b846c2 @@ -117158,6 +146448,8 @@ 1 0 0 +4 +1 1 0xf685 0xa1d09d15 @@ -117166,6 +146458,8 @@ 0 0 0 +2 +2 1 0x1828 0xe831f970 @@ -117174,6 +146468,8 @@ 1 0 0 +0 +4 2 0x59fd 0x385257eb @@ -117182,6 +146478,8 @@ 1 1 0 +3 +1 4 0x6066 0x39c74c31 @@ -117190,6 +146488,8 @@ 1 1 0 +2 +3 3 0x8e6c 0x7fbb2643 @@ -117199,6 +146499,8 @@ 0 0 4 +3 +4 0xc822 0x34662183 256 @@ -117206,6 +146508,8 @@ 1 0 0 +3 +1 2 0x9e80 0xff1167f9 @@ -117214,6 +146518,8 @@ 1 0 0 +3 +0 4 0x61ff 0xa77a4636 @@ -117223,6 +146529,8 @@ 0 0 3 +0 +3 0xe10a 0x2ee271f3 256 @@ -117230,6 +146538,8 @@ 0 0 0 +3 +1 4 0xac8c 0x825f1a27 @@ -117238,6 +146548,8 @@ 1 1 0 +2 +4 4 0x4e94 0x44aa41cc @@ -117246,6 +146558,8 @@ 1 1 0 +3 +2 2 0xeddd 0xa69757bc @@ -117254,6 +146568,8 @@ 0 0 0 +1 +2 3 0x3523 0xc2e74607 @@ -117262,6 +146578,8 @@ 0 0 0 +3 +0 1 0xb6be 0xcfe1015 @@ -117270,6 +146588,8 @@ 0 0 0 +2 +5 4 0x384 0x8c1dfed @@ -117279,6 +146599,8 @@ 0 0 2 +0 +2 0x7a7f 0x1a5e1d72 256 @@ -117286,6 +146608,8 @@ 1 1 0 +0 +5 1 0x3f13 0x67983a72 @@ -117294,6 +146618,8 @@ 1 1 0 +5 +4 3 0xcff0 0x988d2865 @@ -117302,6 +146628,8 @@ 1 0 0 +5 +5 4 0xd7a3 0x1022ab92 @@ -117310,6 +146638,8 @@ 1 0 0 +0 +4 3 0xbaff 0x758e7dfd @@ -117318,6 +146648,8 @@ 0 0 0 +1 +0 4 0x6984 0x97fc4d82 @@ -117326,6 +146658,8 @@ 0 0 0 +3 +4 1 0x233e 0xbb0c5108 @@ -117335,6 +146669,8 @@ 1 0 2 +0 +2 0x4aa0 0xd30f29a5 256 @@ -117343,6 +146679,8 @@ 1 0 2 +1 +2 0xbf2c 0xce20ffc9 256 @@ -117350,6 +146688,8 @@ 1 1 0 +4 +1 3 0x36b2 0xee25e9e4 @@ -117358,6 +146698,8 @@ 1 0 0 +3 +3 2 0xe926 0x6c975590 @@ -117366,6 +146708,8 @@ 0 0 0 +1 +1 4 0x7af8 0x98269a92 @@ -117374,6 +146718,8 @@ 1 0 0 +2 +3 3 0x1454 0x28e8543b @@ -117382,6 +146728,8 @@ 0 0 0 +4 +5 2 0x7a2f 0x95a0d3d6 @@ -117390,6 +146738,8 @@ 0 0 0 +5 +5 2 0x5094 0x8817d9a6 @@ -117398,6 +146748,8 @@ 0 0 0 +1 +4 4 0x202c 0x88fb57f @@ -117406,6 +146758,8 @@ 1 0 0 +1 +3 3 0x21e 0x3643a7a8 @@ -117414,6 +146768,8 @@ 0 0 0 +4 +2 2 0x962d 0xe255b134 @@ -117422,6 +146778,8 @@ 1 1 0 +0 +1 4 0xa457 0xe75ff99c @@ -117430,6 +146788,8 @@ 0 0 0 +3 +4 2 0xa233 0xa37f5282 @@ -117438,6 +146798,8 @@ 0 0 0 +5 +4 2 0xe93a 0xcdacc945 @@ -117446,6 +146808,8 @@ 1 0 0 +5 +4 1 0xa0d6 0xeeabd355 @@ -117454,6 +146818,8 @@ 0 0 0 +0 +1 3 0xe8c3 0xfb1e0cbb @@ -117462,6 +146828,8 @@ 0 0 0 +4 +5 1 0xe3bf 0x592f7f85 @@ -117470,6 +146838,8 @@ 1 0 0 +3 +0 4 0x66bf 0x4af76838 @@ -117478,6 +146848,8 @@ 0 0 0 +0 +2 2 0x7789 0x7095fcf6 @@ -117486,6 +146858,8 @@ 0 0 0 +3 +4 1 0x8c28 0x13727780 @@ -117494,6 +146868,8 @@ 1 0 0 +1 +5 3 0x4e80 0xaa6fc303 @@ -117502,6 +146878,8 @@ 1 0 0 +1 +4 3 0x80ff 0xecfa2fc9 @@ -117510,6 +146888,8 @@ 0 0 0 +5 +2 4 0xae58 0x53994f83 @@ -117518,6 +146898,8 @@ 1 0 0 +4 +0 3 0x7410 0x4c7fa250 @@ -117526,6 +146908,8 @@ 0 0 0 +5 +4 3 0x388c 0xec0a8631 @@ -117534,6 +146918,8 @@ 0 0 0 +5 +1 1 0x6213 0x8f3e69ca @@ -117542,6 +146928,8 @@ 1 0 0 +2 +1 1 0x2946 0x30458987 @@ -117550,6 +146938,8 @@ 0 0 0 +0 +5 4 0xb73c 0xa1bfd3de @@ -117558,6 +146948,8 @@ 1 0 0 +4 +4 3 0xf73b 0x140e3955 @@ -117566,6 +146958,8 @@ 1 1 0 +0 +5 1 0x1322 0xea33e77 @@ -117574,6 +146968,8 @@ 1 1 0 +4 +0 2 0xfb65 0x57c50f29 @@ -117582,6 +146978,8 @@ 0 0 0 +0 +2 3 0xd661 0xec323b05 @@ -117590,6 +146988,8 @@ 0 0 0 +0 +5 4 0x9268 0x128e7410 @@ -117598,6 +146998,8 @@ 1 1 0 +3 +2 2 0x5f47 0x39ae54e3 @@ -117606,6 +147008,8 @@ 1 1 0 +2 +1 3 0x3cae 0x732fc2f5 @@ -117615,6 +147019,8 @@ 1 0 3 +2 +3 0x4a1a 0xa1694d6d 256 @@ -117622,6 +147028,8 @@ 0 0 0 +2 +5 3 0x15e8 0x9bfdcf8a @@ -117630,6 +147038,8 @@ 1 0 0 +4 +0 1 0x5a4f 0x8bc4ca96 @@ -117638,6 +147048,8 @@ 0 0 0 +2 +3 4 0x51a 0xe2e2ab56 @@ -117647,6 +147059,8 @@ 0 0 2 +5 +2 0x92e 0x6dfc94b1 256 @@ -117655,6 +147069,8 @@ 0 0 2 +3 +2 0x9720 0xaf97ed8f 256 @@ -117662,6 +147078,8 @@ 0 0 0 +0 +4 1 0xde32 0xabbae589 @@ -117670,6 +147088,8 @@ 0 0 0 +4 +0 1 0xba19 0x9b4d9749 @@ -117678,6 +147098,8 @@ 0 0 0 +1 +1 3 0xc4c9 0x66ee3036 @@ -117687,6 +147109,8 @@ 0 0 2 +4 +2 0x8523 0x6b2abe09 256 @@ -117694,6 +147118,8 @@ 0 0 0 +3 +5 4 0x36f6 0xb2e17402 @@ -117702,6 +147128,8 @@ 0 0 0 +4 +2 1 0xcaf8 0xe64300bd @@ -117710,6 +147138,8 @@ 0 0 0 +4 +3 2 0x6f41 0x50f8c87d @@ -117718,6 +147148,8 @@ 1 0 0 +1 +1 4 0xb6bd 0x9adb8865 @@ -117726,6 +147158,8 @@ 0 0 0 +5 +3 1 0xb3b4 0x7e068a40 @@ -117734,6 +147168,8 @@ 0 0 0 +2 +4 3 0x47c9 0xdb26d82e @@ -117743,6 +147179,8 @@ 0 0 3 +2 +3 0x86c 0xa921b2e7 256 @@ -117750,6 +147188,8 @@ 0 0 0 +0 +0 1 0xbcc5 0x265dbcc @@ -117759,6 +147199,8 @@ 0 0 1 +1 +1 0xf4d4 0x7a465b7f 256 @@ -117766,6 +147208,8 @@ 0 0 0 +4 +1 1 0x3499 0xc78931ab @@ -117774,6 +147218,8 @@ 1 0 0 +2 +2 1 0x5965 0xb16c2ffd @@ -117782,6 +147228,8 @@ 1 1 0 +2 +5 4 0xa444 0x9065f2bc @@ -117790,6 +147238,8 @@ 1 1 0 +5 +3 2 0x41fa 0x9915a73f @@ -117799,6 +147249,8 @@ 0 0 3 +4 +3 0xffaa 0x9c1dafe4 256 @@ -117806,6 +147258,8 @@ 0 0 0 +1 +2 3 0xd1f7 0x8416a38b @@ -117814,6 +147268,8 @@ 1 1 0 +2 +0 4 0xa9de 0xf9a99fd2 @@ -117822,6 +147278,8 @@ 1 0 0 +2 +3 4 0x5580 0xe037398d @@ -117830,6 +147288,8 @@ 0 0 0 +3 +1 4 0x63a0 0xc1cc4440 @@ -117838,6 +147298,8 @@ 0 0 0 +0 +2 3 0xceb3 0x150602b9 @@ -117847,6 +147309,8 @@ 0 0 1 +3 +1 0xecae 0x447920a 256 @@ -117854,6 +147318,8 @@ 1 1 0 +5 +5 2 0x6091 0x45d47c5f @@ -117862,6 +147328,8 @@ 1 0 0 +0 +0 2 0xba15 0x72169f73 @@ -117870,6 +147338,8 @@ 0 0 0 +0 +2 1 0x53a7 0x6ce0725c @@ -117879,6 +147349,8 @@ 1 0 4 +1 +4 0x1fda 0xb350ba30 256 @@ -117886,6 +147358,8 @@ 1 1 0 +2 +4 1 0x2106 0xcea1d3c1 @@ -117894,6 +147368,8 @@ 0 0 0 +5 +0 2 0xa7e3 0xeb590de7 @@ -117902,6 +147378,8 @@ 1 0 0 +5 +4 1 0x1d41 0x9e93f7d5 @@ -117910,6 +147388,8 @@ 0 0 0 +1 +0 2 0xf97b 0xb9b195d7 @@ -117918,6 +147398,8 @@ 0 0 0 +0 +1 2 0xd0ac 0x550ffc06 @@ -117926,6 +147408,8 @@ 0 0 0 +3 +1 1 0xa487 0x480f8404 @@ -117934,6 +147418,8 @@ 1 1 0 +5 +2 3 0x8e19 0x7e133c10 @@ -117942,6 +147428,8 @@ 1 1 0 +0 +5 2 0x6ee5 0x8bbceee4 @@ -117950,6 +147438,8 @@ 0 0 0 +5 +4 3 0xb492 0x23172402 @@ -117958,6 +147448,8 @@ 1 0 0 +1 +2 4 0x996 0x59a6fa29 @@ -117967,6 +147459,8 @@ 1 0 1 +0 +1 0x3b58 0x1416949c 256 @@ -117975,6 +147469,8 @@ 0 0 4 +1 +4 0x8d26 0xbce93029 256 @@ -117982,6 +147478,8 @@ 1 0 0 +5 +4 4 0xf09 0x268cdfb3 @@ -117990,6 +147488,8 @@ 0 0 0 +0 +3 3 0x26c3 0x9e2359eb @@ -117998,6 +147498,8 @@ 1 0 0 +0 +2 3 0x18df 0x49cdcc8f @@ -118006,6 +147508,8 @@ 0 0 0 +1 +1 4 0x43df 0x35021f37 @@ -118014,6 +147518,8 @@ 0 0 0 +2 +0 3 0x64d2 0xebfa14b4 @@ -118022,6 +147528,8 @@ 0 0 0 +1 +2 4 0xa21f 0x995ccff @@ -118030,6 +147538,8 @@ 1 1 0 +4 +2 3 0xc6ee 0x15fde26d @@ -118038,6 +147548,8 @@ 0 0 0 +3 +0 2 0x2b28 0x57ab577f @@ -118046,6 +147558,8 @@ 0 0 0 +0 +4 1 0xf183 0xfbcec6f7 @@ -118054,6 +147568,8 @@ 0 0 0 +2 +1 3 0x491d 0x81221dc3 @@ -118063,6 +147579,8 @@ 1 0 3 +4 +3 0x5479 0x6fe5b528 256 @@ -118070,6 +147588,8 @@ 1 1 0 +1 +0 2 0x21b1 0x68a7ab8 @@ -118078,6 +147598,8 @@ 1 0 0 +0 +3 1 0x8db 0x77e4fd83 @@ -118086,6 +147608,8 @@ 0 0 0 +0 +5 4 0xe1bc 0xffa96001 @@ -118094,6 +147618,8 @@ 1 0 0 +1 +4 2 0x7f5a 0x654e5904 @@ -118102,6 +147628,8 @@ 0 0 0 +2 +1 3 0x9d52 0xf42689ca @@ -118110,6 +147638,8 @@ 1 0 0 +5 +4 2 0xfd0a 0xb9766657 @@ -118119,6 +147649,8 @@ 0 0 1 +3 +1 0x6d7d 0x73ce2c52 256 @@ -118126,6 +147658,8 @@ 0 0 0 +4 +3 2 0xf131 0x2253be98 @@ -118134,6 +147668,8 @@ 0 0 0 +0 +5 3 0xf796 0xad54fc2a @@ -118142,6 +147678,8 @@ 0 0 0 +4 +1 3 0x925 0x929bd456 @@ -118150,6 +147688,8 @@ 1 1 0 +5 +2 3 0xfe9b 0x2e7b173e @@ -118158,6 +147698,8 @@ 0 0 0 +0 +5 2 0x49d 0x1db83333 @@ -118166,6 +147708,8 @@ 1 1 0 +0 +2 2 0x1551 0xb4d98837 @@ -118174,6 +147718,8 @@ 1 1 0 +2 +4 4 0x548b 0xf7ebc8c1 @@ -118182,6 +147728,8 @@ 0 0 0 +3 +3 4 0xadb3 0xac31583f @@ -118190,6 +147738,8 @@ 1 0 0 +0 +4 1 0xa997 0x4e1e3309 @@ -118198,6 +147748,8 @@ 0 0 0 +2 +5 4 0xdc19 0xdfde461e @@ -118206,6 +147758,8 @@ 1 0 0 +3 +3 2 0x5273 0x69f6425f @@ -118214,6 +147768,8 @@ 0 0 0 +2 +0 1 0x6c3d 0x4739186a @@ -118223,6 +147779,8 @@ 0 0 4 +0 +4 0x8c62 0x883a1a22 256 @@ -118230,6 +147788,8 @@ 0 0 0 +3 +5 4 0x9477 0x881f533e @@ -118238,6 +147798,8 @@ 0 0 0 +4 +1 1 0x5f9 0x88544cc6 @@ -118246,6 +147808,8 @@ 0 0 0 +2 +1 4 0x5a08 0x953def6f @@ -118254,6 +147818,8 @@ 1 1 0 +4 +5 1 0x557b 0x1ce6e80f @@ -118262,6 +147828,8 @@ 0 0 0 +0 +0 3 0x7764 0x6b1f2a3d @@ -118270,6 +147838,8 @@ 0 0 0 +0 +0 3 0x11d6 0xd67acad1 @@ -118279,6 +147849,8 @@ 0 0 4 +4 +4 0x21ce 0xe6a24cdc 256 @@ -118286,6 +147858,8 @@ 0 0 0 +5 +3 2 0x2b79 0xd2516691 @@ -118294,6 +147868,8 @@ 1 1 0 +3 +0 2 0x41dc 0xc259cd1 @@ -118302,6 +147878,8 @@ 1 1 0 +4 +1 2 0x576d 0x868009af @@ -118310,6 +147888,8 @@ 1 0 0 +4 +4 3 0xa7f2 0x90eb567a @@ -118318,6 +147898,8 @@ 1 0 0 +4 +3 3 0x31a4 0x6076d27 @@ -118326,6 +147908,8 @@ 1 0 0 +4 +3 2 0x5e8b 0x58a46be5 @@ -118334,6 +147918,8 @@ 1 0 0 +5 +3 4 0xc5c 0x7c4664a2 @@ -118342,6 +147928,8 @@ 1 1 0 +1 +5 4 0xb376 0x1a267036 @@ -118350,6 +147938,8 @@ 0 0 0 +4 +3 3 0xf3b9 0xa237e365 @@ -118359,6 +147949,8 @@ 0 0 2 +5 +2 0xfafd 0xa1ee8dfd 256 @@ -118366,6 +147958,8 @@ 0 0 0 +3 +3 1 0x1388 0x1540ecb9 @@ -118374,6 +147968,8 @@ 0 0 0 +1 +1 3 0x8286 0xcabe9adc @@ -118383,6 +147979,8 @@ 1 0 3 +5 +3 0xa6b 0x9f2b82fd 256 @@ -118391,6 +147989,8 @@ 0 0 4 +3 +4 0xda33 0xf2137dc6 256 @@ -118398,6 +147998,8 @@ 0 0 0 +5 +2 3 0xe425 0x3dfccda3 @@ -118406,6 +148008,8 @@ 1 1 0 +4 +0 3 0x68b4 0x7227279e @@ -118414,6 +148018,8 @@ 1 0 0 +5 +2 4 0xfdc0 0x1d9224e2 @@ -118422,6 +148028,8 @@ 0 0 0 +0 +2 3 0xbf4 0x80d12ef6 @@ -118431,6 +148039,8 @@ 0 0 1 +2 +1 0xbcb 0xf790fe40 256 @@ -118438,6 +148048,8 @@ 1 1 0 +4 +4 2 0x1db 0xe63695fa @@ -118446,6 +148058,8 @@ 0 0 0 +2 +0 4 0x95cf 0x7694d49 @@ -118454,6 +148068,8 @@ 0 0 0 +5 +0 4 0xcfbb 0xb21a41e7 @@ -118462,6 +148078,8 @@ 1 0 0 +5 +5 4 0xc9a7 0x418bb70e @@ -118470,6 +148088,8 @@ 0 0 0 +5 +3 4 0x3f06 0x9b1b2a53 @@ -118478,6 +148098,8 @@ 1 1 0 +5 +5 1 0xe513 0x7623259 @@ -118486,6 +148108,8 @@ 0 0 0 +2 +5 1 0x2ca9 0x67774f77 @@ -118494,6 +148118,8 @@ 0 0 0 +2 +0 1 0x9f53 0x82372d80 @@ -118502,6 +148128,8 @@ 1 0 0 +3 +2 1 0x577b 0xa299d00c @@ -118510,6 +148138,8 @@ 0 0 0 +1 +1 4 0xbdfd 0xdec53866 @@ -118518,6 +148148,8 @@ 1 0 0 +0 +4 1 0xadef 0x3ab418f1 @@ -118526,6 +148158,8 @@ 1 0 0 +4 +5 3 0x3966 0xe6c940aa @@ -118534,6 +148168,8 @@ 1 0 0 +3 +1 2 0x3088 0x43e6719a @@ -118542,6 +148178,8 @@ 0 0 0 +0 +3 4 0xb9fc 0xcd48e1c8 @@ -118550,6 +148188,8 @@ 1 0 0 +0 +0 1 0x5508 0x59a4c0e0 @@ -118558,6 +148198,8 @@ 1 1 0 +2 +5 4 0x5487 0x9fd37bf @@ -118566,6 +148208,8 @@ 1 0 0 +0 +2 4 0x3ef3 0x66fdbf9a @@ -118574,6 +148218,8 @@ 0 0 0 +0 +4 3 0x3bda 0xc0ab2499 @@ -118582,6 +148228,8 @@ 0 0 0 +1 +0 2 0x8c4b 0x76e255c0 @@ -118591,6 +148239,8 @@ 1 0 3 +2 +3 0xa364 0xbb2be2fe 256 @@ -118599,6 +148249,8 @@ 1 0 1 +3 +1 0x5462 0xb2e54509 256 @@ -118606,6 +148258,8 @@ 1 0 0 +1 +5 3 0xa809 0x2a37511e @@ -118614,6 +148268,8 @@ 1 1 0 +0 +4 1 0xe366 0xc1af995e @@ -118622,6 +148278,8 @@ 0 0 0 +5 +5 3 0xe8e6 0xe8b6c15c @@ -118630,6 +148288,8 @@ 0 0 0 +0 +3 1 0xa037 0xeac366b4 @@ -118638,6 +148298,8 @@ 0 0 0 +5 +5 4 0x7399 0x500d9f1b @@ -118646,6 +148308,8 @@ 1 1 0 +4 +5 1 0xa2f6 0x927740de @@ -118654,6 +148318,8 @@ 0 0 0 +1 +4 3 0xb249 0x39f522c2 @@ -118662,6 +148328,8 @@ 1 0 0 +5 +0 2 0x601b 0x9f13f4ae @@ -118670,6 +148338,8 @@ 0 0 0 +5 +0 1 0x8d3a 0xe7e563f1 @@ -118678,6 +148348,8 @@ 0 0 0 +0 +0 3 0x4a10 0xf0444966 @@ -118686,6 +148358,8 @@ 0 0 0 +0 +5 4 0xefb9 0xf44560da @@ -118694,6 +148368,8 @@ 0 0 0 +2 +4 3 0x7f7d 0x62fd2b6 @@ -118702,6 +148378,8 @@ 0 0 0 +5 +3 3 0x2362 0x5cf7eacb @@ -118711,6 +148389,8 @@ 0 0 4 +2 +4 0xf729 0xa56e4928 256 @@ -118718,6 +148398,8 @@ 1 1 0 +1 +4 3 0x942 0x17f87609 @@ -118726,6 +148408,8 @@ 0 0 0 +0 +5 3 0xc387 0xbd4b966b @@ -118735,6 +148419,8 @@ 0 0 1 +3 +1 0x63e3 0xa494da78 256 @@ -118742,6 +148428,8 @@ 0 0 0 +5 +0 4 0x2f0a 0x7d8ffb7a @@ -118751,6 +148439,8 @@ 0 0 3 +4 +3 0x5c9b 0x26c792cb 256 @@ -118758,6 +148448,8 @@ 0 0 0 +5 +5 3 0xe49f 0x2651cf76 @@ -118766,6 +148458,8 @@ 0 0 0 +5 +4 4 0x5df3 0xd7dc2c24 @@ -118774,6 +148468,8 @@ 0 0 0 +5 +4 3 0x47f9 0xd7cbbcf1 @@ -118783,6 +148479,8 @@ 1 0 1 +3 +1 0x6db4 0x83c2949f 256 @@ -118790,6 +148488,8 @@ 0 0 0 +1 +4 3 0x4207 0x7a35d10 @@ -118798,6 +148498,8 @@ 0 0 0 +0 +3 2 0x570 0x1b40c843 @@ -118806,6 +148508,8 @@ 0 0 0 +0 +5 3 0xa3cf 0xe94c3fc4 @@ -118814,6 +148518,8 @@ 1 0 0 +0 +4 1 0xf1d8 0x148ab62e @@ -118823,6 +148529,8 @@ 0 0 3 +1 +3 0x4c01 0x3e4ffcd7 256 @@ -118830,6 +148538,8 @@ 0 0 0 +0 +0 1 0x3be9 0x91a0a572 @@ -118838,6 +148548,8 @@ 1 0 0 +5 +2 2 0x3d3c 0xe51d3bfa @@ -118847,6 +148559,8 @@ 0 0 2 +1 +2 0x8b2d 0xa86ff8af 256 @@ -118854,6 +148568,8 @@ 0 0 0 +0 +1 3 0x41f9 0xd582f33a @@ -118862,6 +148578,8 @@ 1 0 0 +0 +2 4 0x8ed0 0x6f2427f5 @@ -118870,6 +148588,8 @@ 1 1 0 +2 +1 4 0x8b6c 0xd2a3aabb @@ -118878,6 +148598,8 @@ 0 0 0 +2 +5 3 0x5e3a 0xa69c0504 @@ -118886,6 +148608,8 @@ 1 1 0 +0 +3 3 0xca78 0xfb1eaad @@ -118894,6 +148618,8 @@ 1 1 0 +3 +3 2 0xd042 0x91999754 @@ -118902,6 +148628,8 @@ 0 0 0 +2 +0 3 0x36c 0x3f911cac @@ -118910,6 +148638,8 @@ 1 0 0 +1 +0 4 0x6254 0xb8f508c4 @@ -118918,6 +148648,8 @@ 1 0 0 +0 +5 4 0x2952 0x223351da @@ -118926,6 +148658,8 @@ 1 1 0 +5 +1 2 0x4793 0xe90f95a5 @@ -118934,6 +148668,8 @@ 1 0 0 +5 +4 4 0x92d9 0xfb54d120 @@ -118943,6 +148679,8 @@ 0 0 2 +4 +2 0x7efa 0xb0b50023 256 @@ -118950,6 +148688,8 @@ 0 0 0 +0 +3 1 0x4556 0xc80522a8 @@ -118958,6 +148698,8 @@ 1 0 0 +5 +0 4 0x8d86 0xd353ade7 @@ -118967,6 +148709,8 @@ 0 0 4 +0 +4 0xb98f 0x1b0112ae 256 @@ -118974,6 +148718,8 @@ 0 0 0 +3 +4 2 0xfde4 0x7ebb9c52 @@ -118982,6 +148728,8 @@ 1 0 0 +1 +2 2 0x90fe 0x676d4e25 @@ -118990,6 +148738,8 @@ 0 0 0 +3 +2 4 0xb48d 0x3e428f74 @@ -118998,6 +148748,8 @@ 0 0 0 +3 +3 1 0x516b 0xc9cf3916 @@ -119006,6 +148758,8 @@ 0 0 0 +0 +1 2 0xe1e9 0xa965d0e3 @@ -119014,6 +148768,8 @@ 0 0 0 +3 +4 4 0xb0d0 0x343bb594 @@ -119022,6 +148778,8 @@ 1 1 0 +0 +1 4 0x148a 0xfa6f017 @@ -119030,6 +148788,8 @@ 0 0 0 +0 +0 1 0x3bc9 0x3b9b2af6 @@ -119038,6 +148798,8 @@ 0 0 0 +3 +3 1 0xc023 0x526dbaf2 @@ -119046,6 +148808,8 @@ 1 0 0 +0 +0 2 0xd42d 0xe02e9119 @@ -119054,6 +148818,8 @@ 0 0 0 +4 +2 3 0x7f98 0x9a548b24 @@ -119062,6 +148828,8 @@ 1 1 0 +5 +4 4 0x480f 0x461e2a0e @@ -119070,6 +148838,8 @@ 1 1 0 +1 +0 3 0x1e37 0x928303d7 @@ -119079,6 +148849,8 @@ 0 0 2 +2 +2 0x60ab 0x89faa794 256 @@ -119087,6 +148859,8 @@ 0 0 2 +2 +2 0x1e9b 0x9cc09e67 256 @@ -119094,6 +148868,8 @@ 1 1 0 +0 +3 2 0x3a38 0x5c3183da @@ -119103,6 +148879,8 @@ 0 0 4 +5 +4 0x6882 0xf8db390d 256 @@ -119110,6 +148888,8 @@ 1 0 0 +2 +3 4 0x9cc4 0x603b23b0 @@ -119119,6 +148899,8 @@ 0 0 2 +1 +2 0xafd 0x969bb6d1 256 @@ -119127,6 +148909,8 @@ 1 0 3 +0 +3 0x6522 0xc3aa1c9e 256 @@ -119134,6 +148918,8 @@ 0 0 0 +5 +4 2 0xefca 0x46f12048 @@ -119142,6 +148928,8 @@ 1 0 0 +1 +4 4 0xc7d9 0x1dee3ca4 @@ -119150,6 +148938,8 @@ 0 0 0 +2 +4 3 0xe5ae 0x3c9e50bb @@ -119158,6 +148948,8 @@ 0 0 0 +5 +1 2 0x67df 0x936c2df5 @@ -119166,6 +148958,8 @@ 1 1 0 +4 +0 3 0xbe46 0xd5fecbd0 @@ -119174,6 +148968,8 @@ 1 1 0 +0 +4 1 0xb16f 0xf48f27a2 @@ -119182,6 +148978,8 @@ 0 0 0 +5 +1 4 0x3066 0x667a0b0c @@ -119191,6 +148989,8 @@ 0 0 4 +4 +4 0xc795 0x13e5c798 256 @@ -119198,6 +148998,8 @@ 0 0 0 +2 +0 3 0x5bd 0x39c2258d @@ -119207,6 +149009,8 @@ 1 0 4 +2 +4 0xd796 0xe6c26315 256 @@ -119214,6 +149018,8 @@ 1 1 0 +4 +3 2 0x113 0xdd722a51 @@ -119222,6 +149028,8 @@ 1 0 0 +1 +3 2 0xd09 0x5aa073ed @@ -119230,6 +149038,8 @@ 0 0 0 +5 +4 3 0xe0bb 0xe742d04e @@ -119238,6 +149048,8 @@ 0 0 0 +5 +2 3 0x876d 0xcabc1bce @@ -119246,6 +149058,8 @@ 0 0 0 +2 +3 3 0x766a 0x6a85652f @@ -119254,6 +149068,8 @@ 0 0 0 +5 +3 3 0xe0f7 0xe9e9d202 @@ -119262,6 +149078,8 @@ 0 0 0 +3 +4 4 0x1446 0x8ad76ad4 @@ -119270,6 +149088,8 @@ 1 1 0 +3 +2 4 0xe1c3 0xc2291971 @@ -119278,6 +149098,8 @@ 0 0 0 +2 +5 4 0x5e4c 0x26d8adaa @@ -119286,6 +149108,8 @@ 0 0 0 +1 +0 4 0xf70a 0xf1595c24 @@ -119295,6 +149119,8 @@ 0 0 1 +5 +1 0x77ed 0xa97c6360 256 @@ -119302,6 +149128,8 @@ 0 0 0 +0 +4 1 0x9cd7 0xd58c95c3 @@ -119310,6 +149138,8 @@ 0 0 0 +1 +4 4 0x23a7 0xcea7ea12 @@ -119319,6 +149149,8 @@ 0 0 2 +3 +2 0x236 0x7026146c 256 @@ -119327,6 +149159,8 @@ 0 0 1 +2 +1 0xb0e9 0x68751200 256 @@ -119334,6 +149168,8 @@ 0 0 0 +5 +4 3 0xb8e2 0x4cb6cab2 @@ -119343,6 +149179,8 @@ 1 0 3 +0 +3 0x59f9 0x3357e286 256 @@ -119350,6 +149188,8 @@ 0 0 0 +4 +4 2 0xd503 0x7958c776 @@ -119358,6 +149198,8 @@ 0 0 0 +1 +4 2 0x2ea0 0xa05fe4d0 @@ -119366,6 +149208,8 @@ 1 0 0 +3 +2 4 0x8087 0x4cb24caf @@ -119374,6 +149218,8 @@ 0 0 0 +5 +4 2 0x11 0xc24228da @@ -119382,6 +149228,8 @@ 1 0 0 +5 +2 2 0x5fbf 0x75750fd4 @@ -119390,6 +149238,8 @@ 1 0 0 +0 +1 1 0x4f21 0xa23becef @@ -119398,6 +149248,8 @@ 1 1 0 +5 +0 4 0x4a11 0xadced763 @@ -119406,6 +149258,8 @@ 0 0 0 +2 +4 4 0xc3db 0x7c6343df @@ -119414,6 +149268,8 @@ 1 1 0 +4 +4 2 0xae5 0xfdb22fe8 @@ -119422,6 +149278,8 @@ 0 0 0 +3 +2 2 0x4f6f 0xa668121c @@ -119430,6 +149288,8 @@ 0 0 0 +5 +1 3 0x9e45 0x258f1b4c @@ -119438,6 +149298,8 @@ 1 0 0 +4 +3 3 0xc85a 0x48738db1 @@ -119446,6 +149308,8 @@ 1 0 0 +1 +2 4 0x4b98 0x625d1773 @@ -119454,6 +149318,8 @@ 0 0 0 +2 +4 4 0x7355 0xe86d09a9 @@ -119462,6 +149328,8 @@ 0 0 0 +3 +4 4 0xa8bd 0xd8839e74 @@ -119470,6 +149338,8 @@ 1 1 0 +3 +5 1 0x8af0 0xba0ddcfd @@ -119479,6 +149349,8 @@ 1 0 4 +3 +4 0xdc69 0xe7e0133d 256 @@ -119486,6 +149358,8 @@ 0 0 0 +4 +5 2 0xc3e1 0xc85c63ed @@ -119494,6 +149368,8 @@ 0 0 0 +2 +1 3 0xb1d0 0x2e92ca68 @@ -119502,6 +149378,8 @@ 0 0 0 +3 +0 4 0xb79a 0xe3d4da0f @@ -119510,6 +149388,8 @@ 1 0 0 +2 +5 3 0x31e3 0xbdf00cb @@ -119518,6 +149398,8 @@ 0 0 0 +0 +3 1 0x9ff4 0x7db719e2 @@ -119527,6 +149409,8 @@ 0 0 2 +2 +2 0x2008 0xf2848ac 256 @@ -119535,6 +149419,8 @@ 0 0 3 +5 +3 0x6770 0xd6c8be4f 256 @@ -119542,6 +149428,8 @@ 0 0 0 +3 +3 4 0x5a2 0xecd76492 @@ -119550,6 +149438,8 @@ 1 0 0 +4 +0 3 0xc979 0x6f3195c6 @@ -119558,6 +149448,8 @@ 0 0 0 +2 +4 4 0x5472 0xb779e030 @@ -119566,6 +149458,8 @@ 1 0 0 +3 +5 1 0xf8d1 0xc7195388 @@ -119574,6 +149468,8 @@ 1 0 0 +2 +4 4 0x2834 0x43fbc848 @@ -119582,6 +149478,8 @@ 1 0 0 +0 +5 4 0x4d3f 0x49ed60d6 @@ -119591,6 +149489,8 @@ 0 0 4 +1 +4 0xc127 0x631ca2d8 256 @@ -119598,6 +149498,8 @@ 1 0 0 +2 +1 1 0x3586 0x1159a227 @@ -119607,6 +149509,8 @@ 0 0 2 +2 +2 0xa37e 0x85d27fe5 256 @@ -119614,6 +149518,8 @@ 0 0 0 +3 +4 1 0xc45b 0x3968e5da @@ -119622,6 +149528,8 @@ 1 0 0 +0 +0 3 0x2492 0x694a0f38 @@ -119631,6 +149539,8 @@ 0 0 1 +4 +1 0x2c24 0x988cbdf2 256 @@ -119639,6 +149549,8 @@ 0 0 4 +1 +4 0xc01b 0xbea071b5 256 @@ -119646,6 +149558,8 @@ 0 0 0 +2 +2 3 0x528a 0x3d255582 @@ -119654,6 +149568,8 @@ 0 0 0 +5 +0 2 0x32da 0x4eff8646 @@ -119662,6 +149578,8 @@ 1 0 0 +3 +0 4 0x213f 0xcd0908c2 @@ -119671,6 +149589,8 @@ 1 0 2 +5 +2 0xf171 0xf956ea95 256 @@ -119678,6 +149598,8 @@ 0 0 0 +2 +2 4 0x7ba1 0x64966a3b @@ -119687,6 +149609,8 @@ 0 0 1 +2 +1 0x7e79 0xd1599dd2 256 @@ -119694,6 +149618,8 @@ 1 1 0 +5 +2 3 0x742b 0x3b091661 @@ -119703,6 +149629,8 @@ 0 0 4 +3 +4 0xfe8c 0x3f0e71d6 256 @@ -119711,6 +149639,8 @@ 1 0 4 +3 +4 0x4723 0xb0f37ba 256 @@ -119718,6 +149648,8 @@ 0 0 0 +4 +0 3 0x40eb 0xa8e5b8ab @@ -119726,6 +149658,8 @@ 1 0 0 +3 +3 1 0x22e3 0xe0b0db11 @@ -119734,6 +149668,8 @@ 0 0 0 +0 +3 3 0x82d4 0x6a8e791 @@ -119742,6 +149678,8 @@ 1 1 0 +1 +0 3 0xa3b1 0xf412a7fd @@ -119750,6 +149688,8 @@ 0 0 0 +5 +4 1 0x5c88 0x4a3db9d0 @@ -119758,6 +149698,8 @@ 0 0 0 +0 +2 1 0xb672 0x6b03142a @@ -119767,6 +149709,8 @@ 0 0 4 +1 +4 0xba58 0xa2c2b792 256 @@ -119774,6 +149718,8 @@ 1 0 0 +4 +1 2 0xdeda 0x1fd04a52 @@ -119782,6 +149728,8 @@ 0 0 0 +4 +4 3 0x2e1b 0x7995631a @@ -119791,6 +149739,8 @@ 0 0 4 +0 +4 0x5cd4 0x9228ee61 256 @@ -119798,6 +149748,8 @@ 1 1 0 +0 +3 4 0x8510 0xdf2e09fb @@ -119806,6 +149758,8 @@ 1 1 0 +2 +5 4 0xd007 0x2034d52d @@ -119814,6 +149768,8 @@ 0 0 0 +3 +1 4 0xdf8e 0x3231cb8 @@ -119822,6 +149778,8 @@ 1 1 0 +4 +0 2 0x20ca 0x9f2f2f20 @@ -119831,6 +149789,8 @@ 0 0 2 +0 +2 0xadde 0x15659116 256 @@ -119838,6 +149798,8 @@ 1 0 0 +5 +3 1 0x6061 0x64ec196 @@ -119846,6 +149808,8 @@ 0 0 0 +5 +0 2 0xc21c 0xf1cd9008 @@ -119854,6 +149818,8 @@ 1 0 0 +2 +5 3 0x8fd3 0x6a4fccb @@ -119863,6 +149829,8 @@ 0 0 4 +5 +4 0xfee 0x91177059 256 @@ -119870,6 +149838,8 @@ 1 1 0 +4 +0 3 0xfdfc 0xe02540c1 @@ -119878,6 +149848,8 @@ 0 0 0 +3 +2 1 0x8e69 0x2b189a4d @@ -119886,6 +149858,8 @@ 0 0 0 +0 +2 1 0x6f21 0x75c2f651 @@ -119894,6 +149868,8 @@ 0 0 0 +4 +1 1 0x3575 0x43c6bb05 @@ -119903,6 +149879,8 @@ 0 0 4 +4 +4 0xd7d5 0xf0affcf9 256 @@ -119910,6 +149888,8 @@ 1 1 0 +5 +4 3 0x3aa0 0xeb42b666 @@ -119918,6 +149898,8 @@ 1 0 0 +2 +2 3 0xdf5e 0x1df416e7 @@ -119926,6 +149908,8 @@ 1 0 0 +0 +3 4 0x2422 0xfa98149d @@ -119935,6 +149919,8 @@ 1 0 1 +4 +1 0x733 0xe228c4d4 256 @@ -119942,6 +149928,8 @@ 0 0 0 +2 +2 3 0xa30a 0x39dd2de1 @@ -119951,6 +149939,8 @@ 1 0 4 +5 +4 0x5626 0x35a87012 256 @@ -119958,6 +149948,8 @@ 0 0 0 +2 +0 4 0x8042 0xa93bdb83 @@ -119966,6 +149958,8 @@ 0 0 0 +5 +4 2 0xe8ce 0x30dfba35 @@ -119975,6 +149969,8 @@ 0 0 2 +0 +2 0x40e7 0xa83adee 256 @@ -119982,6 +149978,8 @@ 0 0 0 +3 +2 1 0xf4e 0x8e26659a @@ -119990,6 +149988,8 @@ 0 0 0 +2 +5 4 0xe8ae 0x6a64dbdf @@ -119998,6 +149998,8 @@ 1 1 0 +5 +4 1 0x8889 0xc69045 @@ -120006,6 +150008,8 @@ 1 1 0 +2 +5 1 0x816a 0xc5fc428c @@ -120014,6 +150018,8 @@ 1 1 0 +0 +5 4 0x5124 0xe9760d77 @@ -120022,6 +150028,8 @@ 1 0 0 +2 +2 1 0xa5de 0x6f4e2c0e @@ -120030,6 +150038,8 @@ 1 1 0 +5 +3 4 0xec89 0x3fa7553b @@ -120038,6 +150048,8 @@ 1 0 0 +4 +1 3 0x19b0 0xb12030f4 @@ -120047,6 +150059,8 @@ 1 0 4 +0 +4 0xcf3d 0x8f472931 256 @@ -120054,6 +150068,8 @@ 1 1 0 +2 +5 1 0x12c9 0x4189b59a @@ -120063,6 +150079,8 @@ 0 0 1 +4 +1 0x17a1 0x1972218d 256 @@ -120070,6 +150088,8 @@ 0 0 0 +0 +3 3 0x16af 0xc7df8099 @@ -120079,6 +150099,8 @@ 1 0 2 +1 +2 0xc26c 0xaae548aa 256 @@ -120086,6 +150108,8 @@ 0 0 0 +2 +0 4 0x7d0b 0x20aff5ee @@ -120094,6 +150118,8 @@ 1 1 0 +2 +2 3 0x3269 0x71a0a8c @@ -120102,6 +150128,8 @@ 1 0 0 +2 +4 3 0xa4ea 0x2ad47d62 @@ -120110,6 +150138,8 @@ 1 0 0 +4 +3 1 0x7b43 0x1859deb5 @@ -120118,6 +150148,8 @@ 1 1 0 +2 +4 4 0xe2f1 0x94c9a0b3 @@ -120126,6 +150158,8 @@ 1 1 0 +5 +0 4 0x7e4e 0x2e97dbcf @@ -120134,6 +150168,8 @@ 0 0 0 +1 +0 3 0xd44c 0xc543c2cb @@ -120142,6 +150178,8 @@ 0 0 0 +0 +4 4 0x1bcd 0xc6cc78cb @@ -120150,6 +150188,8 @@ 0 0 0 +0 +5 1 0x46b 0xca017731 @@ -120158,6 +150198,8 @@ 1 1 0 +1 +3 4 0x4bcf 0xc59a341f @@ -120166,6 +150208,8 @@ 1 1 0 +0 +2 1 0x1853 0x542931d2 @@ -120174,6 +150218,8 @@ 1 1 0 +4 +2 3 0xc8fd 0xda6bdc07 @@ -120183,6 +150229,8 @@ 0 0 4 +2 +4 0x41c 0xdebf1a1c 256 @@ -120190,6 +150238,8 @@ 0 0 0 +4 +4 2 0x6871 0x801123dc @@ -120198,6 +150248,8 @@ 1 1 0 +2 +5 4 0xbee9 0xd341d783 @@ -120206,6 +150258,8 @@ 0 0 0 +2 +1 4 0x1697 0xd19b1b64 @@ -120214,6 +150268,8 @@ 1 0 0 +1 +4 2 0xdd77 0x699a381b @@ -120222,6 +150278,8 @@ 0 0 0 +2 +1 4 0x2bc4 0xf3d9768c @@ -120230,6 +150288,8 @@ 0 0 0 +3 +2 1 0x10cc 0x3b6b3cc6 @@ -120239,6 +150299,8 @@ 0 0 4 +2 +4 0x7636 0x7b2abd3 256 @@ -120246,6 +150308,8 @@ 0 0 0 +3 +1 2 0xd65e 0x814fa0f6 @@ -120254,6 +150318,8 @@ 1 0 0 +2 +1 3 0x6e2 0x62f43111 @@ -120262,6 +150328,8 @@ 1 1 0 +2 +1 4 0x39ee 0xe5799533 @@ -120270,6 +150338,8 @@ 1 1 0 +0 +2 4 0x193e 0x66656949 @@ -120278,6 +150348,8 @@ 0 0 0 +3 +4 2 0x317 0xfdba9fcc @@ -120286,6 +150358,8 @@ 1 1 0 +3 +0 4 0xdad8 0xe7187327 @@ -120294,6 +150368,8 @@ 1 0 0 +3 +5 2 0xd9cb 0x5a47e0d1 @@ -120302,6 +150378,8 @@ 0 0 0 +5 +2 3 0xa3a3 0xbaf932dc @@ -120311,6 +150389,8 @@ 0 0 3 +2 +3 0x5650 0x87d5b713 256 @@ -120318,6 +150398,8 @@ 0 0 0 +5 +4 3 0x724c 0xfe7ad706 @@ -120326,6 +150408,8 @@ 1 1 0 +5 +5 1 0x9571 0x7303fb9d @@ -120334,6 +150418,8 @@ 0 0 0 +3 +2 1 0xff06 0xf2338bb1 @@ -120342,6 +150428,8 @@ 1 1 0 +0 +3 1 0xe8c9 0x47e3f4cd @@ -120350,6 +150438,8 @@ 0 0 0 +5 +3 4 0xad66 0x72fa80b @@ -120358,6 +150448,8 @@ 0 0 0 +2 +0 1 0x719f 0x2c25c97c @@ -120367,6 +150459,8 @@ 0 0 1 +2 +1 0xd800 0x3672ec2b 256 @@ -120374,6 +150468,8 @@ 1 1 0 +2 +5 3 0x5734 0xcf558fd1 @@ -120383,6 +150479,8 @@ 0 0 1 +3 +1 0xfe4 0xddefb732 256 @@ -120390,6 +150488,8 @@ 1 0 0 +3 +3 2 0x5d3a 0xc1bda47b @@ -120398,6 +150498,8 @@ 0 0 0 +0 +5 4 0x936b 0xc88def49 @@ -120406,6 +150508,8 @@ 0 0 0 +5 +1 2 0x6040 0x1cf3fd4a @@ -120414,6 +150518,8 @@ 0 0 0 +1 +4 3 0x23db 0xae2cfd1b @@ -120422,6 +150528,8 @@ 1 0 0 +1 +1 3 0xe972 0x2e51c0f6 @@ -120431,6 +150539,8 @@ 1 0 2 +2 +2 0x5f24 0x5aa1bde6 256 @@ -120438,6 +150548,8 @@ 1 0 0 +4 +2 3 0x524f 0x13f87209 @@ -120447,6 +150559,8 @@ 0 0 2 +1 +2 0x7b86 0x394aaf8c 256 @@ -120454,6 +150568,8 @@ 1 0 0 +5 +5 4 0xa0c4 0x3fea7441 @@ -120463,6 +150579,8 @@ 0 0 3 +0 +3 0x2762 0x12444822 256 @@ -120471,6 +150589,8 @@ 0 0 3 +0 +3 0x7f6c 0xa54824d6 256 @@ -120478,6 +150598,8 @@ 0 0 0 +0 +0 2 0x7b3 0x376705a1 @@ -120486,6 +150608,8 @@ 1 1 0 +5 +2 3 0x68f8 0x5c834079 @@ -120494,6 +150618,8 @@ 1 1 0 +0 +2 2 0x23b4 0xbd03cc55 @@ -120502,6 +150628,8 @@ 0 0 0 +1 +4 4 0x29ac 0x45b6d936 @@ -120511,6 +150639,8 @@ 0 0 2 +1 +2 0x49c4 0x6f46d2a9 256 @@ -120518,6 +150648,8 @@ 0 0 0 +2 +3 4 0x1c73 0x12669f53 @@ -120526,6 +150658,8 @@ 0 0 0 +0 +0 4 0x9b76 0xf11e3f48 @@ -120534,6 +150668,8 @@ 0 0 0 +3 +4 2 0x117d 0x9e8c649b @@ -120542,6 +150678,8 @@ 1 0 0 +0 +1 2 0xfa62 0x1c754305 @@ -120550,6 +150688,8 @@ 0 0 0 +5 +2 1 0x5235 0x76322da3 @@ -120558,6 +150698,8 @@ 1 0 0 +0 +4 2 0x51f9 0xa7a1edc9 @@ -120567,6 +150709,8 @@ 0 0 2 +4 +2 0x405f 0xe599039b 256 @@ -120574,6 +150718,8 @@ 0 0 0 +5 +1 3 0x28a3 0x9d8d391 @@ -120583,6 +150729,8 @@ 0 0 3 +0 +3 0xd8f8 0x7ebe4dd2 256 @@ -120590,6 +150738,8 @@ 0 0 0 +3 +5 1 0xbe51 0x4c367249 @@ -120598,6 +150748,8 @@ 0 0 0 +0 +2 4 0xcd9 0xc4363baf @@ -120606,6 +150758,8 @@ 1 1 0 +2 +2 4 0xdc0 0xf8b354b9 @@ -120614,6 +150768,8 @@ 1 0 0 +2 +3 1 0x4307 0x6a621aa6 @@ -120622,6 +150778,8 @@ 0 0 0 +2 +5 4 0x6c1a 0x876ae7d2 @@ -120630,6 +150788,8 @@ 1 1 0 +5 +2 3 0xe6ba 0x4555a07b @@ -120639,6 +150799,8 @@ 0 0 3 +3 +3 0x1556 0xdbe9e9b 256 @@ -120646,6 +150808,8 @@ 0 0 0 +3 +1 2 0x1cef 0xd241b08e @@ -120654,6 +150818,8 @@ 1 0 0 +5 +3 4 0x1688 0x321f36d1 @@ -120662,6 +150828,8 @@ 1 1 0 +3 +2 4 0xfc13 0x55f0cb3f @@ -120670,6 +150838,8 @@ 1 0 0 +5 +1 1 0xfbf2 0xc30a22df @@ -120678,6 +150848,8 @@ 0 0 0 +0 +0 2 0x9a8c 0x15915f06 @@ -120686,6 +150858,8 @@ 0 0 0 +4 +5 1 0xb93b 0x7a966069 @@ -120694,6 +150868,8 @@ 0 0 0 +3 +3 2 0x26de 0x6cb206de @@ -120702,6 +150878,8 @@ 1 1 0 +2 +4 3 0x868f 0xd9332cd4 @@ -120710,6 +150888,8 @@ 1 0 0 +4 +4 3 0xb65f 0x657e5a12 @@ -120718,6 +150898,8 @@ 1 0 0 +2 +5 3 0xe635 0x8c8d8b93 @@ -120726,6 +150908,8 @@ 1 0 0 +0 +2 2 0xf999 0xc6939830 @@ -120735,6 +150919,8 @@ 1 0 2 +2 +2 0x17c1 0x949cbc58 256 @@ -120742,6 +150928,8 @@ 0 0 0 +0 +0 4 0x5549 0x12beb190 @@ -120750,6 +150938,8 @@ 1 0 0 +4 +5 1 0xf266 0xcd1fd688 @@ -120759,6 +150949,8 @@ 0 0 4 +2 +4 0x465f 0x8e9a609e 256 @@ -120766,6 +150958,8 @@ 0 0 0 +2 +4 3 0xdfc9 0x64ee5a10 @@ -120774,6 +150968,8 @@ 0 0 0 +5 +0 3 0x1bfe 0xb051b304 @@ -120782,6 +150978,8 @@ 0 0 0 +1 +2 4 0x848e 0x94a84a0b @@ -120790,6 +150988,8 @@ 1 1 0 +5 +1 1 0x1e65 0x21a774b8 @@ -120798,6 +150998,8 @@ 0 0 0 +5 +0 4 0x5810 0x85b6f0f9 @@ -120806,6 +151008,8 @@ 1 1 0 +5 +5 2 0xaf9f 0x90fd69e4 @@ -120814,6 +151018,8 @@ 1 1 0 +4 +3 2 0xf998 0xcf3549d2 @@ -120822,6 +151028,8 @@ 1 1 0 +5 +1 4 0x904c 0xeb6e0bdd @@ -120830,6 +151038,8 @@ 1 1 0 +4 +1 2 0x1881 0x5f7d1d6e @@ -120838,6 +151048,8 @@ 0 0 0 +5 +3 1 0xf225 0x2a0f16cc @@ -120846,6 +151058,8 @@ 1 0 0 +2 +5 4 0x51 0xdff43dc4 @@ -120854,6 +151068,8 @@ 1 0 0 +3 +5 2 0x6462 0x8d28e719 @@ -120863,6 +151079,8 @@ 0 0 2 +1 +2 0xb015 0x827de13 256 @@ -120870,6 +151088,8 @@ 1 1 0 +4 +2 2 0xa2e9 0xc0615e80 @@ -120878,6 +151098,8 @@ 1 1 0 +5 +5 1 0x6647 0x90658fa8 @@ -120886,6 +151108,8 @@ 1 0 0 +4 +2 1 0x902f 0xa10e4104 @@ -120894,6 +151118,8 @@ 0 0 0 +5 +4 3 0x4730 0x46aedf55 @@ -120903,6 +151129,8 @@ 0 0 1 +3 +1 0x4019 0x7e0fc4c0 256 @@ -120910,6 +151138,8 @@ 1 0 0 +0 +2 3 0x28f5 0xaac8e17b @@ -120918,6 +151148,8 @@ 1 0 0 +3 +1 2 0xb9d6 0xedff5771 @@ -120926,6 +151158,8 @@ 1 1 0 +2 +1 4 0xc54 0xa5b5f518 @@ -120934,6 +151168,8 @@ 1 0 0 +3 +2 4 0xe01d 0xe1844a09 @@ -120942,6 +151178,8 @@ 0 0 0 +4 +5 3 0x302d 0xd6d5fd3a @@ -120951,6 +151189,8 @@ 0 0 2 +5 +2 0xa669 0x4afc5428 256 @@ -120958,6 +151198,8 @@ 0 0 0 +2 +3 1 0x8023 0x20787c0c @@ -120966,6 +151208,8 @@ 0 0 0 +1 +2 3 0x115e 0xc07d310a @@ -120974,6 +151218,8 @@ 0 0 0 +4 +4 2 0x49f9 0xa9f59573 @@ -120983,6 +151229,8 @@ 0 0 1 +1 +1 0x5715 0x273ecd09 256 @@ -120990,6 +151238,8 @@ 1 0 0 +3 +3 2 0x62a9 0x95f21105 @@ -120998,6 +151248,8 @@ 1 0 0 +4 +4 2 0x8237 0x7e0ea178 @@ -121006,6 +151258,8 @@ 0 0 0 +1 +4 2 0x4df5 0x9e56f0a1 @@ -121015,6 +151269,8 @@ 0 0 1 +1 +1 0xa2ae 0x15349795 256 @@ -121022,6 +151278,8 @@ 0 0 0 +4 +4 2 0xb357 0xe5a28b8f @@ -121030,6 +151288,8 @@ 1 0 0 +5 +3 1 0x63f2 0xe6aacdd6 @@ -121038,6 +151298,8 @@ 0 0 0 +4 +1 1 0x6f82 0x648399bd @@ -121047,6 +151309,8 @@ 1 0 4 +2 +4 0x77df 0xe1d1d454 256 @@ -121054,6 +151318,8 @@ 1 1 0 +2 +4 3 0xae56 0x4e2534dd @@ -121062,6 +151328,8 @@ 0 0 0 +1 +3 4 0xa42d 0x827fcf1c @@ -121070,6 +151338,8 @@ 0 0 0 +2 +1 1 0x3837 0x12da02c @@ -121078,6 +151348,8 @@ 0 0 0 +3 +0 2 0x8061 0xdd79beca @@ -121086,6 +151358,8 @@ 1 0 0 +1 +0 2 0xc471 0x9dbc7e61 @@ -121094,6 +151368,8 @@ 0 0 0 +4 +3 2 0x985 0xad243afd @@ -121103,6 +151379,8 @@ 1 0 3 +2 +3 0x6075 0x13bcf5b5 256 @@ -121110,6 +151388,8 @@ 1 1 0 +2 +1 3 0x1733 0xbde35a2e @@ -121118,6 +151398,8 @@ 0 0 0 +2 +2 4 0xe8a3 0x6b3d87d1 @@ -121126,6 +151408,8 @@ 1 0 0 +0 +0 1 0xb5bc 0xc12f6a97 @@ -121134,6 +151418,8 @@ 0 0 0 +0 +3 1 0x7258 0x2524c47d @@ -121142,6 +151428,8 @@ 0 0 0 +0 +4 4 0x2729 0x61e54986 @@ -121150,6 +151438,8 @@ 0 0 0 +0 +3 3 0x284c 0xab6758e4 @@ -121158,6 +151448,8 @@ 1 1 0 +1 +0 3 0xecbe 0x17dab753 @@ -121166,6 +151458,8 @@ 1 0 0 +3 +0 1 0x35e5 0x2dbc8b38 @@ -121174,6 +151468,8 @@ 0 0 0 +1 +4 4 0x1d1c 0x9f0497b2 @@ -121182,6 +151478,8 @@ 0 0 0 +3 +3 1 0xb5a5 0x2c4b9a70 @@ -121190,6 +151488,8 @@ 1 0 0 +0 +2 2 0xbe24 0x429db302 @@ -121198,6 +151498,8 @@ 0 0 0 +0 +2 3 0x484 0x277fabe6 @@ -121207,6 +151509,8 @@ 1 0 2 +2 +2 0xfe 0xd1e33253 256 @@ -121214,6 +151518,8 @@ 0 0 0 +0 +5 1 0x49e1 0xd1387ff3 @@ -121223,6 +151529,8 @@ 1 0 2 +1 +2 0xb506 0x33d9e1f 256 @@ -121230,6 +151538,8 @@ 1 1 0 +5 +5 1 0xe4a2 0xa767e4ad @@ -121238,6 +151548,8 @@ 0 0 0 +0 +5 4 0x6866 0x55d5e457 @@ -121246,6 +151558,8 @@ 0 0 0 +1 +5 4 0x23c2 0x7147ddbb @@ -121254,6 +151568,8 @@ 0 0 0 +4 +4 1 0x96b0 0x1257d425 @@ -121262,6 +151578,8 @@ 1 0 0 +3 +1 2 0x4a5f 0xb35e3c91 @@ -121270,6 +151588,8 @@ 0 0 0 +0 +3 4 0x4b9 0x431b9ad @@ -121278,6 +151598,8 @@ 0 0 0 +5 +4 2 0xffa6 0xa7f4f0f1 @@ -121286,6 +151608,8 @@ 0 0 0 +2 +3 1 0x1156 0x7823810b @@ -121294,6 +151618,8 @@ 1 0 0 +5 +3 2 0x7264 0x745d1ec5 @@ -121302,6 +151628,8 @@ 0 0 0 +3 +3 2 0x22e1 0x93010fcd @@ -121310,6 +151638,8 @@ 1 1 0 +2 +4 1 0x4254 0xf8e52a41 @@ -121318,6 +151648,8 @@ 1 1 0 +1 +5 4 0x7f03 0xd126ac6d @@ -121326,6 +151658,8 @@ 0 0 0 +3 +4 1 0x281 0xdee4b55d @@ -121334,6 +151668,8 @@ 1 0 0 +1 +0 2 0xb00d 0xd467bb32 @@ -121343,6 +151679,8 @@ 1 0 1 +5 +1 0x53e3 0x2ca0b6da 256 @@ -121350,6 +151688,8 @@ 1 0 0 +2 +4 3 0xfe28 0xe5f0dafd @@ -121359,6 +151699,8 @@ 1 0 4 +2 +4 0x74f9 0xf938aac4 256 @@ -121366,6 +151708,8 @@ 1 0 0 +3 +3 1 0x1dc2 0xa64afddd @@ -121374,6 +151718,8 @@ 1 1 0 +1 +1 3 0xf6ea 0x46b4396 @@ -121382,6 +151728,8 @@ 0 0 0 +5 +3 4 0x1c40 0x5479f64a @@ -121390,6 +151738,8 @@ 0 0 0 +4 +5 1 0x73aa 0x4fcf3c3e @@ -121398,6 +151748,8 @@ 1 0 0 +2 +3 1 0x6101 0x357988d7 @@ -121406,6 +151758,8 @@ 1 1 0 +0 +1 3 0xda1f 0xfdd8db7a @@ -121414,6 +151768,8 @@ 0 0 0 +0 +4 2 0x8c74 0x6165cf3d @@ -121422,6 +151778,8 @@ 1 1 0 +3 +5 4 0x380b 0x72cca0e1 @@ -121430,6 +151788,8 @@ 0 0 0 +3 +3 2 0xf1a2 0xf7e4f96a @@ -121438,6 +151798,8 @@ 0 0 0 +4 +2 3 0xd491 0xb7d694d6 @@ -121446,6 +151808,8 @@ 1 0 0 +4 +4 2 0xb96e 0x232907b3 @@ -121454,6 +151818,8 @@ 1 1 0 +3 +2 1 0xfa50 0xe95223e4 @@ -121462,6 +151828,8 @@ 0 0 0 +5 +1 2 0xf5dd 0x913b527 @@ -121471,6 +151839,8 @@ 0 0 1 +5 +1 0xd606 0x7351acdc 256 @@ -121478,6 +151848,8 @@ 0 0 0 +3 +3 1 0x2a33 0xb373e87c @@ -121486,6 +151858,8 @@ 0 0 0 +0 +3 1 0xc6bc 0x55bd0db2 @@ -121495,6 +151869,8 @@ 1 0 1 +5 +1 0x6d0e 0xe199acb3 256 @@ -121502,6 +151878,8 @@ 1 0 0 +1 +3 2 0xcb20 0x7e4294ab @@ -121510,6 +151888,8 @@ 0 0 0 +2 +0 4 0xebea 0x69771328 @@ -121518,6 +151898,8 @@ 1 1 0 +5 +4 3 0xccda 0x10e093e9 @@ -121527,6 +151909,8 @@ 0 0 4 +3 +4 0x904 0x8390b8c4 256 @@ -121534,6 +151918,8 @@ 1 0 0 +2 +4 1 0x3e5b 0x27bb6bc5 @@ -121542,6 +151928,8 @@ 1 0 0 +0 +4 2 0xed3d 0xbbddabfa @@ -121550,6 +151938,8 @@ 0 0 0 +4 +2 3 0xe21d 0xfe2d4760 @@ -121558,6 +151948,8 @@ 0 0 0 +0 +0 3 0xd3fc 0x84e88cb5 @@ -121566,6 +151958,8 @@ 1 0 0 +0 +2 3 0xbb31 0xb711f1b8 @@ -121574,6 +151968,8 @@ 1 0 0 +5 +0 4 0xb54e 0x96ffcc4a @@ -121582,6 +151978,8 @@ 0 0 0 +5 +3 1 0x97e4 0x8bd71c2c @@ -121590,6 +151988,8 @@ 0 0 0 +3 +0 4 0x10d6 0x6a999754 @@ -121598,6 +151998,8 @@ 0 0 0 +1 +0 2 0xd4fc 0xc2ce0830 @@ -121606,6 +152008,8 @@ 1 0 0 +1 +4 4 0x204d 0xf03afe34 @@ -121614,6 +152018,8 @@ 1 0 0 +3 +4 2 0x24df 0x4a92adad @@ -121622,6 +152028,8 @@ 1 1 0 +2 +3 3 0x56c6 0x33202143 @@ -121630,6 +152038,8 @@ 0 0 0 +4 +1 1 0x89d7 0xf25753ac @@ -121638,6 +152048,8 @@ 0 0 0 +4 +4 2 0x270f 0x9b22950a @@ -121646,6 +152058,8 @@ 1 0 0 +2 +0 4 0x8265 0x143c063e @@ -121654,6 +152068,8 @@ 0 0 0 +1 +0 4 0x8a08 0xa7b5683f @@ -121662,6 +152078,8 @@ 0 0 0 +4 +4 2 0xde0b 0x569a16c2 @@ -121670,6 +152088,8 @@ 1 0 0 +3 +4 1 0x55 0xa5509dab @@ -121678,6 +152098,8 @@ 0 0 0 +3 +1 2 0xadd2 0xc55afe2a @@ -121686,6 +152108,8 @@ 0 0 0 +3 +3 2 0xea54 0xf180902a @@ -121694,6 +152118,8 @@ 1 1 0 +5 +2 3 0xe098 0xd9411c80 @@ -121702,6 +152128,8 @@ 1 1 0 +5 +0 2 0x6755 0x6be58681 @@ -121710,6 +152138,8 @@ 1 0 0 +3 +5 4 0x9e2f 0xf0974174 @@ -121718,6 +152148,8 @@ 0 0 0 +4 +5 1 0xec88 0xcc814f2a @@ -121727,6 +152159,8 @@ 0 0 4 +2 +4 0xa18e 0xdf418327 256 @@ -121734,6 +152168,8 @@ 1 1 0 +3 +5 2 0xb346 0x74441320 @@ -121742,6 +152178,8 @@ 1 1 0 +5 +1 4 0x7b09 0x11aca69c @@ -121750,6 +152188,8 @@ 0 0 0 +4 +2 3 0xde06 0x4dfa5d1e @@ -121758,6 +152198,8 @@ 1 1 0 +5 +3 3 0x3a4f 0x500a567a @@ -121767,6 +152209,8 @@ 0 0 3 +0 +3 0x9e3e 0x9ee1e3f5 256 @@ -121774,6 +152218,8 @@ 0 0 0 +0 +4 1 0xa465 0x7c932ec4 @@ -121783,6 +152229,8 @@ 0 0 3 +2 +3 0xab1a 0xa527d5f1 256 @@ -121790,6 +152238,8 @@ 0 0 0 +5 +1 3 0x468d 0x1fafd8ed @@ -121799,6 +152249,8 @@ 0 0 1 +3 +1 0xcf65 0x733cea41 256 @@ -121806,6 +152258,8 @@ 1 0 0 +2 +0 3 0x4574 0x542459e6 @@ -121815,6 +152269,8 @@ 0 0 1 +5 +1 0x915 0x275f10e4 256 @@ -121822,6 +152278,8 @@ 1 0 0 +2 +5 3 0x1fc9 0xc706fcb0 @@ -121830,6 +152288,8 @@ 1 0 0 +5 +2 2 0xf16d 0x3a0919be @@ -121838,6 +152298,8 @@ 1 0 0 +0 +2 1 0xb769 0x42b76e32 @@ -121846,6 +152308,8 @@ 1 1 0 +1 +0 3 0x5edb 0x7205c2bb @@ -121854,6 +152318,8 @@ 0 0 0 +2 +1 3 0x3d3a 0xf3e6a34f @@ -121862,6 +152328,8 @@ 1 0 0 +0 +2 2 0x3fb3 0x1a9260b @@ -121870,6 +152338,8 @@ 1 0 0 +2 +5 1 0xf18d 0x582527c1 @@ -121878,6 +152348,8 @@ 0 0 0 +5 +1 1 0xa7dc 0xde3c977a @@ -121886,6 +152358,8 @@ 1 1 0 +4 +5 1 0x74b 0x85bd904a @@ -121895,6 +152369,8 @@ 0 0 3 +5 +3 0xf049 0x48ddb257 256 @@ -121903,6 +152379,8 @@ 1 0 4 +5 +4 0xc50a 0x1875dff4 256 @@ -121910,6 +152388,8 @@ 1 1 0 +4 +5 1 0x1382 0xf8f0b142 @@ -121919,6 +152399,8 @@ 0 0 1 +4 +1 0x2d50 0x22228e90 256 @@ -121926,6 +152408,8 @@ 0 0 0 +0 +2 1 0x74fe 0x60d7284a @@ -121934,6 +152418,8 @@ 0 0 0 +3 +5 4 0xd8dd 0x65b33952 @@ -121942,6 +152428,8 @@ 0 0 0 +3 +0 4 0xf009 0x8576b720 @@ -121950,6 +152438,8 @@ 1 0 0 +2 +5 1 0x18d9 0x7a3734f3 @@ -121958,6 +152448,8 @@ 0 0 0 +4 +1 3 0x2e41 0x5608587a @@ -121966,6 +152458,8 @@ 0 0 0 +5 +4 3 0xa836 0xe308d972 @@ -121974,6 +152468,8 @@ 1 1 0 +4 +2 3 0x4f3f 0xa047a724 @@ -121982,6 +152478,8 @@ 0 0 0 +5 +2 2 0x3d 0xf8d5828b @@ -121990,6 +152488,8 @@ 1 0 0 +4 +0 3 0xbaf7 0x26925835 @@ -121998,6 +152498,8 @@ 1 1 0 +3 +3 4 0xf122 0xd312eea6 @@ -122006,6 +152508,8 @@ 1 0 0 +2 +4 4 0xdb88 0x83914d25 @@ -122015,6 +152519,8 @@ 1 0 2 +0 +2 0xc61d 0x11dd69d7 256 @@ -122023,6 +152529,8 @@ 0 0 4 +4 +4 0x7b8c 0xf72005d9 256 @@ -122030,6 +152538,8 @@ 0 0 0 +4 +3 3 0x8c33 0x82e2c08b @@ -122038,6 +152548,8 @@ 1 1 0 +0 +5 4 0x5757 0xb71ec574 @@ -122046,6 +152558,8 @@ 0 0 0 +4 +1 2 0x3eec 0x29a272b @@ -122054,6 +152568,8 @@ 0 0 0 +0 +4 1 0xa7e1 0x19cebeb3 @@ -122062,6 +152578,8 @@ 1 1 0 +5 +5 1 0x53dc 0x8ea46e72 @@ -122070,6 +152588,8 @@ 0 0 0 +0 +3 2 0x47db 0x947dd7f4 @@ -122078,6 +152598,8 @@ 1 0 0 +2 +5 1 0xcbbd 0x6ce1f89d @@ -122086,6 +152608,8 @@ 1 0 0 +2 +2 4 0xf4f9 0xf58bc49d @@ -122094,6 +152618,8 @@ 0 0 0 +2 +1 3 0xbc1b 0x12e8a3ca @@ -122102,6 +152628,8 @@ 1 1 0 +0 +1 2 0x2f09 0xce131401 @@ -122110,6 +152638,8 @@ 0 0 0 +0 +1 2 0x9d2 0xd73d8be7 @@ -122118,6 +152648,8 @@ 0 0 0 +5 +2 1 0xb589 0x1cf6a7de @@ -122126,6 +152658,8 @@ 1 1 0 +2 +1 3 0x25a8 0x703f5981 @@ -122134,6 +152668,8 @@ 0 0 0 +5 +1 2 0x111f 0x61cbfbdf @@ -122142,6 +152678,8 @@ 1 1 0 +0 +1 2 0x9483 0x58815a8 @@ -122150,6 +152688,8 @@ 1 1 0 +1 +2 3 0x6cd5 0xd436440 @@ -122158,6 +152698,8 @@ 1 1 0 +4 +4 2 0xf884 0xd79c8a9a @@ -122166,6 +152708,8 @@ 1 0 0 +3 +0 2 0x4f08 0xc7b77a40 @@ -122175,6 +152719,8 @@ 1 0 3 +4 +3 0xaded 0x88a8a25c 256 @@ -122182,6 +152728,8 @@ 1 0 0 +3 +0 1 0x8f65 0xd88b17c8 @@ -122191,6 +152739,8 @@ 0 0 3 +5 +3 0x8a46 0xa0d25c2a 256 @@ -122199,6 +152749,8 @@ 0 0 4 +5 +4 0x35e 0x833f6d02 256 @@ -122206,6 +152758,8 @@ 0 0 0 +5 +3 3 0xd84e 0x232b0a21 @@ -122214,6 +152768,8 @@ 0 0 0 +2 +2 3 0x2d24 0xf85fcc9a @@ -122222,6 +152778,8 @@ 1 0 0 +2 +0 4 0x1008 0x6eaf60c0 @@ -122230,6 +152788,8 @@ 0 0 0 +3 +4 1 0xf9ea 0x48f8a071 @@ -122239,6 +152799,8 @@ 0 0 3 +5 +3 0xb2f5 0xae332dc2 256 @@ -122246,6 +152808,8 @@ 1 0 0 +5 +2 3 0x810e 0xf3862633 @@ -122254,6 +152818,8 @@ 1 0 0 +4 +3 1 0x24a8 0x77fcc6bc @@ -122262,6 +152828,8 @@ 0 0 0 +0 +3 3 0xcdc7 0x66ab120e @@ -122270,6 +152838,8 @@ 1 0 0 +4 +2 2 0xa056 0x5af095ee @@ -122279,6 +152849,8 @@ 1 0 4 +4 +4 0xcfd8 0xe8f6ec76 256 @@ -122286,6 +152858,8 @@ 0 0 0 +0 +3 3 0x8c58 0x1c2c8eb5 @@ -122295,6 +152869,8 @@ 1 0 2 +0 +2 0xbfa1 0x4587d89c 256 @@ -122302,6 +152878,8 @@ 1 1 0 +0 +0 3 0x93ca 0xc70e3e8a @@ -122310,6 +152888,8 @@ 1 1 0 +3 +2 2 0x861f 0xcd6162b7 @@ -122319,6 +152899,8 @@ 1 0 3 +5 +3 0xbee7 0x2021043e 256 @@ -122326,6 +152908,8 @@ 1 0 0 +1 +0 3 0x37d7 0xc5d806b0 @@ -122334,6 +152918,8 @@ 0 0 0 +5 +0 2 0x33fe 0x5e2fa39f @@ -122342,6 +152928,8 @@ 0 0 0 +2 +0 1 0x132d 0xb6500f48 @@ -122350,6 +152938,8 @@ 1 0 0 +5 +0 2 0x38cb 0x8eb14ff6 @@ -122358,6 +152948,8 @@ 0 0 0 +1 +5 3 0x86f 0xf09a8ed5 @@ -122366,6 +152958,8 @@ 1 1 0 +5 +3 1 0x8bb2 0xb83be018 @@ -122374,6 +152968,8 @@ 0 0 0 +2 +5 4 0xb61b 0x3b8e1cb5 @@ -122382,6 +152978,8 @@ 1 1 0 +5 +4 3 0xa047 0x852fa616 @@ -122390,6 +152988,8 @@ 0 0 0 +4 +0 2 0x3082 0x3861316f @@ -122398,6 +152998,8 @@ 1 0 0 +2 +1 1 0x5245 0x9e434249 @@ -122406,6 +153008,8 @@ 0 0 0 +5 +2 2 0xa5a 0xe8bf6de7 @@ -122414,6 +153018,8 @@ 0 0 0 +0 +5 3 0x264c 0x1d627fb9 @@ -122422,6 +153028,8 @@ 0 0 0 +0 +2 3 0x6d03 0xfc5b3f76 @@ -122430,6 +153038,8 @@ 0 0 0 +1 +1 4 0x6fc 0x2631ca4 @@ -122438,6 +153048,8 @@ 0 0 0 +5 +1 4 0x433f 0x7587af8b @@ -122446,6 +153058,8 @@ 0 0 0 +2 +2 4 0xe4ee 0x4a5e1166 @@ -122454,6 +153068,8 @@ 1 0 0 +2 +4 4 0x372c 0x8765f989 @@ -122462,6 +153078,8 @@ 1 1 0 +0 +3 2 0x25a4 0xab0c42d8 @@ -122470,6 +153088,8 @@ 0 0 0 +2 +0 4 0xebe8 0xbcc77aca @@ -122478,6 +153098,8 @@ 0 0 0 +0 +5 3 0x68ec 0xfd07e6a9 @@ -122487,6 +153109,8 @@ 0 0 2 +3 +2 0x8013 0xe84bfa98 256 @@ -122494,6 +153118,8 @@ 1 1 0 +0 +4 4 0x4778 0x47410c9d @@ -122502,6 +153128,8 @@ 0 0 0 +4 +0 3 0x9e3b 0xaf09a5f2 @@ -122510,6 +153138,8 @@ 0 0 0 +2 +0 4 0x4f01 0xfc669375 @@ -122518,6 +153148,8 @@ 0 0 0 +5 +3 2 0x3324 0xbc64274b @@ -122527,6 +153159,8 @@ 0 0 4 +1 +4 0x87f0 0x5566a79a 256 @@ -122534,6 +153168,8 @@ 0 0 0 +2 +5 4 0x8f1a 0xc995c17e @@ -122543,6 +153179,8 @@ 0 0 2 +0 +2 0x9537 0x2e6ec8aa 256 @@ -122550,6 +153188,8 @@ 0 0 0 +4 +5 2 0x3689 0xb1574003 @@ -122558,6 +153198,8 @@ 1 0 0 +5 +3 1 0xf1b2 0x7e37f2f6 @@ -122566,6 +153208,8 @@ 0 0 0 +3 +5 1 0xf107 0xe9d6e2c7 @@ -122574,6 +153218,8 @@ 1 1 0 +2 +0 3 0xf362 0x52691c39 @@ -122582,6 +153228,8 @@ 1 0 0 +4 +2 1 0xa130 0xcd153df4 @@ -122590,6 +153238,8 @@ 0 0 0 +2 +0 3 0xb57 0xdfcc3502 @@ -122598,6 +153248,8 @@ 1 0 0 +2 +5 3 0xcf73 0x278a09cb @@ -122606,6 +153258,8 @@ 1 0 0 +3 +4 1 0x80fb 0x1f94beb0 @@ -122614,6 +153268,8 @@ 0 0 0 +2 +4 3 0x5e1c 0x164940 @@ -122622,6 +153278,8 @@ 1 0 0 +0 +0 4 0x23c0 0xa2280f58 @@ -122630,6 +153288,8 @@ 1 1 0 +1 +2 3 0xe5b6 0x3ccd011f @@ -122638,6 +153298,8 @@ 1 0 0 +1 +1 3 0x21f3 0xac5b4dc2 @@ -122646,6 +153308,8 @@ 1 0 0 +2 +1 3 0x93b2 0x67a330e8 @@ -122654,6 +153318,8 @@ 0 0 0 +0 +0 4 0xa2f6 0x3e00b0e5 @@ -122662,6 +153328,8 @@ 0 0 0 +0 +3 3 0xf425 0x60fcf281 @@ -122670,6 +153338,8 @@ 0 0 0 +1 +2 4 0xb5f6 0xe2ed194a @@ -122678,6 +153348,8 @@ 0 0 0 +5 +4 1 0xc6fc 0x13bcf362 @@ -122687,6 +153359,8 @@ 0 0 4 +5 +4 0x296d 0xbeda8f2d 256 @@ -122694,6 +153368,8 @@ 0 0 0 +0 +2 1 0x2c89 0x69d29c79 @@ -122703,6 +153379,8 @@ 0 0 3 +3 +3 0x8897 0xb6ce1aa0 256 @@ -122710,6 +153388,8 @@ 1 1 0 +1 +5 2 0x600d 0xc1e61180 @@ -122719,6 +153399,8 @@ 0 0 2 +3 +2 0x7bf2 0x27f987a2 256 @@ -122726,6 +153408,8 @@ 0 0 0 +4 +3 1 0xbb2b 0x626ac1a9 @@ -122734,6 +153418,8 @@ 1 1 0 +5 +2 4 0xc90e 0x3d1eef66 @@ -122743,6 +153429,8 @@ 0 0 3 +5 +3 0xdac9 0x2d910cd0 256 @@ -122750,6 +153438,8 @@ 1 1 0 +5 +1 2 0xd7f3 0x3325428a @@ -122758,6 +153448,8 @@ 1 0 0 +2 +3 4 0xbe26 0xafdc2b5c @@ -122766,6 +153458,8 @@ 0 0 0 +4 +4 1 0x31c9 0x64cd8f5d @@ -122774,6 +153468,8 @@ 1 1 0 +5 +5 1 0x2008 0xc6cb21fd @@ -122782,6 +153478,8 @@ 0 0 0 +2 +3 4 0x7e11 0xc745770c @@ -122790,6 +153488,8 @@ 1 0 0 +3 +1 4 0xc69e 0x8e2aecaf @@ -122799,6 +153499,8 @@ 1 0 3 +1 +3 0xecf4 0x949d0f31 256 @@ -122806,6 +153508,8 @@ 1 0 0 +1 +4 4 0x7b1f 0xccb0d4c8 @@ -122815,6 +153519,8 @@ 1 0 2 +4 +2 0x93c2 0xb1218014 256 @@ -122823,6 +153529,8 @@ 1 0 2 +5 +2 0x235 0x364b7fd6 256 @@ -122830,6 +153538,8 @@ 1 1 0 +2 +5 1 0x59ec 0x2d88910f @@ -122839,6 +153549,8 @@ 0 0 1 +5 +1 0xa64 0xc25ddf06 256 @@ -122846,6 +153558,8 @@ 0 0 0 +0 +1 4 0x36d3 0xe5c3c6bf @@ -122854,6 +153568,8 @@ 1 0 0 +0 +2 3 0xd343 0x3b1e60c5 @@ -122862,6 +153578,8 @@ 0 0 0 +4 +0 1 0xff4f 0x3f838355 @@ -122870,6 +153588,8 @@ 0 0 0 +1 +1 2 0x956b 0x66f48755 @@ -122879,6 +153599,8 @@ 0 0 1 +2 +1 0x1100 0x9748146 256 @@ -122887,6 +153609,8 @@ 0 0 2 +5 +2 0x8082 0xdf7bf712 256 @@ -122894,6 +153618,8 @@ 1 1 0 +4 +0 1 0x9885 0x8c42c3b3 @@ -122902,6 +153628,8 @@ 1 0 0 +4 +3 2 0x7ac9 0x834f55db @@ -122910,6 +153638,8 @@ 0 0 0 +0 +1 2 0x6458 0x1ab2dd18 @@ -122918,6 +153648,8 @@ 0 0 0 +0 +4 1 0x12ca 0x57a6441f @@ -122926,6 +153658,8 @@ 1 0 0 +3 +3 4 0x827e 0x97bb9662 @@ -122934,6 +153668,8 @@ 1 1 0 +5 +1 3 0x9fe6 0x86978244 @@ -122943,6 +153679,8 @@ 1 0 2 +4 +2 0xfbc6 0xe5dceff9 256 @@ -122951,6 +153689,8 @@ 0 0 1 +4 +1 0xf4ce 0x58da7407 256 @@ -122958,6 +153698,8 @@ 1 0 0 +3 +3 2 0xd1f7 0xa21f6bc8 @@ -122966,6 +153708,8 @@ 0 0 0 +2 +4 3 0x5cc8 0xd27247dc @@ -122974,6 +153718,8 @@ 1 0 0 +3 +0 2 0x8e56 0x850f7ea8 @@ -122983,6 +153729,8 @@ 0 0 4 +3 +4 0x90d1 0x45adcffa 256 @@ -122990,6 +153738,8 @@ 1 1 0 +0 +0 4 0x31ea 0xd81a39b9 @@ -122998,6 +153748,8 @@ 0 0 0 +0 +1 3 0x7575 0xd0b27cd6 @@ -123006,6 +153758,8 @@ 1 0 0 +5 +0 3 0xa264 0x4bd743f0 @@ -123015,6 +153769,8 @@ 0 0 4 +2 +4 0x4c24 0x157293cd 256 @@ -123022,6 +153778,8 @@ 1 0 0 +0 +4 2 0x2374 0x450f9914 @@ -123030,6 +153788,8 @@ 0 0 0 +0 +0 3 0xf510 0x731f9cbf @@ -123038,6 +153798,8 @@ 0 0 0 +2 +2 3 0xe303 0xd2985e88 @@ -123046,6 +153808,8 @@ 1 0 0 +0 +2 4 0xc92b 0xa47dcbbd @@ -123054,6 +153818,8 @@ 1 0 0 +4 +3 2 0x2ac1 0x13738457 @@ -123062,6 +153828,8 @@ 0 0 0 +0 +5 4 0xc8cf 0x10f7b6ae @@ -123070,6 +153838,8 @@ 0 0 0 +2 +2 3 0xbd72 0xe7be7fde @@ -123078,6 +153848,8 @@ 0 0 0 +5 +2 2 0x287b 0xc226be0b @@ -123086,6 +153858,8 @@ 0 0 0 +4 +4 3 0x3bd4 0x7357fe67 @@ -123094,6 +153868,8 @@ 1 1 0 +5 +4 2 0xa36d 0x3e090be0 @@ -123102,6 +153878,8 @@ 0 0 0 +1 +0 2 0x26a8 0x56a80caa @@ -123110,6 +153888,8 @@ 1 0 0 +1 +5 2 0x5a20 0xac613d7f @@ -123118,6 +153898,8 @@ 1 1 0 +0 +2 2 0x8aa4 0x54f888a5 @@ -123126,6 +153908,8 @@ 0 0 0 +4 +3 2 0x461c 0x52178382 @@ -123135,6 +153919,8 @@ 1 0 2 +5 +2 0x22b6 0x9b178c30 256 @@ -123142,6 +153928,8 @@ 0 0 0 +3 +2 4 0xfd4c 0xc7080f1e @@ -123150,6 +153938,8 @@ 0 0 0 +1 +1 4 0x6e1b 0x29ad3c85 @@ -123158,6 +153948,8 @@ 0 0 0 +3 +1 2 0x68f4 0x17ef7107 @@ -123166,6 +153958,8 @@ 1 0 0 +1 +1 2 0x21bc 0x4f88369c @@ -123174,6 +153968,8 @@ 1 0 0 +1 +4 2 0x3c24 0xdd088ed6 @@ -123182,6 +153978,8 @@ 0 0 0 +4 +1 1 0x23ea 0x43923172 @@ -123190,6 +153988,8 @@ 1 1 0 +0 +4 1 0xab66 0x6f7fa59f @@ -123198,6 +153998,8 @@ 0 0 0 +5 +0 4 0x79bd 0x98529f97 @@ -123206,6 +154008,8 @@ 1 0 0 +5 +1 4 0x61d6 0x38830de0 @@ -123214,6 +154018,8 @@ 1 0 0 +0 +1 3 0xa5dd 0x697c1c4e @@ -123223,6 +154029,8 @@ 0 0 2 +2 +2 0x5391 0x8ce95743 256 @@ -123230,6 +154038,8 @@ 0 0 0 +0 +3 4 0x2bcd 0x3c368f24 @@ -123238,6 +154048,8 @@ 0 0 0 +3 +3 4 0x9fc8 0x808f7542 @@ -123246,6 +154058,8 @@ 1 0 0 +3 +0 2 0x57a5 0x6a53f622 @@ -123254,6 +154068,8 @@ 0 0 0 +2 +5 1 0x412 0x7fd231ab @@ -123263,6 +154079,8 @@ 1 0 2 +5 +2 0x6336 0x47822f96 256 @@ -123271,6 +154089,8 @@ 0 0 1 +0 +1 0x56c1 0x850fddb 256 @@ -123278,6 +154098,8 @@ 0 0 0 +1 +5 3 0xd7ee 0x281bc511 @@ -123286,6 +154108,8 @@ 1 0 0 +4 +0 3 0xb6c7 0x50731635 @@ -123294,6 +154118,8 @@ 0 0 0 +5 +4 4 0xc2d4 0xdf303120 @@ -123303,6 +154129,8 @@ 0 0 4 +3 +4 0xd3b9 0x1df2f5b 256 @@ -123310,6 +154138,8 @@ 0 0 0 +4 +2 3 0x7e07 0xde89a58 @@ -123318,6 +154148,8 @@ 1 1 0 +5 +5 4 0x3d1d 0x4d8576dd @@ -123326,6 +154158,8 @@ 1 0 0 +1 +1 3 0xabe9 0x44c8c61f @@ -123335,6 +154169,8 @@ 0 0 1 +2 +1 0xbf34 0x9abfe147 256 @@ -123342,6 +154178,8 @@ 0 0 0 +1 +5 4 0x168e 0x4f935b81 @@ -123350,6 +154188,8 @@ 1 1 0 +0 +1 4 0xc56e 0xb7d527fc @@ -123358,6 +154198,8 @@ 0 0 0 +0 +4 4 0x1eeb 0x4ddbd6be @@ -123366,6 +154208,8 @@ 0 0 0 +4 +5 3 0x6234 0x4bf68f88 @@ -123374,6 +154218,8 @@ 1 1 0 +2 +4 1 0xaefd 0x8162738d @@ -123382,6 +154228,8 @@ 1 1 0 +5 +3 2 0xc8ae 0x3395ac90 @@ -123390,6 +154238,8 @@ 1 1 0 +0 +0 2 0xbedc 0x1666d7d0 @@ -123398,6 +154248,8 @@ 1 0 0 +2 +1 1 0x65c8 0xf16dc4aa @@ -123406,6 +154258,8 @@ 0 0 0 +5 +5 3 0x143b 0x3338c0dd @@ -123414,6 +154268,8 @@ 0 0 0 +3 +4 2 0x71f3 0x4c3e5ab9 @@ -123422,6 +154278,8 @@ 1 1 0 +4 +2 2 0x25b9 0xffad7fa2 @@ -123430,6 +154288,8 @@ 0 0 0 +0 +3 2 0xf900 0x3931c802 @@ -123438,6 +154298,8 @@ 0 0 0 +2 +3 1 0x459a 0x9267f2fa @@ -123446,6 +154308,8 @@ 0 0 0 +4 +1 1 0x4d77 0x8c9c2a7c @@ -123454,6 +154318,8 @@ 0 0 0 +0 +0 4 0xd865 0x88e907e8 @@ -123462,6 +154328,8 @@ 1 1 0 +2 +2 4 0x5bc2 0xf6c5a92c @@ -123470,6 +154338,8 @@ 1 1 0 +4 +5 2 0x956b 0x49806129 @@ -123478,6 +154348,8 @@ 1 0 0 +2 +0 1 0x922c 0xbaff3ed4 @@ -123487,6 +154359,8 @@ 1 0 1 +2 +1 0x337d 0xf0d03034 256 @@ -123495,6 +154369,8 @@ 0 0 3 +5 +3 0xaa1b 0x8505f3c3 256 @@ -123503,6 +154379,8 @@ 0 0 2 +2 +2 0xd6c3 0x9bbf99be 256 @@ -123510,6 +154388,8 @@ 1 0 0 +1 +2 4 0x7a27 0x8bf3061e @@ -123519,6 +154399,8 @@ 0 0 4 +0 +4 0x1da8 0xcf51916f 256 @@ -123526,6 +154408,8 @@ 0 0 0 +1 +5 4 0x6dd0 0xa56343f6 @@ -123534,6 +154418,8 @@ 0 0 0 +5 +0 1 0x4039 0x1bc4e14c @@ -123542,6 +154428,8 @@ 1 1 0 +3 +4 2 0xa9cd 0xc1f30b17 @@ -123550,6 +154438,8 @@ 0 0 0 +3 +3 2 0x2ca2 0x3845bfe4 @@ -123558,6 +154448,8 @@ 1 1 0 +3 +2 1 0x20d 0x31c49e58 @@ -123566,6 +154458,8 @@ 0 0 0 +4 +0 1 0xf30b 0x264e7bf4 @@ -123575,6 +154469,8 @@ 0 0 1 +0 +1 0x2980 0xf89bba9b 256 @@ -123582,6 +154478,8 @@ 1 0 0 +0 +0 3 0x7580 0xa0e22edb @@ -123590,6 +154488,8 @@ 1 0 0 +1 +2 2 0xbc8a 0xb1692f25 @@ -123598,6 +154498,8 @@ 1 0 0 +0 +2 2 0xf5db 0x6286bf8d @@ -123606,6 +154508,8 @@ 0 0 0 +0 +0 3 0xdaca 0xf433c833 @@ -123614,6 +154518,8 @@ 1 1 0 +2 +5 1 0xc593 0x682aa93a @@ -123622,6 +154528,8 @@ 1 0 0 +4 +4 3 0x4337 0x272ba1d1 @@ -123630,6 +154538,8 @@ 1 1 0 +5 +2 1 0x3c3b 0xbd2243e7 @@ -123639,6 +154549,8 @@ 1 0 1 +2 +1 0x735d 0x9117f3fe 256 @@ -123646,6 +154558,8 @@ 1 1 0 +3 +2 2 0xdeeb 0xde1410d0 @@ -123654,6 +154568,8 @@ 1 0 0 +5 +0 4 0x231 0xcb1a8eb7 @@ -123662,6 +154578,8 @@ 1 1 0 +2 +4 3 0xccf2 0xf75ecba0 @@ -123670,6 +154588,8 @@ 1 0 0 +4 +2 3 0x662c 0x154950b5 @@ -123678,6 +154598,8 @@ 1 1 0 +2 +4 1 0xfca2 0xa538eb5c @@ -123687,6 +154609,8 @@ 1 0 3 +5 +3 0x4a4b 0x52542831 256 @@ -123694,6 +154618,8 @@ 1 0 0 +1 +5 2 0xdd79 0x35242a0 @@ -123702,6 +154628,8 @@ 1 1 0 +0 +1 2 0x31f5 0x6f2b7e82 @@ -123710,6 +154638,8 @@ 1 1 0 +5 +0 4 0xe461 0x4d7f1fa @@ -123719,6 +154649,8 @@ 1 0 2 +0 +2 0x7e7 0xa4b759e 256 @@ -123726,6 +154658,8 @@ 0 0 0 +0 +5 4 0x55be 0x2420b625 @@ -123734,6 +154668,8 @@ 1 1 0 +2 +4 3 0x9536 0xa0b54d7e @@ -123742,6 +154678,8 @@ 0 0 0 +4 +0 2 0x8992 0x5acfd571 @@ -123750,6 +154688,8 @@ 1 1 0 +4 +4 3 0x4e7f 0x5f7851b6 @@ -123758,6 +154698,8 @@ 0 0 0 +1 +0 3 0xe02f 0x50b7642b @@ -123767,6 +154709,8 @@ 0 0 3 +2 +3 0xfc9d 0xc14bfdbd 256 @@ -123774,6 +154718,8 @@ 0 0 0 +1 +2 4 0x135a 0x1a636228 @@ -123783,6 +154729,8 @@ 1 0 4 +0 +4 0x534f 0x3756f9e3 256 @@ -123790,6 +154738,8 @@ 0 0 0 +1 +2 3 0xe4 0xc9eff136 @@ -123799,6 +154749,8 @@ 0 0 1 +2 +1 0x6625 0x3e5ad7fe 256 @@ -123807,6 +154759,8 @@ 0 0 3 +4 +3 0xf83c 0x6ffab53e 256 @@ -123814,6 +154768,8 @@ 0 0 0 +0 +1 1 0xcf8f 0x5512f9f9 @@ -123822,6 +154778,8 @@ 1 1 0 +0 +5 2 0xafef 0x4f50c79e @@ -123830,6 +154788,8 @@ 0 0 0 +5 +1 1 0xe90c 0xd00f8c5d @@ -123838,6 +154798,8 @@ 0 0 0 +4 +4 3 0x5bbe 0xcc5e4a8 @@ -123846,6 +154808,8 @@ 1 0 0 +4 +2 3 0xc62 0x3abc05c5 @@ -123855,6 +154819,8 @@ 0 0 1 +2 +1 0xda49 0x76e5165d 256 @@ -123862,6 +154828,8 @@ 1 0 0 +3 +1 1 0xa9fc 0x9897649b @@ -123870,6 +154838,8 @@ 0 0 0 +2 +1 1 0x43b6 0xffdfa3c2 @@ -123878,6 +154848,8 @@ 0 0 0 +5 +2 2 0x199 0xfc68ee9a @@ -123886,6 +154858,8 @@ 0 0 0 +5 +2 3 0xed0e 0x537533bd @@ -123894,6 +154868,8 @@ 1 0 0 +5 +4 3 0x2aa4 0x5ff8b85c @@ -123902,6 +154878,8 @@ 0 0 0 +1 +3 4 0xf9a9 0x89348667 @@ -123910,6 +154888,8 @@ 0 0 0 +1 +4 4 0x2289 0x61bdfd2a @@ -123918,6 +154898,8 @@ 0 0 0 +4 +0 2 0x98cf 0xea836310 @@ -123926,6 +154908,8 @@ 0 0 0 +4 +1 2 0x786f 0x8bc0bc6a @@ -123934,6 +154918,8 @@ 1 0 0 +4 +4 1 0x938c 0x809fc24a @@ -123942,6 +154928,8 @@ 1 1 0 +4 +3 1 0x125e 0x280f65b @@ -123950,6 +154938,8 @@ 1 0 0 +3 +5 2 0x6619 0xa4eb0dec @@ -123958,6 +154948,8 @@ 1 1 0 +3 +3 1 0xde00 0x878bd288 @@ -123966,6 +154958,8 @@ 1 0 0 +3 +1 1 0xf8d1 0xe735fddb @@ -123974,6 +154968,8 @@ 1 1 0 +2 +4 3 0xff59 0x9910d752 @@ -123982,6 +154978,8 @@ 0 0 0 +5 +2 3 0x5489 0x45ee7a5e @@ -123990,6 +154988,8 @@ 1 1 0 +4 +3 1 0x7a22 0x32d317cd @@ -123998,6 +154998,8 @@ 0 0 0 +4 +2 2 0x5fb8 0x32bbd71b @@ -124006,6 +155008,8 @@ 1 1 0 +4 +2 1 0x9e8e 0xc5d8e6db @@ -124014,6 +155018,8 @@ 1 0 0 +3 +0 1 0x2558 0x27938e36 @@ -124022,6 +155028,8 @@ 1 1 0 +0 +3 1 0x2d9c 0x5c1914bc @@ -124030,6 +155038,8 @@ 1 1 0 +2 +2 4 0xac49 0xdf20a3da @@ -124038,6 +155048,8 @@ 1 1 0 +5 +4 2 0x188a 0x971d31f4 @@ -124046,6 +155058,8 @@ 0 0 0 +5 +3 3 0x13b 0x3eb34f2e @@ -124054,6 +155068,8 @@ 1 0 0 +4 +3 2 0x35d9 0xb82e9c26 @@ -124062,6 +155078,8 @@ 0 0 0 +4 +5 3 0xa517 0xd911b12b @@ -124070,6 +155088,8 @@ 1 1 0 +5 +1 2 0x7ea3 0xf168ea8c @@ -124078,6 +155098,8 @@ 1 1 0 +0 +4 1 0x5fb8 0x98d7ee65 @@ -124087,6 +155109,8 @@ 0 0 1 +3 +1 0xf9da 0xb63d607c 256 @@ -124094,6 +155118,8 @@ 0 0 0 +3 +2 4 0xdc5f 0x2f44f0ec @@ -124103,6 +155129,8 @@ 0 0 3 +0 +3 0xa31 0x7ee4d35b 256 @@ -124110,6 +155138,8 @@ 0 0 0 +2 +2 1 0x9f55 0xa23fde8f @@ -124118,6 +155148,8 @@ 0 0 0 +0 +2 1 0xd070 0xacbe9a97 @@ -124127,6 +155159,8 @@ 0 0 2 +3 +2 0x98a4 0x60beb75 256 @@ -124134,6 +155168,8 @@ 1 0 0 +3 +1 2 0xa1e1 0xbfe4cbe1 @@ -124142,6 +155178,8 @@ 0 0 0 +3 +0 2 0x5db1 0x3aaef875 @@ -124150,6 +155188,8 @@ 1 1 0 +4 +4 2 0x658a 0x679d2be0 @@ -124158,6 +155198,8 @@ 1 0 0 +0 +5 4 0x631d 0x7bc6c970 @@ -124167,6 +155209,8 @@ 0 0 1 +0 +1 0x5594 0xfbaa5e13 256 @@ -124175,6 +155219,8 @@ 1 0 3 +0 +3 0x1b82 0x96eaa3e6 256 @@ -124182,6 +155228,8 @@ 1 0 0 +4 +1 3 0x4574 0x3080b617 @@ -124190,6 +155238,8 @@ 0 0 0 +3 +3 4 0xbe74 0x2bd0424 @@ -124198,6 +155248,8 @@ 1 1 0 +3 +0 2 0xa6e3 0xf266c4e7 @@ -124206,6 +155258,8 @@ 1 1 0 +5 +0 2 0xd66d 0xaf17b8b6 @@ -124214,6 +155268,8 @@ 0 0 0 +2 +4 3 0x6ffe 0xe6afa86d @@ -124222,6 +155278,8 @@ 1 0 0 +0 +1 4 0x8cf8 0x4483bdaf @@ -124230,6 +155288,8 @@ 1 0 0 +0 +3 2 0xd04e 0x5183b86f @@ -124239,6 +155299,8 @@ 1 0 4 +0 +4 0xb39a 0x12cb33a1 256 @@ -124246,6 +155308,8 @@ 1 1 0 +3 +4 1 0x5eaf 0xf05916c0 @@ -124254,6 +155318,8 @@ 1 0 0 +4 +5 3 0xe19c 0xc9f19149 @@ -124262,6 +155328,8 @@ 1 1 0 +2 +4 1 0x7d2d 0x4df9fa2d @@ -124270,6 +155338,8 @@ 0 0 0 +0 +1 4 0xca00 0x371e0043 @@ -124279,6 +155349,8 @@ 0 0 1 +5 +1 0x6fce 0xa5bad2dc 256 @@ -124286,6 +155358,8 @@ 0 0 0 +3 +4 4 0x1478 0x255b4dd1 @@ -124294,6 +155368,8 @@ 0 0 0 +2 +5 4 0x15d5 0xffdf275b @@ -124302,6 +155378,8 @@ 0 0 0 +2 +5 3 0x4aff 0x91afcdab @@ -124310,6 +155388,8 @@ 0 0 0 +5 +0 3 0x2b7e 0xcfc4fe88 @@ -124318,6 +155398,8 @@ 1 1 0 +0 +3 3 0x15c0 0xc80e8aa3 @@ -124326,6 +155408,8 @@ 1 1 0 +5 +5 1 0x54db 0x12c182cb @@ -124334,6 +155418,8 @@ 1 1 0 +4 +5 2 0x7e66 0x472c40eb @@ -124342,6 +155428,8 @@ 1 1 0 +4 +1 1 0x88ea 0x4019f462 @@ -124351,6 +155439,8 @@ 0 0 1 +0 +1 0x3a0f 0x1e52eeaf 256 @@ -124359,6 +155449,8 @@ 0 0 3 +3 +3 0xd5fd 0x22a8b781 256 @@ -124366,6 +155458,8 @@ 1 0 0 +1 +3 2 0xd910 0x8f6ae56 @@ -124374,6 +155468,8 @@ 0 0 0 +5 +2 3 0x65a8 0x67034b16 @@ -124382,6 +155478,8 @@ 0 0 0 +4 +3 2 0x1247 0x3767e019 @@ -124390,6 +155488,8 @@ 0 0 0 +1 +3 4 0x3c22 0xdbe76327 @@ -124398,6 +155498,8 @@ 0 0 0 +3 +3 2 0xce49 0x8cd2d9fd @@ -124406,6 +155508,8 @@ 1 0 0 +0 +2 4 0x85fb 0xc0ef31ba @@ -124414,6 +155518,8 @@ 1 0 0 +5 +0 3 0x1310 0x678ed1 @@ -124422,6 +155528,8 @@ 1 0 0 +4 +4 3 0x2457 0xdebf0d4c @@ -124430,6 +155538,8 @@ 0 0 0 +5 +5 4 0xbc4e 0x77a0cd05 @@ -124439,6 +155549,8 @@ 0 0 4 +3 +4 0x77d2 0x32aa7f36 256 @@ -124446,6 +155558,8 @@ 0 0 0 +0 +1 2 0x56de 0xc348ffbe @@ -124454,6 +155568,8 @@ 1 0 0 +4 +0 1 0xacab 0x66a212b0 @@ -124463,6 +155579,8 @@ 0 0 1 +0 +1 0x5748 0xcf21a85a 256 @@ -124470,6 +155588,8 @@ 0 0 0 +1 +0 2 0x15ed 0x10144a2d @@ -124478,6 +155598,8 @@ 1 0 0 +1 +2 3 0x217b 0xb1e9585a @@ -124486,6 +155608,8 @@ 0 0 0 +3 +4 1 0xf76c 0xfedb8fb6 @@ -124494,6 +155618,8 @@ 0 0 0 +0 +0 4 0x1142 0xe7cc6a61 @@ -124502,6 +155628,8 @@ 1 0 0 +1 +3 2 0xa50c 0xcce344fb @@ -124510,6 +155638,8 @@ 1 1 0 +0 +2 3 0x7b0 0x7f405a06 @@ -124519,6 +155649,8 @@ 0 0 4 +5 +4 0x98d6 0x2593c49e 256 @@ -124526,6 +155658,8 @@ 0 0 0 +2 +3 4 0x58fe 0x33c184f9 @@ -124534,6 +155668,8 @@ 1 0 0 +1 +0 2 0xcfd3 0x84bd3bd0 @@ -124542,6 +155678,8 @@ 1 1 0 +5 +4 4 0xaf36 0x468b5612 @@ -124550,6 +155688,8 @@ 0 0 0 +5 +5 2 0x72f0 0xc97720b8 @@ -124558,6 +155698,8 @@ 1 1 0 +0 +5 3 0xc16f 0xeea3e59b @@ -124566,6 +155708,8 @@ 0 0 0 +2 +0 4 0x4d5b 0xf287c4ba @@ -124575,6 +155719,8 @@ 0 0 4 +4 +4 0xfaac 0xa9f1e99b 256 @@ -124582,6 +155728,8 @@ 1 1 0 +4 +2 1 0x78d8 0x8f12fe37 @@ -124590,6 +155738,8 @@ 0 0 0 +3 +4 1 0x10c7 0x830c6c90 @@ -124598,6 +155748,8 @@ 1 0 0 +0 +1 3 0x7ea1 0x7b269422 @@ -124607,6 +155759,8 @@ 1 0 2 +3 +2 0x4862 0x7b3af3b7 256 @@ -124614,6 +155768,8 @@ 0 0 0 +2 +1 3 0x40e5 0x6bd6f7bd @@ -124623,6 +155779,8 @@ 0 0 2 +5 +2 0x30df 0xf5869634 256 @@ -124630,6 +155788,8 @@ 1 1 0 +0 +2 2 0xd04a 0xc8adf0e @@ -124638,6 +155798,8 @@ 1 0 0 +2 +2 3 0x9b03 0x2dcefa62 @@ -124646,6 +155808,8 @@ 0 0 0 +4 +5 2 0x9ad3 0x13d3881e @@ -124654,6 +155818,8 @@ 1 1 0 +5 +4 4 0xc4ee 0x39c1f83b @@ -124663,6 +155829,8 @@ 1 0 1 +3 +1 0xd083 0x1b4aa7b0 256 @@ -124670,6 +155838,8 @@ 1 1 0 +4 +5 1 0x4c17 0x9f1cdd9a @@ -124678,6 +155848,8 @@ 1 1 0 +1 +2 2 0xb8cd 0x35bc53dc @@ -124686,6 +155858,8 @@ 0 0 0 +0 +2 3 0x4812 0x26006f11 @@ -124694,6 +155868,8 @@ 1 1 0 +5 +5 4 0xf51e 0x4e6e5b61 @@ -124702,6 +155878,8 @@ 1 1 0 +5 +5 1 0x4436 0x11e6221e @@ -124710,6 +155888,8 @@ 0 0 0 +4 +4 2 0xfe4a 0x5fc158d3 @@ -124719,6 +155899,8 @@ 0 0 3 +0 +3 0xc5c8 0xd5fe7545 256 @@ -124726,6 +155908,8 @@ 0 0 0 +0 +4 2 0x3f36 0x44c4e018 @@ -124734,6 +155918,8 @@ 0 0 0 +3 +0 2 0x3a71 0x724816ba @@ -124742,6 +155928,8 @@ 1 1 0 +2 +1 3 0xdc7f 0x9d31d01f @@ -124750,6 +155938,8 @@ 0 0 0 +5 +2 4 0x5f44 0x1294cb67 @@ -124758,6 +155948,8 @@ 0 0 0 +2 +0 3 0xa037 0x37fc2a3c @@ -124766,6 +155958,8 @@ 0 0 0 +0 +2 4 0x7586 0x8b8f2c2e @@ -124774,6 +155968,8 @@ 1 1 0 +3 +5 2 0x33fc 0x52610a59 @@ -124782,6 +155978,8 @@ 1 0 0 +3 +0 2 0xe9ff 0xb892dda9 @@ -124790,6 +155988,8 @@ 1 1 0 +0 +4 1 0x36cc 0x6fdc281c @@ -124799,6 +155999,8 @@ 0 0 2 +2 +2 0x532b 0xd217733 256 @@ -124806,6 +156008,8 @@ 1 1 0 +3 +3 1 0xa221 0x2a79ab93 @@ -124814,6 +156018,8 @@ 0 0 0 +3 +5 1 0xef90 0xca947a5f @@ -124823,6 +156029,8 @@ 1 0 4 +0 +4 0x4c18 0xf4b3d3e4 256 @@ -124830,6 +156038,8 @@ 1 1 0 +0 +3 4 0x8983 0x81d00026 @@ -124839,6 +156049,8 @@ 1 0 1 +5 +1 0xa11e 0xf46bbc9d 256 @@ -124847,6 +156059,8 @@ 0 0 2 +4 +2 0xf071 0xe83be6b8 256 @@ -124854,6 +156068,8 @@ 1 1 0 +2 +1 4 0x56cd 0xd0f5c6f8 @@ -124862,6 +156078,8 @@ 1 1 0 +2 +4 4 0xbe29 0xfe23a68c @@ -124870,6 +156088,8 @@ 0 0 0 +3 +3 1 0xe5f3 0xdee61e5d @@ -124878,6 +156098,8 @@ 1 1 0 +2 +0 1 0x933b 0x1d7f7f @@ -124886,6 +156108,8 @@ 0 0 0 +1 +4 4 0xd948 0xcc654d0f @@ -124894,6 +156118,8 @@ 1 0 0 +1 +4 2 0xa11f 0xf4edaa9e @@ -124902,6 +156128,8 @@ 1 0 0 +1 +0 3 0x11f1 0xa433e2ed @@ -124910,6 +156138,8 @@ 1 1 0 +0 +4 1 0x3e3d 0xb2b7b85f @@ -124919,6 +156149,8 @@ 1 0 1 +2 +1 0x7764 0x591bf75f 256 @@ -124926,6 +156158,8 @@ 1 1 0 +0 +3 2 0x7e39 0x89cd39a7 @@ -124934,6 +156168,8 @@ 0 0 0 +2 +5 3 0x4437 0x22e23110 @@ -124943,6 +156179,8 @@ 1 0 4 +5 +4 0xfbe5 0x8f47b824 256 @@ -124950,6 +156188,8 @@ 1 0 0 +0 +5 3 0x622c 0xd5abba0 @@ -124958,6 +156198,8 @@ 1 1 0 +5 +1 1 0x74e0 0x36165e35 @@ -124967,6 +156209,8 @@ 0 0 4 +3 +4 0xa70d 0xdac71858 256 @@ -124974,6 +156218,8 @@ 0 0 0 +1 +0 2 0xbfc3 0xbbe465e5 @@ -124982,6 +156228,8 @@ 0 0 0 +3 +2 2 0xd17d 0x72436b28 @@ -124990,6 +156238,8 @@ 0 0 0 +0 +4 2 0x7a9e 0xb8d78b94 @@ -124999,6 +156249,8 @@ 0 0 1 +2 +1 0x310c 0x42828a58 256 @@ -125006,6 +156258,8 @@ 1 1 0 +3 +1 4 0x3199 0x982cd3cb @@ -125014,6 +156268,8 @@ 0 0 0 +2 +0 3 0xb158 0x528ef5d4 @@ -125022,6 +156278,8 @@ 1 1 0 +5 +5 2 0x6db7 0xdcbcab2f @@ -125030,6 +156288,8 @@ 1 0 0 +0 +4 4 0xf059 0xd822949a @@ -125038,6 +156298,8 @@ 1 0 0 +2 +2 4 0x8c0 0x3b907f97 @@ -125046,6 +156308,8 @@ 0 0 0 +0 +5 1 0xcbda 0xa67950fd @@ -125054,6 +156318,8 @@ 0 0 0 +5 +2 3 0x3f27 0x7356b556 @@ -125063,6 +156329,8 @@ 1 0 2 +2 +2 0xb1e1 0x5e56054b 256 @@ -125070,6 +156338,8 @@ 1 1 0 +0 +1 2 0xcdf 0x41f3cfa3 @@ -125079,6 +156349,8 @@ 1 0 4 +3 +4 0xa79e 0x748644fa 256 @@ -125086,6 +156358,8 @@ 0 0 0 +0 +1 4 0x3cc8 0x2512c854 @@ -125094,6 +156368,8 @@ 0 0 0 +5 +5 1 0x5813 0xd339b576 @@ -125103,6 +156379,8 @@ 0 0 2 +1 +2 0xad67 0x30c229d3 256 @@ -125110,6 +156388,8 @@ 0 0 0 +5 +4 3 0xf66e 0x6de3f3d8 @@ -125118,6 +156398,8 @@ 1 1 0 +4 +0 3 0xced5 0xf0509c58 @@ -125126,6 +156408,8 @@ 0 0 0 +5 +3 1 0xaa10 0x27079b04 @@ -125134,6 +156418,8 @@ 1 0 0 +3 +3 4 0x1ca8 0x562d2add @@ -125142,6 +156428,8 @@ 1 1 0 +0 +3 4 0x413c 0x2ee80252 @@ -125150,6 +156438,8 @@ 0 0 0 +4 +1 1 0xdafa 0xb32d9b11 @@ -125159,6 +156449,8 @@ 0 0 1 +3 +1 0x8b16 0x43f64aeb 256 @@ -125167,6 +156459,8 @@ 0 0 4 +5 +4 0x1f1b 0x73d862e4 256 @@ -125174,6 +156468,8 @@ 1 0 0 +2 +0 3 0xc22d 0x4319c11 @@ -125182,6 +156478,8 @@ 0 0 0 +0 +5 4 0x2f9f 0x2bbed09a @@ -125190,6 +156488,8 @@ 0 0 0 +0 +3 4 0x15a6 0x39841be6 @@ -125198,6 +156498,8 @@ 1 1 0 +1 +3 4 0x78c7 0xf3070c93 @@ -125206,6 +156508,8 @@ 1 0 0 +2 +0 3 0x7855 0x3dbf0b05 @@ -125215,6 +156519,8 @@ 0 0 4 +5 +4 0xd38e 0xdbf9ff54 256 @@ -125222,6 +156528,8 @@ 1 0 0 +0 +5 2 0x5b6f 0x4e5392e8 @@ -125231,6 +156539,8 @@ 0 0 4 +3 +4 0x35a5 0xcf742bb 256 @@ -125238,6 +156548,8 @@ 1 1 0 +1 +0 4 0xfe37 0xc118c894 @@ -125246,6 +156558,8 @@ 1 0 0 +0 +5 2 0x658a 0x472ec5c1 @@ -125254,6 +156568,8 @@ 1 1 0 +5 +3 3 0x16a6 0x7171c15c @@ -125262,6 +156578,8 @@ 0 0 0 +0 +5 4 0x278f 0xa6237965 @@ -125270,6 +156588,8 @@ 0 0 0 +0 +4 2 0x7953 0xaae19eb7 @@ -125279,6 +156599,8 @@ 0 0 1 +2 +1 0x5c78 0x63e11acf 256 @@ -125286,6 +156608,8 @@ 1 0 0 +5 +2 4 0xd877 0x4ab02949 @@ -125294,6 +156618,8 @@ 1 0 0 +4 +3 1 0x288 0x1bc46a8 @@ -125302,6 +156628,8 @@ 1 1 0 +2 +2 1 0x1f62 0x83d68320 @@ -125310,6 +156638,8 @@ 1 0 0 +1 +3 2 0x12b9 0xc6fe831a @@ -125318,6 +156648,8 @@ 1 1 0 +1 +3 4 0x4a73 0x218bdc0a @@ -125326,6 +156658,8 @@ 1 0 0 +5 +4 2 0xe121 0x8f331928 @@ -125334,6 +156668,8 @@ 1 1 0 +3 +3 2 0x1e00 0xbac00381 @@ -125343,6 +156679,8 @@ 1 0 1 +2 +1 0x1863 0x7b694f0c 256 @@ -125351,6 +156689,8 @@ 1 0 3 +3 +3 0xa286 0xa6ecb58c 256 @@ -125358,6 +156698,8 @@ 0 0 0 +2 +3 3 0xd8c9 0x5b4db58d @@ -125366,6 +156708,8 @@ 0 0 0 +1 +3 3 0x2e64 0xbeb41e16 @@ -125374,6 +156718,8 @@ 1 1 0 +1 +2 3 0x9961 0x8224b67f @@ -125382,6 +156728,8 @@ 0 0 0 +2 +0 1 0x244b 0x15bd763f @@ -125390,6 +156738,8 @@ 1 0 0 +2 +4 4 0x2733 0xe7570354 @@ -125398,6 +156748,8 @@ 1 0 0 +5 +2 1 0x9344 0x19be335e @@ -125406,6 +156758,8 @@ 1 0 0 +3 +4 1 0x917d 0x43728dc8 @@ -125414,6 +156768,8 @@ 1 0 0 +1 +4 3 0x338f 0x53c0d919 @@ -125422,6 +156778,8 @@ 0 0 0 +0 +0 2 0xd6b8 0x55d97605 @@ -125430,6 +156788,8 @@ 1 0 0 +5 +0 4 0xf24a 0xdbd80c36 @@ -125438,6 +156798,8 @@ 1 0 0 +5 +3 4 0x6080 0x83e090b2 @@ -125447,6 +156809,8 @@ 0 0 3 +4 +3 0xb14f 0xcf47c581 256 @@ -125455,6 +156819,8 @@ 1 0 4 +3 +4 0x94f0 0x90f543d6 256 @@ -125462,6 +156828,8 @@ 0 0 0 +0 +0 3 0xbc00 0x8f837e1d @@ -125470,6 +156838,8 @@ 1 1 0 +0 +2 4 0x675c 0x750deb3c @@ -125478,6 +156848,8 @@ 0 0 0 +5 +5 4 0xef9b 0x7e869a00 @@ -125487,6 +156859,8 @@ 0 0 2 +2 +2 0x8bbc 0xa04a120c 256 @@ -125494,6 +156868,8 @@ 1 0 0 +0 +0 4 0x490e 0x15054524 @@ -125502,6 +156878,8 @@ 1 0 0 +3 +2 4 0x58a9 0xfed24350 @@ -125510,6 +156888,8 @@ 1 0 0 +4 +2 3 0x70cc 0xcef2a5a7 @@ -125518,6 +156898,8 @@ 1 0 0 +2 +3 4 0x9f43 0x44924fdd @@ -125526,6 +156908,8 @@ 1 1 0 +3 +1 2 0x9d96 0x90e7558a @@ -125534,6 +156918,8 @@ 0 0 0 +1 +2 2 0x2244 0xe24c365d @@ -125542,6 +156928,8 @@ 0 0 0 +1 +4 4 0x530f 0xbc6d5e3a @@ -125550,6 +156938,8 @@ 0 0 0 +1 +5 4 0xbb85 0x98acb83c @@ -125558,6 +156948,8 @@ 1 1 0 +2 +3 1 0x8a95 0x9991ad09 @@ -125566,6 +156958,8 @@ 1 1 0 +0 +5 1 0xe518 0xd6bfad85 @@ -125574,6 +156968,8 @@ 0 0 0 +5 +3 4 0xb7a 0x8577866e @@ -125582,6 +156978,8 @@ 1 0 0 +4 +2 1 0x6a35 0xc644f03f @@ -125590,6 +156988,8 @@ 0 0 0 +3 +3 1 0x7196 0xdd450fd6 @@ -125598,6 +156998,8 @@ 0 0 0 +0 +0 3 0xe91d 0x2dbd4417 @@ -125606,6 +157008,8 @@ 0 0 0 +4 +0 2 0xd3f4 0x55097319 @@ -125614,6 +157018,8 @@ 1 0 0 +1 +2 2 0x497e 0xbd9ec767 @@ -125622,6 +157028,8 @@ 0 0 0 +2 +1 1 0xa00f 0xa3fa0cb5 @@ -125630,6 +157038,8 @@ 1 0 0 +5 +1 4 0xe05b 0xef24510a @@ -125638,6 +157048,8 @@ 0 0 0 +3 +1 1 0x8321 0xaabfbc14 @@ -125646,6 +157058,8 @@ 0 0 0 +3 +5 1 0x5293 0x5d546c7 @@ -125654,6 +157068,8 @@ 0 0 0 +2 +0 4 0x5575 0xf6af45dd @@ -125662,6 +157078,8 @@ 0 0 0 +0 +4 4 0xc2c2 0x42bd716 @@ -125670,6 +157088,8 @@ 0 0 0 +0 +4 3 0xa0fb 0xda8d435c @@ -125678,6 +157098,8 @@ 1 1 0 +0 +0 4 0xecc9 0xad67afc7 @@ -125686,6 +157108,8 @@ 0 0 0 +5 +2 4 0x133a 0xdb5d4eb0 @@ -125694,6 +157118,8 @@ 0 0 0 +3 +3 2 0x9ef0 0xd5c65a6b @@ -125702,6 +157128,8 @@ 0 0 0 +4 +2 1 0x800b 0xf18db8bd @@ -125710,6 +157138,8 @@ 1 0 0 +5 +2 2 0x1463 0x3301e0e0 @@ -125718,6 +157148,8 @@ 1 1 0 +3 +2 1 0x37ba 0x6a08fe1d @@ -125726,6 +157158,8 @@ 0 0 0 +1 +2 3 0x1a16 0x84672663 @@ -125734,6 +157168,8 @@ 0 0 0 +2 +0 3 0x37e7 0x3c241633 @@ -125742,6 +157178,8 @@ 1 0 0 +5 +5 1 0x1c3a 0x9542e21b @@ -125750,6 +157188,8 @@ 1 1 0 +4 +0 2 0xfe56 0x33e6b5dd @@ -125758,6 +157198,8 @@ 0 0 0 +1 +2 3 0x5d49 0x1fb97f5d @@ -125766,6 +157208,8 @@ 1 1 0 +3 +3 2 0x2e5f 0xf76d0546 @@ -125774,6 +157218,8 @@ 1 1 0 +4 +1 2 0x9d06 0x1b034245 @@ -125783,6 +157229,8 @@ 0 0 4 +0 +4 0xe8f1 0x4d59eaf7 256 @@ -125790,6 +157238,8 @@ 0 0 0 +2 +2 1 0x5f16 0x7afd6f64 @@ -125798,6 +157248,8 @@ 0 0 0 +4 +3 1 0xafe6 0x3d96dc60 @@ -125806,6 +157258,8 @@ 1 0 0 +3 +0 1 0x45bd 0xe060e17b @@ -125815,6 +157269,8 @@ 1 0 4 +2 +4 0xba95 0xf8b3dac8 256 @@ -125822,6 +157278,8 @@ 0 0 0 +1 +2 3 0xcd60 0x2d01c0ee @@ -125830,6 +157288,8 @@ 1 0 0 +3 +4 4 0xadab 0xe23e994b @@ -125839,6 +157299,8 @@ 1 0 3 +4 +3 0xc558 0x45a5bb6c 256 @@ -125846,6 +157308,8 @@ 0 0 0 +3 +0 4 0x720d 0x4af67ab6 @@ -125854,6 +157318,8 @@ 0 0 0 +4 +3 1 0x14e6 0x714b0e27 @@ -125863,6 +157329,8 @@ 0 0 1 +4 +1 0xa298 0x80412236 256 @@ -125870,6 +157338,8 @@ 0 0 0 +4 +2 1 0xe63f 0xe5916345 @@ -125879,6 +157349,8 @@ 0 0 3 +0 +3 0xb0fd 0x17f59c29 256 @@ -125886,6 +157358,8 @@ 0 0 0 +0 +3 4 0x2256 0x2bdaa86e @@ -125894,6 +157368,8 @@ 1 0 0 +3 +3 1 0x873e 0xe919b58 @@ -125902,6 +157378,8 @@ 0 0 0 +2 +4 1 0xef71 0x6e235d6b @@ -125910,6 +157388,8 @@ 1 1 0 +1 +1 3 0xe3a0 0xc969acf @@ -125918,6 +157398,8 @@ 1 0 0 +5 +0 1 0x278e 0x85b38009 @@ -125926,6 +157408,8 @@ 0 0 0 +4 +0 1 0xee6b 0x5ffc9b00 @@ -125935,6 +157419,8 @@ 1 0 3 +3 +3 0xd35f 0xe511e9c3 256 @@ -125942,6 +157428,8 @@ 0 0 0 +4 +1 2 0x8b35 0xa978248a @@ -125950,6 +157438,8 @@ 0 0 0 +3 +3 2 0xf866 0x4c91a733 @@ -125958,6 +157448,8 @@ 0 0 0 +3 +4 4 0x40e3 0x6ac6bf9a @@ -125966,6 +157458,8 @@ 1 1 0 +2 +3 3 0x202c 0xa95c614c @@ -125974,6 +157468,8 @@ 0 0 0 +2 +1 3 0xc9b6 0x3e930ac8 @@ -125982,6 +157478,8 @@ 0 0 0 +2 +0 4 0xa342 0xa0f13758 @@ -125990,6 +157488,8 @@ 1 1 0 +4 +1 1 0xd873 0xa13d7c8a @@ -125998,6 +157498,8 @@ 1 1 0 +5 +1 2 0x72c6 0xa45672cb @@ -126006,6 +157508,8 @@ 1 0 0 +4 +2 2 0x8902 0x95487122 @@ -126015,6 +157519,8 @@ 0 0 3 +2 +3 0x8f45 0xac68c7c7 256 @@ -126022,6 +157528,8 @@ 1 0 0 +1 +4 2 0xb66f 0xd0bd5300 @@ -126030,6 +157538,8 @@ 1 0 0 +4 +2 2 0xf595 0xf502db2a @@ -126038,6 +157548,8 @@ 0 0 0 +3 +0 2 0x9d0b 0x46d99927 @@ -126046,6 +157558,8 @@ 1 0 0 +1 +4 4 0xed00 0x7901daae @@ -126054,6 +157568,8 @@ 1 0 0 +2 +1 4 0x970c 0xfdb4eb8 @@ -126062,6 +157578,8 @@ 1 0 0 +4 +0 3 0x88c2 0x2246d879 @@ -126070,6 +157588,8 @@ 0 0 0 +5 +4 3 0x258b 0xbfdf5bed @@ -126078,6 +157598,8 @@ 1 1 0 +3 +4 2 0xec0b 0x63ff5117 @@ -126086,6 +157608,8 @@ 0 0 0 +4 +5 1 0x99b9 0x16123415 @@ -126095,6 +157619,8 @@ 0 0 1 +2 +1 0xdbb9 0x51ffc4ca 256 @@ -126102,6 +157628,8 @@ 0 0 0 +1 +3 2 0xe8be 0x9c795e6 @@ -126111,6 +157639,8 @@ 0 0 3 +1 +3 0xeda3 0x18cfc281 256 @@ -126119,6 +157649,8 @@ 0 0 2 +0 +2 0x56ed 0x3cee09bd 256 @@ -126126,6 +157658,8 @@ 1 1 0 +4 +5 1 0x8158 0xa4a48f62 @@ -126134,6 +157668,8 @@ 1 1 0 +5 +4 4 0x7348 0x1d523cfc @@ -126143,6 +157679,8 @@ 0 0 2 +4 +2 0x7c8b 0x155b224 256 @@ -126150,6 +157688,8 @@ 1 0 0 +5 +0 4 0xb076 0xbcba12d7 @@ -126158,6 +157698,8 @@ 0 0 0 +1 +3 3 0x28c2 0xe1a64827 @@ -126167,6 +157709,8 @@ 1 0 2 +4 +2 0xecba 0xee284e81 256 @@ -126174,6 +157718,8 @@ 1 1 0 +4 +4 2 0x852f 0x7fd877b4 @@ -126182,6 +157728,8 @@ 1 0 0 +1 +5 2 0x91cd 0xfaf3c160 @@ -126190,6 +157738,8 @@ 1 0 0 +0 +4 3 0x81ee 0x371e3a20 @@ -126198,6 +157748,8 @@ 1 1 0 +0 +3 1 0x51fb 0x86ef0ac0 @@ -126206,6 +157758,8 @@ 1 1 0 +5 +2 4 0x811e 0xa1974c76 @@ -126214,6 +157768,8 @@ 1 1 0 +2 +4 1 0xb784 0x4e7d0bfa @@ -126222,6 +157778,8 @@ 0 0 0 +3 +1 1 0x4411 0xa2c386aa @@ -126230,6 +157788,8 @@ 0 0 0 +5 +4 1 0xd11 0x9e20bcf7 @@ -126238,6 +157798,8 @@ 0 0 0 +0 +3 1 0x8c75 0x669799b8 @@ -126246,6 +157808,8 @@ 0 0 0 +4 +5 2 0x94f6 0x70286b4f @@ -126254,6 +157818,8 @@ 1 1 0 +5 +1 1 0x4be4 0x61b5fb22 @@ -126262,6 +157828,8 @@ 0 0 0 +5 +4 3 0x742c 0x815c906a @@ -126270,6 +157838,8 @@ 0 0 0 +0 +2 2 0x6eae 0xfa389fee @@ -126278,6 +157848,8 @@ 1 1 0 +3 +5 2 0x6e75 0x580458dc @@ -126286,6 +157858,8 @@ 1 1 0 +0 +1 1 0x2ffb 0x7198b10e @@ -126294,6 +157868,8 @@ 1 0 0 +0 +0 3 0xa572 0x67cb2dac @@ -126303,6 +157879,8 @@ 0 0 3 +5 +3 0xb0a1 0x1fff98 256 @@ -126310,6 +157888,8 @@ 0 0 0 +0 +2 4 0x7dd3 0xe138927b @@ -126318,6 +157898,8 @@ 0 0 0 +2 +4 3 0xcace 0x44fa5fa3 @@ -126326,6 +157908,8 @@ 0 0 0 +0 +1 3 0xce87 0x340b9783 @@ -126334,6 +157918,8 @@ 0 0 0 +0 +4 3 0xcf2e 0xe5a26551 @@ -126342,6 +157928,8 @@ 1 0 0 +1 +4 4 0xd12d 0x95e34bd4 @@ -126350,6 +157938,8 @@ 0 0 0 +0 +2 4 0xcb27 0x22c8ee44 @@ -126358,6 +157948,8 @@ 0 0 0 +2 +2 1 0x68ca 0x8ae23188 @@ -126367,6 +157959,8 @@ 0 0 2 +5 +2 0x11d8 0x46266d47 256 @@ -126375,6 +157969,8 @@ 0 0 3 +4 +3 0xbad5 0x55bf327e 256 @@ -126382,6 +157978,8 @@ 0 0 0 +3 +0 1 0x1aa3 0xcbaedfe6 @@ -126390,6 +157988,8 @@ 0 0 0 +0 +5 1 0x6759 0xc89d6903 @@ -126399,6 +157999,8 @@ 0 0 4 +3 +4 0xed6 0xdf3bf7f7 256 @@ -126406,6 +158008,8 @@ 1 1 0 +2 +0 4 0xc269 0x3060b2b @@ -126414,6 +158018,8 @@ 1 0 0 +3 +4 4 0xc5eb 0xef05d13d @@ -126422,6 +158028,8 @@ 0 0 0 +5 +5 4 0xd484 0xcd210b30 @@ -126430,6 +158038,8 @@ 0 0 0 +0 +3 4 0x6c82 0x2e3812a7 @@ -126439,6 +158049,8 @@ 0 0 2 +2 +2 0x174b 0x6e32572 256 @@ -126446,6 +158058,8 @@ 1 1 0 +5 +2 2 0x5a2c 0x533ca45a @@ -126454,6 +158068,8 @@ 0 0 0 +4 +2 2 0xd968 0x9479d451 @@ -126462,6 +158078,8 @@ 0 0 0 +1 +0 3 0x2b37 0x24f8bed8 @@ -126470,6 +158088,8 @@ 0 0 0 +5 +4 4 0xf4ac 0x399a2321 @@ -126478,6 +158098,8 @@ 0 0 0 +0 +4 4 0xa4ae 0xde40a57b @@ -126487,6 +158109,8 @@ 0 0 2 +1 +2 0xd396 0x194e16ed 256 @@ -126494,6 +158118,8 @@ 0 0 0 +1 +4 4 0x823b 0xf05bac45 @@ -126502,6 +158128,8 @@ 0 0 0 +3 +1 2 0x621f 0xcbb931f6 @@ -126510,6 +158138,8 @@ 1 1 0 +1 +1 2 0xe668 0x90082672 @@ -126518,6 +158148,8 @@ 0 0 0 +3 +1 2 0xf786 0x61feda95 @@ -126526,6 +158158,8 @@ 1 0 0 +3 +3 1 0x5df8 0x9db25830 @@ -126534,6 +158168,8 @@ 0 0 0 +1 +3 3 0xe7d2 0xee043ca5 @@ -126542,6 +158178,8 @@ 0 0 0 +5 +1 1 0x1642 0x667e5d75 @@ -126550,6 +158188,8 @@ 0 0 0 +5 +1 3 0x34a7 0xe340dbef @@ -126558,6 +158198,8 @@ 0 0 0 +0 +2 4 0x8c7 0xabab95b @@ -126566,6 +158208,8 @@ 0 0 0 +2 +5 3 0x873c 0x131bf266 @@ -126574,6 +158218,8 @@ 0 0 0 +2 +4 1 0x4a89 0x898201bd @@ -126582,6 +158228,8 @@ 1 0 0 +2 +5 3 0x3b42 0x8f686877 @@ -126590,6 +158238,8 @@ 0 0 0 +4 +4 1 0xcd94 0xbc350199 @@ -126598,6 +158248,8 @@ 0 0 0 +5 +0 4 0x287b 0xaf32049f @@ -126606,6 +158258,8 @@ 1 1 0 +2 +0 3 0x4f74 0x6b0fdd70 @@ -126614,6 +158268,8 @@ 0 0 0 +5 +4 3 0xb314 0xf1a99ada @@ -126622,6 +158278,8 @@ 0 0 0 +2 +3 4 0xe30f 0xc30894f5 @@ -126630,6 +158288,8 @@ 0 0 0 +3 +5 1 0x5388 0x1e6e8068 @@ -126639,6 +158299,8 @@ 0 0 3 +3 +3 0xfd61 0x16c74407 256 @@ -126646,6 +158308,8 @@ 1 0 0 +3 +3 1 0x7742 0x395f9d31 @@ -126654,6 +158318,8 @@ 0 0 0 +2 +0 3 0x7c93 0x21302bd @@ -126662,6 +158328,8 @@ 1 0 0 +3 +5 4 0x31ef 0xeca8d365 @@ -126670,6 +158338,8 @@ 1 0 0 +4 +3 1 0x6106 0x6c3e87f2 @@ -126678,6 +158348,8 @@ 1 0 0 +2 +0 1 0xe044 0x712c6d19 @@ -126687,6 +158359,8 @@ 1 0 3 +2 +3 0xe587 0xb03c4d6e 256 @@ -126694,6 +158368,8 @@ 1 1 0 +0 +4 1 0x5e7b 0x99070091 @@ -126702,6 +158378,8 @@ 0 0 0 +2 +5 1 0x9348 0x7aae24be @@ -126710,6 +158388,8 @@ 0 0 0 +0 +5 2 0xe11 0x34d53856 @@ -126718,6 +158398,8 @@ 0 0 0 +2 +3 3 0xd987 0xa2cc1f45 @@ -126726,6 +158408,8 @@ 0 0 0 +3 +1 1 0x7075 0x409cf7fd @@ -126734,6 +158418,8 @@ 1 0 0 +5 +1 2 0x6765 0xeb363aac @@ -126742,6 +158428,8 @@ 1 0 0 +3 +1 2 0xa08a 0x5867bad2 @@ -126750,6 +158438,8 @@ 0 0 0 +1 +3 4 0xf1e1 0xb8c0a55 @@ -126758,6 +158448,8 @@ 0 0 0 +3 +4 1 0xbe9b 0xc6a8762a @@ -126766,6 +158458,8 @@ 0 0 0 +2 +5 3 0xc6c1 0x97635ada @@ -126774,6 +158468,8 @@ 0 0 0 +2 +1 3 0xbbc1 0xa8542ee0 @@ -126783,6 +158479,8 @@ 0 0 3 +5 +3 0xc483 0xfedc2025 256 @@ -126791,6 +158489,8 @@ 1 0 1 +0 +1 0x5b5 0x4106d046 256 @@ -126798,6 +158498,8 @@ 1 1 0 +2 +1 4 0xca85 0x6a208bb @@ -126806,6 +158508,8 @@ 1 0 0 +2 +0 3 0x4abc 0x3da2e448 @@ -126815,6 +158519,8 @@ 0 0 3 +0 +3 0xe758 0xff64f74d 256 @@ -126822,6 +158528,8 @@ 1 1 0 +4 +3 2 0xb39a 0x153287ee @@ -126830,6 +158538,8 @@ 1 1 0 +1 +0 4 0x7d6e 0x73858a78 @@ -126838,6 +158548,8 @@ 0 0 0 +0 +5 3 0xc7a8 0x2424d322 @@ -126846,6 +158558,8 @@ 1 1 0 +0 +3 3 0x9b43 0x89aa7080 @@ -126854,6 +158568,8 @@ 1 0 0 +1 +1 4 0xee25 0xc2f621d1 @@ -126862,6 +158578,8 @@ 0 0 0 +5 +4 4 0x8a69 0x4d7300bd @@ -126870,6 +158588,8 @@ 1 1 0 +5 +5 1 0x4933 0x1851402a @@ -126878,6 +158598,8 @@ 0 0 0 +0 +4 3 0x6a47 0x9cd4160d @@ -126887,6 +158609,8 @@ 1 0 1 +4 +1 0x5e6d 0x75ebc044 256 @@ -126894,6 +158618,8 @@ 0 0 0 +5 +4 3 0x1ea 0x46af7bdb @@ -126902,6 +158628,8 @@ 0 0 0 +0 +0 4 0x8293 0x75fe9a49 @@ -126910,6 +158638,8 @@ 1 1 0 +2 +3 3 0xbf36 0x6ea91d0c @@ -126918,6 +158648,8 @@ 1 0 0 +3 +1 2 0xbcde 0x1c432a21 @@ -126926,6 +158658,8 @@ 0 0 0 +4 +4 1 0x3bc7 0xd3b2cf1b @@ -126934,6 +158668,8 @@ 0 0 0 +3 +5 4 0x786 0x21b70035 @@ -126943,6 +158679,8 @@ 1 0 1 +4 +1 0xd563 0xa2b62d9d 256 @@ -126950,6 +158688,8 @@ 0 0 0 +3 +2 4 0x191e 0x8ac25b93 @@ -126958,6 +158698,8 @@ 0 0 0 +0 +0 3 0x6ac9 0x2bd5c47e @@ -126966,6 +158708,8 @@ 1 0 0 +1 +1 4 0x8ad8 0xbfc2bcdb @@ -126974,6 +158718,8 @@ 0 0 0 +5 +4 1 0x6419 0xefdec0cb @@ -126982,6 +158728,8 @@ 0 0 0 +0 +0 1 0xcd4a 0xfa01783d @@ -126990,6 +158738,8 @@ 1 0 0 +5 +5 2 0xe139 0x3b6d962b @@ -126998,6 +158748,8 @@ 1 1 0 +0 +5 2 0xe746 0xa33f14fc @@ -127006,6 +158758,8 @@ 0 0 0 +1 +5 3 0x13e8 0x7af0577a @@ -127014,6 +158768,8 @@ 1 0 0 +4 +0 3 0x9960 0x9ec1fcbc @@ -127022,6 +158778,8 @@ 1 0 0 +5 +5 1 0xf07e 0xc5bffafa @@ -127030,6 +158788,8 @@ 1 0 0 +4 +4 1 0xa3bf 0x681e169 @@ -127038,6 +158798,8 @@ 1 1 0 +0 +0 3 0x2ed 0xbea988cb @@ -127046,6 +158808,8 @@ 0 0 0 +5 +3 4 0x7192 0x98bc43cf @@ -127054,6 +158818,8 @@ 1 1 0 +2 +2 3 0x8144 0x517e616c @@ -127062,6 +158828,8 @@ 1 0 0 +0 +3 2 0x373 0x8f27eca2 @@ -127070,6 +158838,8 @@ 1 0 0 +2 +1 4 0xc1a5 0x22666ef3 @@ -127078,6 +158848,8 @@ 1 0 0 +4 +0 1 0x8fd2 0x1628c6a8 @@ -127087,6 +158859,8 @@ 0 0 2 +5 +2 0x81fd 0xd0ee3912 256 @@ -127095,6 +158869,8 @@ 0 0 2 +4 +2 0x381c 0xfa944d0 256 @@ -127102,6 +158878,8 @@ 0 0 0 +0 +1 3 0x9ed2 0x6e798618 @@ -127110,6 +158888,8 @@ 1 0 0 +1 +0 2 0x38e 0x29c56388 @@ -127118,6 +158898,8 @@ 0 0 0 +4 +3 2 0xcc5 0x5c2c79c1 @@ -127127,6 +158909,8 @@ 0 0 4 +2 +4 0xf800 0x1c935c0c 256 @@ -127134,6 +158918,8 @@ 0 0 0 +4 +2 1 0xe603 0xbceb9bb7 @@ -127142,6 +158928,8 @@ 0 0 0 +4 +0 1 0x912c 0x43daeacd @@ -127150,6 +158938,8 @@ 0 0 0 +0 +3 4 0x7106 0x6c13bc92 @@ -127158,6 +158948,8 @@ 0 0 0 +3 +3 2 0x8447 0x916fdac1 @@ -127166,6 +158958,8 @@ 0 0 0 +1 +0 3 0x3ef7 0x6b0fc31b @@ -127174,6 +158968,8 @@ 1 0 0 +5 +5 1 0xd6b6 0x35cf96bd @@ -127182,6 +158978,8 @@ 1 1 0 +0 +5 2 0xabfa 0x68578067 @@ -127190,6 +158988,8 @@ 0 0 0 +3 +2 2 0xb368 0xf6444372 @@ -127199,6 +158999,8 @@ 0 0 4 +2 +4 0xd763 0x9749281d 256 @@ -127206,6 +159008,8 @@ 1 1 0 +2 +3 1 0xd8dd 0x4f2a1c44 @@ -127214,6 +159018,8 @@ 0 0 0 +5 +4 2 0x3a8 0x5772b1e0 @@ -127222,6 +159028,8 @@ 1 1 0 +2 +3 1 0xe218 0x35813c62 @@ -127230,6 +159038,8 @@ 0 0 0 +0 +5 3 0xb893 0x6076c7c @@ -127238,6 +159048,8 @@ 0 0 0 +5 +5 2 0x9364 0x707d12e9 @@ -127246,6 +159058,8 @@ 1 1 0 +5 +5 2 0x11b0 0x94923a6e @@ -127254,6 +159068,8 @@ 0 0 0 +0 +5 4 0xbe0d 0xd0965b01 @@ -127262,6 +159078,8 @@ 1 1 0 +5 +5 4 0xe240 0x74fe88ff @@ -127271,6 +159089,8 @@ 0 0 2 +5 +2 0xd34c 0xc2a1a5e1 256 @@ -127278,6 +159098,8 @@ 0 0 0 +5 +1 2 0x223a 0xb076a37b @@ -127286,6 +159108,8 @@ 0 0 0 +5 +5 2 0x5da6 0x6227983e @@ -127294,6 +159118,8 @@ 1 0 0 +0 +2 2 0xc1ff 0xb9843206 @@ -127302,6 +159128,8 @@ 0 0 0 +1 +5 4 0xc490 0xba169c99 @@ -127310,6 +159138,8 @@ 0 0 0 +4 +2 1 0x8572 0x48e57e05 @@ -127318,6 +159148,8 @@ 0 0 0 +4 +0 1 0x428b 0xb7df9d59 @@ -127326,6 +159158,8 @@ 1 0 0 +5 +3 3 0x536e 0x46562461 @@ -127334,6 +159168,8 @@ 0 0 0 +0 +2 2 0xcb62 0x7603911c @@ -127342,6 +159178,8 @@ 0 0 0 +4 +0 1 0x9481 0x54f09b1c @@ -127350,6 +159188,8 @@ 0 0 0 +2 +2 3 0x572e 0x93375faa @@ -127358,6 +159198,8 @@ 0 0 0 +3 +5 2 0x87c3 0xb38b2f5b @@ -127367,6 +159209,8 @@ 0 0 2 +3 +2 0x9cd7 0x2a611503 256 @@ -127375,6 +159219,8 @@ 1 0 1 +5 +1 0x578f 0xd6e1cbff 256 @@ -127383,6 +159229,8 @@ 0 0 1 +5 +1 0x7973 0x38e73e2 256 @@ -127390,6 +159238,8 @@ 0 0 0 +4 +2 1 0x5ae6 0xc13d6df3 @@ -127398,6 +159248,8 @@ 1 0 0 +5 +0 4 0x9d2d 0x88a5075c @@ -127406,6 +159258,8 @@ 1 1 0 +3 +3 4 0x60cd 0xedbebb65 @@ -127414,6 +159268,8 @@ 0 0 0 +0 +3 4 0x6f94 0x31e99e8c @@ -127422,6 +159278,8 @@ 1 0 0 +0 +3 4 0xd25f 0x9ea7cf6c @@ -127430,6 +159288,8 @@ 0 0 0 +2 +2 4 0x9cd8 0x1c22e718 @@ -127438,6 +159298,8 @@ 1 0 0 +1 +5 3 0xb48c 0xa7985766 @@ -127446,6 +159308,8 @@ 0 0 0 +4 +3 2 0xaf19 0x4ba17648 @@ -127454,6 +159318,8 @@ 0 0 0 +0 +4 3 0x8790 0x660f19be @@ -127462,6 +159328,8 @@ 0 0 0 +5 +3 4 0x970 0x4c79c6bb @@ -127470,6 +159338,8 @@ 0 0 0 +2 +2 3 0xcba5 0x68092af2 @@ -127478,6 +159348,8 @@ 1 0 0 +1 +3 3 0x5436 0x259c8259 @@ -127486,6 +159358,8 @@ 1 1 0 +1 +3 3 0x205d 0x89e85c5e @@ -127495,6 +159369,8 @@ 0 0 1 +0 +1 0x24f0 0x22b2385b 256 @@ -127502,6 +159378,8 @@ 0 0 0 +1 +2 3 0xdfa1 0xf5695688 @@ -127510,6 +159388,8 @@ 0 0 0 +0 +1 1 0x3462 0x278a5090 @@ -127518,6 +159398,8 @@ 1 1 0 +3 +2 4 0xa32a 0x681f75e0 @@ -127526,6 +159408,8 @@ 0 0 0 +3 +2 2 0x5331 0xa1dfc39a @@ -127534,6 +159418,8 @@ 0 0 0 +1 +4 2 0xf76c 0xcf13c2c3 @@ -127542,6 +159428,8 @@ 1 1 0 +0 +1 2 0x12e 0x50f9e80 @@ -127550,6 +159438,8 @@ 1 1 0 +5 +3 3 0x460f 0xd8b8d237 @@ -127558,6 +159448,8 @@ 1 0 0 +0 +4 1 0xdcd1 0x2adbc82a @@ -127567,6 +159459,8 @@ 1 0 2 +3 +2 0x5f03 0x62ca64fa 256 @@ -127574,6 +159468,8 @@ 1 0 0 +3 +0 2 0x638b 0x6bf87553 @@ -127582,6 +159478,8 @@ 0 0 0 +3 +0 2 0xc66e 0x565c1f7f @@ -127590,6 +159488,8 @@ 0 0 0 +5 +4 1 0x2e4c 0x54fa15a1 @@ -127598,6 +159498,8 @@ 0 0 0 +0 +3 1 0x528c 0xd1774e92 @@ -127606,6 +159508,8 @@ 0 0 0 +1 +3 2 0xdc5d 0x57b5e94d @@ -127614,6 +159518,8 @@ 0 0 0 +0 +1 4 0xb0aa 0xc3f692e0 @@ -127622,6 +159528,8 @@ 1 1 0 +3 +4 1 0xa84 0xa55c79f4 @@ -127631,6 +159539,8 @@ 0 0 3 +1 +3 0x53e7 0x16a2957c 256 @@ -127638,6 +159548,8 @@ 0 0 0 +2 +2 4 0x440b 0x3429003a @@ -127646,6 +159558,8 @@ 1 0 0 +1 +2 3 0x4a37 0xdabd68e0 @@ -127654,6 +159568,8 @@ 1 0 0 +0 +1 4 0x840e 0x4fe70960 @@ -127662,6 +159578,8 @@ 1 0 0 +2 +5 3 0x40dd 0x32407702 @@ -127671,6 +159589,8 @@ 0 0 1 +5 +1 0xe599 0x356627d4 256 @@ -127678,6 +159598,8 @@ 1 1 0 +2 +3 1 0x89ae 0x4d0af8eb @@ -127686,6 +159608,8 @@ 1 0 0 +5 +3 3 0x8d6c 0x68886244 @@ -127694,6 +159618,8 @@ 1 1 0 +3 +5 1 0xd890 0x85176906 @@ -127702,6 +159628,8 @@ 1 0 0 +4 +5 2 0x3cc6 0xf1efc2b2 @@ -127710,6 +159638,8 @@ 1 0 0 +4 +3 1 0x224e 0x23958d57 @@ -127718,6 +159648,8 @@ 0 0 0 +1 +3 4 0x54b9 0x46fc09cb @@ -127726,6 +159658,8 @@ 0 0 0 +4 +5 2 0x9ef6 0x5c77176e @@ -127734,6 +159668,8 @@ 1 1 0 +0 +4 3 0x985 0x160da9e8 @@ -127743,6 +159679,8 @@ 1 0 4 +2 +4 0x35a2 0xf06aa9ee 256 @@ -127751,6 +159689,8 @@ 0 0 3 +4 +3 0x7f4d 0xd4eb7d32 256 @@ -127759,6 +159699,8 @@ 0 0 4 +2 +4 0xccfc 0xb3b9f230 256 @@ -127766,6 +159708,8 @@ 1 1 0 +5 +5 4 0xdd38 0xcfb28ad4 @@ -127774,6 +159718,8 @@ 0 0 0 +0 +4 3 0x34b4 0xf65ddc52 @@ -127783,6 +159729,8 @@ 0 0 4 +5 +4 0xdd9 0x60c479bb 256 @@ -127790,6 +159738,8 @@ 0 0 0 +0 +5 1 0x6612 0xa7fa1e46 @@ -127798,6 +159748,8 @@ 0 0 0 +0 +2 2 0x1298 0xf6a8c3f2 @@ -127807,6 +159759,8 @@ 0 0 3 +4 +3 0x2f98 0xa7fa01a4 256 @@ -127815,6 +159769,8 @@ 0 0 4 +2 +4 0x2d17 0xdc58e6da 256 @@ -127822,6 +159778,8 @@ 1 1 0 +3 +1 1 0x5054 0xdef9eb09 @@ -127830,6 +159788,8 @@ 0 0 0 +4 +4 3 0xda1a 0x223bba10 @@ -127838,6 +159798,8 @@ 1 1 0 +0 +1 2 0xdd04 0x1ae52425 @@ -127846,6 +159808,8 @@ 1 1 0 +5 +4 1 0x5178 0xef9f99d3 @@ -127854,6 +159818,8 @@ 0 0 0 +3 +0 4 0x23f3 0xb540b4da @@ -127862,6 +159828,8 @@ 0 0 0 +4 +0 1 0x5493 0x41162a4 @@ -127870,6 +159838,8 @@ 0 0 0 +5 +2 4 0x710c 0x3fed10e0 @@ -127878,6 +159848,8 @@ 1 0 0 +4 +3 2 0x6040 0x4252d305 @@ -127886,6 +159858,8 @@ 0 0 0 +1 +4 4 0x3fca 0xd89f8c7c @@ -127894,6 +159868,8 @@ 1 1 0 +3 +4 2 0x5b31 0x6af79a4d @@ -127902,6 +159878,8 @@ 0 0 0 +5 +1 4 0xaf7d 0x44b19252 @@ -127910,6 +159888,8 @@ 0 0 0 +1 +0 4 0xafc1 0xf8f79f31 @@ -127918,6 +159898,8 @@ 1 0 0 +4 +4 3 0xacfb 0xa9f2da39 @@ -127926,6 +159908,8 @@ 1 0 0 +4 +0 3 0x2223 0x5d823517 @@ -127934,6 +159918,8 @@ 0 0 0 +5 +5 4 0xb9a7 0xa4a3c3da @@ -127942,6 +159928,8 @@ 1 0 0 +0 +3 2 0xb0b0 0xa94fd9a3 @@ -127950,6 +159938,8 @@ 0 0 0 +3 +5 2 0x6f63 0xeba5e077 @@ -127958,6 +159948,8 @@ 0 0 0 +5 +1 4 0x6bb1 0xa25216d8 @@ -127966,6 +159958,8 @@ 1 0 0 +0 +4 2 0x6fff 0x3e346bf0 @@ -127974,6 +159968,8 @@ 0 0 0 +0 +2 4 0x7c48 0xecbdd590 @@ -127982,6 +159978,8 @@ 1 1 0 +0 +0 1 0x170c 0x57d8349c @@ -127990,6 +159988,8 @@ 1 0 0 +4 +1 1 0xb640 0xc3d9bb15 @@ -127998,6 +159998,8 @@ 0 0 0 +4 +4 1 0xfdf 0x8a1345d8 @@ -128006,6 +160008,8 @@ 1 0 0 +0 +1 1 0xcf31 0x91002157 @@ -128015,6 +160019,8 @@ 0 0 1 +3 +1 0xf23b 0x3ab98093 256 @@ -128022,6 +160028,8 @@ 0 0 0 +2 +1 3 0xec12 0x7ad0e741 @@ -128030,6 +160038,8 @@ 0 0 0 +5 +2 3 0x4adb 0x8d8217c9 @@ -128038,6 +160048,8 @@ 1 0 0 +1 +3 4 0xb86b 0x5ef7322 @@ -128046,6 +160058,8 @@ 1 0 0 +2 +0 3 0x2a81 0x53300f87 @@ -128054,6 +160068,8 @@ 0 0 0 +5 +1 2 0x2bac 0x162d79dc @@ -128062,6 +160078,8 @@ 0 0 0 +4 +2 1 0xc65a 0x567bfd75 @@ -128071,6 +160089,8 @@ 0 0 1 +5 +1 0xa3a 0xb739789c 256 @@ -128078,6 +160098,8 @@ 1 0 0 +1 +5 2 0xc633 0x33c8dbb5 @@ -128086,6 +160108,8 @@ 0 0 0 +2 +5 4 0xe4cf 0xce31ebc7 @@ -128094,6 +160118,8 @@ 0 0 0 +1 +5 4 0xa0eb 0x67a9ec12 @@ -128102,6 +160128,8 @@ 1 0 0 +5 +2 2 0x5cde 0x4fea002f @@ -128110,6 +160138,8 @@ 0 0 0 +1 +3 4 0x3a92 0xf599263c @@ -128118,6 +160148,8 @@ 1 0 0 +4 +3 3 0x6328 0xc06ac27a @@ -128126,6 +160158,8 @@ 0 0 0 +1 +3 2 0x66c4 0x6f283651 @@ -128134,6 +160168,8 @@ 0 0 0 +3 +0 2 0xe9e9 0x9bc10684 @@ -128142,6 +160178,8 @@ 1 0 0 +2 +0 4 0x5ed3 0x8b7deaa8 @@ -128150,6 +160188,8 @@ 1 1 0 +3 +1 1 0xc364 0x657a6d59 @@ -128158,6 +160198,8 @@ 0 0 0 +5 +1 2 0x8cc3 0x338e3ba3 @@ -128166,6 +160208,8 @@ 1 1 0 +1 +1 4 0x68fb 0xe95efba7 @@ -128175,6 +160219,8 @@ 1 0 3 +4 +3 0x38ea 0x35aeb5ab 256 @@ -128182,6 +160228,8 @@ 0 0 0 +5 +4 4 0x7ce9 0x32ec4805 @@ -128190,6 +160238,8 @@ 1 1 0 +0 +3 3 0x4cd6 0xbc0da7e @@ -128198,6 +160248,8 @@ 1 1 0 +1 +4 2 0x1641 0x97163e12 @@ -128207,6 +160259,8 @@ 1 0 2 +3 +2 0x57cc 0xe859bdd4 256 @@ -128215,6 +160269,8 @@ 1 0 2 +2 +2 0x1cc1 0x3b79a3b5 256 @@ -128222,6 +160278,8 @@ 1 1 0 +2 +1 3 0x3ef 0x8879757e @@ -128231,6 +160289,8 @@ 1 0 3 +5 +3 0x6536 0xd12e0212 256 @@ -128238,6 +160298,8 @@ 1 0 0 +1 +1 3 0x934f 0xc532ba91 @@ -128246,6 +160308,8 @@ 0 0 0 +2 +5 3 0x9abb 0x8b9d0f0f @@ -128254,6 +160318,8 @@ 0 0 0 +3 +2 1 0x2373 0x7ebab1f2 @@ -128263,6 +160329,8 @@ 0 0 2 +3 +2 0xc163 0x5ec59f2a 256 @@ -128270,6 +160338,8 @@ 1 0 0 +0 +5 3 0xab59 0xd7ceed74 @@ -128279,6 +160349,8 @@ 0 0 2 +2 +2 0xa516 0x8cb66ef1 256 @@ -128286,6 +160358,8 @@ 1 0 0 +2 +1 4 0xcbf8 0xc717e829 @@ -128294,6 +160368,8 @@ 0 0 0 +0 +1 1 0x607d 0xcc34b2d5 @@ -128302,6 +160378,8 @@ 1 0 0 +3 +1 4 0x3254 0xfd6fc0c1 @@ -128310,6 +160388,8 @@ 0 0 0 +0 +0 3 0x3fa7 0xd19bfb9f @@ -128318,6 +160398,8 @@ 1 0 0 +2 +4 4 0xaeb5 0x8f492bd6 @@ -128326,6 +160408,8 @@ 1 0 0 +0 +0 1 0x8640 0x4613e31b @@ -128334,6 +160418,8 @@ 0 0 0 +1 +4 2 0x9565 0x1cb1c8ee @@ -128342,6 +160428,8 @@ 0 0 0 +0 +5 2 0x5935 0x248e717e @@ -128350,6 +160438,8 @@ 1 0 0 +2 +2 3 0xad2d 0xfa951b2e @@ -128358,6 +160448,8 @@ 1 0 0 +2 +4 4 0x3a6d 0x8a7d5af9 @@ -128366,6 +160458,8 @@ 1 0 0 +0 +2 3 0xa8fd 0x5f07070c @@ -128374,6 +160468,8 @@ 1 1 0 +5 +2 1 0xce80 0x5383a6ee @@ -128382,6 +160478,8 @@ 0 0 0 +1 +5 2 0x1e5c 0xcb97b926 @@ -128390,6 +160488,8 @@ 1 0 0 +4 +2 2 0xb4fd 0x5ba48475 @@ -128398,6 +160498,8 @@ 1 1 0 +4 +5 3 0x86e3 0xe6c576c5 @@ -128406,6 +160508,8 @@ 1 1 0 +5 +0 1 0x1f5d 0xcaa18210 @@ -128414,6 +160518,8 @@ 1 0 0 +2 +2 3 0x68a5 0xe3a2fbb @@ -128422,6 +160528,8 @@ 0 0 0 +1 +5 2 0x71a 0x7afc5def @@ -128431,6 +160539,8 @@ 0 0 3 +2 +3 0x4536 0xccc31b38 256 @@ -128439,6 +160549,8 @@ 1 0 2 +2 +2 0xa812 0xe10a9d3b 256 @@ -128446,6 +160558,8 @@ 1 0 0 +5 +5 1 0x8867 0xd5e3fe16 @@ -128454,6 +160568,8 @@ 0 0 0 +0 +4 2 0xf9c5 0xc77c7578 @@ -128462,6 +160578,8 @@ 1 0 0 +4 +1 3 0xa13a 0x2c774628 @@ -128470,6 +160588,8 @@ 1 1 0 +1 +0 4 0x5f37 0x85516900 @@ -128478,6 +160598,8 @@ 1 1 0 +5 +3 3 0x3720 0xc950c9ab @@ -128486,6 +160608,8 @@ 1 1 0 +4 +4 3 0x92e2 0xbb68ee91 @@ -128494,6 +160618,8 @@ 0 0 0 +0 +0 1 0xfe0b 0x70846c9a @@ -128502,6 +160628,8 @@ 1 0 0 +5 +5 2 0xdef9 0x3e90a18a @@ -128510,6 +160638,8 @@ 0 0 0 +3 +5 4 0x689c 0xff43906b @@ -128519,6 +160649,8 @@ 1 0 3 +1 +3 0x9b7d 0x52d9bc63 256 @@ -128526,6 +160658,8 @@ 1 1 0 +0 +0 3 0x4a97 0xeb92a1aa @@ -128535,6 +160669,8 @@ 0 0 3 +0 +3 0xc88e 0xf6262477 256 @@ -128542,6 +160678,8 @@ 1 0 0 +0 +4 2 0x5f75 0x1cf4818a @@ -128550,6 +160688,8 @@ 0 0 0 +5 +4 1 0x2014 0xe946e1be @@ -128558,6 +160698,8 @@ 0 0 0 +5 +3 2 0x2f9a 0xe4bdda64 @@ -128566,6 +160708,8 @@ 1 1 0 +5 +5 2 0xb297 0xeacb01f1 @@ -128574,6 +160718,8 @@ 1 0 0 +4 +0 1 0x13c7 0x9afa74aa @@ -128583,6 +160729,8 @@ 0 0 2 +2 +2 0x8122 0x36ad2bd3 256 @@ -128590,6 +160738,8 @@ 0 0 0 +3 +5 4 0x62fd 0x881ba214 @@ -128598,6 +160748,8 @@ 0 0 0 +0 +5 4 0x6f2c 0x355b4f21 @@ -128606,6 +160758,8 @@ 1 1 0 +4 +2 3 0x17c8 0xe07e1803 @@ -128614,6 +160768,8 @@ 1 1 0 +0 +4 1 0x4e9d 0xf758f56a @@ -128622,6 +160778,8 @@ 1 0 0 +0 +4 2 0xb4a2 0x1a61d3f0 @@ -128630,6 +160788,8 @@ 0 0 0 +3 +4 1 0x4aa9 0x1abf92be @@ -128638,6 +160798,8 @@ 0 0 0 +4 +0 1 0x1ba0 0x2fc2afbd @@ -128646,6 +160808,8 @@ 1 1 0 +2 +2 3 0x9327 0x171ea888 @@ -128654,6 +160818,8 @@ 0 0 0 +1 +4 2 0x6180 0x5f3b4d30 @@ -128662,6 +160828,8 @@ 1 1 0 +4 +4 3 0x7227 0x3a53fc87 @@ -128670,6 +160838,8 @@ 0 0 0 +5 +5 2 0x9cc8 0x9f677d0e @@ -128678,6 +160848,8 @@ 0 0 0 +1 +4 2 0x194e 0x59124a0e @@ -128686,6 +160858,8 @@ 0 0 0 +1 +1 4 0x6895 0x4d3b18a0 @@ -128694,6 +160868,8 @@ 0 0 0 +4 +2 2 0x10fd 0x5a5e7baa @@ -128702,6 +160878,8 @@ 1 0 0 +5 +5 1 0xffdd 0xc7e1cd4f @@ -128710,6 +160888,8 @@ 0 0 0 +4 +1 3 0x6ab9 0x6036ca @@ -128718,6 +160898,8 @@ 0 0 0 +3 +5 1 0xac7f 0x560e2d3e @@ -128726,6 +160908,8 @@ 0 0 0 +2 +4 4 0x2ef7 0xa18a057 @@ -128734,6 +160918,8 @@ 0 0 0 +0 +5 1 0x24a5 0xd65e4b9 @@ -128742,6 +160928,8 @@ 1 1 0 +4 +5 1 0x1eaf 0x62cfc798 @@ -128750,6 +160938,8 @@ 1 1 0 +5 +3 2 0x1277 0x14bd15a6 @@ -128758,6 +160948,8 @@ 0 0 0 +5 +2 3 0x89ca 0xbb9f91ab @@ -128766,6 +160958,8 @@ 0 0 0 +5 +1 1 0x318 0x3dec0b58 @@ -128774,6 +160968,8 @@ 0 0 0 +5 +0 1 0xa838 0x330b995e @@ -128782,6 +160978,8 @@ 1 1 0 +5 +5 4 0xb589 0xdaf825a8 @@ -128790,6 +160988,8 @@ 0 0 0 +5 +4 4 0x4bc2 0x30d85610 @@ -128798,6 +160998,8 @@ 0 0 0 +3 +5 4 0x77a3 0x16177536 @@ -128806,6 +161008,8 @@ 0 0 0 +5 +3 2 0xefa8 0x5f4375e8 @@ -128814,6 +161018,8 @@ 0 0 0 +0 +0 2 0x5cfa 0x6d989952 @@ -128822,6 +161028,8 @@ 0 0 0 +5 +1 3 0x43b6 0xa72853a @@ -128830,6 +161038,8 @@ 0 0 0 +4 +4 2 0x5105 0x7b4f8e87 @@ -128838,6 +161048,8 @@ 1 0 0 +1 +3 4 0x34de 0x7d44ac8d @@ -128847,6 +161059,8 @@ 0 0 1 +0 +1 0x5659 0x9cc97541 256 @@ -128855,6 +161069,8 @@ 0 0 2 +1 +2 0x472f 0xeb7b7c60 256 @@ -128862,6 +161078,8 @@ 1 0 0 +5 +4 3 0x3759 0xc6289a61 @@ -128870,6 +161088,8 @@ 0 0 0 +0 +4 2 0x6a15 0x76506f6a @@ -128878,6 +161098,8 @@ 1 0 0 +2 +4 4 0x3356 0x5862d0cc @@ -128886,6 +161108,8 @@ 1 1 0 +5 +3 3 0x41fe 0x5bfcacdc @@ -128894,6 +161118,8 @@ 0 0 0 +2 +4 1 0x76da 0x3c3f8672 @@ -128903,6 +161129,8 @@ 0 0 2 +0 +2 0x4492 0xa60c8051 256 @@ -128910,6 +161138,8 @@ 0 0 0 +2 +1 3 0x7e43 0x47fe4ba9 @@ -128918,6 +161148,8 @@ 1 1 0 +2 +1 1 0xa071 0xf6ae940a @@ -128926,6 +161158,8 @@ 1 0 0 +1 +5 3 0x3672 0x7446514c @@ -128934,6 +161168,8 @@ 0 0 0 +0 +1 2 0x49aa 0x8d67b9ae @@ -128942,6 +161178,8 @@ 0 0 0 +4 +0 1 0xce09 0xfdaab766 @@ -128950,6 +161188,8 @@ 1 0 0 +3 +5 4 0x1129 0x9be5d1b2 @@ -128958,6 +161198,8 @@ 0 0 0 +3 +4 1 0x1c0e 0xf5abf12 @@ -128966,6 +161208,8 @@ 0 0 0 +1 +5 4 0x95d0 0x7586ec20 @@ -128974,6 +161218,8 @@ 1 0 0 +4 +4 1 0x774c 0x84e85d1b @@ -128982,6 +161228,8 @@ 0 0 0 +0 +4 2 0x4916 0x693b96e2 @@ -128990,6 +161238,8 @@ 1 0 0 +4 +5 3 0x2634 0xffe798cc @@ -128998,6 +161248,8 @@ 1 0 0 +3 +4 4 0x9823 0xd8fdf83 @@ -129007,6 +161259,8 @@ 0 0 1 +3 +1 0xa879 0xee012f4b 256 @@ -129014,6 +161268,8 @@ 1 0 0 +2 +1 3 0x85ff 0x1599e48c @@ -129022,6 +161278,8 @@ 0 0 0 +3 +4 2 0xd63b 0x2ae6ae62 @@ -129031,6 +161289,8 @@ 1 0 2 +1 +2 0x8f2a 0x3a27900e 256 @@ -129038,6 +161298,8 @@ 0 0 0 +3 +0 1 0x6da6 0xd386210 @@ -129046,6 +161308,8 @@ 1 0 0 +0 +1 1 0xc3ff 0xc9d879a2 @@ -129054,6 +161318,8 @@ 1 1 0 +2 +4 3 0x625f 0x28c41590 @@ -129062,6 +161328,8 @@ 1 1 0 +5 +4 1 0x5612 0xc194f9d3 @@ -129070,6 +161338,8 @@ 0 0 0 +3 +0 2 0x93a5 0x7768ab65 @@ -129078,6 +161348,8 @@ 0 0 0 +5 +1 2 0x804f 0xa016d440 @@ -129086,6 +161358,8 @@ 0 0 0 +1 +2 2 0xfb26 0x37d236e6 @@ -129094,6 +161368,8 @@ 0 0 0 +5 +0 4 0x8f29 0xa1589000 @@ -129102,6 +161378,8 @@ 1 0 0 +3 +3 4 0xc0dc 0x714ea699 @@ -129110,6 +161388,8 @@ 1 0 0 +1 +0 4 0x446c 0x657fef66 @@ -129118,6 +161398,8 @@ 1 0 0 +1 +4 3 0x8782 0x541b5aaf @@ -129126,6 +161408,8 @@ 1 0 0 +2 +3 1 0x8169 0x67a0ca8b @@ -129135,6 +161419,8 @@ 1 0 2 +5 +2 0xa231 0xeda72b4c 256 @@ -129142,6 +161428,8 @@ 1 0 0 +3 +0 2 0x2267 0xf53d4e7a @@ -129150,6 +161438,8 @@ 0 0 0 +0 +2 3 0x3cfd 0x9d614174 @@ -129158,6 +161448,8 @@ 1 1 0 +1 +2 4 0x5ff6 0x66dc5cbf @@ -129166,6 +161458,8 @@ 1 0 0 +5 +3 2 0xee58 0xb3398a61 @@ -129174,6 +161468,8 @@ 1 0 0 +2 +4 1 0x62b8 0xce441441 @@ -129182,6 +161478,8 @@ 1 0 0 +1 +3 3 0xc715 0x6e429175 @@ -129190,6 +161488,8 @@ 1 0 0 +4 +4 2 0x7e50 0xf5226755 @@ -129198,6 +161498,8 @@ 1 1 0 +2 +1 1 0x4577 0x9755573c @@ -129206,6 +161508,8 @@ 0 0 0 +4 +3 3 0xd83a 0x47cd7e63 @@ -129214,6 +161518,8 @@ 1 0 0 +3 +1 4 0x7a7a 0x99c09647 @@ -129223,6 +161529,8 @@ 1 0 2 +3 +2 0xe88a 0x24d1e5cd 256 @@ -129231,6 +161539,8 @@ 0 0 3 +4 +3 0x3699 0xbe9088be 256 @@ -129238,6 +161548,8 @@ 0 0 0 +4 +0 2 0x4ddc 0x4d5e1196 @@ -129246,6 +161558,8 @@ 0 0 0 +4 +5 3 0x80e3 0x38b7357a @@ -129254,6 +161568,8 @@ 1 1 0 +3 +2 1 0x476a 0x9018e61a @@ -129262,6 +161578,8 @@ 1 1 0 +1 +5 3 0xc99c 0x8981f04c @@ -129270,6 +161588,8 @@ 1 0 0 +1 +2 4 0x9716 0x40114000 @@ -129278,6 +161598,8 @@ 1 0 0 +0 +5 2 0x4a87 0x93a99780 @@ -129286,6 +161608,8 @@ 1 0 0 +5 +5 2 0x9a8f 0xd5d3bffd @@ -129295,6 +161619,8 @@ 0 0 4 +4 +4 0xe0ed 0x974f94f4 256 @@ -129302,6 +161628,8 @@ 0 0 0 +2 +1 1 0xe2fc 0x2b48a9d3 @@ -129310,6 +161638,8 @@ 0 0 0 +4 +1 2 0xb878 0x1c27ec3c @@ -129318,6 +161648,8 @@ 1 1 0 +5 +3 2 0x80bc 0x13b55b1 @@ -129327,6 +161659,8 @@ 0 0 1 +5 +1 0xfb10 0xa3de4ab 256 @@ -129334,6 +161668,8 @@ 0 0 0 +2 +0 1 0xb42d 0x8053c408 @@ -129342,6 +161678,8 @@ 1 0 0 +3 +2 1 0xe26b 0x6848fbb6 @@ -129350,6 +161688,8 @@ 0 0 0 +0 +1 2 0xbe7d 0xc56c4ffc @@ -129358,6 +161698,8 @@ 0 0 0 +4 +0 2 0x9368 0xa590be41 @@ -129366,6 +161708,8 @@ 1 0 0 +3 +4 4 0x14a1 0xe6e3821c @@ -129374,6 +161718,8 @@ 1 1 0 +1 +1 3 0xa5ef 0x8e452263 @@ -129382,6 +161728,8 @@ 0 0 0 +0 +5 3 0xfa 0xbdefa1ce @@ -129390,6 +161738,8 @@ 1 0 0 +2 +2 3 0x104f 0xf7efa436 @@ -129398,6 +161748,8 @@ 0 0 0 +5 +4 1 0x3ae0 0xd0ca1723 @@ -129406,6 +161758,8 @@ 1 1 0 +4 +4 1 0x84aa 0x2e7acf7d @@ -129414,6 +161768,8 @@ 1 0 0 +2 +5 1 0xcb35 0xebfd867 @@ -129422,6 +161778,8 @@ 0 0 0 +2 +0 1 0xe36e 0x4929b4fd @@ -129430,6 +161788,8 @@ 1 1 0 +3 +4 1 0x43cb 0x269b1173 @@ -129438,6 +161798,8 @@ 1 0 0 +2 +0 4 0xa231 0xc2c5ffb1 @@ -129446,6 +161808,8 @@ 1 1 0 +3 +4 4 0x6f62 0xc44673ba @@ -129454,6 +161818,8 @@ 0 0 0 +1 +0 4 0xdbab 0x83780bd6 @@ -129462,6 +161828,8 @@ 0 0 0 +3 +3 1 0x90bf 0x5e00770a @@ -129470,6 +161838,8 @@ 1 0 0 +4 +3 3 0x133a 0xc0b873e6 @@ -129478,6 +161848,8 @@ 1 1 0 +2 +1 3 0xd383 0xaa60b4d9 @@ -129486,6 +161858,8 @@ 1 0 0 +3 +1 2 0x9882 0xfd409390 @@ -129494,6 +161868,8 @@ 1 0 0 +3 +5 2 0x33e3 0x7a6daa19 @@ -129502,6 +161878,8 @@ 0 0 0 +5 +5 4 0xdea6 0x5c06b9de @@ -129510,6 +161888,8 @@ 1 0 0 +3 +5 4 0x45ab 0x827a3582 @@ -129519,6 +161899,8 @@ 0 0 1 +4 +1 0xd1c0 0x29927484 256 @@ -129526,6 +161908,8 @@ 0 0 0 +3 +5 2 0xdc02 0xfa57b329 @@ -129535,6 +161919,8 @@ 0 0 1 +0 +1 0x13e7 0x68cf6dc6 256 @@ -129542,6 +161928,8 @@ 1 1 0 +0 +2 4 0x8a4e 0x6d9c2afa @@ -129550,6 +161938,8 @@ 0 0 0 +5 +4 1 0x3138 0x7fa80815 @@ -129559,6 +161949,8 @@ 0 0 2 +5 +2 0x5109 0xb47511fa 256 @@ -129566,6 +161958,8 @@ 1 1 0 +4 +3 3 0x3868 0x8ecb5d88 @@ -129574,6 +161968,8 @@ 0 0 0 +5 +2 3 0x186e 0xf10989fe @@ -129582,6 +161978,8 @@ 0 0 0 +0 +4 1 0x5dd9 0x5e4d6d5a @@ -129590,6 +161988,8 @@ 1 1 0 +5 +1 1 0xa9d 0x1353d9a @@ -129598,6 +161998,8 @@ 1 0 0 +5 +1 1 0x187d 0x7c4f0f36 @@ -129607,6 +162009,8 @@ 0 0 2 +3 +2 0x5e7 0x402927fd 256 @@ -129614,6 +162018,8 @@ 1 1 0 +5 +1 3 0x82fb 0x98bf0d77 @@ -129623,6 +162029,8 @@ 0 0 3 +1 +3 0xa2e4 0xb067fe0f 256 @@ -129631,6 +162039,8 @@ 0 0 3 +3 +3 0x24f5 0x38260f4f 256 @@ -129639,6 +162049,8 @@ 1 0 4 +3 +4 0x330e 0xea26ddfa 256 @@ -129646,6 +162058,8 @@ 0 0 0 +0 +4 1 0x49a2 0xbef9cea8 @@ -129655,6 +162069,8 @@ 0 0 2 +2 +2 0xda03 0x46cc0c9d 256 @@ -129662,6 +162078,8 @@ 1 0 0 +0 +5 4 0xdf9a 0xd9bd089e @@ -129670,6 +162088,8 @@ 0 0 0 +5 +4 1 0x1e69 0xf15d6b23 @@ -129678,6 +162098,8 @@ 1 0 0 +4 +4 2 0xc326 0x16bc32d8 @@ -129686,6 +162108,8 @@ 1 1 0 +0 +1 3 0x874c 0x11762ef8 @@ -129694,6 +162118,8 @@ 1 1 0 +2 +0 4 0xf915 0xa943a436 @@ -129702,6 +162128,8 @@ 1 0 0 +5 +3 1 0xde06 0x154f5c7b @@ -129710,6 +162138,8 @@ 0 0 0 +5 +0 2 0x712d 0x1ac3adde @@ -129718,6 +162148,8 @@ 0 0 0 +4 +5 3 0x97e3 0x6f98861e @@ -129726,6 +162158,8 @@ 0 0 0 +0 +2 3 0xe556 0xe652e6a4 @@ -129734,6 +162168,8 @@ 1 1 0 +4 +0 1 0x38bf 0x7c949048 @@ -129742,6 +162178,8 @@ 1 1 0 +0 +3 1 0x2c8f 0x7239adc2 @@ -129750,6 +162188,8 @@ 1 0 0 +2 +2 4 0xae0b 0x7784bbb5 @@ -129758,6 +162198,8 @@ 1 0 0 +2 +0 4 0x9681 0xf6c37413 @@ -129766,6 +162208,8 @@ 1 1 0 +3 +4 1 0x8094 0xce132454 @@ -129774,6 +162218,8 @@ 1 1 0 +3 +5 1 0x4034 0xc29a8927 @@ -129782,6 +162228,8 @@ 0 0 0 +1 +3 3 0x77c4 0x7f6ad5e @@ -129790,6 +162238,8 @@ 0 0 0 +2 +5 3 0xb00e 0x75ff58fd @@ -129798,6 +162248,8 @@ 0 0 0 +4 +1 2 0x5d7d 0xb898dd31 @@ -129806,6 +162258,8 @@ 0 0 0 +1 +1 3 0x7f0d 0xb9d7ad8d @@ -129814,6 +162268,8 @@ 0 0 0 +5 +1 1 0x2c1e 0x390880f3 @@ -129822,6 +162278,8 @@ 1 1 0 +0 +2 4 0x721c 0x5ecf0191 @@ -129830,6 +162288,8 @@ 0 0 0 +0 +4 3 0x490a 0xfc1eaa86 @@ -129839,6 +162299,8 @@ 0 0 1 +0 +1 0xf531 0x559efafb 256 @@ -129846,6 +162308,8 @@ 1 1 0 +1 +0 2 0xd6e0 0x2b2fcd7a @@ -129855,6 +162319,8 @@ 0 0 1 +3 +1 0x3f1a 0xdf04415a 256 @@ -129862,6 +162328,8 @@ 1 1 0 +2 +3 3 0xd6f 0xb5bc065a @@ -129870,6 +162338,8 @@ 1 1 0 +5 +0 3 0x821e 0x19e1821c @@ -129879,6 +162349,8 @@ 0 0 4 +3 +4 0xc055 0x5f0d2cdf 256 @@ -129886,6 +162358,8 @@ 1 0 0 +2 +1 4 0x1a47 0xda01c3f3 @@ -129894,6 +162368,8 @@ 1 1 0 +1 +5 3 0xc1de 0xa3aa2ffe @@ -129903,6 +162379,8 @@ 0 0 1 +0 +1 0xd642 0x7452ccf9 256 @@ -129910,6 +162388,8 @@ 0 0 0 +2 +1 4 0x4869 0x17d890b9 @@ -129918,6 +162398,8 @@ 0 0 0 +0 +5 2 0x93ce 0x19aec755 @@ -129926,6 +162408,8 @@ 1 0 0 +4 +4 1 0x7051 0xcb408be9 @@ -129934,6 +162418,8 @@ 1 1 0 +3 +5 1 0x87f 0x660c3a49 @@ -129942,6 +162428,8 @@ 0 0 0 +1 +4 4 0xfee1 0x48d6786b @@ -129950,6 +162438,8 @@ 0 0 0 +0 +5 4 0x5145 0x77c706af @@ -129958,6 +162448,8 @@ 1 0 0 +3 +0 4 0xd290 0x84567d59 @@ -129966,6 +162458,8 @@ 1 0 0 +4 +4 3 0x968e 0xd8abdd74 @@ -129974,6 +162468,8 @@ 1 0 0 +0 +4 4 0x4808 0x48c48303 @@ -129982,6 +162478,8 @@ 1 0 0 +2 +3 1 0x57d2 0x460cb130 @@ -129990,6 +162488,8 @@ 0 0 0 +3 +3 1 0x6431 0xc71189b8 @@ -129998,6 +162498,8 @@ 0 0 0 +2 +3 1 0x6ac6 0xfc54b12e @@ -130006,6 +162508,8 @@ 0 0 0 +3 +5 1 0xdcbd 0x21ed20 @@ -130014,6 +162518,8 @@ 1 0 0 +0 +1 4 0x7c56 0xeec3b551 @@ -130022,6 +162528,8 @@ 1 1 0 +1 +4 2 0xf42 0xe2e25846 @@ -130031,6 +162539,8 @@ 0 0 2 +0 +2 0xce77 0x3f6149fb 256 @@ -130038,6 +162548,8 @@ 0 0 0 +4 +5 2 0xc60c 0x6073536e @@ -130046,6 +162558,8 @@ 1 0 0 +3 +4 1 0x18de 0x2c7c0d0f @@ -130054,6 +162568,8 @@ 0 0 0 +1 +5 3 0x2e60 0x3beb9447 @@ -130062,6 +162578,8 @@ 0 0 0 +4 +1 3 0x35bb 0xb77741f2 @@ -130070,6 +162588,8 @@ 0 0 0 +0 +0 2 0x9e38 0x51cc9809 @@ -130078,6 +162598,8 @@ 1 0 0 +2 +1 3 0x72c7 0x81332afb @@ -130086,6 +162608,8 @@ 1 0 0 +4 +3 1 0xf676 0xbb4503bc @@ -130094,6 +162618,8 @@ 0 0 0 +0 +4 2 0x9a14 0x9a916e70 @@ -130102,6 +162628,8 @@ 1 1 0 +5 +3 1 0xf3d2 0x9e55322e @@ -130110,6 +162638,8 @@ 0 0 0 +3 +4 4 0xe61f 0x3a2846d2 @@ -130118,6 +162648,8 @@ 0 0 0 +2 +0 1 0xa27b 0x6cd59938 @@ -130126,6 +162658,8 @@ 1 1 0 +5 +0 4 0x333d 0x8f05b675 @@ -130134,6 +162668,8 @@ 0 0 0 +5 +3 2 0x554b 0x9b9e9bd6 @@ -130143,6 +162679,8 @@ 0 0 4 +3 +4 0x88e5 0xb59db1a0 256 @@ -130150,6 +162688,8 @@ 0 0 0 +5 +5 3 0xa8cd 0x1ab8461e @@ -130158,6 +162698,8 @@ 1 0 0 +1 +2 3 0x3eb4 0x2c4b67d0 @@ -130167,6 +162709,8 @@ 0 0 2 +5 +2 0xbfbb 0x199a6af9 256 @@ -130174,6 +162718,8 @@ 1 1 0 +1 +3 2 0x11c8 0x1e12a6f1 @@ -130182,6 +162728,8 @@ 0 0 0 +3 +5 1 0x1482 0x5f1565cb @@ -130190,6 +162738,8 @@ 1 1 0 +0 +2 2 0x89e 0xdadce7f8 @@ -130198,6 +162748,8 @@ 0 0 0 +1 +2 4 0x469e 0x1808485 @@ -130206,6 +162758,8 @@ 1 0 0 +1 +1 3 0x4e99 0x6c40d826 @@ -130214,6 +162768,8 @@ 0 0 0 +0 +2 3 0xeeac 0xf723848f @@ -130222,6 +162778,8 @@ 0 0 0 +5 +0 1 0x7016 0x239dba62 @@ -130230,6 +162788,8 @@ 0 0 0 +2 +1 3 0x6224 0xfc4d78f0 @@ -130238,6 +162798,8 @@ 1 0 0 +4 +4 2 0x41a7 0xf51196d4 @@ -130246,6 +162808,8 @@ 0 0 0 +0 +5 3 0x4662 0x5ff4f682 @@ -130254,6 +162818,8 @@ 0 0 0 +4 +3 2 0xb076 0x1d9b4db5 @@ -130262,6 +162828,8 @@ 1 0 0 +1 +5 4 0x7381 0x5f87eea5 @@ -130271,6 +162839,8 @@ 1 0 4 +5 +4 0xdbde 0xa1dbbc85 256 @@ -130278,6 +162848,8 @@ 0 0 0 +2 +5 1 0xb05a 0xe7bc2b2b @@ -130286,6 +162858,8 @@ 0 0 0 +1 +2 4 0x5e3f 0xe76ad905 @@ -130294,6 +162868,8 @@ 1 1 0 +4 +0 2 0x2760 0xfad224dd @@ -130302,6 +162878,8 @@ 0 0 0 +1 +2 3 0x8ffc 0xab1d9a69 @@ -130311,6 +162889,8 @@ 0 0 2 +1 +2 0xf6e5 0x56e1ce6c 256 @@ -130318,6 +162898,8 @@ 1 1 0 +5 +4 4 0xb8aa 0xd5816dc8 @@ -130326,6 +162908,8 @@ 1 0 0 +1 +5 2 0xffcf 0x9e330317 @@ -130334,6 +162918,8 @@ 0 0 0 +3 +2 1 0x409d 0x216fe291 @@ -130343,6 +162929,8 @@ 1 0 3 +4 +3 0xcc81 0x17c65561 256 @@ -130350,6 +162938,8 @@ 1 0 0 +3 +0 1 0x74b0 0x49f2d3b6 @@ -130358,6 +162948,8 @@ 0 0 0 +1 +0 3 0x36da 0x95ae123 @@ -130366,6 +162958,8 @@ 0 0 0 +3 +3 1 0x84cf 0xb6797813 @@ -130374,6 +162968,8 @@ 1 0 0 +5 +0 2 0x9f6f 0x9ac541d6 @@ -130382,6 +162978,8 @@ 0 0 0 +5 +2 2 0x62fa 0x8765060c @@ -130390,6 +162988,8 @@ 0 0 0 +3 +4 4 0x44f3 0x36e72a24 @@ -130398,6 +162998,8 @@ 1 1 0 +3 +5 2 0x1100 0x179916ee @@ -130406,6 +163008,8 @@ 1 0 0 +2 +0 4 0xc948 0xc87154f3 @@ -130415,6 +163019,8 @@ 0 0 2 +4 +2 0x1d8 0x4a695027 256 @@ -130423,6 +163029,8 @@ 0 0 1 +5 +1 0x62da 0x6ca209f 256 @@ -130431,6 +163039,8 @@ 0 0 1 +5 +1 0x1e00 0x79ca97c8 256 @@ -130439,6 +163049,8 @@ 0 0 4 +0 +4 0x5e46 0x1a31639c 256 @@ -130446,6 +163058,8 @@ 1 1 0 +1 +5 2 0x4b35 0x55fd0138 @@ -130454,6 +163068,8 @@ 1 0 0 +4 +4 1 0x3d58 0xa6640342 @@ -130462,6 +163078,8 @@ 1 0 0 +0 +1 1 0x7068 0x42502947 @@ -130470,6 +163088,8 @@ 1 0 0 +5 +4 1 0xc2f4 0xacd23581 @@ -130478,6 +163098,8 @@ 0 0 0 +1 +5 2 0x6efa 0xa47ac5bb @@ -130486,6 +163108,8 @@ 0 0 0 +3 +3 4 0x1ba3 0x34ba4f38 @@ -130495,6 +163119,8 @@ 1 0 3 +3 +3 0xbca2 0x694a53 256 @@ -130502,6 +163128,8 @@ 0 0 0 +4 +3 2 0x4219 0x9c30625c @@ -130510,6 +163138,8 @@ 0 0 0 +5 +4 4 0xa4bb 0x7a07e80c @@ -130519,6 +163149,8 @@ 0 0 3 +0 +3 0x48f2 0x50b91e0e 256 @@ -130526,6 +163158,8 @@ 0 0 0 +0 +3 2 0x68d7 0x8585dd7e @@ -130535,6 +163169,8 @@ 0 0 1 +1 +1 0xb7f8 0x7058802c 256 @@ -130543,6 +163179,8 @@ 0 0 2 +4 +2 0x302e 0x31d7c8d1 256 @@ -130550,6 +163188,8 @@ 0 0 0 +3 +1 2 0xf591 0xbc82459 @@ -130559,6 +163199,8 @@ 0 0 1 +4 +1 0x142b 0xa7ea7413 256 @@ -130566,6 +163208,8 @@ 0 0 0 +4 +0 1 0xdc3a 0x86a7967c @@ -130574,6 +163218,8 @@ 0 0 0 +4 +0 1 0x3775 0x17ebb4b6 @@ -130582,6 +163228,8 @@ 0 0 0 +1 +1 2 0xd22c 0xc7af5566 @@ -130590,6 +163238,8 @@ 1 1 0 +5 +1 4 0x6309 0xfbfef84b @@ -130598,6 +163248,8 @@ 1 0 0 +1 +2 4 0x4075 0x7c013003 @@ -130607,6 +163259,8 @@ 0 0 4 +0 +4 0x37b4 0x24f7489f 256 @@ -130614,6 +163268,8 @@ 0 0 0 +4 +3 3 0x8428 0x1eccb925 @@ -130622,6 +163278,8 @@ 1 1 0 +0 +4 2 0xe592 0xef2ed4e6 @@ -130630,6 +163288,8 @@ 1 1 0 +5 +3 3 0x6c80 0x9ed4b2d4 @@ -130638,6 +163298,8 @@ 1 1 0 +1 +1 3 0x93f6 0x84470d75 @@ -130646,6 +163308,8 @@ 0 0 0 +0 +4 2 0x3345 0x6d8d7009 @@ -130654,6 +163318,8 @@ 1 0 0 +2 +3 4 0x740c 0xed0d98cd @@ -130662,6 +163328,8 @@ 0 0 0 +0 +4 1 0x4c1b 0x66cc22e8 @@ -130670,6 +163338,8 @@ 0 0 0 +2 +2 1 0xf31b 0xbffc5d87 @@ -130679,6 +163349,8 @@ 1 0 3 +2 +3 0xa7f9 0xb85fd525 256 @@ -130686,6 +163358,8 @@ 0 0 0 +0 +5 3 0x114c 0x798e2df2 @@ -130694,6 +163368,8 @@ 0 0 0 +2 +3 4 0x704 0x78490c6 @@ -130702,6 +163378,8 @@ 0 0 0 +5 +1 1 0x506a 0xc38dc004 @@ -130710,6 +163388,8 @@ 1 0 0 +1 +0 4 0x451 0x9a5354ec @@ -130718,6 +163398,8 @@ 1 1 0 +1 +0 4 0xbfb7 0x7a9ed382 @@ -130726,6 +163408,8 @@ 0 0 0 +5 +1 4 0x112c 0x356ef252 @@ -130735,6 +163419,8 @@ 0 0 3 +4 +3 0x19dc 0x73a51053 256 @@ -130742,6 +163428,8 @@ 0 0 0 +1 +1 3 0xb8c4 0xfd4cbf23 @@ -130750,6 +163438,8 @@ 1 1 0 +4 +5 1 0xabda 0x2fb59afc @@ -130758,6 +163448,8 @@ 1 0 0 +0 +1 4 0x8bed 0x2dbc83a3 @@ -130767,6 +163459,8 @@ 0 0 3 +2 +3 0xb2d1 0x3f2d13c5 256 @@ -130774,6 +163468,8 @@ 0 0 0 +1 +0 3 0x2b2b 0x1c9d40ec @@ -130782,6 +163478,8 @@ 0 0 0 +1 +5 3 0xed6c 0x509c0bd3 @@ -130790,6 +163488,8 @@ 0 0 0 +5 +2 4 0xfe8e 0x2ada565d @@ -130798,6 +163498,8 @@ 1 1 0 +0 +1 3 0x7148 0xc04d1c0e @@ -130806,6 +163508,8 @@ 0 0 0 +2 +4 1 0x1a50 0xbed0af64 @@ -130815,6 +163519,8 @@ 0 0 4 +5 +4 0x863f 0xec8918ad 256 @@ -130823,6 +163529,8 @@ 1 0 3 +4 +3 0x4f7b 0xe54737a 256 @@ -130830,6 +163538,8 @@ 0 0 0 +0 +1 3 0xb110 0xbbb4665f @@ -130838,6 +163548,8 @@ 0 0 0 +5 +3 4 0x9ff 0xfebd1d47 @@ -130846,6 +163558,8 @@ 0 0 0 +1 +1 4 0xa53c 0x4c3fa3e1 @@ -130854,6 +163568,8 @@ 0 0 0 +0 +2 1 0xaa03 0x625485e4 @@ -130862,6 +163578,8 @@ 1 0 0 +0 +5 4 0xef3b 0x252c4e06 @@ -130870,6 +163588,8 @@ 0 0 0 +5 +1 4 0x4f83 0xac208851 @@ -130878,6 +163598,8 @@ 0 0 0 +2 +4 4 0x805e 0x59079ce7 @@ -130886,6 +163608,8 @@ 0 0 0 +2 +0 1 0xbe75 0xa3b0bb56 @@ -130894,6 +163618,8 @@ 1 1 0 +1 +0 4 0x834b 0x6abd0369 @@ -130902,6 +163628,8 @@ 0 0 0 +1 +2 4 0x5ffc 0xebcafe36 @@ -130911,6 +163639,8 @@ 0 0 3 +4 +3 0x98f3 0xd07128fd 256 @@ -130918,6 +163648,8 @@ 1 0 0 +0 +5 1 0x761b 0x9bba5dc0 @@ -130927,6 +163659,8 @@ 0 0 2 +4 +2 0x5561 0x5a34a2e0 256 @@ -130934,6 +163668,8 @@ 1 1 0 +0 +0 2 0x863d 0x74a145a2 @@ -130943,6 +163679,8 @@ 0 0 3 +5 +3 0x75bf 0xd11c797c 256 @@ -130950,6 +163688,8 @@ 1 1 0 +0 +5 1 0x5af6 0xd97b87ab @@ -130958,6 +163698,8 @@ 0 0 0 +3 +4 2 0x278 0x5527bd09 @@ -130967,6 +163709,8 @@ 0 0 4 +0 +4 0xb89b 0x857f8f06 256 @@ -130974,6 +163718,8 @@ 0 0 0 +0 +0 3 0xd5d9 0x42f0f110 @@ -130982,6 +163728,8 @@ 0 0 0 +4 +4 3 0xc318 0xd436d0dd @@ -130990,6 +163738,8 @@ 0 0 0 +4 +1 2 0xa604 0x86ba4aaf @@ -130998,6 +163748,8 @@ 0 0 0 +1 +1 3 0x20fe 0x9a98df3e @@ -131006,6 +163758,8 @@ 0 0 0 +0 +4 4 0xa3b2 0x8aa11f99 @@ -131014,6 +163768,8 @@ 0 0 0 +2 +0 3 0x6486 0xd141aaf6 @@ -131022,6 +163778,8 @@ 1 1 0 +3 +5 2 0xdb9d 0x124fd5ea @@ -131030,6 +163788,8 @@ 0 0 0 +2 +0 1 0x95f7 0x24d1dc41 @@ -131038,6 +163798,8 @@ 0 0 0 +5 +2 4 0xc19d 0xcb52a6d1 @@ -131046,6 +163808,8 @@ 1 1 0 +0 +1 1 0x4d0a 0xf66073f9 @@ -131054,6 +163818,8 @@ 1 0 0 +1 +3 2 0x3050 0xfc7f4790 @@ -131062,6 +163828,8 @@ 0 0 0 +3 +5 2 0x5e53 0xa798cf30 @@ -131070,6 +163838,8 @@ 1 1 0 +4 +4 3 0x54f8 0xad768b08 @@ -131078,6 +163848,8 @@ 0 0 0 +1 +5 4 0x3603 0xfb25cd5d @@ -131086,6 +163858,8 @@ 1 1 0 +2 +2 4 0x2b9e 0x7c3424f1 @@ -131094,6 +163868,8 @@ 0 0 0 +0 +0 1 0x69a3 0x42f754db @@ -131102,6 +163878,8 @@ 0 0 0 +4 +0 2 0xc6ba 0xdfeaa9aa @@ -131110,6 +163888,8 @@ 1 0 0 +3 +5 2 0x86ac 0xf007b1e2 @@ -131118,6 +163898,8 @@ 1 0 0 +0 +2 3 0xb6cf 0x5d58a227 @@ -131126,6 +163908,8 @@ 0 0 0 +0 +1 4 0x2d8 0x2c01ec25 @@ -131135,6 +163919,8 @@ 1 0 2 +3 +2 0x18e 0x23d6140e 256 @@ -131142,6 +163928,8 @@ 1 0 0 +4 +0 3 0xe019 0x23ac9116 @@ -131150,6 +163938,8 @@ 1 1 0 +5 +1 2 0x3394 0x1d7b3bf2 @@ -131158,6 +163948,8 @@ 0 0 0 +4 +3 3 0x65a2 0x3e37dbbe @@ -131166,6 +163958,8 @@ 1 1 0 +4 +4 3 0xa852 0x2e4274f5 @@ -131175,6 +163969,8 @@ 0 0 4 +3 +4 0x362 0x59865f54 256 @@ -131182,6 +163978,8 @@ 1 1 0 +4 +3 3 0x86f7 0x3d55ea17 @@ -131190,6 +163988,8 @@ 0 0 0 +1 +2 3 0xf756 0x426358be @@ -131198,6 +163998,8 @@ 1 0 0 +0 +0 1 0x472e 0x4bca9c5e @@ -131207,6 +164009,8 @@ 0 0 3 +4 +3 0xd716 0x82e28571 256 @@ -131214,6 +164018,8 @@ 1 1 0 +3 +5 1 0xb694 0xdb3d0fbf @@ -131222,6 +164028,8 @@ 0 0 0 +5 +2 1 0xe3ab 0xe4ea7aa9 @@ -131230,6 +164038,8 @@ 1 0 0 +2 +5 3 0xe5a 0x7d80bd37 @@ -131238,6 +164048,8 @@ 1 0 0 +4 +5 3 0x3e2c 0xfb9ffeba @@ -131247,6 +164059,8 @@ 0 0 2 +2 +2 0xd538 0xf7fd570b 256 @@ -131254,6 +164068,8 @@ 1 0 0 +5 +3 1 0x3407 0xca1d0095 @@ -131263,6 +164079,8 @@ 0 0 1 +1 +1 0x9d38 0xfd34a06a 256 @@ -131270,6 +164088,8 @@ 0 0 0 +0 +4 4 0x33c8 0xec735424 @@ -131278,6 +164098,8 @@ 1 0 0 +0 +3 1 0x1641 0xa59286a6 @@ -131286,6 +164108,8 @@ 1 0 0 +0 +4 1 0x2f10 0x10ba6c36 @@ -131294,6 +164118,8 @@ 1 1 0 +1 +5 2 0x1322 0xc0868b5d @@ -131302,6 +164128,8 @@ 0 0 0 +1 +5 3 0xe20e 0x422f6dc3 @@ -131311,6 +164139,8 @@ 0 0 4 +5 +4 0xca8e 0xbacb66b7 256 @@ -131319,6 +164149,8 @@ 1 0 2 +5 +2 0x42e7 0x710dedea 256 @@ -131326,6 +164158,8 @@ 1 1 0 +1 +3 4 0xd890 0xbaf50baf @@ -131334,6 +164168,8 @@ 1 0 0 +1 +0 2 0xbb88 0xb1c774c4 @@ -131342,6 +164178,8 @@ 1 0 0 +0 +0 3 0x9690 0xac41744e @@ -131351,6 +164189,8 @@ 0 0 3 +0 +3 0x3a90 0x460eacf6 256 @@ -131358,6 +164198,8 @@ 0 0 0 +3 +0 4 0xfa41 0x686321ae @@ -131366,6 +164208,8 @@ 1 0 0 +4 +1 3 0xf8ab 0x3c76487f @@ -131374,6 +164218,8 @@ 0 0 0 +3 +4 4 0xbfaa 0xaa2b9a74 @@ -131382,6 +164228,8 @@ 0 0 0 +2 +5 4 0x3033 0x2f36dc91 @@ -131390,6 +164238,8 @@ 0 0 0 +2 +3 1 0x2c1f 0x9b6c35f9 @@ -131398,6 +164248,8 @@ 0 0 0 +3 +4 2 0x8aa9 0x3c332f00 @@ -131407,6 +164259,8 @@ 0 0 2 +1 +2 0x16f0 0xc76a1a1a 256 @@ -131415,6 +164269,8 @@ 0 0 1 +1 +1 0x2c0d 0xfb64c8fe 256 @@ -131422,6 +164278,8 @@ 0 0 0 +4 +0 3 0x9719 0x4d2b57c @@ -131430,6 +164288,8 @@ 0 0 0 +3 +0 2 0x8569 0xa3aea511 @@ -131438,6 +164298,8 @@ 0 0 0 +2 +2 4 0xe864 0x927466a5 @@ -131446,6 +164308,8 @@ 1 0 0 +4 +0 1 0x3d11 0x657ca09a @@ -131454,6 +164318,8 @@ 1 1 0 +0 +1 2 0xb34f 0x47d5e0ce @@ -131462,6 +164328,8 @@ 1 1 0 +0 +1 3 0xb44e 0x7aa41b27 @@ -131470,6 +164338,8 @@ 1 0 0 +5 +0 3 0x4f70 0x5b65ad3f @@ -131478,6 +164348,8 @@ 0 0 0 +5 +0 2 0x7df5 0x9cf21984 @@ -131486,6 +164358,8 @@ 0 0 0 +5 +0 1 0x9a6a 0x239812b @@ -131494,6 +164368,8 @@ 0 0 0 +1 +5 4 0xa758 0xe573cc99 @@ -131503,6 +164379,8 @@ 0 0 4 +3 +4 0x31eb 0xec2c07ef 256 @@ -131510,6 +164388,8 @@ 1 1 0 +2 +2 3 0xbb0b 0xd57cad81 @@ -131519,6 +164399,8 @@ 0 0 2 +4 +2 0xe594 0x792914cd 256 @@ -131526,6 +164408,8 @@ 1 1 0 +5 +4 2 0x9675 0xd6b200ab @@ -131534,6 +164418,8 @@ 0 0 0 +5 +0 4 0x61e 0x2c09c86 @@ -131542,6 +164428,8 @@ 0 0 0 +3 +3 1 0xf621 0x51170b5b @@ -131550,6 +164438,8 @@ 0 0 0 +2 +0 3 0x6393 0x2af06fb6 @@ -131558,6 +164448,8 @@ 1 1 0 +3 +2 4 0x5b5c 0xcab2ad9b @@ -131566,6 +164458,8 @@ 0 0 0 +5 +3 2 0xc236 0x5f68c821 @@ -131574,6 +164468,8 @@ 1 0 0 +5 +0 2 0x11fa 0xaea7e59c @@ -131582,6 +164478,8 @@ 0 0 0 +0 +5 2 0xa81b 0xcd9a3e1f @@ -131590,6 +164488,8 @@ 1 0 0 +4 +4 2 0x316f 0x59e7f144 @@ -131599,6 +164499,8 @@ 1 0 3 +1 +3 0x149b 0x687e59fa 256 @@ -131606,6 +164508,8 @@ 1 1 0 +2 +2 3 0x960 0x99805100 @@ -131614,6 +164518,8 @@ 1 1 0 +0 +3 2 0x4c78 0xb7f48662 @@ -131622,6 +164528,8 @@ 0 0 0 +0 +0 4 0xb241 0xf326526b @@ -131630,6 +164538,8 @@ 0 0 0 +0 +1 3 0x26dd 0x58627d6d @@ -131638,6 +164548,8 @@ 1 1 0 +0 +3 4 0x8eb4 0x1166e0b2 @@ -131646,6 +164558,8 @@ 1 0 0 +0 +2 4 0xbe41 0x2c326f25 @@ -131654,6 +164568,8 @@ 1 0 0 +2 +0 3 0x97b7 0xc939d4ac @@ -131662,6 +164578,8 @@ 0 0 0 +2 +2 1 0xc231 0x41bbabb2 @@ -131671,6 +164589,8 @@ 0 0 4 +4 +4 0x9476 0xd541007e 256 @@ -131679,6 +164599,8 @@ 1 0 2 +0 +2 0x92ba 0x675cb25a 256 @@ -131686,6 +164608,8 @@ 0 0 0 +2 +0 3 0xb610 0xc141dd22 @@ -131694,6 +164618,8 @@ 1 0 0 +0 +4 3 0xed9f 0x34ffcaec @@ -131703,6 +164629,8 @@ 1 0 1 +1 +1 0xe3bd 0xc0884874 256 @@ -131710,6 +164638,8 @@ 1 0 0 +0 +4 2 0x2bf0 0x6ef2c7d1 @@ -131719,6 +164649,8 @@ 0 0 1 +1 +1 0x91e 0x12d2c665 256 @@ -131726,6 +164658,8 @@ 0 0 0 +4 +4 1 0x85c4 0xa5823d19 @@ -131734,6 +164668,8 @@ 0 0 0 +0 +2 3 0x7f81 0xa66a5612 @@ -131743,6 +164679,8 @@ 0 0 4 +3 +4 0xe5cc 0x907d4923 256 @@ -131750,6 +164688,8 @@ 0 0 0 +3 +4 1 0xcc24 0x8b7457d8 @@ -131759,6 +164699,8 @@ 1 0 1 +5 +1 0x3e6f 0x7c8ce193 256 @@ -131766,6 +164708,8 @@ 0 0 0 +1 +0 3 0xd021 0x688764e5 @@ -131775,6 +164719,8 @@ 0 0 1 +3 +1 0x650b 0xf2e30301 256 @@ -131783,6 +164729,8 @@ 0 0 4 +3 +4 0x9e08 0x48b3e52 256 @@ -131790,6 +164738,8 @@ 1 0 0 +1 +2 4 0x8549 0xae117cba @@ -131798,6 +164748,8 @@ 0 0 0 +2 +4 4 0xa825 0x6fb42729 @@ -131806,6 +164758,8 @@ 0 0 0 +3 +5 1 0x57fd 0xb457906e @@ -131814,6 +164768,8 @@ 1 0 0 +5 +0 1 0xd658 0x438e96da @@ -131822,6 +164778,8 @@ 1 0 0 +5 +4 4 0x9b26 0xa9eb96b3 @@ -131831,6 +164789,8 @@ 0 0 3 +3 +3 0xdd9b 0xa0ca734 256 @@ -131839,6 +164799,8 @@ 0 0 3 +4 +3 0xf11 0x517c608 256 @@ -131846,6 +164808,8 @@ 1 0 0 +0 +1 2 0xf757 0x41c7987b @@ -131854,6 +164818,8 @@ 0 0 0 +3 +1 4 0x69ae 0x5285fa41 @@ -131862,6 +164828,8 @@ 1 0 0 +1 +1 3 0xf5e1 0xd2a965aa @@ -131870,6 +164838,8 @@ 0 0 0 +5 +4 1 0x2b29 0x441cb72c @@ -131878,6 +164848,8 @@ 1 0 0 +1 +3 3 0x778 0x1a7e867c @@ -131886,6 +164858,8 @@ 1 1 0 +5 +0 4 0x9a2a 0x77275bbe @@ -131894,6 +164868,8 @@ 1 0 0 +2 +3 4 0xa589 0xc48cde2b @@ -131902,6 +164878,8 @@ 0 0 0 +0 +2 3 0x4181 0x1f51728c @@ -131910,6 +164888,8 @@ 0 0 0 +5 +0 3 0x821 0x42722b5 @@ -131918,6 +164898,8 @@ 0 0 0 +0 +3 3 0xb271 0x3aa316e @@ -131926,6 +164908,8 @@ 1 0 0 +5 +0 4 0x46d9 0x9d1b6fcc @@ -131934,6 +164918,8 @@ 1 1 0 +5 +5 1 0x12e1 0x831c3ceb @@ -131942,6 +164928,8 @@ 1 0 0 +3 +4 4 0xffac 0xdb83b661 @@ -131950,6 +164938,8 @@ 0 0 0 +0 +2 1 0x3d41 0x4e65a431 @@ -131958,6 +164948,8 @@ 1 1 0 +3 +0 4 0x9001 0x9c04116b @@ -131966,6 +164958,8 @@ 0 0 0 +1 +4 2 0xf9b4 0x4177542c @@ -131974,6 +164968,8 @@ 1 1 0 +2 +1 3 0xdc78 0x6ce55b10 @@ -131982,6 +164978,8 @@ 1 0 0 +5 +4 2 0xe2ec 0xcce069b @@ -131990,6 +164988,8 @@ 1 0 0 +5 +0 3 0x7576 0xcfcd1071 @@ -131998,6 +164998,8 @@ 1 0 0 +3 +5 1 0xa617 0xe80afdb1 @@ -132007,6 +165009,8 @@ 0 0 3 +5 +3 0x6641 0x4f353cba 256 @@ -132014,6 +165018,8 @@ 0 0 0 +3 +2 4 0xb31d 0xdb694350 @@ -132022,6 +165028,8 @@ 1 0 0 +0 +0 1 0xad17 0x1f383d5c @@ -132030,6 +165038,8 @@ 0 0 0 +1 +3 4 0x3cb8 0xc3d3f9d5 @@ -132038,6 +165048,8 @@ 0 0 0 +4 +2 3 0xc496 0x288edce7 @@ -132046,6 +165058,8 @@ 0 0 0 +5 +0 2 0x9cad 0x839190c3 @@ -132054,6 +165068,8 @@ 0 0 0 +2 +3 3 0x5c46 0xb7f51a59 @@ -132062,6 +165078,8 @@ 0 0 0 +5 +4 2 0xd608 0x57d4f871 @@ -132070,6 +165088,8 @@ 0 0 0 +2 +1 4 0xaf0f 0x44a39e4c @@ -132078,6 +165098,8 @@ 1 0 0 +5 +4 1 0x3599 0x119e27b1 @@ -132086,6 +165108,8 @@ 0 0 0 +0 +5 4 0xae57 0x38f4e31e @@ -132094,6 +165118,8 @@ 0 0 0 +3 +2 2 0x9959 0xa4393476 @@ -132103,6 +165129,8 @@ 0 0 1 +3 +1 0xf7b5 0x596940aa 256 @@ -132111,6 +165139,8 @@ 0 0 3 +1 +3 0xefdc 0xd86429b7 256 @@ -132118,6 +165148,8 @@ 0 0 0 +3 +3 2 0xca36 0x4292a7ce @@ -132126,6 +165158,8 @@ 0 0 0 +1 +5 4 0x25ee 0x98034805 @@ -132134,6 +165168,8 @@ 0 0 0 +2 +4 4 0x807d 0xe4e560fa @@ -132142,6 +165178,8 @@ 0 0 0 +4 +4 2 0x673d 0xce51fca6 @@ -132150,6 +165188,8 @@ 0 0 0 +2 +2 1 0xb288 0x919a2137 @@ -132158,6 +165198,8 @@ 1 1 0 +4 +4 1 0x4f9f 0x1fcf87c1 @@ -132167,6 +165209,8 @@ 0 0 3 +2 +3 0x1 0x83dcccf1 256 @@ -132175,6 +165219,8 @@ 0 0 4 +3 +4 0xa78c 0x98f56075 256 @@ -132182,6 +165228,8 @@ 1 0 0 +1 +5 3 0x77da 0x239d9225 @@ -132190,6 +165238,8 @@ 1 1 0 +1 +3 4 0x1f5c 0x6fb89464 @@ -132198,6 +165248,8 @@ 1 0 0 +2 +2 4 0xb953 0x2d077d11 @@ -132206,6 +165258,8 @@ 0 0 0 +3 +1 2 0x48ec 0xd2fe620 @@ -132214,6 +165268,8 @@ 0 0 0 +0 +5 3 0xe4c1 0xd22119fb @@ -132222,6 +165278,8 @@ 0 0 0 +1 +5 4 0x64b6 0xfc83d35f @@ -132231,6 +165289,8 @@ 1 0 1 +1 +1 0x8c19 0x6ee9bdd 256 @@ -132238,6 +165298,8 @@ 1 1 0 +0 +0 3 0xbc00 0x32e5b548 @@ -132246,6 +165308,8 @@ 0 0 0 +3 +5 4 0xe0eb 0x98c3191d @@ -132254,6 +165318,8 @@ 0 0 0 +3 +5 2 0x1d87 0x923a3c7e @@ -132262,6 +165328,8 @@ 0 0 0 +2 +1 3 0x4a8d 0xdfd5566d @@ -132270,6 +165338,8 @@ 1 1 0 +2 +2 1 0xfade 0x7c5d906f @@ -132278,6 +165348,8 @@ 1 0 0 +3 +3 1 0x9c55 0x7e14694c @@ -132287,6 +165359,8 @@ 1 0 3 +0 +3 0xfc19 0xd05c59a1 256 @@ -132294,6 +165368,8 @@ 0 0 0 +4 +2 1 0xfe28 0x6c4ccc6f @@ -132302,6 +165378,8 @@ 1 1 0 +2 +4 1 0x5357 0x4658c507 @@ -132311,6 +165389,8 @@ 1 0 1 +2 +1 0x5cb 0x1bfb4681 256 @@ -132318,6 +165398,8 @@ 0 0 0 +5 +5 4 0xe0de 0x1b77b79 @@ -132326,6 +165408,8 @@ 1 0 0 +4 +3 2 0x2e37 0xf45722fe @@ -132334,6 +165418,8 @@ 0 0 0 +1 +0 4 0xf62e 0xe2196a2d @@ -132342,6 +165428,8 @@ 0 0 0 +4 +4 3 0x7ee3 0x4757f670 @@ -132350,6 +165438,8 @@ 1 0 0 +2 +5 3 0xbbbf 0xaf2a71e2 @@ -132358,6 +165448,8 @@ 1 0 0 +0 +2 2 0x92a4 0xd033c54d @@ -132367,6 +165459,8 @@ 1 0 4 +2 +4 0x7630 0xe6d1cf88 256 @@ -132375,6 +165469,8 @@ 0 0 1 +0 +1 0xd2a5 0x813876f5 256 @@ -132383,6 +165479,8 @@ 1 0 2 +0 +2 0x8d9a 0xd3dcb4cd 256 @@ -132390,6 +165488,8 @@ 0 0 0 +1 +2 2 0xc2c1 0x3dc5546b @@ -132398,6 +165498,8 @@ 1 1 0 +1 +3 2 0xc055 0xb4c2c976 @@ -132406,6 +165508,8 @@ 1 1 0 +5 +3 1 0x2263 0xc5103840 @@ -132414,6 +165518,8 @@ 1 0 0 +5 +1 4 0x87b1 0x699cd462 @@ -132422,6 +165528,8 @@ 0 0 0 +1 +4 3 0x6a8d 0xbc0f8b48 @@ -132430,6 +165538,8 @@ 0 0 0 +2 +5 1 0x4f01 0x93dc7988 @@ -132438,6 +165548,8 @@ 1 0 0 +0 +0 2 0x8fcf 0x8ab85dd7 @@ -132446,6 +165558,8 @@ 1 1 0 +0 +4 2 0x826e 0x4d7ee5a2 @@ -132454,6 +165568,8 @@ 0 0 0 +2 +3 3 0xe2dd 0xcccffd51 @@ -132462,6 +165578,8 @@ 0 0 0 +0 +3 3 0x4a61 0x5d196cf1 @@ -132470,6 +165588,8 @@ 0 0 0 +2 +3 3 0x86e4 0x7cc60381 @@ -132478,6 +165598,8 @@ 0 0 0 +4 +1 2 0xfa4f 0xa1ceab45 @@ -132486,6 +165608,8 @@ 1 1 0 +0 +5 3 0x4088 0x725f4a14 @@ -132494,6 +165618,8 @@ 1 1 0 +3 +3 1 0xd81 0xa52ff4ae @@ -132502,6 +165628,8 @@ 0 0 0 +3 +0 2 0x721 0xd315fdb3 @@ -132510,6 +165638,8 @@ 0 0 0 +1 +5 4 0xfb1 0x26c71bfc @@ -132518,6 +165648,8 @@ 1 1 0 +3 +4 1 0x4f57 0x419397b2 @@ -132526,6 +165658,8 @@ 0 0 0 +0 +2 2 0x65ee 0x6b1b8252 @@ -132534,6 +165668,8 @@ 1 1 0 +3 +4 1 0xd78e 0x5a3b69b0 @@ -132542,6 +165678,8 @@ 0 0 0 +5 +3 2 0x11ea 0x18953acd @@ -132550,6 +165688,8 @@ 1 0 0 +1 +4 2 0xf213 0xa0026dee @@ -132558,6 +165698,8 @@ 0 0 0 +4 +4 3 0x67a9 0xa82cb75f @@ -132566,6 +165708,8 @@ 0 0 0 +0 +0 3 0x6112 0xb471775d @@ -132574,6 +165718,8 @@ 0 0 0 +2 +4 4 0xeecf 0xcc921a74 @@ -132582,6 +165728,8 @@ 1 0 0 +5 +4 2 0x3f01 0x7e136799 @@ -132590,6 +165738,8 @@ 0 0 0 +2 +3 4 0x87f7 0xb0ec25cb @@ -132598,6 +165748,8 @@ 1 1 0 +4 +2 2 0xc878 0x2de64a21 @@ -132606,6 +165758,8 @@ 1 1 0 +2 +4 4 0x6598 0x23cc0c80 @@ -132614,6 +165768,8 @@ 0 0 0 +5 +2 3 0xe22b 0xe5396aec @@ -132622,6 +165778,8 @@ 1 1 0 +0 +3 1 0xa9d0 0x42488ff7 @@ -132631,6 +165789,8 @@ 1 0 3 +1 +3 0x5725 0x2af28663 256 @@ -132638,6 +165798,8 @@ 1 1 0 +4 +4 3 0x43a9 0xcca593ef @@ -132646,6 +165808,8 @@ 0 0 0 +4 +5 1 0x8475 0x301b8eae @@ -132654,6 +165818,8 @@ 1 0 0 +3 +1 4 0xb535 0x683279d7 @@ -132662,6 +165828,8 @@ 0 0 0 +0 +2 2 0x5fca 0x597bd0dc @@ -132670,6 +165838,8 @@ 0 0 0 +0 +1 3 0x7e08 0x1f29b0a1 @@ -132678,6 +165848,8 @@ 0 0 0 +3 +5 2 0x1448 0x4487af6d @@ -132686,6 +165858,8 @@ 1 1 0 +3 +5 4 0xf5dc 0xec1a8a8e @@ -132694,6 +165868,8 @@ 0 0 0 +5 +3 1 0xd3b1 0x1ee3d2be @@ -132702,6 +165878,8 @@ 0 0 0 +1 +5 4 0x975c 0x979033fc @@ -132710,6 +165888,8 @@ 0 0 0 +2 +3 1 0x2f8b 0xc8308c9b @@ -132718,6 +165898,8 @@ 0 0 0 +0 +3 3 0x3b99 0xa2de2738 @@ -132726,6 +165908,8 @@ 0 0 0 +3 +3 2 0xa615 0x2bf9bebf @@ -132735,6 +165919,8 @@ 0 0 4 +3 +4 0x1f88 0x20769b60 256 @@ -132743,6 +165929,8 @@ 0 0 2 +1 +2 0xdc4 0x1b9201f9 256 @@ -132751,6 +165939,8 @@ 0 0 1 +3 +1 0x8d2 0x3c174bbc 256 @@ -132759,6 +165949,8 @@ 1 0 2 +4 +2 0x941a 0xd851f416 256 @@ -132766,6 +165958,8 @@ 1 0 0 +5 +2 3 0xb3aa 0xfc349751 @@ -132775,6 +165969,8 @@ 0 0 3 +1 +3 0x9c15 0xfe10b9b8 256 @@ -132783,6 +165979,8 @@ 1 0 1 +5 +1 0x9fd7 0x1c5b0fd5 256 @@ -132790,6 +165988,8 @@ 0 0 0 +0 +2 1 0xcab7 0x1043a558 @@ -132798,6 +165998,8 @@ 1 1 0 +0 +2 2 0xa734 0x8aa5302e @@ -132807,6 +166009,8 @@ 0 0 2 +2 +2 0xc341 0xcdaec6c2 256 @@ -132815,6 +166019,8 @@ 1 0 1 +3 +1 0xa6b2 0xe99c28e4 256 @@ -132823,6 +166029,8 @@ 0 0 4 +4 +4 0x2a5 0x5e240f82 256 @@ -132830,6 +166038,8 @@ 1 1 0 +0 +5 2 0xfe51 0x2ab2ee5 @@ -132838,6 +166048,8 @@ 0 0 0 +4 +1 2 0xbfe6 0x46b98eac @@ -132846,6 +166058,8 @@ 0 0 0 +0 +0 2 0xa98f 0x92be9392 @@ -132854,6 +166068,8 @@ 1 0 0 +3 +1 4 0x2f86 0x169ab951 @@ -132862,6 +166078,8 @@ 1 1 0 +3 +5 4 0x75ff 0xe3ba3a91 @@ -132870,6 +166088,8 @@ 1 1 0 +1 +2 2 0x6a4d 0xaa1df72d @@ -132878,6 +166098,8 @@ 1 0 0 +5 +3 1 0xbf31 0x34efb07e @@ -132886,6 +166108,8 @@ 1 0 0 +3 +5 4 0x9fe6 0xfec887e3 @@ -132895,6 +166119,8 @@ 0 0 4 +1 +4 0xe264 0xc455702b 256 @@ -132902,6 +166128,8 @@ 0 0 0 +5 +3 4 0x4987 0xe608a43b @@ -132910,6 +166138,8 @@ 1 1 0 +5 +2 3 0x14a8 0xcfa7a46a @@ -132918,6 +166148,8 @@ 0 0 0 +0 +0 3 0xd9c0 0x6b369446 @@ -132926,6 +166158,8 @@ 1 0 0 +3 +0 4 0xf0c3 0xd1b6d4f2 @@ -132935,6 +166169,8 @@ 1 0 1 +5 +1 0xaefd 0xde584c16 256 @@ -132942,6 +166178,8 @@ 1 0 0 +5 +4 1 0x762c 0x73e929aa @@ -132950,6 +166188,8 @@ 0 0 0 +1 +5 3 0x483a 0xe319c2f9 @@ -132959,6 +166199,8 @@ 0 0 4 +0 +4 0xc4a0 0x9ff1f0aa 256 @@ -132966,6 +166208,8 @@ 1 0 0 +1 +0 4 0xef6f 0xcbcae1da @@ -132974,6 +166218,8 @@ 0 0 0 +4 +5 3 0xbab8 0xd16bb1c2 @@ -132982,6 +166228,8 @@ 0 0 0 +0 +1 3 0x9f5c 0x290fc594 @@ -132991,6 +166239,8 @@ 0 0 4 +3 +4 0x314c 0x6dedced8 256 @@ -132998,6 +166248,8 @@ 0 0 0 +2 +2 3 0x7346 0xc3c9a3d1 @@ -133006,6 +166258,8 @@ 0 0 0 +1 +4 3 0x6b3c 0x1e9830ae @@ -133014,6 +166268,8 @@ 1 1 0 +3 +5 4 0x25cc 0x3876e16f @@ -133022,6 +166278,8 @@ 1 1 0 +0 +5 3 0xe30e 0x98ab64e8 @@ -133030,6 +166288,8 @@ 0 0 0 +2 +4 3 0x1893 0xd01d2f01 @@ -133038,6 +166298,8 @@ 1 0 0 +4 +5 3 0xece7 0x18307e71 @@ -133046,6 +166308,8 @@ 1 1 0 +4 +3 3 0x3e2a 0x5f7c4d6e @@ -133054,6 +166318,8 @@ 0 0 0 +2 +0 3 0xf6f2 0xf374db21 @@ -133062,6 +166328,8 @@ 0 0 0 +1 +2 4 0x1100 0x5c8f66b5 @@ -133070,6 +166338,8 @@ 1 0 0 +1 +3 3 0x9c59 0x79551f27 @@ -133078,6 +166348,8 @@ 1 1 0 +2 +2 1 0x6808 0xfd80c6bb @@ -133086,6 +166358,8 @@ 0 0 0 +2 +1 1 0x8a1e 0x1fc78772 @@ -133094,6 +166368,8 @@ 1 0 0 +0 +2 2 0xc820 0x34972cd3 @@ -133102,6 +166378,8 @@ 0 0 0 +1 +4 3 0xb447 0xe787ed70 @@ -133110,6 +166388,8 @@ 0 0 0 +2 +3 4 0xad1b 0xc4fbf80c @@ -133118,6 +166398,8 @@ 1 1 0 +0 +2 1 0x1eb2 0xfd31b702 @@ -133126,6 +166408,8 @@ 0 0 0 +0 +5 1 0x2383 0x463515c5 @@ -133135,6 +166419,8 @@ 0 0 3 +3 +3 0x11c0 0xe679872e 256 @@ -133142,6 +166428,8 @@ 0 0 0 +3 +5 4 0x584e 0x6e831624 @@ -133150,6 +166438,8 @@ 1 0 0 +5 +0 2 0xc741 0xe73fb23b @@ -133158,6 +166448,8 @@ 0 0 0 +1 +4 2 0x7178 0x22466473 @@ -133166,6 +166458,8 @@ 1 1 0 +0 +4 3 0x75fc 0xe9ab952a @@ -133174,6 +166468,8 @@ 1 1 0 +0 +5 2 0x9566 0x694f7ff8 @@ -133183,6 +166479,8 @@ 1 0 3 +5 +3 0x3096 0xea7685e3 256 @@ -133190,6 +166488,8 @@ 1 1 0 +0 +5 2 0x2d76 0x98115457 @@ -133198,6 +166498,8 @@ 1 1 0 +5 +2 1 0x4f2a 0xdc0e1b76 @@ -133207,6 +166509,8 @@ 0 0 2 +3 +2 0x3e77 0xf8cfcbc7 256 @@ -133214,6 +166518,8 @@ 0 0 0 +2 +4 4 0xee57 0x2021c10f @@ -133222,6 +166528,8 @@ 1 1 0 +2 +1 4 0x2db7 0x46da210c @@ -133230,6 +166538,8 @@ 0 0 0 +3 +4 1 0xf309 0xf358aeab @@ -133238,6 +166548,8 @@ 0 0 0 +4 +5 2 0xe5b7 0x30fef719 @@ -133246,6 +166558,8 @@ 1 1 0 +5 +1 2 0x7cd9 0x57193e9c @@ -133254,6 +166568,8 @@ 1 0 0 +5 +0 1 0xfb7f 0x78b4732b @@ -133262,6 +166578,8 @@ 0 0 0 +2 +0 3 0x87bb 0x54fad7a5 @@ -133270,6 +166588,8 @@ 0 0 0 +3 +4 1 0x27df 0x64f6d4d5 @@ -133279,6 +166599,8 @@ 1 0 2 +1 +2 0xa5c8 0x336b7f5a 256 @@ -133286,6 +166608,8 @@ 0 0 0 +5 +3 4 0x1ca 0xe168a311 @@ -133294,6 +166618,8 @@ 0 0 0 +5 +1 4 0xfcd6 0x87ce4bc6 @@ -133303,6 +166629,8 @@ 0 0 4 +0 +4 0x135f 0x7c5b21e2 256 @@ -133310,6 +166638,8 @@ 0 0 0 +2 +1 4 0x94f3 0xb4fdc4e2 @@ -133318,6 +166648,8 @@ 0 0 0 +5 +5 1 0x6134 0x8a569806 @@ -133326,6 +166658,8 @@ 1 1 0 +0 +4 1 0xcbed 0xc8551c80 @@ -133334,6 +166668,8 @@ 1 1 0 +5 +5 2 0x2313 0xf9c75bbe @@ -133342,6 +166678,8 @@ 1 0 0 +3 +2 1 0x1f40 0xf7c829bb @@ -133350,6 +166688,8 @@ 0 0 0 +2 +1 3 0x1519 0xec8bea28 @@ -133358,6 +166698,8 @@ 0 0 0 +3 +0 4 0x349a 0xcc3ac801 @@ -133367,6 +166709,8 @@ 0 0 4 +3 +4 0xadb 0x309b008c 256 @@ -133374,6 +166718,8 @@ 0 0 0 +2 +1 3 0x2a0c 0xee4b39f3 @@ -133382,6 +166728,8 @@ 0 0 0 +5 +3 3 0x36c7 0x2b2ec4d5 @@ -133390,6 +166738,8 @@ 1 1 0 +1 +1 3 0x99b9 0x9a18463e @@ -133399,6 +166749,8 @@ 1 0 1 +5 +1 0xce8 0xc1e8929b 256 @@ -133406,6 +166758,8 @@ 0 0 0 +0 +5 1 0x899e 0x841f3492 @@ -133415,6 +166769,8 @@ 0 0 4 +2 +4 0xc8b8 0x1dba4e14 256 @@ -133423,6 +166779,8 @@ 0 0 4 +4 +4 0xb3b2 0x1a718aad 256 @@ -133430,6 +166788,8 @@ 0 0 0 +4 +5 2 0xd382 0xe24c6648 @@ -133438,6 +166798,8 @@ 1 1 0 +3 +0 4 0x9436 0x1a37434d @@ -133446,6 +166808,8 @@ 1 1 0 +5 +3 1 0xb5b6 0x78999c7f @@ -133454,6 +166818,8 @@ 0 0 0 +2 +3 3 0x86a5 0x1ed95677 @@ -133462,6 +166828,8 @@ 1 1 0 +1 +3 2 0x7a32 0xa0baa640 @@ -133470,6 +166838,8 @@ 0 0 0 +3 +3 1 0x480 0x805ed68d @@ -133478,6 +166848,8 @@ 0 0 0 +4 +5 3 0x508a 0xddf8325d @@ -133486,6 +166858,8 @@ 1 0 0 +2 +4 3 0xa66e 0xd14cc21f @@ -133494,6 +166868,8 @@ 1 1 0 +0 +2 2 0x87e4 0x57602a93 @@ -133502,6 +166878,8 @@ 0 0 0 +5 +2 2 0x9ce 0x382285de @@ -133511,6 +166889,8 @@ 0 0 2 +1 +2 0x2906 0xbf15e8df 256 @@ -133519,6 +166899,8 @@ 1 0 2 +4 +2 0x5acd 0xfbedb740 256 @@ -133526,6 +166908,8 @@ 0 0 0 +5 +2 4 0x68b5 0x81f74204 @@ -133534,6 +166918,8 @@ 1 0 0 +5 +5 3 0x470e 0x2a16fe36 @@ -133542,6 +166928,8 @@ 1 0 0 +2 +3 1 0x3da6 0xe4fe1943 @@ -133550,6 +166938,8 @@ 0 0 0 +4 +0 3 0x559 0x19cd12f5 @@ -133558,6 +166948,8 @@ 0 0 0 +0 +0 2 0x9 0x41e44f8a @@ -133566,6 +166958,8 @@ 1 0 0 +0 +0 2 0xdda3 0xdcb84736 @@ -133574,6 +166968,8 @@ 1 1 0 +0 +4 3 0xeaf5 0x4b0f237e @@ -133582,6 +166978,8 @@ 0 0 0 +0 +4 1 0x2641 0xaad95157 @@ -133590,6 +166988,8 @@ 0 0 0 +5 +3 4 0x42fa 0xd3410073 @@ -133599,6 +166999,8 @@ 0 0 2 +2 +2 0x2fac 0x350acea2 256 @@ -133606,6 +167008,8 @@ 1 1 0 +1 +4 2 0xf6c3 0xcbb9afa7 @@ -133614,6 +167018,8 @@ 0 0 0 +4 +2 3 0x5b90 0xdc2ea400 @@ -133623,6 +167029,8 @@ 1 0 3 +3 +3 0xc295 0x3f361361 256 @@ -133630,6 +167038,8 @@ 1 0 0 +1 +3 2 0x952b 0xc06abcaa @@ -133638,6 +167048,8 @@ 0 0 0 +1 +3 4 0xdd13 0xe89503b7 @@ -133646,6 +167058,8 @@ 1 0 0 +4 +0 2 0x2cba 0xb544b931 @@ -133654,6 +167068,8 @@ 0 0 0 +0 +1 2 0x86af 0xd1bdf27a @@ -133662,6 +167078,8 @@ 1 1 0 +1 +0 4 0x4ace 0x8710163e @@ -133670,6 +167088,8 @@ 0 0 0 +5 +3 3 0xab9e 0xb4c472f @@ -133678,6 +167098,8 @@ 0 0 0 +1 +5 2 0xc3d7 0xf23bac0 @@ -133686,6 +167108,8 @@ 0 0 0 +0 +1 3 0x3df0 0x6b5668f5 @@ -133694,6 +167118,8 @@ 0 0 0 +1 +4 4 0xf26f 0x76a913bb @@ -133702,6 +167128,8 @@ 0 0 0 +5 +0 2 0x98a4 0xf46c47c3 @@ -133710,6 +167138,8 @@ 0 0 0 +0 +1 3 0x6a3f 0x36cb889b @@ -133719,6 +167149,8 @@ 1 0 3 +1 +3 0x2493 0xb0df2422 256 @@ -133727,6 +167159,8 @@ 0 0 4 +4 +4 0x5224 0x50e9d651 256 @@ -133734,6 +167168,8 @@ 1 0 0 +5 +0 1 0x23a0 0x8b64808d @@ -133742,6 +167178,8 @@ 1 0 0 +2 +4 3 0x3941 0x8e0730d4 @@ -133750,6 +167188,8 @@ 0 0 0 +3 +2 1 0xa38e 0x3b35474c @@ -133758,6 +167198,8 @@ 0 0 0 +5 +2 3 0xd48f 0x8c73d163 @@ -133766,6 +167208,8 @@ 0 0 0 +5 +1 1 0x8c6e 0x3ee4b0e0 @@ -133774,6 +167218,8 @@ 1 1 0 +1 +2 2 0x2216 0x714c9768 @@ -133782,6 +167228,8 @@ 0 0 0 +3 +0 1 0x89b0 0x543c5906 @@ -133790,6 +167238,8 @@ 0 0 0 +5 +0 2 0xa662 0x6348b0f0 @@ -133798,6 +167248,8 @@ 0 0 0 +2 +1 3 0x2c2d 0x864ccd18 @@ -133806,6 +167258,8 @@ 0 0 0 +0 +4 2 0xa862 0xc7ca930 @@ -133814,6 +167268,8 @@ 1 0 0 +1 +2 3 0xe66d 0xcf621ae1 @@ -133822,6 +167278,8 @@ 1 0 0 +2 +2 3 0xdd49 0xa92f110b @@ -133830,6 +167288,8 @@ 1 1 0 +1 +2 4 0x47f2 0x81e397e3 @@ -133839,6 +167299,8 @@ 0 0 1 +2 +1 0x8d18 0x8c80f511 256 @@ -133846,6 +167308,8 @@ 0 0 0 +0 +4 1 0x6fd2 0xe7bed9cc @@ -133854,6 +167318,8 @@ 1 1 0 +4 +4 3 0xa940 0x8c0b0721 @@ -133862,6 +167328,8 @@ 1 0 0 +1 +0 2 0xab7d 0xe7b9ab2 @@ -133870,6 +167338,8 @@ 1 1 0 +0 +5 1 0xc326 0xd744a2a4 @@ -133878,6 +167348,8 @@ 1 0 0 +4 +3 3 0x9224 0xddeb601e @@ -133887,6 +167359,8 @@ 1 0 1 +0 +1 0x3263 0x6173925a 256 @@ -133895,6 +167369,8 @@ 1 0 1 +2 +1 0x21cf 0x80474f1c 256 @@ -133902,6 +167378,8 @@ 1 0 0 +5 +3 2 0x6a39 0x7f50743d @@ -133910,6 +167388,8 @@ 0 0 0 +5 +5 3 0x4696 0x49da7fed @@ -133919,6 +167399,8 @@ 0 0 1 +4 +1 0x2704 0x593d0cdc 256 @@ -133926,6 +167408,8 @@ 0 0 0 +5 +2 1 0x41ec 0xdbdbf6eb @@ -133934,6 +167418,8 @@ 0 0 0 +5 +1 2 0x7647 0x2c058654 @@ -133942,6 +167428,8 @@ 1 1 0 +0 +3 4 0x93dc 0xa79882cd @@ -133950,6 +167438,8 @@ 0 0 0 +0 +1 1 0xa856 0xdfd8ad1 @@ -133958,6 +167448,8 @@ 1 1 0 +2 +5 3 0x9b19 0x23d4b6bd @@ -133966,6 +167458,8 @@ 0 0 0 +0 +5 2 0x577f 0x298b7580 @@ -133974,6 +167468,8 @@ 0 0 0 +4 +1 1 0x3112 0x621a7f3d @@ -133982,6 +167478,8 @@ 0 0 0 +4 +5 3 0x3a6e 0xb2f063d5 @@ -133990,6 +167488,8 @@ 0 0 0 +4 +1 2 0x684b 0x74d6069d @@ -133999,6 +167499,8 @@ 1 0 2 +5 +2 0x6b45 0x7a798150 256 @@ -134006,6 +167508,8 @@ 1 1 0 +5 +3 2 0x5db9 0x72c54bbd @@ -134014,6 +167518,8 @@ 1 0 0 +4 +0 3 0xc1bd 0x607aafeb @@ -134022,6 +167528,8 @@ 0 0 0 +3 +0 1 0x6dcc 0x36a11bb @@ -134030,6 +167538,8 @@ 1 1 0 +2 +0 3 0xf2a2 0xd91acca9 @@ -134039,6 +167549,8 @@ 0 0 4 +4 +4 0x9e88 0x9b553403 256 @@ -134047,6 +167559,8 @@ 0 0 2 +1 +2 0xb7f3 0x14d4f9ec 256 @@ -134055,6 +167569,8 @@ 0 0 4 +3 +4 0x5ac6 0x7a97c0ce 256 @@ -134062,6 +167578,8 @@ 0 0 0 +3 +1 2 0x7389 0x7f764b67 @@ -134070,6 +167588,8 @@ 1 1 0 +4 +5 1 0xbcb2 0x9f07b148 @@ -134078,6 +167598,8 @@ 0 0 0 +4 +3 2 0xec9e 0x776eb7cc @@ -134086,6 +167608,8 @@ 0 0 0 +1 +5 3 0x6ae1 0x3d285085 @@ -134095,6 +167619,8 @@ 0 0 2 +1 +2 0xec7c 0xd4d72d0c 256 @@ -134103,6 +167629,8 @@ 1 0 2 +3 +2 0x3740 0xf5bd3df6 256 @@ -134110,6 +167638,8 @@ 0 0 0 +1 +1 2 0x126e 0xcf3cbe43 @@ -134118,6 +167648,8 @@ 0 0 0 +0 +4 4 0xdf1f 0x90fc5020 @@ -134127,6 +167659,8 @@ 0 0 1 +4 +1 0x91a5 0x8a54f504 256 @@ -134134,6 +167668,8 @@ 1 1 0 +5 +5 1 0xed98 0x454d123b @@ -134142,6 +167678,8 @@ 1 1 0 +4 +3 2 0x3ead 0xee275a8c @@ -134151,6 +167689,8 @@ 0 0 2 +4 +2 0x1a51 0x4589a046 256 @@ -134158,6 +167698,8 @@ 1 0 0 +4 +1 3 0x9e41 0x3dc9c861 @@ -134166,6 +167708,8 @@ 1 1 0 +3 +3 4 0x6e1f 0x6f61908d @@ -134175,6 +167719,8 @@ 0 0 2 +4 +2 0x8321 0x4891563 256 @@ -134183,6 +167729,8 @@ 0 0 4 +3 +4 0xb850 0x125b4fb0 256 @@ -134190,6 +167738,8 @@ 0 0 0 +3 +5 1 0x46ae 0x85e822a2 @@ -134198,6 +167748,8 @@ 0 0 0 +0 +5 1 0x837b 0xb8207d64 @@ -134206,6 +167758,8 @@ 0 0 0 +3 +4 4 0x38d 0x2b133807 @@ -134215,6 +167769,8 @@ 0 0 4 +3 +4 0x8d5f 0x5d8216a2 256 @@ -134222,6 +167778,8 @@ 0 0 0 +1 +2 4 0x3e84 0x146f07f8 @@ -134230,6 +167788,8 @@ 1 1 0 +0 +3 3 0x321e 0xff3460f2 @@ -134238,6 +167798,8 @@ 1 0 0 +5 +1 3 0xc9a8 0x17446c0 @@ -134246,6 +167808,8 @@ 1 0 0 +4 +3 2 0xb1bc 0x9c0e6db8 @@ -134254,6 +167818,8 @@ 0 0 0 +5 +5 1 0xed26 0xb6b95502 @@ -134262,6 +167828,8 @@ 0 0 0 +0 +5 3 0x2be8 0xd50cdb7b @@ -134271,6 +167839,8 @@ 1 0 4 +2 +4 0x7e48 0xc4168e70 256 @@ -134278,6 +167848,8 @@ 0 0 0 +5 +2 1 0x78e6 0xc5878c07 @@ -134286,6 +167858,8 @@ 1 1 0 +1 +1 3 0x6d9e 0xeee03ba0 @@ -134295,6 +167869,8 @@ 0 0 2 +2 +2 0xd72a 0x85929217 256 @@ -134302,6 +167878,8 @@ 0 0 0 +1 +4 2 0xb96f 0xc4f849ff @@ -134310,6 +167888,8 @@ 1 0 0 +3 +5 1 0xcd2a 0x67126a57 @@ -134319,6 +167899,8 @@ 1 0 2 +4 +2 0x61b6 0xa1007dd3 256 @@ -134326,6 +167908,8 @@ 1 0 0 +5 +3 1 0xafaa 0xb67cb3a2 @@ -134334,6 +167918,8 @@ 0 0 0 +5 +3 2 0xfa4c 0x9db51cca @@ -134342,6 +167928,8 @@ 1 0 0 +3 +3 1 0x8e36 0x5416e89d @@ -134350,6 +167938,8 @@ 1 0 0 +0 +1 1 0xceae 0x1e25b0ce @@ -134358,6 +167948,8 @@ 0 0 0 +2 +5 1 0x9437 0xe105e193 @@ -134366,6 +167958,8 @@ 0 0 0 +4 +0 3 0x39fd 0xf8adce63 @@ -134375,6 +167969,8 @@ 0 0 2 +2 +2 0xd44b 0xa4956add 256 @@ -134383,6 +167979,8 @@ 0 0 3 +0 +3 0x4468 0x68c4caa9 256 @@ -134390,6 +167988,8 @@ 0 0 0 +0 +1 4 0x8871 0x3221a441 @@ -134399,6 +167999,8 @@ 0 0 1 +1 +1 0xbaa9 0x99857c5c 256 @@ -134406,6 +168008,8 @@ 0 0 0 +3 +2 4 0xb131 0xfd804f7 @@ -134414,6 +168018,8 @@ 0 0 0 +5 +5 3 0xd140 0x2ec97c55 @@ -134422,6 +168028,8 @@ 0 0 0 +3 +5 4 0x21f8 0x92ce38a9 @@ -134430,6 +168038,8 @@ 0 0 0 +1 +2 4 0x97f3 0x30f96524 @@ -134438,6 +168048,8 @@ 1 0 0 +1 +2 3 0xfd9a 0x35ff2a45 @@ -134447,6 +168059,8 @@ 0 0 4 +1 +4 0xe56 0x80cc8392 256 @@ -134455,6 +168069,8 @@ 0 0 3 +2 +3 0xd10e 0x634c1f70 256 @@ -134462,6 +168078,8 @@ 1 1 0 +2 +2 4 0xb10c 0x73c7833 @@ -134470,6 +168088,8 @@ 0 0 0 +4 +4 2 0xdc9c 0x3e09f891 @@ -134478,6 +168098,8 @@ 0 0 0 +2 +5 1 0xe70d 0xb07e3dee @@ -134487,6 +168109,8 @@ 0 0 2 +4 +2 0xe9be 0x60396f2e 256 @@ -134495,6 +168119,8 @@ 1 0 3 +2 +3 0x5edd 0xc5acce7 256 @@ -134502,6 +168128,8 @@ 0 0 0 +4 +3 2 0xbca2 0x94bfa39d @@ -134510,6 +168138,8 @@ 0 0 0 +5 +3 2 0x841b 0xf8712b3 @@ -134518,6 +168148,8 @@ 1 0 0 +4 +5 2 0x1f5d 0x7adb0a58 @@ -134526,6 +168158,8 @@ 0 0 0 +1 +3 3 0x5d3d 0x877de54d @@ -134534,6 +168168,8 @@ 1 1 0 +4 +4 2 0x1fcf 0x8405f7ff @@ -134542,6 +168178,8 @@ 1 1 0 +5 +2 4 0xd195 0x7165b09b @@ -134550,6 +168188,8 @@ 0 0 0 +0 +3 2 0xd925 0x6736af49 @@ -134558,6 +168198,8 @@ 1 0 0 +1 +4 3 0xac0b 0xbb04b937 @@ -134566,6 +168208,8 @@ 0 0 0 +4 +1 2 0x5abf 0x2f08b71c @@ -134575,6 +168219,8 @@ 0 0 4 +1 +4 0x60b5 0x1478778d 256 @@ -134582,6 +168228,8 @@ 0 0 0 +2 +4 4 0x7a2e 0x89b46709 @@ -134590,6 +168238,8 @@ 0 0 0 +3 +1 4 0xfb96 0x686d8a6c @@ -134598,6 +168248,8 @@ 0 0 0 +1 +3 2 0x6429 0x3ead3863 @@ -134606,6 +168258,8 @@ 1 1 0 +0 +2 3 0xbf0f 0x1c12cae2 @@ -134614,6 +168268,8 @@ 0 0 0 +4 +4 2 0x502c 0x73695e61 @@ -134622,6 +168278,8 @@ 0 0 0 +5 +1 1 0xd441 0xd3ccbf4a @@ -134630,6 +168288,8 @@ 0 0 0 +0 +2 2 0x14d3 0x6da6b491 @@ -134638,6 +168298,8 @@ 0 0 0 +2 +3 4 0x8823 0xc97b0548 @@ -134646,6 +168308,8 @@ 1 0 0 +0 +0 3 0xfb0a 0x58fca777 @@ -134654,6 +168318,8 @@ 1 0 0 +0 +0 3 0xe5ae 0xec70823a @@ -134662,6 +168328,8 @@ 1 0 0 +5 +3 1 0x2401 0x139397a5 @@ -134670,6 +168338,8 @@ 0 0 0 +1 +4 4 0xec1e 0x9393a599 @@ -134678,6 +168348,8 @@ 1 0 0 +1 +3 2 0xf6af 0x7a4e2225 @@ -134686,6 +168358,8 @@ 1 1 0 +2 +0 4 0x130f 0x3d600fb @@ -134694,6 +168368,8 @@ 1 1 0 +5 +1 3 0x1d7b 0xfae1e045 @@ -134702,6 +168378,8 @@ 0 0 0 +3 +1 4 0x8ef8 0x177073d7 @@ -134710,6 +168388,8 @@ 0 0 0 +1 +3 4 0x952d 0xbc8cc7cc @@ -134719,6 +168399,8 @@ 1 0 1 +0 +1 0xd392 0x617e7427 256 @@ -134726,6 +168408,8 @@ 1 1 0 +0 +4 3 0x9e59 0xd5db8cd7 @@ -134734,6 +168418,8 @@ 1 0 0 +0 +1 4 0x2516 0x488b6c89 @@ -134742,6 +168428,8 @@ 0 0 0 +1 +4 4 0x1890 0x355f4264 @@ -134750,6 +168438,8 @@ 0 0 0 +3 +2 2 0x1ee3 0x3f308f56 @@ -134759,6 +168449,8 @@ 0 0 1 +0 +1 0x9a4f 0xfb4bedd7 256 @@ -134766,6 +168458,8 @@ 1 0 0 +4 +3 3 0x55ac 0x79e6684e @@ -134774,6 +168468,8 @@ 1 1 0 +3 +1 4 0xe3c4 0x31a914ea @@ -134782,6 +168478,8 @@ 0 0 0 +0 +1 3 0x8784 0xbb35b2e7 @@ -134790,6 +168488,8 @@ 0 0 0 +2 +4 4 0xaa3b 0x34fbba88 @@ -134798,6 +168498,8 @@ 1 0 0 +4 +1 1 0x31d0 0x578dfa74 @@ -134807,6 +168509,8 @@ 0 0 1 +3 +1 0x31ee 0xc98a7141 256 @@ -134815,6 +168519,8 @@ 0 0 4 +1 +4 0xd5da 0x12945910 256 @@ -134822,6 +168528,8 @@ 0 0 0 +4 +1 1 0xad61 0x217fc87c @@ -134830,6 +168538,8 @@ 0 0 0 +2 +1 1 0xa935 0xfc538337 @@ -134838,6 +168548,8 @@ 0 0 0 +0 +1 2 0x446f 0x1a17b8a0 @@ -134846,6 +168558,8 @@ 0 0 0 +0 +3 4 0x6207 0x247f82cd @@ -134854,6 +168568,8 @@ 1 1 0 +5 +5 1 0x33e 0x54582402 @@ -134862,6 +168578,8 @@ 1 1 0 +3 +3 1 0xc2ea 0xb0106e14 @@ -134870,6 +168588,8 @@ 0 0 0 +5 +0 3 0xe80b 0x303db766 @@ -134878,6 +168598,8 @@ 0 0 0 +1 +2 2 0x7b3e 0x600499aa @@ -134886,6 +168608,8 @@ 0 0 0 +3 +0 2 0xd79 0x569e7194 @@ -134894,6 +168618,8 @@ 1 0 0 +5 +2 2 0x4757 0xc79549bf @@ -134902,6 +168628,8 @@ 1 0 0 +3 +5 2 0x3885 0x97887184 @@ -134910,6 +168638,8 @@ 1 0 0 +1 +2 3 0x1c87 0xcdf3d5cd @@ -134918,6 +168648,8 @@ 0 0 0 +3 +0 2 0xe0d9 0x9f01109a @@ -134926,6 +168658,8 @@ 0 0 0 +5 +4 3 0xf1e1 0x5359ba10 @@ -134934,6 +168668,8 @@ 0 0 0 +5 +5 1 0xba38 0xfdfc6e1 @@ -134942,6 +168678,8 @@ 1 0 0 +1 +1 4 0x11fa 0x3a70aca7 @@ -134950,6 +168688,8 @@ 1 0 0 +0 +4 1 0x9f4 0x9200987e @@ -134958,6 +168698,8 @@ 1 1 0 +2 +4 3 0x82b7 0xe8577e59 @@ -134966,6 +168708,8 @@ 0 0 0 +4 +5 3 0x63e5 0x5df2fd7d @@ -134974,6 +168718,8 @@ 1 1 0 +0 +2 1 0x41b 0xb212295f @@ -134982,6 +168728,8 @@ 1 1 0 +5 +2 2 0x85d3 0x102d426c @@ -134991,6 +168739,8 @@ 0 0 2 +1 +2 0x66e7 0x518923c2 256 @@ -134998,6 +168748,8 @@ 1 1 0 +0 +4 3 0x479e 0xfd6a10bd @@ -135006,6 +168758,8 @@ 0 0 0 +0 +5 4 0x85d9 0x12f88a45 @@ -135014,6 +168768,8 @@ 0 0 0 +5 +3 1 0xf8d3 0x37c8624a @@ -135022,6 +168778,8 @@ 0 0 0 +2 +3 4 0x67db 0x3303979 @@ -135030,6 +168788,8 @@ 0 0 0 +4 +1 2 0xbdc2 0xac464f2a @@ -135038,6 +168798,8 @@ 1 0 0 +0 +3 4 0xe2cd 0x528c2be4 @@ -135046,6 +168808,8 @@ 1 1 0 +5 +5 4 0x7ec7 0x169b2ef8 @@ -135054,6 +168818,8 @@ 1 0 0 +0 +3 1 0x768f 0xebaf47dc @@ -135062,6 +168828,8 @@ 1 1 0 +0 +2 4 0xd248 0xb8414517 @@ -135070,6 +168838,8 @@ 0 0 0 +5 +0 3 0xa5ed 0x55c7d426 @@ -135078,6 +168848,8 @@ 0 0 0 +4 +4 1 0x36d2 0xbcbc14e @@ -135086,6 +168858,8 @@ 1 0 0 +5 +0 1 0x373a 0x971caa @@ -135095,6 +168869,8 @@ 1 0 4 +5 +4 0x1543 0xda0d225e 256 @@ -135102,6 +168878,8 @@ 1 0 0 +2 +4 4 0xd683 0xc723a462 @@ -135110,6 +168888,8 @@ 1 0 0 +0 +4 4 0x67f0 0x39e694cc @@ -135118,6 +168898,8 @@ 1 1 0 +5 +2 2 0x2c0 0x637134ea @@ -135126,6 +168908,8 @@ 1 1 0 +2 +0 1 0x4ae9 0x27a3669c @@ -135134,6 +168918,8 @@ 1 0 0 +4 +2 1 0x595a 0x1746644b @@ -135142,6 +168928,8 @@ 0 0 0 +3 +0 1 0x75c 0x2a046aea @@ -135150,6 +168938,8 @@ 1 1 0 +1 +5 3 0xe53a 0x44460ab3 @@ -135158,6 +168948,8 @@ 1 1 0 +5 +4 3 0xead5 0x91cb3343 @@ -135166,6 +168958,8 @@ 1 1 0 +0 +3 4 0xf5f6 0x955a808c @@ -135174,6 +168968,8 @@ 0 0 0 +1 +1 3 0xe57d 0x8accf492 @@ -135182,6 +168978,8 @@ 0 0 0 +0 +5 4 0x139f 0x5554c8b2 @@ -135190,6 +168988,8 @@ 1 0 0 +5 +3 1 0x8910 0xdb60adca @@ -135198,6 +168998,8 @@ 1 0 0 +0 +0 4 0xdd7 0x846d1664 @@ -135206,6 +169008,8 @@ 1 1 0 +5 +2 3 0xea14 0x962c7e3 @@ -135214,6 +169018,8 @@ 1 0 0 +2 +2 1 0xd52e 0x1d44b29d @@ -135222,6 +169028,8 @@ 0 0 0 +2 +1 4 0x521b 0xdb6bc93f @@ -135231,6 +169039,8 @@ 0 0 1 +4 +1 0x12bd 0x8d4a3164 256 @@ -135238,6 +169048,8 @@ 0 0 0 +1 +5 4 0x2de7 0xfa417b80 @@ -135246,6 +169058,8 @@ 1 0 0 +5 +5 4 0x6722 0x80f5b2f1 @@ -135254,6 +169068,8 @@ 1 0 0 +1 +0 4 0x4006 0xd47376c4 @@ -135262,6 +169078,8 @@ 1 1 0 +1 +3 4 0x1fde 0x53d0c089 @@ -135271,6 +169089,8 @@ 1 0 1 +2 +1 0x473e 0x3e820651 256 @@ -135278,6 +169098,8 @@ 1 0 0 +2 +0 3 0xe420 0x5f45284c @@ -135286,6 +169108,8 @@ 0 0 0 +0 +0 3 0x48da 0x6efa3d13 @@ -135294,6 +169118,8 @@ 1 1 0 +2 +4 1 0x30c4 0x473a1fa3 @@ -135302,6 +169128,8 @@ 1 1 0 +2 +1 3 0x3c04 0xf3cd7fe8 @@ -135310,6 +169138,8 @@ 0 0 0 +5 +0 2 0xd2ca 0x42144451 @@ -135318,6 +169148,8 @@ 0 0 0 +5 +1 4 0x7df7 0x1dbcf1a6 @@ -135326,6 +169158,8 @@ 0 0 0 +5 +3 3 0x17a8 0xd81b4201 @@ -135334,6 +169168,8 @@ 1 1 0 +1 +5 4 0x170b 0xb0b6a059 @@ -135342,6 +169178,8 @@ 1 1 0 +5 +3 2 0xd8fe 0x618c8edc @@ -135350,6 +169188,8 @@ 1 1 0 +2 +0 1 0x6d37 0x5e7d2f32 @@ -135358,6 +169198,8 @@ 1 0 0 +5 +2 2 0xeaaf 0x9c31fcca @@ -135366,6 +169208,8 @@ 1 0 0 +4 +1 1 0x7b7c 0xfccad735 @@ -135374,6 +169218,8 @@ 0 0 0 +0 +3 3 0x6d7d 0x722374e3 @@ -135382,6 +169228,8 @@ 0 0 0 +3 +2 1 0xbf86 0x972e9df8 @@ -135390,6 +169238,8 @@ 0 0 0 +5 +0 2 0x71bc 0x371a6320 @@ -135398,6 +169248,8 @@ 0 0 0 +1 +1 2 0x2245 0xea5f6ca7 @@ -135407,6 +169259,8 @@ 1 0 4 +0 +4 0x91f1 0xda12cc4e 256 @@ -135415,6 +169269,8 @@ 1 0 1 +1 +1 0x30ea 0x3de096b3 256 @@ -135423,6 +169279,8 @@ 1 0 1 +0 +1 0x99d0 0xd0532385 256 @@ -135431,6 +169289,8 @@ 0 0 1 +2 +1 0x19ed 0xad83f293 256 @@ -135439,6 +169299,8 @@ 0 0 3 +1 +3 0xbcfc 0xb6678958 256 @@ -135446,6 +169308,8 @@ 0 0 0 +3 +3 1 0x6040 0xfcce6f41 @@ -135454,6 +169318,8 @@ 0 0 0 +5 +3 4 0x10f9 0x50b1cd6e @@ -135462,6 +169328,8 @@ 1 0 0 +0 +3 4 0xef97 0x574fd05f @@ -135470,6 +169338,8 @@ 0 0 0 +2 +3 1 0x76a2 0x4c89c737 @@ -135479,6 +169349,8 @@ 1 0 1 +2 +1 0x5a2 0xfd0495b6 256 @@ -135486,6 +169358,8 @@ 1 1 0 +5 +3 4 0x5768 0x8d2d38d8 @@ -135495,6 +169369,8 @@ 0 0 3 +4 +3 0x9b2 0x94524561 256 @@ -135502,6 +169378,8 @@ 1 1 0 +2 +2 4 0x144f 0x3a40457 @@ -135510,6 +169388,8 @@ 1 1 0 +4 +4 3 0x29d3 0x771416f2 @@ -135518,6 +169398,8 @@ 1 1 0 +4 +1 2 0xbf9b 0x70010996 @@ -135526,6 +169408,8 @@ 1 1 0 +4 +0 3 0x90c2 0x87bacddb @@ -135534,6 +169418,8 @@ 0 0 0 +3 +2 4 0xd792 0xe2537f87 @@ -135543,6 +169429,8 @@ 0 0 1 +0 +1 0x7ebf 0x5408f606 256 @@ -135550,6 +169438,8 @@ 1 0 0 +5 +3 4 0xe246 0x9f943e6f @@ -135558,6 +169448,8 @@ 0 0 0 +4 +4 1 0xfe0c 0x9af8a440 @@ -135566,6 +169458,8 @@ 0 0 0 +1 +5 2 0xfae0 0xf89a46bc @@ -135575,6 +169469,8 @@ 0 0 1 +0 +1 0x3c7 0x666acf22 256 @@ -135582,6 +169478,8 @@ 1 0 0 +2 +4 4 0x6279 0x84228788 @@ -135590,6 +169488,8 @@ 1 0 0 +1 +5 2 0xeec1 0xdc7c10ae @@ -135598,6 +169498,8 @@ 1 1 0 +5 +5 3 0xd0ef 0xe49f5872 @@ -135607,6 +169509,8 @@ 0 0 4 +4 +4 0xa012 0x9986f3e3 256 @@ -135614,6 +169518,8 @@ 0 0 0 +5 +3 4 0xd860 0x3147844f @@ -135622,6 +169528,8 @@ 0 0 0 +1 +5 3 0xb07b 0xf39e2bad @@ -135630,6 +169538,8 @@ 0 0 0 +0 +3 1 0x88e7 0x60fb22dc @@ -135638,6 +169548,8 @@ 1 0 0 +4 +0 2 0xc8d 0x220b73ef @@ -135646,6 +169558,8 @@ 0 0 0 +4 +4 2 0xa848 0x37ee71c @@ -135655,6 +169569,8 @@ 1 0 3 +1 +3 0x6de9 0x8c7fd200 256 @@ -135662,6 +169578,8 @@ 0 0 0 +4 +2 3 0x4ee2 0x7831d25c @@ -135670,6 +169588,8 @@ 1 0 0 +2 +3 1 0xd30c 0xf38a3d2a @@ -135679,6 +169599,8 @@ 0 0 3 +4 +3 0x42fd 0xfa4f8e0d 256 @@ -135686,6 +169608,8 @@ 0 0 0 +0 +5 4 0xc10a 0x56e5eede @@ -135694,6 +169618,8 @@ 0 0 0 +1 +0 4 0xb8bd 0xef145581 @@ -135702,6 +169628,8 @@ 1 0 0 +3 +4 1 0xae6b 0xe0a07a70 @@ -135710,6 +169638,8 @@ 1 0 0 +5 +3 3 0xe913 0xa41cf19b @@ -135718,6 +169648,8 @@ 0 0 0 +0 +0 3 0xc8f1 0xe85cb0ce @@ -135726,6 +169658,8 @@ 0 0 0 +2 +2 3 0x936f 0xf43a4871 @@ -135734,6 +169668,8 @@ 0 0 0 +4 +2 1 0x388 0x5afcf5af @@ -135742,6 +169678,8 @@ 0 0 0 +1 +2 2 0x44c6 0x2f20479f @@ -135750,6 +169688,8 @@ 1 1 0 +1 +0 2 0x5775 0x8f269703 @@ -135758,6 +169698,8 @@ 1 1 0 +2 +0 1 0x83d5 0x75fc44da @@ -135767,6 +169709,8 @@ 0 0 2 +4 +2 0x1b6f 0xbf6b4b97 256 @@ -135774,6 +169718,8 @@ 0 0 0 +2 +1 3 0x4f70 0x6857f9ac @@ -135782,6 +169728,8 @@ 1 0 0 +5 +4 1 0xa190 0x3e136c5e @@ -135790,6 +169738,8 @@ 1 1 0 +3 +2 1 0x1cf4 0x1615e8df @@ -135798,6 +169748,8 @@ 1 0 0 +5 +0 2 0xcc22 0xcd5d9140 @@ -135806,6 +169758,8 @@ 0 0 0 +1 +3 3 0xe045 0xbc4d1f7d @@ -135814,6 +169768,8 @@ 0 0 0 +0 +2 4 0x601f 0x8ba7d7f0 @@ -135822,6 +169778,8 @@ 0 0 0 +2 +4 4 0x109 0x526448dd @@ -135830,6 +169788,8 @@ 1 0 0 +5 +1 3 0x91cb 0xb71347da @@ -135839,6 +169799,8 @@ 1 0 4 +1 +4 0xf5de 0x6b452b59 256 @@ -135847,6 +169809,8 @@ 0 0 4 +0 +4 0xdcfa 0xcf7a7a08 256 @@ -135854,6 +169818,8 @@ 0 0 0 +0 +2 1 0x6b6a 0xa61a9713 @@ -135862,6 +169828,8 @@ 0 0 0 +4 +1 1 0x3020 0xafa3e85c @@ -135870,6 +169838,8 @@ 0 0 0 +0 +3 3 0x6fa0 0x690d587f @@ -135878,6 +169848,8 @@ 0 0 0 +4 +4 1 0x69b6 0xa16ad90 @@ -135886,6 +169858,8 @@ 1 0 0 +0 +2 2 0xe463 0xf3d79b09 @@ -135894,6 +169868,8 @@ 0 0 0 +0 +5 2 0x6b2a 0xcd6092d2 @@ -135902,6 +169878,8 @@ 1 1 0 +0 +3 2 0x84c6 0x6518e512 @@ -135910,6 +169888,8 @@ 0 0 0 +2 +3 1 0xf632 0x10ad74fa @@ -135918,6 +169898,8 @@ 1 1 0 +0 +1 3 0x393f 0x2ace0862 @@ -135926,6 +169908,8 @@ 0 0 0 +0 +0 2 0x2ad3 0xbf51bfeb @@ -135934,6 +169918,8 @@ 1 1 0 +0 +5 3 0x92ca 0xfd5f17a1 @@ -135942,6 +169928,8 @@ 1 0 0 +4 +1 3 0x2b47 0xf6719bd0 @@ -135950,6 +169938,8 @@ 0 0 0 +0 +1 3 0x66b8 0x87b813d2 @@ -135958,6 +169948,8 @@ 1 1 0 +2 +0 4 0x869b 0x76fb4c6d @@ -135966,6 +169958,8 @@ 0 0 0 +0 +2 2 0x6a83 0xed2576cf @@ -135974,6 +169968,8 @@ 1 0 0 +5 +1 2 0x3ff6 0x11cdebb @@ -135982,6 +169978,8 @@ 0 0 0 +2 +0 1 0x4e61 0x93033177 @@ -135990,6 +169988,8 @@ 0 0 0 +3 +0 4 0xeb7 0x106f5c66 @@ -135999,6 +169999,8 @@ 0 0 2 +1 +2 0xa8f8 0x2d71f5a8 256 @@ -136006,6 +170008,8 @@ 1 0 0 +5 +1 4 0x54b7 0xd6bc90ac @@ -136014,6 +170018,8 @@ 1 1 0 +2 +5 4 0x7caf 0x2c61077a @@ -136022,6 +170028,8 @@ 0 0 0 +1 +0 4 0x6c19 0x40c58d8a @@ -136030,6 +170038,8 @@ 1 1 0 +5 +3 2 0x4d90 0xf0825b27 @@ -136038,6 +170048,8 @@ 1 1 0 +2 +0 3 0xcf9a 0xe510c507 @@ -136046,6 +170058,8 @@ 1 0 0 +2 +1 4 0xe81f 0x42c0af75 @@ -136054,6 +170068,8 @@ 0 0 0 +3 +4 1 0x366f 0x1e4661b0 @@ -136063,6 +170079,8 @@ 1 0 3 +3 +3 0x5c9 0xfa404460 256 @@ -136071,6 +170089,8 @@ 0 0 3 +3 +3 0xb43c 0xf812b18a 256 @@ -136078,6 +170098,8 @@ 0 0 0 +0 +0 1 0x1fc 0x334dd27c @@ -136086,6 +170108,8 @@ 0 0 0 +4 +2 3 0xc84e 0xb7297b6c @@ -136094,6 +170118,8 @@ 0 0 0 +0 +2 4 0x747d 0xb4b3050e @@ -136103,6 +170129,8 @@ 0 0 4 +4 +4 0x240 0x868845b9 256 @@ -136110,6 +170138,8 @@ 0 0 0 +4 +2 2 0xced2 0x5d4a60df @@ -136119,6 +170149,8 @@ 0 0 3 +3 +3 0xbc7e 0xc3eb36b 256 @@ -136126,6 +170158,8 @@ 0 0 0 +0 +2 2 0xa625 0x58a0d9f1 @@ -136134,6 +170168,8 @@ 0 0 0 +4 +4 1 0x3e70 0x6fa90f35 @@ -136142,6 +170178,8 @@ 1 1 0 +5 +5 2 0x5ea4 0x42fae42c @@ -136150,6 +170188,8 @@ 1 0 0 +0 +2 1 0x99ba 0xf7adc723 @@ -136158,6 +170198,8 @@ 0 0 0 +5 +5 3 0x2e8a 0xcede65b7 @@ -136167,6 +170209,8 @@ 1 0 1 +5 +1 0x454 0x57aba84e 256 @@ -136174,6 +170218,8 @@ 1 1 0 +3 +4 2 0xd0c1 0x6719c5f7 @@ -136182,6 +170228,8 @@ 0 0 0 +2 +0 1 0x8481 0x5ceaa0b8 @@ -136190,6 +170238,8 @@ 0 0 0 +0 +5 3 0x32da 0xd6461b9c @@ -136198,6 +170248,8 @@ 0 0 0 +0 +5 2 0xff18 0x92df4656 @@ -136206,6 +170258,8 @@ 0 0 0 +0 +2 4 0x5a42 0x3848c7db @@ -136214,6 +170268,8 @@ 0 0 0 +5 +2 4 0x3c0 0x3947716a @@ -136222,6 +170278,8 @@ 0 0 0 +0 +4 2 0x57d1 0x14be0c2e @@ -136230,6 +170288,8 @@ 1 1 0 +2 +3 3 0x277f 0x178c01f8 @@ -136238,6 +170298,8 @@ 1 0 0 +5 +5 4 0x524f 0xf7ce5a81 @@ -136246,6 +170308,8 @@ 0 0 0 +4 +1 3 0xb6e9 0x59e30078 @@ -136255,6 +170319,8 @@ 1 0 3 +1 +3 0xe116 0xbc10e564 256 @@ -136262,6 +170328,8 @@ 1 0 0 +5 +0 4 0xe8d3 0xaed6383b @@ -136270,6 +170338,8 @@ 1 1 0 +4 +3 3 0xdbf 0xd6bba31d @@ -136278,6 +170348,8 @@ 0 0 0 +0 +2 4 0xbb8 0x2c04cb25 @@ -136286,6 +170358,8 @@ 1 1 0 +3 +0 1 0x25c8 0x7e801254 @@ -136294,6 +170368,8 @@ 0 0 0 +4 +5 1 0x974 0xb95bff92 @@ -136302,6 +170378,8 @@ 0 0 0 +0 +1 2 0x11f6 0xf0a093ee @@ -136310,6 +170388,8 @@ 1 1 0 +5 +1 4 0xff5e 0x8831ff28 @@ -136318,6 +170398,8 @@ 0 0 0 +3 +5 4 0xe8d4 0xec3d2d72 @@ -136326,6 +170408,8 @@ 0 0 0 +5 +1 2 0x6f96 0x84aad465 @@ -136334,6 +170418,8 @@ 1 0 0 +2 +0 3 0xea35 0xbcfedeb1 @@ -136343,6 +170429,8 @@ 0 0 2 +5 +2 0x2da2 0x993a35f2 256 @@ -136350,6 +170438,8 @@ 0 0 0 +2 +5 4 0x4bfb 0x178f32d8 @@ -136358,6 +170448,8 @@ 0 0 0 +1 +5 2 0x5bfa 0xbf908ea7 @@ -136366,6 +170458,8 @@ 1 1 0 +5 +3 3 0xbcdd 0xeef087e6 @@ -136374,6 +170468,8 @@ 1 0 0 +5 +1 4 0xe6f8 0xf3709f59 @@ -136382,6 +170478,8 @@ 0 0 0 +4 +0 1 0xbbc7 0x8756a544 @@ -136390,6 +170488,8 @@ 0 0 0 +3 +3 2 0x48c1 0x7898fe4a @@ -136398,6 +170498,8 @@ 1 1 0 +0 +0 4 0x217 0xfad132b8 @@ -136406,6 +170508,8 @@ 0 0 0 +1 +2 3 0xbaeb 0x2560dab4 @@ -136414,6 +170518,8 @@ 1 1 0 +3 +5 2 0xacc6 0xab12af59 @@ -136422,6 +170528,8 @@ 0 0 0 +5 +5 1 0x1298 0x9e1ddf4a @@ -136431,6 +170539,8 @@ 0 0 4 +5 +4 0x93b 0x860bd466 256 @@ -136438,6 +170548,8 @@ 1 0 0 +3 +3 1 0x4463 0xaa273d63 @@ -136446,6 +170558,8 @@ 1 0 0 +3 +5 1 0x749b 0xc785b0ea @@ -136454,6 +170568,8 @@ 0 0 0 +5 +5 3 0x9dfe 0x284aedf2 @@ -136463,6 +170579,8 @@ 0 0 1 +2 +1 0x95e7 0xd5aff79d 256 @@ -136470,6 +170588,8 @@ 0 0 0 +3 +5 4 0xc30f 0xa123fc1a @@ -136479,6 +170599,8 @@ 1 0 2 +2 +2 0xf900 0x8597b8a7 256 @@ -136486,6 +170608,8 @@ 0 0 0 +5 +3 2 0xc5ef 0x6b6b9db6 @@ -136494,6 +170618,8 @@ 0 0 0 +1 +4 2 0x5732 0x3ebd2895 @@ -136502,6 +170628,8 @@ 0 0 0 +5 +3 2 0x2a5e 0xf3721e6 @@ -136510,6 +170638,8 @@ 0 0 0 +3 +2 2 0x550e 0xc882725 @@ -136518,6 +170648,8 @@ 0 0 0 +1 +0 4 0xf335 0xcffdf703 @@ -136526,6 +170658,8 @@ 0 0 0 +5 +2 4 0x4600 0x3ec64d2d @@ -136534,6 +170668,8 @@ 1 1 0 +1 +2 3 0x8edb 0x20d4c5b4 @@ -136542,6 +170678,8 @@ 1 0 0 +2 +5 1 0xec6c 0x2710d28d @@ -136550,6 +170688,8 @@ 1 1 0 +2 +4 3 0x3bc8 0x899b0f5 @@ -136558,6 +170698,8 @@ 1 1 0 +5 +5 4 0x5ee2 0xa0f860c8 @@ -136566,6 +170708,8 @@ 1 0 0 +0 +5 3 0x9874 0x161daa97 @@ -136574,6 +170718,8 @@ 1 0 0 +2 +5 1 0x3297 0xf6f30344 @@ -136582,6 +170728,8 @@ 1 0 0 +3 +0 4 0x7235 0x4269be09 @@ -136590,6 +170738,8 @@ 1 1 0 +5 +1 3 0xc763 0x7c7fd030 @@ -136598,6 +170748,8 @@ 1 0 0 +0 +3 2 0xc2be 0x59acf54e @@ -136606,6 +170758,8 @@ 1 0 0 +1 +1 4 0xe02b 0x9372e6f1 @@ -136614,6 +170768,8 @@ 0 0 0 +0 +3 1 0x9582 0x4b2f90ee @@ -136622,6 +170778,8 @@ 0 0 0 +4 +4 3 0xda93 0x83960719 @@ -136630,6 +170788,8 @@ 0 0 0 +4 +3 3 0x3ecb 0x42e8b24c @@ -136638,6 +170798,8 @@ 0 0 0 +2 +4 4 0xf4c1 0xe41d201f @@ -136646,6 +170808,8 @@ 0 0 0 +3 +1 2 0x68c 0x2b4864b7 @@ -136654,6 +170818,8 @@ 0 0 0 +0 +1 1 0x135e 0x5742c248 @@ -136662,6 +170828,8 @@ 0 0 0 +1 +0 3 0xc34 0x9db2f34d @@ -136670,6 +170838,8 @@ 1 1 0 +4 +2 3 0x443f 0xf44dc797 @@ -136678,6 +170848,8 @@ 1 1 0 +5 +3 4 0xb097 0x35473482 @@ -136686,6 +170858,8 @@ 0 0 0 +0 +0 3 0x4f67 0x96091496 @@ -136694,6 +170868,8 @@ 1 1 0 +4 +1 3 0xe176 0xb2fb7153 @@ -136702,6 +170878,8 @@ 1 1 0 +5 +3 2 0x1e35 0xdb7dfff3 @@ -136710,6 +170888,8 @@ 0 0 0 +3 +0 4 0x6cde 0x78b81e52 @@ -136718,6 +170898,8 @@ 1 1 0 +5 +5 4 0x4207 0x40ce000f @@ -136727,6 +170909,8 @@ 0 0 1 +2 +1 0x477c 0x91848792 256 @@ -136734,6 +170918,8 @@ 0 0 0 +5 +2 4 0x9492 0xdd0c06a5 @@ -136742,6 +170928,8 @@ 1 0 0 +5 +0 2 0x9705 0x64b4ee69 @@ -136750,6 +170938,8 @@ 0 0 0 +0 +5 2 0x1d08 0x6eeef40d @@ -136758,6 +170948,8 @@ 1 1 0 +4 +1 2 0x111b 0x18aaf6a8 @@ -136766,6 +170958,8 @@ 0 0 0 +2 +2 3 0x186f 0x64c3715c @@ -136774,6 +170968,8 @@ 1 1 0 +4 +0 2 0x9c98 0xe1243d4a @@ -136782,6 +170978,8 @@ 1 0 0 +0 +1 1 0x30fc 0xc5b28d9f @@ -136791,6 +170989,8 @@ 1 0 1 +5 +1 0x987d 0x5f92653 256 @@ -136798,6 +170998,8 @@ 1 1 0 +2 +3 4 0x354b 0xd2cf260b @@ -136807,6 +171009,8 @@ 0 0 2 +3 +2 0xf25a 0xe4b2fddc 256 @@ -136814,6 +171018,8 @@ 0 0 0 +0 +4 4 0x7b21 0xac9310a6 @@ -136822,6 +171028,8 @@ 1 1 0 +3 +4 2 0xf7e5 0xe86c64e @@ -136831,6 +171039,8 @@ 0 0 1 +4 +1 0x1f2 0xbc79b4ff 256 @@ -136838,6 +171048,8 @@ 1 1 0 +4 +1 1 0x273f 0x2723a361 @@ -136847,6 +171059,8 @@ 0 0 3 +0 +3 0x39dc 0x32ac47d1 256 @@ -136854,6 +171068,8 @@ 1 1 0 +4 +3 2 0x8776 0x18e3832d @@ -136862,6 +171078,8 @@ 1 0 0 +3 +5 4 0xdf 0x3cb5e78d @@ -136870,6 +171088,8 @@ 0 0 0 +1 +4 4 0x1a45 0x1e2c6025 @@ -136878,6 +171098,8 @@ 1 1 0 +5 +3 4 0x634e 0x7beba690 @@ -136887,6 +171109,8 @@ 0 0 1 +4 +1 0xcd67 0x65c315aa 256 @@ -136894,6 +171118,8 @@ 1 1 0 +4 +1 2 0x3995 0xd1540831 @@ -136902,6 +171128,8 @@ 1 0 0 +0 +4 3 0x4b1 0xd32c2ae6 @@ -136910,6 +171138,8 @@ 0 0 0 +5 +3 3 0x9de3 0x522cd17f @@ -136919,6 +171149,8 @@ 1 0 4 +3 +4 0x7e71 0xf4dd9c4c 256 @@ -136926,6 +171158,8 @@ 0 0 0 +1 +3 3 0x437e 0x648772ce @@ -136934,6 +171168,8 @@ 1 0 0 +2 +5 3 0x8ee2 0x3e3b6845 @@ -136942,6 +171178,8 @@ 0 0 0 +1 +3 2 0x4991 0xf5d4ba91 @@ -136951,6 +171189,8 @@ 1 0 1 +4 +1 0x8484 0x515be0f5 256 @@ -136958,6 +171198,8 @@ 0 0 0 +0 +4 4 0xf6a9 0x50133a3e @@ -136966,6 +171208,8 @@ 0 0 0 +0 +5 3 0x91f7 0x7def4d46 @@ -136974,6 +171218,8 @@ 1 0 0 +5 +5 4 0xd5ae 0x523d213e @@ -136983,6 +171229,8 @@ 1 0 3 +4 +3 0xf216 0xc1fe7815 256 @@ -136990,6 +171238,8 @@ 1 1 0 +5 +3 3 0x9591 0x1c55794e @@ -136998,6 +171248,8 @@ 1 1 0 +0 +4 1 0xe58a 0xd6b989a3 @@ -137006,6 +171258,8 @@ 1 0 0 +4 +2 2 0x3f21 0xeb127792 @@ -137014,6 +171268,8 @@ 1 0 0 +5 +4 4 0x1e4f 0x3bf60a4a @@ -137022,6 +171278,8 @@ 0 0 0 +1 +2 3 0x251d 0xf684da42 @@ -137030,6 +171288,8 @@ 0 0 0 +0 +1 2 0xd771 0x9dbba207 @@ -137038,6 +171298,8 @@ 0 0 0 +3 +1 1 0x7e83 0xe990b9c1 @@ -137046,6 +171308,8 @@ 1 1 0 +5 +5 3 0xcddd 0x1cad6eed @@ -137054,6 +171318,8 @@ 1 0 0 +4 +3 1 0xd640 0x5a9ec683 @@ -137062,6 +171328,8 @@ 1 1 0 +1 +3 2 0x1dee 0x3e836f77 @@ -137070,6 +171338,8 @@ 1 0 0 +5 +0 3 0xa4a6 0x661cd4df @@ -137078,6 +171348,8 @@ 1 0 0 +4 +3 3 0xc1bd 0xae250541 @@ -137086,6 +171358,8 @@ 0 0 0 +5 +5 1 0x85d9 0xe299cae @@ -137094,6 +171368,8 @@ 0 0 0 +5 +2 1 0xa45a 0x34a285a0 @@ -137102,6 +171378,8 @@ 1 0 0 +4 +5 1 0x3818 0x3404fb35 @@ -137110,6 +171388,8 @@ 0 0 0 +2 +0 4 0x34ba 0x101d247a @@ -137118,6 +171398,8 @@ 1 0 0 +5 +0 4 0xdfe2 0x24832efd @@ -137126,6 +171408,8 @@ 0 0 0 +2 +5 3 0x910c 0x5ebae1f @@ -137134,6 +171418,8 @@ 1 1 0 +4 +3 2 0x47a6 0xc90eb23f @@ -137143,6 +171429,8 @@ 0 0 4 +4 +4 0x7e31 0xed2fc383 256 @@ -137151,6 +171439,8 @@ 0 0 2 +0 +2 0x5682 0x3da60135 256 @@ -137158,6 +171448,8 @@ 1 1 0 +3 +4 2 0x9c92 0x88eb8ae @@ -137166,6 +171458,8 @@ 1 1 0 +3 +2 1 0x8b 0x8a680c7f @@ -137174,7 +171468,9 @@ 1 1 0 -1 +3 +0 +1 0x55b6 0x4596a4b4 256 @@ -137182,6 +171478,8 @@ 1 1 0 +3 +1 4 0xdb47 0x9d0c8011 @@ -137190,6 +171488,8 @@ 0 0 0 +0 +5 2 0xcdc2 0x727903d4 @@ -137198,6 +171498,8 @@ 1 1 0 +2 +3 3 0x7161 0x9c1651af @@ -137206,6 +171508,8 @@ 1 1 0 +0 +1 3 0x464d 0x78f1e43a @@ -137215,6 +171519,8 @@ 0 0 1 +0 +1 0x4300 0x7e6af732 256 @@ -137222,6 +171528,8 @@ 1 0 0 +2 +4 4 0x29e3 0x94570134 @@ -137231,6 +171539,8 @@ 0 0 3 +5 +3 0x8a40 0xe8577d36 256 @@ -137239,6 +171549,8 @@ 0 0 2 +3 +2 0x7a18 0x8bbacac0 256 @@ -137246,6 +171558,8 @@ 1 0 0 +5 +3 2 0xc213 0x580ec0a9 @@ -137254,6 +171568,8 @@ 1 1 0 +1 +0 4 0x244d 0x42cf071a @@ -137262,6 +171578,8 @@ 0 0 0 +5 +5 1 0x3707 0x98f8da81 @@ -137270,6 +171588,8 @@ 1 0 0 +0 +1 4 0x44ce 0x1cee0078 @@ -137278,6 +171598,8 @@ 1 1 0 +5 +3 4 0x46f6 0x50e5b076 @@ -137287,6 +171609,8 @@ 1 0 1 +4 +1 0xac58 0x371843e4 256 @@ -137294,6 +171618,8 @@ 1 1 0 +5 +5 3 0x1de 0xa717530f @@ -137302,6 +171628,8 @@ 0 0 0 +0 +1 4 0x48a8 0x98d7f03d @@ -137310,6 +171638,8 @@ 0 0 0 +4 +4 2 0xd2ad 0xf52d58d3 @@ -137318,6 +171648,8 @@ 0 0 0 +1 +2 2 0x788d 0x7d7bae45 @@ -137327,6 +171659,8 @@ 0 0 2 +1 +2 0xd8a0 0x5f99e7c6 256 @@ -137334,6 +171668,8 @@ 0 0 0 +5 +5 2 0x1893 0x544bdeef @@ -137343,6 +171679,8 @@ 1 0 4 +0 +4 0x7569 0x577ea8f7 256 @@ -137350,6 +171688,8 @@ 1 0 0 +2 +5 3 0x8776 0x7a4afbb1 @@ -137358,6 +171698,8 @@ 1 0 0 +0 +5 1 0x909e 0x2b3325c9 @@ -137366,6 +171708,8 @@ 0 0 0 +5 +5 3 0x7f4e 0xed2892d @@ -137374,6 +171718,8 @@ 0 0 0 +2 +5 1 0x18aa 0xe139ada6 @@ -137382,6 +171728,8 @@ 1 1 0 +1 +3 2 0x3d8c 0x96f4df3a @@ -137390,6 +171738,8 @@ 1 0 0 +3 +0 2 0x9854 0xc91a02ad @@ -137398,6 +171748,8 @@ 1 1 0 +0 +5 4 0xb694 0x2457b70e @@ -137406,6 +171758,8 @@ 1 1 0 +0 +1 2 0x9825 0x1d1bcdae @@ -137415,6 +171769,8 @@ 0 0 1 +3 +1 0xfc97 0xf98d6372 256 @@ -137422,6 +171778,8 @@ 0 0 0 +4 +0 2 0x966c 0xdbe2e395 @@ -137430,6 +171788,8 @@ 1 1 0 +5 +2 3 0x4520 0xc5cd25aa @@ -137438,6 +171798,8 @@ 0 0 0 +1 +4 2 0xdfc5 0xcfed8b83 @@ -137446,6 +171808,8 @@ 0 0 0 +1 +4 3 0xbfeb 0x85f3e336 @@ -137454,6 +171818,8 @@ 0 0 0 +2 +4 4 0xe69c 0x53742b54 @@ -137462,6 +171828,8 @@ 0 0 0 +3 +0 4 0xdfbb 0x85a29fa6 @@ -137471,6 +171839,8 @@ 1 0 3 +4 +3 0xfc40 0xf3c8e744 256 @@ -137478,6 +171848,8 @@ 0 0 0 +3 +0 2 0xb910 0x65499529 @@ -137486,6 +171858,8 @@ 1 1 0 +3 +5 2 0x6440 0xf844261d @@ -137494,6 +171868,8 @@ 0 0 0 +3 +4 2 0xf3cf 0x6293b639 @@ -137502,6 +171878,8 @@ 0 0 0 +4 +5 1 0xe536 0xdd984424 @@ -137511,6 +171889,8 @@ 0 0 4 +2 +4 0xa14 0xa2c4a2dc 256 @@ -137518,6 +171898,8 @@ 1 0 0 +5 +5 3 0xc815 0x312f9b8d @@ -137526,6 +171908,8 @@ 1 1 0 +5 +1 3 0x80e7 0x35e6389e @@ -137534,6 +171918,8 @@ 1 1 0 +4 +5 2 0x753f 0xa625c7d2 @@ -137543,6 +171929,8 @@ 0 0 1 +2 +1 0x9421 0x9b10553e 256 @@ -137550,6 +171938,8 @@ 1 0 0 +0 +3 4 0xeaa3 0x117b15c @@ -137558,6 +171948,8 @@ 1 1 0 +0 +5 3 0x323 0xe2433bb4 @@ -137566,6 +171958,8 @@ 0 0 0 +3 +2 2 0xd19a 0x3b11b7ed @@ -137574,6 +171968,8 @@ 0 0 0 +0 +3 3 0xc49 0x1bce6f5 @@ -137582,6 +171978,8 @@ 1 1 0 +2 +4 4 0x14af 0x38b1503b @@ -137591,6 +171989,8 @@ 0 0 1 +2 +1 0x89bd 0x9411c334 256 @@ -137598,6 +171998,8 @@ 1 1 0 +5 +3 1 0x2f7b 0xdf508a25 @@ -137606,6 +172008,8 @@ 1 0 0 +0 +4 3 0xdbef 0xd61f52e1 @@ -137614,6 +172018,8 @@ 0 0 0 +5 +3 4 0xa518 0xe5b9f0ba @@ -137622,6 +172028,8 @@ 0 0 0 +1 +3 3 0x5f21 0x9124a857 @@ -137630,6 +172038,8 @@ 0 0 0 +5 +2 2 0xf4e2 0x818a8a5c @@ -137638,6 +172048,8 @@ 0 0 0 +0 +0 4 0x28f8 0x897cdedc @@ -137647,6 +172059,8 @@ 0 0 4 +5 +4 0xbf3e 0x2f498ccc 256 @@ -137654,6 +172068,8 @@ 1 1 0 +1 +2 3 0x994f 0x74afc675 @@ -137663,6 +172079,8 @@ 0 0 4 +0 +4 0x9ef2 0x89cc4bdc 256 @@ -137670,6 +172088,8 @@ 0 0 0 +1 +4 3 0x923b 0x51f22538 @@ -137678,6 +172098,8 @@ 0 0 0 +2 +0 1 0xf889 0x3c5e403 @@ -137686,6 +172108,8 @@ 1 1 0 +5 +1 4 0x20af 0xa03403f2 @@ -137694,6 +172118,8 @@ 0 0 0 +5 +5 2 0x2a8b 0xfdccdc24 @@ -137702,6 +172128,8 @@ 1 0 0 +0 +1 1 0xe96f 0x81f28bd4 @@ -137710,6 +172138,8 @@ 1 1 0 +1 +3 4 0x268b 0xeae81d28 @@ -137718,6 +172148,8 @@ 1 1 0 +1 +3 4 0x40da 0x9184bac9 @@ -137726,6 +172158,8 @@ 0 0 0 +5 +2 4 0x92b2 0x11970e9a @@ -137734,6 +172168,8 @@ 1 1 0 +3 +1 1 0xcb0f 0x95768885 @@ -137742,6 +172178,8 @@ 1 1 0 +5 +0 3 0x2f15 0xbf563bbf @@ -137750,6 +172188,8 @@ 1 1 0 +5 +4 2 0x279f 0x57a7b682 @@ -137758,6 +172198,8 @@ 0 0 0 +5 +5 3 0xf928 0xdce18351 @@ -137766,6 +172208,8 @@ 1 0 0 +5 +2 1 0x7df 0x9e5078d2 @@ -137774,6 +172218,8 @@ 1 0 0 +0 +3 1 0x3e13 0x53cde6ad @@ -137783,6 +172229,8 @@ 0 0 4 +0 +4 0x18be 0x3657ce82 256 @@ -137791,6 +172239,8 @@ 1 0 2 +0 +2 0x2222 0x5b32cd88 256 @@ -137798,6 +172248,8 @@ 1 1 0 +2 +1 4 0xe326 0x59609db7 @@ -137806,6 +172258,8 @@ 0 0 0 +5 +4 3 0x9cec 0x6f04b272 @@ -137814,6 +172268,8 @@ 1 0 0 +1 +0 4 0x5e03 0x2283bce1 @@ -137822,6 +172278,8 @@ 0 0 0 +0 +4 3 0xc88d 0xeb3dc08a @@ -137831,6 +172289,8 @@ 0 0 2 +4 +2 0xd24d 0x24ad0a41 256 @@ -137838,6 +172298,8 @@ 1 1 0 +4 +3 2 0x3483 0x7fd8edf9 @@ -137846,6 +172308,8 @@ 0 0 0 +4 +1 3 0xbc68 0x2d404a41 @@ -137855,6 +172319,8 @@ 0 0 4 +3 +4 0x56ac 0x66e82c79 256 @@ -137862,6 +172328,8 @@ 1 0 0 +2 +4 3 0x5944 0x61cab76f @@ -137870,6 +172338,8 @@ 0 0 0 +3 +5 1 0x9e13 0xd06ab0bb @@ -137878,6 +172348,8 @@ 0 0 0 +4 +0 1 0xe3cd 0x6b67d2d4 @@ -137886,6 +172358,8 @@ 0 0 0 +0 +4 4 0x2ab3 0x73637596 @@ -137894,6 +172368,8 @@ 0 0 0 +4 +5 2 0xf56b 0xbeeca9b5 @@ -137902,6 +172378,8 @@ 1 0 0 +0 +3 4 0x3dec 0x5037ef43 @@ -137910,6 +172388,8 @@ 1 1 0 +1 +5 3 0xa981 0x11670665 @@ -137918,6 +172398,8 @@ 1 0 0 +2 +3 1 0x9ad6 0xf0cdf50 @@ -137926,6 +172408,8 @@ 1 0 0 +1 +2 2 0x965d 0x32c3a882 @@ -137934,6 +172418,8 @@ 1 1 0 +0 +0 2 0x53d3 0x5544c4f4 @@ -137943,6 +172429,8 @@ 0 0 4 +0 +4 0x2074 0xacd0499c 256 @@ -137951,6 +172439,8 @@ 1 0 1 +0 +1 0x1bf1 0xdb963c7a 256 @@ -137958,6 +172448,8 @@ 0 0 0 +1 +1 4 0xd4b9 0x296607f9 @@ -137966,6 +172458,8 @@ 0 0 0 +0 +4 2 0xfda3 0x70e30f55 @@ -137974,6 +172468,8 @@ 0 0 0 +1 +5 4 0x6971 0xfd34e372 @@ -137983,6 +172479,8 @@ 1 0 4 +2 +4 0x80bd 0xb96b150e 256 @@ -137990,6 +172488,8 @@ 0 0 0 +5 +2 1 0x6c85 0x6c13ec37 @@ -137998,6 +172498,8 @@ 1 1 0 +3 +1 1 0x946d 0xc9b06ae @@ -138006,6 +172508,8 @@ 1 0 0 +5 +4 2 0x83af 0xb2e345ab @@ -138014,6 +172518,8 @@ 1 0 0 +4 +0 1 0xde8d 0x59719a2b @@ -138022,6 +172528,8 @@ 1 0 0 +3 +3 2 0x6866 0x31e0d12b @@ -138030,6 +172538,8 @@ 1 0 0 +4 +4 3 0x67b8 0xe6f2cb99 @@ -138039,6 +172549,8 @@ 1 0 2 +2 +2 0x77dd 0x1345ca4c 256 @@ -138046,6 +172558,8 @@ 1 0 0 +4 +1 1 0xe3e0 0x87085916 @@ -138054,6 +172568,8 @@ 1 0 0 +5 +0 4 0x119c 0xfec742d8 @@ -138062,6 +172578,8 @@ 0 0 0 +4 +5 3 0x156a 0xa5bb2d69 @@ -138070,6 +172588,8 @@ 1 0 0 +4 +2 1 0x6e5d 0x428949e4 @@ -138078,6 +172598,8 @@ 0 0 0 +3 +0 4 0x1c5e 0x4f41a82a @@ -138086,6 +172608,8 @@ 1 1 0 +5 +1 3 0x5489 0x7373f2fb @@ -138094,6 +172618,8 @@ 1 1 0 +5 +4 1 0x9353 0x77c1b360 @@ -138102,6 +172628,8 @@ 1 1 0 +1 +5 4 0xa8bd 0x7bf813a9 @@ -138110,6 +172638,8 @@ 0 0 0 +2 +5 1 0x2327 0xa3d34fc2 @@ -138118,6 +172648,8 @@ 1 1 0 +2 +4 3 0xc6c7 0xb6f132de @@ -138126,6 +172658,8 @@ 0 0 0 +2 +4 4 0xaa3d 0x99979336 @@ -138134,6 +172668,8 @@ 0 0 0 +1 +4 4 0x68f2 0x2c8983f6 @@ -138142,6 +172678,8 @@ 0 0 0 +1 +4 4 0x4dc8 0x2926b56d @@ -138150,6 +172688,8 @@ 0 0 0 +4 +2 1 0x1f7d 0x665be44 @@ -138158,6 +172698,8 @@ 0 0 0 +3 +5 4 0x7a4e 0x674afac5 @@ -138166,6 +172708,8 @@ 1 0 0 +3 +1 4 0x58fe 0x600c2bb5 @@ -138174,6 +172718,8 @@ 1 0 0 +1 +4 4 0x7b5a 0xd76d2844 @@ -138182,6 +172728,8 @@ 0 0 0 +0 +4 3 0xe18d 0xfd77a252 @@ -138190,6 +172738,8 @@ 0 0 0 +0 +5 1 0xf0cf 0x6aa54cf9 @@ -138199,6 +172749,8 @@ 0 0 1 +1 +1 0xa19a 0xc54599d6 256 @@ -138206,6 +172758,8 @@ 0 0 0 +1 +3 4 0xbaab 0xf18c6685 @@ -138214,6 +172768,8 @@ 0 0 0 +2 +4 4 0x1b9e 0xaf91c5c8 @@ -138223,6 +172779,8 @@ 0 0 2 +1 +2 0xf324 0x950a852a 256 @@ -138230,6 +172788,8 @@ 0 0 0 +2 +1 3 0x96ef 0x151fd484 @@ -138238,6 +172798,8 @@ 1 1 0 +1 +3 3 0x2f36 0x36ebfa27 @@ -138246,6 +172808,8 @@ 0 0 0 +2 +5 3 0x3bba 0x6d24a389 @@ -138254,6 +172818,8 @@ 1 1 0 +5 +2 3 0x6e79 0xf92f432d @@ -138263,6 +172829,8 @@ 0 0 3 +5 +3 0xd07c 0xf4f3c189 256 @@ -138270,6 +172838,8 @@ 1 1 0 +1 +2 2 0xda4e 0x91ddef1b @@ -138279,6 +172849,8 @@ 1 0 4 +1 +4 0x14a4 0xf916984f 256 @@ -138286,6 +172858,8 @@ 0 0 0 +1 +3 4 0x79b1 0xea0816d3 @@ -138294,6 +172868,8 @@ 0 0 0 +1 +2 2 0xdb07 0xeb195136 @@ -138302,6 +172878,8 @@ 0 0 0 +2 +4 1 0xc179 0x3da2a080 @@ -138311,6 +172889,8 @@ 0 0 1 +2 +1 0x9212 0x6125ab14 256 @@ -138318,6 +172898,8 @@ 1 1 0 +2 +2 3 0x6049 0x34ffc8df @@ -138326,6 +172908,8 @@ 1 1 0 +5 +0 2 0x85a6 0xd7d2a487 @@ -138334,6 +172918,8 @@ 0 0 0 +5 +5 2 0x1d1e 0xae01c40a @@ -138342,6 +172928,8 @@ 0 0 0 +5 +4 4 0x2278 0x636e6e53 @@ -138350,6 +172938,8 @@ 0 0 0 +0 +5 1 0x5827 0x10bb7484 @@ -138358,6 +172948,8 @@ 1 1 0 +3 +5 4 0x94fe 0x5bcc1660 @@ -138366,6 +172958,8 @@ 1 0 0 +1 +2 2 0x4d61 0x133d4944 @@ -138374,6 +172968,8 @@ 0 0 0 +2 +4 4 0x3140 0x83cd6bc1 @@ -138383,6 +172979,8 @@ 0 0 3 +3 +3 0x2e 0x3c0fd07d 256 @@ -138390,6 +172988,8 @@ 1 0 0 +4 +3 1 0xa192 0x5f6a186e @@ -138398,6 +172998,8 @@ 1 0 0 +5 +5 3 0xa054 0x3866a1e9 @@ -138406,6 +173008,8 @@ 1 0 0 +2 +2 1 0xff6f 0x2e0d40e7 @@ -138414,6 +173018,8 @@ 0 0 0 +3 +5 1 0x1906 0xaf7616d0 @@ -138422,6 +173028,8 @@ 1 0 0 +2 +0 4 0x55b7 0x1df98a4b @@ -138430,6 +173038,8 @@ 0 0 0 +2 +4 4 0x2c8b 0xe8712752 @@ -138439,6 +173049,8 @@ 1 0 2 +4 +2 0xfd77 0xe3f341f2 256 @@ -138446,6 +173058,8 @@ 1 1 0 +1 +4 2 0xe534 0xccbe28a7 @@ -138454,6 +173068,8 @@ 1 1 0 +2 +0 4 0xfa78 0xccef9af4 @@ -138463,6 +173079,8 @@ 1 0 2 +2 +2 0xfd12 0xa3347066 256 @@ -138470,6 +173088,8 @@ 0 0 0 +0 +0 4 0x6f4c 0xce021fdf @@ -138478,6 +173098,8 @@ 1 1 0 +4 +2 3 0xb165 0x422fc528 @@ -138486,6 +173108,8 @@ 0 0 0 +5 +3 4 0xf386 0x6afae77f @@ -138494,6 +173118,8 @@ 1 0 0 +1 +1 3 0xbeb 0xccd10336 @@ -138503,6 +173129,8 @@ 0 0 3 +4 +3 0x4ee0 0xe8ecd59c 256 @@ -138510,6 +173138,8 @@ 0 0 0 +1 +3 2 0x55e 0x16dbb7ed @@ -138518,6 +173148,8 @@ 0 0 0 +4 +0 3 0x61f2 0x737aea3d @@ -138526,6 +173158,8 @@ 0 0 0 +2 +5 4 0x31f7 0xcc9c5dd8 @@ -138534,6 +173168,8 @@ 0 0 0 +1 +3 2 0x9e99 0x580b065e @@ -138542,6 +173178,8 @@ 0 0 0 +3 +3 1 0xe2f9 0xab9206e9 @@ -138551,6 +173189,8 @@ 0 0 1 +1 +1 0xe3b1 0x21cabff0 256 @@ -138558,6 +173198,8 @@ 1 0 0 +1 +1 4 0xa394 0xdfe01eb3 @@ -138566,6 +173208,8 @@ 1 0 0 +0 +3 4 0x9c5c 0xe4ee604f @@ -138574,6 +173218,8 @@ 0 0 0 +0 +3 2 0x4fb5 0xd88bbd6b @@ -138582,6 +173228,8 @@ 1 0 0 +5 +3 3 0x6c2f 0xfb066b59 @@ -138590,6 +173238,8 @@ 1 0 0 +0 +2 4 0xea80 0x9525eeb @@ -138598,6 +173248,8 @@ 1 0 0 +4 +5 1 0xc365 0xd6323d61 @@ -138606,6 +173258,8 @@ 1 0 0 +3 +2 2 0xdf63 0xf9959b46 @@ -138614,6 +173268,8 @@ 1 1 0 +4 +1 1 0x2ccd 0x99a072ec @@ -138622,6 +173278,8 @@ 1 0 0 +0 +1 1 0xe86c 0x9c18f508 @@ -138630,6 +173288,8 @@ 0 0 0 +5 +0 1 0xe70 0xed1d3371 @@ -138638,6 +173298,8 @@ 1 1 0 +5 +1 3 0x448c 0xc98d9073 @@ -138646,6 +173308,8 @@ 1 1 0 +5 +4 3 0x2835 0x9a360f80 @@ -138654,6 +173318,8 @@ 1 1 0 +4 +4 1 0x1627 0x5c46e97 @@ -138662,6 +173328,8 @@ 1 1 0 +0 +5 4 0xcbbc 0xe2cd8244 @@ -138670,6 +173338,8 @@ 0 0 0 +4 +3 3 0x18c6 0x1eaaaae0 @@ -138678,6 +173348,8 @@ 1 1 0 +5 +4 1 0x2fbf 0xfd7bedb4 @@ -138686,6 +173358,8 @@ 1 0 0 +5 +4 1 0xe5a0 0xc3e73977 @@ -138694,6 +173368,8 @@ 1 1 0 +5 +4 4 0x1d91 0x84b1c698 @@ -138703,6 +173379,8 @@ 1 0 1 +5 +1 0xead 0x96183aba 256 @@ -138710,6 +173388,8 @@ 0 0 0 +0 +4 2 0x6d54 0xd74383d3 @@ -138718,6 +173398,8 @@ 1 1 0 +0 +4 2 0x9973 0xe2046c6a @@ -138726,6 +173408,8 @@ 1 0 0 +1 +1 3 0x97f3 0xc93d9744 @@ -138735,6 +173419,8 @@ 1 0 4 +5 +4 0x2ce9 0x4705d47e 256 @@ -138742,6 +173428,8 @@ 1 0 0 +1 +2 4 0xaa74 0x55461a4c @@ -138750,6 +173438,8 @@ 1 0 0 +2 +0 3 0x9102 0xe92c19d2 @@ -138758,6 +173448,8 @@ 1 0 0 +0 +3 4 0x48e9 0xd0a712d0 @@ -138767,6 +173459,8 @@ 0 0 1 +4 +1 0x5f97 0x40db55a4 256 @@ -138775,6 +173469,8 @@ 0 0 3 +5 +3 0x8e12 0x7a7cc318 256 @@ -138782,6 +173478,8 @@ 0 0 0 +5 +0 1 0x7ea6 0x4a189200 @@ -138790,6 +173488,8 @@ 0 0 0 +4 +4 1 0x52d6 0x74e07f37 @@ -138798,6 +173498,8 @@ 1 0 0 +5 +5 3 0xec41 0xe1e1552d @@ -138807,6 +173509,8 @@ 0 0 4 +1 +4 0x5dc0 0x227354e4 256 @@ -138814,6 +173518,8 @@ 1 1 0 +0 +3 1 0x6ae2 0xd6b90ee6 @@ -138822,6 +173528,8 @@ 1 1 0 +4 +5 1 0x9605 0xbbcccf2c @@ -138830,6 +173538,8 @@ 0 0 0 +2 +0 4 0x5ee4 0x53b95193 @@ -138838,6 +173548,8 @@ 1 0 0 +5 +5 4 0xfbab 0x3eb21d0 @@ -138847,6 +173559,8 @@ 0 0 3 +5 +3 0x4e50 0x5cfec70f 256 @@ -138854,6 +173568,8 @@ 0 0 0 +3 +3 4 0x809f 0xf8880023 @@ -138862,6 +173578,8 @@ 0 0 0 +3 +1 1 0x50e2 0x4beaf1b2 @@ -138870,6 +173588,8 @@ 1 0 0 +0 +5 2 0xa52b 0x46b1e1dd @@ -138878,6 +173598,8 @@ 1 1 0 +3 +5 4 0x3e08 0x994d6051 @@ -138886,6 +173608,8 @@ 1 1 0 +4 +5 1 0xe3a3 0x7fd0bc8c @@ -138894,6 +173618,8 @@ 0 0 0 +3 +2 4 0x8798 0xc609a2ba @@ -138902,6 +173628,8 @@ 1 0 0 +5 +4 4 0x38aa 0xfb6f6bca @@ -138910,6 +173638,8 @@ 0 0 0 +4 +2 2 0xb531 0xd0550d98 @@ -138918,6 +173648,8 @@ 1 1 0 +0 +2 2 0x1baf 0x3404e7eb @@ -138926,6 +173658,8 @@ 1 0 0 +1 +1 4 0x9302 0x19eeb2bf @@ -138935,6 +173669,8 @@ 0 0 3 +5 +3 0x8871 0xb09312f1 256 @@ -138942,6 +173678,8 @@ 0 0 0 +2 +3 1 0xce2d 0x12de7bde @@ -138950,6 +173688,8 @@ 0 0 0 +1 +5 4 0x9084 0x86828dbf @@ -138959,6 +173699,8 @@ 0 0 4 +1 +4 0xc2de 0x673e4bdf 256 @@ -138966,6 +173708,8 @@ 0 0 0 +5 +0 4 0xb2a4 0x52837753 @@ -138974,6 +173718,8 @@ 0 0 0 +2 +4 1 0xb524 0xb160641f @@ -138983,6 +173729,8 @@ 0 0 3 +4 +3 0x9c21 0xf0ab6dad 256 @@ -138990,6 +173738,8 @@ 0 0 0 +4 +4 1 0xc989 0x885a643b @@ -138998,6 +173748,8 @@ 1 0 0 +0 +0 2 0xf42b 0x213d0d70 @@ -139006,6 +173758,8 @@ 1 0 0 +0 +0 4 0x83a4 0x81b7380 @@ -139014,6 +173768,8 @@ 1 1 0 +2 +3 3 0xc974 0x9c7e89e @@ -139022,6 +173778,8 @@ 0 0 0 +0 +3 3 0x85a0 0x603f8896 @@ -139030,6 +173788,8 @@ 1 1 0 +2 +3 1 0x5745 0xc8805dd @@ -139038,6 +173798,8 @@ 1 0 0 +0 +3 4 0x38d7 0xa873b84f @@ -139046,6 +173808,8 @@ 1 0 0 +3 +5 1 0xe3f5 0xa30c22f2 @@ -139054,6 +173818,8 @@ 1 1 0 +5 +3 3 0x89a8 0xa2c26d6a @@ -139062,6 +173828,8 @@ 0 0 0 +4 +0 2 0xaf0a 0x584f6635 @@ -139071,6 +173839,8 @@ 1 0 4 +5 +4 0x2b78 0x1d8e2862 256 @@ -139079,6 +173849,8 @@ 1 0 1 +5 +1 0x79ae 0xdc6cdd35 256 @@ -139086,6 +173858,8 @@ 1 0 0 +5 +1 1 0x9630 0xb2900871 @@ -139094,6 +173868,8 @@ 0 0 0 +2 +1 4 0x5da9 0xd2534611 @@ -139103,6 +173879,8 @@ 0 0 4 +3 +4 0xbb65 0x1fe0010a 256 @@ -139110,6 +173888,8 @@ 1 1 0 +4 +3 1 0x68c 0x5e37c328 @@ -139118,6 +173898,8 @@ 1 0 0 +4 +0 3 0xe5b1 0xe5d3060a @@ -139126,6 +173908,8 @@ 1 1 0 +1 +2 3 0x3c6e 0xfb455fdd @@ -139135,6 +173919,8 @@ 0 0 1 +5 +1 0x2153 0x17fe2d1b 256 @@ -139142,6 +173928,8 @@ 0 0 0 +0 +0 4 0xb4ca 0xe81925c1 @@ -139150,6 +173938,8 @@ 0 0 0 +4 +2 1 0x601a 0x16f6c685 @@ -139158,6 +173948,8 @@ 0 0 0 +3 +0 2 0x536e 0x31db42f4 @@ -139166,6 +173958,8 @@ 1 1 0 +3 +2 2 0x7143 0x1f892a87 @@ -139174,6 +173968,8 @@ 1 0 0 +1 +5 4 0x8e64 0x7e678319 @@ -139182,6 +173978,8 @@ 0 0 0 +1 +5 3 0x415b 0x18af2588 @@ -139190,6 +173988,8 @@ 0 0 0 +0 +0 4 0x995c 0xbdfa220 @@ -139198,6 +173998,8 @@ 1 1 0 +2 +2 4 0x5381 0x51fb43a7 @@ -139206,6 +174008,8 @@ 0 0 0 +5 +5 1 0xf9ca 0xf5e8ee23 @@ -139214,6 +174018,8 @@ 0 0 0 +5 +3 4 0xa81b 0xc95760bd @@ -139222,6 +174028,8 @@ 0 0 0 +2 +1 1 0x1833 0xddbf07aa @@ -139230,6 +174038,8 @@ 1 0 0 +5 +2 4 0xd467 0x67f7dd8f @@ -139238,6 +174048,8 @@ 1 1 0 +0 +4 1 0xe58b 0x52705986 @@ -139246,6 +174058,8 @@ 0 0 0 +5 +2 3 0x5e57 0xee5f6621 @@ -139254,6 +174068,8 @@ 0 0 0 +0 +3 1 0xc66e 0xc5f12aa2 @@ -139262,6 +174078,8 @@ 0 0 0 +5 +2 3 0xe831 0x470b689b @@ -139270,6 +174088,8 @@ 1 1 0 +5 +1 1 0xf8b0 0x1d6673c7 @@ -139278,6 +174098,8 @@ 0 0 0 +5 +2 2 0x48fd 0xc7f64692 @@ -139286,6 +174108,8 @@ 1 1 0 +3 +0 2 0xfd1c 0xa2843eb0 @@ -139294,6 +174118,8 @@ 0 0 0 +4 +5 1 0x205c 0xe31e0b33 @@ -139303,6 +174129,8 @@ 0 0 2 +3 +2 0x4387 0x2a72e367 256 @@ -139310,6 +174138,8 @@ 0 0 0 +4 +4 1 0x3275 0x19f3f068 @@ -139318,6 +174148,8 @@ 0 0 0 +0 +3 4 0x8b38 0x2ba3facd @@ -139327,6 +174159,8 @@ 0 0 3 +0 +3 0xb935 0x73ddb341 256 @@ -139334,6 +174168,8 @@ 1 1 0 +0 +4 1 0x62e8 0xba99a677 @@ -139342,6 +174178,8 @@ 0 0 0 +2 +5 1 0x8cda 0x6a419d04 @@ -139351,6 +174189,8 @@ 1 0 1 +4 +1 0x8091 0xdd0854e9 256 @@ -139358,6 +174198,8 @@ 1 1 0 +0 +4 1 0xf01d 0xad86acd1 @@ -139366,6 +174208,8 @@ 0 0 0 +1 +1 2 0xdfc7 0x785c678c @@ -139374,6 +174218,8 @@ 0 0 0 +5 +4 1 0xc90e 0x5685fd8f @@ -139382,6 +174228,8 @@ 1 1 0 +0 +1 3 0xd03b 0x6ff1fc42 @@ -139390,6 +174238,8 @@ 0 0 0 +1 +0 3 0xeed6 0x6e61b8b4 @@ -139399,6 +174249,8 @@ 0 0 3 +3 +3 0x485e 0xd6d432f5 256 @@ -139407,6 +174259,8 @@ 0 0 2 +3 +2 0xb17f 0x48f2c4ea 256 @@ -139414,6 +174268,8 @@ 0 0 0 +5 +0 4 0x5fd9 0x23f55ed5 @@ -139422,6 +174278,8 @@ 0 0 0 +1 +5 2 0x2228 0xe5024817 @@ -139430,6 +174288,8 @@ 0 0 0 +4 +0 1 0x5215 0x3843c89a @@ -139438,6 +174298,8 @@ 0 0 0 +0 +4 3 0x42f 0xa59820ed @@ -139446,6 +174308,8 @@ 1 1 0 +3 +1 1 0xd6f3 0xcfe5f03c @@ -139454,6 +174318,8 @@ 1 0 0 +4 +0 3 0x7b80 0xbcea861e @@ -139462,6 +174328,8 @@ 1 1 0 +0 +1 1 0x35d2 0x190d3d67 @@ -139470,6 +174338,8 @@ 0 0 0 +5 +5 1 0xafe7 0xe833c372 @@ -139478,6 +174348,8 @@ 1 0 0 +4 +4 2 0x40b0 0x64169e79 @@ -139486,6 +174358,8 @@ 1 1 0 +0 +0 3 0xd8c5 0xc63558e3 @@ -139494,6 +174368,8 @@ 0 0 0 +2 +5 3 0xf369 0xb74e7452 @@ -139502,6 +174378,8 @@ 0 0 0 +3 +0 4 0xa516 0x26c73374 @@ -139511,6 +174389,8 @@ 0 0 2 +4 +2 0xac36 0x5c189155 256 @@ -139518,6 +174398,8 @@ 1 0 0 +1 +1 3 0x65c5 0x8cc4fda1 @@ -139527,6 +174409,8 @@ 0 0 4 +2 +4 0xb2db 0xd4a2d255 256 @@ -139534,6 +174418,8 @@ 0 0 0 +0 +3 3 0xefbf 0xe75c03 @@ -139542,6 +174428,8 @@ 1 0 0 +2 +0 1 0xecb5 0x21c5f642 @@ -139550,6 +174438,8 @@ 1 0 0 +4 +2 1 0x5b6f 0x21a35979 @@ -139558,6 +174448,8 @@ 1 1 0 +1 +1 3 0xec33 0x19825a8c @@ -139567,6 +174459,8 @@ 0 0 4 +0 +4 0xe4c3 0x91ce3c35 256 @@ -139574,6 +174468,8 @@ 1 0 0 +5 +1 2 0x7df6 0x63ca72df @@ -139582,6 +174478,8 @@ 1 1 0 +5 +3 1 0xb9d9 0x9cea2d40 @@ -139590,6 +174488,8 @@ 1 0 0 +5 +0 1 0x8c12 0x58f8c72c @@ -139598,6 +174498,8 @@ 0 0 0 +1 +0 2 0x74d9 0x5fcc429d @@ -139607,6 +174509,8 @@ 0 0 4 +4 +4 0x215b 0x782ab87c 256 @@ -139615,6 +174519,8 @@ 0 0 3 +0 +3 0x79c0 0xda36c913 256 @@ -139622,6 +174528,8 @@ 1 0 0 +5 +1 4 0xd011 0x25d5760a @@ -139630,6 +174538,8 @@ 1 1 0 +5 +3 1 0x2fae 0x636ce12e @@ -139639,6 +174549,8 @@ 0 0 1 +2 +1 0xa294 0x7c3a3c0f 256 @@ -139646,6 +174558,8 @@ 1 1 0 +5 +3 2 0xc2bb 0xd32255b6 @@ -139654,6 +174568,8 @@ 0 0 0 +0 +1 1 0xb63a 0x5b6f16d5 @@ -139662,6 +174578,8 @@ 1 0 0 +1 +4 3 0x818b 0xc5cfb628 @@ -139670,6 +174588,8 @@ 1 0 0 +1 +5 4 0x73d2 0x44b220c2 @@ -139678,6 +174598,8 @@ 1 0 0 +5 +5 4 0xbaa2 0x37737053 @@ -139686,6 +174608,8 @@ 0 0 0 +5 +3 3 0x22fb 0xa0e54378 @@ -139694,6 +174618,8 @@ 1 0 0 +5 +2 2 0x78c0 0xf271576f @@ -139702,6 +174628,8 @@ 1 1 0 +3 +3 4 0x6332 0x8d73d9a9 @@ -139710,6 +174638,8 @@ 1 0 0 +4 +3 1 0xd890 0x8b710b77 @@ -139718,6 +174648,8 @@ 0 0 0 +4 +2 1 0xfa25 0x5fde4e81 @@ -139726,6 +174658,8 @@ 0 0 0 +3 +1 4 0x52d1 0xd97ea9bb @@ -139735,6 +174669,8 @@ 0 0 1 +2 +1 0x501 0x9f9bf1ff 256 @@ -139742,6 +174678,8 @@ 0 0 0 +0 +4 3 0x141 0xb4cdd7dd @@ -139750,6 +174688,8 @@ 1 1 0 +1 +5 4 0x2139 0x90ec3207 @@ -139758,6 +174698,8 @@ 1 1 0 +2 +2 4 0x9986 0xa7fabfcf @@ -139766,6 +174708,8 @@ 0 0 0 +0 +4 3 0xa414 0x2bcf6855 @@ -139774,6 +174718,8 @@ 1 0 0 +0 +2 1 0xbf39 0x45ba1419 @@ -139782,6 +174728,8 @@ 1 1 0 +2 +3 1 0x3c0e 0xd870c339 @@ -139790,6 +174738,8 @@ 0 0 0 +4 +4 3 0xb317 0xf40ea68d @@ -139798,6 +174748,8 @@ 0 0 0 +4 +1 2 0x8ecd 0xe43d3954 @@ -139806,6 +174758,8 @@ 0 0 0 +2 +2 3 0xa569 0xd4a1027d @@ -139814,6 +174768,8 @@ 1 1 0 +1 +3 3 0xa629 0x2135c50a @@ -139822,6 +174778,8 @@ 1 1 0 +2 +5 1 0xe54d 0x7f784868 @@ -139830,6 +174788,8 @@ 0 0 0 +0 +0 4 0x1627 0x7c96fec9 @@ -139838,6 +174798,8 @@ 1 1 0 +5 +4 3 0xccd4 0x7c3f15ee @@ -139846,6 +174808,8 @@ 1 0 0 +4 +5 3 0x5154 0xc14420cb @@ -139854,6 +174818,8 @@ 0 0 0 +0 +4 2 0xca34 0xa17d8bb8 @@ -139862,6 +174828,8 @@ 1 1 0 +1 +4 4 0xaf66 0x690839c6 @@ -139870,6 +174838,8 @@ 0 0 0 +4 +0 3 0xcb93 0xc7b848aa @@ -139878,6 +174848,8 @@ 0 0 0 +5 +5 1 0xa370 0xe6c1307f @@ -139887,6 +174859,8 @@ 0 0 3 +2 +3 0x2050 0x5652f172 256 @@ -139894,6 +174868,8 @@ 1 1 0 +2 +0 3 0xa593 0x27be008e @@ -139902,6 +174878,8 @@ 0 0 0 +4 +5 1 0x16b6 0xad83dbd1 @@ -139910,6 +174888,8 @@ 1 0 0 +3 +3 4 0xfce4 0x5429244f @@ -139918,6 +174898,8 @@ 0 0 0 +2 +0 1 0xb07d 0x77fc4749 @@ -139926,6 +174908,8 @@ 1 0 0 +1 +3 3 0x902e 0x9a2dc60f @@ -139934,6 +174918,8 @@ 0 0 0 +4 +1 3 0x3292 0xcc1b81ff @@ -139943,6 +174929,8 @@ 0 0 4 +3 +4 0x9a71 0xd434ab0c 256 @@ -139950,6 +174938,8 @@ 1 1 0 +0 +5 1 0x9df7 0x2417f84a @@ -139958,6 +174948,8 @@ 0 0 0 +1 +5 3 0xe7de 0x938d1a7d @@ -139966,6 +174958,8 @@ 1 0 0 +1 +1 4 0x73b3 0x4de66327 @@ -139974,6 +174968,8 @@ 0 0 0 +2 +2 4 0xb520 0xbed4336c @@ -139982,6 +174978,8 @@ 1 0 0 +3 +3 4 0x6f48 0xb68921b2 @@ -139990,6 +174988,8 @@ 1 1 0 +0 +0 1 0x1d4 0x39674802 @@ -139998,6 +174998,8 @@ 0 0 0 +5 +3 4 0xfb24 0x2c11b519 @@ -140006,6 +175008,8 @@ 1 0 0 +3 +0 1 0x836f 0x635ce186 @@ -140014,6 +175018,8 @@ 0 0 0 +4 +1 2 0x1819 0x9899f8b3 @@ -140023,6 +175029,8 @@ 1 0 1 +5 +1 0x69b6 0x6e888655 256 @@ -140030,6 +175038,8 @@ 0 0 0 +4 +2 3 0x60e9 0xf4740084 @@ -140038,6 +175048,8 @@ 1 1 0 +2 +0 1 0xa4 0xa460480d @@ -140046,6 +175058,8 @@ 1 0 0 +1 +0 3 0xd1ed 0x530dff37 @@ -140054,6 +175068,8 @@ 1 1 0 +2 +5 3 0x592 0x8ab13c2 @@ -140062,6 +175078,8 @@ 0 0 0 +2 +4 1 0xd7b6 0xfb21de15 @@ -140070,6 +175088,8 @@ 1 0 0 +1 +5 4 0x6b23 0x4dc67ebd @@ -140078,6 +175098,8 @@ 1 0 0 +0 +0 2 0xeca4 0xde8c9e5c @@ -140086,6 +175108,8 @@ 0 0 0 +2 +4 4 0x4917 0xa551a25d @@ -140095,6 +175119,8 @@ 0 0 3 +4 +3 0x1554 0x3b831443 256 @@ -140102,6 +175128,8 @@ 0 0 0 +5 +3 4 0xfb14 0x15569da7 @@ -140111,6 +175139,8 @@ 0 0 2 +2 +2 0xaa3c 0x3814b84f 256 @@ -140118,6 +175148,8 @@ 0 0 0 +1 +1 2 0xf0be 0xa8caa027 @@ -140126,6 +175158,8 @@ 1 1 0 +1 +0 4 0x3448 0x1722d921 @@ -140134,6 +175168,8 @@ 0 0 0 +3 +2 1 0xaa05 0xd35670f8 @@ -140142,6 +175178,8 @@ 1 0 0 +1 +5 4 0x8ae2 0xde5faaed @@ -140150,6 +175188,8 @@ 0 0 0 +1 +0 4 0xefa7 0x90caf530 @@ -140159,6 +175199,8 @@ 0 0 2 +3 +2 0x5872 0xad227fea 256 @@ -140166,6 +175208,8 @@ 1 0 0 +3 +2 2 0x96eb 0x9b300423 @@ -140174,6 +175218,8 @@ 0 0 0 +5 +2 1 0xad97 0x6dbb939e @@ -140182,6 +175228,8 @@ 0 0 0 +4 +4 2 0x1b27 0xfe5cd70e @@ -140191,6 +175239,8 @@ 0 0 1 +5 +1 0x8b10 0xf513b12e 256 @@ -140198,6 +175248,8 @@ 1 0 0 +0 +3 1 0xda59 0x3b779990 @@ -140206,6 +175258,8 @@ 1 1 0 +2 +4 1 0xd976 0x726c18f1 @@ -140214,6 +175268,8 @@ 0 0 0 +2 +3 3 0x4e63 0xc9dacab5 @@ -140223,6 +175279,8 @@ 0 0 1 +1 +1 0xc162 0xd5ecc97d 256 @@ -140230,6 +175288,8 @@ 0 0 0 +2 +0 4 0x225d 0xd91cb10b @@ -140239,6 +175299,8 @@ 0 0 4 +0 +4 0xf78e 0xfce05e49 256 @@ -140246,6 +175308,8 @@ 1 1 0 +3 +3 2 0xe7ff 0x3fcee32e @@ -140255,6 +175319,8 @@ 0 0 1 +5 +1 0xd0f3 0x9de2b8e8 256 @@ -140262,6 +175328,8 @@ 0 0 0 +2 +3 1 0xb3ff 0xa0a0711c @@ -140270,6 +175338,8 @@ 1 0 0 +4 +4 1 0x2b28 0x7d516400 @@ -140278,6 +175348,8 @@ 0 0 0 +0 +1 4 0x30b5 0x18a34190 @@ -140286,6 +175358,8 @@ 0 0 0 +3 +2 4 0x92ba 0xe009817b @@ -140294,6 +175368,8 @@ 1 1 0 +2 +0 3 0x3631 0xc0ec855c @@ -140302,6 +175378,8 @@ 0 0 0 +5 +3 3 0x3643 0x2b601c7f @@ -140311,6 +175389,8 @@ 1 0 3 +2 +3 0xa622 0xbbe6ff12 256 @@ -140318,6 +175398,8 @@ 1 0 0 +5 +3 1 0xf843 0x5d532206 @@ -140326,6 +175408,8 @@ 0 0 0 +3 +3 1 0xa647 0x888dad26 @@ -140334,6 +175418,8 @@ 0 0 0 +0 +1 1 0xa281 0xf7ac782d @@ -140342,6 +175428,8 @@ 0 0 0 +5 +5 2 0xe945 0xdc624301 @@ -140350,6 +175438,8 @@ 0 0 0 +4 +1 1 0x4ff8 0x3bf66fb9 @@ -140358,6 +175448,8 @@ 1 1 0 +4 +0 2 0xab77 0x81c7a07a @@ -140366,6 +175458,8 @@ 1 0 0 +1 +4 3 0xf9e8 0xcfc66226 @@ -140374,6 +175468,8 @@ 1 1 0 +1 +1 3 0x2ce4 0x7b2bc55d @@ -140382,6 +175478,8 @@ 1 1 0 +0 +4 4 0xe5c3 0x4da5b9b9 @@ -140390,6 +175488,8 @@ 1 0 0 +1 +3 2 0x2f61 0xd6891ba4 @@ -140398,6 +175498,8 @@ 1 1 0 +0 +2 1 0x1a18 0xe721e72 @@ -140407,6 +175509,8 @@ 0 0 2 +5 +2 0xed8b 0x4d4b8a81 256 @@ -140414,6 +175518,8 @@ 1 1 0 +1 +4 3 0x627e 0x4a863742 @@ -140422,6 +175528,8 @@ 1 0 0 +2 +2 1 0xd192 0xda5aea51 @@ -140430,6 +175538,8 @@ 1 1 0 +4 +5 3 0x71b8 0xdebf0e6f @@ -140439,6 +175549,8 @@ 0 0 2 +0 +2 0x202b 0xa0f45055 256 @@ -140446,6 +175558,8 @@ 1 1 0 +2 +5 1 0x6331 0x824167b8 @@ -140454,6 +175568,8 @@ 0 0 0 +5 +1 3 0xcef3 0x64995fb4 @@ -140462,6 +175578,8 @@ 0 0 0 +5 +0 4 0xe173 0x8c27b956 @@ -140470,6 +175588,8 @@ 0 0 0 +3 +4 1 0x338 0x40fe108a @@ -140479,6 +175599,8 @@ 0 0 1 +2 +1 0xbf24 0xc9276b8a 256 @@ -140486,6 +175608,8 @@ 1 0 0 +2 +5 4 0x24f4 0x9a7de702 @@ -140494,6 +175618,8 @@ 1 0 0 +4 +2 2 0x1ae9 0xfdee3111 @@ -140502,6 +175628,8 @@ 1 0 0 +4 +3 2 0x23cf 0x2b6e124d @@ -140510,6 +175638,8 @@ 0 0 0 +4 +5 2 0x2638 0x1ff81ca6 @@ -140518,6 +175648,8 @@ 0 0 0 +3 +1 2 0xf6e1 0xb273ded9 @@ -140526,6 +175658,8 @@ 0 0 0 +5 +3 3 0x4a08 0xe1725bbb @@ -140534,6 +175668,8 @@ 1 1 0 +5 +3 1 0x2021 0xb75c0b5d @@ -140542,6 +175678,8 @@ 0 0 0 +2 +5 3 0x562a 0xf7d38145 @@ -140550,6 +175688,8 @@ 1 0 0 +5 +1 2 0x8d15 0xfe114c17 @@ -140558,6 +175698,8 @@ 1 1 0 +4 +1 3 0xf881 0x6327cf6 @@ -140566,6 +175708,8 @@ 1 1 0 +5 +3 3 0x5d9f 0x1ec52afd @@ -140575,6 +175719,8 @@ 0 0 2 +1 +2 0x3076 0x1fa34bd1 256 @@ -140582,6 +175728,8 @@ 1 0 0 +1 +2 3 0xfa3d 0xd03a30a7 @@ -140590,6 +175738,8 @@ 0 0 0 +4 +5 2 0x4a1d 0x4c9c45e0 @@ -140598,6 +175748,8 @@ 0 0 0 +4 +5 3 0x9671 0x2362f9a5 @@ -140606,6 +175758,8 @@ 1 1 0 +3 +0 2 0x767d 0x8bd7363c @@ -140615,6 +175769,8 @@ 0 0 3 +4 +3 0x6e09 0x26e1ef88 256 @@ -140622,6 +175778,8 @@ 1 1 0 +4 +5 3 0xde5d 0x61c4e1ea @@ -140631,6 +175789,8 @@ 0 0 4 +3 +4 0x4341 0x982ae429 256 @@ -140638,6 +175798,8 @@ 1 1 0 +0 +4 4 0xc6ca 0x78316bad @@ -140646,6 +175808,8 @@ 1 1 0 +3 +4 1 0xb3ed 0xde822a9b @@ -140654,6 +175818,8 @@ 1 1 0 +2 +2 3 0xff05 0x8b960d8a @@ -140662,6 +175828,8 @@ 0 0 0 +1 +0 3 0xb14c 0x1af41502 @@ -140670,6 +175838,8 @@ 0 0 0 +5 +4 1 0xb82d 0x4a8eb748 @@ -140679,6 +175849,8 @@ 0 0 1 +1 +1 0x9f2 0x74faf06 256 @@ -140686,6 +175858,8 @@ 1 1 0 +5 +0 2 0xbccb 0x987dc457 @@ -140694,6 +175868,8 @@ 1 0 0 +5 +0 1 0xdf96 0x988421da @@ -140702,6 +175878,8 @@ 0 0 0 +3 +4 1 0x6cba 0xa23705cb @@ -140710,6 +175888,8 @@ 1 1 0 +0 +4 4 0xd8be 0x70dc4f1e @@ -140718,6 +175898,8 @@ 1 1 0 +5 +4 4 0x5bf9 0x62cce702 @@ -140726,6 +175908,8 @@ 0 0 0 +1 +4 3 0x6b50 0x4ca0d384 @@ -140734,6 +175918,8 @@ 1 1 0 +0 +3 3 0x5e5c 0x93b6c864 @@ -140742,6 +175928,8 @@ 0 0 0 +1 +2 3 0xd6a9 0xad839cb9 @@ -140750,6 +175938,8 @@ 1 0 0 +5 +0 1 0xace1 0x8d6b62a1 @@ -140758,6 +175948,8 @@ 1 1 0 +4 +2 3 0xd47c 0x247ea542 @@ -140766,6 +175958,8 @@ 0 0 0 +4 +0 1 0xc140 0x65e67a83 @@ -140774,6 +175968,8 @@ 1 1 0 +0 +2 3 0x882b 0xa6423796 @@ -140783,6 +175979,8 @@ 1 0 3 +0 +3 0xc9a9 0x4137b3c9 256 @@ -140790,6 +175988,8 @@ 1 0 0 +3 +1 1 0xf559 0xf919ce0 @@ -140798,6 +175998,8 @@ 0 0 0 +1 +0 3 0x5f1e 0x42e00b42 @@ -140806,6 +176008,8 @@ 1 1 0 +3 +1 1 0xc68 0x8c1d8356 @@ -140815,6 +176019,8 @@ 0 0 1 +0 +1 0xda7e 0xc79002e4 256 @@ -140822,6 +176028,8 @@ 0 0 0 +5 +3 4 0x3a 0xb47905a9 @@ -140830,6 +176038,8 @@ 1 0 0 +4 +2 3 0xebc3 0x44994f0 @@ -140838,6 +176048,8 @@ 0 0 0 +1 +1 3 0xf1b1 0x438223ae @@ -140846,6 +176058,8 @@ 0 0 0 +5 +1 3 0xaa75 0xf8c14e3c @@ -140855,6 +176069,8 @@ 0 0 3 +2 +3 0xfab4 0x354be310 256 @@ -140862,6 +176078,8 @@ 1 0 0 +3 +4 2 0xa39c 0xf11539db @@ -140870,6 +176088,8 @@ 0 0 0 +5 +0 1 0xd6c3 0x28422532 @@ -140878,6 +176098,8 @@ 1 0 0 +0 +5 3 0xb275 0x9a96719c @@ -140886,6 +176108,8 @@ 0 0 0 +2 +5 4 0xf9f0 0x2e4b89da @@ -140895,6 +176119,8 @@ 1 0 3 +0 +3 0x5f58 0xbf95a5de 256 @@ -140902,6 +176128,8 @@ 0 0 0 +4 +0 1 0xfa16 0xda19ee9f @@ -140910,6 +176138,8 @@ 1 1 0 +1 +5 3 0xd057 0xf3a46aa @@ -140919,6 +176149,8 @@ 0 0 4 +3 +4 0x61f9 0x61620f95 256 @@ -140927,6 +176159,8 @@ 0 0 4 +5 +4 0xb7a1 0x743275d2 256 @@ -140935,6 +176169,8 @@ 0 0 1 +3 +1 0x9640 0xf6e02e57 256 @@ -140943,6 +176179,8 @@ 1 0 4 +2 +4 0x9b9d 0xc56672ec 256 @@ -140951,6 +176189,8 @@ 1 0 3 +4 +3 0xefff 0xa95475cf 256 @@ -140959,6 +176199,8 @@ 0 0 2 +4 +2 0x1990 0x34ea60d2 256 @@ -140966,6 +176208,8 @@ 1 0 0 +5 +2 2 0x4463 0x2da7c345 @@ -140974,6 +176218,8 @@ 0 0 0 +3 +2 4 0xfb84 0x6a2fd72b @@ -140982,6 +176228,8 @@ 0 0 0 +5 +5 4 0xa780 0x626e9721 @@ -140990,6 +176238,8 @@ 0 0 0 +4 +0 1 0xe59f 0x71e63531 @@ -140998,6 +176248,8 @@ 1 1 0 +1 +4 2 0x17c5 0x1f4c9fa4 @@ -141006,6 +176258,8 @@ 1 0 0 +4 +5 3 0x8ca0 0xcb9173e9 @@ -141015,6 +176269,8 @@ 0 0 3 +4 +3 0x848a 0x138d3710 256 @@ -141022,6 +176278,8 @@ 0 0 0 +1 +5 3 0x44b3 0x39650623 @@ -141030,6 +176288,8 @@ 0 0 0 +3 +4 1 0x5ac0 0x987d6d71 @@ -141038,6 +176298,8 @@ 0 0 0 +4 +0 1 0xdd3a 0x5b7bbd64 @@ -141046,6 +176308,8 @@ 0 0 0 +1 +5 3 0x4cd3 0x82668d9b @@ -141055,6 +176319,8 @@ 1 0 4 +1 +4 0xa834 0xccf0d739 256 @@ -141062,6 +176328,8 @@ 0 0 0 +4 +2 2 0x5149 0x945e9032 @@ -141070,6 +176338,8 @@ 0 0 0 +2 +5 3 0x43d6 0x6f0ecae0 @@ -141078,6 +176348,8 @@ 1 0 0 +0 +1 4 0x1891 0xa016a5a @@ -141086,6 +176358,8 @@ 0 0 0 +5 +3 3 0x6ce 0xab92a230 @@ -141094,6 +176368,8 @@ 0 0 0 +2 +0 4 0xc7b3 0x18bddd4 @@ -141102,6 +176378,8 @@ 0 0 0 +2 +5 1 0x4723 0xd9acd5a0 @@ -141110,6 +176388,8 @@ 1 0 0 +5 +2 1 0x13c0 0x41d102d7 @@ -141118,6 +176398,8 @@ 0 0 0 +5 +1 4 0x3b06 0xedbeaffb @@ -141126,6 +176408,8 @@ 1 1 0 +2 +1 1 0x7806 0x4e0aa186 @@ -141134,6 +176418,8 @@ 1 0 0 +4 +1 2 0xa4c8 0x7373b5a5 @@ -141142,6 +176428,8 @@ 0 0 0 +4 +4 3 0x59d9 0x3c11a39e @@ -141150,6 +176438,8 @@ 1 0 0 +3 +0 4 0xc021 0x7979d1f3 @@ -141159,6 +176449,8 @@ 0 0 2 +1 +2 0xef41 0x406b5114 256 @@ -141167,6 +176459,8 @@ 1 0 2 +1 +2 0x5bc 0x2e113d52 256 @@ -141174,6 +176468,8 @@ 1 0 0 +1 +3 3 0xb9ac 0xb5563a25 @@ -141182,6 +176478,8 @@ 1 1 0 +2 +4 4 0xda0 0x48eabdba @@ -141190,6 +176488,8 @@ 0 0 0 +4 +2 2 0x4bc8 0xf54b8ead @@ -141198,6 +176498,8 @@ 0 0 0 +0 +2 4 0xb666 0xc8a07560 @@ -141207,6 +176509,8 @@ 0 0 3 +5 +3 0xa699 0xd73d7a16 256 @@ -141215,6 +176519,8 @@ 1 0 1 +2 +1 0xf8f2 0x3aaeff5 256 @@ -141222,6 +176528,8 @@ 1 0 0 +5 +2 1 0xe0aa 0xef391f32 @@ -141230,6 +176538,8 @@ 1 1 0 +2 +5 3 0x9ab2 0x7a65646 @@ -141238,6 +176548,8 @@ 1 1 0 +4 +4 3 0x5c46 0x6526fe5a @@ -141246,6 +176558,8 @@ 0 0 0 +5 +5 3 0xffba 0xd137381f @@ -141254,6 +176568,8 @@ 0 0 0 +2 +4 4 0xaabc 0xb64d865 @@ -141262,6 +176578,8 @@ 1 0 0 +0 +5 2 0x5d1 0xf4d8e1a5 @@ -141270,6 +176588,8 @@ 1 1 0 +0 +5 2 0x805f 0xc41b7693 @@ -141278,6 +176598,8 @@ 0 0 0 +1 +1 4 0x4eb7 0x7283907f @@ -141286,6 +176608,8 @@ 0 0 0 +1 +3 3 0xd9e8 0x79211df4 @@ -141294,6 +176618,8 @@ 1 0 0 +2 +5 4 0xae84 0xce7d641e @@ -141302,6 +176628,8 @@ 0 0 0 +5 +0 1 0xc498 0x4b961146 @@ -141311,6 +176639,8 @@ 0 0 3 +0 +3 0x7161 0x596ab925 256 @@ -141318,6 +176648,8 @@ 1 0 0 +1 +3 4 0x7293 0x9e7a9cda @@ -141326,6 +176658,8 @@ 1 0 0 +4 +4 2 0x6750 0x9a2b4368 @@ -141335,6 +176669,8 @@ 0 0 1 +0 +1 0x98ad 0x7318753b 256 @@ -141342,6 +176678,8 @@ 1 0 0 +5 +3 1 0xb70 0xfe9e4c8f @@ -141350,6 +176688,8 @@ 1 0 0 +2 +1 1 0x7bfd 0xf60846a0 @@ -141358,6 +176698,8 @@ 0 0 0 +4 +0 3 0x348d 0x5307b552 @@ -141366,6 +176708,8 @@ 0 0 0 +0 +1 3 0x32b7 0xe8abff3d @@ -141374,6 +176718,8 @@ 1 0 0 +0 +5 1 0xa721 0x4441e590 @@ -141382,6 +176728,8 @@ 0 0 0 +5 +2 2 0xdad 0x4f3678e4 @@ -141390,6 +176738,8 @@ 1 1 0 +0 +4 2 0x147f 0x7f56f1e9 @@ -141398,6 +176748,8 @@ 1 1 0 +1 +4 4 0xf2fc 0x6ce6536 @@ -141406,6 +176758,8 @@ 0 0 0 +0 +5 3 0x92b3 0x8305ff71 @@ -141414,6 +176768,8 @@ 0 0 0 +3 +4 2 0x4abc 0x381c2add @@ -141422,6 +176778,8 @@ 0 0 0 +5 +2 3 0x3d47 0x6daff44 @@ -141430,6 +176788,8 @@ 1 1 0 +0 +2 3 0xbdbb 0x189e3877 @@ -141438,6 +176798,8 @@ 0 0 0 +1 +2 4 0x6f6 0x66d95c11 @@ -141446,6 +176808,8 @@ 0 0 0 +5 +1 3 0x7bcb 0x1c3f51ff @@ -141454,6 +176818,8 @@ 0 0 0 +0 +2 4 0x52c7 0x2d300645 @@ -141462,6 +176828,8 @@ 0 0 0 +5 +2 3 0x3724 0x7e053365 @@ -141470,6 +176838,8 @@ 1 1 0 +2 +1 3 0x8edc 0xa8990b6e @@ -141478,6 +176848,8 @@ 0 0 0 +2 +4 4 0xe46 0x990700d7 @@ -141486,6 +176858,8 @@ 0 0 0 +1 +5 3 0x5add 0x871723fc @@ -141494,6 +176868,8 @@ 0 0 0 +0 +3 2 0xd484 0x6d65ab77 @@ -141502,6 +176878,8 @@ 0 0 0 +3 +3 1 0x42fb 0xff4143e1 @@ -141510,6 +176888,8 @@ 1 0 0 +3 +1 4 0x462f 0x6e3e8eec @@ -141519,6 +176899,8 @@ 0 0 1 +3 +1 0xadea 0x49456b8c 256 @@ -141526,6 +176908,8 @@ 1 1 0 +0 +0 1 0xcc4a 0x366acfca @@ -141534,6 +176918,8 @@ 0 0 0 +2 +0 1 0xeb4d 0x81977d1 @@ -141542,6 +176928,8 @@ 0 0 0 +3 +3 1 0x9d82 0x6cbf2c9d @@ -141550,6 +176938,8 @@ 1 1 0 +5 +2 3 0x434f 0x45ce28f2 @@ -141558,6 +176948,8 @@ 1 0 0 +2 +4 4 0x7e74 0x8249184 @@ -141566,6 +176958,8 @@ 0 0 0 +2 +1 1 0x5c9a 0x26b7c547 @@ -141574,6 +176968,8 @@ 0 0 0 +4 +5 1 0x8fe4 0x11107b59 @@ -141583,6 +176979,8 @@ 0 0 2 +3 +2 0x834c 0x7789674 256 @@ -141590,6 +176988,8 @@ 1 1 0 +4 +1 2 0x7947 0xe340792d @@ -141598,6 +176998,8 @@ 0 0 0 +0 +0 2 0xca55 0x616d737 @@ -141606,6 +177008,8 @@ 0 0 0 +4 +5 3 0xb9 0x4743d665 @@ -141614,6 +177018,8 @@ 1 0 0 +5 +5 4 0x7cd8 0xa23d8b2d @@ -141623,6 +177029,8 @@ 0 0 2 +4 +2 0x8585 0x46de29d7 256 @@ -141631,6 +177039,8 @@ 0 0 4 +5 +4 0x77a8 0x1b314c 256 @@ -141638,6 +177048,8 @@ 0 0 0 +1 +1 3 0xad7d 0xacf37ccc @@ -141647,6 +177059,8 @@ 1 0 2 +1 +2 0xff2b 0xd615defd 256 @@ -141654,6 +177068,8 @@ 0 0 0 +1 +2 3 0x9f4b 0xce3b70ba @@ -141662,6 +177078,8 @@ 1 0 0 +2 +5 1 0x51c8 0x75de77cb @@ -141670,6 +177088,8 @@ 1 0 0 +3 +1 2 0xdefb 0x1b82cbc3 @@ -141678,6 +177098,8 @@ 0 0 0 +5 +3 4 0x31a4 0xcc872088 @@ -141686,6 +177108,8 @@ 1 1 0 +0 +1 4 0x3c24 0x194b884b @@ -141695,6 +177119,8 @@ 0 0 2 +0 +2 0x976 0xb889c33b 256 @@ -141702,6 +177128,8 @@ 0 0 0 +5 +0 2 0x268e 0x8f711f6f @@ -141710,6 +177138,8 @@ 1 1 0 +4 +2 2 0xe821 0x9bbc616 @@ -141718,6 +177148,8 @@ 0 0 0 +2 +5 4 0xf1bf 0x6b66b3e0 @@ -141727,6 +177159,8 @@ 0 0 2 +5 +2 0xf90c 0xc5a34aae 256 @@ -141734,6 +177168,8 @@ 0 0 0 +4 +1 3 0x946e 0xd27d778a @@ -141742,6 +177178,8 @@ 0 0 0 +5 +0 1 0x3358 0x2eb5908 @@ -141750,6 +177188,8 @@ 1 1 0 +1 +1 3 0xd281 0x8b0429ab @@ -141758,6 +177198,8 @@ 1 0 0 +4 +5 1 0xd271 0x5f4f9f72 @@ -141766,6 +177208,8 @@ 0 0 0 +1 +4 3 0x3002 0x193919b @@ -141775,6 +177219,8 @@ 0 0 2 +3 +2 0x1823 0x73d901f5 256 @@ -141782,6 +177228,8 @@ 1 1 0 +1 +4 4 0xbb49 0xd9c2cd2a @@ -141790,6 +177238,8 @@ 1 1 0 +5 +1 2 0xc19e 0x78c7ff7e @@ -141798,6 +177248,8 @@ 1 0 0 +1 +1 3 0x10a6 0x803daa27 @@ -141806,6 +177258,8 @@ 0 0 0 +3 +1 2 0xbf1a 0xdb2e96c8 @@ -141815,6 +177269,8 @@ 0 0 1 +4 +1 0x8ebc 0x6085b228 256 @@ -141822,6 +177278,8 @@ 1 0 0 +4 +4 3 0x88eb 0x29e9082f @@ -141830,6 +177288,8 @@ 0 0 0 +4 +0 2 0xbf17 0x55311357 @@ -141838,6 +177298,8 @@ 0 0 0 +5 +4 3 0xa098 0xe31bb05b @@ -141846,6 +177308,8 @@ 1 0 0 +1 +2 4 0x116b 0xe50eb4bf @@ -141854,6 +177318,8 @@ 0 0 0 +2 +1 3 0xb441 0xe17100ec @@ -141862,6 +177328,8 @@ 1 1 0 +1 +3 2 0x329e 0xe7413617 @@ -141871,6 +177339,8 @@ 0 0 3 +1 +3 0x88ad 0x6be8397e 256 @@ -141878,6 +177348,8 @@ 1 0 0 +1 +1 2 0x8160 0xc6367f88 @@ -141886,6 +177358,8 @@ 0 0 0 +1 +2 2 0xe4b1 0x8aaf75c4 @@ -141894,6 +177368,8 @@ 1 1 0 +5 +2 1 0x277 0x776f6abd @@ -141903,6 +177379,8 @@ 0 0 1 +0 +1 0x3120 0x89c93ad1 256 @@ -141911,6 +177389,8 @@ 1 0 2 +5 +2 0xf1c8 0x5968988e 256 @@ -141918,6 +177398,8 @@ 0 0 0 +1 +0 3 0x2d3b 0x7a56835e @@ -141926,6 +177408,8 @@ 1 1 0 +1 +3 2 0xd0e2 0x6bd00462 @@ -141934,6 +177418,8 @@ 0 0 0 +5 +5 1 0x6ba9 0xb196b159 @@ -141942,6 +177428,8 @@ 0 0 0 +5 +2 1 0xc07d 0x6c2bcf8b @@ -141950,6 +177438,8 @@ 0 0 0 +5 +5 3 0x46eb 0xc8815542 @@ -141959,6 +177449,8 @@ 1 0 2 +1 +2 0x228d 0x33c88184 256 @@ -141966,6 +177458,8 @@ 0 0 0 +2 +4 1 0x5469 0x8def006e @@ -141974,6 +177468,8 @@ 1 1 0 +5 +1 1 0x4776 0x8298895d @@ -141982,6 +177478,8 @@ 0 0 0 +0 +3 2 0x772e 0x5c0362c7 @@ -141990,6 +177488,8 @@ 0 0 0 +5 +5 3 0x118a 0x582a9555 @@ -141998,6 +177498,8 @@ 1 0 0 +1 +1 4 0xfc2b 0xeb03499 @@ -142006,6 +177508,8 @@ 1 0 0 +2 +3 1 0xd9a8 0xaca68608 @@ -142014,6 +177518,8 @@ 0 0 0 +1 +1 2 0x7236 0x9e19666d @@ -142022,6 +177528,8 @@ 0 0 0 +4 +3 1 0x2202 0xc2de69c5 @@ -142030,6 +177538,8 @@ 0 0 0 +5 +3 3 0xfe41 0x92df0bf2 @@ -142039,6 +177549,8 @@ 0 0 4 +1 +4 0xa10b 0xb9d88810 256 @@ -142046,6 +177558,8 @@ 1 1 0 +1 +3 3 0xa270 0xfa54f7cf @@ -142054,6 +177568,8 @@ 0 0 0 +5 +2 2 0x51d0 0x52c53981 @@ -142062,6 +177578,8 @@ 0 0 0 +3 +1 1 0xf761 0x13c74dd5 @@ -142070,6 +177588,8 @@ 0 0 0 +0 +0 3 0x77f8 0xdd09a363 @@ -142078,6 +177598,8 @@ 1 0 0 +3 +3 2 0x8602 0xea847646 @@ -142086,6 +177608,8 @@ 0 0 0 +5 +0 4 0x9e47 0xb835adde @@ -142094,6 +177618,8 @@ 1 1 0 +2 +1 1 0xd3de 0xcef0744c @@ -142102,6 +177628,8 @@ 1 1 0 +0 +0 1 0xfb07 0xdf44866f @@ -142110,6 +177638,8 @@ 1 0 0 +5 +2 1 0xacb8 0xf46eb057 @@ -142119,6 +177649,8 @@ 1 0 4 +3 +4 0x1faa 0x526c291a 256 @@ -142126,6 +177658,8 @@ 0 0 0 +1 +3 4 0xa3ea 0x165dd64d @@ -142134,6 +177668,8 @@ 1 0 0 +5 +4 1 0xf8f5 0xa9b94423 @@ -142142,6 +177678,8 @@ 0 0 0 +2 +1 3 0xb0dd 0x2eeb9035 @@ -142150,6 +177688,8 @@ 1 1 0 +5 +1 3 0xb682 0x34b87d7b @@ -142159,6 +177699,8 @@ 0 0 3 +4 +3 0x3f4 0x625b192b 256 @@ -142166,6 +177708,8 @@ 1 0 0 +1 +5 4 0x45bd 0xa727688e @@ -142174,6 +177718,8 @@ 0 0 0 +3 +4 4 0xe5e9 0xd1f07deb @@ -142182,6 +177728,8 @@ 1 0 0 +1 +2 2 0xa764 0xd7f88741 @@ -142190,6 +177738,8 @@ 0 0 0 +0 +3 1 0xe39f 0x7f428877 @@ -142198,6 +177748,8 @@ 0 0 0 +2 +5 4 0xb67c 0xbc297c60 @@ -142206,6 +177758,8 @@ 0 0 0 +0 +1 2 0xf4b0 0xba6eaa54 @@ -142214,6 +177768,8 @@ 1 0 0 +4 +2 2 0xdede 0x78a57e99 @@ -142222,6 +177778,8 @@ 1 0 0 +5 +2 4 0x996e 0x4cd99d6e @@ -142230,6 +177788,8 @@ 0 0 0 +0 +4 3 0x56f7 0x6380d507 @@ -142238,6 +177798,8 @@ 1 1 0 +2 +5 4 0x62bb 0x671fec71 @@ -142246,6 +177808,8 @@ 0 0 0 +1 +2 4 0x1bec 0x60804f72 @@ -142254,6 +177818,8 @@ 1 1 0 +4 +5 3 0x7e70 0xfd2432f0 @@ -142262,6 +177828,8 @@ 1 0 0 +0 +2 2 0xd6ae 0x403ba2ed @@ -142270,6 +177838,8 @@ 0 0 0 +5 +1 4 0x35da 0xa2ad144d @@ -142278,6 +177848,8 @@ 0 0 0 +0 +5 4 0x543c 0x5a66927e @@ -142286,6 +177858,8 @@ 1 1 0 +3 +5 2 0x4b56 0x3b71d156 @@ -142294,6 +177868,8 @@ 0 0 0 +5 +2 1 0xfa43 0x94d5404c @@ -142302,6 +177878,8 @@ 0 0 0 +4 +1 2 0x1648 0x7cf3f9f8 @@ -142310,6 +177888,8 @@ 0 0 0 +1 +1 3 0x864a 0xf15502e8 @@ -142319,6 +177899,8 @@ 0 0 3 +3 +3 0xedaf 0xe54abf59 256 @@ -142326,6 +177908,8 @@ 0 0 0 +0 +5 2 0x93a7 0xc9eab8d5 @@ -142334,6 +177918,8 @@ 1 1 0 +2 +5 4 0xca0d 0x49c6d092 @@ -142342,6 +177928,8 @@ 1 1 0 +0 +0 3 0xb033 0x77ad305c @@ -142350,6 +177938,8 @@ 1 0 0 +0 +1 1 0xd972 0x1bc536ff @@ -142358,6 +177948,8 @@ 0 0 0 +3 +1 2 0xb3cf 0x8609b40b @@ -142366,6 +177958,8 @@ 1 0 0 +5 +4 2 0xaaf 0xd7a5c46f @@ -142374,6 +177968,8 @@ 1 1 0 +4 +2 1 0x2a67 0xe674453a @@ -142382,6 +177978,8 @@ 0 0 0 +4 +4 3 0xf126 0x4894adcb @@ -142390,6 +177988,8 @@ 0 0 0 +1 +1 3 0xc87d 0x25d0acf9 @@ -142398,6 +177998,8 @@ 0 0 0 +1 +5 3 0xfec4 0x80a79a99 @@ -142406,6 +178008,8 @@ 1 1 0 +5 +2 4 0x553a 0x6bd91895 @@ -142414,6 +178018,8 @@ 0 0 0 +1 +1 2 0x1664 0x808791a3 @@ -142422,6 +178028,8 @@ 1 0 0 +4 +5 1 0xb61b 0x18990431 @@ -142430,6 +178038,8 @@ 1 1 0 +1 +4 2 0x21c7 0xedc0fa7b @@ -142438,6 +178048,8 @@ 0 0 0 +1 +1 3 0xceb8 0x30992ae5 @@ -142446,6 +178058,8 @@ 0 0 0 +1 +2 3 0xec44 0x489025b7 @@ -142455,6 +178069,8 @@ 0 0 3 +4 +3 0x1467 0xd24b8778 256 @@ -142462,6 +178078,8 @@ 1 0 0 +1 +0 2 0xa751 0x1cd08d66 @@ -142470,6 +178088,8 @@ 1 0 0 +5 +5 2 0x97b7 0x1f820627 @@ -142478,6 +178098,8 @@ 1 1 0 +0 +1 2 0xcda6 0xe1d9c579 @@ -142486,6 +178108,8 @@ 0 0 0 +1 +1 2 0xa2a7 0xfe8da647 @@ -142494,6 +178118,8 @@ 0 0 0 +3 +5 4 0xc71a 0x2536a927 @@ -142502,6 +178128,8 @@ 1 0 0 +2 +5 4 0x89cc 0xda2654a2 @@ -142510,6 +178138,8 @@ 1 0 0 +0 +0 3 0xbea4 0xfb1951f0 @@ -142518,6 +178148,8 @@ 1 0 0 +1 +2 4 0x2008 0xc0316547 @@ -142526,6 +178158,8 @@ 0 0 0 +3 +4 4 0x8ce4 0xae171fdf @@ -142534,6 +178168,8 @@ 0 0 0 +4 +0 1 0x4ff1 0xf9477ac7 @@ -142542,6 +178178,8 @@ 0 0 0 +5 +1 3 0x9c56 0x886ce637 @@ -142550,6 +178188,8 @@ 0 0 0 +5 +3 4 0xba3a 0x7ce266a9 @@ -142558,6 +178198,8 @@ 1 1 0 +3 +5 1 0x23d7 0x1f7f46bc @@ -142566,6 +178208,8 @@ 1 1 0 +1 +0 4 0x565f 0x52da53f6 @@ -142575,6 +178219,8 @@ 0 0 4 +4 +4 0x23ea 0x9b96b021 256 @@ -142582,6 +178228,8 @@ 0 0 0 +1 +2 2 0x4924 0x569a51b0 @@ -142590,6 +178238,8 @@ 1 0 0 +3 +1 2 0xda80 0x462d99ff @@ -142598,6 +178248,8 @@ 0 0 0 +0 +0 4 0x323b 0xa512da36 @@ -142606,6 +178258,8 @@ 1 1 0 +5 +5 3 0x83a7 0x83d24bab @@ -142614,6 +178268,8 @@ 1 0 0 +1 +1 2 0x3c9b 0x1b502231 @@ -142622,6 +178278,8 @@ 1 0 0 +1 +4 3 0xf3c5 0x4fdd9fd3 @@ -142631,6 +178289,8 @@ 0 0 3 +5 +3 0x3963 0xa5983924 256 @@ -142638,6 +178298,8 @@ 1 1 0 +1 +2 4 0xa8bc 0xb7638421 @@ -142647,6 +178309,8 @@ 0 0 2 +2 +2 0x9adb 0xca693dcd 256 @@ -142654,6 +178318,8 @@ 0 0 0 +3 +3 1 0x5940 0xc0311c35 @@ -142662,6 +178328,8 @@ 0 0 0 +2 +0 1 0x605 0xcd42cd53 @@ -142670,6 +178338,8 @@ 0 0 0 +0 +1 3 0xded9 0x2d345262 @@ -142678,6 +178348,8 @@ 1 0 0 +3 +0 1 0x67c 0x85ae24e4 @@ -142686,6 +178358,8 @@ 0 0 0 +5 +4 1 0x5e7c 0xe9044a27 @@ -142694,6 +178368,8 @@ 1 0 0 +4 +4 1 0x115f 0x7cb5979b @@ -142702,6 +178378,8 @@ 1 0 0 +4 +0 3 0xc02e 0x9d034d4b @@ -142710,6 +178388,8 @@ 1 0 0 +2 +5 4 0xcdf1 0x9e18c0ea @@ -142719,6 +178399,8 @@ 0 0 4 +0 +4 0xf5eb 0x41f75010 256 @@ -142726,6 +178408,8 @@ 1 0 0 +0 +3 3 0x262e 0xa51ba06e @@ -142734,6 +178418,8 @@ 1 1 0 +1 +4 4 0xdbf8 0x9b36798e @@ -142742,6 +178428,8 @@ 1 1 0 +5 +2 3 0x8796 0xebcb0621 @@ -142750,6 +178438,8 @@ 0 0 0 +0 +2 3 0xfa9a 0x40228eba @@ -142758,6 +178448,8 @@ 1 1 0 +1 +2 2 0x14c0 0x434476df @@ -142766,6 +178458,8 @@ 1 0 0 +5 +5 3 0x6bbf 0xfd9a1729 @@ -142774,6 +178468,8 @@ 0 0 0 +4 +0 3 0xac1f 0xdf2a3f2b @@ -142782,6 +178478,8 @@ 0 0 0 +0 +0 4 0xe9db 0xbbc497e5 @@ -142790,6 +178488,8 @@ 0 0 0 +2 +3 3 0xacd5 0x5e47bf01 @@ -142798,6 +178498,8 @@ 0 0 0 +5 +5 1 0xe8ef 0xb9547813 @@ -142806,6 +178508,8 @@ 1 1 0 +4 +2 1 0x2b66 0xbf45eb88 @@ -142814,6 +178518,8 @@ 0 0 0 +3 +0 1 0x4a65 0x11a2b91c @@ -142822,6 +178528,8 @@ 1 1 0 +5 +3 4 0xaa24 0xb7245c44 @@ -142830,6 +178538,8 @@ 0 0 0 +3 +3 4 0x8906 0x486b072 @@ -142838,6 +178548,8 @@ 1 1 0 +2 +5 4 0xca60 0xc1cdebb @@ -142846,6 +178558,8 @@ 1 0 0 +3 +1 2 0x715e 0x93843b39 @@ -142854,6 +178568,8 @@ 1 0 0 +5 +2 4 0xb8e8 0xdc48b4d0 @@ -142862,6 +178578,8 @@ 1 1 0 +2 +2 3 0x9225 0x418a9be0 @@ -142870,6 +178588,8 @@ 0 0 0 +3 +3 1 0xe6f5 0xf4a79d0f @@ -142878,6 +178598,8 @@ 0 0 0 +2 +3 3 0x40f6 0x70217b42 @@ -142886,6 +178608,8 @@ 0 0 0 +3 +1 4 0x42d0 0x7676cfb6 @@ -142894,6 +178618,8 @@ 0 0 0 +5 +0 3 0x82a6 0x96ea71aa @@ -142902,6 +178628,8 @@ 1 1 0 +0 +5 2 0xa838 0xb4c7967f @@ -142910,6 +178638,8 @@ 0 0 0 +4 +5 3 0xb4f7 0x4959f769 @@ -142918,6 +178648,8 @@ 1 1 0 +0 +2 1 0xd55c 0x74cfc86 @@ -142927,6 +178659,8 @@ 0 0 4 +2 +4 0x710e 0x7ead168b 256 @@ -142934,6 +178668,8 @@ 0 0 0 +0 +5 1 0x449 0x89b6313c @@ -142942,6 +178678,8 @@ 0 0 0 +5 +2 4 0xe978 0x503df145 @@ -142951,6 +178689,8 @@ 0 0 1 +1 +1 0x2f7 0x62f1888a 256 @@ -142958,6 +178698,8 @@ 1 1 0 +0 +3 3 0xaf73 0xdcc3b50 @@ -142966,6 +178708,8 @@ 1 1 0 +5 +4 4 0xfd7e 0x600ac815 @@ -142974,6 +178718,8 @@ 1 1 0 +2 +0 1 0x44e0 0xc5dcdea @@ -142982,6 +178728,8 @@ 1 1 0 +3 +1 2 0xce21 0xebd3ea9 @@ -142990,6 +178738,8 @@ 1 1 0 +3 +4 4 0x9840 0x590b9cec @@ -142998,6 +178748,8 @@ 1 1 0 +5 +5 4 0xa4f2 0x8f541a84 @@ -143006,6 +178758,8 @@ 1 1 0 +5 +4 3 0x48fb 0x507c93c9 @@ -143014,6 +178768,8 @@ 0 0 0 +5 +5 2 0xbdbd 0x2851fcc1 @@ -143022,6 +178778,8 @@ 0 0 0 +1 +5 4 0x2791 0xc00d5a3a @@ -143030,6 +178788,8 @@ 1 1 0 +5 +1 2 0xb774 0xda5cc00e @@ -143039,6 +178799,8 @@ 0 0 3 +4 +3 0x1ee3 0x509d1968 256 @@ -143046,6 +178808,8 @@ 1 1 0 +3 +1 2 0xf904 0x2282521b @@ -143054,6 +178818,8 @@ 1 0 0 +1 +2 2 0x355d 0x5055bf5b @@ -143062,6 +178828,8 @@ 0 0 0 +5 +1 2 0x5693 0x975a05b6 @@ -143070,6 +178838,8 @@ 0 0 0 +0 +3 4 0xd341 0xf58f84d4 @@ -143078,6 +178848,8 @@ 0 0 0 +4 +0 1 0xcab5 0x48150243 @@ -143086,6 +178858,8 @@ 1 0 0 +1 +5 3 0xaf39 0x3be2a436 @@ -143094,6 +178868,8 @@ 0 0 0 +5 +5 1 0x5770 0x6b07f5c2 @@ -143102,6 +178878,8 @@ 0 0 0 +0 +2 3 0x1f0c 0x821714c4 @@ -143110,6 +178888,8 @@ 0 0 0 +3 +0 2 0x4902 0x35b1f5d5 @@ -143118,6 +178898,8 @@ 0 0 0 +5 +4 3 0x4de 0xe4cc4016 @@ -143126,6 +178908,8 @@ 0 0 0 +1 +4 2 0x313e 0x911c98ba @@ -143134,6 +178918,8 @@ 1 0 0 +2 +5 3 0xa24a 0x2f185131 @@ -143142,6 +178928,8 @@ 1 0 0 +3 +4 1 0xe4d 0x9a1394b4 @@ -143151,6 +178939,8 @@ 0 0 3 +3 +3 0xa95c 0x72d568b9 256 @@ -143158,6 +178948,8 @@ 0 0 0 +3 +1 4 0x48fb 0x27adae66 @@ -143166,6 +178958,8 @@ 0 0 0 +5 +5 1 0x50a4 0xb3177450 @@ -143174,6 +178968,8 @@ 0 0 0 +4 +0 1 0x9dab 0x8d8f5391 @@ -143182,6 +178978,8 @@ 1 0 0 +0 +1 3 0x2549 0x9bf57665 @@ -143190,6 +178988,8 @@ 0 0 0 +4 +1 3 0xe4ab 0xc7eea87 @@ -143198,6 +178998,8 @@ 1 0 0 +5 +0 2 0x9307 0xaec94361 @@ -143207,6 +179009,8 @@ 0 0 2 +3 +2 0x9d89 0x5cbc864e 256 @@ -143214,6 +179018,8 @@ 0 0 0 +3 +3 4 0x2de6 0xb629c815 @@ -143222,6 +179028,8 @@ 0 0 0 +5 +4 4 0xaa64 0x5200690a @@ -143230,6 +179038,8 @@ 1 1 0 +1 +0 4 0x9562 0x88ab4255 @@ -143238,6 +179048,8 @@ 0 0 0 +1 +5 3 0xf947 0x8b227273 @@ -143246,6 +179058,8 @@ 0 0 0 +4 +3 2 0x7d0b 0xa9194828 @@ -143254,6 +179068,8 @@ 0 0 0 +0 +2 3 0xde36 0xf8119138 @@ -143262,6 +179078,8 @@ 1 1 0 +0 +2 2 0x47dd 0x85a5e01b @@ -143271,6 +179089,8 @@ 0 0 1 +3 +1 0x4d88 0x147897d9 256 @@ -143278,6 +179098,8 @@ 1 0 0 +3 +1 2 0x2c4b 0x3672158a @@ -143286,6 +179108,8 @@ 0 0 0 +0 +2 3 0x64bd 0x8ec29630 @@ -143294,6 +179118,8 @@ 1 1 0 +3 +3 4 0x528d 0xbdca2eee @@ -143302,6 +179128,8 @@ 0 0 0 +2 +3 4 0x716f 0x5c74a117 @@ -143310,6 +179138,8 @@ 1 1 0 +5 +4 1 0xbbde 0x3c881772 @@ -143318,6 +179148,8 @@ 1 1 0 +1 +2 3 0xe714 0x8668e898 @@ -143326,6 +179158,8 @@ 1 1 0 +0 +2 4 0x35e6 0xf9b2cff7 @@ -143334,6 +179168,8 @@ 1 0 0 +2 +2 4 0xb53 0x13631589 @@ -143343,6 +179179,8 @@ 0 0 4 +5 +4 0xc44a 0xc0890fad 256 @@ -143351,6 +179189,8 @@ 0 0 1 +3 +1 0x20ca 0x52946dc6 256 @@ -143359,6 +179199,8 @@ 0 0 3 +0 +3 0x2856 0x111b72d 256 @@ -143366,6 +179208,8 @@ 0 0 0 +2 +3 4 0xf48e 0x4237ea27 @@ -143374,6 +179218,8 @@ 0 0 0 +4 +0 3 0x490b 0x9b9da4c7 @@ -143382,6 +179228,8 @@ 0 0 0 +5 +0 3 0xc987 0xea607a48 @@ -143390,6 +179238,8 @@ 0 0 0 +1 +4 2 0x5868 0xac5483da @@ -143398,6 +179248,8 @@ 0 0 0 +4 +2 1 0x30a8 0xfad30fdd @@ -143406,6 +179258,8 @@ 1 1 0 +2 +4 4 0xffae 0x63326a5 @@ -143414,6 +179268,8 @@ 1 1 0 +1 +3 3 0xcfde 0x230808b3 @@ -143422,6 +179278,8 @@ 1 0 0 +4 +2 2 0x1bf2 0xcf687e46 @@ -143431,6 +179289,8 @@ 0 0 4 +5 +4 0x7334 0x39e39225 256 @@ -143439,6 +179299,8 @@ 0 0 1 +3 +1 0xcc2c 0xa34b59b7 256 @@ -143446,6 +179308,8 @@ 0 0 0 +2 +1 3 0x2415 0x50d0af73 @@ -143454,6 +179318,8 @@ 0 0 0 +5 +1 2 0xf0ce 0xe0d2a6b @@ -143462,6 +179328,8 @@ 0 0 0 +2 +1 3 0x7edf 0x2fc71093 @@ -143470,6 +179338,8 @@ 0 0 0 +3 +2 1 0xac77 0x546c8999 @@ -143478,6 +179348,8 @@ 1 1 0 +1 +5 4 0x7d31 0x58923169 @@ -143486,6 +179358,8 @@ 1 0 0 +5 +5 2 0x2aba 0xb23a7975 @@ -143495,6 +179369,8 @@ 0 0 1 +0 +1 0x56cb 0x5ee9b480 256 @@ -143502,6 +179378,8 @@ 1 0 0 +1 +4 4 0xe969 0xa1653e16 @@ -143510,6 +179388,8 @@ 0 0 0 +0 +2 2 0xc22c 0xceefdb7c @@ -143518,6 +179398,8 @@ 1 0 0 +3 +3 4 0x3557 0xc829d84c @@ -143526,6 +179408,8 @@ 0 0 0 +0 +5 1 0xfede 0x90b7c6a3 @@ -143534,6 +179418,8 @@ 0 0 0 +5 +1 4 0x662b 0x4c0cbe3a @@ -143542,6 +179428,8 @@ 1 0 0 +2 +0 4 0x5e27 0xe9d5a3d1 @@ -143550,6 +179438,8 @@ 1 1 0 +5 +5 3 0x6662 0x21c0ebe4 @@ -143559,6 +179449,8 @@ 0 0 4 +4 +4 0xdace 0x6ff34d2c 256 @@ -143566,6 +179458,8 @@ 1 1 0 +5 +2 3 0x8b4a 0x7b35e68c @@ -143574,6 +179468,8 @@ 0 0 0 +3 +1 4 0x42b6 0x8a1f3b71 @@ -143582,6 +179478,8 @@ 0 0 0 +5 +5 3 0x738f 0x908e6599 @@ -143591,6 +179489,8 @@ 0 0 1 +1 +1 0x3cbb 0xbce524ce 256 @@ -143599,6 +179499,8 @@ 0 0 3 +1 +3 0xa5b5 0xd06a9692 256 @@ -143606,6 +179508,8 @@ 0 0 0 +1 +4 4 0x97f6 0x90beaa5b @@ -143614,6 +179518,8 @@ 0 0 0 +1 +3 2 0x7a43 0x58ae518 @@ -143622,6 +179528,8 @@ 0 0 0 +2 +1 3 0x51e8 0xa308b77 @@ -143630,6 +179538,8 @@ 1 1 0 +4 +2 1 0x458d 0x499e1580 @@ -143638,6 +179548,8 @@ 1 0 0 +3 +1 2 0x3f9e 0xd30d5321 @@ -143646,6 +179558,8 @@ 0 0 0 +3 +3 2 0xd00f 0xc753678b @@ -143655,6 +179569,8 @@ 0 0 3 +5 +3 0x9e95 0xeff57a1b 256 @@ -143662,6 +179578,8 @@ 1 0 0 +4 +0 1 0x6b0c 0x2763729a @@ -143670,6 +179588,8 @@ 1 1 0 +5 +0 1 0x18de 0xc9f4469c @@ -143679,6 +179599,8 @@ 1 0 4 +1 +4 0x30ff 0x286b0cc4 256 @@ -143686,6 +179608,8 @@ 1 1 0 +5 +0 4 0xc5d6 0xcd06e390 @@ -143694,6 +179618,8 @@ 1 0 0 +0 +2 1 0x42bd 0x17840fc8 @@ -143702,6 +179628,8 @@ 0 0 0 +4 +0 3 0xf430 0xafa078bc @@ -143710,6 +179638,8 @@ 1 1 0 +1 +1 4 0xf71f 0x44a0b388 @@ -143719,6 +179649,8 @@ 1 0 1 +3 +1 0x44fd 0x52aa4ba6 256 @@ -143726,6 +179658,8 @@ 1 0 0 +1 +0 2 0x7dd4 0xa48f9ed9 @@ -143734,6 +179668,8 @@ 0 0 0 +4 +3 1 0x36f4 0xe121922a @@ -143742,6 +179678,8 @@ 1 1 0 +2 +3 3 0x7c63 0x6123c573 @@ -143750,6 +179688,8 @@ 1 0 0 +5 +3 3 0xc72d 0xfaee6d08 @@ -143758,6 +179698,8 @@ 0 0 0 +4 +3 2 0xd292 0xe1db46f5 @@ -143766,6 +179708,8 @@ 1 0 0 +5 +1 2 0x317f 0x5d090fd7 @@ -143774,6 +179718,8 @@ 0 0 0 +1 +4 2 0xed4e 0x825ab9a1 @@ -143783,6 +179729,8 @@ 0 0 2 +1 +2 0x734 0x4af7090 256 @@ -143790,6 +179738,8 @@ 0 0 0 +3 +0 2 0x8f7a 0xb72ea6e9 @@ -143798,6 +179748,8 @@ 1 1 0 +1 +0 4 0xcc79 0xc57a1458 @@ -143806,6 +179758,8 @@ 0 0 0 +5 +0 4 0x567b 0x256ed3d9 @@ -143814,6 +179768,8 @@ 1 0 0 +5 +4 3 0x3daf 0x58e2926d @@ -143822,6 +179778,8 @@ 0 0 0 +4 +0 3 0x7555 0xe30726db @@ -143830,6 +179788,8 @@ 0 0 0 +0 +2 4 0x4fee 0xd1497990 @@ -143838,6 +179798,8 @@ 1 0 0 +2 +1 3 0xb8b0 0x6a87ab7c @@ -143846,6 +179808,8 @@ 0 0 0 +5 +3 1 0xbb6e 0x352dea9b @@ -143855,6 +179819,8 @@ 0 0 2 +0 +2 0xe72b 0x885315a6 256 @@ -143862,6 +179828,8 @@ 1 1 0 +2 +2 4 0x726c 0x3b5b5ef1 @@ -143870,6 +179838,8 @@ 1 0 0 +3 +2 2 0x5a1f 0x59dfe1b4 @@ -143878,6 +179848,8 @@ 1 0 0 +5 +1 2 0xc4d1 0x3067d593 @@ -143886,6 +179858,8 @@ 1 0 0 +3 +1 2 0xaac1 0x2213301e @@ -143894,6 +179868,8 @@ 1 1 0 +2 +5 3 0x8b93 0x4d28c893 @@ -143902,6 +179878,8 @@ 0 0 0 +2 +3 1 0x7134 0x41105caa @@ -143910,6 +179888,8 @@ 1 1 0 +5 +3 3 0xe6fb 0x20462fc9 @@ -143919,6 +179899,8 @@ 0 0 1 +0 +1 0x21cc 0x2d92339 256 @@ -143926,6 +179908,8 @@ 0 0 0 +2 +4 4 0xbcc2 0xd0342ecb @@ -143934,6 +179918,8 @@ 0 0 0 +4 +5 3 0xc11b 0xaa7b5f6b @@ -143942,6 +179928,8 @@ 0 0 0 +5 +4 3 0xe0cd 0x86b34a20 @@ -143950,6 +179938,8 @@ 0 0 0 +5 +0 4 0x6ece 0x1be7d998 @@ -143958,6 +179948,8 @@ 0 0 0 +0 +0 1 0x6bfc 0x10f69cec @@ -143966,6 +179958,8 @@ 0 0 0 +3 +2 2 0x416a 0x8248eddd @@ -143974,6 +179968,8 @@ 1 0 0 +1 +2 3 0xbdef 0xf92befe @@ -143982,6 +179978,8 @@ 0 0 0 +1 +5 2 0xac80 0xf295e77 @@ -143990,6 +179988,8 @@ 0 0 0 +4 +2 1 0x9411 0x5ebc9f12 @@ -143998,6 +179998,8 @@ 0 0 0 +0 +5 4 0x1c76 0xab0ea593 @@ -144006,6 +180008,8 @@ 0 0 0 +0 +0 4 0xdbb8 0x4bc2eeec @@ -144014,6 +180018,8 @@ 0 0 0 +3 +2 4 0xbe0c 0x1d63f6ce @@ -144022,6 +180028,8 @@ 1 1 0 +4 +4 2 0xe939 0x30ca9614 @@ -144030,6 +180038,8 @@ 1 0 0 +3 +5 2 0xfa81 0xaacb7a12 @@ -144038,6 +180048,8 @@ 0 0 0 +0 +0 3 0xd00a 0x2ebb7699 @@ -144047,6 +180059,8 @@ 0 0 4 +4 +4 0xe189 0x656db020 256 @@ -144054,6 +180068,8 @@ 1 1 0 +2 +1 4 0xc1bc 0xce2136ab @@ -144062,6 +180078,8 @@ 1 0 0 +5 +0 3 0xf14a 0xed5929e4 @@ -144071,6 +180089,8 @@ 0 0 1 +1 +1 0x3ac5 0xb068ff95 256 @@ -144078,6 +180098,8 @@ 0 0 0 +0 +1 2 0x2373 0x120a0c8d @@ -144086,6 +180108,8 @@ 1 0 0 +0 +2 4 0xf1e4 0x7d8b38dc @@ -144094,6 +180118,8 @@ 1 1 0 +1 +2 2 0x907f 0x5551d722 @@ -144102,6 +180128,8 @@ 0 0 0 +5 +5 2 0x60e1 0x13169275 @@ -144110,6 +180138,8 @@ 0 0 0 +2 +5 3 0xd274 0x2a7b35f5 @@ -144118,6 +180148,8 @@ 0 0 0 +0 +3 3 0x3f29 0xc82d5f5a @@ -144126,6 +180158,8 @@ 0 0 0 +0 +0 4 0xbb12 0x55f5fb20 @@ -144134,6 +180168,8 @@ 1 0 0 +0 +5 4 0x7f47 0x5a546d51 @@ -144142,6 +180178,8 @@ 1 0 0 +5 +4 4 0x75e5 0xd2cfea79 @@ -144150,6 +180188,8 @@ 1 1 0 +3 +4 1 0x9773 0xd88359ae @@ -144158,6 +180198,8 @@ 1 1 0 +0 +0 2 0x415b 0xdc764f1c @@ -144166,6 +180208,8 @@ 0 0 0 +5 +2 3 0xbae 0x2a7ea421 @@ -144174,6 +180218,8 @@ 0 0 0 +2 +3 3 0x5644 0xc0733966 @@ -144182,6 +180228,8 @@ 0 0 0 +2 +3 3 0x9287 0x43fb37cf @@ -144190,6 +180238,8 @@ 0 0 0 +5 +3 1 0x9333 0xf88a6681 @@ -144198,6 +180248,8 @@ 0 0 0 +1 +0 2 0xc765 0xf4aae10e @@ -144206,6 +180258,8 @@ 1 1 0 +0 +1 4 0xad25 0x201f99d0 @@ -144214,6 +180268,8 @@ 1 0 0 +4 +1 1 0xa94a 0xa02c12e1 @@ -144222,6 +180278,8 @@ 1 0 0 +4 +0 2 0x4c3d 0x84dad56f @@ -144231,6 +180289,8 @@ 0 0 2 +0 +2 0xdcf7 0xc147377 256 @@ -144238,6 +180298,8 @@ 0 0 0 +5 +3 2 0xa978 0xf01868aa @@ -144246,6 +180308,8 @@ 1 1 0 +3 +3 2 0x26ed 0x1a629159 @@ -144254,6 +180318,8 @@ 0 0 0 +5 +4 1 0x759a 0xdf6f91da @@ -144262,6 +180328,8 @@ 0 0 0 +4 +5 2 0x108b 0xd3ec1109 @@ -144270,6 +180338,8 @@ 0 0 0 +1 +5 2 0x12d9 0xf997211e @@ -144278,6 +180348,8 @@ 0 0 0 +4 +1 1 0x3cbb 0xe21d02e9 @@ -144286,6 +180358,8 @@ 0 0 0 +0 +3 2 0x3b0d 0x7b7d0be4 @@ -144294,6 +180368,8 @@ 1 0 0 +1 +4 4 0xf29a 0x8f4d5e4b @@ -144302,6 +180378,8 @@ 0 0 0 +0 +3 2 0xf826 0x42837826 @@ -144310,6 +180388,8 @@ 1 0 0 +4 +5 2 0xbe9c 0xeabbe693 @@ -144318,6 +180398,8 @@ 0 0 0 +0 +1 4 0xc5ec 0xda895b11 @@ -144326,6 +180408,8 @@ 0 0 0 +4 +2 2 0x9ee 0x50d18e7f @@ -144334,6 +180418,8 @@ 1 1 0 +0 +3 2 0x6b2d 0x3ed61063 @@ -144343,6 +180429,8 @@ 0 0 4 +0 +4 0x9830 0x74ddf912 256 @@ -144350,6 +180438,8 @@ 0 0 0 +0 +4 2 0xc8cb 0x38c09863 @@ -144358,6 +180448,8 @@ 1 0 0 +0 +3 3 0xdae3 0xe63bd345 @@ -144366,6 +180458,8 @@ 0 0 0 +0 +1 1 0xe12d 0x2a693128 @@ -144374,6 +180468,8 @@ 0 0 0 +5 +2 3 0x3a0 0xae1a07a6 @@ -144382,6 +180478,8 @@ 1 1 0 +1 +0 4 0x521 0xa6a3bcdf @@ -144390,6 +180488,8 @@ 1 1 0 +4 +1 3 0x37de 0x4fb16b06 @@ -144398,6 +180498,8 @@ 1 1 0 +5 +5 2 0x22a 0xd59acd23 @@ -144406,6 +180508,8 @@ 0 0 0 +5 +3 4 0x4694 0x243dda5e @@ -144414,6 +180518,8 @@ 0 0 0 +3 +1 2 0x6246 0x98293c89 @@ -144422,6 +180528,8 @@ 1 0 0 +1 +5 3 0x9655 0x17633307 @@ -144430,6 +180538,8 @@ 0 0 0 +3 +4 1 0x1bdb 0xe1f03c42 @@ -144438,6 +180548,8 @@ 0 0 0 +0 +2 2 0x4d65 0x71ff4af4 @@ -144446,6 +180558,8 @@ 0 0 0 +0 +4 2 0xce84 0x2218970d @@ -144454,6 +180568,8 @@ 0 0 0 +5 +5 4 0xc915 0x175645c9 @@ -144462,6 +180578,8 @@ 1 1 0 +2 +2 3 0xa9ef 0x82f693ac @@ -144470,6 +180588,8 @@ 0 0 0 +3 +3 2 0x1333 0xdaf2e8cc @@ -144478,6 +180598,8 @@ 1 1 0 +0 +2 2 0x9f18 0x127a9f28 @@ -144486,6 +180608,8 @@ 1 0 0 +5 +3 1 0x1dad 0x1f8779a6 @@ -144495,6 +180619,8 @@ 0 0 1 +2 +1 0x2516 0x45e4057a 256 @@ -144502,6 +180628,8 @@ 0 0 0 +0 +0 3 0x3e3c 0xbc0e01c0 @@ -144510,6 +180638,8 @@ 0 0 0 +4 +0 3 0x3071 0x96897a4f @@ -144518,6 +180648,8 @@ 1 0 0 +4 +5 3 0x546e 0xb7abdf10 @@ -144526,6 +180658,8 @@ 1 0 0 +1 +2 2 0x65e5 0x49c85f26 @@ -144535,6 +180669,8 @@ 0 0 1 +4 +1 0x9ee5 0x436f0940 256 @@ -144542,6 +180678,8 @@ 0 0 0 +3 +3 4 0x6c6c 0x59054d83 @@ -144550,6 +180688,8 @@ 0 0 0 +2 +4 4 0xf9ac 0x927a5bc6 @@ -144559,6 +180699,8 @@ 1 0 3 +4 +3 0x405c 0x65cd80f8 256 @@ -144566,6 +180708,8 @@ 0 0 0 +3 +1 4 0x8ec7 0xc9d818c6 @@ -144574,6 +180718,8 @@ 0 0 0 +1 +4 3 0xa5bf 0xa627c200 @@ -144582,6 +180728,8 @@ 1 1 0 +5 +4 1 0x297c 0x81d69c10 @@ -144591,6 +180739,8 @@ 0 0 1 +5 +1 0x3c65 0xe62d40a3 256 @@ -144599,6 +180749,8 @@ 0 0 2 +0 +2 0x9a88 0x9b7f6f2 256 @@ -144607,6 +180759,8 @@ 1 0 4 +3 +4 0xf115 0x5656695d 256 @@ -144614,6 +180768,8 @@ 1 1 0 +4 +1 3 0xdde2 0xe11e4e1e @@ -144622,6 +180778,8 @@ 1 0 0 +5 +3 4 0xc4db 0xbb7419dc @@ -144630,6 +180788,8 @@ 1 1 0 +3 +1 4 0x576c 0xbf390b2b @@ -144638,6 +180798,8 @@ 1 0 0 +1 +3 4 0x8507 0x451d2773 @@ -144646,6 +180808,8 @@ 0 0 0 +3 +5 4 0x323c 0x12618da3 @@ -144654,6 +180818,8 @@ 0 0 0 +3 +4 1 0xd66c 0xd37fe7e8 @@ -144663,6 +180829,8 @@ 0 0 2 +4 +2 0xe237 0x25b0c238 256 @@ -144670,6 +180838,8 @@ 1 1 0 +5 +3 1 0x55c0 0x2669931 @@ -144679,6 +180849,8 @@ 0 0 4 +0 +4 0x8f51 0x791e08f8 256 @@ -144686,6 +180858,8 @@ 1 0 0 +3 +1 4 0xd6bf 0x73c82721 @@ -144695,6 +180869,8 @@ 0 0 1 +2 +1 0xcb60 0x664089a7 256 @@ -144702,6 +180878,8 @@ 0 0 0 +5 +1 1 0xb2be 0xcb0dee7f @@ -144710,6 +180888,8 @@ 1 1 0 +0 +4 4 0x59a9 0x84b79dd4 @@ -144718,6 +180898,8 @@ 1 1 0 +1 +1 4 0xc2c7 0xe87a0501 @@ -144726,6 +180908,8 @@ 0 0 0 +5 +3 4 0x563f 0xfd16767a @@ -144735,6 +180919,8 @@ 0 0 1 +2 +1 0xf77b 0x279a70d3 256 @@ -144743,6 +180929,8 @@ 0 0 4 +1 +4 0xefad 0x13826e8e 256 @@ -144750,6 +180938,8 @@ 0 0 0 +0 +1 2 0x8b6c 0x5ebf4e3c @@ -144758,6 +180948,8 @@ 0 0 0 +5 +2 4 0x72a8 0x3cf6fdaa @@ -144766,6 +180958,8 @@ 1 1 0 +5 +4 3 0x535e 0x4219ec5 @@ -144774,6 +180968,8 @@ 0 0 0 +5 +4 1 0x4763 0x3735bf08 @@ -144782,6 +180978,8 @@ 1 0 0 +4 +3 2 0x6a16 0x402891a0 @@ -144790,6 +180988,8 @@ 1 0 0 +3 +3 2 0x451d 0x2ed377cb @@ -144798,6 +180998,8 @@ 0 0 0 +0 +2 4 0xca9f 0x5617d2ed @@ -144807,6 +181009,8 @@ 1 0 2 +1 +2 0x4a84 0xde6c183e 256 @@ -144814,6 +181018,8 @@ 0 0 0 +1 +0 3 0xf47a 0xfa5f31cd @@ -144823,6 +181029,8 @@ 0 0 4 +1 +4 0xf04b 0xa279e6d8 256 @@ -144830,6 +181038,8 @@ 1 1 0 +0 +0 3 0x95c1 0x863c36d6 @@ -144838,6 +181048,8 @@ 1 1 0 +5 +3 1 0x8e4 0x64881d04 @@ -144846,6 +181058,8 @@ 1 1 0 +0 +4 1 0x67c2 0x4e02a3e0 @@ -144854,6 +181068,8 @@ 0 0 0 +2 +5 1 0x2cd8 0xd16c15f4 @@ -144862,6 +181078,8 @@ 1 1 0 +0 +5 1 0xec79 0x8e3baf58 @@ -144871,6 +181089,8 @@ 1 0 4 +1 +4 0x6980 0x724226cb 256 @@ -144878,6 +181098,8 @@ 1 0 0 +5 +3 3 0x1686 0x7922af88 @@ -144886,6 +181108,8 @@ 1 0 0 +2 +3 3 0xd67c 0x7cd6b069 @@ -144895,6 +181119,8 @@ 0 0 1 +1 +1 0xc089 0x3c508ba3 256 @@ -144902,6 +181128,8 @@ 0 0 0 +2 +0 1 0xed0a 0x5c57440c @@ -144910,6 +181138,8 @@ 1 1 0 +1 +5 2 0x54b 0x38415cf1 @@ -144918,6 +181148,8 @@ 1 1 0 +0 +4 1 0x5f13 0x4dcecfc @@ -144927,6 +181159,8 @@ 0 0 2 +1 +2 0xb0bc 0x7344f475 256 @@ -144934,6 +181168,8 @@ 1 1 0 +3 +0 1 0xb630 0xf0774e29 @@ -144942,6 +181178,8 @@ 1 1 0 +5 +4 1 0x65f1 0x9727cb64 @@ -144950,6 +181188,8 @@ 1 0 0 +2 +5 3 0x9dce 0x56c76c3 @@ -144958,6 +181198,8 @@ 0 0 0 +1 +0 3 0xcd7e 0x135f0e6a @@ -144966,6 +181208,8 @@ 1 1 0 +5 +4 1 0x17d4 0x170358d4 @@ -144974,6 +181218,8 @@ 0 0 0 +2 +3 3 0x31fd 0x3bf589d8 @@ -144982,6 +181228,8 @@ 0 0 0 +4 +1 2 0x51a1 0xd1482c80 @@ -144990,6 +181238,8 @@ 0 0 0 +3 +3 4 0x577e 0xbaec9cb1 @@ -144999,6 +181249,8 @@ 0 0 1 +0 +1 0x6c51 0x7c4b3024 256 @@ -145006,6 +181258,8 @@ 0 0 0 +0 +3 4 0x21bf 0xb62714c2 @@ -145014,6 +181268,8 @@ 1 0 0 +0 +0 2 0x403f 0xb89ebd7a @@ -145022,6 +181278,8 @@ 0 0 0 +5 +4 1 0x56c7 0x233dd0e0 @@ -145030,6 +181288,8 @@ 1 1 0 +1 +3 2 0x4db6 0xd90fcc16 @@ -145039,6 +181299,8 @@ 1 0 4 +1 +4 0xe0f 0xe780d1aa 256 @@ -145046,6 +181308,8 @@ 1 0 0 +5 +0 2 0xeb27 0x8792dab9 @@ -145054,6 +181318,8 @@ 0 0 0 +5 +3 3 0x500f 0x3618d719 @@ -145062,6 +181328,8 @@ 0 0 0 +3 +4 1 0xed9d 0x3a87e002 @@ -145071,6 +181339,8 @@ 1 0 2 +5 +2 0x52ef 0x55b28a76 256 @@ -145078,6 +181348,8 @@ 1 0 0 +1 +4 4 0x70e7 0xc12d2452 @@ -145086,6 +181358,8 @@ 0 0 0 +2 +5 4 0x4e3c 0xa90d192a @@ -145095,6 +181369,8 @@ 0 0 1 +1 +1 0xd7e6 0xbc2e972c 256 @@ -145102,6 +181378,8 @@ 1 0 0 +3 +3 1 0x8919 0x29759ede @@ -145110,6 +181388,8 @@ 1 1 0 +0 +2 1 0xae96 0x90c2b883 @@ -145118,6 +181398,8 @@ 1 1 0 +1 +1 2 0x8db6 0x32897c06 @@ -145126,6 +181408,8 @@ 1 1 0 +0 +2 1 0x961e 0x17cd5b38 @@ -145134,6 +181418,8 @@ 0 0 0 +0 +2 3 0x7c28 0xc1720400 @@ -145142,6 +181428,8 @@ 0 0 0 +1 +3 3 0xc171 0x388fa0a5 @@ -145150,6 +181438,8 @@ 1 1 0 +2 +3 3 0xe3fe 0x1c429b0c @@ -145158,6 +181448,8 @@ 1 0 0 +3 +3 2 0x8b0d 0x7e06fdc2 @@ -145166,6 +181458,8 @@ 1 0 0 +5 +5 2 0xde0a 0x1342d81b @@ -145174,6 +181468,8 @@ 0 0 0 +0 +5 4 0x50d9 0xa79757cc @@ -145182,6 +181478,8 @@ 0 0 0 +5 +4 3 0xa5d4 0xe4a6be04 @@ -145190,6 +181488,8 @@ 1 0 0 +1 +1 3 0xf192 0xad05e292 @@ -145198,6 +181498,8 @@ 0 0 0 +2 +1 1 0x4bd8 0x42a447af @@ -145207,6 +181509,8 @@ 0 0 1 +4 +1 0x6ed8 0x438b4b7c 256 @@ -145214,6 +181518,8 @@ 1 1 0 +5 +5 4 0x38a2 0x6085246c @@ -145222,6 +181528,8 @@ 1 0 0 +1 +2 4 0x203e 0x59be7304 @@ -145231,6 +181539,8 @@ 0 0 3 +3 +3 0x4c1b 0x7fd6ea73 256 @@ -145238,6 +181548,8 @@ 1 1 0 +4 +0 2 0x9e89 0xde1af143 @@ -145246,6 +181558,8 @@ 1 0 0 +4 +2 3 0xbf45 0x25246f90 @@ -145254,6 +181568,8 @@ 1 1 0 +0 +4 2 0x4460 0x446a5f75 @@ -145262,6 +181578,8 @@ 0 0 0 +4 +2 2 0x4852 0x18aa934b @@ -145270,6 +181588,8 @@ 1 0 0 +2 +1 1 0xc476 0xa880e583 @@ -145278,6 +181598,8 @@ 1 0 0 +3 +3 1 0xe1bd 0x5ca8e731 @@ -145286,6 +181608,8 @@ 1 1 0 +2 +0 3 0xd69a 0x7ca8ed73 @@ -145295,6 +181619,8 @@ 1 0 1 +4 +1 0x9e6 0xc1130396 256 @@ -145302,6 +181628,8 @@ 0 0 0 +4 +5 2 0x4d28 0xad730568 @@ -145310,6 +181638,8 @@ 1 1 0 +5 +3 3 0x27d7 0x84ee7652 @@ -145318,6 +181648,8 @@ 1 1 0 +0 +3 1 0xfb8d 0xfce55131 @@ -145327,6 +181659,8 @@ 1 0 3 +1 +3 0x4262 0x9c5e24fa 256 @@ -145334,6 +181668,8 @@ 1 1 0 +0 +3 4 0x8122 0x58cecd11 @@ -145343,6 +181679,8 @@ 0 0 3 +1 +3 0xad66 0xd07b9012 256 @@ -145350,6 +181688,8 @@ 0 0 0 +1 +2 3 0xa566 0x9749a5fb @@ -145358,6 +181698,8 @@ 1 1 0 +0 +0 3 0xe5bc 0x2908962a @@ -145366,6 +181708,8 @@ 0 0 0 +4 +4 3 0xbe05 0xc084948d @@ -145374,6 +181718,8 @@ 0 0 0 +2 +5 3 0x2207 0xe4918713 @@ -145382,6 +181728,8 @@ 1 1 0 +5 +2 1 0x4f56 0x602d8af4 @@ -145390,6 +181738,8 @@ 1 0 0 +5 +2 2 0xe529 0xa1fc2910 @@ -145398,6 +181748,8 @@ 0 0 0 +5 +2 2 0x375e 0xb3829c23 @@ -145406,6 +181758,8 @@ 1 1 0 +3 +5 4 0x1b49 0x832476c3 @@ -145414,6 +181768,8 @@ 1 1 0 +5 +1 4 0x96e9 0xca31ec15 @@ -145422,6 +181778,8 @@ 0 0 0 +2 +1 3 0x842d 0xdd992930 @@ -145430,6 +181788,8 @@ 1 1 0 +5 +1 4 0x1341 0x9ae9d4dc @@ -145438,6 +181798,8 @@ 1 1 0 +2 +3 3 0xdd8f 0x9a43d3be @@ -145446,6 +181808,8 @@ 1 1 0 +4 +1 3 0x8eab 0xaf11dcdf @@ -145454,6 +181818,8 @@ 0 0 0 +0 +1 4 0xa680 0x8001db52 @@ -145462,6 +181828,8 @@ 1 0 0 +4 +5 2 0xe8d8 0xd7f4c43b @@ -145470,6 +181838,8 @@ 1 0 0 +1 +0 4 0xd63f 0x2c339db6 @@ -145478,6 +181848,8 @@ 1 0 0 +4 +5 2 0xdc45 0x654d1dc1 @@ -145486,6 +181858,8 @@ 1 1 0 +0 +2 2 0x47aa 0xb1564415 @@ -145495,6 +181869,8 @@ 0 0 1 +0 +1 0x53f 0x24cea4d6 256 @@ -145502,6 +181878,8 @@ 1 1 0 +1 +5 4 0x7a70 0xdd1b351b @@ -145510,6 +181888,8 @@ 1 1 0 +1 +0 3 0xf1cf 0xd57bc985 @@ -145518,6 +181898,8 @@ 1 0 0 +4 +0 1 0x569a 0x4c2492d @@ -145526,6 +181908,8 @@ 1 1 0 +5 +3 3 0x5a82 0x2855939f @@ -145534,6 +181918,8 @@ 1 1 0 +4 +3 3 0xebfd 0xaebd588e @@ -145542,6 +181928,8 @@ 1 1 0 +2 +1 4 0xe1cc 0x7df5c1a6 @@ -145550,6 +181938,8 @@ 0 0 0 +5 +0 3 0x2477 0xaeafe817 @@ -145558,6 +181948,8 @@ 1 0 0 +4 +4 1 0xf186 0xf0975651 @@ -145566,6 +181958,8 @@ 0 0 0 +5 +2 1 0xbcef 0x4f53ec0a @@ -145574,6 +181968,8 @@ 1 0 0 +1 +4 3 0x4ce9 0x34bb3557 @@ -145582,6 +181978,8 @@ 1 1 0 +5 +0 1 0xc74a 0x155c367b @@ -145590,6 +181988,8 @@ 0 0 0 +3 +3 4 0xb49f 0x8d24d2d0 @@ -145598,6 +181998,8 @@ 0 0 0 +0 +2 4 0x5ca6 0xc9c02261 @@ -145607,6 +182009,8 @@ 0 0 4 +2 +4 0x6157 0x52cf5e68 256 @@ -145614,6 +182018,8 @@ 0 0 0 +4 +0 2 0x605 0xde791110 @@ -145623,6 +182029,8 @@ 1 0 4 +1 +4 0x80f1 0xaba8670 256 @@ -145630,6 +182038,8 @@ 1 0 0 +2 +2 1 0xb047 0x5be185ab @@ -145638,6 +182048,8 @@ 1 1 0 +3 +0 4 0xdd2e 0xd8e02102 @@ -145646,6 +182058,8 @@ 0 0 0 +4 +4 2 0xf77b 0xd62cfaf7 @@ -145654,6 +182068,8 @@ 1 0 0 +3 +3 2 0x30fb 0xee4e7432 @@ -145663,6 +182079,8 @@ 0 0 3 +3 +3 0x7188 0x27682b67 256 @@ -145670,6 +182088,8 @@ 0 0 0 +4 +3 3 0x5a05 0x1c1f5fd1 @@ -145678,6 +182098,8 @@ 0 0 0 +2 +1 3 0x133c 0xaf0e8952 @@ -145686,6 +182108,8 @@ 1 0 0 +1 +0 4 0xf256 0x5dcc7ef @@ -145695,6 +182119,8 @@ 0 0 2 +5 +2 0x3b0b 0xe8b6b32 256 @@ -145702,6 +182128,8 @@ 0 0 0 +5 +1 3 0xb1af 0x2366d268 @@ -145710,6 +182138,8 @@ 1 1 0 +5 +1 4 0xbc07 0xe12eeb90 @@ -145718,6 +182148,8 @@ 1 1 0 +2 +3 4 0xf5a0 0xefde5703 @@ -145726,6 +182158,8 @@ 1 1 0 +2 +4 1 0x21d8 0x5ac5fb3a @@ -145734,6 +182168,8 @@ 0 0 0 +1 +2 2 0x94c9 0x54d4115a @@ -145742,6 +182178,8 @@ 1 1 0 +0 +0 3 0xb883 0x5aeb940a @@ -145750,6 +182188,8 @@ 0 0 0 +1 +1 4 0x8b2c 0x1476db42 @@ -145758,6 +182198,8 @@ 0 0 0 +1 +3 3 0x4ffa 0xd572521e @@ -145766,6 +182208,8 @@ 0 0 0 +0 +1 4 0x4de3 0xc68fa431 @@ -145774,6 +182218,8 @@ 1 0 0 +4 +0 1 0x37be 0x909152ab @@ -145783,6 +182229,8 @@ 0 0 1 +1 +1 0x604 0x48a8574f 256 @@ -145791,6 +182239,8 @@ 1 0 1 +2 +1 0x45bc 0xa6d37079 256 @@ -145798,6 +182248,8 @@ 0 0 0 +5 +4 2 0x669 0x93a8f7bc @@ -145806,6 +182258,8 @@ 1 1 0 +2 +0 1 0xf1ac 0x43fe60d0 @@ -145815,6 +182269,8 @@ 1 0 3 +2 +3 0x5da1 0xc19d8184 256 @@ -145823,6 +182279,8 @@ 0 0 3 +4 +3 0x5594 0xbda3e883 256 @@ -145830,6 +182288,8 @@ 1 0 0 +0 +5 2 0xd63 0x35b39f71 @@ -145838,6 +182298,8 @@ 0 0 0 +3 +3 2 0x47e4 0x79863d21 @@ -145846,6 +182308,8 @@ 1 1 0 +3 +0 1 0x9d8a 0x171cb739 @@ -145855,6 +182319,8 @@ 1 0 3 +4 +3 0x165b 0x63cf88ae 256 @@ -145862,6 +182328,8 @@ 0 0 0 +0 +4 1 0x422 0xc80a7a65 @@ -145870,6 +182338,8 @@ 0 0 0 +0 +1 4 0xea7 0xf14227cb @@ -145878,6 +182348,8 @@ 0 0 0 +0 +1 4 0x54f9 0x9b96ba60 @@ -145886,6 +182358,8 @@ 1 0 0 +5 +0 3 0x233e 0x162aec50 @@ -145894,6 +182368,8 @@ 1 0 0 +0 +5 4 0xa21f 0xb528e3f3 @@ -145902,6 +182378,8 @@ 0 0 0 +5 +1 1 0x1f70 0xa55af4e4 @@ -145910,6 +182388,8 @@ 0 0 0 +5 +1 4 0x20c8 0x89168e0d @@ -145918,6 +182398,8 @@ 1 1 0 +2 +3 3 0xc49d 0x26114161 @@ -145926,6 +182408,8 @@ 1 0 0 +5 +4 3 0xbeec 0x23a5cc11 @@ -145934,6 +182418,8 @@ 0 0 0 +4 +5 1 0x89a2 0x16e66f8d @@ -145942,6 +182428,8 @@ 1 0 0 +2 +2 3 0x6c7 0xa2256be6 @@ -145950,6 +182438,8 @@ 1 0 0 +2 +3 4 0x3f18 0x7d353bd6 @@ -145958,6 +182448,8 @@ 1 1 0 +3 +2 4 0xd979 0x893040b2 @@ -145966,6 +182458,8 @@ 1 1 0 +5 +1 3 0xdc55 0x71e98adc @@ -145975,6 +182469,8 @@ 0 0 2 +1 +2 0x47fd 0xb8a0a082 256 @@ -145982,6 +182478,8 @@ 1 1 0 +5 +2 1 0x2c1a 0x9004724c @@ -145990,6 +182488,8 @@ 1 0 0 +5 +1 4 0xfa09 0x77964957 @@ -145998,6 +182498,8 @@ 1 1 0 +3 +2 1 0xfceb 0xa607ab1f @@ -146006,6 +182508,8 @@ 1 0 0 +3 +5 4 0x940d 0xeef35620 @@ -146015,6 +182519,8 @@ 0 0 2 +3 +2 0xfdb7 0x18783ce5 256 @@ -146022,6 +182528,8 @@ 1 1 0 +4 +5 2 0xf6a0 0x2f88c10d @@ -146030,6 +182538,8 @@ 0 0 0 +5 +3 4 0x36c1 0x5b97aea8 @@ -146038,6 +182548,8 @@ 0 0 0 +4 +1 2 0x298d 0x5554b6bc @@ -146047,6 +182559,8 @@ 0 0 2 +0 +2 0x6e1d 0x82761202 256 @@ -146054,6 +182568,8 @@ 0 0 0 +1 +4 2 0xb0f5 0xb8cf16c0 @@ -146063,6 +182579,8 @@ 0 0 4 +5 +4 0x2615 0xaa9812dc 256 @@ -146070,6 +182588,8 @@ 0 0 0 +5 +1 2 0x5fad 0xe8814df4 @@ -146078,6 +182598,8 @@ 0 0 0 +5 +3 2 0x4952 0xeaf448b3 @@ -146086,6 +182608,8 @@ 1 0 0 +0 +1 4 0x46fe 0x3d0c92b0 @@ -146095,6 +182619,8 @@ 1 0 1 +0 +1 0xbf4f 0x7684563d 256 @@ -146102,6 +182628,8 @@ 0 0 0 +2 +1 1 0x8136 0xd8bed6aa @@ -146110,6 +182638,8 @@ 0 0 0 +2 +1 4 0x6be1 0x20bd4e63 @@ -146119,6 +182649,8 @@ 0 0 4 +0 +4 0x56bc 0xa6edfb5d 256 @@ -146126,6 +182658,8 @@ 1 1 0 +5 +2 1 0x5a1e 0xcbb68944 @@ -146135,6 +182669,8 @@ 0 0 1 +5 +1 0x1e0c 0xf2791cf7 256 @@ -146142,6 +182678,8 @@ 0 0 0 +5 +1 3 0x60c 0x27ee6e72 @@ -146150,6 +182688,8 @@ 0 0 0 +2 +4 4 0x53d0 0x77b289c6 @@ -146158,6 +182698,8 @@ 1 0 0 +5 +4 4 0xce62 0x6e06ed21 @@ -146167,6 +182709,8 @@ 1 0 1 +3 +1 0x8a81 0xd27d2e03 256 @@ -146174,6 +182718,8 @@ 1 1 0 +2 +5 1 0x4ecc 0xac68f57f @@ -146182,6 +182728,8 @@ 0 0 0 +3 +2 4 0x7f35 0xaf32f5f1 @@ -146190,6 +182738,8 @@ 0 0 0 +4 +4 1 0x2201 0x9934b1b8 @@ -146198,6 +182748,8 @@ 0 0 0 +4 +4 3 0xebe6 0xef72e16d @@ -146206,6 +182758,8 @@ 0 0 0 +1 +0 2 0x131 0x65791c28 @@ -146214,6 +182768,8 @@ 0 0 0 +4 +0 3 0xd6f0 0x5b7a78a1 @@ -146222,6 +182778,8 @@ 0 0 0 +1 +4 2 0x5a1d 0xbae6fdac @@ -146230,6 +182788,8 @@ 0 0 0 +4 +5 2 0xad42 0xa564238b @@ -146238,6 +182798,8 @@ 1 1 0 +1 +0 3 0x5137 0x76ee5be2 @@ -146247,6 +182809,8 @@ 0 0 4 +5 +4 0xbb1f 0x90052522 256 @@ -146254,6 +182818,8 @@ 1 0 0 +3 +4 4 0x8a30 0x6be16ef8 @@ -146262,6 +182828,8 @@ 0 0 0 +1 +5 2 0xf27c 0x73f94fd0 @@ -146270,6 +182838,8 @@ 1 1 0 +1 +3 4 0x8f71 0x107abe79 @@ -146278,6 +182848,8 @@ 0 0 0 +3 +4 2 0xfbd0 0xe0e796bb @@ -146286,6 +182858,8 @@ 1 0 0 +5 +0 1 0xabd4 0x9de5141f @@ -146295,6 +182869,8 @@ 1 0 3 +3 +3 0x1e7 0x1e08117a 256 @@ -146302,6 +182878,8 @@ 1 1 0 +4 +1 1 0x819b 0x7714daf4 @@ -146311,6 +182889,8 @@ 0 0 4 +0 +4 0xc488 0xb3205977 256 @@ -146319,6 +182899,8 @@ 1 0 2 +0 +2 0x7570 0xb5f805fc 256 @@ -146326,6 +182908,8 @@ 1 0 0 +4 +2 1 0xd469 0xd2a3ad9e @@ -146335,6 +182919,8 @@ 0 0 1 +0 +1 0x6319 0x5df5258a 256 @@ -146342,6 +182928,8 @@ 0 0 0 +4 +2 1 0xded6 0x26a4b165 @@ -146350,6 +182938,8 @@ 1 0 0 +3 +5 1 0xcd91 0x4f30d1a3 @@ -146358,6 +182948,8 @@ 0 0 0 +0 +5 3 0x12e0 0x4e1cf71a @@ -146367,6 +182959,8 @@ 0 0 4 +3 +4 0x4471 0x7f4827a2 256 @@ -146374,6 +182968,8 @@ 0 0 0 +5 +4 2 0x8a80 0xf1739dd6 @@ -146383,6 +182979,8 @@ 1 0 4 +4 +4 0x3b7d 0x37a5311b 256 @@ -146390,6 +182988,8 @@ 1 0 0 +4 +3 3 0x7ee0 0x2315328c @@ -146399,6 +182999,8 @@ 0 0 3 +5 +3 0xfedb 0x15cf4b15 256 @@ -146406,6 +183008,8 @@ 0 0 0 +4 +4 2 0xa8f0 0x7f24876 @@ -146414,6 +183018,8 @@ 1 0 0 +1 +2 4 0x9655 0x618dd814 @@ -146422,6 +183028,8 @@ 0 0 0 +2 +2 3 0x5c8f 0x98132a8f @@ -146431,6 +183039,8 @@ 0 0 3 +5 +3 0xbe76 0x88c07199 256 @@ -146439,6 +183049,8 @@ 0 0 4 +3 +4 0xd3bd 0xf0f0e124 256 @@ -146447,6 +183059,8 @@ 0 0 2 +4 +2 0x1c64 0xb05da965 256 @@ -146454,6 +183068,8 @@ 0 0 0 +4 +3 3 0x145f 0x252c2e06 @@ -146462,6 +183078,8 @@ 1 0 0 +2 +4 3 0x303d 0x23326080 @@ -146470,6 +183088,8 @@ 0 0 0 +4 +5 1 0x5919 0xc371718e @@ -146478,6 +183098,8 @@ 1 0 0 +0 +5 2 0x9419 0x67fa92c4 @@ -146486,6 +183108,8 @@ 1 1 0 +5 +2 1 0x1ba7 0x77894984 @@ -146494,6 +183118,8 @@ 1 1 0 +3 +1 4 0xeec7 0x779aa2ee @@ -146502,6 +183128,8 @@ 0 0 0 +2 +1 3 0xdad1 0x5fe38048 @@ -146510,6 +183138,8 @@ 0 0 0 +5 +2 1 0xf3e1 0x4de1b8b1 @@ -146518,6 +183148,8 @@ 0 0 0 +1 +4 4 0x7f2e 0x620be0bd @@ -146526,6 +183158,8 @@ 0 0 0 +4 +4 3 0xac84 0xc18cca15 @@ -146534,6 +183168,8 @@ 0 0 0 +3 +0 2 0xc3da 0x687b5fe6 @@ -146542,6 +183178,8 @@ 1 0 0 +3 +5 1 0x7b0 0xef581b12 @@ -146550,6 +183188,8 @@ 0 0 0 +0 +2 1 0x6602 0xa4c16007 @@ -146558,6 +183198,8 @@ 1 0 0 +2 +1 1 0x1665 0x723266ba @@ -146566,6 +183208,8 @@ 0 0 0 +5 +4 4 0x3cb4 0x26dd0bf @@ -146574,6 +183218,8 @@ 1 1 0 +1 +0 2 0x7a7 0xad3de3e4 @@ -146582,6 +183228,8 @@ 0 0 0 +5 +2 3 0x6048 0xa59e3b45 @@ -146590,6 +183238,8 @@ 1 1 0 +2 +1 3 0xde5b 0xcf1ecd4e @@ -146598,6 +183248,8 @@ 1 1 0 +5 +0 3 0xe46d 0xed77fd91 @@ -146606,6 +183258,8 @@ 1 1 0 +4 +1 2 0xef10 0x1f8ea9bd @@ -146614,6 +183268,8 @@ 0 0 0 +5 +0 1 0x9ab7 0xb2675fc1 @@ -146622,6 +183278,8 @@ 0 0 0 +0 +3 4 0x74fb 0xcbcafeeb @@ -146630,6 +183288,8 @@ 1 0 0 +4 +1 1 0xddcd 0x9239bb65 @@ -146639,6 +183299,8 @@ 0 0 3 +1 +3 0xdb09 0x239f2521 256 @@ -146646,6 +183308,8 @@ 1 0 0 +0 +1 1 0xfd53 0xc9c592b4 @@ -146654,6 +183318,8 @@ 1 1 0 +5 +2 2 0xa9d 0xadc0f894 @@ -146662,6 +183328,8 @@ 1 0 0 +5 +1 1 0x2196 0x82a0520d @@ -146671,6 +183339,8 @@ 0 0 1 +2 +1 0x63d1 0xb7bd17c7 256 @@ -146679,6 +183349,8 @@ 0 0 1 +2 +1 0xa6b8 0x35365ab8 256 @@ -146686,6 +183358,8 @@ 1 0 0 +2 +4 1 0x8663 0x914d9589 @@ -146694,6 +183368,8 @@ 0 0 0 +0 +0 3 0xe9b7 0x9edcebd3 @@ -146702,6 +183378,8 @@ 1 0 0 +5 +0 4 0x8665 0x7e6041fd @@ -146710,6 +183388,8 @@ 0 0 0 +5 +2 2 0xfdaf 0x7c311feb @@ -146718,6 +183398,8 @@ 0 0 0 +4 +3 1 0x2387 0xb9829870 @@ -146727,6 +183409,8 @@ 0 0 4 +5 +4 0x93bd 0x6477d45 256 @@ -146734,6 +183418,8 @@ 1 0 0 +0 +3 3 0x2ba4 0x1828e66a @@ -146742,6 +183428,8 @@ 0 0 0 +3 +1 2 0xa17 0xb7db8c0a @@ -146750,6 +183438,8 @@ 1 0 0 +3 +4 2 0xc952 0x63833183 @@ -146758,6 +183448,8 @@ 0 0 0 +4 +1 1 0x5a4f 0xf1e8ab7 @@ -146766,6 +183458,8 @@ 0 0 0 +0 +0 3 0xb1bf 0x93e90cb7 @@ -146774,6 +183468,8 @@ 1 1 0 +1 +3 4 0x5202 0xe7c5dc87 @@ -146782,6 +183478,8 @@ 0 0 0 +5 +1 2 0x8cdb 0x94d859e2 @@ -146791,6 +183489,8 @@ 0 0 2 +0 +2 0x4cf6 0xc1d95762 256 @@ -146798,6 +183498,8 @@ 0 0 0 +2 +0 1 0x244d 0x27a02918 @@ -146807,6 +183509,8 @@ 0 0 1 +4 +1 0x1387 0x80e1f274 256 @@ -146814,6 +183518,8 @@ 1 0 0 +3 +3 4 0xf52a 0xd6a5bb64 @@ -146823,6 +183529,8 @@ 1 0 3 +5 +3 0xdb57 0xf1d374ae 256 @@ -146831,6 +183539,8 @@ 0 0 1 +3 +1 0xf2eb 0x2bb20178 256 @@ -146838,6 +183548,8 @@ 1 1 0 +5 +4 1 0xde1d 0xa15ec356 @@ -146847,6 +183559,8 @@ 1 0 4 +1 +4 0xb05e 0x60e0f611 256 @@ -146854,6 +183568,8 @@ 1 0 0 +5 +3 2 0x40fe 0x804a42ec @@ -146862,6 +183578,8 @@ 1 1 0 +2 +2 4 0x36b8 0x2a921abd @@ -146870,6 +183588,8 @@ 0 0 0 +3 +0 4 0x13be 0x7a0a7904 @@ -146878,6 +183598,8 @@ 0 0 0 +5 +2 2 0x269d 0x364b81f8 @@ -146886,6 +183608,8 @@ 0 0 0 +5 +3 4 0x4b7 0x2f5e11f9 @@ -146895,6 +183619,8 @@ 0 0 3 +3 +3 0xaf09 0x66c2ffc8 256 @@ -146902,6 +183628,8 @@ 0 0 0 +3 +5 1 0x2e1f 0xb87704c5 @@ -146910,6 +183638,8 @@ 1 0 0 +5 +5 1 0x3f2a 0xd179d891 @@ -146919,6 +183649,8 @@ 1 0 2 +1 +2 0x8081 0x955fa37f 256 @@ -146926,6 +183658,8 @@ 1 0 0 +1 +0 4 0x27d4 0xa198ce01 @@ -146934,6 +183668,8 @@ 0 0 0 +0 +0 2 0x2fea 0xf20e5077 @@ -146942,6 +183678,8 @@ 0 0 0 +4 +4 1 0xde8c 0x6c42fa8d @@ -146951,6 +183689,8 @@ 0 0 3 +2 +3 0xad14 0xb096845c 256 @@ -146958,6 +183698,8 @@ 0 0 0 +2 +4 1 0xaa9e 0xb81933de @@ -146966,6 +183708,8 @@ 1 1 0 +5 +1 1 0xd900 0x51ddff5e @@ -146974,6 +183718,8 @@ 1 1 0 +5 +5 4 0x68c7 0xd7a97cd8 @@ -146982,6 +183728,8 @@ 1 1 0 +1 +1 4 0x2df3 0xb247db3e @@ -146990,6 +183738,8 @@ 1 0 0 +0 +2 2 0x53a4 0x5a1ee874 @@ -146998,6 +183748,8 @@ 1 1 0 +2 +3 1 0x7a23 0x8220d908 @@ -147006,6 +183758,8 @@ 0 0 0 +1 +5 3 0xd4d9 0x8dfb2b29 @@ -147014,6 +183768,8 @@ 1 1 0 +5 +0 2 0xf60c 0x453ce2fa @@ -147022,6 +183778,8 @@ 0 0 0 +4 +5 2 0x40a9 0x6032cd9f @@ -147031,6 +183789,8 @@ 0 0 4 +4 +4 0x6e14 0x9006de3d 256 @@ -147038,6 +183798,8 @@ 1 1 0 +3 +1 4 0x249a 0x807225f @@ -147046,6 +183808,8 @@ 1 0 0 +5 +4 2 0x8557 0xff6c6fd6 @@ -147055,6 +183819,8 @@ 0 0 3 +0 +3 0x9557 0xbed16bda 256 @@ -147062,6 +183828,8 @@ 0 0 0 +0 +2 2 0x5a02 0x85752482 @@ -147070,6 +183838,8 @@ 0 0 0 +2 +2 4 0xaf91 0xbb155fb @@ -147078,6 +183848,8 @@ 0 0 0 +2 +3 4 0xdef1 0x34013b62 @@ -147086,6 +183858,8 @@ 0 0 0 +5 +3 1 0xc630 0x9de1d1e0 @@ -147094,6 +183868,8 @@ 1 0 0 +3 +4 4 0xac23 0x58061d50 @@ -147102,6 +183878,8 @@ 0 0 0 +3 +1 2 0x600 0x7de010b9 @@ -147110,6 +183888,8 @@ 1 0 0 +3 +2 1 0xa29e 0x2e9db557 @@ -147118,6 +183898,8 @@ 0 0 0 +1 +5 3 0x9499 0x31c8d875 @@ -147126,6 +183908,8 @@ 1 1 0 +4 +4 3 0x3c8 0x5a3bd76c @@ -147134,6 +183918,8 @@ 0 0 0 +0 +2 2 0x1bf2 0x50bea04a @@ -147142,6 +183928,8 @@ 0 0 0 +5 +3 1 0xcc09 0x2c0cb525 @@ -147150,6 +183938,8 @@ 0 0 0 +5 +4 3 0x7e7e 0xbd702b1 @@ -147158,6 +183948,8 @@ 1 1 0 +0 +0 2 0x9b5b 0x7e94ac92 @@ -147166,6 +183958,8 @@ 1 0 0 +4 +3 2 0x2dca 0xc870a98 @@ -147174,6 +183968,8 @@ 0 0 0 +5 +1 1 0x715b 0x359fc9f0 @@ -147182,6 +183978,8 @@ 0 0 0 +2 +3 4 0xc94f 0x65130cf8 @@ -147190,6 +183988,8 @@ 1 0 0 +5 +0 2 0x3a8c 0x21b0d127 @@ -147198,6 +183998,8 @@ 0 0 0 +0 +2 4 0x3997 0x3c47ccd4 @@ -147206,6 +184008,8 @@ 0 0 0 +3 +2 1 0xa945 0xd836ac14 @@ -147214,6 +184018,8 @@ 1 1 0 +3 +5 4 0x403b 0xe12f1970 @@ -147222,6 +184028,8 @@ 1 0 0 +0 +1 1 0xe7b4 0x6c96abf0 @@ -147231,6 +184039,8 @@ 0 0 4 +0 +4 0x1da 0xd2b33580 256 @@ -147238,6 +184048,8 @@ 1 1 0 +2 +1 3 0xf817 0x1e986e02 @@ -147246,6 +184058,8 @@ 1 0 0 +0 +2 3 0x50d 0x862c3fea @@ -147254,6 +184068,8 @@ 1 1 0 +2 +2 4 0x486f 0x10d97966 @@ -147262,6 +184078,8 @@ 1 1 0 +2 +3 4 0xe0ed 0x1187170e @@ -147270,6 +184088,8 @@ 0 0 0 +2 +3 4 0x6199 0x9b2c455e @@ -147278,6 +184098,8 @@ 0 0 0 +1 +5 4 0x8777 0x793d5b77 @@ -147286,6 +184108,8 @@ 0 0 0 +0 +0 1 0xac00 0x4f49df90 @@ -147294,6 +184118,8 @@ 0 0 0 +4 +3 2 0xd19f 0x2cb9e495 @@ -147302,6 +184128,8 @@ 0 0 0 +4 +0 3 0x7b49 0xef9379e1 @@ -147310,6 +184138,8 @@ 0 0 0 +4 +2 1 0x52fa 0xa3f826cf @@ -147319,6 +184149,8 @@ 0 0 1 +5 +1 0x58be 0x5e68d89b 256 @@ -147326,6 +184158,8 @@ 0 0 0 +1 +2 4 0xdf5a 0xfc8902eb @@ -147334,6 +184168,8 @@ 1 0 0 +0 +3 4 0xd36b 0x48aab23c @@ -147342,6 +184178,8 @@ 0 0 0 +4 +5 2 0x4db3 0x1bd4db38 @@ -147350,6 +184188,8 @@ 0 0 0 +5 +5 3 0x92dc 0x600606d5 @@ -147358,6 +184198,8 @@ 1 1 0 +0 +4 1 0xd03f 0x8879c2f3 @@ -147366,6 +184208,8 @@ 1 0 0 +0 +5 3 0xf48 0xb00f5e20 @@ -147374,6 +184218,8 @@ 1 0 0 +4 +5 3 0xca72 0x6cfaa2cb @@ -147383,6 +184229,8 @@ 0 0 1 +0 +1 0x3b26 0x85fd5079 256 @@ -147390,6 +184238,8 @@ 1 0 0 +0 +1 1 0x9f15 0xfcc4fb9b @@ -147399,6 +184249,8 @@ 0 0 2 +3 +2 0x4444 0xa62f473d 256 @@ -147406,6 +184258,8 @@ 0 0 0 +1 +0 2 0x71af 0x35ad9c9d @@ -147414,6 +184268,8 @@ 0 0 0 +5 +0 3 0xfb43 0xf3273a56 @@ -147422,6 +184278,8 @@ 0 0 0 +0 +2 3 0x57c8 0x1275beef @@ -147430,6 +184288,8 @@ 0 0 0 +5 +1 3 0x149d 0x39bdae0c @@ -147438,6 +184298,8 @@ 0 0 0 +0 +2 4 0x6b9f 0xe0dc9cfe @@ -147446,6 +184308,8 @@ 1 0 0 +5 +2 4 0xf075 0x3089f334 @@ -147454,6 +184318,8 @@ 1 1 0 +2 +5 3 0xbd96 0x4dce013b @@ -147462,6 +184328,8 @@ 1 0 0 +5 +5 4 0xfd93 0xeacddc63 @@ -147470,6 +184338,8 @@ 1 0 0 +5 +2 3 0x6fc 0x8d42c03c @@ -147478,6 +184348,8 @@ 0 0 0 +0 +3 2 0xff16 0xdaa08b97 @@ -147486,6 +184358,8 @@ 0 0 0 +4 +3 3 0x84 0xbefecf16 @@ -147494,6 +184368,8 @@ 1 0 0 +4 +4 3 0xc9b6 0x7cce925f @@ -147502,6 +184378,8 @@ 0 0 0 +0 +5 1 0xa47c 0x138e7cf8 @@ -147510,6 +184388,8 @@ 0 0 0 +1 +4 2 0x1bc1 0x23555077 @@ -147518,6 +184398,8 @@ 1 0 0 +2 +4 4 0x6851 0xd2777fce @@ -147526,6 +184408,8 @@ 1 1 0 +2 +0 1 0x459 0xc0bd712d @@ -147535,6 +184419,8 @@ 0 0 3 +4 +3 0x6ed4 0x4c887467 256 @@ -147542,6 +184428,8 @@ 0 0 0 +5 +0 3 0x6fe1 0x88ccccf2 @@ -147550,6 +184438,8 @@ 0 0 0 +3 +1 2 0x6118 0x6de41198 @@ -147558,6 +184448,8 @@ 1 1 0 +4 +2 2 0x86ce 0x95dd1063 @@ -147566,6 +184458,8 @@ 0 0 0 +4 +2 2 0x8550 0xef2634d2 @@ -147575,6 +184469,8 @@ 1 0 1 +2 +1 0x75b3 0x25498f4f 256 @@ -147582,6 +184478,8 @@ 0 0 0 +5 +3 3 0xfa7e 0xe8ee40b4 @@ -147590,6 +184488,8 @@ 1 0 0 +4 +2 2 0xff0d 0xdd12db27 @@ -147598,6 +184498,8 @@ 1 1 0 +5 +5 4 0x6bd2 0x996b9a50 @@ -147606,6 +184508,8 @@ 0 0 0 +1 +1 4 0x8c4a 0x819a04f3 @@ -147614,6 +184518,8 @@ 0 0 0 +1 +3 3 0xf812 0x41033e59 @@ -147622,6 +184528,8 @@ 0 0 0 +2 +1 1 0x1584 0xe6467b1e @@ -147630,6 +184538,8 @@ 1 0 0 +1 +3 4 0x58cc 0x52d143e5 @@ -147638,6 +184548,8 @@ 0 0 0 +3 +0 2 0x3446 0x990b64e5 @@ -147647,6 +184559,8 @@ 0 0 4 +4 +4 0xc14e 0xd0f3ad05 256 @@ -147654,6 +184568,8 @@ 1 0 0 +5 +0 2 0x44e8 0x2bd2fe78 @@ -147662,6 +184578,8 @@ 0 0 0 +5 +0 1 0x5e42 0xf92fff1 @@ -147670,6 +184588,8 @@ 1 0 0 +0 +5 4 0x6503 0x87ef3261 @@ -147678,6 +184598,8 @@ 0 0 0 +4 +2 2 0x9d2e 0x8bf3b304 @@ -147686,6 +184608,8 @@ 1 0 0 +3 +1 1 0xd6da 0xccdc7b3a @@ -147694,6 +184618,8 @@ 1 1 0 +0 +4 3 0xee96 0xdf6b5d02 @@ -147702,6 +184628,8 @@ 0 0 0 +5 +3 3 0xcd8f 0x6c08df87 @@ -147710,6 +184638,8 @@ 1 1 0 +0 +4 2 0x2059 0xc302f49d @@ -147718,6 +184648,8 @@ 0 0 0 +3 +2 2 0x7a75 0x4f2d8618 @@ -147726,6 +184658,8 @@ 1 1 0 +5 +0 1 0xd630 0x29599039 @@ -147735,6 +184669,8 @@ 0 0 3 +4 +3 0x49c9 0xe9d21002 256 @@ -147743,6 +184679,8 @@ 0 0 3 +2 +3 0x665b 0xf7ea4bf8 256 @@ -147750,6 +184688,8 @@ 0 0 0 +1 +0 3 0x8fdd 0xca22f042 @@ -147758,6 +184698,8 @@ 1 1 0 +5 +2 3 0xc41c 0x5d462778 @@ -147766,6 +184708,8 @@ 0 0 0 +0 +0 4 0xc1c2 0x65a6a178 @@ -147774,6 +184718,8 @@ 0 0 0 +4 +5 3 0xdc7c 0x3f1baeff @@ -147782,6 +184728,8 @@ 1 1 0 +0 +2 2 0xe217 0x69f9482a @@ -147790,6 +184738,8 @@ 1 1 0 +4 +1 3 0xa006 0xc794a33d @@ -147798,6 +184748,8 @@ 1 1 0 +0 +1 1 0x993c 0x30a30969 @@ -147807,6 +184759,8 @@ 1 0 4 +0 +4 0x4e2e 0x6462493c 256 @@ -147814,6 +184768,8 @@ 1 1 0 +1 +2 2 0xb8a9 0x95ed2450 @@ -147822,6 +184778,8 @@ 1 0 0 +3 +4 1 0x14a3 0x4e3a7dea @@ -147831,6 +184789,8 @@ 0 0 4 +1 +4 0x28a1 0xcbeeed09 256 @@ -147838,6 +184798,8 @@ 0 0 0 +4 +5 1 0xff15 0x8798d94 @@ -147846,6 +184808,8 @@ 0 0 0 +2 +0 1 0xe897 0x8a385305 @@ -147854,6 +184818,8 @@ 0 0 0 +1 +3 4 0xc13b 0x92d2ba18 @@ -147862,6 +184828,8 @@ 1 1 0 +5 +2 1 0xd244 0x5091ff84 @@ -147870,6 +184838,8 @@ 1 0 0 +5 +5 3 0x955c 0xee61b695 @@ -147878,6 +184848,8 @@ 1 1 0 +2 +4 4 0x1327 0x4b575b3d @@ -147886,6 +184858,8 @@ 0 0 0 +5 +0 1 0x3616 0x2348570f @@ -147894,6 +184868,8 @@ 0 0 0 +0 +4 4 0xacb2 0x5dbbbf2 @@ -147903,6 +184879,8 @@ 0 0 4 +2 +4 0x1477 0xac18dfa0 256 @@ -147910,6 +184888,8 @@ 1 1 0 +3 +2 4 0x1d75 0xae9f6cd7 @@ -147918,6 +184898,8 @@ 1 1 0 +0 +4 2 0xf94d 0x7fba4c50 @@ -147926,6 +184908,8 @@ 0 0 0 +5 +3 2 0xe4ed 0xb3f7413e @@ -147934,6 +184918,8 @@ 0 0 0 +1 +2 3 0x9314 0x914da241 @@ -147943,6 +184929,8 @@ 0 0 3 +1 +3 0x7c30 0x6bfda13 256 @@ -147951,6 +184939,8 @@ 0 0 3 +5 +3 0x3f8a 0x39df2945 256 @@ -147958,6 +184948,8 @@ 0 0 0 +0 +2 4 0x9bc5 0xa8bb25f5 @@ -147966,6 +184958,8 @@ 1 0 0 +5 +5 3 0x2a3d 0x3ba04aa2 @@ -147974,6 +184968,8 @@ 1 1 0 +4 +3 3 0x203c 0x88076386 @@ -147982,6 +184978,8 @@ 1 0 0 +3 +4 2 0xa48a 0xb9ff1bfc @@ -147990,6 +184988,8 @@ 0 0 0 +3 +0 2 0x6af0 0x5893c422 @@ -147998,6 +184998,8 @@ 0 0 0 +5 +5 1 0xf078 0x3591782a @@ -148006,6 +185008,8 @@ 1 1 0 +3 +3 1 0x1d9c 0xcf09efa8 @@ -148014,6 +185018,8 @@ 1 0 0 +3 +3 1 0x98b9 0x6f1b3449 @@ -148022,6 +185028,8 @@ 0 0 0 +1 +2 3 0xb69a 0xbed7d221 @@ -148030,6 +185038,8 @@ 1 0 0 +2 +5 3 0xc580 0x1e8e55b3 @@ -148038,6 +185048,8 @@ 0 0 0 +2 +0 3 0x422 0xc81237e0 @@ -148046,6 +185058,8 @@ 1 0 0 +4 +1 2 0x2932 0xa4e5c929 @@ -148054,6 +185068,8 @@ 0 0 0 +4 +5 2 0x4c4c 0x1d0b994 @@ -148062,6 +185078,8 @@ 0 0 0 +5 +5 3 0x9a74 0x2ae4e250 @@ -148070,6 +185088,8 @@ 0 0 0 +5 +4 2 0x6194 0xa6d1f5d7 @@ -148078,6 +185098,8 @@ 1 0 0 +3 +4 1 0x6562 0x99e249c4 @@ -148086,6 +185108,8 @@ 0 0 0 +0 +1 3 0x5203 0x84e70b4a @@ -148094,6 +185118,8 @@ 0 0 0 +3 +4 1 0x58ad 0x9c65aaa1 @@ -148103,6 +185129,8 @@ 0 0 2 +0 +2 0x91ca 0xe468597a 256 @@ -148110,6 +185138,8 @@ 1 0 0 +3 +5 2 0x3dc6 0x4a1bead0 @@ -148118,6 +185148,8 @@ 1 0 0 +0 +1 3 0x8e92 0xa283a1bc @@ -148126,6 +185158,8 @@ 1 1 0 +5 +3 4 0x47c9 0x53ae73e3 @@ -148134,6 +185168,8 @@ 0 0 0 +5 +4 3 0x3828 0xb3cab932 @@ -148142,6 +185178,8 @@ 1 1 0 +1 +4 3 0x8e1d 0x28d9ebad @@ -148150,6 +185188,8 @@ 1 1 0 +5 +4 3 0xa81f 0x13cd1efa @@ -148159,6 +185199,8 @@ 1 0 1 +0 +1 0x42c9 0xe1b0c596 256 @@ -148166,6 +185208,8 @@ 1 1 0 +5 +2 1 0x7561 0xa3edf7d9 @@ -148175,6 +185219,8 @@ 1 0 3 +4 +3 0x30e9 0x304ed46e 256 @@ -148183,6 +185229,8 @@ 0 0 4 +3 +4 0x69af 0xca88d3fb 256 @@ -148190,6 +185238,8 @@ 0 0 0 +4 +2 2 0x6a11 0x36c50ed0 @@ -148198,6 +185248,8 @@ 1 1 0 +4 +4 2 0xbb46 0xe790876 @@ -148207,6 +185259,8 @@ 0 0 1 +3 +1 0x1028 0x370cee7c 256 @@ -148215,6 +185269,8 @@ 0 0 1 +4 +1 0xfc82 0x1dd4c581 256 @@ -148222,6 +185278,8 @@ 1 1 0 +4 +2 1 0xa147 0x310a409d @@ -148230,6 +185288,8 @@ 1 0 0 +4 +3 1 0x6398 0xa54b198d @@ -148238,6 +185298,8 @@ 1 0 0 +4 +0 2 0xa0ad 0x6d54195c @@ -148247,6 +185309,8 @@ 0 0 4 +4 +4 0x158a 0xe894ab42 256 @@ -148254,6 +185318,8 @@ 1 1 0 +5 +3 1 0x521b 0x58c74990 @@ -148262,6 +185328,8 @@ 0 0 0 +3 +5 4 0x86c8 0xad2c9b37 @@ -148270,6 +185338,8 @@ 0 0 0 +5 +2 3 0xca01 0xfe257941 @@ -148278,6 +185348,8 @@ 1 1 0 +3 +0 1 0x22b4 0x378d0046 @@ -148286,6 +185358,8 @@ 0 0 0 +3 +2 4 0x2f52 0xa817706e @@ -148294,6 +185368,8 @@ 0 0 0 +0 +1 1 0x9679 0x83636edb @@ -148302,6 +185378,8 @@ 1 1 0 +5 +5 4 0x40c6 0x82011ce2 @@ -148310,6 +185388,8 @@ 0 0 0 +4 +1 3 0xbe68 0x76d0c7c4 @@ -148318,6 +185398,8 @@ 0 0 0 +4 +0 1 0xbd26 0x657f72ed @@ -148326,6 +185408,8 @@ 0 0 0 +1 +4 4 0x433f 0x44308632 @@ -148334,6 +185418,8 @@ 0 0 0 +0 +5 4 0x549f 0x672a92e0 @@ -148342,6 +185428,8 @@ 1 1 0 +3 +5 2 0x689e 0x5cf32f23 @@ -148350,6 +185438,8 @@ 1 0 0 +1 +0 3 0x466e 0x819275d3 @@ -148358,6 +185448,8 @@ 1 0 0 +3 +0 2 0x171d 0xd82804e3 @@ -148366,6 +185458,8 @@ 1 0 0 +0 +4 2 0x8d94 0x6d931cce @@ -148374,6 +185468,8 @@ 1 0 0 +3 +2 4 0xb4d8 0x55c02474 @@ -148383,6 +185479,8 @@ 0 0 4 +2 +4 0xc040 0xdbeb5ae6 256 @@ -148391,6 +185489,8 @@ 0 0 3 +0 +3 0xb47c 0xfa631ada 256 @@ -148398,6 +185498,8 @@ 0 0 0 +0 +2 3 0xbe88 0xf5d812ac @@ -148406,6 +185508,8 @@ 0 0 0 +5 +2 4 0xa326 0xc75747ac @@ -148414,6 +185518,8 @@ 1 1 0 +5 +3 2 0x2171 0xda952f7 @@ -148422,6 +185528,8 @@ 1 0 0 +0 +2 1 0xf0ab 0x92001fa5 @@ -148430,6 +185538,8 @@ 1 0 0 +5 +2 4 0x718 0xf2e8fb22 @@ -148439,6 +185549,8 @@ 1 0 2 +3 +2 0xaea1 0x70c3b77b 256 @@ -148446,6 +185558,8 @@ 1 1 0 +0 +1 4 0xc706 0x948b32f0 @@ -148454,6 +185568,8 @@ 1 1 0 +4 +1 3 0xfbc4 0x99f48a19 @@ -148463,6 +185579,8 @@ 1 0 2 +5 +2 0xbaa6 0xdb80f946 256 @@ -148470,6 +185588,8 @@ 1 0 0 +3 +4 1 0x633d 0x25ab90f4 @@ -148478,6 +185598,8 @@ 0 0 0 +4 +3 1 0x9adb 0x60c96a4f @@ -148486,6 +185608,8 @@ 0 0 0 +3 +0 1 0x52d3 0x88681d6c @@ -148494,6 +185618,8 @@ 0 0 0 +2 +0 3 0x4c3f 0xd4e1b552 @@ -148502,6 +185628,8 @@ 1 1 0 +3 +2 1 0x3a 0x88503c21 @@ -148510,6 +185638,8 @@ 0 0 0 +0 +1 4 0x3288 0x3397b785 @@ -148518,6 +185648,8 @@ 0 0 0 +0 +0 1 0xc7eb 0xe70c698b @@ -148527,6 +185659,8 @@ 0 0 3 +5 +3 0x8dcb 0xd0d7b3b3 256 @@ -148535,6 +185669,8 @@ 0 0 2 +2 +2 0x60c5 0xe89c4947 256 @@ -148542,6 +185678,8 @@ 0 0 0 +0 +1 2 0xa2ed 0xd61af106 @@ -148550,6 +185688,8 @@ 0 0 0 +4 +1 2 0x65c2 0x4cc42f6 @@ -148558,6 +185698,8 @@ 0 0 0 +0 +4 2 0x6780 0x3a02f96a @@ -148567,6 +185709,8 @@ 0 0 3 +4 +3 0x78af 0x56330e42 256 @@ -148574,6 +185718,8 @@ 1 0 0 +1 +3 2 0x4b3e 0x4b27bdac @@ -148582,6 +185728,8 @@ 1 1 0 +1 +3 4 0xf67e 0x35703359 @@ -148590,6 +185738,8 @@ 1 1 0 +2 +1 3 0x13a7 0xc174bd53 @@ -148599,6 +185749,8 @@ 0 0 2 +2 +2 0x37d2 0x389e1f66 256 @@ -148606,6 +185758,8 @@ 1 1 0 +0 +1 2 0xa039 0xf29c01a1 @@ -148615,6 +185769,8 @@ 1 0 3 +5 +3 0x3e56 0x13d79a3f 256 @@ -148623,6 +185779,8 @@ 0 0 3 +5 +3 0xddf6 0x2cbdce4e 256 @@ -148630,6 +185788,8 @@ 1 0 0 +3 +2 4 0xd132 0x1196389e @@ -148638,6 +185798,8 @@ 0 0 0 +5 +0 1 0xd704 0x859debf8 @@ -148646,6 +185808,8 @@ 1 0 0 +0 +0 1 0x1f2 0xc12f40d9 @@ -148655,6 +185819,8 @@ 0 0 4 +5 +4 0x7721 0xa04441d2 256 @@ -148662,6 +185828,8 @@ 0 0 0 +2 +3 1 0x2b03 0xf893155f @@ -148670,6 +185838,8 @@ 1 0 0 +1 +3 4 0xc11d 0x977c30b9 @@ -148679,6 +185849,8 @@ 0 0 2 +3 +2 0xe399 0x813ce2b4 256 @@ -148686,6 +185858,8 @@ 1 0 0 +5 +4 3 0x4d4c 0xe59fddf4 @@ -148694,6 +185868,8 @@ 1 0 0 +1 +5 3 0x326a 0x65d9ecfb @@ -148702,6 +185878,8 @@ 0 0 0 +3 +1 1 0x1435 0x27ea7f85 @@ -148710,6 +185888,8 @@ 1 0 0 +4 +5 1 0xac74 0x475aae9a @@ -148718,6 +185898,8 @@ 0 0 0 +4 +3 1 0xc53e 0x8d6a015 @@ -148727,6 +185909,8 @@ 0 0 2 +3 +2 0x4928 0xfa3fd960 256 @@ -148735,6 +185919,8 @@ 1 0 3 +5 +3 0x5852 0x4ae47a4f 256 @@ -148742,6 +185928,8 @@ 0 0 0 +1 +0 2 0xd583 0x209da488 @@ -148751,6 +185939,8 @@ 0 0 4 +0 +4 0xd428 0xc5510dda 256 @@ -148759,6 +185949,8 @@ 0 0 2 +2 +2 0x7120 0x701c7563 256 @@ -148766,6 +185958,8 @@ 1 0 0 +0 +1 4 0xa772 0x260cae92 @@ -148774,6 +185968,8 @@ 1 0 0 +5 +2 1 0x7ed4 0xf885f5d3 @@ -148782,6 +185978,8 @@ 1 0 0 +3 +0 1 0xfc7b 0x53220d84 @@ -148790,6 +185988,8 @@ 1 0 0 +2 +3 4 0x1376 0xbb427f1c @@ -148798,6 +185998,8 @@ 0 0 0 +0 +2 2 0x9f25 0x5808453f @@ -148807,6 +186009,8 @@ 0 0 3 +4 +3 0xecce 0xac23b503 256 @@ -148814,6 +186018,8 @@ 1 0 0 +2 +5 1 0x7644 0xd1a213e9 @@ -148823,6 +186029,8 @@ 0 0 3 +0 +3 0x3146 0x4ade0356 256 @@ -148830,6 +186038,8 @@ 1 0 0 +1 +3 2 0x5c08 0x1cbea7ba @@ -148838,6 +186048,8 @@ 1 0 0 +4 +1 1 0xe59 0x362af2ca @@ -148846,6 +186058,8 @@ 1 1 0 +0 +5 4 0xf307 0x4d635978 @@ -148855,6 +186069,8 @@ 0 0 1 +5 +1 0x8ca5 0xf3ff0ceb 256 @@ -148862,6 +186078,8 @@ 1 0 0 +1 +0 3 0x9ae9 0x60bec701 @@ -148871,6 +186089,8 @@ 0 0 4 +0 +4 0x4a45 0x2f09792f 256 @@ -148878,6 +186098,8 @@ 1 0 0 +5 +3 1 0xa89 0xbf6b7f86 @@ -148886,6 +186108,8 @@ 1 1 0 +2 +2 1 0x16b5 0x7015ae4a @@ -148894,6 +186118,8 @@ 0 0 0 +1 +5 4 0x7da3 0x78b127d5 @@ -148902,6 +186128,8 @@ 1 1 0 +5 +0 2 0x7ffd 0x84929f4d @@ -148910,6 +186138,8 @@ 0 0 0 +0 +4 4 0xa057 0xfbf9dca2 @@ -148918,6 +186148,8 @@ 0 0 0 +4 +0 3 0x1daf 0x9ee2390 @@ -148926,6 +186158,8 @@ 0 0 0 +2 +2 1 0x1d5 0xc7b418f8 @@ -148934,6 +186168,8 @@ 1 0 0 +5 +0 2 0x351e 0x77d92947 @@ -148943,6 +186179,8 @@ 0 0 1 +1 +1 0x46ee 0x85774c4d 256 @@ -148950,6 +186188,8 @@ 0 0 0 +0 +0 2 0xfae7 0xae7af301 @@ -148958,6 +186198,8 @@ 1 0 0 +1 +2 3 0xab8b 0xdad1412f @@ -148966,6 +186208,8 @@ 0 0 0 +1 +4 4 0x1d68 0x972fcbcf @@ -148974,6 +186218,8 @@ 0 0 0 +5 +5 3 0x44e2 0xa5bf7c52 @@ -148982,6 +186228,8 @@ 1 0 0 +5 +3 1 0x2c72 0x1b265d45 @@ -148990,6 +186238,8 @@ 1 0 0 +4 +4 2 0xf7c9 0xad58cfd3 @@ -148998,6 +186248,8 @@ 0 0 0 +3 +3 2 0x935e 0xd0c53d6d @@ -149006,6 +186258,8 @@ 1 1 0 +0 +4 4 0x3c67 0xc132ca68 @@ -149015,6 +186269,8 @@ 1 0 3 +4 +3 0xc8ab 0xf39471d 256 @@ -149023,6 +186279,8 @@ 0 0 4 +4 +4 0x4a01 0x86a5ab95 256 @@ -149030,6 +186288,8 @@ 0 0 0 +3 +3 4 0xbb8c 0x8cd8fdea @@ -149038,6 +186298,8 @@ 0 0 0 +2 +0 4 0x6dbf 0xe57d69c9 @@ -149046,6 +186308,8 @@ 1 1 0 +0 +0 3 0x8621 0x96d42941 @@ -149054,6 +186318,8 @@ 1 1 0 +0 +1 4 0x218a 0xc5fe5268 @@ -149062,6 +186328,8 @@ 1 0 0 +4 +2 1 0xbeba 0xb6af594f @@ -149071,6 +186339,8 @@ 0 0 1 +4 +1 0x71f1 0xb7b79932 256 @@ -149078,6 +186348,8 @@ 1 0 0 +3 +0 4 0x9419 0xc195ee19 @@ -149086,6 +186358,8 @@ 1 0 0 +5 +1 1 0xf48e 0xb3256a7c @@ -149094,6 +186368,8 @@ 1 1 0 +0 +4 4 0xd573 0x828c4d7a @@ -149102,6 +186378,8 @@ 0 0 0 +3 +2 1 0x61ed 0x99e65d81 @@ -149110,6 +186388,8 @@ 0 0 0 +0 +1 2 0x7266 0xd15e9c4f @@ -149119,6 +186399,8 @@ 0 0 3 +3 +3 0xb5d6 0x2def3afd 256 @@ -149126,6 +186408,8 @@ 0 0 0 +1 +1 4 0xc7 0x91ae5396 @@ -149134,6 +186418,8 @@ 0 0 0 +0 +2 1 0x8f0b 0xb86dbe66 @@ -149143,6 +186429,8 @@ 1 0 4 +3 +4 0x7fc6 0x4dac653c 256 @@ -149150,6 +186438,8 @@ 0 0 0 +0 +0 4 0x5cde 0xb5dc6b70 @@ -149158,6 +186448,8 @@ 0 0 0 +4 +5 1 0x52df 0xa1f7d2c2 @@ -149166,6 +186458,8 @@ 0 0 0 +4 +5 2 0x6867 0x81045a6e @@ -149174,6 +186468,8 @@ 0 0 0 +0 +5 4 0xb8f2 0xe5bf7f97 @@ -149182,6 +186478,8 @@ 1 0 0 +1 +4 4 0x9ec8 0xfd067d5 @@ -149190,6 +186488,8 @@ 0 0 0 +2 +2 3 0x12e2 0xcf840b16 @@ -149199,6 +186499,8 @@ 0 0 4 +0 +4 0xf185 0x62ca77b7 256 @@ -149207,6 +186509,8 @@ 0 0 1 +3 +1 0x2d38 0xacd83eeb 256 @@ -149214,6 +186518,8 @@ 0 0 0 +4 +5 3 0x6663 0xe41e3387 @@ -149222,6 +186528,8 @@ 1 0 0 +2 +0 1 0xbf45 0x95848951 @@ -149230,6 +186538,8 @@ 0 0 0 +5 +2 3 0x443d 0xfbf36b1e @@ -149238,6 +186548,8 @@ 1 1 0 +1 +1 3 0xf8cd 0xda207914 @@ -149247,6 +186559,8 @@ 0 0 1 +5 +1 0xf61b 0x8eab8558 256 @@ -149254,6 +186568,8 @@ 1 0 0 +0 +5 3 0xc2a2 0x6c239279 @@ -149262,6 +186578,8 @@ 0 0 0 +5 +4 2 0xd332 0x3438285c @@ -149270,6 +186588,8 @@ 0 0 0 +5 +1 4 0x327b 0x68c74a6b @@ -149278,6 +186598,8 @@ 0 0 0 +4 +1 2 0x1cb7 0x6366eca1 @@ -149286,6 +186608,8 @@ 1 0 0 +1 +5 3 0x5b9e 0xba9e50a2 @@ -149294,6 +186618,8 @@ 0 0 0 +0 +4 2 0x444f 0x44e0bac8 @@ -149303,6 +186629,8 @@ 0 0 1 +5 +1 0x5c0a 0xb518b4ae 256 @@ -149311,6 +186639,8 @@ 0 0 3 +3 +3 0x515c 0x35937c46 256 @@ -149318,6 +186648,8 @@ 0 0 0 +2 +4 3 0xff67 0x68b8b3a0 @@ -149326,6 +186658,8 @@ 0 0 0 +4 +3 3 0x52f1 0x3e416b09 @@ -149334,6 +186668,8 @@ 1 0 0 +0 +4 3 0x7b0b 0x15145511 @@ -149342,6 +186678,8 @@ 0 0 0 +2 +5 4 0x6d66 0xb58f89e5 @@ -149351,6 +186689,8 @@ 1 0 1 +3 +1 0x4e14 0xb414f981 256 @@ -149358,6 +186698,8 @@ 0 0 0 +5 +2 4 0x4e4b 0x1b6d45e7 @@ -149366,6 +186708,8 @@ 0 0 0 +1 +3 2 0x542d 0x3115fd8 @@ -149374,6 +186718,8 @@ 0 0 0 +0 +0 4 0x9de8 0xb782fd48 @@ -149382,6 +186728,8 @@ 0 0 0 +5 +2 3 0xe6b0 0xed6cf14 @@ -149391,6 +186739,8 @@ 0 0 4 +5 +4 0x4471 0x2645dba5 256 @@ -149398,6 +186748,8 @@ 1 0 0 +0 +0 4 0xd47a 0x9541091f @@ -149406,6 +186758,8 @@ 0 0 0 +1 +3 2 0xc506 0xac0ed198 @@ -149414,6 +186768,8 @@ 0 0 0 +3 +2 2 0xe69e 0x7a558302 @@ -149422,6 +186778,8 @@ 1 1 0 +3 +1 2 0xbc4b 0x23689b87 @@ -149430,6 +186788,8 @@ 1 1 0 +4 +1 1 0x5745 0x54e956c0 @@ -149438,6 +186798,8 @@ 0 0 0 +0 +4 2 0x3253 0xfb5c63b @@ -149446,6 +186808,8 @@ 0 0 0 +4 +5 3 0xcca3 0x15f2a879 @@ -149454,6 +186818,8 @@ 1 0 0 +2 +5 3 0x192f 0x13e0bfc9 @@ -149462,6 +186828,8 @@ 0 0 0 +0 +1 3 0xd028 0x3645eb50 @@ -149470,6 +186838,8 @@ 0 0 0 +5 +0 1 0x3ad9 0x3823f8c1 @@ -149478,6 +186848,8 @@ 1 0 0 +1 +1 3 0xfafb 0xb92316b0 @@ -149486,6 +186858,8 @@ 0 0 0 +3 +1 2 0x15c0 0x59e23e0 @@ -149494,6 +186868,8 @@ 1 1 0 +4 +5 3 0x61da 0x18b71c7a @@ -149502,6 +186878,8 @@ 1 0 0 +2 +0 1 0x1f59 0x4ee4e747 @@ -149510,6 +186888,8 @@ 1 1 0 +1 +3 2 0x3034 0xb1873ea9 @@ -149518,6 +186898,8 @@ 0 0 0 +4 +4 1 0xbd5b 0x4e1b392d @@ -149526,6 +186908,8 @@ 0 0 0 +0 +2 3 0xbe41 0xef40a150 @@ -149534,6 +186918,8 @@ 1 0 0 +3 +3 4 0x26e8 0x5b10b634 @@ -149543,6 +186929,8 @@ 0 0 1 +0 +1 0x1b36 0xc9839c6e 256 @@ -149550,6 +186938,8 @@ 0 0 0 +5 +3 4 0x83b1 0x8b828e95 @@ -149558,6 +186948,8 @@ 1 1 0 +0 +3 1 0x231e 0xe2cbb8d8 @@ -149566,6 +186958,8 @@ 1 1 0 +3 +3 1 0xd650 0x83dda9ac @@ -149574,6 +186968,8 @@ 1 1 0 +4 +1 2 0xc8e1 0x51bcfd18 @@ -149582,6 +186978,8 @@ 1 1 0 +2 +1 4 0x4d86 0x867eca34 @@ -149590,6 +186988,8 @@ 0 0 0 +2 +0 3 0x8351 0x9b3d80ba @@ -149598,6 +186998,8 @@ 1 1 0 +1 +0 2 0x67b4 0xd8ff7981 @@ -149606,6 +187008,8 @@ 1 0 0 +2 +1 4 0xe322 0xd28d24ee @@ -149614,6 +187018,8 @@ 1 0 0 +2 +5 1 0xe76b 0x32482d1d @@ -149622,6 +187028,8 @@ 0 0 0 +1 +3 4 0x3608 0x8da53718 @@ -149630,6 +187038,8 @@ 1 0 0 +0 +4 2 0x447f 0xfd9b2bd3 @@ -149638,6 +187048,8 @@ 0 0 0 +1 +1 2 0x7ad1 0x60f2a39b @@ -149646,6 +187058,8 @@ 1 0 0 +0 +4 3 0xf5c0 0xbff12aa4 @@ -149654,6 +187068,8 @@ 1 0 0 +5 +1 4 0xf063 0x6df76735 @@ -149663,6 +187079,8 @@ 0 0 2 +1 +2 0x25bc 0xf8f70f0f 256 @@ -149670,6 +187088,8 @@ 1 0 0 +0 +3 1 0x5799 0x435da318 @@ -149679,6 +187099,8 @@ 0 0 2 +1 +2 0xf15d 0xc8742aff 256 @@ -149687,6 +187109,8 @@ 0 0 1 +4 +1 0xa8bb 0x7ec8ce48 256 @@ -149694,6 +187118,8 @@ 0 0 0 +0 +1 3 0x3866 0x20e340bf @@ -149702,6 +187128,8 @@ 0 0 0 +4 +4 2 0xcb7b 0x68912adb @@ -149710,6 +187138,8 @@ 1 0 0 +5 +5 2 0xb231 0xf1894247 @@ -149718,6 +187148,8 @@ 1 1 0 +4 +1 3 0x1947 0xa2ba6787 @@ -149727,6 +187159,8 @@ 0 0 4 +3 +4 0x58cf 0xa7b78cd4 256 @@ -149734,6 +187168,8 @@ 0 0 0 +4 +1 3 0x4549 0xdef3e0d7 @@ -149742,6 +187178,8 @@ 1 0 0 +0 +4 1 0x7d78 0x2365b7bf @@ -149751,6 +187189,8 @@ 0 0 4 +0 +4 0x30fa 0xbde74dde 256 @@ -149758,6 +187198,8 @@ 0 0 0 +3 +4 1 0x8d9c 0x1882c9b4 @@ -149766,6 +187208,8 @@ 0 0 0 +3 +0 2 0xda9d 0xedf1ad96 @@ -149774,6 +187218,8 @@ 1 0 0 +5 +3 1 0xfc08 0x66778817 @@ -149782,6 +187228,8 @@ 1 1 0 +0 +3 2 0x81a9 0x1a17a400 @@ -149790,6 +187238,8 @@ 1 0 0 +4 +2 2 0x4d73 0x5f51d6bd @@ -149798,6 +187248,8 @@ 1 0 0 +0 +1 4 0x95d3 0x67f81c4f @@ -149807,6 +187259,8 @@ 0 0 2 +2 +2 0xcc85 0x98b82bc3 256 @@ -149815,6 +187269,8 @@ 0 0 3 +0 +3 0x9c4b 0x1b959e29 256 @@ -149822,6 +187278,8 @@ 0 0 0 +5 +0 1 0x293 0xb1bd8811 @@ -149831,6 +187289,8 @@ 1 0 4 +5 +4 0xf28f 0xf75a4e9c 256 @@ -149839,6 +187299,8 @@ 0 0 4 +0 +4 0x5b6d 0x26d75a1d 256 @@ -149846,6 +187308,8 @@ 1 0 0 +4 +5 2 0x2c42 0x75f2f299 @@ -149854,6 +187318,8 @@ 0 0 0 +5 +2 4 0x23ac 0xee3db7 @@ -149863,6 +187329,8 @@ 0 0 4 +4 +4 0xedb4 0x5e6a7b1 256 @@ -149870,6 +187338,8 @@ 0 0 0 +0 +5 4 0xddad 0x5b368258 @@ -149878,6 +187348,8 @@ 0 0 0 +0 +4 4 0x91a3 0x1c2ea670 @@ -149886,6 +187358,8 @@ 1 1 0 +1 +0 3 0xb92 0x1616de5d @@ -149895,6 +187369,8 @@ 0 0 1 +0 +1 0x5a48 0x263a7a58 256 @@ -149902,6 +187378,8 @@ 0 0 0 +0 +3 2 0x4580 0x3207c51c @@ -149910,6 +187388,8 @@ 1 1 0 +1 +0 2 0x2d1a 0x3a362e1e @@ -149918,6 +187398,8 @@ 0 0 0 +2 +3 4 0x76cc 0xf3d9a0f0 @@ -149927,6 +187409,8 @@ 0 0 1 +2 +1 0xfeef 0x7f20ea04 256 @@ -149934,6 +187418,8 @@ 0 0 0 +2 +1 4 0xae3 0x7eb52f25 @@ -149943,6 +187429,8 @@ 0 0 2 +3 +2 0xe9fa 0xf365b964 256 @@ -149950,6 +187438,8 @@ 0 0 0 +3 +1 1 0x2eb7 0x9e33a4dc @@ -149958,6 +187448,8 @@ 1 0 0 +0 +5 4 0x6511 0x27dba4a6 @@ -149966,6 +187458,8 @@ 0 0 0 +5 +5 4 0x73b 0x34bde8ab @@ -149975,6 +187469,8 @@ 0 0 2 +1 +2 0x25c7 0x3aeb1fd 256 @@ -149983,6 +187479,8 @@ 0 0 4 +3 +4 0xb137 0x61f7a85b 256 @@ -149991,6 +187489,8 @@ 0 0 3 +5 +3 0x8972 0xc4b35813 256 @@ -149998,6 +187498,8 @@ 1 1 0 +5 +3 4 0x654e 0x210622ce @@ -150006,6 +187508,8 @@ 1 0 0 +4 +3 1 0x28f 0x3af44533 @@ -150014,6 +187518,8 @@ 1 1 0 +5 +1 4 0x9493 0x798fb65a @@ -150022,6 +187528,8 @@ 0 0 0 +5 +2 1 0xd35f 0x263d6a76 @@ -150031,6 +187539,8 @@ 0 0 3 +5 +3 0x9f5f 0x68d21908 256 @@ -150038,6 +187548,8 @@ 1 1 0 +5 +4 4 0x9782 0x81c98d6c @@ -150046,6 +187558,8 @@ 1 1 0 +0 +5 3 0x721f 0x3180fd2c @@ -150054,6 +187568,8 @@ 1 0 0 +3 +4 4 0x8dea 0xb39663bd @@ -150062,6 +187578,8 @@ 0 0 0 +1 +4 2 0x670f 0xd34d531c @@ -150071,6 +187589,8 @@ 0 0 1 +1 +1 0xaf5b 0xcf96e30 256 @@ -150078,6 +187598,8 @@ 0 0 0 +3 +2 1 0xf80e 0xa770051b @@ -150086,6 +187608,8 @@ 0 0 0 +0 +5 1 0x2e59 0xd9dc8b99 @@ -150094,6 +187618,8 @@ 1 1 0 +1 +3 4 0x7594 0x706c4e0c @@ -150102,6 +187628,8 @@ 0 0 0 +1 +0 3 0x5e7a 0xaa2ab42d @@ -150110,6 +187638,8 @@ 1 1 0 +3 +3 1 0x7590 0x82a8eeab @@ -150118,6 +187648,8 @@ 0 0 0 +4 +5 3 0x2315 0xca8c25a4 @@ -150126,6 +187658,8 @@ 0 0 0 +3 +1 2 0x8c4e 0xf73d2684 @@ -150134,6 +187668,8 @@ 0 0 0 +1 +1 3 0xb545 0xa2e6cc69 @@ -150143,6 +187679,8 @@ 0 0 3 +3 +3 0x1f03 0xd50009d1 256 @@ -150150,6 +187688,8 @@ 1 1 0 +0 +0 3 0x1310 0x29b86217 @@ -150158,6 +187698,8 @@ 0 0 0 +4 +0 2 0xa0f8 0x8880721a @@ -150166,6 +187708,8 @@ 1 0 0 +2 +1 4 0xa25 0x5560c081 @@ -150174,6 +187718,8 @@ 0 0 0 +4 +0 2 0x5102 0x29f9abb7 @@ -150182,6 +187728,8 @@ 0 0 0 +3 +0 4 0xf4ed 0x9d73afb1 @@ -150190,6 +187738,8 @@ 1 1 0 +3 +3 2 0x319a 0xae529f17 @@ -150198,6 +187748,8 @@ 0 0 0 +2 +5 1 0x8514 0xfc185b31 @@ -150207,6 +187759,8 @@ 1 0 4 +1 +4 0x5315 0x99e37eb 256 @@ -150214,6 +187768,8 @@ 1 0 0 +5 +2 1 0x879f 0x7185fb39 @@ -150222,6 +187778,8 @@ 0 0 0 +3 +1 4 0xb47e 0x50d5a52c @@ -150230,6 +187788,8 @@ 1 1 0 +2 +4 3 0xa340 0x20b6886e @@ -150238,6 +187798,8 @@ 1 1 0 +0 +2 1 0x12a3 0x1dfe6d90 @@ -150246,6 +187808,8 @@ 0 0 0 +0 +0 4 0xcc06 0xf27de5c4 @@ -150254,6 +187818,8 @@ 1 0 0 +1 +2 3 0x1590 0x15cb0dd2 @@ -150262,6 +187828,8 @@ 1 0 0 +3 +5 2 0x4743 0xd0161472 @@ -150270,6 +187838,8 @@ 0 0 0 +2 +1 4 0xb4de 0x5fef4761 @@ -150278,6 +187848,8 @@ 1 1 0 +2 +4 3 0x7822 0x7647c133 @@ -150286,6 +187858,8 @@ 1 1 0 +3 +0 4 0xc54a 0x8a1b7e22 @@ -150295,6 +187869,8 @@ 1 0 2 +2 +2 0x657e 0x65f53be2 256 @@ -150302,6 +187878,8 @@ 1 1 0 +3 +4 2 0x133 0x45d18517 @@ -150310,6 +187888,8 @@ 0 0 0 +1 +0 2 0x84c1 0x92664d2b @@ -150318,6 +187898,8 @@ 1 0 0 +5 +1 3 0x1264 0xa85b3dea @@ -150326,6 +187908,8 @@ 0 0 0 +5 +0 2 0x3436 0x4b649686 @@ -150334,6 +187918,8 @@ 1 0 0 +4 +0 3 0x6a54 0x7b54b87c @@ -150342,6 +187928,8 @@ 0 0 0 +0 +1 3 0xd885 0x297f6342 @@ -150350,6 +187938,8 @@ 1 1 0 +5 +1 2 0x3cd4 0x97bacdf1 @@ -150359,6 +187949,8 @@ 0 0 2 +3 +2 0x9c16 0x7d01157 256 @@ -150367,6 +187959,8 @@ 0 0 4 +2 +4 0x2d3e 0xa8fd5fd0 256 @@ -150374,6 +187968,8 @@ 1 0 0 +0 +1 2 0x8117 0x3febc0ce @@ -150382,6 +187978,8 @@ 0 0 0 +0 +3 2 0xa553 0x5e9cb710 @@ -150390,6 +187988,8 @@ 1 0 0 +5 +2 3 0x88db 0xd3c993ab @@ -150398,6 +187998,8 @@ 0 0 0 +4 +2 1 0xce03 0xd3061e46 @@ -150407,6 +188009,8 @@ 0 0 1 +3 +1 0xbd89 0xd45f80c 256 @@ -150414,6 +188018,8 @@ 0 0 0 +1 +2 2 0xcbbb 0x981f4d6a @@ -150422,6 +188028,8 @@ 0 0 0 +3 +0 4 0x544d 0xa4485e30 @@ -150430,6 +188038,8 @@ 1 0 0 +1 +0 3 0x914d 0xbe76539 @@ -150439,6 +188049,8 @@ 0 0 1 +0 +1 0xde61 0xca6f9e03 256 @@ -150446,6 +188058,8 @@ 0 0 0 +2 +0 1 0x7e2a 0xd15f64ab @@ -150454,6 +188068,8 @@ 1 1 0 +2 +3 4 0x7684 0x5584c5df @@ -150462,6 +188078,8 @@ 0 0 0 +1 +2 2 0x215f 0x311a9d8e @@ -150471,6 +188089,8 @@ 1 0 1 +4 +1 0x2222 0xc0a1d31 256 @@ -150478,6 +188098,8 @@ 0 0 0 +1 +4 4 0xc18a 0x296211c @@ -150486,6 +188108,8 @@ 1 1 0 +2 +1 4 0xcc4f 0xfda9a1c0 @@ -150494,6 +188118,8 @@ 0 0 0 +0 +0 4 0x5c1b 0xb6e1fa3d @@ -150502,6 +188128,8 @@ 1 1 0 +5 +4 3 0xad24 0x4ddcaea7 @@ -150510,6 +188138,8 @@ 1 0 0 +4 +1 1 0xf040 0x9c27512b @@ -150519,6 +188149,8 @@ 1 0 1 +5 +1 0x27de 0x4d4802a2 256 @@ -150526,6 +188158,8 @@ 0 0 0 +1 +3 4 0xb157 0x5e3de13c @@ -150534,6 +188168,8 @@ 0 0 0 +0 +0 1 0xa7cd 0xdc71bc7c @@ -150543,6 +188179,8 @@ 0 0 4 +2 +4 0xcfa1 0x5d0328c9 256 @@ -150550,6 +188188,8 @@ 1 1 0 +4 +0 2 0xe2d0 0xc5b1ef62 @@ -150559,6 +188199,8 @@ 0 0 3 +4 +3 0xd354 0x57475cd3 256 @@ -150566,6 +188208,8 @@ 1 0 0 +1 +0 3 0xef1d 0x382eafde @@ -150574,6 +188218,8 @@ 0 0 0 +1 +2 4 0xbeab 0x4916a5a1 @@ -150582,6 +188228,8 @@ 0 0 0 +1 +4 3 0xc049 0xad70e9e7 @@ -150590,6 +188238,8 @@ 0 0 0 +4 +5 3 0xc85a 0x2bb3a5cf @@ -150598,6 +188248,8 @@ 1 0 0 +2 +3 4 0xc1c6 0x5e35915d @@ -150606,6 +188258,8 @@ 1 1 0 +2 +4 4 0xa49e 0x42339a5a @@ -150615,6 +188269,8 @@ 0 0 3 +2 +3 0x6d0b 0x1309ce6c 256 @@ -150622,6 +188278,8 @@ 0 0 0 +5 +2 1 0xa1f5 0xbd7ba2f5 @@ -150630,6 +188288,8 @@ 1 1 0 +4 +3 2 0x84d5 0x68eaf236 @@ -150639,6 +188299,8 @@ 1 0 2 +4 +2 0xde1 0xe0304887 256 @@ -150646,6 +188308,8 @@ 1 0 0 +0 +1 1 0x960c 0x6443e2ba @@ -150654,6 +188318,8 @@ 1 0 0 +4 +0 3 0xca90 0x7b25ae9a @@ -150662,6 +188328,8 @@ 0 0 0 +3 +1 1 0xad69 0x48cc26a6 @@ -150670,6 +188338,8 @@ 1 0 0 +0 +4 3 0xdb84 0xcfdd585b @@ -150678,6 +188348,8 @@ 1 1 0 +0 +0 4 0xa219 0xee2d8b19 @@ -150686,6 +188358,8 @@ 0 0 0 +2 +1 1 0xec54 0x90e81f78 @@ -150694,6 +188368,8 @@ 1 1 0 +4 +2 2 0x22bd 0x4148196d @@ -150703,6 +188379,8 @@ 1 0 4 +0 +4 0x4844 0x948376e7 256 @@ -150710,6 +188388,8 @@ 0 0 0 +1 +1 4 0x7370 0xcf2cd8cd @@ -150718,6 +188398,8 @@ 1 0 0 +3 +0 4 0x5169 0x79ab14d8 @@ -150726,6 +188408,8 @@ 0 0 0 +4 +2 2 0x2bea 0x7072f2aa @@ -150734,6 +188418,8 @@ 1 0 0 +4 +2 2 0xfca6 0xc95adcf @@ -150742,6 +188428,8 @@ 0 0 0 +3 +3 4 0x1ba3 0x1bfb57bb @@ -150751,6 +188439,8 @@ 1 0 3 +2 +3 0x2907 0x61665e88 256 @@ -150758,6 +188448,8 @@ 0 0 0 +5 +1 3 0xce2e 0x447119ab @@ -150766,6 +188458,8 @@ 1 1 0 +2 +3 3 0x5aa7 0x239657d9 @@ -150775,6 +188469,8 @@ 1 0 4 +5 +4 0xd3ad 0xb8e3094e 256 @@ -150782,6 +188478,8 @@ 1 0 0 +3 +1 2 0xcf48 0x1cddd88a @@ -150791,6 +188489,8 @@ 0 0 2 +2 +2 0x20b1 0x576c4704 256 @@ -150798,6 +188498,8 @@ 0 0 0 +0 +4 3 0xf694 0xd5ebbf77 @@ -150807,6 +188509,8 @@ 0 0 4 +0 +4 0x2632 0x79de5be5 256 @@ -150815,6 +188519,8 @@ 1 0 4 +1 +4 0xf058 0x598fc068 256 @@ -150822,6 +188528,8 @@ 1 0 0 +2 +2 3 0xb8fc 0x9b9f0057 @@ -150830,6 +188538,8 @@ 0 0 0 +2 +4 1 0xd412 0xae64c999 @@ -150839,6 +188549,8 @@ 0 0 1 +5 +1 0xf6ba 0x8fea3bbc 256 @@ -150846,6 +188558,8 @@ 1 1 0 +3 +3 2 0x55c9 0x88de433e @@ -150854,6 +188568,8 @@ 0 0 0 +0 +5 3 0x21fa 0x1f372755 @@ -150862,6 +188578,8 @@ 1 0 0 +0 +5 1 0xe892 0x79bafebc @@ -150870,6 +188588,8 @@ 0 0 0 +5 +5 1 0xa7e4 0x89dfa63 @@ -150878,6 +188598,8 @@ 0 0 0 +1 +0 3 0x11bf 0xadb239d9 @@ -150886,6 +188608,8 @@ 1 0 0 +1 +5 2 0x3a1b 0x1b35202b @@ -150895,6 +188619,8 @@ 0 0 3 +1 +3 0x66b8 0xaef80225 256 @@ -150902,6 +188628,8 @@ 1 1 0 +0 +3 1 0x1515 0xbaf824bc @@ -150910,6 +188638,8 @@ 0 0 0 +3 +2 1 0xd60a 0xee64cbf5 @@ -150918,6 +188648,8 @@ 1 1 0 +5 +3 1 0x263d 0xdac589c @@ -150926,6 +188658,8 @@ 0 0 0 +0 +2 3 0x12b6 0x3af9b26a @@ -150934,6 +188668,8 @@ 0 0 0 +5 +1 1 0xcdc2 0x32b3c71a @@ -150942,6 +188678,8 @@ 0 0 0 +3 +4 2 0x9532 0x135498cd @@ -150951,6 +188689,8 @@ 1 0 3 +5 +3 0xecf0 0xf5323199 256 @@ -150958,6 +188698,8 @@ 1 0 0 +4 +4 1 0x1855 0xe0acd988 @@ -150966,6 +188708,8 @@ 0 0 0 +0 +5 1 0x9c71 0x1f502d0 @@ -150975,6 +188719,8 @@ 0 0 4 +4 +4 0x5d27 0xd2f4fd51 256 @@ -150982,6 +188728,8 @@ 1 0 0 +5 +2 1 0xd81d 0xfec413f0 @@ -150990,6 +188738,8 @@ 0 0 0 +2 +3 3 0x41ad 0x515e79a5 @@ -150998,6 +188748,8 @@ 0 0 0 +3 +1 2 0xd49b 0xa4bd7219 @@ -151006,6 +188758,8 @@ 0 0 0 +1 +5 4 0x98b1 0x50b42b2a @@ -151014,6 +188768,8 @@ 1 0 0 +5 +4 1 0x3bb9 0xecd04b87 @@ -151023,6 +188779,8 @@ 0 0 2 +1 +2 0xe28 0x49666587 256 @@ -151030,6 +188788,8 @@ 0 0 0 +2 +4 1 0xb0a5 0x4092ff50 @@ -151038,6 +188798,8 @@ 0 0 0 +3 +3 2 0xf416 0x1bc22cc1 @@ -151046,6 +188808,8 @@ 1 1 0 +3 +1 1 0xc398 0x65dff387 @@ -151054,6 +188818,8 @@ 0 0 0 +3 +0 2 0x39cf 0xfabdcea1 @@ -151062,6 +188828,8 @@ 1 1 0 +5 +5 3 0xba75 0xd4ffb4f8 @@ -151070,6 +188838,8 @@ 0 0 0 +0 +4 3 0x8d0a 0xee5f1b4b @@ -151078,6 +188848,8 @@ 0 0 0 +2 +0 1 0xc04f 0xd7912dd @@ -151086,6 +188858,8 @@ 0 0 0 +1 +5 4 0x297f 0x99658174 @@ -151094,6 +188868,8 @@ 1 0 0 +0 +1 3 0xf7c0 0x437abd4e @@ -151103,6 +188879,8 @@ 0 0 4 +0 +4 0x4654 0xddfa0803 256 @@ -151110,6 +188888,8 @@ 0 0 0 +5 +1 3 0xb31d 0x8ee6da5 @@ -151118,6 +188898,8 @@ 0 0 0 +4 +4 2 0xdc27 0xd28c980f @@ -151126,6 +188908,8 @@ 1 1 0 +2 +5 4 0x3956 0xc611fe19 @@ -151134,6 +188918,8 @@ 0 0 0 +0 +2 1 0x3fc9 0x683fa7dc @@ -151142,6 +188928,8 @@ 1 0 0 +1 +2 3 0xf0ce 0x52c17346 @@ -151150,6 +188938,8 @@ 1 0 0 +1 +1 3 0xda8b 0xfb0dda9c @@ -151158,6 +188948,8 @@ 1 1 0 +0 +3 4 0x766f 0xa6a0369c @@ -151166,6 +188958,8 @@ 0 0 0 +4 +1 1 0xdd6d 0x38e80f6 @@ -151174,6 +188968,8 @@ 0 0 0 +5 +2 2 0x5c49 0x18c67c74 @@ -151182,6 +188978,8 @@ 1 0 0 +4 +1 3 0xad8b 0x1f21c36a @@ -151190,6 +188988,8 @@ 1 1 0 +4 +1 3 0x1411 0xd20ff395 @@ -151198,6 +188998,8 @@ 0 0 0 +5 +3 3 0xadec 0x776ec2bc @@ -151206,6 +189008,8 @@ 1 0 0 +0 +1 2 0x8567 0xc2b87d09 @@ -151214,6 +189018,8 @@ 0 0 0 +1 +1 3 0xbaf 0x2ab1c172 @@ -151222,6 +189028,8 @@ 0 0 0 +0 +5 3 0x66 0x372e6607 @@ -151231,6 +189039,8 @@ 0 0 1 +0 +1 0xdc74 0x79b290fa 256 @@ -151238,6 +189048,8 @@ 1 1 0 +1 +3 4 0x3576 0x90dfedd2 @@ -151246,6 +189058,8 @@ 0 0 0 +4 +3 1 0x6fae 0xa877ba48 @@ -151254,6 +189068,8 @@ 0 0 0 +5 +0 1 0x7761 0xfe81096e @@ -151262,6 +189078,8 @@ 0 0 0 +4 +3 2 0xc4b 0xd4cb461b @@ -151270,6 +189088,8 @@ 0 0 0 +3 +1 1 0x3a8d 0xaaf97cf1 @@ -151278,6 +189098,8 @@ 0 0 0 +0 +2 4 0x35f3 0x24ac5ea @@ -151286,6 +189108,8 @@ 1 0 0 +1 +4 2 0x8987 0x3a0ac270 @@ -151294,6 +189118,8 @@ 0 0 0 +3 +0 1 0x73da 0xa20088aa @@ -151302,6 +189128,8 @@ 0 0 0 +4 +0 3 0x1259 0x1af7d02b @@ -151310,6 +189138,8 @@ 0 0 0 +4 +2 2 0x4279 0x17121d66 @@ -151319,6 +189149,8 @@ 1 0 2 +0 +2 0x93e6 0x3112c2b4 256 @@ -151326,6 +189158,8 @@ 1 0 0 +0 +4 4 0xceae 0x311d04be @@ -151335,6 +189169,8 @@ 1 0 1 +2 +1 0xd1c2 0x55439d42 256 @@ -151342,6 +189178,8 @@ 0 0 0 +4 +0 1 0xa6b5 0xecd9dce6 @@ -151351,6 +189189,8 @@ 0 0 3 +5 +3 0x4131 0x2214be9 256 @@ -151359,6 +189199,8 @@ 1 0 3 +0 +3 0x44a3 0xb030cd2 256 @@ -151366,6 +189208,8 @@ 1 0 0 +4 +5 2 0xd4cc 0x75ba5fd2 @@ -151375,6 +189219,8 @@ 0 0 4 +0 +4 0x982c 0x9c7e3389 256 @@ -151382,6 +189228,8 @@ 1 1 0 +2 +1 1 0x656c 0x955cc36b @@ -151390,6 +189238,8 @@ 1 1 0 +0 +4 1 0x22d9 0x7001f893 @@ -151398,6 +189248,8 @@ 1 0 0 +0 +5 1 0xa870 0xa35b69c4 @@ -151407,6 +189259,8 @@ 0 0 1 +0 +1 0xca38 0x1b4eb2aa 256 @@ -151414,6 +189268,8 @@ 1 0 0 +5 +4 4 0x6cd7 0xa2b91ea0 @@ -151422,6 +189278,8 @@ 1 0 0 +0 +1 4 0x5bd9 0x4f9061b5 @@ -151430,6 +189288,8 @@ 0 0 0 +5 +2 4 0x2d31 0x30cf0a3d @@ -151438,6 +189298,8 @@ 1 1 0 +2 +4 3 0x272b 0x88405fe7 @@ -151446,6 +189308,8 @@ 1 0 0 +5 +0 4 0x15ac 0xee529f30 @@ -151455,6 +189319,8 @@ 0 0 2 +1 +2 0xed77 0x4ed9eb3b 256 @@ -151462,6 +189328,8 @@ 1 0 0 +1 +1 4 0x3070 0x4124d13d @@ -151470,6 +189338,8 @@ 1 0 0 +1 +0 2 0xd895 0x44682fae @@ -151478,6 +189348,8 @@ 1 0 0 +5 +1 3 0x6a8b 0xa243772 @@ -151486,6 +189358,8 @@ 1 0 0 +0 +1 2 0xf20b 0x8ca403ba @@ -151494,6 +189368,8 @@ 1 0 0 +5 +4 2 0xf559 0xede6e127 @@ -151502,6 +189378,8 @@ 0 0 0 +4 +4 1 0xae7e 0x5a6ee9 @@ -151510,6 +189388,8 @@ 0 0 0 +5 +0 2 0xd302 0xf2cb2008 @@ -151518,6 +189398,8 @@ 0 0 0 +5 +3 2 0xab30 0x2a5ca048 @@ -151526,6 +189408,8 @@ 1 1 0 +4 +0 3 0x775b 0x90ecb3d0 @@ -151534,6 +189418,8 @@ 1 0 0 +4 +4 1 0x6404 0x7e0d5180 @@ -151542,6 +189428,8 @@ 1 1 0 +3 +5 1 0x4df4 0xedcc17e5 @@ -151550,6 +189438,8 @@ 0 0 0 +0 +1 2 0x64f4 0x13f2210c @@ -151558,6 +189448,8 @@ 1 0 0 +5 +0 2 0x6f1 0x22c629dc @@ -151566,6 +189458,8 @@ 0 0 0 +5 +1 2 0xe95b 0xd0b0a79e @@ -151574,6 +189468,8 @@ 1 1 0 +5 +1 1 0x4367 0x1f868f2e @@ -151582,6 +189478,8 @@ 0 0 0 +0 +1 1 0xcfad 0xfc7de2e @@ -151590,6 +189488,8 @@ 1 0 0 +5 +2 1 0x9909 0xbfcaa5a1 @@ -151598,6 +189498,8 @@ 1 1 0 +0 +2 4 0x1b79 0xcea8317c @@ -151606,6 +189508,8 @@ 1 0 0 +2 +0 1 0x6185 0x8d4ab128 @@ -151614,6 +189518,8 @@ 0 0 0 +1 +0 2 0xc22 0xaf8212b1 @@ -151622,6 +189528,8 @@ 1 0 0 +5 +1 3 0xb19c 0x347103 @@ -151630,6 +189538,8 @@ 0 0 0 +3 +0 1 0x9037 0xbc56a3e8 @@ -151638,6 +189548,8 @@ 0 0 0 +3 +4 1 0x7a9b 0x3c9e3f96 @@ -151647,6 +189559,8 @@ 0 0 4 +2 +4 0x5084 0x8e633bcb 256 @@ -151654,6 +189568,8 @@ 0 0 0 +2 +3 1 0x91c8 0x414cd525 @@ -151662,6 +189578,8 @@ 0 0 0 +1 +5 4 0x38e8 0x21bd83e6 @@ -151670,6 +189588,8 @@ 0 0 0 +2 +3 1 0xdfcd 0x6a9f82c9 @@ -151678,6 +189598,8 @@ 1 1 0 +0 +5 4 0x7cce 0xe2db4d32 @@ -151686,6 +189608,8 @@ 0 0 0 +3 +1 2 0xeff5 0x6113677 @@ -151694,6 +189618,8 @@ 0 0 0 +0 +1 2 0xbed1 0x153d5ab3 @@ -151702,6 +189628,8 @@ 0 0 0 +3 +1 4 0xf2d3 0x3550fde2 @@ -151710,6 +189638,8 @@ 1 1 0 +3 +0 4 0xfffb 0x5298bc4b @@ -151719,6 +189649,8 @@ 0 0 2 +1 +2 0xb687 0x6deabb31 256 @@ -151726,6 +189658,8 @@ 1 1 0 +4 +2 1 0x2d43 0x68a15d9c @@ -151734,6 +189668,8 @@ 0 0 0 +4 +4 2 0xf240 0x53bbe36 @@ -151743,6 +189679,8 @@ 1 0 4 +5 +4 0x68cf 0xe19e7b72 256 @@ -151750,6 +189688,8 @@ 1 1 0 +2 +5 3 0xd4e 0xc594bd6d @@ -151759,6 +189699,8 @@ 1 0 2 +3 +2 0xb584 0xa7a8f207 256 @@ -151766,6 +189708,8 @@ 0 0 0 +1 +3 4 0xd6bc 0x11aba66d @@ -151774,6 +189718,8 @@ 0 0 0 +5 +1 3 0x1fda 0x9a165cc8 @@ -151782,6 +189728,8 @@ 0 0 0 +0 +0 4 0xdc84 0x386d0971 @@ -151790,6 +189738,8 @@ 1 1 0 +5 +1 2 0x4b43 0xcc0aeef0 @@ -151798,6 +189748,8 @@ 0 0 0 +4 +1 2 0xf5e6 0x4611696e @@ -151806,6 +189758,8 @@ 0 0 0 +5 +4 1 0x32e1 0xc37bd03c @@ -151815,6 +189769,8 @@ 0 0 3 +5 +3 0x8e90 0x21f605c4 256 @@ -151822,6 +189778,8 @@ 0 0 0 +0 +3 3 0xe19f 0x5341d0f8 @@ -151830,6 +189788,8 @@ 0 0 0 +3 +3 2 0x8594 0xa28773f9 @@ -151839,6 +189799,8 @@ 0 0 1 +5 +1 0x4095 0x5acfde43 256 @@ -151846,6 +189808,8 @@ 0 0 0 +2 +1 1 0x5535 0x6370ed39 @@ -151854,6 +189818,8 @@ 0 0 0 +2 +1 1 0x758e 0x68814bac @@ -151862,6 +189828,8 @@ 1 1 0 +4 +0 2 0xe13f 0x13fd7874 @@ -151870,6 +189838,8 @@ 1 0 0 +3 +2 1 0x6725 0xbfc5582a @@ -151878,6 +189848,8 @@ 0 0 0 +3 +2 2 0xb056 0xb4d70c86 @@ -151886,6 +189858,8 @@ 1 1 0 +4 +0 1 0x4dc4 0x39e155a7 @@ -151894,6 +189868,8 @@ 1 0 0 +5 +4 2 0x8e9a 0xf4c7d426 @@ -151903,6 +189879,8 @@ 0 0 3 +4 +3 0xe3a 0x7cc1ba0b 256 @@ -151910,6 +189888,8 @@ 0 0 0 +2 +3 3 0x9f0f 0xa1dc32ea @@ -151918,6 +189898,8 @@ 1 0 0 +2 +4 3 0xcb89 0x988c46db @@ -151927,6 +189909,8 @@ 0 0 4 +1 +4 0x871 0x8cdb1faa 256 @@ -151934,6 +189918,8 @@ 1 1 0 +5 +5 2 0x9dd7 0x3db7d89 @@ -151942,6 +189928,8 @@ 0 0 0 +4 +3 3 0x27cb 0x50f2fe6 @@ -151951,6 +189939,8 @@ 0 0 3 +4 +3 0xca94 0xc462ba94 256 @@ -151958,6 +189948,8 @@ 0 0 0 +3 +2 1 0xc3d0 0x886af31a @@ -151966,6 +189958,8 @@ 1 1 0 +0 +2 1 0x1ad7 0x4d5596cd @@ -151974,6 +189968,8 @@ 0 0 0 +5 +3 3 0xf95b 0x86dbb19d @@ -151982,6 +189978,8 @@ 0 0 0 +5 +3 1 0x72e 0x431f2d45 @@ -151990,6 +189988,8 @@ 1 0 0 +5 +0 4 0x10e9 0x2e5642f6 @@ -151998,6 +189998,8 @@ 0 0 0 +4 +3 1 0x51fb 0xa7589d0b @@ -152006,6 +190008,8 @@ 0 0 0 +4 +2 2 0x3535 0x70427f71 @@ -152014,6 +190018,8 @@ 0 0 0 +5 +4 3 0xc13f 0x7669ee94 @@ -152022,6 +190028,8 @@ 0 0 0 +5 +0 1 0xd0d5 0x6b9f2250 @@ -152030,6 +190038,8 @@ 1 1 0 +0 +0 3 0x48f9 0x53746064 @@ -152038,6 +190048,8 @@ 1 1 0 +0 +3 3 0x4386 0x4e1129a1 @@ -152046,6 +190058,8 @@ 0 0 0 +3 +3 4 0x6733 0x9acee458 @@ -152054,6 +190068,8 @@ 1 0 0 +5 +0 4 0xe10c 0x47bdd846 @@ -152063,6 +190079,8 @@ 1 0 3 +0 +3 0xf839 0xab2ad067 256 @@ -152071,13 +190089,17 @@ 1 0 2 -0x7c7e +2 +2 +0x7c7e 0x999102a2 256 256 1 0 0 +3 +0 4 0x5956 0x13f4e83 @@ -152087,6 +190109,8 @@ 0 0 2 +1 +2 0xd17a 0xa2875f7e 256 @@ -152094,6 +190118,8 @@ 0 0 0 +0 +0 1 0xa985 0xe0957d20 @@ -152103,6 +190129,8 @@ 0 0 4 +2 +4 0xcf52 0x6d172bd2 256 @@ -152110,6 +190138,8 @@ 0 0 0 +2 +3 3 0x1cf3 0xced12113 @@ -152118,6 +190148,8 @@ 0 0 0 +3 +5 4 0xa7e6 0x14e3061e @@ -152126,6 +190158,8 @@ 1 1 0 +4 +3 1 0x2c89 0x8c3222e8 @@ -152134,6 +190168,8 @@ 0 0 0 +3 +0 4 0xe792 0x6252c861 @@ -152142,6 +190178,8 @@ 0 0 0 +2 +5 3 0x3cbe 0xa86d2a54 @@ -152151,6 +190189,8 @@ 0 0 4 +4 +4 0xca55 0xe42e6d18 256 @@ -152158,6 +190198,8 @@ 1 0 0 +3 +2 2 0x3cd5 0x9b946c13 @@ -152166,6 +190208,8 @@ 0 0 0 +3 +2 2 0xf5a6 0xd7948df7 @@ -152174,6 +190218,8 @@ 1 0 0 +5 +3 2 0x1205 0x183e8f14 @@ -152182,6 +190228,8 @@ 1 0 0 +5 +5 4 0x154b 0x4a2045f5 @@ -152190,6 +190238,8 @@ 1 0 0 +2 +4 3 0xcdcd 0xd7146818 @@ -152198,6 +190248,8 @@ 0 0 0 +5 +5 1 0x13cd 0xcb6f19e2 @@ -152206,6 +190258,8 @@ 0 0 0 +4 +2 1 0x1fbb 0xe320cc53 @@ -152214,6 +190268,8 @@ 1 0 0 +0 +4 3 0x6f86 0x92111f3a @@ -152222,6 +190278,8 @@ 1 1 0 +0 +0 2 0xfee6 0x5165ce2d @@ -152231,6 +190289,8 @@ 0 0 3 +3 +3 0xb8b1 0xc2417ce 256 @@ -152238,6 +190298,8 @@ 1 1 0 +1 +0 2 0x9b00 0x5978550a @@ -152246,6 +190308,8 @@ 0 0 0 +4 +0 2 0xa5b3 0xdc92f508 @@ -152254,6 +190318,8 @@ 1 0 0 +4 +2 1 0x8f17 0x31dc571c @@ -152262,6 +190328,8 @@ 0 0 0 +3 +3 2 0x8cb5 0xe437d87a @@ -152270,6 +190338,8 @@ 0 0 0 +5 +2 3 0x4515 0x309d9e5 @@ -152278,6 +190348,8 @@ 1 0 0 +5 +1 1 0x35e6 0x24df30d6 @@ -152287,6 +190359,8 @@ 0 0 3 +5 +3 0x52e8 0xed00bb59 256 @@ -152294,6 +190368,8 @@ 0 0 0 +2 +3 4 0x4562 0x5b96ce64 @@ -152303,6 +190379,8 @@ 0 0 2 +1 +2 0xb1d0 0x18610ac0 256 @@ -152310,6 +190388,8 @@ 0 0 0 +0 +3 4 0xc296 0x43eff25f @@ -152318,6 +190398,8 @@ 1 0 0 +4 +4 2 0x8f0f 0xb3e2e019 @@ -152326,6 +190408,8 @@ 1 0 0 +0 +4 2 0x808c 0xc06f1624 @@ -152334,6 +190418,8 @@ 0 0 0 +0 +4 3 0xf3d3 0xa86f47a0 @@ -152342,6 +190428,8 @@ 0 0 0 +2 +2 3 0xa1a3 0x4dd4c311 @@ -152351,6 +190439,8 @@ 1 0 2 +5 +2 0x4ee0 0x1a51df8f 256 @@ -152358,6 +190448,8 @@ 1 0 0 +2 +5 3 0x93e2 0xeb51e501 @@ -152366,6 +190458,8 @@ 1 1 0 +2 +2 3 0xe923 0x52153e8f @@ -152375,6 +190469,8 @@ 1 0 3 +0 +3 0xb0a9 0xa6c827c2 256 @@ -152382,6 +190478,8 @@ 0 0 0 +2 +0 4 0x6be9 0x5034411e @@ -152390,6 +190488,8 @@ 1 0 0 +5 +4 4 0x4f5e 0xe573100d @@ -152398,6 +190498,8 @@ 0 0 0 +2 +0 3 0x2ee8 0xa1aa9550 @@ -152406,6 +190508,8 @@ 1 1 0 +5 +1 2 0x63bd 0xf6d594e3 @@ -152415,6 +190519,8 @@ 1 0 1 +1 +1 0xa906 0x5fcf7e 256 @@ -152422,6 +190528,8 @@ 1 1 0 +5 +3 3 0xfb11 0xc8e2a69c @@ -152430,6 +190538,8 @@ 1 0 0 +0 +5 2 0x892e 0x39e26d4c @@ -152438,6 +190548,8 @@ 0 0 0 +4 +5 1 0x279a 0xc8c82407 @@ -152446,6 +190558,8 @@ 0 0 0 +2 +4 4 0x3911 0xed0bc420 @@ -152455,6 +190569,8 @@ 0 0 3 +2 +3 0xd2d 0x4d5d767f 256 @@ -152462,6 +190578,8 @@ 0 0 0 +3 +3 4 0x7f4f 0x3ffc6af9 @@ -152470,6 +190588,8 @@ 1 1 0 +2 +3 1 0xc00d 0xa58687b2 @@ -152478,6 +190598,8 @@ 0 0 0 +2 +4 4 0x7ac4 0x2599a147 @@ -152486,6 +190608,8 @@ 1 0 0 +0 +1 3 0x9020 0x29ae1519 @@ -152494,6 +190618,8 @@ 1 0 0 +5 +1 2 0x803b 0x9f011d59 @@ -152502,6 +190628,8 @@ 1 0 0 +1 +5 3 0x538e 0xbadf70e0 @@ -152510,6 +190638,8 @@ 1 0 0 +0 +1 2 0xc2e5 0x9bf66a76 @@ -152518,6 +190648,8 @@ 0 0 0 +4 +5 3 0xb128 0x9eedd887 @@ -152526,6 +190658,8 @@ 0 0 0 +5 +2 3 0x39c4 0x8db34d0a @@ -152535,6 +190669,8 @@ 0 0 2 +4 +2 0x2df 0x43d8c335 256 @@ -152542,6 +190678,8 @@ 1 0 0 +0 +5 4 0x3429 0x7dcdfd42 @@ -152550,6 +190688,8 @@ 0 0 0 +2 +4 4 0xe355 0xe1347dac @@ -152558,6 +190698,8 @@ 0 0 0 +0 +1 2 0x2067 0x4552dd68 @@ -152566,6 +190708,8 @@ 1 1 0 +0 +5 2 0x2ddd 0xd679df11 @@ -152574,6 +190718,8 @@ 0 0 0 +1 +1 3 0xa9ae 0x6283fc17 @@ -152582,6 +190728,8 @@ 0 0 0 +2 +5 4 0xdfaa 0x7b96502e @@ -152590,6 +190738,8 @@ 0 0 0 +5 +2 2 0x3 0x19b93fc @@ -152598,6 +190748,8 @@ 1 0 0 +0 +2 4 0x1f80 0xcfa3367c @@ -152606,6 +190758,8 @@ 0 0 0 +0 +1 1 0xaca5 0xd591c4bf @@ -152615,6 +190769,8 @@ 0 0 3 +2 +3 0xc2ad 0x19e400b9 256 @@ -152622,6 +190778,8 @@ 1 0 0 +0 +5 1 0xd674 0xb10300ed @@ -152631,6 +190789,8 @@ 0 0 4 +0 +4 0x189b 0x491b70d1 256 @@ -152638,6 +190798,8 @@ 0 0 0 +3 +5 2 0x6956 0x4fb273ef @@ -152646,6 +190808,8 @@ 0 0 0 +2 +3 1 0x83a3 0x59fb4de9 @@ -152654,6 +190818,8 @@ 1 0 0 +3 +2 4 0x2851 0xc25865d8 @@ -152662,6 +190828,8 @@ 0 0 0 +4 +2 1 0x472d 0x616985d8 @@ -152671,6 +190839,8 @@ 0 0 3 +2 +3 0x6e23 0x7a877199 256 @@ -152679,6 +190849,8 @@ 0 0 3 +1 +3 0x1ee4 0x5dead165 256 @@ -152686,6 +190858,8 @@ 0 0 0 +2 +3 3 0x7499 0x4650648a @@ -152694,6 +190868,8 @@ 0 0 0 +0 +4 3 0x6cfe 0x6e9bccf9 @@ -152702,6 +190878,8 @@ 1 1 0 +3 +3 4 0x2196 0xa53e66b0 @@ -152710,6 +190888,8 @@ 0 0 0 +4 +3 2 0xbf64 0xd24c15a @@ -152718,6 +190898,8 @@ 0 0 0 +4 +4 2 0xa6ac 0xb1e3f490 @@ -152726,6 +190908,8 @@ 1 1 0 +5 +4 3 0x849 0x20ff223 @@ -152734,6 +190918,8 @@ 0 0 0 +5 +2 4 0x8b1a 0x2bc6cb5b @@ -152743,6 +190929,8 @@ 1 0 2 +3 +2 0x3891 0x60790fed 256 @@ -152750,6 +190938,8 @@ 1 1 0 +5 +5 1 0xc2ed 0x69a1e68e @@ -152758,6 +190948,8 @@ 0 0 0 +2 +1 4 0xe8b7 0x77536ef1 @@ -152766,6 +190958,8 @@ 1 1 0 +4 +4 1 0x9770 0xa6609aa5 @@ -152775,6 +190969,8 @@ 0 0 2 +5 +2 0x885c 0xce0d4077 256 @@ -152782,6 +190978,8 @@ 0 0 0 +2 +3 1 0x9d76 0x34e75323 @@ -152791,6 +190989,8 @@ 0 0 1 +5 +1 0xd7a8 0xf48ffc19 256 @@ -152798,6 +190998,8 @@ 1 1 0 +0 +5 1 0x7d70 0x53b66224 @@ -152806,6 +191008,8 @@ 1 0 0 +5 +2 1 0x263e 0x6791c467 @@ -152814,6 +191018,8 @@ 0 0 0 +4 +2 2 0x9dad 0x5a8bcd4c @@ -152822,6 +191028,8 @@ 1 1 0 +3 +2 2 0x54dc 0x8e0ea9e @@ -152830,6 +191038,8 @@ 1 0 0 +2 +1 3 0x9576 0x2053e4fa @@ -152839,6 +191049,8 @@ 0 0 4 +3 +4 0x1e03 0x3137d802 256 @@ -152847,6 +191059,8 @@ 0 0 2 +1 +2 0x6ad2 0x4cedcc34 256 @@ -152854,6 +191068,8 @@ 1 1 0 +3 +3 4 0x8452 0x1060d963 @@ -152862,6 +191078,8 @@ 1 0 0 +1 +2 4 0xa49f 0xe3cce2c0 @@ -152870,6 +191088,8 @@ 0 0 0 +1 +0 3 0xb12d 0x66dcd2f2 @@ -152879,6 +191099,8 @@ 0 0 3 +4 +3 0x22a6 0x3f43beb1 256 @@ -152887,6 +191109,8 @@ 0 0 1 +5 +1 0xa84a 0x55535d4e 256 @@ -152895,6 +191119,8 @@ 1 0 2 +5 +2 0x9b19 0x5754f036 256 @@ -152902,6 +191128,8 @@ 1 0 0 +1 +2 2 0x6efd 0xcfd1829e @@ -152910,6 +191138,8 @@ 0 0 0 +4 +4 2 0xa2e 0xe5f10771 @@ -152918,6 +191148,8 @@ 1 0 0 +4 +4 1 0x2273 0x24e40eb0 @@ -152926,6 +191158,8 @@ 0 0 0 +2 +0 3 0x8913 0xf3b7da6e @@ -152934,6 +191168,8 @@ 1 1 0 +1 +2 2 0xb1e5 0x83703ca9 @@ -152942,6 +191178,8 @@ 0 0 0 +1 +2 3 0x9671 0x82569872 @@ -152950,6 +191188,8 @@ 0 0 0 +1 +3 2 0x37ad 0x24913a79 @@ -152958,6 +191198,8 @@ 0 0 0 +2 +5 3 0xc518 0x77aa2bf9 @@ -152966,6 +191208,8 @@ 1 0 0 +3 +0 2 0xa7f6 0x2d483d46 @@ -152975,6 +191219,8 @@ 0 0 4 +3 +4 0x9af 0x908d326 256 @@ -152982,6 +191228,8 @@ 0 0 0 +1 +0 3 0xdab1 0x539214e @@ -152990,6 +191238,8 @@ 1 0 0 +1 +4 2 0x33f3 0xa0ab5d46 @@ -152999,6 +191249,8 @@ 0 0 4 +3 +4 0x371e 0x6b6c7ffc 256 @@ -153006,6 +191258,8 @@ 1 1 0 +5 +2 4 0x5768 0x846e0d8d @@ -153014,6 +191268,8 @@ 0 0 0 +3 +3 4 0x3018 0x3dbb8f73 @@ -153022,6 +191278,8 @@ 1 0 0 +4 +0 1 0x8749 0xb3863e26 @@ -153030,6 +191288,8 @@ 0 0 0 +5 +1 4 0xbfe0 0xd4c814c1 @@ -153038,6 +191298,8 @@ 1 1 0 +1 +4 4 0xa18b 0x4cf5cd29 @@ -153047,6 +191309,8 @@ 0 0 3 +0 +3 0xd95f 0x134030d7 256 @@ -153054,6 +191318,8 @@ 1 1 0 +3 +4 4 0xd26d 0xd1fbe845 @@ -153063,6 +191329,8 @@ 1 0 4 +0 +4 0x409e 0x56835ac5 256 @@ -153070,6 +191338,8 @@ 1 1 0 +5 +3 3 0x96d6 0x19d1b55 @@ -153078,6 +191348,8 @@ 0 0 0 +0 +1 4 0x7ddf 0x777c9bc2 @@ -153086,6 +191358,8 @@ 1 0 0 +5 +2 2 0xa70 0x7b678ccb @@ -153094,6 +191368,8 @@ 1 1 0 +2 +4 4 0x6241 0xd9154caa @@ -153102,6 +191378,8 @@ 0 0 0 +5 +0 2 0xdf97 0xe6e0cfe2 @@ -153111,6 +191389,8 @@ 1 0 2 +4 +2 0xf345 0x275ac0ed 256 @@ -153118,6 +191398,8 @@ 0 0 0 +1 +5 4 0xd4a5 0xfa874d99 @@ -153126,6 +191408,8 @@ 1 1 0 +0 +2 2 0xcde4 0xfbe88c88 @@ -153134,6 +191418,8 @@ 1 0 0 +1 +0 3 0xf1c4 0x9fa146b8 @@ -153142,6 +191428,8 @@ 1 1 0 +5 +4 4 0x3a44 0x42b6b136 @@ -153150,6 +191438,8 @@ 1 0 0 +5 +5 1 0xc077 0xa38dd2a2 @@ -153158,6 +191448,8 @@ 0 0 0 +5 +3 4 0x3c57 0xce75c142 @@ -153166,6 +191458,8 @@ 0 0 0 +0 +2 2 0x2d57 0xee2e901d @@ -153174,6 +191468,8 @@ 1 0 0 +2 +4 4 0xa2f0 0x168845b1 @@ -153182,6 +191478,8 @@ 0 0 0 +5 +3 1 0x46db 0xc5887256 @@ -153190,6 +191488,8 @@ 1 1 0 +0 +2 3 0xc750 0xadeb9a5f @@ -153198,6 +191498,8 @@ 1 1 0 +5 +3 3 0xa965 0xd8424361 @@ -153206,6 +191508,8 @@ 1 1 0 +0 +1 3 0xed87 0x679da324 @@ -153214,6 +191518,8 @@ 1 1 0 +1 +5 3 0x5108 0xd790b3ac @@ -153222,6 +191528,8 @@ 0 0 0 +3 +2 4 0x184b 0x408f2755 @@ -153231,6 +191539,8 @@ 0 0 1 +4 +1 0xf42e 0xa74b420 256 @@ -153238,6 +191548,8 @@ 0 0 0 +1 +2 4 0x49c6 0xe627d6bf @@ -153246,6 +191558,8 @@ 1 0 0 +0 +2 3 0x6b1f 0x739b1f8c @@ -153254,6 +191568,8 @@ 1 0 0 +1 +2 3 0xd452 0x8dcc92c9 @@ -153262,6 +191578,8 @@ 0 0 0 +0 +4 3 0xc123 0xdc0ab6c8 @@ -153270,6 +191588,8 @@ 0 0 0 +0 +2 2 0x12bc 0xb07326bc @@ -153278,6 +191598,8 @@ 0 0 0 +0 +5 1 0xb4fd 0x544266e2 @@ -153287,6 +191609,8 @@ 0 0 3 +5 +3 0x9ead 0x5cf27ecd 256 @@ -153294,6 +191618,8 @@ 1 0 0 +4 +1 1 0x37b1 0x5dde8d52 @@ -153303,6 +191629,8 @@ 1 0 4 +3 +4 0x814a 0x4d65e862 256 @@ -153310,6 +191638,8 @@ 1 1 0 +1 +5 2 0x672f 0x64a1a9e8 @@ -153318,6 +191648,8 @@ 0 0 0 +4 +1 1 0xdcb 0x2aa06f42 @@ -153326,6 +191658,8 @@ 0 0 0 +0 +0 1 0x547a 0xefcd12dd @@ -153334,6 +191668,8 @@ 1 0 0 +3 +3 1 0x7f39 0x4bfd4f3e @@ -153342,6 +191678,8 @@ 1 0 0 +1 +4 2 0x77b1 0x67f7f152 @@ -153351,6 +191689,8 @@ 0 0 1 +0 +1 0x2820 0x95a5b5c6 256 @@ -153359,6 +191699,8 @@ 0 0 3 +1 +3 0x6dc3 0x2ae929b 256 @@ -153366,6 +191708,8 @@ 1 1 0 +2 +1 1 0xf99c 0xcdd040ba @@ -153374,6 +191718,8 @@ 1 1 0 +3 +3 4 0x21e7 0x947bb30 @@ -153382,6 +191728,8 @@ 1 1 0 +1 +1 2 0xb89a 0x8163b9fb @@ -153390,6 +191738,8 @@ 1 0 0 +3 +0 2 0x1fd8 0x8bc7b72d @@ -153398,6 +191748,8 @@ 0 0 0 +2 +5 3 0x527d 0xe336867e @@ -153406,6 +191758,8 @@ 0 0 0 +1 +3 3 0x5a5f 0xf633a254 @@ -153414,6 +191768,8 @@ 0 0 0 +1 +4 4 0x31c9 0x3ff2d4b1 @@ -153422,6 +191778,8 @@ 1 0 0 +1 +3 3 0x7620 0xa5df081d @@ -153430,6 +191788,8 @@ 1 0 0 +5 +0 4 0x2a0a 0x10b92ba6 @@ -153438,6 +191798,8 @@ 1 0 0 +5 +0 1 0x59c1 0xe1cc875c @@ -153446,6 +191808,8 @@ 0 0 0 +0 +5 4 0xc6d4 0xfaeb9957 @@ -153455,6 +191819,8 @@ 0 0 2 +2 +2 0x2699 0x2de0f24d 256 @@ -153462,6 +191828,8 @@ 1 0 0 +1 +5 2 0x626d 0x96f0bbc4 @@ -153471,6 +191839,8 @@ 1 0 3 +0 +3 0x1d77 0x2ba71fb5 256 @@ -153478,6 +191848,8 @@ 0 0 0 +0 +3 1 0x70d9 0xab01cb76 @@ -153487,6 +191859,8 @@ 0 0 2 +1 +2 0xac14 0x7d76f39f 256 @@ -153494,6 +191868,8 @@ 1 1 0 +4 +5 1 0xd0 0xf6b63181 @@ -153503,6 +191879,8 @@ 0 0 4 +4 +4 0xe01d 0xa5b587a7 256 @@ -153511,6 +191889,8 @@ 0 0 4 +0 +4 0x23a5 0x85855fa6 256 @@ -153518,6 +191898,8 @@ 1 1 0 +2 +4 4 0x4f09 0x97d0606f @@ -153526,6 +191908,8 @@ 0 0 0 +0 +2 3 0x51b1 0x1ea948a4 @@ -153534,6 +191918,8 @@ 1 1 0 +2 +5 3 0x673d 0xa8ad6785 @@ -153542,6 +191928,8 @@ 0 0 0 +4 +0 1 0xee16 0x58c66f4b @@ -153551,6 +191939,8 @@ 0 0 1 +0 +1 0x66a3 0xf7be0380 256 @@ -153558,6 +191948,8 @@ 0 0 0 +1 +0 4 0x689 0x587d9a68 @@ -153566,6 +191958,8 @@ 0 0 0 +2 +2 3 0x6263 0x67d56889 @@ -153574,6 +191968,8 @@ 0 0 0 +4 +3 3 0xcdaa 0xba0a87b2 @@ -153582,6 +191978,8 @@ 1 1 0 +5 +3 2 0xe8f 0x5922c21a @@ -153590,6 +191988,8 @@ 0 0 0 +3 +3 1 0xbacc 0x8563b5e7 @@ -153598,6 +191998,8 @@ 0 0 0 +2 +0 4 0x7ef2 0xdb9e2ca9 @@ -153606,6 +192008,8 @@ 0 0 0 +0 +3 3 0xc46 0xfc1b6ec7 @@ -153614,6 +192018,8 @@ 1 0 0 +2 +0 3 0xa378 0x5aaba1e6 @@ -153623,6 +192029,8 @@ 1 0 2 +4 +2 0xf8f7 0xcf61afc3 256 @@ -153630,6 +192038,8 @@ 0 0 0 +5 +1 2 0xab0d 0xd2036360 @@ -153638,6 +192048,8 @@ 1 1 0 +5 +0 1 0xd36f 0x60a423 @@ -153646,6 +192058,8 @@ 0 0 0 +0 +5 3 0x9cc6 0xde0e7636 @@ -153654,6 +192068,8 @@ 1 0 0 +2 +3 1 0xb885 0x8b0d9d3a @@ -153662,6 +192078,8 @@ 0 0 0 +5 +2 2 0xc51e 0x9f82d7f2 @@ -153670,6 +192088,8 @@ 1 1 0 +1 +1 2 0x2388 0x76974627 @@ -153678,6 +192098,8 @@ 1 1 0 +2 +3 1 0x2c2b 0xc78b6fa0 @@ -153686,6 +192108,8 @@ 1 0 0 +2 +0 4 0x7e2f 0x3ebda407 @@ -153694,6 +192118,8 @@ 0 0 0 +0 +1 4 0x503b 0x8bcf70ff @@ -153702,6 +192128,8 @@ 1 0 0 +5 +0 3 0xd98 0x47e24fab @@ -153710,6 +192138,8 @@ 0 0 0 +1 +4 3 0x58f2 0x199ca567 @@ -153718,6 +192148,8 @@ 1 1 0 +2 +4 4 0x3a87 0x1eed6db2 @@ -153726,6 +192158,8 @@ 0 0 0 +1 +3 4 0xd7fa 0x33bae270 @@ -153734,6 +192168,8 @@ 1 1 0 +0 +0 2 0x7f6f 0x7c4f239a @@ -153743,6 +192179,8 @@ 1 0 4 +3 +4 0x2bad 0xdc4cfd45 256 @@ -153750,6 +192188,8 @@ 0 0 0 +4 +3 3 0xb8ee 0x83b65488 @@ -153758,6 +192198,8 @@ 1 1 0 +4 +4 3 0xa68a 0x279f2a3a @@ -153766,6 +192208,8 @@ 1 0 0 +0 +1 1 0xa1c8 0xb4e6380a @@ -153774,6 +192218,8 @@ 0 0 0 +3 +2 4 0x8aac 0xa79890bf @@ -153782,6 +192228,8 @@ 0 0 0 +5 +1 2 0x8c4c 0x551dcb2b @@ -153790,6 +192238,8 @@ 1 1 0 +1 +1 4 0x52f7 0xcbab388c @@ -153798,6 +192248,8 @@ 0 0 0 +5 +5 2 0x8ab2 0xdb5077a8 @@ -153807,6 +192259,8 @@ 0 0 2 +5 +2 0xa115 0x2f816773 256 @@ -153814,6 +192268,8 @@ 1 0 0 +4 +3 3 0xbb84 0x1aa0e595 @@ -153822,6 +192278,8 @@ 0 0 0 +0 +0 1 0xc45a 0x81e83f8 @@ -153831,6 +192289,8 @@ 0 0 1 +2 +1 0xf7ee 0x3865fa02 256 @@ -153838,6 +192298,8 @@ 1 1 0 +2 +5 3 0x3a66 0xe5888fd5 @@ -153847,6 +192309,8 @@ 0 0 3 +4 +3 0x651e 0xdad3467b 256 @@ -153854,6 +192318,8 @@ 0 0 0 +0 +4 4 0x60dd 0xeedfa661 @@ -153862,6 +192328,8 @@ 1 1 0 +5 +4 3 0xeca2 0xb7652378 @@ -153870,6 +192338,8 @@ 0 0 0 +3 +0 4 0xb73f 0x90a550f2 @@ -153878,6 +192348,8 @@ 1 1 0 +0 +0 1 0xe05d 0x4a90111e @@ -153886,6 +192358,8 @@ 1 1 0 +0 +2 1 0x598b 0x236256c4 @@ -153894,6 +192368,8 @@ 1 0 0 +4 +4 2 0x256f 0x8baaf36 @@ -153902,6 +192378,8 @@ 1 0 0 +2 +2 4 0xafe4 0xd8a64a78 @@ -153910,6 +192388,8 @@ 0 0 0 +3 +3 2 0x685f 0x50018014 @@ -153918,6 +192398,8 @@ 0 0 0 +4 +2 1 0xdae2 0x40adc27e @@ -153927,6 +192409,8 @@ 1 0 2 +2 +2 0x9cd7 0x9f4285a4 256 @@ -153934,6 +192418,8 @@ 0 0 0 +1 +2 2 0x8936 0xf9f70602 @@ -153942,6 +192428,8 @@ 0 0 0 +2 +2 1 0xf05e 0x3fcd16fd @@ -153950,6 +192438,8 @@ 0 0 0 +4 +2 1 0x1515 0xc6dfb9ca @@ -153959,6 +192449,8 @@ 0 0 4 +4 +4 0x4187 0x8be77b85 256 @@ -153966,6 +192458,8 @@ 1 0 0 +0 +2 1 0xa22a 0x335386de @@ -153975,6 +192469,8 @@ 0 0 2 +2 +2 0x4e8f 0xa57a421a 256 @@ -153982,6 +192478,8 @@ 0 0 0 +5 +2 4 0x85ae 0x454929d0 @@ -153990,6 +192488,8 @@ 1 0 0 +4 +0 2 0xf320 0xabca651f @@ -153999,6 +192499,8 @@ 0 0 2 +2 +2 0x4362 0x657c895 256 @@ -154006,6 +192508,8 @@ 0 0 0 +5 +5 3 0xd974 0x1d14be4f @@ -154014,6 +192518,8 @@ 1 1 0 +1 +1 3 0xf5df 0xfc375bbd @@ -154023,6 +192529,8 @@ 0 0 3 +0 +3 0x612 0x15db17db 256 @@ -154031,6 +192539,8 @@ 0 0 3 +3 +3 0xab69 0xc6c6af36 256 @@ -154038,6 +192548,8 @@ 1 1 0 +4 +2 2 0xece2 0x87314a0 @@ -154046,6 +192558,8 @@ 1 1 0 +0 +4 2 0xf559 0x2a3a0840 @@ -154054,6 +192568,8 @@ 0 0 0 +1 +3 2 0x886d 0xc7313b17 @@ -154062,6 +192578,8 @@ 0 0 0 +3 +0 4 0xc251 0xde75f0df @@ -154070,6 +192588,8 @@ 0 0 0 +5 +0 4 0x1f61 0x98dc6bc4 @@ -154079,6 +192599,8 @@ 0 0 2 +0 +2 0xf02c 0xfede9442 256 @@ -154086,6 +192608,8 @@ 1 0 0 +2 +1 3 0x9917 0x75e84a7e @@ -154094,6 +192618,8 @@ 0 0 0 +1 +4 4 0x3fcf 0x6281164d @@ -154102,6 +192628,8 @@ 1 1 0 +5 +1 4 0x5fdb 0x1d204f14 @@ -154110,6 +192638,8 @@ 0 0 0 +2 +4 1 0x35c1 0xf1f36e6c @@ -154118,6 +192648,8 @@ 0 0 0 +4 +0 3 0xc50a 0xdbf6e38d @@ -154127,6 +192659,8 @@ 1 0 4 +3 +4 0xf6f1 0xcef04db5 256 @@ -154134,6 +192668,8 @@ 1 0 0 +0 +3 1 0xf3e6 0x74c85339 @@ -154142,6 +192678,8 @@ 1 0 0 +1 +5 3 0x4fc4 0xe12dd298 @@ -154150,6 +192688,8 @@ 0 0 0 +5 +0 3 0x606f 0xa49910f @@ -154158,6 +192698,8 @@ 0 0 0 +1 +1 2 0x6476 0xb777b644 @@ -154166,6 +192708,8 @@ 0 0 0 +2 +0 1 0x47da 0x1ef52b46 @@ -154174,6 +192718,8 @@ 0 0 0 +5 +4 1 0xd573 0xcb4fae0b @@ -154182,6 +192728,8 @@ 1 1 0 +0 +4 1 0x17b5 0xa3c3797a @@ -154190,6 +192738,8 @@ 0 0 0 +4 +2 1 0x55a4 0xa70ca13c @@ -154199,6 +192749,8 @@ 0 0 1 +4 +1 0xd717 0x9d52b4f0 256 @@ -154206,6 +192758,8 @@ 1 0 0 +3 +0 2 0x5ff9 0x2fee4da7 @@ -154214,6 +192768,8 @@ 1 0 0 +2 +0 3 0xa03d 0x4a4adcb9 @@ -154222,6 +192778,8 @@ 0 0 0 +2 +3 3 0x37ea 0xedcc792a @@ -154230,6 +192788,8 @@ 1 1 0 +5 +1 3 0xc812 0x1a29e2dd @@ -154238,6 +192798,8 @@ 1 1 0 +3 +0 4 0xed2b 0x320cbb9f @@ -154247,6 +192809,8 @@ 0 0 2 +3 +2 0x406e 0x5b728ad4 256 @@ -154254,6 +192818,8 @@ 1 0 0 +5 +0 4 0x30d9 0xa862e369 @@ -154263,6 +192829,8 @@ 0 0 1 +4 +1 0x6912 0xa59ff29e 256 @@ -154270,6 +192838,8 @@ 1 0 0 +4 +5 1 0x4f27 0x52622ffa @@ -154278,6 +192848,8 @@ 1 0 0 +3 +3 4 0xbaa6 0x684f2272 @@ -154286,6 +192858,8 @@ 1 1 0 +2 +1 1 0x1ebf 0xb3c63c22 @@ -154294,6 +192868,8 @@ 1 0 0 +4 +0 2 0x140e 0x4d3de722 @@ -154302,6 +192878,8 @@ 0 0 0 +4 +1 3 0x8eb0 0x60ca7200 @@ -154311,6 +192889,8 @@ 0 0 2 +0 +2 0xc329 0x10e09a54 256 @@ -154318,6 +192898,8 @@ 1 0 0 +4 +3 1 0x5651 0x6a68a8e0 @@ -154326,6 +192908,8 @@ 0 0 0 +1 +2 3 0x1b6a 0xc3b9ae3f @@ -154335,6 +192919,8 @@ 0 0 3 +3 +3 0xd63e 0x7fa8f9d8 256 @@ -154342,6 +192928,8 @@ 1 1 0 +0 +1 3 0xf025 0x5fec91ff @@ -154350,6 +192938,8 @@ 0 0 0 +5 +0 3 0x8360 0xd751b5e @@ -154358,6 +192948,8 @@ 1 0 0 +1 +3 3 0xc634 0xe15621bf @@ -154367,6 +192959,8 @@ 0 0 2 +5 +2 0x37d9 0x7bf8f7da 256 @@ -154375,6 +192969,8 @@ 0 0 2 +0 +2 0x5359 0xfa8dd66d 256 @@ -154382,6 +192978,8 @@ 0 0 0 +1 +4 3 0xdc00 0x139c0579 @@ -154391,6 +192989,8 @@ 0 0 3 +4 +3 0x46c4 0x3f39cfd5 256 @@ -154399,6 +192999,8 @@ 0 0 1 +2 +1 0x8cf1 0xd85b3664 256 @@ -154407,6 +193009,8 @@ 0 0 2 +1 +2 0x11c7 0xe2c820da 256 @@ -154414,6 +193018,8 @@ 0 0 0 +3 +2 2 0xba06 0x1c061700 @@ -154422,6 +193028,8 @@ 0 0 0 +1 +3 2 0x2c61 0x5aa7de05 @@ -154430,6 +193038,8 @@ 0 0 0 +0 +1 1 0x8612 0xad4b6e3a @@ -154438,6 +193048,8 @@ 1 1 0 +2 +5 4 0x2455 0xb38aa5fc @@ -154446,6 +193058,8 @@ 1 1 0 +5 +0 1 0xa084 0xed4a6e44 @@ -154454,6 +193068,8 @@ 0 0 0 +5 +0 1 0x5ffe 0x9dc949fa @@ -154462,6 +193078,8 @@ 0 0 0 +5 +1 1 0x2dc1 0x253a15f5 @@ -154470,6 +193088,8 @@ 0 0 0 +5 +0 2 0x77df 0x15314d6f @@ -154478,6 +193098,8 @@ 0 0 0 +5 +1 2 0xad9 0x6ee5c81e @@ -154486,6 +193108,8 @@ 0 0 0 +2 +5 1 0x2789 0x410661a2 @@ -154494,6 +193118,8 @@ 0 0 0 +0 +4 4 0x2e16 0x399526f2 @@ -154502,6 +193128,8 @@ 1 0 0 +5 +0 1 0xedfd 0x3f036d26 @@ -154510,6 +193138,8 @@ 1 0 0 +4 +4 1 0x438a 0x61d2150e @@ -154518,6 +193148,8 @@ 1 0 0 +5 +0 4 0xd59f 0xdbac630e @@ -154526,6 +193158,8 @@ 1 0 0 +0 +1 1 0x135d 0x35ff42fe @@ -154534,6 +193168,8 @@ 1 0 0 +2 +4 4 0x126f 0x9683263f @@ -154542,6 +193178,8 @@ 1 0 0 +5 +2 1 0xd5b9 0xe5e2484c @@ -154550,6 +193188,8 @@ 1 0 0 +5 +4 3 0x7a1c 0x1b3722c0 @@ -154558,6 +193198,8 @@ 1 0 0 +5 +5 1 0x12b8 0x625e4d4d @@ -154566,6 +193208,8 @@ 0 0 0 +2 +4 1 0xf34 0xdb9fa7f5 @@ -154574,6 +193218,8 @@ 1 0 0 +5 +4 2 0x53ad 0x9c86627e @@ -154582,6 +193228,8 @@ 1 1 0 +3 +1 2 0xd793 0x4a5dfe5d @@ -154590,6 +193238,8 @@ 0 0 0 +2 +0 3 0xcc87 0x1e93bf6d @@ -154598,6 +193248,8 @@ 1 1 0 +1 +5 4 0x1c87 0xe8a3ded4 @@ -154606,6 +193258,8 @@ 0 0 0 +2 +0 4 0xca9 0xc929f94 @@ -154614,6 +193268,8 @@ 0 0 0 +5 +4 3 0x8dea 0x19ff0a9b @@ -154622,6 +193278,8 @@ 0 0 0 +3 +1 4 0xdb80 0xf3525ebe @@ -154631,6 +193289,8 @@ 0 0 4 +1 +4 0x2d72 0x51157833 256 @@ -154638,6 +193298,8 @@ 0 0 0 +0 +0 4 0x9e81 0x44084d61 @@ -154647,6 +193309,8 @@ 0 0 4 +3 +4 0x358c 0x80f8d004 256 @@ -154655,6 +193319,8 @@ 0 0 2 +3 +2 0xdfe9 0x74ba620c 256 @@ -154662,6 +193328,8 @@ 1 1 0 +5 +2 2 0xf7a8 0xec7e2a08 @@ -154670,6 +193338,8 @@ 1 0 0 +2 +5 3 0x59eb 0xdf10d6ff @@ -154678,6 +193348,8 @@ 1 1 0 +1 +1 3 0xeb2b 0x40f9234d @@ -154686,6 +193358,8 @@ 0 0 0 +0 +0 2 0xe46e 0xccd7bc63 @@ -154694,6 +193368,8 @@ 0 0 0 +2 +4 3 0x6e5d 0x68cad777 @@ -154702,6 +193378,8 @@ 1 0 0 +3 +5 2 0xaaa 0x9bfcf439 @@ -154710,6 +193388,8 @@ 1 1 0 +4 +3 3 0xac4c 0x86a1baa1 @@ -154718,6 +193398,8 @@ 0 0 0 +4 +2 3 0x40cb 0xb2c56350 @@ -154726,6 +193408,8 @@ 1 1 0 +4 +0 2 0xcd3b 0xaa86b267 @@ -154735,6 +193419,8 @@ 0 0 1 +0 +1 0xc417 0x94e658c5 256 @@ -154742,6 +193428,8 @@ 0 0 0 +1 +1 2 0x5eaa 0x49884c46 @@ -154750,6 +193438,8 @@ 1 1 0 +2 +0 4 0x9cfb 0xf82bc413 @@ -154758,6 +193448,8 @@ 1 1 0 +2 +5 1 0x1f39 0xc4e445eb @@ -154766,6 +193458,8 @@ 0 0 0 +0 +1 3 0xc863 0xd501575f @@ -154774,6 +193468,8 @@ 1 1 0 +4 +2 2 0x3df7 0x2ee81b77 @@ -154782,6 +193478,8 @@ 1 1 0 +1 +1 3 0x3fbc 0xec9f3314 @@ -154790,6 +193488,8 @@ 0 0 0 +0 +2 2 0x846a 0x7c2d273e @@ -154798,6 +193498,8 @@ 1 1 0 +3 +1 1 0x84f8 0x84ab10f9 @@ -154806,6 +193508,8 @@ 0 0 0 +0 +0 2 0xe70c 0x2862fdfa @@ -154814,6 +193518,8 @@ 1 1 0 +5 +5 2 0xd02f 0xe8ab1223 @@ -154823,6 +193529,8 @@ 0 0 1 +4 +1 0xa822 0xa4be6941 256 @@ -154830,6 +193538,8 @@ 1 0 0 +0 +4 1 0xebba 0x947f80b9 @@ -154838,6 +193548,8 @@ 1 0 0 +2 +2 4 0xc81 0xef398e6d @@ -154846,6 +193558,8 @@ 1 0 0 +4 +0 3 0xd297 0x27d4a6a8 @@ -154854,6 +193568,8 @@ 0 0 0 +2 +3 3 0xc592 0xe10bf2b8 @@ -154862,6 +193578,8 @@ 0 0 0 +2 +4 1 0xc3df 0xafe134ad @@ -154871,6 +193589,8 @@ 0 0 1 +0 +1 0xcb2e 0x1c635d23 256 @@ -154878,6 +193598,8 @@ 0 0 0 +5 +5 2 0xbb18 0xbcc1b92a @@ -154886,6 +193608,8 @@ 0 0 0 +3 +3 1 0x9675 0xf03b157a @@ -154894,6 +193618,8 @@ 1 1 0 +1 +0 2 0xd789 0x4adb36d @@ -154902,6 +193628,8 @@ 1 0 0 +1 +2 4 0x61a1 0x493ca103 @@ -154910,6 +193638,8 @@ 1 1 0 +2 +4 4 0x2fa 0x2fd63250 @@ -154918,6 +193648,8 @@ 1 1 0 +3 +2 2 0x6f8c 0xab16107d @@ -154927,6 +193659,8 @@ 0 0 2 +1 +2 0x89f6 0xd93bb345 256 @@ -154934,6 +193668,8 @@ 0 0 0 +3 +5 4 0x1096 0xb9ea3940 @@ -154942,6 +193678,8 @@ 1 1 0 +5 +3 1 0x5429 0x6a8e099a @@ -154950,6 +193688,8 @@ 0 0 0 +2 +2 4 0x7e60 0x48a8ba3a @@ -154958,6 +193698,8 @@ 0 0 0 +1 +3 3 0x9aa1 0xaf602b7f @@ -154966,6 +193708,8 @@ 1 1 0 +0 +2 4 0xa2a 0x85c44c67 @@ -154975,6 +193719,8 @@ 0 0 3 +1 +3 0x2e0a 0xf4032353 256 @@ -154982,6 +193728,8 @@ 1 0 0 +1 +2 3 0x80f 0xdd7da128 @@ -154991,6 +193739,8 @@ 1 0 1 +4 +1 0xf153 0x2b590011 256 @@ -154998,6 +193748,8 @@ 0 0 0 +0 +5 2 0xc74f 0x490af68d @@ -155007,6 +193759,8 @@ 1 0 2 +0 +2 0x610c 0xc89f1e4d 256 @@ -155014,6 +193768,8 @@ 1 1 0 +3 +1 2 0x93e9 0x10394c42 @@ -155022,6 +193778,8 @@ 1 1 0 +5 +1 3 0x88aa 0x17cd51de @@ -155030,6 +193788,8 @@ 0 0 0 +4 +1 3 0x8427 0x5a9b60fb @@ -155038,6 +193798,8 @@ 0 0 0 +0 +2 3 0xfb83 0xd60d542a @@ -155046,6 +193808,8 @@ 0 0 0 +0 +3 1 0xc1bd 0x3563c89b @@ -155054,6 +193818,8 @@ 1 1 0 +3 +3 4 0x7f16 0x59d8c21f @@ -155062,6 +193828,8 @@ 0 0 0 +3 +5 1 0x832a 0xe8f5a53d @@ -155070,6 +193838,8 @@ 1 1 0 +4 +0 1 0x302d 0x299c1a85 @@ -155078,6 +193848,8 @@ 0 0 0 +2 +5 1 0x19c7 0x6ba7a42 @@ -155087,6 +193859,8 @@ 0 0 4 +0 +4 0x8c7f 0xf5815654 256 @@ -155094,6 +193868,8 @@ 1 1 0 +2 +3 3 0x2ab1 0x3bc2f547 @@ -155102,6 +193878,8 @@ 0 0 0 +4 +1 3 0x15be 0x2ad57dd4 @@ -155110,6 +193888,8 @@ 0 0 0 +5 +3 2 0xdd9b 0x8afc011c @@ -155118,6 +193898,8 @@ 0 0 0 +5 +5 2 0x438 0xb98e05b9 @@ -155126,6 +193908,8 @@ 0 0 0 +0 +4 3 0x79ff 0x81b5b302 @@ -155134,6 +193918,8 @@ 0 0 0 +4 +3 1 0xc220 0x75f314be @@ -155142,6 +193928,8 @@ 0 0 0 +5 +0 3 0xcaf6 0x88f1ba68 @@ -155151,6 +193939,8 @@ 1 0 3 +4 +3 0xff90 0xb9395901 256 @@ -155158,6 +193948,8 @@ 1 0 0 +3 +1 2 0x5480 0xe74dea7f @@ -155166,6 +193958,8 @@ 1 1 0 +2 +2 1 0xa2ab 0x60751cb5 @@ -155174,6 +193968,8 @@ 1 0 0 +5 +0 2 0x8c3b 0x79132f3e @@ -155182,6 +193978,8 @@ 1 1 0 +4 +4 3 0xcc76 0x2c017157 @@ -155190,6 +193988,8 @@ 0 0 0 +2 +1 1 0xa9ec 0xe7038772 @@ -155199,6 +193999,8 @@ 0 0 2 +1 +2 0xa2cc 0x13012a68 256 @@ -155206,6 +194008,8 @@ 0 0 0 +1 +1 4 0xace3 0x92eaf33f @@ -155214,6 +194018,8 @@ 0 0 0 +4 +0 1 0xda7e 0x65683599 @@ -155222,6 +194028,8 @@ 0 0 0 +4 +5 2 0x3e01 0xcd360263 @@ -155230,6 +194038,8 @@ 1 0 0 +0 +4 2 0x83c 0x1dc7c3ef @@ -155238,6 +194048,8 @@ 1 1 0 +0 +1 2 0x490f 0x433865f2 @@ -155246,6 +194058,8 @@ 0 0 0 +0 +4 3 0x3e74 0xc37d0c01 @@ -155254,6 +194068,8 @@ 0 0 0 +2 +2 3 0x1fee 0x5e8664d9 @@ -155262,6 +194078,8 @@ 1 1 0 +5 +4 3 0xb57e 0x3174f9ff @@ -155270,6 +194088,8 @@ 1 0 0 +1 +2 3 0x3813 0xe900a71 @@ -155278,6 +194098,8 @@ 0 0 0 +0 +0 1 0x183a 0xad02e15b @@ -155286,6 +194108,8 @@ 1 0 0 +2 +1 1 0xfea6 0x81eccbbb @@ -155294,6 +194118,8 @@ 1 0 0 +5 +4 2 0xee56 0xcae8940d @@ -155302,6 +194128,8 @@ 1 1 0 +4 +2 2 0xd3c6 0x8d69b52a @@ -155310,6 +194138,8 @@ 0 0 0 +4 +1 2 0xbe74 0xa8f4c297 @@ -155318,6 +194148,8 @@ 0 0 0 +3 +4 2 0xd9c0 0x6ff767b6 @@ -155326,6 +194158,8 @@ 1 0 0 +3 +3 1 0x488a 0x393bfd39 @@ -155334,6 +194168,8 @@ 1 0 0 +5 +1 1 0xe7d9 0x9f6adfa7 @@ -155342,6 +194178,8 @@ 0 0 0 +4 +1 2 0xc8a9 0xf03c0719 @@ -155350,6 +194188,8 @@ 0 0 0 +0 +4 1 0xe06e 0x7e99b6b5 @@ -155358,6 +194198,8 @@ 1 0 0 +5 +4 4 0x367d 0x51296cd9 @@ -155366,6 +194208,8 @@ 0 0 0 +0 +3 4 0xaca8 0x6c2c9f48 @@ -155374,6 +194218,8 @@ 0 0 0 +4 +3 2 0x7d73 0x39cb2801 @@ -155382,6 +194228,8 @@ 1 1 0 +2 +2 3 0x255e 0xd90a9bbb @@ -155390,6 +194238,8 @@ 0 0 0 +5 +0 2 0x9760 0xd928cd20 @@ -155399,6 +194249,8 @@ 0 0 2 +0 +2 0xe02b 0xe3458c5c 256 @@ -155406,6 +194258,8 @@ 0 0 0 +3 +3 1 0x7c8 0xad8c9e2a @@ -155414,6 +194268,8 @@ 1 0 0 +3 +4 2 0x98f7 0xb8b11e7c @@ -155422,6 +194278,8 @@ 0 0 0 +2 +0 1 0x5741 0xb4a311f5 @@ -155431,6 +194289,8 @@ 0 0 3 +2 +3 0x84d3 0x7da6faa2 256 @@ -155438,6 +194298,8 @@ 1 0 0 +1 +5 2 0x357e 0x93353d1d @@ -155447,6 +194309,8 @@ 0 0 3 +5 +3 0xb905 0xbf94e4da 256 @@ -155454,6 +194318,8 @@ 0 0 0 +5 +2 3 0xe790 0x550503a3 @@ -155462,6 +194328,8 @@ 1 0 0 +3 +0 1 0x8777 0xb36bd74f @@ -155470,6 +194338,8 @@ 0 0 0 +2 +5 4 0xb369 0x8a55196d @@ -155478,6 +194348,8 @@ 1 0 0 +5 +1 2 0xb10f 0xa5b7ff97 @@ -155486,6 +194358,8 @@ 0 0 0 +5 +2 1 0xfb33 0xa5f28a0f @@ -155494,6 +194368,8 @@ 0 0 0 +0 +4 1 0xf62b 0x4656b516 @@ -155502,6 +194378,8 @@ 1 0 0 +1 +0 3 0xfacf 0x1f50326d @@ -155511,6 +194389,8 @@ 1 0 4 +1 +4 0x287d 0xe6f554f2 256 @@ -155518,6 +194398,8 @@ 1 1 0 +3 +1 1 0x4003 0x702dc130 @@ -155526,6 +194408,8 @@ 0 0 0 +4 +3 1 0x5841 0x55d00a13 @@ -155534,6 +194418,8 @@ 0 0 0 +1 +1 4 0x9357 0x603ab61a @@ -155543,6 +194429,8 @@ 0 0 3 +2 +3 0x7fb 0x58b24177 256 @@ -155550,6 +194438,8 @@ 0 0 0 +5 +1 1 0xb92b 0xeba77889 @@ -155558,6 +194448,8 @@ 1 1 0 +1 +4 3 0x37d3 0xc7b24da1 @@ -155566,6 +194458,8 @@ 0 0 0 +1 +3 2 0x2db4 0xd793d6bf @@ -155574,6 +194468,8 @@ 1 1 0 +2 +1 3 0x84bf 0x44bc2440 @@ -155582,6 +194478,8 @@ 1 1 0 +2 +1 3 0xa974 0xc97d4f31 @@ -155590,6 +194488,8 @@ 0 0 0 +5 +1 2 0x5f37 0xa99e5bbe @@ -155598,6 +194498,8 @@ 0 0 0 +0 +4 3 0xc8de 0x8f6a926 @@ -155606,6 +194508,8 @@ 1 0 0 +0 +0 4 0x1302 0x20fa3165 @@ -155614,6 +194518,8 @@ 0 0 0 +0 +1 1 0x3947 0x641a77f2 @@ -155623,6 +194529,8 @@ 0 0 1 +3 +1 0x3e56 0x3afb810c 256 @@ -155630,6 +194538,8 @@ 0 0 0 +5 +0 1 0x500c 0x7d7c9111 @@ -155638,6 +194548,8 @@ 0 0 0 +2 +3 3 0x11c 0x15fd086d @@ -155647,6 +194559,8 @@ 0 0 4 +2 +4 0x543d 0xf54753c6 256 @@ -155654,6 +194568,8 @@ 1 0 0 +2 +5 3 0xd723 0x85d4ec36 @@ -155663,6 +194579,8 @@ 0 0 2 +4 +2 0x5c02 0xe5628bca 256 @@ -155671,6 +194589,8 @@ 0 0 3 +3 +3 0x359 0xcc18abee 256 @@ -155679,6 +194599,8 @@ 0 0 3 +2 +3 0xba52 0x3c27f408 256 @@ -155687,6 +194609,8 @@ 1 0 4 +1 +4 0x40ea 0x3b83450c 256 @@ -155694,6 +194618,8 @@ 1 1 0 +3 +1 2 0x7bf6 0x1de3b414 @@ -155702,6 +194628,8 @@ 0 0 0 +3 +3 1 0x5ab0 0xdc3cebc2 @@ -155711,6 +194639,8 @@ 0 0 1 +2 +1 0x67cc 0x59cb6dc1 256 @@ -155719,6 +194649,8 @@ 0 0 1 +5 +1 0xae94 0x17420a7f 256 @@ -155726,6 +194658,8 @@ 0 0 0 +2 +5 1 0xdcf9 0xa2013e43 @@ -155734,6 +194668,8 @@ 0 0 0 +5 +3 4 0xf86b 0x8bea74e3 @@ -155742,6 +194678,8 @@ 0 0 0 +3 +0 4 0x3ce0 0x9b9a47d7 @@ -155750,6 +194688,8 @@ 1 1 0 +4 +3 3 0x673 0xcd364b77 @@ -155758,6 +194698,8 @@ 1 0 0 +3 +5 4 0xc5ef 0x9c3e6fa2 @@ -155766,6 +194708,8 @@ 0 0 0 +3 +5 1 0xb7e8 0xc699dd2d @@ -155774,6 +194718,8 @@ 1 0 0 +2 +5 1 0x68a0 0xb83ff78c @@ -155782,6 +194728,8 @@ 1 0 0 +5 +1 3 0x559a 0xcd8ca2d3 @@ -155790,6 +194738,8 @@ 1 1 0 +3 +5 1 0xe98c 0x6ddd43ae @@ -155798,6 +194748,8 @@ 1 1 0 +3 +4 1 0x9689 0x6836af2e @@ -155806,6 +194758,8 @@ 0 0 0 +4 +2 1 0xf75d 0x6e66000 @@ -155814,6 +194768,8 @@ 0 0 0 +3 +1 4 0xab28 0x46d8b495 @@ -155822,6 +194778,8 @@ 1 0 0 +0 +5 3 0xd6b6 0xc77dc022 @@ -155830,6 +194788,8 @@ 0 0 0 +2 +3 4 0xbc80 0xfa892bd1 @@ -155838,6 +194798,8 @@ 1 0 0 +1 +5 3 0xc266 0xe8b21ad6 @@ -155846,6 +194808,8 @@ 1 0 0 +0 +1 2 0x2422 0x8153974e @@ -155854,6 +194818,8 @@ 0 0 0 +2 +0 3 0xad9e 0xdf3fe87d @@ -155863,6 +194829,8 @@ 0 0 4 +1 +4 0xbb9b 0xef5ca23c 256 @@ -155870,6 +194838,8 @@ 1 0 0 +3 +3 4 0x2ac3 0xc18b6ac0 @@ -155878,6 +194848,8 @@ 1 1 0 +5 +3 4 0x692d 0xbf040ee0 @@ -155887,6 +194859,8 @@ 0 0 4 +5 +4 0xb04f 0xab2c0da1 256 @@ -155894,6 +194868,8 @@ 0 0 0 +5 +3 4 0x1fc4 0xaa52264b @@ -155902,6 +194878,8 @@ 1 1 0 +3 +0 2 0x2b6d 0x910999bb @@ -155910,6 +194888,8 @@ 1 0 0 +1 +4 3 0x924 0x588f4bd7 @@ -155918,6 +194898,8 @@ 0 0 0 +4 +5 1 0xfc5e 0xad97c443 @@ -155926,6 +194908,8 @@ 0 0 0 +4 +0 2 0x358a 0xf9ba09b2 @@ -155934,6 +194918,8 @@ 0 0 0 +3 +4 4 0x3a23 0x94d9cd4f @@ -155942,6 +194928,8 @@ 0 0 0 +4 +1 2 0x4b53 0x9db40ad5 @@ -155950,6 +194938,8 @@ 1 0 0 +2 +0 3 0x7bea 0x62f41f6d @@ -155958,6 +194948,8 @@ 1 0 0 +1 +0 4 0x6dbf 0xf9621ba1 @@ -155966,6 +194958,8 @@ 1 1 0 +5 +0 1 0xbcbb 0xace8f3e1 @@ -155974,6 +194968,8 @@ 0 0 0 +4 +1 3 0xf61 0x99431db9 @@ -155982,6 +194978,8 @@ 0 0 0 +1 +3 4 0xedb0 0xfbfb1a29 @@ -155990,6 +194988,8 @@ 0 0 0 +0 +0 3 0x8e38 0x74f08927 @@ -155998,6 +194998,8 @@ 0 0 0 +0 +2 1 0x5fc9 0xf3c15971 @@ -156006,6 +195008,8 @@ 0 0 0 +3 +3 2 0xfadd 0x88d8af6c @@ -156014,6 +195018,8 @@ 0 0 0 +0 +4 2 0x1630 0xf8835dde @@ -156022,6 +195028,8 @@ 1 0 0 +0 +5 1 0x1440 0x7a1a8716 @@ -156031,6 +195039,8 @@ 0 0 2 +3 +2 0xfafd 0xddc9faba 256 @@ -156038,6 +195048,8 @@ 1 0 0 +0 +5 2 0x1f27 0x963ed210 @@ -156046,6 +195058,8 @@ 1 1 0 +0 +1 2 0x6c5f 0xc81d5b0d @@ -156054,6 +195068,8 @@ 1 1 0 +1 +0 2 0x97bc 0x5342eb5e @@ -156062,6 +195078,8 @@ 0 0 0 +5 +3 4 0x2865 0x748092a8 @@ -156070,6 +195088,8 @@ 1 0 0 +3 +0 4 0x56c0 0xbd29c0be @@ -156078,6 +195098,8 @@ 0 0 0 +5 +1 1 0x2b1a 0xcf265ca3 @@ -156086,6 +195108,8 @@ 0 0 0 +5 +0 4 0xe019 0x4d603a2c @@ -156094,6 +195118,8 @@ 0 0 0 +4 +1 3 0xb40e 0xd78c2590 @@ -156102,6 +195128,8 @@ 1 0 0 +3 +4 1 0xe9d9 0x27deefdc @@ -156110,6 +195138,8 @@ 1 0 0 +5 +2 3 0xeffa 0x2c7e7ac5 @@ -156118,6 +195148,8 @@ 1 1 0 +4 +2 2 0x685d 0xcb019d5a @@ -156127,6 +195159,8 @@ 0 0 3 +1 +3 0x64ad 0x9c871cc 256 @@ -156134,6 +195168,8 @@ 1 0 0 +4 +4 3 0xbc8d 0x160612da @@ -156142,6 +195178,8 @@ 1 0 0 +4 +4 3 0x5f02 0xc4a3479 @@ -156151,6 +195189,8 @@ 1 0 2 +3 +2 0x9ea1 0xe5e53a71 256 @@ -156158,6 +195198,8 @@ 1 0 0 +1 +1 3 0x3120 0x3c165054 @@ -156166,6 +195208,8 @@ 1 0 0 +3 +5 2 0x6f00 0xc0ce0f1b @@ -156174,6 +195218,8 @@ 0 0 0 +5 +3 3 0x8007 0x6564f2d7 @@ -156182,6 +195228,8 @@ 1 0 0 +2 +4 1 0x3ac3 0x2515a5ad @@ -156191,6 +195239,8 @@ 0 0 1 +0 +1 0x7fe1 0xa3a7acca 256 @@ -156198,6 +195248,8 @@ 1 1 0 +0 +1 3 0x478d 0x6be836ab @@ -156207,6 +195259,8 @@ 0 0 4 +5 +4 0x6b8e 0x9ac25a7f 256 @@ -156215,6 +195269,8 @@ 0 0 1 +1 +1 0x7164 0x17ce31bb 256 @@ -156222,6 +195278,8 @@ 0 0 0 +0 +3 3 0x1d44 0x42cb1e6 @@ -156230,6 +195288,8 @@ 0 0 0 +2 +2 1 0x5187 0xc188c3f6 @@ -156238,6 +195298,8 @@ 0 0 0 +5 +0 3 0x17bc 0x9056f0ea @@ -156246,6 +195308,8 @@ 0 0 0 +2 +1 3 0xc167 0xea2b234c @@ -156254,6 +195318,8 @@ 1 1 0 +3 +1 4 0xd6b6 0xf2c7e7ce @@ -156262,6 +195328,8 @@ 1 1 0 +4 +0 3 0xd7a7 0xc183bc0d @@ -156270,6 +195338,8 @@ 1 0 0 +4 +0 3 0xbb46 0x7db1d064 @@ -156279,6 +195349,8 @@ 1 0 1 +5 +1 0x3482 0x1297b34b 256 @@ -156286,6 +195358,8 @@ 1 0 0 +1 +5 3 0x960 0xd7138541 @@ -156295,6 +195369,8 @@ 0 0 3 +1 +3 0x2a35 0xdce21f05 256 @@ -156302,6 +195378,8 @@ 1 0 0 +5 +0 2 0x811a 0x440e4134 @@ -156310,6 +195388,8 @@ 1 0 0 +5 +4 1 0x18ea 0x1059feaf @@ -156318,6 +195398,8 @@ 1 0 0 +0 +1 1 0xcd17 0xb0a27d6b @@ -156326,6 +195408,8 @@ 0 0 0 +0 +3 3 0xdb02 0x43a33224 @@ -156334,6 +195418,8 @@ 0 0 0 +2 +3 4 0x9f37 0x2b6948e2 @@ -156342,6 +195428,8 @@ 0 0 0 +4 +2 3 0x4458 0x23d9778a @@ -156350,6 +195438,8 @@ 0 0 0 +4 +5 2 0x9134 0xd60d33f3 @@ -156358,6 +195448,8 @@ 0 0 0 +0 +0 1 0x1eab 0xe2d72994 @@ -156366,6 +195458,8 @@ 1 1 0 +5 +1 1 0x9e53 0x895be800 @@ -156374,6 +195468,8 @@ 1 1 0 +5 +5 2 0x38a8 0x9b5b25e9 @@ -156382,6 +195478,8 @@ 0 0 0 +5 +4 1 0x6461 0x2969712c @@ -156390,6 +195488,8 @@ 0 0 0 +4 +3 2 0xcc87 0xb4fc991b @@ -156398,6 +195498,8 @@ 0 0 0 +5 +4 4 0xfd01 0xe7afa9c5 @@ -156406,6 +195508,8 @@ 1 1 0 +2 +2 4 0xb08 0x5139b46a @@ -156414,6 +195518,8 @@ 0 0 0 +0 +4 4 0x301 0x88810324 @@ -156422,6 +195528,8 @@ 1 0 0 +2 +3 1 0xdc45 0xbdf54c46 @@ -156430,6 +195538,8 @@ 0 0 0 +0 +3 1 0x92ad 0xe52eecfb @@ -156438,6 +195548,8 @@ 1 1 0 +3 +3 4 0xbf63 0x9dac29ad @@ -156446,6 +195558,8 @@ 1 1 0 +2 +0 3 0xfbf8 0x596922c9 @@ -156455,6 +195569,8 @@ 0 0 2 +0 +2 0x1eea 0x25d60866 256 @@ -156462,6 +195578,8 @@ 0 0 0 +1 +0 2 0xc73b 0x83009cd1 @@ -156470,6 +195588,8 @@ 0 0 0 +2 +2 4 0xf14c 0x55d096a4 @@ -156478,6 +195598,8 @@ 0 0 0 +1 +3 3 0x297 0x691367a6 @@ -156486,6 +195608,8 @@ 0 0 0 +5 +1 1 0x35b5 0x91398032 @@ -156494,6 +195618,8 @@ 0 0 0 +0 +5 1 0xe88e 0x55f65afe @@ -156502,6 +195628,8 @@ 1 0 0 +1 +4 3 0xd35c 0x9e166202 @@ -156510,6 +195638,8 @@ 0 0 0 +2 +2 3 0x77d7 0x4290baed @@ -156518,6 +195648,8 @@ 1 1 0 +3 +5 1 0x6ca 0xc637f8d0 @@ -156526,6 +195658,8 @@ 0 0 0 +1 +2 4 0xb192 0xf67e9e7d @@ -156534,6 +195668,8 @@ 1 1 0 +2 +0 1 0xe5ab 0x9c074428 @@ -156542,6 +195678,8 @@ 1 1 0 +4 +3 1 0x79 0xd804cb40 @@ -156550,6 +195688,8 @@ 0 0 0 +3 +5 2 0xc200 0x3e736246 @@ -156558,6 +195698,8 @@ 1 0 0 +2 +4 1 0xd875 0x3c65eae6 @@ -156567,6 +195709,8 @@ 0 0 2 +4 +2 0x6901 0xa3b37807 256 @@ -156574,6 +195718,8 @@ 0 0 0 +4 +3 1 0x9d3d 0x2b5fcd3b @@ -156582,6 +195728,8 @@ 0 0 0 +2 +0 4 0xa7a6 0xf3f93a34 @@ -156590,6 +195738,8 @@ 0 0 0 +5 +0 4 0x12b2 0xafe3f87b @@ -156599,6 +195749,8 @@ 0 0 3 +4 +3 0x6b85 0x43ecbe84 256 @@ -156606,6 +195758,8 @@ 1 1 0 +3 +1 1 0xd3f1 0xa3d4d26a @@ -156614,6 +195768,8 @@ 1 1 0 +5 +4 1 0x727a 0x12aff076 @@ -156622,6 +195778,8 @@ 0 0 0 +1 +5 2 0x85a3 0x68f2510d @@ -156630,6 +195788,8 @@ 0 0 0 +5 +3 3 0xe7e8 0x539014eb @@ -156638,6 +195798,8 @@ 0 0 0 +1 +4 3 0xdf10 0xd3239b52 @@ -156646,6 +195808,8 @@ 0 0 0 +5 +2 3 0x795a 0x6a6e1a06 @@ -156654,6 +195818,8 @@ 0 0 0 +2 +4 1 0xaa9c 0x9e89ebc8 @@ -156662,6 +195828,8 @@ 0 0 0 +5 +1 1 0x8099 0x3558263b @@ -156670,6 +195838,8 @@ 1 1 0 +1 +1 4 0x411d 0xc03e8c06 @@ -156679,6 +195849,8 @@ 0 0 1 +2 +1 0x74ea 0xbaa394d9 256 @@ -156686,6 +195858,8 @@ 0 0 0 +3 +0 1 0x65c6 0x9ae372a8 @@ -156694,6 +195868,8 @@ 1 0 0 +0 +3 1 0x7134 0x34b33c29 @@ -156702,6 +195878,8 @@ 0 0 0 +1 +5 2 0x9b5c 0x16494b9f @@ -156710,6 +195888,8 @@ 1 1 0 +4 +5 3 0x8f9c 0xc38b4bd1 @@ -156718,6 +195898,8 @@ 0 0 0 +4 +0 1 0x56fc 0xfc035523 @@ -156726,6 +195908,8 @@ 0 0 0 +4 +4 2 0x5168 0x768e87f6 @@ -156734,6 +195918,8 @@ 1 1 0 +3 +1 4 0xacb7 0x5ec8061e @@ -156742,6 +195928,8 @@ 0 0 0 +5 +2 1 0xe7d 0xedb547ce @@ -156751,6 +195939,8 @@ 1 0 2 +2 +2 0x1cd3 0x4c31dbc1 256 @@ -156758,6 +195948,8 @@ 1 0 0 +3 +1 4 0xe075 0xa13dd395 @@ -156767,6 +195959,8 @@ 1 0 1 +3 +1 0xc1c3 0xd5f9fc17 256 @@ -156774,6 +195968,8 @@ 0 0 0 +4 +1 2 0x5dfe 0x3a8bdee0 @@ -156782,6 +195978,8 @@ 0 0 0 +1 +4 2 0xc9b2 0xba819868 @@ -156790,6 +195988,8 @@ 0 0 0 +4 +3 3 0xd354 0x709e8f16 @@ -156798,6 +195998,8 @@ 1 0 0 +4 +3 1 0x7315 0x9850a79d @@ -156807,6 +196009,8 @@ 0 0 4 +3 +4 0x2474 0x947d0f42 256 @@ -156814,6 +196018,8 @@ 1 1 0 +0 +4 2 0x547c 0x17166f94 @@ -156822,6 +196028,8 @@ 0 0 0 +4 +3 1 0x56a9 0xc02a26f7 @@ -156830,6 +196038,8 @@ 0 0 0 +3 +0 2 0xd68f 0xbe63eb6a @@ -156838,6 +196048,8 @@ 1 0 0 +2 +3 1 0xabc1 0xdd8bac24 @@ -156846,6 +196058,8 @@ 0 0 0 +5 +3 1 0xd067 0x309d5ca9 @@ -156854,6 +196068,8 @@ 1 1 0 +5 +2 1 0x53f4 0x7f758338 @@ -156862,6 +196078,8 @@ 0 0 0 +4 +4 2 0x938a 0x3c56c5d2 @@ -156870,6 +196088,8 @@ 0 0 0 +5 +1 3 0x74f2 0x32e46524 @@ -156878,6 +196098,8 @@ 0 0 0 +1 +3 3 0xf02a 0x4ebe29fa @@ -156886,6 +196108,8 @@ 0 0 0 +2 +4 4 0x2b9e 0xdb1c4b45 @@ -156894,6 +196118,8 @@ 1 1 0 +0 +4 2 0xf2b 0xe83135 @@ -156902,6 +196128,8 @@ 0 0 0 +2 +1 3 0x79c6 0xdb2526e5 @@ -156910,7 +196138,9 @@ 1 0 0 -2 +3 +1 +2 0xbb08 0x9c7dd4c4 256 @@ -156918,6 +196148,8 @@ 0 0 0 +4 +4 3 0x8156 0x1e2aed8 @@ -156926,6 +196158,8 @@ 0 0 0 +5 +0 3 0x5f45 0xcc37455d @@ -156934,6 +196168,8 @@ 1 0 0 +1 +2 2 0xc940 0xe642b947 @@ -156942,6 +196178,8 @@ 1 1 0 +4 +0 2 0xd574 0xd783cc56 @@ -156950,6 +196188,8 @@ 1 1 0 +2 +0 1 0xa1b2 0xea3b139e @@ -156958,6 +196198,8 @@ 0 0 0 +3 +3 1 0xc24a 0x4caf1272 @@ -156966,6 +196208,8 @@ 1 1 0 +3 +1 2 0x13e0 0x91817ff8 @@ -156974,6 +196218,8 @@ 1 1 0 +3 +3 1 0x115d 0xd0101f80 @@ -156983,6 +196229,8 @@ 0 0 3 +4 +3 0xeefd 0xf5e3533c 256 @@ -156990,6 +196238,8 @@ 0 0 0 +1 +0 3 0xdc05 0x471cd9ec @@ -156998,6 +196248,8 @@ 0 0 0 +5 +0 2 0x259a 0xdae29d31 @@ -157006,6 +196258,8 @@ 0 0 0 +4 +1 1 0xe4 0x5d423806 @@ -157014,6 +196268,8 @@ 1 0 0 +4 +4 3 0x6e2f 0x610404b @@ -157022,6 +196278,8 @@ 0 0 0 +4 +5 1 0x8c00 0x72848e28 @@ -157030,6 +196288,8 @@ 0 0 0 +2 +0 1 0xdaa8 0xe57ad9cd @@ -157038,6 +196298,8 @@ 1 1 0 +4 +1 1 0xb9b5 0x9a5f802c @@ -157046,6 +196308,8 @@ 0 0 0 +1 +2 2 0xd4a2 0xcab6093f @@ -157054,6 +196318,8 @@ 1 1 0 +5 +3 1 0xc987 0xed0412a4 @@ -157062,6 +196328,8 @@ 0 0 0 +1 +1 4 0x6eb5 0x4d6d5f9f @@ -157070,6 +196338,8 @@ 0 0 0 +2 +5 1 0xe8df 0x21a9d1cf @@ -157078,6 +196348,8 @@ 0 0 0 +2 +1 1 0xff24 0x74d84350 @@ -157087,6 +196359,8 @@ 0 0 2 +1 +2 0xdbf9 0x80b8a0f5 256 @@ -157095,6 +196369,8 @@ 1 0 2 +4 +2 0xac40 0x3a94d096 256 @@ -157102,6 +196378,8 @@ 0 0 0 +2 +0 4 0xb19c 0x6a210f3a @@ -157110,6 +196388,8 @@ 1 0 0 +4 +1 3 0x50ca 0x4095c4a @@ -157118,6 +196398,8 @@ 1 1 0 +0 +0 2 0xe21b 0x7df986b7 @@ -157127,6 +196409,8 @@ 0 0 4 +4 +4 0xd3fa 0x830452e 256 @@ -157134,6 +196418,8 @@ 1 0 0 +2 +4 1 0x751f 0x95707fc2 @@ -157142,6 +196428,8 @@ 0 0 0 +3 +3 2 0x58e9 0x58a1f055 @@ -157150,6 +196438,8 @@ 1 0 0 +5 +2 1 0xfa9a 0xd7c6bb66 @@ -157158,6 +196448,8 @@ 0 0 0 +4 +3 2 0xda3d 0xdc681236 @@ -157166,6 +196458,8 @@ 1 1 0 +1 +2 2 0x976e 0x35ca0eb9 @@ -157175,6 +196469,8 @@ 0 0 2 +3 +2 0x1903 0xfb6b5646 256 @@ -157182,6 +196478,8 @@ 1 0 0 +2 +4 3 0xc60a 0x2040793a @@ -157190,6 +196488,8 @@ 1 1 0 +0 +1 2 0x5d9a 0xe9834c2a @@ -157198,6 +196498,8 @@ 0 0 0 +1 +3 3 0x74f 0xdb8914e5 @@ -157206,6 +196508,8 @@ 0 0 0 +5 +3 2 0xb640 0xe4aeb3dd @@ -157214,6 +196518,8 @@ 1 1 0 +3 +4 1 0x3982 0xf91d53a1 @@ -157222,6 +196528,8 @@ 0 0 0 +2 +5 4 0x7b75 0xd0e7fd25 @@ -157230,6 +196538,8 @@ 1 1 0 +0 +3 4 0xfd 0x5f59819c @@ -157239,6 +196549,8 @@ 0 0 2 +3 +2 0xe012 0x8726b47d 256 @@ -157246,6 +196558,8 @@ 1 0 0 +1 +3 4 0x6223 0xb006f6f1 @@ -157254,6 +196568,8 @@ 0 0 0 +3 +1 1 0x3e0e 0x705d8551 @@ -157262,6 +196578,8 @@ 0 0 0 +2 +3 3 0xb49d 0x956b748c @@ -157270,6 +196588,8 @@ 0 0 0 +1 +3 2 0x2f0a 0x6da42dfc @@ -157278,6 +196598,8 @@ 0 0 0 +5 +5 2 0xa673 0x1ba5164 @@ -157286,6 +196608,8 @@ 1 1 0 +2 +3 3 0x53e0 0xeb64e41a @@ -157294,6 +196618,8 @@ 0 0 0 +0 +2 4 0x767e 0x2e9316c @@ -157302,6 +196628,8 @@ 1 0 0 +5 +0 1 0xea86 0x912dfc5d @@ -157311,6 +196639,8 @@ 0 0 4 +4 +4 0x3ea2 0x2760056e 256 @@ -157318,6 +196648,8 @@ 1 1 0 +2 +3 3 0xd2ea 0xc2f1a3b4 @@ -157326,6 +196658,8 @@ 0 0 0 +2 +3 4 0x91e5 0x41385f0d @@ -157334,6 +196668,8 @@ 0 0 0 +0 +1 2 0xd64b 0x7370293b @@ -157342,6 +196678,8 @@ 1 0 0 +5 +3 3 0xdf97 0x9d59f119 @@ -157350,6 +196688,8 @@ 0 0 0 +5 +5 2 0xcf9b 0x282bc63b @@ -157359,6 +196699,8 @@ 1 0 3 +4 +3 0xfcf3 0xd6806bd9 256 @@ -157366,6 +196708,8 @@ 0 0 0 +5 +5 1 0x2e5a 0x3e0b340f @@ -157374,6 +196718,8 @@ 1 1 0 +3 +0 1 0x3a09 0xf85e6d00 @@ -157382,6 +196728,8 @@ 1 1 0 +1 +5 2 0x1106 0xaf38fe33 @@ -157391,6 +196739,8 @@ 0 0 4 +2 +4 0xc165 0xd97ef94e 256 @@ -157398,6 +196748,8 @@ 1 0 0 +2 +4 4 0x99d 0x408f84cf @@ -157406,6 +196758,8 @@ 1 0 0 +3 +3 2 0xa001 0x91a5c036 @@ -157414,6 +196768,8 @@ 0 0 0 +0 +2 1 0xa1e4 0xee1e4313 @@ -157422,6 +196778,8 @@ 1 1 0 +1 +4 4 0x5d8f 0xc4c5c40c @@ -157430,6 +196788,8 @@ 0 0 0 +2 +4 3 0xcc8c 0xce4bb3e3 @@ -157438,6 +196798,8 @@ 0 0 0 +5 +2 3 0xda56 0x69845447 @@ -157447,6 +196809,8 @@ 0 0 2 +4 +2 0x6fce 0x7f1705f7 256 @@ -157454,6 +196818,8 @@ 1 1 0 +4 +0 2 0x41cc 0x1a62aeb0 @@ -157463,6 +196829,8 @@ 0 0 4 +5 +4 0x7fbd 0xc0307093 256 @@ -157470,6 +196838,8 @@ 0 0 0 +5 +3 1 0x6a9a 0x1c7f0798 @@ -157478,6 +196848,8 @@ 0 0 0 +4 +2 3 0xa89c 0x9a158393 @@ -157486,6 +196858,8 @@ 0 0 0 +3 +4 4 0x68c1 0x66b167c0 @@ -157495,6 +196869,8 @@ 1 0 3 +5 +3 0xd2ae 0x6374e78e 256 @@ -157502,6 +196878,8 @@ 1 0 0 +1 +1 4 0x694f 0x7e62bb4c @@ -157511,6 +196889,8 @@ 0 0 3 +5 +3 0x66e6 0x4e3da60 256 @@ -157518,6 +196898,8 @@ 0 0 0 +0 +0 2 0x4185 0xb2c7b588 @@ -157526,6 +196908,8 @@ 0 0 0 +5 +1 1 0x87d3 0xf4c79281 @@ -157534,6 +196918,8 @@ 1 0 0 +5 +3 1 0xe1e8 0x6fd4661a @@ -157542,6 +196928,8 @@ 1 1 0 +0 +5 3 0xfcab 0x2579a967 @@ -157550,6 +196938,8 @@ 1 1 0 +3 +3 4 0x494a 0x9444fcec @@ -157559,6 +196949,8 @@ 0 0 1 +2 +1 0x94b6 0x88bba2e2 256 @@ -157567,6 +196959,8 @@ 0 0 3 +5 +3 0x306 0xd297af35 256 @@ -157574,6 +196968,8 @@ 1 0 0 +1 +5 2 0x724 0xaff04d1 @@ -157582,6 +196978,8 @@ 0 0 0 +3 +4 2 0xb406 0xcd0b91a5 @@ -157590,6 +196988,8 @@ 1 0 0 +0 +5 4 0xeb77 0xba26890a @@ -157598,6 +196998,8 @@ 0 0 0 +1 +2 3 0xf086 0x5b979f3e @@ -157606,6 +197008,8 @@ 1 0 0 +5 +0 3 0x7ce3 0xa754e372 @@ -157614,6 +197018,8 @@ 1 0 0 +2 +1 1 0xd5a9 0xb152512c @@ -157622,6 +197028,8 @@ 1 1 0 +3 +3 4 0x4a7f 0xf16a2772 @@ -157630,6 +197038,8 @@ 0 0 0 +1 +5 3 0xf54c 0xc8baedf9 @@ -157638,6 +197048,8 @@ 0 0 0 +0 +5 4 0x6217 0x548b469 @@ -157646,6 +197058,8 @@ 0 0 0 +2 +4 4 0x86b3 0x963fbe5 @@ -157655,6 +197069,8 @@ 0 0 4 +2 +4 0x574e 0x1ad17d7a 256 @@ -157662,6 +197078,8 @@ 1 1 0 +0 +0 2 0xf1af 0x6f67fa8c @@ -157670,6 +197088,8 @@ 1 0 0 +2 +5 3 0xca73 0x31409893 @@ -157678,6 +197098,8 @@ 1 1 0 +3 +1 2 0xd3fc 0x828df226 @@ -157686,6 +197108,8 @@ 0 0 0 +4 +2 2 0x44af 0xa07a3923 @@ -157694,6 +197118,8 @@ 1 1 0 +2 +1 3 0xe235 0xd37962bf @@ -157702,6 +197128,8 @@ 1 1 0 +1 +3 4 0x7b9f 0x7c51136a @@ -157710,6 +197138,8 @@ 0 0 0 +2 +1 4 0x75f6 0x2fcf1e32 @@ -157718,6 +197148,8 @@ 0 0 0 +2 +3 1 0xa516 0x40bdc667 @@ -157727,6 +197159,8 @@ 1 0 4 +4 +4 0x823b 0x4a01006 256 @@ -157734,6 +197168,8 @@ 0 0 0 +2 +1 3 0x64a0 0xbf9ebc89 @@ -157742,6 +197178,8 @@ 0 0 0 +4 +2 1 0x56c7 0xe8ae98b6 @@ -157750,6 +197188,8 @@ 0 0 0 +2 +2 4 0xa49a 0x3b70c9e3 @@ -157758,6 +197198,8 @@ 1 0 0 +2 +4 3 0x7d68 0x37ea35a3 @@ -157766,6 +197208,8 @@ 1 0 0 +4 +4 3 0xf679 0x9bf2d372 @@ -157775,6 +197219,8 @@ 0 0 1 +0 +1 0x1d59 0x613c7b8f 256 @@ -157782,6 +197228,8 @@ 1 0 0 +5 +1 2 0x36be 0x109a9e85 @@ -157790,6 +197238,8 @@ 0 0 0 +3 +4 4 0x9bea 0xf94cc296 @@ -157798,6 +197248,8 @@ 0 0 0 +0 +4 1 0x9b60 0xa58bbedc @@ -157807,6 +197259,8 @@ 0 0 3 +3 +3 0xdc71 0x3aad100a 256 @@ -157814,6 +197268,8 @@ 1 1 0 +3 +0 1 0x3c62 0xacd27fbb @@ -157822,6 +197278,8 @@ 1 1 0 +4 +1 3 0x20d9 0xc89aeb6f @@ -157830,6 +197288,8 @@ 1 0 0 +2 +3 3 0x1d46 0x4a58f9bd @@ -157838,6 +197298,8 @@ 0 0 0 +1 +5 4 0x9a8f 0x25e7b586 @@ -157846,6 +197308,8 @@ 1 0 0 +1 +2 2 0xc394 0x31892cd9 @@ -157854,6 +197318,8 @@ 1 0 0 +5 +0 1 0xeda2 0xa5a6192b @@ -157862,6 +197328,8 @@ 1 0 0 +0 +2 3 0x54b3 0xc05f618e @@ -157870,6 +197338,8 @@ 0 0 0 +1 +2 4 0x44a7 0x88fdf501 @@ -157878,6 +197348,8 @@ 0 0 0 +2 +0 4 0xde79 0x65f614fd @@ -157886,6 +197358,8 @@ 1 1 0 +4 +2 2 0xf553 0x7c5e9590 @@ -157894,6 +197368,8 @@ 0 0 0 +5 +0 1 0x946c 0x240471bf @@ -157902,6 +197378,8 @@ 1 0 0 +1 +3 2 0xc6ae 0x91563c28 @@ -157910,6 +197388,8 @@ 0 0 0 +0 +5 3 0x1c38 0x45b61698 @@ -157918,6 +197398,8 @@ 0 0 0 +4 +5 3 0xcff4 0xaf894b37 @@ -157927,6 +197409,8 @@ 1 0 2 +0 +2 0x2096 0x747659c0 256 @@ -157934,6 +197418,8 @@ 0 0 0 +5 +4 2 0xb813 0xba66bdf7 @@ -157942,6 +197428,8 @@ 0 0 0 +0 +2 1 0x1405 0xde4f10ca @@ -157950,6 +197438,8 @@ 0 0 0 +5 +5 3 0xa82 0xc755124d @@ -157958,6 +197448,8 @@ 1 1 0 +1 +1 4 0x26 0x4128753b @@ -157966,6 +197458,8 @@ 0 0 0 +5 +4 1 0xa31 0xd425abf0 @@ -157974,6 +197468,8 @@ 1 0 0 +2 +2 3 0x88 0x856afc04 @@ -157982,6 +197478,8 @@ 1 1 0 +3 +0 2 0x2b6b 0x7a4cb329 @@ -157990,6 +197488,8 @@ 1 0 0 +4 +2 2 0x5ddb 0xf574b974 @@ -157998,6 +197498,8 @@ 0 0 0 +2 +4 3 0xd3fa 0xad49bfc1 @@ -158007,6 +197509,8 @@ 1 0 2 +0 +2 0x2cb7 0x329bef9a 256 @@ -158014,6 +197518,8 @@ 1 0 0 +4 +1 3 0x3619 0xe17c0b7f @@ -158022,6 +197528,8 @@ 0 0 0 +2 +2 1 0xc558 0x8f7e1669 @@ -158030,6 +197538,8 @@ 1 1 0 +0 +1 4 0xa299 0xd708d36b @@ -158038,6 +197548,8 @@ 0 0 0 +0 +1 3 0x8759 0xa67cad2 @@ -158047,6 +197559,8 @@ 0 0 4 +0 +4 0xe312 0xc244a65c 256 @@ -158054,6 +197568,8 @@ 0 0 0 +3 +1 2 0xdb22 0xc5e61e30 @@ -158062,6 +197578,8 @@ 0 0 0 +0 +4 4 0xdb4d 0x9c140ea2 @@ -158070,6 +197588,8 @@ 1 1 0 +1 +2 4 0xf776 0xf8042a94 @@ -158079,6 +197599,8 @@ 0 0 4 +2 +4 0x118c 0x9bcfe677 256 @@ -158087,6 +197609,8 @@ 0 0 3 +4 +3 0xddbf 0x1d1c6d5a 256 @@ -158094,6 +197618,8 @@ 1 1 0 +1 +0 3 0xc68b 0xc602f6d8 @@ -158103,6 +197629,8 @@ 1 0 1 +3 +1 0x4c02 0xe472db9 256 @@ -158110,6 +197638,8 @@ 0 0 0 +5 +1 2 0x367e 0x91436481 @@ -158118,6 +197648,8 @@ 1 1 0 +4 +2 3 0xd2d7 0x776a07a5 @@ -158126,6 +197658,8 @@ 0 0 0 +5 +3 3 0x3948 0xa7f17c9e @@ -158134,6 +197668,8 @@ 1 1 0 +5 +0 3 0x1e65 0x7503b4b5 @@ -158142,6 +197678,8 @@ 1 1 0 +1 +3 2 0x6a08 0x25d2e0d8 @@ -158151,6 +197689,8 @@ 1 0 3 +3 +3 0x5820 0x191a2a5a 256 @@ -158158,6 +197698,8 @@ 0 0 0 +2 +4 1 0x6e5b 0x4c0310d0 @@ -158166,6 +197708,8 @@ 1 0 0 +2 +3 1 0x2f35 0x23e98acc @@ -158174,6 +197718,8 @@ 1 0 0 +0 +3 1 0x7326 0x3f250b8a @@ -158183,6 +197729,8 @@ 0 0 3 +3 +3 0xca34 0x7073cf4c 256 @@ -158191,6 +197739,8 @@ 0 0 4 +1 +4 0xba11 0x20206724 256 @@ -158198,6 +197748,8 @@ 0 0 0 +0 +1 4 0x821e 0xf4a78385 @@ -158206,6 +197758,8 @@ 0 0 0 +5 +1 1 0x5b6b 0x461748ce @@ -158214,6 +197768,8 @@ 0 0 0 +0 +5 3 0xcd22 0xdc3b226d @@ -158222,6 +197778,8 @@ 0 0 0 +0 +0 1 0xb45c 0xa6f5315c @@ -158230,6 +197788,8 @@ 1 1 0 +2 +3 1 0x1fb3 0x21ba5949 @@ -158238,6 +197798,8 @@ 1 0 0 +3 +3 1 0x42ca 0x451e542b @@ -158247,6 +197809,8 @@ 1 0 4 +0 +4 0x5911 0xf484bb88 256 @@ -158254,6 +197818,8 @@ 0 0 0 +2 +3 3 0xe2a5 0x635dc0ca @@ -158262,6 +197828,8 @@ 0 0 0 +0 +2 2 0x8414 0xb1888b1b @@ -158270,6 +197838,8 @@ 0 0 0 +1 +5 3 0xfe2a 0x6bb8b1ee @@ -158278,6 +197848,8 @@ 1 1 0 +4 +4 3 0xcbfe 0x6327d446 @@ -158286,6 +197858,8 @@ 0 0 0 +1 +1 2 0x2223 0x50ef46bf @@ -158294,6 +197868,8 @@ 0 0 0 +0 +0 3 0x5109 0x2d5fcaee @@ -158302,6 +197878,8 @@ 0 0 0 +2 +3 3 0x3ece 0xace79bc3 @@ -158310,6 +197888,8 @@ 1 1 0 +4 +1 3 0x52c9 0xbbf04c9d @@ -158318,6 +197898,8 @@ 0 0 0 +1 +4 2 0xc9f7 0xc2ec8e67 @@ -158327,6 +197909,8 @@ 0 0 3 +2 +3 0x55c4 0xa6f0cf8 256 @@ -158334,6 +197918,8 @@ 0 0 0 +0 +3 4 0xdb9e 0xb6a5c7ca @@ -158342,6 +197928,8 @@ 1 1 0 +2 +5 3 0xddd8 0xf0949312 @@ -158350,6 +197938,8 @@ 0 0 0 +4 +5 1 0xa84c 0xd28b3053 @@ -158358,6 +197948,8 @@ 1 0 0 +0 +3 4 0x92f4 0x47faf1a8 @@ -158367,6 +197959,8 @@ 0 0 2 +2 +2 0xc7b6 0xb153dd4b 256 @@ -158374,6 +197968,8 @@ 1 1 0 +0 +1 2 0xb5fe 0x131827c7 @@ -158382,6 +197978,8 @@ 1 1 0 +0 +2 2 0x65d9 0xf93b6863 @@ -158390,6 +197988,8 @@ 1 0 0 +3 +0 1 0x61ed 0x3d6d2b35 @@ -158398,6 +197998,8 @@ 1 0 0 +1 +5 3 0x686e 0xecbb57f2 @@ -158407,6 +198009,8 @@ 0 0 1 +4 +1 0x11aa 0xdf3df1f6 256 @@ -158414,6 +198018,8 @@ 1 1 0 +5 +2 2 0xc3f7 0xcc174536 @@ -158422,6 +198028,8 @@ 0 0 0 +0 +5 2 0xd53b 0x92b40bb0 @@ -158430,6 +198038,8 @@ 1 1 0 +0 +0 2 0xc3fa 0xf9638243 @@ -158439,6 +198049,8 @@ 0 0 3 +2 +3 0x86ee 0x37787d7a 256 @@ -158446,6 +198058,8 @@ 1 1 0 +1 +3 4 0x4711 0x8a8d43a1 @@ -158454,6 +198068,8 @@ 1 1 0 +0 +1 1 0x8dc0 0x56b873c2 @@ -158462,6 +198078,8 @@ 0 0 0 +4 +0 3 0xb78e 0xbb2b64ec @@ -158470,6 +198088,8 @@ 0 0 0 +0 +4 1 0x9408 0xc05849b3 @@ -158479,6 +198099,8 @@ 0 0 3 +2 +3 0x7bd1 0xf211b10f 256 @@ -158486,6 +198108,8 @@ 1 1 0 +5 +2 3 0x1666 0xf9424535 @@ -158494,6 +198118,8 @@ 1 1 0 +3 +2 1 0x8d09 0x213efe52 @@ -158502,6 +198128,8 @@ 0 0 0 +4 +5 1 0xfe3d 0x4ff4326e @@ -158510,6 +198138,8 @@ 0 0 0 +4 +5 2 0xff7a 0xfa847eed @@ -158518,6 +198148,8 @@ 1 0 0 +2 +5 1 0xc457 0x4d377773 @@ -158526,6 +198158,8 @@ 0 0 0 +1 +3 4 0xb13b 0xcbe22536 @@ -158534,6 +198168,8 @@ 0 0 0 +2 +5 1 0x6416 0xcbabc306 @@ -158542,6 +198178,8 @@ 1 1 0 +2 +2 1 0x8025 0x8c172a2d @@ -158550,6 +198188,8 @@ 0 0 0 +1 +5 2 0x6447 0xcdf2b735 @@ -158558,6 +198198,8 @@ 1 1 0 +3 +5 2 0xb5e3 0xdf84f3e4 @@ -158566,6 +198208,8 @@ 0 0 0 +1 +3 4 0xf986 0xfdc5aaae @@ -158574,6 +198218,8 @@ 0 0 0 +1 +1 3 0x5c1f 0x8a599281 @@ -158582,6 +198228,8 @@ 1 1 0 +3 +1 2 0x3705 0x254c4d68 @@ -158590,6 +198238,8 @@ 0 0 0 +3 +0 1 0xc969 0x2e6e4cef @@ -158599,6 +198249,8 @@ 0 0 1 +5 +1 0xb2a6 0x18eeec02 256 @@ -158606,6 +198258,8 @@ 1 1 0 +0 +0 3 0xda81 0x5b323bf2 @@ -158614,6 +198268,8 @@ 1 1 0 +5 +0 1 0xd2d 0x406039f4 @@ -158622,6 +198278,8 @@ 0 0 0 +5 +4 3 0x7c44 0x603310d @@ -158630,6 +198288,8 @@ 0 0 0 +4 +0 2 0x98f1 0x59f2f713 @@ -158639,6 +198299,8 @@ 1 0 4 +5 +4 0x9a3 0x77bfedc8 256 @@ -158646,6 +198308,8 @@ 1 0 0 +2 +3 1 0xd8ab 0xec9b13fc @@ -158654,6 +198318,8 @@ 1 1 0 +3 +5 2 0xf465 0x451df1f9 @@ -158662,6 +198328,8 @@ 1 0 0 +2 +4 3 0x5bcb 0x88031d53 @@ -158670,6 +198338,8 @@ 0 0 0 +3 +1 2 0xc038 0x61c8acf3 @@ -158678,6 +198348,8 @@ 1 1 0 +2 +0 1 0xdc13 0xa7ba11c5 @@ -158686,6 +198358,8 @@ 0 0 0 +4 +3 2 0xc84c 0xeeda6715 @@ -158694,6 +198368,8 @@ 0 0 0 +1 +4 4 0xd9bb 0xb3c751b @@ -158702,6 +198378,8 @@ 0 0 0 +1 +4 3 0x1f68 0xbe76ad27 @@ -158710,6 +198388,8 @@ 0 0 0 +0 +2 2 0xab0f 0xff2399d4 @@ -158718,6 +198398,8 @@ 1 1 0 +4 +0 1 0x8012 0xdd6b486f @@ -158727,6 +198409,8 @@ 1 0 2 +1 +2 0x7363 0xe120fcd1 256 @@ -158735,6 +198419,8 @@ 0 0 1 +0 +1 0x8eca 0x6adff5a3 256 @@ -158742,6 +198428,8 @@ 1 1 0 +1 +3 4 0x8174 0xeec7fca5 @@ -158751,6 +198439,8 @@ 1 0 2 +2 +2 0xad9e 0x65074141 256 @@ -158758,6 +198448,8 @@ 0 0 0 +1 +4 4 0x519c 0xbcdf6a45 @@ -158767,6 +198459,8 @@ 0 0 3 +5 +3 0xb20b 0x474e8797 256 @@ -158775,6 +198469,8 @@ 0 0 2 +2 +2 0xc492 0x3ed53f7 256 @@ -158782,6 +198478,8 @@ 1 0 0 +3 +0 2 0x200 0x4640ab65 @@ -158790,6 +198488,8 @@ 1 1 0 +5 +1 2 0x9d69 0xa92ae0ff @@ -158798,6 +198498,8 @@ 1 0 0 +3 +5 4 0x1ad3 0x849913ac @@ -158806,6 +198508,8 @@ 0 0 0 +2 +1 1 0x5911 0x68a8e5bb @@ -158814,6 +198518,8 @@ 0 0 0 +4 +0 3 0xca1f 0x614431d2 @@ -158822,6 +198528,8 @@ 1 0 0 +5 +2 4 0x2f81 0xc39d387c @@ -158830,6 +198538,8 @@ 1 0 0 +0 +3 2 0xb1de 0x38efe368 @@ -158838,6 +198548,8 @@ 1 1 0 +0 +4 3 0xc0c1 0xc37659a7 @@ -158847,6 +198559,8 @@ 0 0 1 +4 +1 0xdccf 0xc73ad2da 256 @@ -158854,6 +198568,8 @@ 1 0 0 +1 +2 2 0x64e0 0xb4c6fb36 @@ -158862,6 +198578,8 @@ 1 1 0 +4 +2 2 0x7106 0x91ce6873 @@ -158870,6 +198588,8 @@ 1 0 0 +2 +4 4 0xf0ec 0x71cceb85 @@ -158878,6 +198598,8 @@ 1 1 0 +4 +0 3 0x2346 0x91763675 @@ -158886,6 +198608,8 @@ 0 0 0 +0 +0 4 0x1d0 0x53aef0bd @@ -158894,6 +198618,8 @@ 1 1 0 +5 +0 4 0x3c01 0x22d77f68 @@ -158902,6 +198628,8 @@ 1 1 0 +3 +1 4 0xdd0b 0x2e3c20a1 @@ -158910,6 +198638,8 @@ 1 1 0 +5 +5 1 0x68d8 0xbffd86c @@ -158918,6 +198648,8 @@ 1 1 0 +4 +3 3 0x4851 0xe2a7affd @@ -158927,6 +198659,8 @@ 0 0 3 +3 +3 0xa9b6 0x2c40d204 256 @@ -158934,6 +198668,8 @@ 1 1 0 +0 +0 1 0xbfc 0x62e0fee2 @@ -158942,6 +198678,8 @@ 0 0 0 +2 +5 1 0xbf91 0x1f02dd2d @@ -158950,6 +198688,8 @@ 0 0 0 +3 +4 2 0xc53a 0x37df82c7 @@ -158958,6 +198698,8 @@ 1 0 0 +3 +5 1 0xc2b 0x140b6c8d @@ -158966,6 +198708,8 @@ 0 0 0 +1 +2 4 0x5c9 0x445ffc25 @@ -158974,6 +198718,8 @@ 0 0 0 +0 +2 2 0xe0eb 0xdee7e07 @@ -158982,6 +198728,8 @@ 1 0 0 +3 +5 1 0xd2af 0x4a9b0f65 @@ -158990,6 +198738,8 @@ 0 0 0 +3 +3 2 0xf7fb 0x984f6bb5 @@ -158998,6 +198748,8 @@ 1 1 0 +0 +4 2 0x6f55 0xf31a2934 @@ -159006,6 +198758,8 @@ 1 0 0 +5 +1 3 0x4401 0x2d451ee4 @@ -159014,6 +198768,8 @@ 1 1 0 +4 +5 3 0xe2ba 0xda838b43 @@ -159022,6 +198778,8 @@ 1 0 0 +1 +1 4 0x272e 0x81cce2b4 @@ -159030,6 +198788,8 @@ 0 0 0 +1 +3 4 0x6611 0x9493ec5d @@ -159038,6 +198798,8 @@ 0 0 0 +1 +0 3 0xf609 0xd4ebd942 @@ -159046,6 +198808,8 @@ 1 0 0 +3 +5 2 0x98e6 0xd859cb6d @@ -159054,6 +198818,8 @@ 0 0 0 +2 +5 1 0xf727 0x1812777b @@ -159063,6 +198829,8 @@ 0 0 1 +0 +1 0x3875 0x166a2ea8 256 @@ -159070,6 +198838,8 @@ 1 0 0 +2 +2 1 0x8826 0x68019936 @@ -159078,6 +198848,8 @@ 1 0 0 +0 +3 2 0x3c56 0x2fd39ca5 @@ -159086,6 +198858,8 @@ 0 0 0 +0 +4 4 0x7683 0xbe0709be @@ -159094,6 +198868,8 @@ 1 1 0 +2 +1 4 0x9e54 0x98c6536f @@ -159102,6 +198878,8 @@ 0 0 0 +2 +4 3 0x950c 0x12ec7e86 @@ -159111,6 +198889,8 @@ 0 0 2 +1 +2 0x6814 0xc4174753 256 @@ -159118,6 +198898,8 @@ 0 0 0 +5 +4 3 0x584c 0xe746ed1c @@ -159126,6 +198908,8 @@ 1 0 0 +0 +1 1 0x673d 0xc597b147 @@ -159134,6 +198918,8 @@ 0 0 0 +0 +5 1 0x6955 0xc9d6d006 @@ -159142,6 +198928,8 @@ 0 0 0 +3 +4 1 0x31ca 0x6525bd08 @@ -159151,6 +198939,8 @@ 0 0 1 +0 +1 0x4d1d 0x7b2ae48f 256 @@ -159158,6 +198948,8 @@ 0 0 0 +0 +1 2 0xb8f9 0xb014acb3 @@ -159167,6 +198959,8 @@ 1 0 4 +3 +4 0xc070 0x84f19a0 256 @@ -159174,6 +198968,8 @@ 0 0 0 +0 +1 3 0xcba0 0x48a9499e @@ -159182,6 +198978,8 @@ 1 1 0 +0 +1 4 0xb778 0xb8f3ecda @@ -159190,6 +198988,8 @@ 0 0 0 +0 +2 3 0xf2cd 0x11d96443 @@ -159198,6 +198998,8 @@ 1 1 0 +1 +2 2 0x3cde 0xd82db2 @@ -159206,6 +199008,8 @@ 0 0 0 +2 +2 1 0x4721 0xd3d04ed4 @@ -159214,6 +199018,8 @@ 0 0 0 +4 +1 1 0x9301 0x4d6ad380 @@ -159222,6 +199028,8 @@ 1 0 0 +1 +5 4 0x748d 0x4f0685e9 @@ -159230,6 +199038,8 @@ 0 0 0 +5 +4 4 0xd31c 0x9a139f7f @@ -159238,6 +199048,8 @@ 0 0 0 +1 +4 2 0x5ac6 0xf4b8b223 @@ -159246,6 +199058,8 @@ 1 0 0 +3 +3 2 0x865a 0x7281a5c4 @@ -159254,6 +199068,8 @@ 0 0 0 +1 +4 3 0xc9f3 0xf2d82e2d @@ -159262,6 +199078,8 @@ 0 0 0 +0 +1 1 0x7a44 0xca39b449 @@ -159270,6 +199088,8 @@ 1 1 0 +2 +5 4 0xd26d 0xbeed9aa3 @@ -159278,6 +199098,8 @@ 1 1 0 +4 +2 1 0xd743 0x63251dd4 @@ -159286,6 +199108,8 @@ 1 1 0 +2 +4 3 0x3a77 0xa4baab51 @@ -159294,6 +199118,8 @@ 0 0 0 +1 +2 4 0xd828 0x4467384f @@ -159303,6 +199129,8 @@ 0 0 1 +5 +1 0x92cb 0x7ac05058 256 @@ -159310,6 +199138,8 @@ 1 0 0 +0 +2 3 0x323 0xf299d669 @@ -159318,6 +199148,8 @@ 0 0 0 +5 +2 2 0x89c 0xf5f19c61 @@ -159326,6 +199158,8 @@ 1 1 0 +0 +3 1 0xa6e5 0xa4fe285b @@ -159334,6 +199168,8 @@ 1 0 0 +4 +3 2 0x689f 0xd7832193 @@ -159342,6 +199178,8 @@ 1 1 0 +5 +5 4 0x5f7e 0x813578cc @@ -159350,6 +199188,8 @@ 1 1 0 +4 +1 2 0x1600 0x9d683565 @@ -159358,6 +199198,8 @@ 1 1 0 +4 +3 2 0x1a7b 0xe4c026ba @@ -159366,6 +199208,8 @@ 0 0 0 +0 +0 1 0xb91c 0xd202a08c @@ -159374,6 +199218,8 @@ 0 0 0 +5 +2 2 0x536a 0x11be5e0d @@ -159382,6 +199228,8 @@ 0 0 0 +5 +4 3 0xfc2d 0xf9162b21 @@ -159390,6 +199238,8 @@ 0 0 0 +1 +3 3 0xb850 0x92679076 @@ -159398,6 +199248,8 @@ 0 0 0 +0 +2 2 0xfd3a 0xc1e1c1f0 @@ -159406,6 +199258,8 @@ 0 0 0 +5 +0 2 0xfc73 0xccf54766 @@ -159414,6 +199268,8 @@ 0 0 0 +5 +5 4 0x30d1 0xd2138822 @@ -159423,6 +199279,8 @@ 0 0 3 +2 +3 0xe825 0xb5d21afc 256 @@ -159430,6 +199288,8 @@ 1 1 0 +0 +3 3 0x2b8f 0xf6323363 @@ -159439,6 +199299,8 @@ 0 0 3 +0 +3 0x8841 0x6b03afe2 256 @@ -159446,6 +199308,8 @@ 1 0 0 +3 +1 1 0xab47 0x74f26d5b @@ -159454,6 +199318,8 @@ 0 0 0 +1 +2 4 0x93c6 0x45f95228 @@ -159462,6 +199328,8 @@ 1 1 0 +4 +0 3 0x139d 0xaf65bebc @@ -159471,6 +199339,8 @@ 0 0 1 +2 +1 0xe4d6 0x4a5b3ff0 256 @@ -159478,6 +199348,8 @@ 1 0 0 +1 +3 4 0xc913 0x471444a3 @@ -159486,6 +199358,8 @@ 1 0 0 +5 +2 4 0xb29c 0x3be537ba @@ -159494,6 +199368,8 @@ 1 1 0 +1 +3 3 0xb6d4 0x6a633679 @@ -159503,6 +199379,8 @@ 0 0 1 +3 +1 0x6ebc 0x1ec87c57 256 @@ -159510,6 +199388,8 @@ 0 0 0 +3 +2 4 0x688d 0x887efd34 @@ -159518,6 +199398,8 @@ 0 0 0 +4 +5 3 0x5b5b 0xbb50cbc0 @@ -159526,6 +199408,8 @@ 0 0 0 +2 +5 1 0x5e7a 0x8926ed81 @@ -159535,6 +199419,8 @@ 0 0 1 +3 +1 0x401e 0xa1b41422 256 @@ -159542,6 +199428,8 @@ 0 0 0 +0 +1 1 0x1a7a 0x986db01f @@ -159550,6 +199438,8 @@ 0 0 0 +5 +1 4 0xdb20 0x8f50c6cd @@ -159558,6 +199448,8 @@ 0 0 0 +4 +1 1 0x5848 0xceff80b8 @@ -159566,6 +199458,8 @@ 1 1 0 +5 +1 3 0x4bdf 0x47ef9693 @@ -159574,6 +199468,8 @@ 1 1 0 +1 +2 4 0x8330 0x4e3a4158 @@ -159582,6 +199478,8 @@ 0 0 0 +2 +3 3 0x8570 0xb5a7cdd3 @@ -159591,6 +199489,8 @@ 0 0 2 +1 +2 0x5d52 0xe8f6016b 256 @@ -159599,6 +199499,8 @@ 0 0 4 +0 +4 0xeeb6 0x556b9d1c 256 @@ -159606,6 +199508,8 @@ 1 1 0 +5 +0 2 0xbd34 0x725e7269 @@ -159614,6 +199518,8 @@ 1 0 0 +2 +2 4 0xadac 0xf294cbeb @@ -159622,6 +199528,8 @@ 0 0 0 +3 +2 4 0xbf50 0xd350a388 @@ -159630,6 +199538,8 @@ 0 0 0 +0 +4 3 0xc95 0x6da3490 @@ -159638,6 +199548,8 @@ 1 1 0 +0 +0 2 0xc7e4 0xc1c241ed @@ -159646,6 +199558,8 @@ 0 0 0 +5 +3 2 0x12ef 0x27bf8d09 @@ -159654,6 +199568,8 @@ 1 1 0 +2 +5 4 0x3dc4 0x8d24a7db @@ -159662,6 +199578,8 @@ 1 1 0 +5 +1 3 0x34fe 0x3a5259a5 @@ -159670,6 +199588,8 @@ 1 0 0 +1 +2 2 0x8bd 0xbeb9d394 @@ -159678,6 +199598,8 @@ 0 0 0 +5 +0 4 0x71e6 0x380326a3 @@ -159686,6 +199608,8 @@ 1 1 0 +4 +5 1 0xe906 0x6f962c4e @@ -159694,6 +199618,8 @@ 0 0 0 +5 +2 3 0xb15 0x7c9a7fcf @@ -159703,6 +199629,8 @@ 0 0 1 +4 +1 0x73e2 0xb18ef301 256 @@ -159710,6 +199638,8 @@ 0 0 0 +3 +3 4 0x7d3b 0x8fbe83d2 @@ -159719,6 +199649,8 @@ 0 0 2 +4 +2 0x504d 0xf4f6edf5 256 @@ -159726,6 +199658,8 @@ 1 0 0 +5 +1 1 0x549f 0x6322a0d2 @@ -159734,6 +199668,8 @@ 0 0 0 +4 +3 3 0x6966 0xa75291b2 @@ -159743,6 +199679,8 @@ 0 0 1 +3 +1 0x44e4 0x2ea3a6b7 256 @@ -159750,6 +199688,8 @@ 0 0 0 +2 +0 1 0xf6cb 0xf838812c @@ -159758,6 +199698,8 @@ 0 0 0 +0 +2 1 0xe079 0x858eb703 @@ -159767,6 +199709,8 @@ 1 0 4 +2 +4 0xa173 0xf37813ea 256 @@ -159774,6 +199718,8 @@ 1 1 0 +4 +0 3 0x1d23 0x3fa072d2 @@ -159782,6 +199728,8 @@ 0 0 0 +0 +0 2 0xdea8 0xae80cf6f @@ -159790,6 +199738,8 @@ 0 0 0 +3 +4 1 0xf4e2 0x9e67c0c5 @@ -159798,6 +199748,8 @@ 0 0 0 +5 +4 3 0x693e 0x7ea3a878 @@ -159806,6 +199758,8 @@ 0 0 0 +3 +1 1 0xce25 0xc6ed01cb @@ -159814,6 +199768,8 @@ 1 0 0 +2 +2 4 0x47ba 0x6f186c86 @@ -159822,6 +199778,8 @@ 0 0 0 +3 +3 1 0x4c9f 0x46d07e98 @@ -159830,6 +199788,8 @@ 0 0 0 +5 +5 1 0x47fb 0x11fbf784 @@ -159838,6 +199798,8 @@ 0 0 0 +0 +4 2 0x8ebf 0x572e59b1 @@ -159846,6 +199808,8 @@ 1 0 0 +3 +0 4 0xbd46 0xcf49f3f7 @@ -159854,6 +199818,8 @@ 0 0 0 +2 +3 1 0xf63 0x76daa2c0 @@ -159862,6 +199828,8 @@ 1 1 0 +1 +0 2 0xb1a 0x737a83cb @@ -159870,6 +199838,8 @@ 1 0 0 +4 +0 2 0x40f2 0x5db0c211 @@ -159878,6 +199848,8 @@ 1 1 0 +0 +1 4 0x83b7 0x3a98b2bc @@ -159886,6 +199858,8 @@ 1 0 0 +5 +1 2 0xa53c 0x15056cef @@ -159894,6 +199868,8 @@ 1 1 0 +2 +4 4 0x5281 0xab987345 @@ -159902,6 +199878,8 @@ 0 0 0 +0 +4 1 0xdae4 0xbaed3564 @@ -159910,6 +199888,8 @@ 0 0 0 +3 +5 2 0x10a7 0x836329fd @@ -159918,6 +199898,8 @@ 0 0 0 +1 +0 3 0x248d 0x3fff5730 @@ -159927,6 +199909,8 @@ 0 0 4 +2 +4 0x5d2 0xa310b91b 256 @@ -159934,6 +199918,8 @@ 1 1 0 +0 +3 4 0x8717 0xf262489 @@ -159943,6 +199929,8 @@ 0 0 4 +0 +4 0x835d 0x28563fcb 256 @@ -159950,6 +199938,8 @@ 1 0 0 +0 +0 3 0x6d66 0xd1b11880 @@ -159958,6 +199948,8 @@ 0 0 0 +2 +2 3 0xd19e 0x7949c0be @@ -159967,6 +199959,8 @@ 1 0 3 +2 +3 0x1bf3 0x7aff97d4 256 @@ -159974,6 +199968,8 @@ 1 0 0 +4 +0 3 0xcf74 0xc8fb8c08 @@ -159982,6 +199978,8 @@ 0 0 0 +4 +4 3 0xc837 0xd0a64358 @@ -159990,6 +199988,8 @@ 0 0 0 +5 +2 3 0xa56c 0xb5e9ba52 @@ -159999,6 +199999,8 @@ 1 0 1 +1 +1 0xccc2 0x10ff7a09 256 @@ -160007,6 +200009,8 @@ 0 0 3 +0 +3 0x89f6 0x41a550f4 256 @@ -160014,6 +200018,8 @@ 0 0 0 +3 +1 1 0xa086 0x5aaf2671 @@ -160022,6 +200028,8 @@ 1 1 0 +0 +5 2 0x6bf5 0x188bb7db @@ -160030,6 +200038,8 @@ 0 0 0 +0 +2 3 0x3c6 0x77c84122 @@ -160038,6 +200048,8 @@ 0 0 0 +0 +3 2 0x71ee 0x5b5c8e16 @@ -160046,6 +200058,8 @@ 0 0 0 +2 +5 3 0x1b35 0x2c08883a @@ -160054,6 +200068,8 @@ 1 0 0 +2 +0 3 0x2d10 0x52f057d @@ -160062,6 +200078,8 @@ 1 0 0 +5 +0 2 0xed85 0x16d29c08 @@ -160070,6 +200088,8 @@ 1 1 0 +2 +4 4 0xbd58 0x2896e2b8 @@ -160078,6 +200098,8 @@ 0 0 0 +1 +1 2 0x160 0x5cb6449d @@ -160086,6 +200108,8 @@ 1 1 0 +3 +2 2 0x3dc9 0xe34b02c5 @@ -160095,6 +200119,8 @@ 0 0 2 +5 +2 0x794b 0x1265cc73 256 @@ -160102,6 +200128,8 @@ 1 0 0 +3 +2 4 0x7f07 0xfc2971f @@ -160110,6 +200138,8 @@ 0 0 0 +3 +4 2 0x5665 0x614fb8db @@ -160118,6 +200148,8 @@ 0 0 0 +4 +4 2 0x4409 0x2f1b4744 @@ -160126,6 +200158,8 @@ 1 1 0 +0 +0 1 0xdca0 0xd6aa5601 @@ -160134,6 +200168,8 @@ 1 1 0 +0 +1 4 0xd084 0xd2909999 @@ -160142,6 +200178,8 @@ 0 0 0 +2 +2 4 0xbae 0xec9d18b4 @@ -160151,6 +200189,8 @@ 0 0 3 +0 +3 0xae7e 0xd2d329fd 256 @@ -160158,6 +200198,8 @@ 0 0 0 +1 +3 4 0x7f0e 0x4730e625 @@ -160166,6 +200208,8 @@ 0 0 0 +2 +2 3 0x3506 0x4aaa2d08 @@ -160174,6 +200218,8 @@ 1 1 0 +4 +3 3 0x5f59 0x37bd67ac @@ -160182,6 +200228,8 @@ 1 1 0 +0 +4 4 0xb494 0xf75304ad @@ -160190,6 +200238,8 @@ 0 0 0 +1 +5 3 0x14e0 0x9bffc9b2 @@ -160198,6 +200248,8 @@ 1 1 0 +2 +3 4 0xea2 0x46dfdddf @@ -160206,6 +200258,8 @@ 1 0 0 +4 +0 3 0x31 0xd19a6e68 @@ -160214,6 +200268,8 @@ 1 1 0 +0 +2 1 0x33b 0x19600277 @@ -160222,6 +200278,8 @@ 0 0 0 +3 +5 4 0xb02c 0x91e90508 @@ -160230,6 +200288,8 @@ 0 0 0 +3 +2 2 0xc756 0xef8b6fe3 @@ -160238,6 +200298,8 @@ 1 0 0 +0 +3 4 0x2486 0x9b8afc7d @@ -160246,6 +200308,8 @@ 1 0 0 +0 +5 2 0xc9c5 0xb42031f4 @@ -160255,6 +200319,8 @@ 0 0 4 +3 +4 0x5792 0xcc6ff4c3 256 @@ -160262,6 +200328,8 @@ 1 1 0 +2 +3 1 0x74e6 0x5cef4cd8 @@ -160270,6 +200338,8 @@ 1 0 0 +1 +2 2 0x3187 0xb10988e4 @@ -160278,6 +200348,8 @@ 1 0 0 +2 +5 1 0x696b 0x5f838ff1 @@ -160286,6 +200358,8 @@ 0 0 0 +4 +2 3 0xe729 0x73a45a9d @@ -160294,6 +200368,8 @@ 1 1 0 +3 +4 1 0x5f0b 0xd0204825 @@ -160302,6 +200378,8 @@ 1 0 0 +3 +1 4 0x274d 0x5d37f0b0 @@ -160310,6 +200388,8 @@ 1 0 0 +4 +2 3 0x35e1 0xd2983b0 @@ -160318,6 +200398,8 @@ 0 0 0 +2 +3 3 0x8732 0x535dcf1 @@ -160326,6 +200408,8 @@ 0 0 0 +2 +1 3 0x7dfe 0x40f5b243 @@ -160334,6 +200418,8 @@ 1 1 0 +3 +1 4 0xe22f 0x4728f6a3 @@ -160342,6 +200428,8 @@ 0 0 0 +1 +4 2 0xbe65 0xedd049fa @@ -160350,6 +200438,8 @@ 1 0 0 +4 +2 2 0x3d28 0x20472d8b @@ -160358,6 +200448,8 @@ 1 0 0 +0 +3 1 0xa7f9 0x8690d536 @@ -160367,6 +200459,8 @@ 0 0 3 +0 +3 0xfb0d 0x4b4c6e8e 256 @@ -160374,6 +200468,8 @@ 1 1 0 +2 +3 3 0xfbef 0x5ca29cf6 @@ -160382,6 +200478,8 @@ 1 0 0 +3 +5 4 0x63d1 0xa8b8c932 @@ -160390,6 +200488,8 @@ 0 0 0 +1 +5 4 0xb5ae 0x42b1f953 @@ -160398,6 +200498,8 @@ 1 1 0 +5 +1 3 0xa946 0xfbfe0677 @@ -160406,6 +200508,8 @@ 1 1 0 +3 +0 2 0x3ad 0x2461942 @@ -160415,6 +200519,8 @@ 0 0 2 +3 +2 0x905b 0xcaa6d24a 256 @@ -160422,6 +200528,8 @@ 0 0 0 +5 +3 2 0xe653 0x34ee2fbe @@ -160430,6 +200538,8 @@ 1 0 0 +2 +5 3 0x1b06 0x6af667dd @@ -160439,6 +200549,8 @@ 1 0 4 +3 +4 0x951d 0x99f5ff3d 256 @@ -160446,6 +200558,8 @@ 0 0 0 +5 +3 3 0xede0 0xedcb91f6 @@ -160455,6 +200569,8 @@ 0 0 2 +2 +2 0x3cda 0xfb360e9e 256 @@ -160462,6 +200578,8 @@ 0 0 0 +4 +4 3 0xfa79 0x143f3e9a @@ -160470,6 +200588,8 @@ 1 1 0 +5 +0 3 0x3794 0xc29164ac @@ -160478,6 +200598,8 @@ 0 0 0 +0 +3 1 0xa221 0xbbebf6bd @@ -160486,6 +200608,8 @@ 0 0 0 +2 +2 1 0x9c5 0xc6838fe5 @@ -160494,6 +200618,8 @@ 0 0 0 +2 +4 4 0x33e3 0x38f5fb41 @@ -160502,6 +200628,8 @@ 0 0 0 +3 +4 1 0xdeb1 0x12c3b988 @@ -160511,6 +200639,8 @@ 0 0 3 +2 +3 0x4564 0x20c699b 256 @@ -160518,6 +200648,8 @@ 1 0 0 +1 +1 3 0x3224 0xed978164 @@ -160526,6 +200658,8 @@ 1 0 0 +0 +2 3 0xce13 0x1533ecd2 @@ -160534,6 +200668,8 @@ 1 0 0 +3 +3 2 0xf7b9 0x62464244 @@ -160542,6 +200678,8 @@ 1 1 0 +4 +2 1 0xec17 0xe1c38602 @@ -160551,6 +200689,8 @@ 1 0 2 +4 +2 0xc0e0 0x6a48bb20 256 @@ -160559,6 +200699,8 @@ 0 0 3 +2 +3 0x61a6 0x61d1b633 256 @@ -160566,6 +200708,8 @@ 1 1 0 +2 +5 4 0xf74f 0x99467c4e @@ -160574,6 +200718,8 @@ 0 0 0 +1 +3 2 0x35fe 0x3efe9436 @@ -160582,6 +200728,8 @@ 0 0 0 +5 +0 1 0xe913 0xbdb81511 @@ -160591,6 +200739,8 @@ 0 0 4 +1 +4 0xfc8b 0xbe5be0d3 256 @@ -160599,6 +200749,8 @@ 0 0 3 +2 +3 0xa40d 0x735a744b 256 @@ -160606,6 +200758,8 @@ 0 0 0 +4 +4 1 0xc6ad 0xeb97270b @@ -160614,6 +200768,8 @@ 1 1 0 +2 +2 1 0xa239 0xba700832 @@ -160622,6 +200778,8 @@ 0 0 0 +1 +4 2 0xf060 0x2e399ca1 @@ -160630,6 +200788,8 @@ 0 0 0 +1 +1 4 0xeba8 0x5017773a @@ -160638,6 +200798,8 @@ 0 0 0 +3 +4 1 0x93d6 0xff5f94b7 @@ -160646,6 +200808,8 @@ 0 0 0 +5 +0 4 0xcc07 0xe74b99a3 @@ -160654,6 +200818,8 @@ 1 0 0 +4 +0 3 0x366e 0xd3bade21 @@ -160662,6 +200828,8 @@ 0 0 0 +5 +2 3 0xfc28 0xa9425344 @@ -160671,6 +200839,8 @@ 0 0 3 +4 +3 0x9515 0x17fa0315 256 @@ -160679,6 +200849,8 @@ 0 0 2 +2 +2 0x2974 0x9a021457 256 @@ -160686,6 +200858,8 @@ 1 1 0 +3 +1 1 0x35d 0x224151be @@ -160694,6 +200868,8 @@ 1 1 0 +5 +0 2 0x74f 0xc109beba @@ -160702,6 +200878,8 @@ 1 1 0 +1 +3 3 0x48b5 0x6f93459a @@ -160710,6 +200888,8 @@ 1 1 0 +4 +2 3 0x5347 0x5f1c37d8 @@ -160718,6 +200898,8 @@ 1 0 0 +2 +2 1 0xfb86 0x5082b10c @@ -160726,6 +200908,8 @@ 0 0 0 +5 +2 4 0xe3ac 0xdde43c6b @@ -160735,6 +200919,8 @@ 0 0 2 +4 +2 0x31a9 0x8a17cc8a 256 @@ -160742,6 +200928,8 @@ 0 0 0 +3 +4 1 0x327 0x67fa8d78 @@ -160750,6 +200938,8 @@ 1 1 0 +5 +4 2 0x795 0xee278759 @@ -160758,6 +200948,8 @@ 1 0 0 +5 +1 4 0xe11b 0x884a35ae @@ -160766,6 +200958,8 @@ 0 0 0 +2 +3 1 0xb875 0x413a6b33 @@ -160774,6 +200968,8 @@ 0 0 0 +2 +2 1 0xd60d 0x126ce01f @@ -160782,6 +200978,8 @@ 1 1 0 +4 +3 1 0x7297 0xb5926f73 @@ -160790,6 +200988,8 @@ 1 0 0 +5 +5 2 0xd0b8 0x41896dfd @@ -160798,6 +200998,8 @@ 1 0 0 +4 +1 2 0xe1db 0x87ce0bb1 @@ -160806,6 +201008,8 @@ 0 0 0 +1 +3 4 0xef7b 0xadf9e6e @@ -160815,6 +201019,8 @@ 0 0 1 +3 +1 0x3135 0xb786a257 256 @@ -160822,6 +201028,8 @@ 1 1 0 +2 +1 1 0xeaec 0x69392d34 @@ -160831,6 +201039,8 @@ 0 0 4 +1 +4 0x3ad9 0x7f7c8501 256 @@ -160838,6 +201048,8 @@ 1 0 0 +4 +0 2 0x5d24 0x5a58e191 @@ -160846,6 +201058,8 @@ 1 1 0 +2 +0 3 0x51fb 0x2ab8070 @@ -160854,6 +201068,8 @@ 0 0 0 +0 +2 2 0x7ee3 0x71bebe7c @@ -160862,6 +201078,8 @@ 0 0 0 +2 +3 3 0xe23b 0x2812eeee @@ -160870,6 +201088,8 @@ 1 0 0 +1 +0 4 0x130a 0x71da7d2d @@ -160879,6 +201099,8 @@ 0 0 4 +1 +4 0xb0f0 0x363ca74 256 @@ -160886,6 +201108,8 @@ 1 1 0 +2 +2 1 0x6570 0x46819444 @@ -160895,6 +201119,8 @@ 1 0 4 +4 +4 0x2250 0x3c832bae 256 @@ -160902,6 +201128,8 @@ 1 1 0 +2 +1 3 0x9941 0x94bb3ca8 @@ -160910,6 +201138,8 @@ 1 0 0 +1 +3 3 0x9081 0x47ef58e2 @@ -160919,6 +201149,8 @@ 0 0 1 +4 +1 0xefa0 0xf9ec5246 256 @@ -160926,6 +201158,8 @@ 0 0 0 +0 +2 2 0xd77f 0x82e06994 @@ -160934,6 +201168,8 @@ 0 0 0 +2 +5 4 0x3b3f 0x6dc0888f @@ -160942,6 +201178,8 @@ 1 0 0 +4 +4 3 0xa261 0x937ed04c @@ -160950,6 +201188,8 @@ 1 0 0 +0 +2 1 0xa05b 0x448bbb42 @@ -160958,6 +201198,8 @@ 0 0 0 +4 +5 2 0xe91 0x50b1240c @@ -160966,6 +201208,8 @@ 1 0 0 +0 +0 1 0x857a 0x558d36ee @@ -160974,6 +201218,8 @@ 0 0 0 +0 +1 3 0x8737 0x98e8987 @@ -160983,6 +201229,8 @@ 1 0 3 +5 +3 0xe7a0 0x208914ab 256 @@ -160990,6 +201238,8 @@ 0 0 0 +1 +0 4 0xea7c 0x5506a839 @@ -160998,6 +201248,8 @@ 1 1 0 +0 +1 3 0x2964 0x1a04338e @@ -161006,6 +201258,8 @@ 0 0 0 +0 +5 1 0x1eff 0xb19d6df1 @@ -161014,6 +201268,8 @@ 0 0 0 +5 +4 4 0x94c3 0x4cd367d @@ -161023,6 +201279,8 @@ 0 0 4 +3 +4 0xa1e5 0x46be1034 256 @@ -161030,6 +201288,8 @@ 0 0 0 +5 +2 4 0x3dbf 0xd8a61631 @@ -161038,6 +201298,8 @@ 1 1 0 +0 +5 3 0x6446 0x6df1cc80 @@ -161047,6 +201309,8 @@ 0 0 3 +5 +3 0xf1f1 0x4a0d77c3 256 @@ -161055,6 +201319,8 @@ 0 0 4 +4 +4 0xdd32 0x2e0a079e 256 @@ -161062,6 +201328,8 @@ 1 1 0 +0 +0 3 0x562d 0xbea2024 @@ -161070,6 +201338,8 @@ 1 0 0 +3 +3 4 0xd047 0x5fc23f3a @@ -161078,6 +201348,8 @@ 0 0 0 +4 +0 3 0xa845 0x24e05a6 @@ -161086,6 +201358,8 @@ 0 0 0 +2 +5 4 0xc918 0xbea21f13 @@ -161094,6 +201368,8 @@ 1 0 0 +3 +3 2 0x8333 0x107f1d5 @@ -161102,6 +201378,8 @@ 0 0 0 +5 +0 1 0xfec4 0x7d0269be @@ -161110,6 +201388,8 @@ 1 0 0 +3 +4 2 0xac63 0x139a740c @@ -161118,6 +201398,8 @@ 1 0 0 +0 +4 4 0x4a32 0xcb090efa @@ -161126,6 +201408,8 @@ 1 0 0 +0 +4 3 0x3e55 0x66773cbc @@ -161134,6 +201418,8 @@ 0 0 0 +1 +0 3 0xe940 0xf1e010fc @@ -161142,6 +201428,8 @@ 1 1 0 +0 +1 1 0x2691 0xd552c8f @@ -161150,6 +201438,8 @@ 1 1 0 +5 +5 4 0xfb12 0x72794eb4 @@ -161158,6 +201448,8 @@ 0 0 0 +0 +4 4 0xdd7a 0x58084b17 @@ -161166,6 +201458,8 @@ 0 0 0 +4 +3 3 0xb0a2 0x425dcc54 @@ -161174,6 +201468,8 @@ 1 1 0 +5 +0 1 0xca29 0xcb0bd643 @@ -161182,6 +201478,8 @@ 0 0 0 +5 +1 3 0xc6a1 0xc3bbf148 @@ -161190,6 +201488,8 @@ 1 1 0 +1 +0 2 0x9add 0x16e6a0f6 @@ -161198,6 +201498,8 @@ 1 0 0 +0 +3 2 0x586 0x4f1b260f @@ -161207,6 +201509,8 @@ 1 0 2 +1 +2 0x67e1 0x41311b11 256 @@ -161214,6 +201518,8 @@ 1 0 0 +0 +0 4 0x6ce8 0xbf8b0d3f @@ -161222,6 +201528,8 @@ 0 0 0 +4 +5 1 0x6620 0x2d1e8605 @@ -161230,6 +201538,8 @@ 1 0 0 +2 +1 4 0xd233 0x91a8d1e3 @@ -161238,6 +201548,8 @@ 1 0 0 +5 +0 3 0x4922 0x9e4c3cca @@ -161247,6 +201559,8 @@ 0 0 3 +1 +3 0xdbf1 0x853f3e12 256 @@ -161254,6 +201568,8 @@ 0 0 0 +4 +3 1 0x6319 0x6b7d0971 @@ -161262,6 +201578,8 @@ 0 0 0 +2 +5 3 0x7920 0xc8aafd78 @@ -161270,6 +201588,8 @@ 1 0 0 +5 +2 2 0x899e 0x33fbb9e9 @@ -161279,6 +201599,8 @@ 1 0 2 +1 +2 0x3ec7 0x34b4d8da 256 @@ -161286,6 +201608,8 @@ 1 0 0 +2 +1 1 0xb6eb 0x3030c846 @@ -161294,6 +201618,8 @@ 1 1 0 +0 +0 2 0xe5a9 0x83e87f4b @@ -161302,6 +201628,8 @@ 1 1 0 +3 +5 4 0x58d 0xb8567813 @@ -161310,6 +201638,8 @@ 0 0 0 +4 +1 3 0x80ef 0xbae8e914 @@ -161318,6 +201648,8 @@ 0 0 0 +4 +3 3 0xa225 0x776619c6 @@ -161326,6 +201658,8 @@ 1 0 0 +2 +2 1 0x770b 0xee2f985a @@ -161334,6 +201668,8 @@ 0 0 0 +1 +5 4 0x4e78 0x76f41576 @@ -161342,6 +201678,8 @@ 0 0 0 +1 +1 3 0x191e 0x3594554 @@ -161351,6 +201689,8 @@ 0 0 2 +3 +2 0x921a 0x4db54ee 256 @@ -161359,6 +201699,8 @@ 0 0 2 +0 +2 0x6c55 0x3be87678 256 @@ -161366,6 +201708,8 @@ 0 0 0 +4 +2 2 0x23d9 0xb2037726 @@ -161374,6 +201718,8 @@ 0 0 0 +5 +4 3 0xe879 0xe02c6a99 @@ -161382,6 +201728,8 @@ 0 0 0 +5 +3 2 0xc413 0x47183137 @@ -161390,6 +201738,8 @@ 0 0 0 +4 +1 2 0x3901 0xc6fb22ad @@ -161398,6 +201748,8 @@ 0 0 0 +2 +5 3 0xb6b5 0xc11c015c @@ -161406,6 +201758,8 @@ 0 0 0 +0 +5 4 0x6081 0x400d3d8f @@ -161414,6 +201768,8 @@ 1 1 0 +5 +2 4 0x41 0xe01393b7 @@ -161422,6 +201778,8 @@ 0 0 0 +4 +0 2 0x9f2f 0x951f6c9d @@ -161430,6 +201788,8 @@ 0 0 0 +3 +0 1 0xfd82 0xfd9a54b6 @@ -161438,6 +201798,8 @@ 0 0 0 +5 +3 4 0x7bee 0xfb225d0d @@ -161446,6 +201808,8 @@ 1 0 0 +4 +2 3 0x437 0xe3107ca0 @@ -161454,6 +201818,8 @@ 1 1 0 +4 +1 3 0x3b45 0x6e9763ea @@ -161462,6 +201828,8 @@ 0 0 0 +3 +2 4 0xa3e7 0xb7f094cc @@ -161470,6 +201838,8 @@ 0 0 0 +5 +5 2 0x5dae 0xf2eb2849 @@ -161478,6 +201848,8 @@ 1 0 0 +5 +2 4 0xa7d0 0xf8a9cbe9 @@ -161486,6 +201858,8 @@ 0 0 0 +1 +3 2 0x44cc 0xbdc17f83 @@ -161494,6 +201868,8 @@ 0 0 0 +1 +2 2 0x963b 0xc2450a18 @@ -161502,6 +201878,8 @@ 1 0 0 +0 +5 4 0x2357 0x824b84a0 @@ -161510,6 +201888,8 @@ 0 0 0 +5 +3 3 0x66ec 0xb1289ba5 @@ -161519,6 +201899,8 @@ 0 0 1 +0 +1 0xc150 0xebfe0b81 256 @@ -161527,6 +201909,8 @@ 0 0 3 +2 +3 0xeaca 0xe431d7b7 256 @@ -161534,6 +201918,8 @@ 1 1 0 +3 +0 4 0x10b9 0x8caa65be @@ -161542,6 +201928,8 @@ 0 0 0 +5 +4 3 0xd216 0xd3510719 @@ -161550,6 +201938,8 @@ 1 1 0 +5 +0 1 0x983f 0x48621fb0 @@ -161558,6 +201948,8 @@ 1 0 0 +3 +0 1 0x4700 0xdda726a4 @@ -161566,6 +201958,8 @@ 0 0 0 +5 +2 2 0xe95e 0xf6c3b6e4 @@ -161575,6 +201969,8 @@ 0 0 3 +2 +3 0x552f 0xa400b3e7 256 @@ -161582,6 +201978,8 @@ 1 0 0 +4 +3 1 0xb63a 0x3810cfc7 @@ -161590,6 +201988,8 @@ 1 1 0 +3 +4 1 0xf364 0xb38ef681 @@ -161598,6 +201998,8 @@ 1 1 0 +2 +4 3 0xc297 0x6fbfd760 @@ -161607,6 +202009,8 @@ 1 0 2 +0 +2 0x37a9 0x32514f7a 256 @@ -161614,6 +202018,8 @@ 0 0 0 +5 +0 2 0xfcf 0x648d7d2 @@ -161623,6 +202029,8 @@ 1 0 2 +0 +2 0xbed2 0xd7e8b2b7 256 @@ -161630,6 +202038,8 @@ 1 0 0 +5 +0 4 0x3a0a 0xa533e0c4 @@ -161638,6 +202048,8 @@ 1 0 0 +3 +1 1 0x88a9 0x7f4166d3 @@ -161646,6 +202058,8 @@ 1 1 0 +5 +2 4 0x95d 0x31018823 @@ -161654,6 +202068,8 @@ 0 0 0 +1 +5 4 0x49e1 0x2e5c4258 @@ -161663,6 +202079,8 @@ 1 0 4 +0 +4 0x1b8c 0x4b216092 256 @@ -161671,6 +202089,8 @@ 0 0 3 +1 +3 0x8fca 0xbe5b2ccf 256 @@ -161678,6 +202098,8 @@ 0 0 0 +5 +5 4 0x86b9 0x23bd38d3 @@ -161686,6 +202108,8 @@ 0 0 0 +4 +0 1 0x578b 0xa994e849 @@ -161694,6 +202118,8 @@ 0 0 0 +4 +1 2 0xa79 0x8bc7759c @@ -161702,6 +202128,8 @@ 1 1 0 +4 +0 1 0x9316 0x82a68c54 @@ -161710,6 +202138,8 @@ 0 0 0 +3 +4 1 0x30f7 0x88cdd16f @@ -161719,6 +202149,8 @@ 0 0 1 +5 +1 0x8ddc 0x3ad5ef36 256 @@ -161726,6 +202158,8 @@ 0 0 0 +1 +2 4 0x2379 0x59ead3de @@ -161734,6 +202168,8 @@ 1 1 0 +5 +2 3 0xb44f 0xf2bdad36 @@ -161742,6 +202178,8 @@ 1 0 0 +1 +4 3 0x9ea2 0x13179a77 @@ -161750,6 +202188,8 @@ 1 0 0 +2 +3 1 0x39c1 0x92fea676 @@ -161759,6 +202199,8 @@ 0 0 2 +1 +2 0x508b 0xfa6a0653 256 @@ -161766,6 +202208,8 @@ 0 0 0 +2 +2 4 0x3ca8 0x6ba19f94 @@ -161774,6 +202218,8 @@ 0 0 0 +1 +1 2 0x9149 0xab38f91f @@ -161782,6 +202228,8 @@ 1 0 0 +0 +4 1 0x33fd 0xe3f0d5dc @@ -161790,6 +202238,8 @@ 1 1 0 +0 +0 2 0xd075 0x9209cfe8 @@ -161799,6 +202249,8 @@ 1 0 4 +2 +4 0x58ae 0x444f5dd2 256 @@ -161806,6 +202258,8 @@ 0 0 0 +1 +3 4 0x1341 0xfd72d20a @@ -161815,6 +202269,8 @@ 0 0 2 +4 +2 0xe26a 0x21ada7d3 256 @@ -161823,6 +202279,8 @@ 0 0 2 +3 +2 0xf02a 0xf2778506 256 @@ -161830,6 +202288,8 @@ 1 0 0 +0 +1 4 0x68c6 0x4edd8f21 @@ -161838,6 +202298,8 @@ 0 0 0 +5 +3 3 0x954d 0xdb416221 @@ -161846,6 +202308,8 @@ 1 1 0 +0 +0 4 0xdf94 0x64257d13 @@ -161854,6 +202318,8 @@ 0 0 0 +1 +3 2 0x62d2 0x50d35a21 @@ -161862,6 +202328,8 @@ 0 0 0 +0 +5 1 0x1a2c 0x632c1b89 @@ -161870,6 +202338,8 @@ 1 0 0 +0 +2 2 0x908a 0x33f36261 @@ -161878,6 +202348,8 @@ 0 0 0 +0 +0 4 0x564d 0x6b7c1425 @@ -161886,6 +202358,8 @@ 1 1 0 +1 +3 2 0x7ff1 0xfe94508c @@ -161894,6 +202368,8 @@ 0 0 0 +4 +5 1 0x3d1d 0x933735c3 @@ -161902,6 +202378,8 @@ 1 0 0 +1 +1 3 0x8de8 0x246f7e0d @@ -161910,6 +202388,8 @@ 0 0 0 +3 +3 1 0xbc21 0x4ea26885 @@ -161919,6 +202399,8 @@ 0 0 1 +3 +1 0xcd9c 0x2ade6f50 256 @@ -161926,6 +202408,8 @@ 1 1 0 +5 +0 2 0x3185 0x4734478f @@ -161934,6 +202418,8 @@ 1 1 0 +2 +2 3 0x5fd6 0x4533c047 @@ -161942,6 +202428,8 @@ 1 0 0 +4 +2 3 0xe07a 0xca4bb69a @@ -161950,6 +202438,8 @@ 0 0 0 +5 +2 4 0x5193 0x908df4b2 @@ -161958,6 +202448,8 @@ 1 0 0 +5 +2 3 0xd715 0x8de604f2 @@ -161966,6 +202458,8 @@ 1 1 0 +2 +0 4 0xe080 0x6e582f52 @@ -161974,6 +202468,8 @@ 1 0 0 +2 +3 1 0x7b94 0x3657cf64 @@ -161982,6 +202478,8 @@ 0 0 0 +5 +3 3 0x826 0x36eeb132 @@ -161990,6 +202488,8 @@ 1 0 0 +1 +2 2 0xe5d4 0x55341a8c @@ -161998,6 +202498,8 @@ 0 0 0 +5 +4 1 0xb824 0x52b88b07 @@ -162006,6 +202508,8 @@ 1 0 0 +0 +1 4 0xe01 0xfe186fe7 @@ -162014,6 +202518,8 @@ 1 0 0 +4 +3 1 0x9eb6 0xfa687903 @@ -162022,6 +202528,8 @@ 0 0 0 +1 +0 2 0x54ce 0x44248e6c @@ -162031,6 +202539,8 @@ 0 0 1 +3 +1 0x7678 0xb4f7e243 256 @@ -162038,6 +202548,8 @@ 0 0 0 +0 +2 3 0x6642 0x1b55a918 @@ -162047,6 +202559,8 @@ 0 0 3 +4 +3 0xe521 0x3f273382 256 @@ -162054,6 +202568,8 @@ 1 0 0 +2 +3 1 0x92e7 0x6532a514 @@ -162063,6 +202579,8 @@ 0 0 1 +1 +1 0x5c75 0x85a521ce 256 @@ -162070,6 +202588,8 @@ 0 0 0 +5 +4 3 0x1f6e 0xeaaed663 @@ -162079,6 +202599,8 @@ 0 0 3 +3 +3 0x56e6 0xef4ecb53 256 @@ -162086,6 +202608,8 @@ 1 1 0 +5 +2 2 0xf6b6 0xcafd8660 @@ -162094,6 +202618,8 @@ 1 0 0 +4 +2 1 0xe398 0x4f6684c6 @@ -162102,6 +202628,8 @@ 1 0 0 +5 +5 3 0xe12b 0x18bfb9e9 @@ -162110,6 +202638,8 @@ 0 0 0 +0 +4 2 0x1785 0xc0859f36 @@ -162118,6 +202648,8 @@ 0 0 0 +5 +1 4 0xbc45 0xcdf473fd @@ -162126,6 +202658,8 @@ 0 0 0 +5 +4 3 0x34c1 0xe763ab75 @@ -162134,6 +202668,8 @@ 1 1 0 +0 +1 2 0x5594 0x73a2733e @@ -162142,6 +202678,8 @@ 1 0 0 +4 +0 3 0x91b9 0x2f33b2e @@ -162150,6 +202688,8 @@ 1 0 0 +1 +5 4 0x6c4b 0xd45fc4c6 @@ -162158,6 +202698,8 @@ 0 0 0 +4 +0 3 0x813c 0xcc2d24ff @@ -162166,6 +202708,8 @@ 1 0 0 +5 +2 1 0xe31d 0xcd781a10 @@ -162174,6 +202718,8 @@ 0 0 0 +1 +3 2 0x6bf 0x46c0a6d @@ -162182,6 +202728,8 @@ 1 0 0 +1 +1 3 0x9b58 0x638fac6f @@ -162190,6 +202738,8 @@ 1 1 0 +2 +2 4 0x9271 0x16c15a46 @@ -162198,6 +202748,8 @@ 1 0 0 +4 +3 2 0xf126 0xbc153711 @@ -162206,6 +202758,8 @@ 0 0 0 +4 +5 2 0x20f8 0x4a27d243 @@ -162214,6 +202768,8 @@ 1 1 0 +1 +3 3 0x436f 0xca18d84a @@ -162222,6 +202778,8 @@ 0 0 0 +1 +3 4 0xb0f7 0x3942cd33 @@ -162230,6 +202788,8 @@ 0 0 0 +3 +0 4 0x5bbc 0xeabf36d3 @@ -162239,6 +202799,8 @@ 0 0 4 +5 +4 0x7502 0x45fb4d1d 256 @@ -162247,6 +202809,8 @@ 0 0 1 +4 +1 0x3368 0x5e89514c 256 @@ -162255,6 +202819,8 @@ 0 0 3 +5 +3 0x884b 0x7ae88d70 256 @@ -162262,6 +202828,8 @@ 1 0 0 +3 +1 2 0xc26c 0xc8a6d751 @@ -162271,6 +202839,8 @@ 1 0 1 +4 +1 0xba42 0x850256b3 256 @@ -162278,6 +202848,8 @@ 1 0 0 +0 +0 3 0x28d6 0x92a6a1f2 @@ -162286,6 +202858,8 @@ 1 0 0 +2 +5 1 0xef65 0x6023357d @@ -162294,6 +202868,8 @@ 0 0 0 +4 +5 3 0x8002 0xa2abbe7e @@ -162302,6 +202878,8 @@ 1 0 0 +1 +5 4 0x7f94 0x7b89c0b @@ -162310,6 +202888,8 @@ 0 0 0 +5 +2 4 0x851a 0x28598acd @@ -162318,6 +202898,8 @@ 0 0 0 +3 +4 2 0x85b0 0x4e522e89 @@ -162326,6 +202908,8 @@ 0 0 0 +2 +0 4 0x3ef1 0x6a29c7c9 @@ -162334,6 +202918,8 @@ 1 0 0 +3 +1 2 0xe61f 0xd6fdfb1f @@ -162342,6 +202928,8 @@ 0 0 0 +4 +5 1 0x2c9a 0x41dd841c @@ -162350,6 +202938,8 @@ 0 0 0 +3 +5 1 0x29d1 0xc60d1b72 @@ -162358,6 +202948,8 @@ 1 0 0 +3 +2 1 0xd386 0x8b7dbd8 @@ -162366,6 +202958,8 @@ 0 0 0 +5 +3 2 0x4f8e 0xcc74c0f7 @@ -162374,6 +202968,8 @@ 0 0 0 +1 +5 2 0xaa66 0x2a6ab62d @@ -162382,6 +202978,8 @@ 0 0 0 +3 +0 4 0x4313 0xa2d63818 @@ -162390,6 +202988,8 @@ 1 0 0 +3 +1 2 0x311e 0xebc43298 @@ -162398,6 +202998,8 @@ 1 1 0 +2 +5 3 0x1717 0x2c72c36 @@ -162406,6 +203008,8 @@ 1 1 0 +2 +3 3 0x3473 0x3cdc07db @@ -162415,6 +203019,8 @@ 1 0 1 +3 +1 0xf00 0x3fb14d10 256 @@ -162422,6 +203028,8 @@ 0 0 0 +2 +2 3 0x5bb2 0xcb8c308 @@ -162430,6 +203038,8 @@ 0 0 0 +5 +0 1 0xe41e 0xe6b9f318 @@ -162438,6 +203048,8 @@ 1 1 0 +1 +5 4 0x4dee 0x5eb040aa @@ -162446,6 +203058,8 @@ 1 1 0 +4 +4 1 0x7f58 0xe2a3adc6 @@ -162455,6 +203069,8 @@ 1 0 2 +5 +2 0x5603 0xb80dfdc7 256 @@ -162462,6 +203078,8 @@ 0 0 0 +4 +1 2 0x9f0e 0x29a66110 @@ -162471,6 +203089,8 @@ 0 0 2 +0 +2 0xa56 0x1ca300ce 256 @@ -162478,6 +203098,8 @@ 1 1 0 +1 +4 2 0x5443 0xd31cfe61 @@ -162487,6 +203109,8 @@ 0 0 4 +2 +4 0xbc8f 0x96d578ed 256 @@ -162494,6 +203118,8 @@ 1 0 0 +0 +4 2 0xdade 0x5f29610e @@ -162502,6 +203128,8 @@ 0 0 0 +3 +2 1 0x4cb1 0x440f2c84 @@ -162510,6 +203138,8 @@ 0 0 0 +5 +2 2 0xcd8b 0x66d70f4f @@ -162518,6 +203148,8 @@ 0 0 0 +5 +3 1 0x5659 0xdf2db8b2 @@ -162527,6 +203159,8 @@ 1 0 1 +1 +1 0x8e3c 0x367583c1 256 @@ -162534,6 +203168,8 @@ 1 0 0 +4 +1 2 0x6f50 0x3650bee5 @@ -162542,6 +203178,8 @@ 1 1 0 +5 +0 1 0x8842 0xf4da0017 @@ -162550,6 +203188,8 @@ 1 0 0 +1 +1 3 0x27fa 0xf0b0c5c5 @@ -162558,6 +203198,8 @@ 0 0 0 +0 +5 1 0xc23a 0xe8a5d82 @@ -162567,6 +203209,8 @@ 0 0 2 +2 +2 0xeb7e 0xbfe4730e 256 @@ -162575,6 +203219,8 @@ 0 0 2 +5 +2 0xf6b2 0xb46226a3 256 @@ -162583,6 +203229,8 @@ 1 0 1 +4 +1 0x3372 0x8b471ad9 256 @@ -162590,6 +203238,8 @@ 0 0 0 +3 +5 2 0x525c 0xcd14ed15 @@ -162598,6 +203248,8 @@ 1 0 0 +3 +0 4 0x5b58 0xa731043c @@ -162606,6 +203258,8 @@ 0 0 0 +4 +3 3 0xa2f7 0x21c2593a @@ -162614,6 +203268,8 @@ 1 0 0 +2 +3 4 0xcb26 0x4cc69c59 @@ -162623,6 +203279,8 @@ 1 0 2 +5 +2 0xaaa6 0xe8c4c18c 256 @@ -162630,6 +203288,8 @@ 1 1 0 +1 +1 4 0xdabe 0x53d9d482 @@ -162638,6 +203298,8 @@ 0 0 0 +3 +2 4 0x4d94 0xba87e274 @@ -162646,6 +203308,8 @@ 0 0 0 +5 +0 3 0xb7b0 0x8be83d31 @@ -162654,6 +203318,8 @@ 0 0 0 +5 +4 2 0x8456 0x3bedf866 @@ -162662,6 +203328,8 @@ 1 1 0 +1 +5 3 0x2830 0x96158db4 @@ -162670,6 +203338,8 @@ 1 0 0 +4 +2 3 0x34d9 0x5b0d9a89 @@ -162678,6 +203348,8 @@ 0 0 0 +5 +1 2 0xc49d 0x2109b09 @@ -162686,6 +203358,8 @@ 0 0 0 +0 +2 2 0xec8c 0x2b70c750 @@ -162694,6 +203368,8 @@ 0 0 0 +3 +3 2 0x104b 0x612aa5d5 @@ -162703,6 +203379,8 @@ 0 0 1 +3 +1 0xe11b 0xcc17ff5a 256 @@ -162710,6 +203388,8 @@ 1 0 0 +3 +4 1 0xae43 0xff98b803 @@ -162719,6 +203399,8 @@ 1 0 3 +3 +3 0x7c80 0x767006ed 256 @@ -162727,6 +203409,8 @@ 1 0 3 +3 +3 0xd273 0x3fc81cd0 256 @@ -162734,6 +203418,8 @@ 1 0 0 +2 +3 4 0x4795 0x1cdec065 @@ -162742,6 +203428,8 @@ 1 1 0 +2 +0 4 0x7dcd 0xd349c6a1 @@ -162750,6 +203438,8 @@ 0 0 0 +4 +0 1 0xb4d3 0x3a0d4b31 @@ -162758,6 +203448,8 @@ 0 0 0 +2 +5 4 0x1b44 0x818de6da @@ -162766,6 +203458,8 @@ 1 1 0 +5 +2 2 0x51bf 0x8125201c @@ -162774,6 +203468,8 @@ 1 1 0 +5 +4 2 0xc190 0x333fa173 @@ -162783,6 +203479,8 @@ 1 0 4 +4 +4 0x1d7d 0xcaa2a8f7 256 @@ -162790,6 +203488,8 @@ 1 1 0 +1 +4 4 0x8026 0x118827db @@ -162798,6 +203498,8 @@ 0 0 0 +2 +3 3 0xd938 0x5a553d8c @@ -162807,6 +203509,8 @@ 1 0 3 +2 +3 0x9f55 0x6897aa86 256 @@ -162814,6 +203518,8 @@ 0 0 0 +2 +0 3 0x5ff5 0x9b70a194 @@ -162822,6 +203528,8 @@ 0 0 0 +5 +5 1 0x58 0xe251158a @@ -162830,6 +203538,8 @@ 0 0 0 +3 +1 1 0x41f4 0x89058e45 @@ -162838,6 +203548,8 @@ 1 1 0 +5 +5 4 0xf94b 0xd04f4c11 @@ -162846,6 +203558,8 @@ 0 0 0 +3 +1 2 0x9b5c 0xbd2c47c @@ -162855,6 +203569,8 @@ 1 0 1 +1 +1 0x4b9d 0x1958610 256 @@ -162863,6 +203579,8 @@ 1 0 1 +1 +1 0xe16 0xa8d9c12a 256 @@ -162870,6 +203588,8 @@ 0 0 0 +2 +0 1 0xf5a2 0x69573f6d @@ -162878,6 +203598,8 @@ 1 1 0 +1 +3 3 0x652f 0x47364bb3 @@ -162886,6 +203608,8 @@ 0 0 0 +1 +3 3 0x1b28 0x1bc7bc50 @@ -162894,6 +203618,8 @@ 0 0 0 +1 +0 3 0x33c0 0xe51ec4c8 @@ -162902,6 +203628,8 @@ 0 0 0 +4 +0 2 0x7e6a 0x2523e867 @@ -162911,6 +203639,8 @@ 0 0 4 +5 +4 0xb862 0x9cb1b35a 256 @@ -162918,6 +203648,8 @@ 0 0 0 +4 +0 2 0x9b97 0x14aa0801 @@ -162926,6 +203658,8 @@ 1 0 0 +3 +2 2 0x9093 0xfe629792 @@ -162934,6 +203668,8 @@ 0 0 0 +4 +5 2 0x2349 0x965143c @@ -162942,6 +203678,8 @@ 0 0 0 +3 +1 2 0xf2af 0xe91c3c2a @@ -162951,6 +203689,8 @@ 0 0 4 +4 +4 0x62f7 0x2f17c55b 256 @@ -162958,6 +203698,8 @@ 1 0 0 +1 +0 3 0xbb9c 0x1ef13b3d @@ -162966,6 +203708,8 @@ 0 0 0 +4 +3 3 0xb641 0x7d3dcbe0 @@ -162974,6 +203718,8 @@ 1 1 0 +5 +1 2 0xf404 0x76020ab5 @@ -162983,6 +203729,8 @@ 0 0 4 +3 +4 0x876a 0xe0467e8c 256 @@ -162990,6 +203738,8 @@ 0 0 0 +5 +0 3 0x90d3 0xe564a0c1 @@ -162998,6 +203748,8 @@ 0 0 0 +0 +4 3 0x742a 0x78becc75 @@ -163006,6 +203758,8 @@ 0 0 0 +3 +5 1 0x9e2a 0x932879bd @@ -163014,6 +203768,8 @@ 0 0 0 +4 +2 1 0xb1e 0xf35af42a @@ -163022,6 +203778,8 @@ 1 0 0 +1 +5 4 0xc607 0x97556bb3 @@ -163031,6 +203789,8 @@ 0 0 2 +0 +2 0x1e8b 0x3ec0231a 256 @@ -163038,6 +203798,8 @@ 1 1 0 +2 +4 3 0x4832 0xd88db292 @@ -163047,6 +203809,8 @@ 0 0 3 +3 +3 0xea59 0xaae3b7a2 256 @@ -163055,6 +203819,8 @@ 0 0 1 +0 +1 0xcc0b 0xebc2872e 256 @@ -163062,6 +203828,8 @@ 1 1 0 +5 +5 1 0x2c30 0x741a616a @@ -163070,6 +203838,8 @@ 0 0 0 +4 +2 2 0x995b 0x6598b454 @@ -163078,6 +203848,8 @@ 0 0 0 +4 +0 2 0x1626 0xf4663997 @@ -163086,6 +203858,8 @@ 0 0 0 +0 +4 3 0x6336 0xf1f5332a @@ -163095,6 +203869,8 @@ 1 0 1 +2 +1 0xb49d 0xacad16ce 256 @@ -163102,6 +203878,8 @@ 0 0 0 +1 +2 4 0xf0d2 0xa6552b7c @@ -163111,6 +203889,8 @@ 0 0 3 +3 +3 0xa9eb 0x1ab6c02c 256 @@ -163118,6 +203898,8 @@ 0 0 0 +2 +0 1 0xaa25 0x93309a3d @@ -163126,6 +203908,8 @@ 0 0 0 +0 +4 2 0x4e01 0x94ee7b4a @@ -163134,6 +203918,8 @@ 0 0 0 +1 +5 4 0x9d29 0xff4e0f0a @@ -163142,6 +203928,8 @@ 1 1 0 +5 +0 1 0x452f 0xc00513e4 @@ -163151,6 +203939,8 @@ 0 0 3 +0 +3 0xebc8 0x65cea09a 256 @@ -163158,6 +203948,8 @@ 0 0 0 +2 +1 4 0x4116 0x6183c1a2 @@ -163166,6 +203958,8 @@ 0 0 0 +2 +3 1 0x825 0x3e6736e4 @@ -163174,6 +203968,8 @@ 0 0 0 +0 +2 2 0xd5d9 0x3bfb5a2b @@ -163182,6 +203978,8 @@ 0 0 0 +2 +2 3 0xb97f 0xe7522632 @@ -163190,6 +203988,8 @@ 1 1 0 +3 +5 2 0x3215 0x966aed42 @@ -163198,6 +203998,8 @@ 0 0 0 +2 +4 3 0x207c 0x803fe677 @@ -163206,6 +204008,8 @@ 1 1 0 +5 +5 1 0x13ea 0xc48a1a47 @@ -163214,6 +204018,8 @@ 0 0 0 +3 +0 1 0xcd94 0x4d9f0a53 @@ -163222,6 +204028,8 @@ 1 0 0 +0 +5 4 0x1bf5 0xddf8143 @@ -163230,6 +204038,8 @@ 0 0 0 +3 +5 2 0x4c24 0x28051db @@ -163238,6 +204048,8 @@ 1 0 0 +0 +4 2 0x5f75 0x7c8979c9 @@ -163246,6 +204058,8 @@ 0 0 0 +3 +3 4 0x8ca1 0x76c91e7b @@ -163254,6 +204068,8 @@ 1 0 0 +0 +2 1 0x1f21 0xd82604e7 @@ -163262,6 +204078,8 @@ 0 0 0 +4 +4 1 0x670b 0x5257b481 @@ -163270,6 +204088,8 @@ 1 1 0 +2 +4 4 0x177c 0x110d3654 @@ -163278,6 +204098,8 @@ 1 0 0 +4 +2 3 0x8c61 0xd36eca58 @@ -163287,6 +204109,8 @@ 0 0 3 +2 +3 0xfa32 0x657d9524 256 @@ -163294,6 +204118,8 @@ 0 0 0 +5 +1 3 0xcc88 0x5496b6bd @@ -163303,6 +204129,8 @@ 1 0 3 +5 +3 0x7b37 0x15c06002 256 @@ -163311,6 +204139,8 @@ 0 0 1 +5 +1 0x702b 0x85f24637 256 @@ -163318,6 +204148,8 @@ 0 0 0 +3 +5 4 0x3bdd 0xfef9d680 @@ -163327,6 +204159,8 @@ 0 0 1 +2 +1 0x9e74 0x8ca97d86 256 @@ -163334,6 +204168,8 @@ 0 0 0 +2 +0 4 0xc4b9 0x6385b51e @@ -163342,6 +204178,8 @@ 1 0 0 +1 +2 2 0x6095 0xa5eac3e3 @@ -163350,6 +204188,8 @@ 1 0 0 +2 +1 4 0x60f 0x75a6d2f5 @@ -163358,6 +204198,8 @@ 0 0 0 +5 +0 2 0xfb1e 0xdcbd4d13 @@ -163366,6 +204208,8 @@ 0 0 0 +5 +4 1 0x140b 0x61a0f01a @@ -163374,6 +204218,8 @@ 0 0 0 +2 +2 4 0x7ac8 0xbda62604 @@ -163382,6 +204228,8 @@ 0 0 0 +5 +1 3 0x3218 0xb20c2dba @@ -163390,6 +204238,8 @@ 0 0 0 +4 +5 1 0xcec2 0xeeff50cc @@ -163398,6 +204248,8 @@ 0 0 0 +4 +5 3 0xc0e1 0xf3680b1e @@ -163406,6 +204258,8 @@ 1 1 0 +2 +3 4 0xeee5 0xffbba26d @@ -163414,6 +204268,8 @@ 1 1 0 +4 +1 2 0xeab6 0xaa072ef3 @@ -163422,6 +204278,8 @@ 1 1 0 +5 +2 4 0x22cb 0x75ebbe42 @@ -163431,6 +204289,8 @@ 0 0 2 +3 +2 0x53b0 0x2431376a 256 @@ -163438,6 +204298,8 @@ 0 0 0 +3 +5 1 0x37cd 0xdc5b3560 @@ -163446,6 +204308,8 @@ 1 0 0 +0 +2 1 0xa56c 0x4a4a4be3 @@ -163455,6 +204319,8 @@ 0 0 2 +0 +2 0xb992 0xa89a7400 256 @@ -163462,6 +204328,8 @@ 1 0 0 +5 +4 3 0x1592 0x78c4ef27 @@ -163470,6 +204338,8 @@ 1 1 0 +0 +1 3 0x1ec2 0xd0af20c9 @@ -163478,6 +204348,8 @@ 0 0 0 +2 +2 3 0x4704 0x39a8d54d @@ -163486,6 +204358,8 @@ 0 0 0 +4 +5 1 0xd6f3 0x36d00152 @@ -163494,6 +204368,8 @@ 0 0 0 +0 +5 3 0xa366 0x6b546dd1 @@ -163502,6 +204378,8 @@ 1 0 0 +5 +1 1 0xb6b0 0xe95c989f @@ -163510,6 +204388,8 @@ 0 0 0 +3 +2 1 0xed79 0xd5549b2d @@ -163519,6 +204399,8 @@ 0 0 3 +2 +3 0x9444 0x49addc6f 256 @@ -163526,6 +204408,8 @@ 0 0 0 +5 +1 2 0x4c80 0x7ab15c83 @@ -163534,6 +204418,8 @@ 0 0 0 +3 +5 1 0x767e 0x65de7583 @@ -163542,6 +204428,8 @@ 1 1 0 +2 +1 1 0x5a7c 0x52387e2f @@ -163550,6 +204438,8 @@ 0 0 0 +5 +0 2 0xdb93 0xbe33517 @@ -163558,6 +204448,8 @@ 1 1 0 +3 +2 1 0xea03 0x3cb32730 @@ -163567,6 +204459,8 @@ 0 0 3 +3 +3 0x8f2e 0xb5d1fe3b 256 @@ -163575,6 +204469,8 @@ 0 0 4 +5 +4 0x120e 0x19ff7cd9 256 @@ -163582,6 +204478,8 @@ 0 0 0 +5 +4 1 0xbcae 0x49c5d1c5 @@ -163590,6 +204488,8 @@ 0 0 0 +5 +1 2 0x8072 0x5b919247 @@ -163598,6 +204498,8 @@ 0 0 0 +3 +4 1 0x3704 0x6a48f10a @@ -163606,6 +204508,8 @@ 1 1 0 +5 +3 4 0x2d6d 0xd3880ad7 @@ -163614,6 +204518,8 @@ 1 0 0 +5 +3 1 0xcbe1 0xa4d2a50e @@ -163622,6 +204528,8 @@ 0 0 0 +2 +4 4 0xd150 0xe4a64cb0 @@ -163630,6 +204538,8 @@ 1 1 0 +0 +3 3 0xdef5 0xcba614d2 @@ -163638,6 +204548,8 @@ 1 1 0 +2 +3 4 0x4e91 0x1f7758fb @@ -163646,6 +204558,8 @@ 1 0 0 +0 +4 4 0x7d7c 0x39fd4629 @@ -163655,6 +204569,8 @@ 1 0 2 +1 +2 0x4f47 0xedd83308 256 @@ -163663,6 +204579,8 @@ 0 0 1 +5 +1 0x4a26 0x914dac00 256 @@ -163670,6 +204588,8 @@ 0 0 0 +3 +5 1 0xe88c 0x9cbf0ce7 @@ -163679,6 +204599,8 @@ 1 0 2 +0 +2 0xa789 0xa1844314 256 @@ -163686,6 +204608,8 @@ 0 0 0 +1 +0 4 0xb4e6 0xd70a8e93 @@ -163694,6 +204618,8 @@ 1 0 0 +4 +1 1 0xa958 0x2fc619da @@ -163702,6 +204628,8 @@ 0 0 0 +3 +4 2 0xce 0xc604ff8a @@ -163710,6 +204638,8 @@ 1 1 0 +1 +2 4 0x2af 0xf40c586f @@ -163718,6 +204648,8 @@ 1 0 0 +5 +0 4 0xbdc0 0x9057659f @@ -163726,6 +204658,8 @@ 0 0 0 +4 +3 2 0x731 0x36d928fb @@ -163734,6 +204668,8 @@ 1 0 0 +2 +2 1 0xd496 0xc599e616 @@ -163742,6 +204678,8 @@ 0 0 0 +2 +4 3 0xb3d3 0xcb20ad9b @@ -163751,6 +204689,8 @@ 0 0 2 +2 +2 0xe406 0x1220c167 256 @@ -163758,6 +204698,8 @@ 0 0 0 +4 +2 3 0x5499 0xc4758099 @@ -163766,6 +204708,8 @@ 0 0 0 +0 +0 3 0xb1d6 0x1016f01a @@ -163774,6 +204718,8 @@ 0 0 0 +1 +3 2 0x2dce 0x342fc7ee @@ -163782,6 +204728,8 @@ 1 1 0 +5 +4 3 0xf0d1 0xd1e28917 @@ -163790,6 +204738,8 @@ 0 0 0 +4 +4 3 0x7a09 0x8e68fb43 @@ -163798,6 +204748,8 @@ 1 1 0 +3 +0 1 0x50c8 0x712704c1 @@ -163806,6 +204758,8 @@ 0 0 0 +5 +5 2 0xc8b6 0x3b6189b7 @@ -163814,6 +204768,8 @@ 1 1 0 +3 +2 1 0xe095 0x6e1912f5 @@ -163822,6 +204778,8 @@ 0 0 0 +5 +2 3 0xdef 0xbcdf5b3 @@ -163830,6 +204788,8 @@ 0 0 0 +1 +4 4 0x4fdd 0x364cc186 @@ -163838,6 +204798,8 @@ 0 0 0 +0 +5 4 0x95a1 0x630c6b21 @@ -163846,6 +204808,8 @@ 1 0 0 +4 +0 1 0xf3ea 0xb976aa1a @@ -163855,6 +204819,8 @@ 0 0 1 +1 +1 0x2751 0x4e6398 256 @@ -163862,6 +204828,8 @@ 0 0 0 +5 +0 1 0x9031 0x5063a916 @@ -163870,6 +204838,8 @@ 0 0 0 +0 +5 3 0xa6e0 0x984aa07 @@ -163878,6 +204848,8 @@ 0 0 0 +3 +1 2 0x6083 0x312cf66b @@ -163886,6 +204858,8 @@ 0 0 0 +2 +3 3 0xbcb7 0x6fe6bcc6 @@ -163894,6 +204868,8 @@ 1 0 0 +3 +5 1 0x9496 0xa3bef73a @@ -163902,6 +204878,8 @@ 1 0 0 +1 +0 4 0x2614 0xabed92d3 @@ -163910,6 +204888,8 @@ 0 0 0 +1 +1 3 0xd012 0xe655a774 @@ -163918,6 +204898,8 @@ 0 0 0 +3 +3 1 0x4948 0xe07171ae @@ -163926,6 +204908,8 @@ 1 1 0 +2 +1 4 0x7347 0x6130ead8 @@ -163934,6 +204918,8 @@ 0 0 0 +2 +3 4 0xfc40 0x62e8b621 @@ -163942,6 +204928,8 @@ 0 0 0 +5 +2 4 0xe2c4 0xa821d126 @@ -163950,6 +204938,8 @@ 0 0 0 +3 +3 4 0xca45 0xc4010246 @@ -163958,6 +204948,8 @@ 1 0 0 +5 +4 4 0xe06f 0x780b66f3 @@ -163966,6 +204958,8 @@ 0 0 0 +0 +3 4 0xdfc3 0x60527aa9 @@ -163974,6 +204968,8 @@ 0 0 0 +5 +2 3 0x1daa 0x7d8a23fe @@ -163982,6 +204978,8 @@ 0 0 0 +3 +1 1 0x9146 0xd49b310a @@ -163990,6 +204988,8 @@ 0 0 0 +1 +4 2 0xad3 0x81c6e24a @@ -163998,6 +204998,8 @@ 0 0 0 +4 +3 3 0x75fa 0xb697d81b @@ -164006,6 +205008,8 @@ 1 1 0 +2 +0 1 0xca96 0x8a4b0a62 @@ -164014,6 +205018,8 @@ 1 0 0 +0 +2 1 0x371a 0x4bd2af6f @@ -164022,6 +205028,8 @@ 0 0 0 +5 +3 3 0xbed0 0x6e431c75 @@ -164031,6 +205039,8 @@ 0 0 2 +0 +2 0xe64d 0x909a945a 256 @@ -164038,6 +205048,8 @@ 1 1 0 +5 +1 4 0xaa88 0xbe6f2f41 @@ -164046,6 +205058,8 @@ 1 1 0 +3 +3 1 0x2d 0x9e3e77f1 @@ -164054,6 +205068,8 @@ 1 0 0 +1 +2 2 0xe0b8 0xfe92e541 @@ -164062,6 +205078,8 @@ 1 1 0 +0 +4 4 0xdae9 0xb5b5fd63 @@ -164070,6 +205088,8 @@ 0 0 0 +4 +5 1 0x6413 0xb96d96b0 @@ -164078,6 +205098,8 @@ 0 0 0 +0 +2 1 0x86bc 0xbc5d3da3 @@ -164086,6 +205108,8 @@ 0 0 0 +1 +2 2 0xabb0 0x511401a3 @@ -164094,6 +205118,8 @@ 0 0 0 +3 +3 2 0x28bf 0x3307b413 @@ -164103,6 +205129,8 @@ 0 0 4 +4 +4 0x54a7 0xc88adc69 256 @@ -164110,6 +205138,8 @@ 1 1 0 +4 +4 3 0x32e1 0x4ae25aac @@ -164118,6 +205148,8 @@ 0 0 0 +0 +5 3 0xd5fd 0x6e878378 @@ -164126,6 +205158,8 @@ 1 0 0 +2 +3 1 0x5690 0xaf31a4b4 @@ -164134,6 +205168,8 @@ 1 1 0 +2 +0 1 0xcd06 0x85ffb338 @@ -164142,6 +205178,8 @@ 1 1 0 +3 +4 4 0xefd0 0xd3dad194 @@ -164150,6 +205188,8 @@ 1 1 0 +4 +3 1 0x8f0c 0xb7e6b1e3 @@ -164158,6 +205198,8 @@ 1 1 0 +2 +1 1 0x4775 0xfea6f46f @@ -164166,6 +205208,8 @@ 1 0 0 +5 +1 1 0x5b01 0x5a15b5e0 @@ -164174,6 +205218,8 @@ 0 0 0 +0 +3 4 0x6dcf 0xa17fc7b7 @@ -164182,6 +205228,8 @@ 1 0 0 +5 +4 4 0xdef4 0x333d6f6b @@ -164190,6 +205238,8 @@ 0 0 0 +2 +4 1 0x54fd 0x48aa292e @@ -164198,6 +205248,8 @@ 0 0 0 +3 +1 1 0xe525 0x9dcb7ceb @@ -164206,6 +205258,8 @@ 0 0 0 +4 +1 2 0x7a0c 0xb15eca96 @@ -164214,6 +205268,8 @@ 1 1 0 +3 +0 1 0xc04c 0xf7731a42 @@ -164222,6 +205278,8 @@ 1 1 0 +4 +2 2 0x8bcb 0x84aad680 @@ -164230,6 +205288,8 @@ 1 0 0 +5 +1 4 0xd593 0xfdd13248 @@ -164238,6 +205298,8 @@ 1 1 0 +2 +3 3 0xc1a3 0x76ce7a1c @@ -164246,6 +205308,8 @@ 0 0 0 +3 +4 1 0x3657 0x4c0aebe7 @@ -164254,6 +205318,8 @@ 0 0 0 +4 +2 2 0x33b2 0x615dc8dc @@ -164262,6 +205328,8 @@ 1 0 0 +5 +5 4 0xe9b7 0xe07faf7d @@ -164270,6 +205338,8 @@ 1 1 0 +0 +0 4 0xbfb1 0x85fecdcc @@ -164278,6 +205348,8 @@ 0 0 0 +1 +3 3 0x79d8 0x8f25fbda @@ -164286,6 +205358,8 @@ 1 1 0 +1 +3 3 0x9cd9 0x34736784 @@ -164294,6 +205368,8 @@ 1 1 0 +3 +3 2 0x1134 0xf22b46fe @@ -164302,6 +205378,8 @@ 1 1 0 +1 +1 4 0x3fad 0x7f258aaa @@ -164310,6 +205388,8 @@ 1 0 0 +2 +1 1 0x4c73 0x661e0a6d @@ -164318,6 +205398,8 @@ 0 0 0 +5 +4 1 0xf401 0x2d7e4177 @@ -164326,6 +205408,8 @@ 0 0 0 +0 +1 2 0xcb52 0xe2ef705d @@ -164334,6 +205418,8 @@ 1 1 0 +2 +4 1 0x5943 0xa4a1b89b @@ -164342,6 +205428,8 @@ 0 0 0 +5 +2 3 0xabb1 0xcea9f730 @@ -164350,6 +205438,8 @@ 0 0 0 +3 +5 4 0xc145 0x89504dd7 @@ -164359,6 +205449,8 @@ 1 0 4 +4 +4 0x9f3 0x8fca0fd1 256 @@ -164366,6 +205458,8 @@ 0 0 0 +4 +3 1 0x8571 0x87067c25 @@ -164374,6 +205468,8 @@ 0 0 0 +1 +0 3 0xa42a 0xfd3b1e1a @@ -164383,6 +205479,8 @@ 0 0 1 +0 +1 0x76f0 0x6fe39ae7 256 @@ -164390,6 +205488,8 @@ 1 0 0 +5 +1 4 0x28f7 0x2d509051 @@ -164398,6 +205498,8 @@ 0 0 0 +0 +5 1 0x8e64 0x5400e855 @@ -164406,6 +205508,8 @@ 1 0 0 +1 +2 4 0xde38 0x2b945e32 @@ -164414,6 +205518,8 @@ 0 0 0 +3 +4 2 0xbe40 0x7813e4ec @@ -164422,6 +205528,8 @@ 0 0 0 +2 +0 1 0x4026 0x4cbd8b93 @@ -164430,6 +205538,8 @@ 1 1 0 +2 +0 3 0x3476 0xbc3f2678 @@ -164438,6 +205548,8 @@ 0 0 0 +3 +4 2 0xa8a7 0xdb7a2132 @@ -164446,6 +205558,8 @@ 1 1 0 +1 +3 3 0x66b2 0x7e36ded5 @@ -164454,6 +205568,8 @@ 1 1 0 +3 +3 4 0x22 0xd4fdeb10 @@ -164462,6 +205578,8 @@ 1 1 0 +2 +0 1 0xb19b 0xfd4ea378 @@ -164471,6 +205589,8 @@ 0 0 1 +3 +1 0xee87 0x297c38f6 256 @@ -164478,6 +205598,8 @@ 0 0 0 +5 +2 2 0x7773 0x7cfd24e6 @@ -164486,6 +205608,8 @@ 0 0 0 +0 +3 2 0xaf6a 0xe0642cb9 @@ -164494,6 +205618,8 @@ 1 0 0 +4 +4 1 0xaf9 0xe3d58f3d @@ -164502,6 +205628,8 @@ 1 0 0 +5 +2 4 0x3813 0x5a4f6130 @@ -164511,6 +205639,8 @@ 1 0 1 +3 +1 0x2496 0x74af7f76 256 @@ -164519,6 +205649,8 @@ 1 0 3 +0 +3 0x815f 0x3bbfa61d 256 @@ -164526,6 +205658,8 @@ 1 1 0 +5 +2 4 0x8d8a 0x9a5e1dc7 @@ -164534,6 +205668,8 @@ 1 1 0 +5 +4 2 0x8445 0x4e30b5d9 @@ -164542,6 +205678,8 @@ 1 1 0 +4 +4 3 0x23c1 0x9311bdeb @@ -164550,6 +205688,8 @@ 1 1 0 +2 +3 3 0x216d 0xb3db89b2 @@ -164558,6 +205698,8 @@ 1 0 0 +5 +5 4 0x1edc 0xe258f247 @@ -164566,6 +205708,8 @@ 0 0 0 +5 +2 1 0xb0a7 0xbd4ab1db @@ -164574,6 +205718,8 @@ 0 0 0 +5 +0 4 0xc1c5 0xdd9e65b6 @@ -164582,6 +205728,8 @@ 1 0 0 +5 +4 2 0x8d36 0x353f66b0 @@ -164590,6 +205738,8 @@ 0 0 0 +1 +4 4 0x1c44 0xa10be735 @@ -164598,6 +205748,8 @@ 1 1 0 +3 +0 4 0x1ec7 0x1341bb2e @@ -164606,6 +205758,8 @@ 0 0 0 +2 +0 4 0xd653 0x22ee48bb @@ -164614,6 +205768,8 @@ 1 1 0 +2 +0 1 0xa4d0 0x1684d28a @@ -164622,6 +205778,8 @@ 1 1 0 +0 +1 3 0x94f1 0x98358c59 @@ -164630,6 +205788,8 @@ 0 0 0 +0 +1 2 0x243d 0xf2ba106b @@ -164638,6 +205798,8 @@ 0 0 0 +1 +5 3 0x515a 0xea72b410 @@ -164646,6 +205808,8 @@ 0 0 0 +2 +4 4 0x736a 0xfbe52986 @@ -164654,6 +205818,8 @@ 1 0 0 +3 +4 2 0x87ce 0x743bf4f7 @@ -164662,6 +205828,8 @@ 1 1 0 +0 +4 1 0x1579 0x126e8012 @@ -164670,6 +205838,8 @@ 0 0 0 +5 +0 3 0x507 0x3714d7f9 @@ -164678,6 +205848,8 @@ 1 1 0 +0 +1 1 0x2113 0xf55ecbe7 @@ -164686,6 +205858,8 @@ 0 0 0 +0 +0 2 0xc4dd 0x5a45a97e @@ -164694,6 +205868,8 @@ 1 1 0 +0 +3 1 0x58f2 0xf8d71e54 @@ -164702,6 +205878,8 @@ 0 0 0 +1 +0 4 0x2c49 0x261a2e60 @@ -164710,6 +205888,8 @@ 1 0 0 +4 +3 1 0x86bb 0xe5800200 @@ -164718,6 +205898,8 @@ 0 0 0 +5 +1 4 0xbd93 0x9e4e804d @@ -164726,6 +205908,8 @@ 0 0 0 +5 +3 2 0x3f51 0x7e474cf7 @@ -164735,6 +205919,8 @@ 0 0 4 +3 +4 0xdbc1 0x7a5cd4be 256 @@ -164742,6 +205928,8 @@ 1 1 0 +0 +4 4 0xaaf5 0xad0152fd @@ -164750,6 +205938,8 @@ 1 1 0 +2 +3 3 0xb5d7 0x7cf27b1a @@ -164758,6 +205948,8 @@ 0 0 0 +5 +2 3 0xd9dc 0xe8276b31 @@ -164766,6 +205958,8 @@ 1 1 0 +0 +0 1 0x2242 0x9d814c00 @@ -164775,6 +205969,8 @@ 0 0 4 +2 +4 0x9072 0x6c78e95b 256 @@ -164782,6 +205978,8 @@ 0 0 0 +0 +0 1 0xd559 0xc5b661e4 @@ -164790,6 +205988,8 @@ 1 0 0 +5 +2 3 0x75a2 0x2b90e20c @@ -164799,6 +205999,8 @@ 0 0 2 +3 +2 0xe5d 0x682a7723 256 @@ -164807,6 +206009,8 @@ 0 0 4 +1 +4 0xd849 0xed8935db 256 @@ -164814,6 +206018,8 @@ 0 0 0 +1 +4 2 0x2153 0x6ec6fc88 @@ -164822,6 +206028,8 @@ 0 0 0 +5 +0 1 0x2dba 0x42733688 @@ -164830,6 +206038,8 @@ 0 0 0 +5 +2 3 0x1e3c 0x78e60cbc @@ -164838,6 +206048,8 @@ 0 0 0 +4 +5 1 0xa407 0x936acd17 @@ -164846,6 +206058,8 @@ 0 0 0 +3 +1 2 0x243b 0x28a1a8b1 @@ -164854,6 +206068,8 @@ 0 0 0 +0 +4 2 0x10c4 0xe8878c9c @@ -164862,6 +206078,8 @@ 1 1 0 +0 +3 4 0xe450 0x9950cf1d @@ -164870,6 +206088,8 @@ 1 1 0 +0 +5 4 0xa631 0x54455578 @@ -164878,6 +206098,8 @@ 0 0 0 +5 +4 2 0x1382 0x8ec410e1 @@ -164886,6 +206108,8 @@ 0 0 0 +0 +4 3 0xe586 0xadc1de5d @@ -164894,6 +206118,8 @@ 1 0 0 +3 +0 4 0x8344 0x6cf06aa0 @@ -164902,6 +206128,8 @@ 0 0 0 +4 +0 3 0x5263 0x278a44fc @@ -164910,6 +206138,8 @@ 0 0 0 +5 +1 4 0x9e1f 0x8ef3e25b @@ -164918,6 +206148,8 @@ 0 0 0 +0 +0 2 0x3d0f 0x2ec5f45f @@ -164926,6 +206158,8 @@ 1 0 0 +0 +3 3 0xfc0 0xdd791e45 @@ -164934,6 +206168,8 @@ 1 0 0 +5 +2 3 0x16fc 0xe251b7a @@ -164942,6 +206178,8 @@ 1 0 0 +0 +4 4 0x6037 0xf7769309 @@ -164951,6 +206189,8 @@ 0 0 4 +5 +4 0xf5f2 0xf19cae40 256 @@ -164959,6 +206199,8 @@ 0 0 1 +2 +1 0xae39 0x1f273898 256 @@ -164966,6 +206208,8 @@ 0 0 0 +0 +5 4 0x6e2a 0xf3d8d6ab @@ -164974,6 +206218,8 @@ 1 0 0 +0 +3 2 0xd89a 0x1fefff32 @@ -164982,6 +206228,8 @@ 0 0 0 +0 +3 1 0xe188 0x9b58b4ae @@ -164990,6 +206238,8 @@ 0 0 0 +1 +5 3 0xc551 0x811528ed @@ -164998,6 +206248,8 @@ 0 0 0 +1 +1 3 0x53c9 0xa58290b1 @@ -165006,6 +206258,8 @@ 1 0 0 +0 +3 4 0x74ea 0xb752d3f @@ -165015,6 +206269,8 @@ 0 0 3 +0 +3 0xdb4d 0x3690e6ea 256 @@ -165022,6 +206278,8 @@ 1 0 0 +1 +2 3 0x7bb2 0xbc75c79e @@ -165030,6 +206288,8 @@ 0 0 0 +5 +2 4 0x30b 0xc2686a43 @@ -165038,6 +206298,8 @@ 0 0 0 +1 +2 3 0xae82 0x33bebfc4 @@ -165046,6 +206308,8 @@ 1 0 0 +1 +0 3 0x508d 0x5b8966fe @@ -165054,6 +206318,8 @@ 1 0 0 +3 +4 1 0x5ef6 0xfee4b7c2 @@ -165062,6 +206328,8 @@ 0 0 0 +1 +3 3 0xb30 0x3efe2501 @@ -165070,6 +206338,8 @@ 0 0 0 +1 +5 3 0x9c1b 0x9e498aa4 @@ -165078,6 +206348,8 @@ 1 0 0 +4 +2 2 0x7ee6 0x762bfb1b @@ -165086,6 +206358,8 @@ 1 1 0 +3 +5 1 0xac34 0x7df15a01 @@ -165095,6 +206369,8 @@ 1 0 2 +0 +2 0xc9ac 0x531b0914 256 @@ -165103,6 +206379,8 @@ 0 0 4 +1 +4 0x7b2a 0xf00a9617 256 @@ -165110,6 +206388,8 @@ 0 0 0 +5 +5 2 0xb5a 0x7b5e3b18 @@ -165118,6 +206398,8 @@ 1 0 0 +5 +3 3 0xe759 0x1e6a70fc @@ -165126,6 +206408,8 @@ 1 1 0 +4 +4 1 0x962e 0x7dc084e3 @@ -165135,6 +206419,8 @@ 0 0 2 +4 +2 0x9ede 0xe53f74bc 256 @@ -165142,6 +206428,8 @@ 1 0 0 +0 +1 2 0xb689 0x87aa2a48 @@ -165151,6 +206439,8 @@ 0 0 4 +1 +4 0x728f 0xe7926386 256 @@ -165158,6 +206448,8 @@ 0 0 0 +1 +2 4 0x6498 0x2311e55e @@ -165166,6 +206458,8 @@ 0 0 0 +3 +4 2 0xe791 0x34c05963 @@ -165175,6 +206469,8 @@ 1 0 2 +1 +2 0x1f9 0x8afb8e4c 256 @@ -165182,6 +206478,8 @@ 1 0 0 +4 +3 3 0x8e8c 0x1fd0f808 @@ -165190,6 +206488,8 @@ 1 1 0 +1 +4 3 0x287b 0x7aa109bb @@ -165198,6 +206498,8 @@ 0 0 0 +5 +4 4 0xc628 0xa348c6df @@ -165206,6 +206508,8 @@ 1 0 0 +1 +3 2 0x12c3 0x9b6fee8d @@ -165215,6 +206519,8 @@ 0 0 3 +0 +3 0x24c6 0x88f7f18b 256 @@ -165222,6 +206528,8 @@ 0 0 0 +1 +3 4 0xe856 0xfb75a28e @@ -165230,6 +206538,8 @@ 0 0 0 +2 +4 4 0x3c08 0x41ccfa92 @@ -165239,6 +206549,8 @@ 0 0 1 +5 +1 0x41e9 0x19559672 256 @@ -165246,6 +206558,8 @@ 1 1 0 +5 +3 2 0x6916 0xbd26d95 @@ -165254,6 +206568,8 @@ 0 0 0 +2 +3 1 0x1a64 0x573a95e4 @@ -165262,6 +206578,8 @@ 1 0 0 +3 +1 1 0xc8a8 0x736d0366 @@ -165270,6 +206588,8 @@ 0 0 0 +3 +5 2 0x6594 0x7dfbd4fe @@ -165279,6 +206599,8 @@ 0 0 4 +2 +4 0x1cb0 0xbafb6281 256 @@ -165286,6 +206608,8 @@ 1 1 0 +1 +3 2 0xdff4 0x12bb9ebe @@ -165294,6 +206618,8 @@ 1 0 0 +5 +3 2 0x9f6c 0xffe49d5e @@ -165303,6 +206629,8 @@ 0 0 1 +4 +1 0x5af1 0x8e5706ef 256 @@ -165311,6 +206639,8 @@ 0 0 1 +1 +1 0x3d23 0xe621808f 256 @@ -165318,6 +206648,8 @@ 1 1 0 +4 +3 2 0x417d 0x6fb06622 @@ -165326,6 +206658,8 @@ 0 0 0 +4 +1 2 0xb0cf 0xe477f56d @@ -165334,6 +206668,8 @@ 0 0 0 +5 +4 4 0x9368 0x365ac56 @@ -165342,6 +206678,8 @@ 1 1 0 +3 +4 1 0xce48 0x12b71478 @@ -165350,6 +206688,8 @@ 0 0 0 +0 +5 3 0x5670 0xd6ede280 @@ -165358,6 +206698,8 @@ 1 1 0 +3 +4 4 0xd229 0xead33dd2 @@ -165366,6 +206708,8 @@ 1 0 0 +0 +0 2 0xc427 0x90ef995c @@ -165374,6 +206718,8 @@ 1 1 0 +5 +4 2 0x7937 0x1751759f @@ -165382,6 +206728,8 @@ 0 0 0 +5 +0 3 0xa7bf 0xb78c54c3 @@ -165390,6 +206738,8 @@ 1 1 0 +0 +2 2 0xdce0 0x1fa33d3e @@ -165399,6 +206749,8 @@ 0 0 3 +0 +3 0x96e2 0xa3a252ed 256 @@ -165406,6 +206758,8 @@ 1 1 0 +5 +3 4 0x4905 0x492a7a36 @@ -165414,6 +206768,8 @@ 0 0 0 +5 +4 4 0xb 0x29555e59 @@ -165422,6 +206778,8 @@ 0 0 0 +2 +2 3 0x6423 0xe986325d @@ -165430,6 +206788,8 @@ 0 0 0 +4 +2 3 0x5b2c 0xf324c3c4 @@ -165439,6 +206799,8 @@ 1 0 4 +5 +4 0x85f8 0xb199c6a5 256 @@ -165446,6 +206808,8 @@ 1 1 0 +4 +3 2 0xeaa 0xcd5caaaa @@ -165454,6 +206818,8 @@ 0 0 0 +0 +4 4 0x833b 0x56903a80 @@ -165463,6 +206829,8 @@ 0 0 1 +5 +1 0x8cf8 0xea243ae9 256 @@ -165470,6 +206838,8 @@ 1 0 0 +0 +3 4 0x73ca 0x291636e0 @@ -165478,6 +206848,8 @@ 1 0 0 +0 +1 3 0x7a5e 0x62949877 @@ -165486,6 +206858,8 @@ 1 1 0 +0 +2 3 0x727 0x22e86d90 @@ -165494,6 +206868,8 @@ 1 0 0 +2 +5 1 0xc0b7 0x22fcccee @@ -165502,6 +206878,8 @@ 1 0 0 +1 +0 4 0x2cb9 0x56216df1 @@ -165511,6 +206889,8 @@ 1 0 1 +4 +1 0x6635 0x908172da 256 @@ -165518,6 +206898,8 @@ 0 0 0 +4 +3 1 0x9d78 0xfb65c228 @@ -165526,6 +206908,8 @@ 0 0 0 +2 +3 1 0xc9a9 0xa6a5bfa5 @@ -165535,6 +206919,8 @@ 0 0 3 +5 +3 0xd5e9 0x86d667ce 256 @@ -165542,6 +206928,8 @@ 0 0 0 +0 +3 3 0xf5b2 0xdddff5f7 @@ -165550,6 +206938,8 @@ 0 0 0 +4 +4 2 0x5e46 0x4260187e @@ -165558,6 +206948,8 @@ 1 1 0 +0 +3 4 0x3661 0x591f5c70 @@ -165566,6 +206958,8 @@ 0 0 0 +2 +2 4 0x2c6 0x6d6eec6d @@ -165574,6 +206968,8 @@ 0 0 0 +4 +4 1 0x109a 0x64817311 @@ -165582,6 +206978,8 @@ 1 0 0 +2 +5 4 0x4038 0x30fb537a @@ -165590,6 +206988,8 @@ 0 0 0 +0 +3 2 0x426b 0xc55633c7 @@ -165598,6 +206998,8 @@ 0 0 0 +0 +4 4 0x7a04 0x1eff249e @@ -165606,6 +207008,8 @@ 1 0 0 +0 +0 1 0x1531 0x7b6d356 @@ -165615,6 +207019,8 @@ 0 0 4 +1 +4 0x39bd 0xc5192e7f 256 @@ -165622,6 +207028,8 @@ 0 0 0 +3 +2 4 0x71b7 0x46fb6033 @@ -165630,6 +207038,8 @@ 1 0 0 +0 +3 4 0xa391 0x3bf0065d @@ -165638,6 +207048,8 @@ 0 0 0 +4 +1 3 0x54ca 0xa508caa3 @@ -165646,6 +207058,8 @@ 1 1 0 +3 +5 2 0x9be3 0x653000e5 @@ -165654,6 +207068,8 @@ 0 0 0 +1 +5 2 0x7ab0 0xbe12e755 @@ -165662,6 +207078,8 @@ 0 0 0 +2 +5 3 0x595a 0xe46e4efe @@ -165671,6 +207089,8 @@ 0 0 1 +1 +1 0xcca 0x7f4986bd 256 @@ -165678,6 +207098,8 @@ 1 1 0 +2 +1 1 0x6dac 0x7c996c5b @@ -165686,6 +207108,8 @@ 1 1 0 +5 +1 4 0xc9bb 0x6d88638e @@ -165694,6 +207118,8 @@ 0 0 0 +0 +5 4 0x5d34 0xd4857dc2 @@ -165702,6 +207128,8 @@ 1 0 0 +0 +3 3 0xbb2 0x573ca19 @@ -165710,6 +207138,8 @@ 1 1 0 +2 +4 3 0x8535 0xd98a7855 @@ -165718,6 +207148,8 @@ 0 0 0 +5 +1 2 0xa766 0xdf1b31a4 @@ -165726,6 +207158,8 @@ 0 0 0 +1 +4 2 0xd98b 0x16be53be @@ -165734,6 +207168,8 @@ 1 1 0 +4 +0 2 0x47c1 0x94d1fe0b @@ -165743,6 +207179,8 @@ 1 0 2 +5 +2 0x7e44 0xeec087e0 256 @@ -165751,6 +207189,8 @@ 1 0 1 +4 +1 0x7295 0x5bda969b 256 @@ -165758,6 +207198,8 @@ 1 1 0 +4 +0 1 0x34b0 0x745ae255 @@ -165766,6 +207208,8 @@ 1 1 0 +1 +2 4 0x6575 0xeeca1acc @@ -165775,6 +207219,8 @@ 0 0 1 +4 +1 0x4d24 0x78f83aa0 256 @@ -165782,6 +207228,8 @@ 0 0 0 +4 +1 2 0xb57c 0x4eb7e57a @@ -165790,6 +207238,8 @@ 1 0 0 +0 +1 3 0x85d1 0x950fe8dc @@ -165798,6 +207248,8 @@ 0 0 0 +5 +3 2 0x7251 0x401cec1f @@ -165806,6 +207258,8 @@ 0 0 0 +5 +2 4 0xaba3 0x7ca5cb3e @@ -165814,6 +207268,8 @@ 1 0 0 +4 +0 2 0xbaff 0xdf3cb835 @@ -165822,6 +207278,8 @@ 1 1 0 +5 +2 1 0xb65a 0x51c526d0 @@ -165830,6 +207288,8 @@ 0 0 0 +1 +5 2 0x77d 0x2d3444eb @@ -165839,6 +207299,8 @@ 1 0 4 +3 +4 0x9f7 0x61a9132a 256 @@ -165846,6 +207308,8 @@ 0 0 0 +2 +1 4 0xd1d5 0x8db000c8 @@ -165855,6 +207319,8 @@ 0 0 1 +4 +1 0x7a0b 0xd26105dd 256 @@ -165862,6 +207328,8 @@ 0 0 0 +0 +3 3 0x9fa0 0x110fe76f @@ -165870,6 +207338,8 @@ 0 0 0 +0 +3 4 0xc877 0x647d13bc @@ -165878,6 +207348,8 @@ 0 0 0 +5 +3 3 0x5eae 0xbcd2c41c @@ -165886,6 +207358,8 @@ 0 0 0 +5 +3 2 0x207d 0x1eae08e6 @@ -165895,6 +207369,8 @@ 0 0 4 +3 +4 0xe4f4 0x4ead2b69 256 @@ -165903,6 +207379,8 @@ 0 0 1 +4 +1 0xaf54 0xef4f5f05 256 @@ -165910,6 +207388,8 @@ 0 0 0 +0 +4 2 0xb750 0xb8f23e72 @@ -165918,6 +207398,8 @@ 0 0 0 +1 +5 3 0x5420 0x26a793bc @@ -165927,6 +207409,8 @@ 0 0 4 +1 +4 0x8c97 0x5de0c2ce 256 @@ -165934,6 +207418,8 @@ 1 0 0 +5 +2 4 0x4d37 0x335ed750 @@ -165943,6 +207429,8 @@ 0 0 1 +4 +1 0xb482 0xf4098641 256 @@ -165950,6 +207438,8 @@ 1 1 0 +1 +4 3 0x70a1 0xf2ad5996 @@ -165958,6 +207448,8 @@ 0 0 0 +0 +4 2 0xc8fb 0xa9e3c7b2 @@ -165966,6 +207458,8 @@ 1 0 0 +0 +1 1 0xb4cd 0x61525c0f @@ -165974,6 +207468,8 @@ 1 1 0 +4 +4 2 0x1ebe 0x6d0780ab @@ -165982,6 +207478,8 @@ 0 0 0 +0 +2 3 0x3558 0x62474376 @@ -165990,6 +207488,8 @@ 0 0 0 +0 +1 1 0x4f02 0x5a5b64ff @@ -165998,6 +207498,8 @@ 1 0 0 +4 +4 3 0x49e9 0xd05e12ee @@ -166006,6 +207508,8 @@ 0 0 0 +0 +0 1 0xe2af 0x8202cea3 @@ -166014,6 +207518,8 @@ 1 0 0 +0 +1 2 0xf8fa 0x67eba9ca @@ -166022,6 +207528,8 @@ 1 1 0 +0 +1 3 0xbe00 0x9871a7b5 @@ -166030,6 +207538,8 @@ 0 0 0 +0 +4 2 0x4085 0x672229ea @@ -166038,6 +207548,8 @@ 0 0 0 +4 +3 3 0xc4fa 0x2b7d448a @@ -166047,6 +207559,8 @@ 0 0 1 +5 +1 0x9bff 0xaadd8529 256 @@ -166054,6 +207568,8 @@ 0 0 0 +4 +0 2 0xc72c 0x23f8345d @@ -166062,6 +207578,8 @@ 0 0 0 +4 +3 3 0xbb02 0x38f8c8a6 @@ -166070,6 +207588,8 @@ 1 0 0 +5 +1 3 0x5a14 0xde7cf2ea @@ -166078,6 +207598,8 @@ 1 1 0 +0 +5 1 0x23a8 0x724d1a08 @@ -166086,6 +207608,8 @@ 1 0 0 +1 +0 4 0x33ac 0x668b8a57 @@ -166094,6 +207618,8 @@ 0 0 0 +0 +0 4 0x9461 0xc03b2288 @@ -166102,6 +207628,8 @@ 0 0 0 +4 +2 1 0x7249 0xd8863eeb @@ -166110,6 +207638,8 @@ 1 1 0 +3 +4 1 0x5455 0x7d10bf89 @@ -166118,6 +207648,8 @@ 0 0 0 +1 +1 3 0xb88c 0x4c60cfdb @@ -166126,6 +207658,8 @@ 1 1 0 +0 +2 1 0x53c7 0x1383898d @@ -166134,6 +207668,8 @@ 1 1 0 +5 +0 4 0xbdca 0x730cb5f6 @@ -166142,6 +207678,8 @@ 0 0 0 +3 +2 4 0x73e9 0x423b5c31 @@ -166150,6 +207688,8 @@ 1 1 0 +3 +5 2 0xb8c9 0x268413ca @@ -166158,6 +207698,8 @@ 1 0 0 +4 +0 1 0x9132 0x9f472940 @@ -166167,6 +207709,8 @@ 1 0 2 +0 +2 0x4a4c 0x8b930ed8 256 @@ -166174,6 +207718,8 @@ 1 0 0 +5 +0 3 0xc4fc 0x6b6f51fc @@ -166182,6 +207728,8 @@ 1 0 0 +2 +5 3 0x1836 0x8d5a5826 @@ -166190,6 +207738,8 @@ 1 1 0 +0 +0 3 0xdf15 0xbbb7f662 @@ -166198,6 +207748,8 @@ 0 0 0 +4 +0 2 0x771e 0x2c6db1b6 @@ -166206,6 +207758,8 @@ 1 0 0 +4 +0 3 0xff3d 0x7de921d3 @@ -166214,6 +207768,8 @@ 0 0 0 +5 +3 4 0xe932 0x864f6787 @@ -166222,6 +207778,8 @@ 0 0 0 +3 +2 4 0x23c 0xa21a7a84 @@ -166231,6 +207789,8 @@ 1 0 2 +2 +2 0x3570 0x151f4760 256 @@ -166238,6 +207798,8 @@ 1 1 0 +1 +2 4 0xc238 0x8594cd45 @@ -166246,6 +207808,8 @@ 1 0 0 +4 +1 3 0xf355 0x2388522f @@ -166255,6 +207819,8 @@ 0 0 3 +2 +3 0xc984 0x92b5bb45 256 @@ -166262,6 +207828,8 @@ 0 0 0 +1 +4 4 0xb28a 0xe4265b04 @@ -166271,6 +207839,8 @@ 0 0 1 +4 +1 0xd8ff 0x6f8b1e26 256 @@ -166278,6 +207848,8 @@ 0 0 0 +4 +5 1 0xdb78 0x9e604a5d @@ -166287,6 +207859,8 @@ 0 0 1 +1 +1 0x2ca9 0xc533635a 256 @@ -166295,6 +207869,8 @@ 0 0 1 +3 +1 0x3f72 0xe9265946 256 @@ -166302,6 +207878,8 @@ 1 1 0 +0 +0 2 0x9c09 0xabe22a58 @@ -166310,6 +207888,8 @@ 1 1 0 +0 +5 3 0xf95c 0xc3b30d11 @@ -166318,6 +207898,8 @@ 1 1 0 +5 +2 2 0x291a 0x7dd3134b @@ -166326,6 +207908,8 @@ 1 0 0 +5 +1 2 0x48f7 0xc3cf155a @@ -166334,6 +207918,8 @@ 0 0 0 +5 +2 4 0xbc82 0xf72e898e @@ -166342,6 +207928,8 @@ 1 1 0 +4 +2 2 0x7d14 0x1e6716e8 @@ -166350,6 +207938,8 @@ 1 1 0 +2 +1 3 0xbc96 0x5b0f4cf6 @@ -166358,6 +207948,8 @@ 1 0 0 +5 +0 2 0x56f3 0xd560540d @@ -166367,6 +207959,8 @@ 1 0 1 +1 +1 0xd84e 0x8840b08d 256 @@ -166374,6 +207968,8 @@ 0 0 0 +3 +2 4 0xbbb8 0xc874cfae @@ -166382,6 +207978,8 @@ 1 0 0 +2 +0 3 0xed17 0x8a390bff @@ -166390,6 +207988,8 @@ 1 0 0 +2 +1 3 0x7481 0x1d6accad @@ -166398,6 +207998,8 @@ 0 0 0 +0 +5 4 0x1a42 0x3a63b5ba @@ -166406,6 +208008,8 @@ 0 0 0 +2 +1 4 0xf0d6 0x1deb9eb3 @@ -166414,6 +208018,8 @@ 0 0 0 +5 +0 4 0xff2d 0x541fcfbc @@ -166422,6 +208028,8 @@ 0 0 0 +2 +5 1 0x305f 0xc8cc7a8b @@ -166431,6 +208039,8 @@ 0 0 1 +3 +1 0xf992 0xb3bae158 256 @@ -166438,6 +208048,8 @@ 1 0 0 +0 +0 4 0x227d 0x30b9713a @@ -166446,6 +208058,8 @@ 1 1 0 +3 +2 4 0x7ffb 0x8419ffc6 @@ -166455,6 +208069,8 @@ 0 0 2 +2 +2 0x2fdf 0xff2edd24 256 @@ -166463,6 +208079,8 @@ 0 0 3 +0 +3 0x1e69 0x13d1a9ad 256 @@ -166470,6 +208088,8 @@ 1 0 0 +3 +4 4 0x5728 0xff233c0b @@ -166478,6 +208098,8 @@ 1 0 0 +2 +2 4 0x6750 0xaf523f46 @@ -166486,6 +208108,8 @@ 0 0 0 +5 +1 2 0x3f42 0x79561937 @@ -166494,6 +208118,8 @@ 0 0 0 +2 +5 4 0x8164 0x7488c8bd @@ -166503,6 +208129,8 @@ 0 0 3 +5 +3 0xe94e 0x5b8067b8 256 @@ -166510,6 +208138,8 @@ 1 0 0 +1 +3 2 0x2234 0xd7c5a427 @@ -166518,6 +208148,8 @@ 0 0 0 +0 +2 3 0x14b8 0x2745f971 @@ -166527,6 +208159,8 @@ 0 0 1 +0 +1 0xfd6d 0x4a66f89e 256 @@ -166534,6 +208168,8 @@ 0 0 0 +2 +5 1 0x9cbd 0x3d3ad78d @@ -166543,6 +208179,8 @@ 1 0 3 +3 +3 0xd40c 0x91b61ff4 256 @@ -166550,6 +208188,8 @@ 1 1 0 +4 +2 3 0xacb6 0x28ceacae @@ -166558,6 +208198,8 @@ 0 0 0 +0 +0 4 0x6f75 0xacba5cf5 @@ -166566,6 +208208,8 @@ 0 0 0 +1 +0 2 0xff69 0xe755c06 @@ -166574,6 +208218,8 @@ 0 0 0 +0 +1 2 0x3877 0x61195e84 @@ -166583,6 +208229,8 @@ 0 0 2 +5 +2 0xe5f3 0x57335ec4 256 @@ -166590,6 +208238,8 @@ 1 0 0 +0 +0 2 0x8f54 0x96779625 @@ -166598,6 +208248,8 @@ 0 0 0 +2 +5 4 0x4605 0x7b4109d @@ -166606,6 +208258,8 @@ 1 0 0 +2 +4 4 0x7ef0 0x92016640 @@ -166614,6 +208268,8 @@ 0 0 0 +4 +4 1 0x4805 0x328282b2 @@ -166622,6 +208278,8 @@ 0 0 0 +5 +4 1 0xee4b 0x30aada52 @@ -166630,6 +208288,8 @@ 0 0 0 +0 +4 2 0xa1ef 0xc6f030a8 @@ -166638,6 +208298,8 @@ 1 1 0 +5 +5 2 0x5681 0x804b2f9e @@ -166646,6 +208308,8 @@ 0 0 0 +3 +0 4 0x70e3 0xdc56a138 @@ -166654,6 +208318,8 @@ 1 1 0 +4 +4 3 0x8e26 0xb364678d @@ -166662,6 +208328,8 @@ 1 1 0 +5 +2 4 0x6fbe 0x6681ca03 @@ -166670,6 +208338,8 @@ 1 1 0 +1 +5 4 0xafd1 0x6a1465e5 @@ -166678,6 +208348,8 @@ 0 0 0 +1 +4 2 0x6027 0xaed9ed72 @@ -166686,6 +208358,8 @@ 0 0 0 +3 +2 2 0xac01 0xe1ee9cda @@ -166694,6 +208368,8 @@ 0 0 0 +1 +0 3 0x1139 0x6f4cf139 @@ -166702,6 +208378,8 @@ 0 0 0 +4 +3 2 0x707f 0x999f8929 @@ -166710,6 +208388,8 @@ 1 0 0 +3 +2 4 0xfdaf 0xccb724fa @@ -166719,6 +208399,8 @@ 0 0 4 +2 +4 0x4d3c 0x2f3e8393 256 @@ -166727,6 +208409,8 @@ 0 0 2 +4 +2 0x2308 0x43383ab3 256 @@ -166734,6 +208418,8 @@ 0 0 0 +0 +0 1 0x2820 0xf660a96e @@ -166742,6 +208428,8 @@ 0 0 0 +0 +4 3 0xd48 0xe3321788 @@ -166750,6 +208438,8 @@ 0 0 0 +5 +4 3 0xf6f 0x1cfb94f8 @@ -166759,6 +208449,8 @@ 1 0 4 +2 +4 0x8788 0xb49ba704 256 @@ -166766,6 +208458,8 @@ 0 0 0 +0 +3 1 0x53eb 0x5c70d52e @@ -166774,6 +208468,8 @@ 0 0 0 +0 +1 3 0x588 0xe5dd94ee @@ -166782,6 +208478,8 @@ 1 0 0 +1 +5 4 0xc2c2 0xb7bcffe3 @@ -166790,6 +208488,8 @@ 0 0 0 +2 +3 3 0x5f17 0xfd5cfa78 @@ -166799,6 +208499,8 @@ 1 0 3 +0 +3 0x43dd 0x13485535 256 @@ -166806,6 +208508,8 @@ 0 0 0 +3 +5 1 0x41c6 0x48ff20e5 @@ -166815,6 +208519,8 @@ 1 0 4 +5 +4 0x7fdb 0x2721c5dd 256 @@ -166822,6 +208528,8 @@ 0 0 0 +0 +0 3 0x3538 0xc7075326 @@ -166831,6 +208539,8 @@ 0 0 2 +1 +2 0x5aae 0xce3e70b1 256 @@ -166839,6 +208549,8 @@ 1 0 1 +1 +1 0x6797 0x3e028ff6 256 @@ -166846,6 +208558,8 @@ 1 0 0 +1 +4 2 0x9838 0xe39d8e82 @@ -166854,6 +208568,8 @@ 0 0 0 +3 +4 2 0x2df4 0x43d26614 @@ -166862,6 +208578,8 @@ 0 0 0 +5 +1 3 0x9ae5 0xf4e71f92 @@ -166871,6 +208589,8 @@ 0 0 4 +3 +4 0xa9d6 0xda0a574c 256 @@ -166879,6 +208599,8 @@ 0 0 3 +0 +3 0xe6ad 0x200450c7 256 @@ -166886,6 +208608,8 @@ 0 0 0 +2 +5 3 0xbdd 0xb4107ac9 @@ -166894,6 +208618,8 @@ 0 0 0 +4 +4 2 0x201e 0x671200fa @@ -166902,6 +208628,8 @@ 0 0 0 +1 +4 4 0xdf52 0xe867c3fa @@ -166910,6 +208638,8 @@ 1 1 0 +0 +2 1 0x157f 0xbac5fdd8 @@ -166918,6 +208648,8 @@ 1 0 0 +0 +2 1 0x6e97 0xe2845e79 @@ -166926,6 +208658,8 @@ 0 0 0 +4 +0 3 0x2c4 0x4e9fe9ec @@ -166934,6 +208668,8 @@ 0 0 0 +5 +5 4 0xb5b5 0xd531de4a @@ -166943,6 +208679,8 @@ 1 0 1 +3 +1 0xf069 0xf301633e 256 @@ -166951,6 +208689,8 @@ 0 0 4 +2 +4 0x64f 0x95a36dd0 256 @@ -166958,6 +208698,8 @@ 1 1 0 +5 +2 2 0xe4c0 0xc2855c85 @@ -166966,6 +208708,8 @@ 1 1 0 +2 +4 4 0x45e 0x7102fd29 @@ -166974,6 +208718,8 @@ 0 0 0 +1 +1 3 0xca3c 0x5d2cff22 @@ -166982,6 +208728,8 @@ 1 0 0 +5 +5 3 0x7f8a 0x827ef39c @@ -166990,6 +208738,8 @@ 1 0 0 +0 +5 1 0xd328 0xf60b7a8d @@ -166998,6 +208748,8 @@ 1 0 0 +0 +3 2 0x5d88 0x308d48ec @@ -167006,6 +208758,8 @@ 1 1 0 +0 +4 1 0xb620 0x635b3003 @@ -167014,6 +208768,8 @@ 0 0 0 +4 +1 3 0x8d82 0x9f50dbe9 @@ -167022,6 +208778,8 @@ 1 1 0 +0 +4 3 0xf79 0xc7a9ae44 @@ -167030,6 +208788,8 @@ 0 0 0 +2 +1 4 0xe4b8 0x11325228 @@ -167038,6 +208798,8 @@ 0 0 0 +3 +5 2 0x10ec 0xb43b938b @@ -167046,6 +208808,8 @@ 0 0 0 +4 +1 2 0xd900 0x892cc125 @@ -167054,6 +208818,8 @@ 1 1 0 +5 +3 2 0x844f 0x2885e3 @@ -167062,6 +208828,8 @@ 0 0 0 +2 +1 1 0xa1f0 0x5cee72e @@ -167070,6 +208838,8 @@ 1 0 0 +0 +2 4 0xe4ea 0xb2ef4272 @@ -167078,6 +208848,8 @@ 1 1 0 +5 +1 3 0x7c6a 0x1584d473 @@ -167086,6 +208858,8 @@ 1 0 0 +4 +1 1 0xf4f6 0x3fe6a3ac @@ -167094,6 +208868,8 @@ 0 0 0 +2 +2 3 0xf2b5 0x211cca3b @@ -167102,6 +208878,8 @@ 0 0 0 +1 +3 4 0xa0c6 0x5c7d3fd4 @@ -167110,6 +208888,8 @@ 1 1 0 +3 +5 1 0x1d9b 0x1e5aba35 @@ -167119,6 +208899,8 @@ 0 0 1 +1 +1 0x6f08 0xbc936aad 256 @@ -167126,6 +208908,8 @@ 0 0 0 +2 +4 4 0x243 0x46a233de @@ -167134,6 +208918,8 @@ 0 0 0 +4 +1 1 0xbf52 0xa2fdd18c @@ -167142,6 +208928,8 @@ 0 0 0 +5 +0 2 0xc21c 0x514e40fe @@ -167151,6 +208939,8 @@ 0 0 1 +3 +1 0xb92b 0x88e0f4c8 256 @@ -167159,6 +208949,8 @@ 0 0 4 +0 +4 0xca5d 0x74b34c4f 256 @@ -167166,6 +208958,8 @@ 1 1 0 +1 +4 3 0x5647 0x187d77cd @@ -167174,6 +208968,8 @@ 0 0 0 +2 +4 3 0x73d6 0xeb99dbf3 @@ -167182,6 +208978,8 @@ 1 0 0 +0 +2 1 0x6163 0xc623deec @@ -167190,6 +208988,8 @@ 1 1 0 +0 +2 2 0xaf30 0xddf37d2b @@ -167199,6 +208999,8 @@ 0 0 2 +0 +2 0x9e90 0xb21b425f 256 @@ -167206,6 +209008,8 @@ 0 0 0 +0 +3 1 0xb0c4 0xe0a6952b @@ -167214,6 +209018,8 @@ 1 0 0 +5 +2 3 0x9e7a 0x8e1aac0 @@ -167222,6 +209028,8 @@ 0 0 0 +4 +5 2 0x39be 0x9ea41696 @@ -167230,6 +209038,8 @@ 1 1 0 +2 +2 3 0xde0 0x3d42081e @@ -167238,6 +209048,8 @@ 0 0 0 +1 +3 4 0x4882 0xcf6a23ba @@ -167246,6 +209058,8 @@ 0 0 0 +2 +1 1 0xfa47 0x6e1cfd3c @@ -167254,6 +209068,8 @@ 1 1 0 +0 +2 2 0x8afc 0x51d61c05 @@ -167262,6 +209078,8 @@ 0 0 0 +0 +3 2 0x5ff2 0x15828f7d @@ -167270,6 +209088,8 @@ 0 0 0 +5 +2 3 0x8daa 0x96e361b4 @@ -167278,6 +209098,8 @@ 0 0 0 +0 +0 2 0x42f9 0x60221dc3 @@ -167286,6 +209108,8 @@ 1 0 0 +5 +5 3 0xb717 0xef72306e @@ -167294,6 +209118,8 @@ 0 0 0 +2 +4 1 0xfca1 0xf11cb99b @@ -167302,6 +209128,8 @@ 1 1 0 +4 +5 3 0x362a 0x5d957565 @@ -167310,6 +209138,8 @@ 0 0 0 +5 +2 4 0x2bb5 0x9521e459 @@ -167319,6 +209149,8 @@ 0 0 1 +5 +1 0x7f45 0x2052139b 256 @@ -167326,6 +209158,8 @@ 0 0 0 +3 +5 1 0xa988 0x6bd132da @@ -167334,6 +209168,8 @@ 0 0 0 +0 +3 1 0x4009 0x74586ea8 @@ -167342,6 +209178,8 @@ 0 0 0 +0 +0 3 0x8cf2 0x581a33fd @@ -167351,6 +209189,8 @@ 0 0 4 +3 +4 0x549 0x1241563a 256 @@ -167358,6 +209198,8 @@ 1 1 0 +1 +0 2 0x7776 0x86013ff7 @@ -167366,6 +209208,8 @@ 0 0 0 +0 +5 2 0x5a30 0xfcb4f56e @@ -167374,6 +209218,8 @@ 1 0 0 +1 +0 2 0x87fb 0x31c6f2a5 @@ -167382,6 +209228,8 @@ 1 0 0 +2 +0 1 0xe56a 0x49c30b3b @@ -167390,6 +209238,8 @@ 1 1 0 +0 +5 1 0x8f5c 0x926167e @@ -167398,6 +209248,8 @@ 0 0 0 +1 +0 4 0xcd56 0x55562d81 @@ -167407,6 +209259,8 @@ 0 0 4 +3 +4 0x832e 0x3f2e9a0f 256 @@ -167414,6 +209268,8 @@ 1 1 0 +2 +5 1 0xc3a3 0xda5765a6 @@ -167422,6 +209278,8 @@ 1 0 0 +4 +0 3 0xe229 0x4e0d1a16 @@ -167430,6 +209288,8 @@ 1 1 0 +5 +3 1 0x793d 0x69a6a0f5 @@ -167438,6 +209298,8 @@ 0 0 0 +3 +5 2 0x3479 0x4412859a @@ -167446,6 +209308,8 @@ 1 1 0 +0 +2 1 0x7871 0xc28ad6f5 @@ -167454,6 +209318,8 @@ 0 0 0 +0 +3 1 0xcf3 0x5c1bc59a @@ -167462,6 +209328,8 @@ 1 1 0 +0 +0 3 0x6525 0x9109e503 @@ -167470,6 +209338,8 @@ 1 0 0 +2 +1 1 0x4482 0xdb40e381 @@ -167478,6 +209348,8 @@ 0 0 0 +4 +1 1 0x6ebe 0x925bf416 @@ -167487,6 +209359,8 @@ 1 0 2 +1 +2 0x9e4c 0x29c02490 256 @@ -167494,6 +209368,8 @@ 1 0 0 +3 +1 1 0xff09 0x84219dbd @@ -167502,6 +209378,8 @@ 1 0 0 +3 +4 2 0x33d1 0x100987e6 @@ -167510,6 +209388,8 @@ 1 0 0 +1 +4 3 0x8689 0x3f6d1adb @@ -167518,6 +209398,8 @@ 0 0 0 +5 +0 1 0x3a5b 0xa0361b8b @@ -167526,6 +209408,8 @@ 0 0 0 +5 +5 3 0x6c99 0xa8167cdb @@ -167534,6 +209418,8 @@ 0 0 0 +0 +0 3 0x9800 0xa58e8b55 @@ -167543,6 +209429,8 @@ 0 0 1 +0 +1 0xe08c 0x128c2fb 256 @@ -167550,6 +209438,8 @@ 0 0 0 +4 +3 1 0x782f 0xcf3d5ba0 @@ -167558,6 +209448,8 @@ 1 0 0 +5 +2 3 0x3bbb 0xb7afc96 @@ -167566,6 +209458,8 @@ 0 0 0 +4 +5 3 0x5f93 0x3b3230a0 @@ -167574,6 +209468,8 @@ 1 0 0 +0 +5 3 0x8835 0xb4e3f5c8 @@ -167582,6 +209478,8 @@ 0 0 0 +5 +4 1 0x4ba0 0x90950d46 @@ -167590,6 +209488,8 @@ 0 0 0 +5 +1 4 0x3f95 0x49e25de7 @@ -167598,6 +209498,8 @@ 1 1 0 +3 +2 1 0xe1eb 0xdca67906 @@ -167606,6 +209508,8 @@ 0 0 0 +5 +2 1 0xcf77 0x694e560b @@ -167614,6 +209518,8 @@ 0 0 0 +5 +1 1 0xf9bb 0x88f2e573 @@ -167623,6 +209529,8 @@ 0 0 2 +0 +2 0x30aa 0x1bd5b5ed 256 @@ -167630,6 +209538,8 @@ 0 0 0 +0 +0 4 0xb9cc 0x8a9925d5 @@ -167638,6 +209548,8 @@ 0 0 0 +2 +4 3 0x4c3d 0x1d1aa7d7 @@ -167646,6 +209558,8 @@ 1 1 0 +4 +1 2 0xb096 0x118b1c99 @@ -167654,6 +209568,8 @@ 0 0 0 +5 +3 4 0xf46b 0x68395485 @@ -167662,6 +209578,8 @@ 1 1 0 +4 +2 2 0x9b51 0x152839a3 @@ -167670,6 +209588,8 @@ 1 1 0 +3 +5 1 0x50c2 0xcc7e891e @@ -167678,6 +209598,8 @@ 1 1 0 +0 +4 4 0x425e 0xc93ca7d1 @@ -167686,6 +209608,8 @@ 0 0 0 +0 +4 4 0x5bcb 0xc6635026 @@ -167695,6 +209619,8 @@ 0 0 1 +0 +1 0xd47d 0x5ea13c30 256 @@ -167703,6 +209629,8 @@ 1 0 1 +3 +1 0x6e4a 0xb14b4591 256 @@ -167710,6 +209638,8 @@ 0 0 0 +1 +3 3 0x431c 0x5b63838b @@ -167718,6 +209648,8 @@ 0 0 0 +5 +3 3 0xcbec 0x19068a7d @@ -167726,6 +209658,8 @@ 0 0 0 +5 +1 4 0x887d 0x4591edcc @@ -167734,6 +209668,8 @@ 1 0 0 +2 +2 4 0xa0a3 0xda19f527 @@ -167742,6 +209678,8 @@ 1 1 0 +4 +0 2 0xfa0c 0xfd554867 @@ -167751,6 +209689,8 @@ 1 0 4 +5 +4 0x8c47 0xebc35de 256 @@ -167758,6 +209698,8 @@ 1 1 0 +2 +0 3 0x845c 0x7c81cb28 @@ -167766,6 +209708,8 @@ 1 1 0 +2 +4 1 0x594c 0x2cc49a4a @@ -167774,6 +209718,8 @@ 0 0 0 +0 +1 4 0xf2dd 0x8c4b484e @@ -167783,6 +209729,8 @@ 0 0 4 +4 +4 0x525b 0x91c0efec 256 @@ -167790,6 +209738,8 @@ 1 0 0 +2 +0 3 0xca59 0x9ed828d7 @@ -167798,6 +209748,8 @@ 0 0 0 +4 +1 2 0x9a8b 0x1acf29cc @@ -167806,6 +209758,8 @@ 1 1 0 +0 +1 2 0xaab3 0x9809bf36 @@ -167814,6 +209768,8 @@ 0 0 0 +3 +5 4 0x6b71 0xedd79045 @@ -167822,6 +209778,8 @@ 1 0 0 +0 +1 3 0x65e6 0xcbf0eea7 @@ -167830,6 +209788,8 @@ 1 0 0 +2 +1 4 0x5fa 0x9cafebaf @@ -167838,6 +209798,8 @@ 0 0 0 +2 +1 4 0x2da5 0x30604854 @@ -167846,6 +209808,8 @@ 1 0 0 +3 +2 1 0xe77d 0x620c9efc @@ -167854,6 +209818,8 @@ 0 0 0 +1 +4 4 0x82e3 0xd367373f @@ -167862,6 +209828,8 @@ 0 0 0 +3 +3 4 0x336a 0x792f5dd3 @@ -167870,6 +209838,8 @@ 1 0 0 +4 +0 2 0xca99 0xa59524f0 @@ -167879,6 +209849,8 @@ 0 0 4 +0 +4 0xb655 0x2d67b401 256 @@ -167886,6 +209858,8 @@ 0 0 0 +2 +1 4 0x2e6d 0x569cefc0 @@ -167894,6 +209868,8 @@ 1 0 0 +4 +5 1 0x2e00 0xee3670a3 @@ -167903,6 +209879,8 @@ 0 0 3 +2 +3 0x4da4 0xde1cf6c2 256 @@ -167911,6 +209889,8 @@ 0 0 2 +1 +2 0x92d5 0x725108f3 256 @@ -167918,6 +209898,8 @@ 0 0 0 +3 +1 2 0xeb0b 0x6446c117 @@ -167926,6 +209908,8 @@ 0 0 0 +2 +4 4 0x40c0 0xdef6d60d @@ -167934,6 +209918,8 @@ 1 0 0 +0 +1 2 0x3b89 0xbbe6760d @@ -167942,6 +209928,8 @@ 0 0 0 +4 +2 1 0x2725 0xa8f61480 @@ -167950,6 +209938,8 @@ 1 1 0 +1 +4 3 0x7565 0xeca326b5 @@ -167958,6 +209948,8 @@ 0 0 0 +0 +4 4 0x63ee 0x6ce528d7 @@ -167966,6 +209958,8 @@ 1 0 0 +1 +1 4 0x4c26 0x82ff8bde @@ -167975,6 +209969,8 @@ 0 0 1 +0 +1 0x1d36 0x9b9a6aed 256 @@ -167983,6 +209979,8 @@ 0 0 4 +1 +4 0xc3d6 0xf474c5e9 256 @@ -167990,6 +209988,8 @@ 1 1 0 +2 +4 3 0x1e94 0x4f31295c @@ -167998,6 +209998,8 @@ 0 0 0 +2 +2 4 0xa7c3 0xa35fee37 @@ -168007,6 +210009,8 @@ 0 0 4 +0 +4 0xfa38 0xa5d81053 256 @@ -168014,6 +210018,8 @@ 0 0 0 +0 +5 1 0x17a5 0x4a90307a @@ -168022,6 +210028,8 @@ 1 1 0 +4 +3 3 0x7bef 0xb453f673 @@ -168030,6 +210038,8 @@ 0 0 0 +4 +4 2 0x2236 0x97bea5a9 @@ -168038,6 +210048,8 @@ 0 0 0 +3 +0 4 0xff8e 0x27ad2aec @@ -168046,6 +210058,8 @@ 1 0 0 +0 +2 2 0x3edb 0x4825ea67 @@ -168054,6 +210068,8 @@ 1 0 0 +1 +4 2 0xb1b4 0x9c474fd3 @@ -168062,6 +210078,8 @@ 0 0 0 +4 +3 1 0x7564 0xb83e9c2f @@ -168070,6 +210088,8 @@ 1 1 0 +2 +1 1 0x53c1 0x2e11b61b @@ -168078,6 +210098,8 @@ 0 0 0 +1 +5 2 0xf87b 0xd249acc1 @@ -168086,6 +210108,8 @@ 0 0 0 +1 +0 3 0x3764 0xbb23285c @@ -168095,6 +210119,8 @@ 0 0 2 +3 +2 0x70cf 0x4abb1ed7 256 @@ -168102,6 +210128,8 @@ 0 0 0 +1 +3 3 0xcfbf 0x505841da @@ -168110,6 +210138,8 @@ 1 0 0 +1 +3 3 0xcc1e 0xb4d41947 @@ -168118,6 +210148,8 @@ 0 0 0 +0 +5 3 0xd1c8 0x22dcaf @@ -168126,6 +210158,8 @@ 1 0 0 +0 +2 2 0xb05b 0x25df7284 @@ -168134,6 +210168,8 @@ 1 0 0 +2 +0 4 0xf877 0x4b5b8485 @@ -168142,6 +210178,8 @@ 0 0 0 +5 +0 4 0xffdf 0xbea836c4 @@ -168150,6 +210188,8 @@ 1 0 0 +4 +5 3 0x851d 0xd5e20382 @@ -168158,6 +210198,8 @@ 1 0 0 +4 +0 3 0x81a7 0x9656f9b9 @@ -168166,6 +210208,8 @@ 0 0 0 +5 +1 2 0xcc67 0xdd343e26 @@ -168174,6 +210218,8 @@ 0 0 0 +5 +0 4 0x80ef 0x281986ee @@ -168182,6 +210228,8 @@ 1 0 0 +5 +4 4 0xadd3 0x4dd5587a @@ -168190,6 +210238,8 @@ 1 1 0 +0 +0 3 0xc926 0xebc8ffcb @@ -168198,6 +210248,8 @@ 0 0 0 +4 +0 3 0x1d5 0xf208d978 @@ -168206,6 +210258,8 @@ 0 0 0 +5 +2 2 0xf9cc 0x1b5f0002 @@ -168214,6 +210268,8 @@ 0 0 0 +3 +3 2 0x89f9 0xc5c93930 @@ -168222,6 +210278,8 @@ 0 0 0 +2 +0 1 0xa263 0x2979b6bf @@ -168230,6 +210288,8 @@ 0 0 0 +3 +4 4 0xb20d 0x107faade @@ -168238,6 +210298,8 @@ 1 1 0 +5 +0 4 0xc5ac 0x8abda199 @@ -168246,6 +210308,8 @@ 1 1 0 +0 +5 1 0x3b0b 0x84568053 @@ -168254,6 +210318,8 @@ 0 0 0 +1 +0 4 0x8546 0xa5e4117d @@ -168263,6 +210329,8 @@ 1 0 3 +2 +3 0x4093 0x1b466d3e 256 @@ -168270,6 +210338,8 @@ 1 1 0 +3 +0 4 0xe337 0x559dd760 @@ -168279,6 +210349,8 @@ 1 0 1 +0 +1 0x4987 0x53175353 256 @@ -168286,6 +210358,8 @@ 1 0 0 +1 +3 3 0xf83b 0x3e6c51a3 @@ -168294,6 +210368,8 @@ 1 0 0 +4 +0 3 0x23c2 0xae67e360 @@ -168302,6 +210378,8 @@ 0 0 0 +5 +0 3 0xe642 0x5c62dd81 @@ -168310,6 +210388,8 @@ 0 0 0 +5 +3 3 0x51c1 0xc7921227 @@ -168318,6 +210398,8 @@ 0 0 0 +1 +1 2 0x4057 0x55cfbba4 @@ -168326,6 +210408,8 @@ 1 0 0 +4 +0 3 0xd238 0xac64d025 @@ -168334,6 +210418,8 @@ 0 0 0 +5 +4 1 0xa8c2 0x4e118818 @@ -168342,6 +210428,8 @@ 0 0 0 +0 +1 4 0x6cf8 0x26d5147e @@ -168351,6 +210439,8 @@ 0 0 2 +4 +2 0xb48 0xc4636dd6 256 @@ -168359,6 +210449,8 @@ 0 0 2 +3 +2 0xe565 0x163a2c96 256 @@ -168366,6 +210458,8 @@ 0 0 0 +2 +3 3 0xcfd5 0x431ccf60 @@ -168374,6 +210468,8 @@ 0 0 0 +0 +4 3 0x4a2a 0x3726cf37 @@ -168382,6 +210478,8 @@ 1 1 0 +0 +4 1 0x1433 0xc9acb0fb @@ -168390,6 +210488,8 @@ 1 0 0 +5 +3 3 0x198e 0x6986c76e @@ -168398,6 +210498,8 @@ 0 0 0 +0 +3 1 0x11d8 0x396461c0 @@ -168406,6 +210508,8 @@ 0 0 0 +0 +2 1 0xb160 0xbde4e4a8 @@ -168414,6 +210518,8 @@ 0 0 0 +4 +1 3 0xbbb1 0xb9801d87 @@ -168422,6 +210528,8 @@ 1 1 0 +0 +0 1 0xa1d8 0x48018405 @@ -168430,6 +210538,8 @@ 0 0 0 +4 +2 1 0x56fe 0xbd76d20f @@ -168438,6 +210548,8 @@ 0 0 0 +0 +5 3 0x95ca 0xaf0f0b14 @@ -168446,6 +210558,8 @@ 0 0 0 +0 +2 4 0xf23d 0x5b3b81a @@ -168455,6 +210569,8 @@ 0 0 3 +5 +3 0x11d0 0x70022720 256 @@ -168462,6 +210578,8 @@ 1 0 0 +4 +1 3 0x9a3b 0x523aa82b @@ -168470,6 +210588,8 @@ 1 1 0 +5 +1 3 0xb473 0xd0dffef4 @@ -168478,6 +210598,8 @@ 1 1 0 +5 +1 1 0xc391 0x85e76ccf @@ -168486,6 +210608,8 @@ 0 0 0 +1 +2 3 0xa250 0xedeb5af5 @@ -168494,6 +210618,8 @@ 0 0 0 +0 +5 1 0xc1e5 0x175d7ce8 @@ -168502,6 +210628,8 @@ 0 0 0 +4 +4 1 0x3a71 0x4e652ea0 @@ -168510,6 +210638,8 @@ 0 0 0 +0 +5 1 0x10ed 0xdee042bc @@ -168518,6 +210648,8 @@ 0 0 0 +1 +5 2 0xf9ad 0xa92cfdb9 @@ -168526,6 +210658,8 @@ 0 0 0 +0 +1 2 0x6c93 0x2669570c @@ -168534,6 +210668,8 @@ 0 0 0 +5 +5 1 0x40ee 0x8a88b241 @@ -168542,6 +210678,8 @@ 0 0 0 +1 +4 3 0x7128 0xe225221 @@ -168550,6 +210688,8 @@ 1 0 0 +2 +5 4 0x982c 0x365c68b5 @@ -168558,6 +210698,8 @@ 0 0 0 +1 +3 3 0xe5f6 0xa575fe4d @@ -168566,6 +210708,8 @@ 0 0 0 +4 +0 3 0x662a 0x252aff3f @@ -168574,6 +210718,8 @@ 1 0 0 +5 +3 3 0x4aae 0xedffbbc7 @@ -168582,6 +210728,8 @@ 0 0 0 +0 +0 3 0x95d3 0x2da7b899 @@ -168590,6 +210738,8 @@ 0 0 0 +5 +4 2 0x857 0x87c5b811 @@ -168598,6 +210748,8 @@ 0 0 0 +2 +4 3 0x8cb1 0x3938c697 @@ -168606,6 +210758,8 @@ 1 0 0 +1 +0 2 0x784a 0x4c595e6e @@ -168614,6 +210768,8 @@ 0 0 0 +0 +2 3 0x2f73 0x8685f5c2 @@ -168622,6 +210778,8 @@ 1 0 0 +4 +4 2 0x6813 0x324feee4 @@ -168630,6 +210788,8 @@ 0 0 0 +4 +3 3 0x9863 0x786f02b @@ -168638,6 +210798,8 @@ 0 0 0 +4 +1 3 0x3975 0xa3d00929 @@ -168646,6 +210808,8 @@ 1 1 0 +5 +0 2 0xbf53 0xd0a438a @@ -168654,6 +210818,8 @@ 1 0 0 +5 +2 3 0xaed0 0xb8154805 @@ -168662,6 +210828,8 @@ 1 1 0 +2 +1 3 0x1d23 0x4ecc5d60 @@ -168670,6 +210838,8 @@ 0 0 0 +3 +4 4 0x7675 0xf383576f @@ -168679,6 +210849,8 @@ 1 0 4 +4 +4 0x14e8 0x8fea592f 256 @@ -168686,6 +210858,8 @@ 1 1 0 +1 +0 2 0xcc8c 0x386e3626 @@ -168694,6 +210868,8 @@ 0 0 0 +1 +3 4 0xbf96 0x652d0f21 @@ -168702,6 +210878,8 @@ 0 0 0 +4 +0 3 0xba8a 0x36e07f6c @@ -168710,6 +210888,8 @@ 1 1 0 +3 +1 1 0xefce 0xccffdd75 @@ -168718,6 +210898,8 @@ 1 1 0 +5 +0 3 0xb8b3 0x6840668b @@ -168727,6 +210909,8 @@ 0 0 2 +3 +2 0xee32 0x130fb583 256 @@ -168734,6 +210918,8 @@ 1 0 0 +4 +2 2 0xceea 0xf9814d63 @@ -168742,6 +210928,8 @@ 1 1 0 +0 +4 4 0xcf56 0x5ec71edd @@ -168750,6 +210938,8 @@ 1 1 0 +5 +4 2 0x135b 0x31a6bfcb @@ -168758,6 +210948,8 @@ 0 0 0 +3 +0 1 0xb7f2 0xaa2bb1be @@ -168766,6 +210958,8 @@ 1 0 0 +5 +3 2 0x5cb5 0x6deaf448 @@ -168775,6 +210969,8 @@ 0 0 4 +1 +4 0xc319 0x20b8f995 256 @@ -168782,6 +210978,8 @@ 0 0 0 +5 +0 4 0xa2cb 0x7b572b35 @@ -168790,6 +210988,8 @@ 1 0 0 +4 +3 3 0xd4a 0xfb8de669 @@ -168799,6 +210999,8 @@ 1 0 2 +5 +2 0x27a8 0x6f8ad446 256 @@ -168806,6 +211008,8 @@ 0 0 0 +4 +1 1 0xcf12 0xdc7929aa @@ -168814,6 +211018,8 @@ 1 0 0 +2 +1 3 0x7533 0xac853f0e @@ -168823,6 +211029,8 @@ 1 0 4 +0 +4 0x93e1 0x8f7b679e 256 @@ -168830,6 +211038,8 @@ 0 0 0 +2 +0 4 0x12d6 0x50226560 @@ -168839,6 +211049,8 @@ 0 0 1 +5 +1 0x568 0xd05fd36f 256 @@ -168846,6 +211058,8 @@ 1 0 0 +2 +4 3 0x4a70 0x23499be0 @@ -168854,6 +211068,8 @@ 0 0 0 +3 +4 1 0x6ab2 0x7557b55d @@ -168862,6 +211078,8 @@ 1 1 0 +3 +1 2 0x97e3 0xe0e4c11c @@ -168870,6 +211088,8 @@ 0 0 0 +5 +2 2 0xd08a 0xd8cab04b @@ -168878,6 +211098,8 @@ 1 0 0 +1 +1 2 0x108b 0x4aad5df4 @@ -168886,6 +211108,8 @@ 1 0 0 +4 +3 3 0x288b 0xfc5ff659 @@ -168894,6 +211118,8 @@ 0 0 0 +5 +5 1 0x23db 0xd0a990aa @@ -168902,6 +211128,8 @@ 1 1 0 +5 +5 1 0xc0fc 0x7e8adf95 @@ -168910,6 +211138,8 @@ 1 1 0 +3 +3 1 0xc70d 0x212bd517 @@ -168918,6 +211148,8 @@ 0 0 0 +2 +0 4 0xfb81 0x9b767cbc @@ -168926,6 +211158,8 @@ 0 0 0 +3 +2 2 0xbcfe 0xd7b5d782 @@ -168935,6 +211169,8 @@ 0 0 4 +0 +4 0x8e51 0xb2d5ea3d 256 @@ -168942,6 +211178,8 @@ 0 0 0 +4 +3 3 0xe241 0xf1d37d6b @@ -168950,6 +211188,8 @@ 1 0 0 +2 +0 1 0xd4a5 0x65affd53 @@ -168958,6 +211198,8 @@ 0 0 0 +2 +3 1 0x27fb 0x31f0af29 @@ -168967,6 +211209,8 @@ 0 0 2 +3 +2 0x2366 0x1daa43ae 256 @@ -168974,6 +211218,8 @@ 1 1 0 +0 +2 2 0x2b5b 0xde3585cb @@ -168983,6 +211229,8 @@ 0 0 4 +2 +4 0x6a92 0xadcb5db1 256 @@ -168990,6 +211238,8 @@ 0 0 0 +0 +5 1 0x3233 0x50a833ca @@ -168998,6 +211248,8 @@ 0 0 0 +0 +5 3 0xad92 0xcfa42ab8 @@ -169006,6 +211258,8 @@ 1 1 0 +4 +2 2 0xa984 0x597325b0 @@ -169014,6 +211268,8 @@ 1 0 0 +1 +3 2 0x5c19 0xb7d544f1 @@ -169022,6 +211278,8 @@ 1 0 0 +5 +5 4 0x7a47 0xd81134dc @@ -169030,6 +211288,8 @@ 0 0 0 +3 +0 2 0x28af 0x76b08765 @@ -169038,6 +211298,8 @@ 1 0 0 +2 +3 1 0x791 0x9992acae @@ -169046,6 +211308,8 @@ 0 0 0 +5 +3 3 0x2d81 0x6be738ca @@ -169054,6 +211318,8 @@ 1 0 0 +2 +4 3 0xaa54 0x8ac4f35e @@ -169062,6 +211328,8 @@ 0 0 0 +3 +1 2 0x8dbc 0xc0c151ca @@ -169070,6 +211338,8 @@ 1 1 0 +0 +0 4 0x1e77 0x435898c2 @@ -169078,6 +211348,8 @@ 1 0 0 +4 +2 3 0x268c 0xa7190126 @@ -169086,6 +211358,8 @@ 0 0 0 +4 +4 1 0x7e68 0xc58c98ec @@ -169094,6 +211368,8 @@ 0 0 0 +2 +4 3 0x4848 0xf49164e3 @@ -169102,6 +211378,8 @@ 0 0 0 +2 +3 4 0x48c3 0xfd8b72df @@ -169111,6 +211389,8 @@ 0 0 2 +0 +2 0x2477 0x8237a071 256 @@ -169119,6 +211399,8 @@ 0 0 1 +5 +1 0x7982 0xd7211c01 256 @@ -169126,6 +211408,8 @@ 1 1 0 +3 +3 2 0x2ed8 0xad17d991 @@ -169134,6 +211418,8 @@ 1 0 0 +5 +4 4 0xfab5 0x9babbda2 @@ -169142,6 +211428,8 @@ 0 0 0 +5 +5 2 0x3492 0x17c89668 @@ -169150,6 +211438,8 @@ 1 1 0 +0 +5 4 0xb53d 0x1bd251f3 @@ -169159,6 +211449,8 @@ 1 0 1 +3 +1 0x446d 0x72026541 256 @@ -169166,6 +211458,8 @@ 0 0 0 +2 +0 3 0x41d9 0x2651e7e3 @@ -169175,6 +211469,8 @@ 0 0 3 +2 +3 0x8bf4 0xe3811473 256 @@ -169182,6 +211478,8 @@ 0 0 0 +1 +4 3 0x87e7 0xf97c146a @@ -169191,6 +211489,8 @@ 0 0 1 +2 +1 0x1126 0xec4357c9 256 @@ -169198,6 +211498,8 @@ 1 0 0 +5 +1 3 0x9099 0x8e72fe3d @@ -169206,6 +211508,8 @@ 1 1 0 +0 +5 2 0x2da2 0x2ce876ac @@ -169214,6 +211518,8 @@ 0 0 0 +2 +3 4 0xf9b7 0xc18fcd4d @@ -169222,6 +211528,8 @@ 1 1 0 +1 +4 3 0x446e 0xd669e4dd @@ -169230,6 +211538,8 @@ 1 0 0 +3 +2 4 0x1189 0xb8447036 @@ -169238,6 +211548,8 @@ 1 1 0 +0 +5 2 0x87d1 0xebe4aaa6 @@ -169246,6 +211558,8 @@ 0 0 0 +1 +5 3 0xb61b 0x41c5e373 @@ -169254,6 +211568,8 @@ 0 0 0 +4 +1 3 0x2f36 0x8fe22d82 @@ -169262,6 +211578,8 @@ 0 0 0 +4 +0 3 0x6b30 0x279b3a2f @@ -169270,6 +211588,8 @@ 0 0 0 +5 +0 4 0x876c 0xaf2261a3 @@ -169279,6 +211599,8 @@ 1 0 4 +1 +4 0xe83b 0xaa8a5beb 256 @@ -169286,6 +211608,8 @@ 0 0 0 +0 +4 4 0x4f14 0x5cc89d9e @@ -169294,6 +211618,8 @@ 1 1 0 +4 +0 2 0x94eb 0xd77a54c3 @@ -169302,6 +211628,8 @@ 1 1 0 +0 +2 2 0xdfbf 0x387fcae6 @@ -169310,6 +211638,8 @@ 0 0 0 +3 +0 4 0x4765 0x3dc7d925 @@ -169318,6 +211648,8 @@ 0 0 0 +0 +5 4 0x5d92 0xabef3cbc @@ -169326,6 +211658,8 @@ 0 0 0 +3 +5 4 0xb037 0x63fae4f8 @@ -169334,6 +211668,8 @@ 0 0 0 +5 +3 3 0xd8f6 0x90c1c7b @@ -169343,6 +211679,8 @@ 0 0 1 +3 +1 0xeed0 0x6046dd5b 256 @@ -169350,6 +211688,8 @@ 0 0 0 +0 +0 4 0xa89e 0xf962b426 @@ -169358,6 +211698,8 @@ 0 0 0 +4 +4 2 0xc13e 0x678414b9 @@ -169366,6 +211708,8 @@ 0 0 0 +4 +5 1 0x40e4 0x688e1da9 @@ -169374,6 +211718,8 @@ 0 0 0 +0 +1 1 0xa302 0x374eb0d3 @@ -169382,6 +211728,8 @@ 1 1 0 +0 +2 2 0x25 0x2c1a4c6c @@ -169390,6 +211738,8 @@ 0 0 0 +5 +2 4 0x51f 0x8f3bf658 @@ -169398,6 +211748,8 @@ 1 0 0 +0 +0 1 0xab0e 0xf4eba735 @@ -169406,6 +211758,8 @@ 1 0 0 +5 +3 2 0x746b 0x16a7b6 @@ -169414,6 +211768,8 @@ 1 0 0 +3 +5 1 0xeeeb 0x79581de7 @@ -169422,6 +211778,8 @@ 0 0 0 +1 +4 3 0xe817 0x1a20c65d @@ -169431,6 +211789,8 @@ 0 0 1 +2 +1 0x6516 0xd4cfe9ab 256 @@ -169439,6 +211799,8 @@ 0 0 1 +3 +1 0x923c 0x1c3fcc67 256 @@ -169446,6 +211808,8 @@ 0 0 0 +1 +4 2 0xcb48 0xa09467b2 @@ -169454,6 +211818,8 @@ 0 0 0 +5 +3 2 0xcc4b 0x1e897fd9 @@ -169462,6 +211828,8 @@ 0 0 0 +3 +3 4 0x4364 0xed3eb50a @@ -169470,6 +211838,8 @@ 0 0 0 +4 +5 2 0xa685 0x9cd7a355 @@ -169478,6 +211848,8 @@ 0 0 0 +1 +4 2 0x9f9f 0x234d49e4 @@ -169486,6 +211858,8 @@ 0 0 0 +4 +0 1 0x733 0x6627452a @@ -169494,6 +211868,8 @@ 1 1 0 +2 +5 4 0xf8c4 0xcb190c60 @@ -169502,6 +211878,8 @@ 1 1 0 +0 +0 4 0x3d24 0x3b1f2ed7 @@ -169510,6 +211888,8 @@ 1 0 0 +5 +0 1 0x3661 0x20073138 @@ -169519,6 +211899,8 @@ 1 0 4 +0 +4 0x6b6f 0x30a2b42e 256 @@ -169526,6 +211908,8 @@ 1 1 0 +5 +1 1 0x7f67 0x3dc1205f @@ -169534,6 +211918,8 @@ 0 0 0 +0 +5 4 0xb3bd 0x152bd305 @@ -169543,6 +211929,8 @@ 0 0 1 +3 +1 0x87b9 0x40227f5f 256 @@ -169550,6 +211938,8 @@ 1 0 0 +4 +3 2 0x18b0 0x18d8e99b @@ -169558,6 +211948,8 @@ 1 0 0 +0 +3 1 0x1bab 0x1d3c442d @@ -169566,6 +211958,8 @@ 0 0 0 +1 +2 4 0xff73 0x3120d34e @@ -169574,6 +211968,8 @@ 1 1 0 +5 +2 2 0xb220 0xf71a6ddf @@ -169582,6 +211978,8 @@ 0 0 0 +0 +0 1 0x933a 0x671009b7 @@ -169590,6 +211988,8 @@ 1 0 0 +2 +0 3 0xc6f5 0x41c3f20d @@ -169598,6 +211998,8 @@ 1 0 0 +0 +3 3 0x8859 0x9164acba @@ -169606,6 +212008,8 @@ 0 0 0 +4 +3 3 0xb5a1 0x5ff19df3 @@ -169614,6 +212018,8 @@ 0 0 0 +0 +4 4 0xc5 0x60ab48ba @@ -169623,6 +212029,8 @@ 0 0 4 +0 +4 0x9b8c 0x1546fec0 256 @@ -169630,6 +212038,8 @@ 0 0 0 +1 +4 3 0xb0d9 0x63f885db @@ -169638,6 +212048,8 @@ 1 1 0 +5 +0 3 0x5b46 0xb256b2d1 @@ -169646,6 +212058,8 @@ 1 1 0 +4 +3 3 0x3fd2 0xcedfbe41 @@ -169654,6 +212068,8 @@ 0 0 0 +5 +5 4 0x7a96 0x85bc1770 @@ -169662,6 +212078,8 @@ 1 1 0 +0 +2 2 0xd0a4 0xb424577d @@ -169671,6 +212089,8 @@ 1 0 2 +2 +2 0x144d 0xd565765e 256 @@ -169678,6 +212098,8 @@ 1 0 0 +0 +3 4 0xf46a 0x3d671bc8 @@ -169687,6 +212109,8 @@ 0 0 1 +4 +1 0x330e 0xd09ddb05 256 @@ -169694,6 +212118,8 @@ 1 1 0 +1 +1 2 0xa227 0xd16f9f8 @@ -169702,6 +212128,8 @@ 1 1 0 +2 +5 1 0xca39 0xfca23a84 @@ -169710,6 +212138,8 @@ 1 1 0 +0 +3 4 0x704a 0xcb7b9f39 @@ -169718,6 +212148,8 @@ 0 0 0 +2 +3 4 0x42c2 0x8b241a29 @@ -169726,6 +212158,8 @@ 1 0 0 +4 +5 3 0x267f 0xde7112a @@ -169734,6 +212168,8 @@ 0 0 0 +0 +2 2 0x3437 0xcd902070 @@ -169743,6 +212179,8 @@ 0 0 1 +5 +1 0x5212 0xc30c46ff 256 @@ -169751,6 +212189,8 @@ 0 0 3 +1 +3 0xda42 0xdaeb59eb 256 @@ -169758,6 +212198,8 @@ 1 1 0 +2 +2 4 0x6290 0x6bf95117 @@ -169766,6 +212208,8 @@ 1 1 0 +0 +1 4 0xc177 0x24a62a66 @@ -169774,6 +212218,8 @@ 1 0 0 +5 +3 4 0x835a 0xf8f4d91d @@ -169782,6 +212228,8 @@ 0 0 0 +5 +1 2 0xd094 0x838b8628 @@ -169790,6 +212238,8 @@ 0 0 0 +2 +4 1 0xb4ab 0x5ac564d0 @@ -169798,6 +212248,8 @@ 1 1 0 +1 +5 4 0x8773 0x39a7b0ac @@ -169806,6 +212258,8 @@ 0 0 0 +2 +3 1 0x83bd 0x3e6a54e8 @@ -169814,6 +212268,8 @@ 0 0 0 +3 +3 4 0x3932 0x487df411 @@ -169822,6 +212278,8 @@ 0 0 0 +4 +1 3 0x51a1 0xa301e4bf @@ -169830,6 +212288,8 @@ 0 0 0 +4 +3 2 0x371c 0xf1564f4f @@ -169838,6 +212298,8 @@ 1 0 0 +5 +5 4 0xb4f9 0x46b6b853 @@ -169847,6 +212309,8 @@ 1 0 1 +5 +1 0x2ed0 0xc406153d 256 @@ -169854,6 +212318,8 @@ 0 0 0 +2 +4 3 0x2de2 0x2029775b @@ -169862,6 +212328,8 @@ 0 0 0 +3 +4 1 0x3e04 0xc2c9ff52 @@ -169870,6 +212338,8 @@ 1 1 0 +5 +2 3 0x3954 0x452661ba @@ -169879,6 +212349,8 @@ 0 0 1 +2 +1 0xb6fb 0x8c01640b 256 @@ -169886,6 +212358,8 @@ 1 0 0 +2 +5 1 0x3944 0x966f4211 @@ -169894,6 +212368,8 @@ 0 0 0 +1 +4 3 0x4f09 0x559ec7c7 @@ -169902,6 +212378,8 @@ 1 1 0 +4 +0 1 0x64a7 0x2ce692ef @@ -169910,6 +212388,8 @@ 0 0 0 +0 +3 1 0x99ff 0x9453b371 @@ -169918,6 +212398,8 @@ 1 0 0 +1 +1 3 0xa4b7 0x93482e5d @@ -169926,6 +212408,8 @@ 0 0 0 +3 +1 4 0x123b 0xde52b99f @@ -169934,6 +212418,8 @@ 1 1 0 +2 +0 3 0xe720 0x1095bb00 @@ -169943,6 +212429,8 @@ 0 0 1 +1 +1 0x4ee 0xff22d39b 256 @@ -169950,6 +212438,8 @@ 0 0 0 +2 +2 4 0xdd1a 0x77b2519f @@ -169958,6 +212448,8 @@ 1 1 0 +3 +4 1 0x933a 0x6eb7cde1 @@ -169966,6 +212458,8 @@ 0 0 0 +5 +1 1 0xffe8 0xef722b17 @@ -169974,6 +212468,8 @@ 0 0 0 +0 +0 4 0x890d 0x4db2c042 @@ -169982,6 +212478,8 @@ 1 0 0 +5 +3 2 0xa417 0x76f06a0e @@ -169990,6 +212488,8 @@ 0 0 0 +2 +5 4 0xc2d4 0xff1793d9 @@ -169998,6 +212498,8 @@ 1 0 0 +0 +3 1 0x531b 0x1f4ac19b @@ -170006,6 +212508,8 @@ 1 0 0 +3 +3 2 0x3371 0xae9f781b @@ -170014,6 +212518,8 @@ 1 0 0 +0 +2 1 0x52c9 0x6a720bad @@ -170022,6 +212528,8 @@ 1 1 0 +1 +0 4 0x736e 0x64dd74b7 @@ -170030,6 +212538,8 @@ 1 0 0 +4 +2 2 0xae39 0xe84cb628 @@ -170038,6 +212548,8 @@ 0 0 0 +0 +2 3 0x51a5 0x97b91bd6 @@ -170046,6 +212558,8 @@ 0 0 0 +5 +5 2 0xc971 0xc8fee3f7 @@ -170054,6 +212568,8 @@ 0 0 0 +5 +2 4 0x1d79 0xb4e275be @@ -170062,6 +212578,8 @@ 0 0 0 +2 +3 4 0xe947 0x7746e455 @@ -170070,6 +212588,8 @@ 0 0 0 +0 +0 4 0xb159 0x34a76939 @@ -170078,6 +212598,8 @@ 0 0 0 +1 +1 2 0xd3bb 0x81579683 @@ -170086,6 +212608,8 @@ 1 1 0 +3 +5 4 0x96c5 0x2fe6017e @@ -170094,6 +212618,8 @@ 1 1 0 +2 +4 3 0x9fdb 0x3d5fb25c @@ -170102,6 +212628,8 @@ 1 0 0 +3 +0 2 0x48d0 0xb64f967b @@ -170110,6 +212638,8 @@ 1 1 0 +2 +0 1 0xb675 0x797dcee4 @@ -170118,6 +212648,8 @@ 1 1 0 +0 +4 4 0xee81 0x3dcf51dd @@ -170126,6 +212658,8 @@ 1 0 0 +5 +1 4 0x2134 0x90b279bc @@ -170134,6 +212668,8 @@ 0 0 0 +5 +3 2 0xc80 0xaad11d3f @@ -170142,6 +212678,8 @@ 1 1 0 +4 +1 2 0xd0f 0xa1967250 @@ -170150,6 +212688,8 @@ 0 0 0 +1 +4 2 0xa3f 0x25275af0 @@ -170158,6 +212698,8 @@ 0 0 0 +0 +5 2 0xda37 0xa9bf86f4 @@ -170166,6 +212708,8 @@ 1 0 0 +3 +4 1 0x3c67 0x2b7e1e90 @@ -170174,6 +212718,8 @@ 1 0 0 +3 +5 1 0xbc6 0xe8d852fa @@ -170183,6 +212729,8 @@ 0 0 1 +4 +1 0xc2c0 0xc7d4b738 256 @@ -170190,6 +212738,8 @@ 0 0 0 +5 +1 2 0x30ae 0xcab808cf @@ -170199,6 +212749,8 @@ 0 0 4 +2 +4 0xc4ae 0xd38bf42c 256 @@ -170207,6 +212759,8 @@ 0 0 3 +5 +3 0xe39a 0x2660ead2 256 @@ -170214,6 +212768,8 @@ 1 0 0 +4 +4 1 0x848f 0xa013b4e5 @@ -170223,6 +212779,8 @@ 1 0 4 +4 +4 0xa97 0x5d34d12d 256 @@ -170230,6 +212788,8 @@ 0 0 0 +1 +5 4 0xcc3 0xe3dce8bb @@ -170238,6 +212798,8 @@ 1 0 0 +2 +1 1 0xcda3 0x9d1f04f5 @@ -170246,6 +212808,8 @@ 1 1 0 +5 +2 2 0xa38a 0x76472208 @@ -170254,6 +212818,8 @@ 1 0 0 +3 +0 2 0x8d0d 0x2be69541 @@ -170262,6 +212828,8 @@ 1 0 0 +5 +2 2 0x31bc 0xd564efac @@ -170270,6 +212838,8 @@ 1 0 0 +2 +1 1 0x4ec2 0x6593a656 @@ -170278,6 +212848,8 @@ 0 0 0 +0 +0 2 0xbfe4 0x9fc790e8 @@ -170286,6 +212858,8 @@ 0 0 0 +5 +4 4 0x58b3 0x9d544ca3 @@ -170295,6 +212869,8 @@ 0 0 1 +5 +1 0xdc7f 0xab73c0ff 256 @@ -170302,6 +212878,8 @@ 1 1 0 +5 +0 3 0x266c 0xa8d8b480 @@ -170310,6 +212888,8 @@ 1 1 0 +1 +0 3 0xe396 0x7e4df7c @@ -170318,6 +212898,8 @@ 0 0 0 +4 +4 1 0x7871 0x3701dbfe @@ -170326,6 +212908,8 @@ 0 0 0 +3 +5 1 0xa3d0 0xdc8f85ac @@ -170334,6 +212918,8 @@ 0 0 0 +4 +4 2 0x1420 0xa113c51b @@ -170342,6 +212928,8 @@ 1 1 0 +4 +1 2 0x4d38 0xbebd913f @@ -170350,6 +212938,8 @@ 0 0 0 +3 +4 1 0xb9db 0x263de181 @@ -170358,6 +212948,8 @@ 0 0 0 +3 +4 4 0x6779 0x546687e3 @@ -170367,6 +212959,8 @@ 0 0 1 +2 +1 0xda7d 0xf7a183fb 256 @@ -170374,6 +212968,8 @@ 1 0 0 +4 +4 3 0x76b8 0xa8db0749 @@ -170382,6 +212978,8 @@ 1 1 0 +2 +2 1 0x8bde 0x497314b1 @@ -170390,6 +212988,8 @@ 0 0 0 +2 +5 1 0xd791 0x2671f124 @@ -170398,6 +212998,8 @@ 0 0 0 +1 +1 4 0x52b8 0xc617b58c @@ -170407,6 +213009,8 @@ 0 0 3 +2 +3 0xd8f5 0x7685e98c 256 @@ -170415,6 +213019,8 @@ 0 0 2 +3 +2 0x65c1 0xd7b9db7 256 @@ -170423,6 +213029,8 @@ 0 0 4 +5 +4 0x25f0 0xd7ebb016 256 @@ -170430,6 +213038,8 @@ 0 0 0 +1 +2 3 0xd4ac 0xbbffec82 @@ -170438,6 +213048,8 @@ 1 1 0 +4 +2 2 0x73a9 0x9590ee89 @@ -170446,6 +213058,8 @@ 0 0 0 +0 +5 3 0xb367 0x9b184b93 @@ -170454,6 +213068,8 @@ 1 1 0 +2 +2 3 0x971b 0xed837c57 @@ -170462,6 +213078,8 @@ 0 0 0 +1 +2 2 0xac59 0x4a485321 @@ -170471,6 +213089,8 @@ 0 0 2 +3 +2 0x9ba1 0x123232d0 256 @@ -170478,6 +213098,8 @@ 1 1 0 +5 +4 1 0xb6fc 0x6c0e0735 @@ -170486,6 +213108,8 @@ 0 0 0 +3 +4 2 0xa9c4 0x2e2ab169 @@ -170494,6 +213118,8 @@ 1 0 0 +4 +3 3 0x5a68 0x694ed54d @@ -170502,6 +213128,8 @@ 0 0 0 +4 +1 2 0x402d 0x57944bea @@ -170510,6 +213138,8 @@ 0 0 0 +5 +4 2 0x1e2d 0x941ff6ba @@ -170518,6 +213148,8 @@ 0 0 0 +2 +5 1 0x26dd 0x32eb4dd2 @@ -170526,6 +213158,8 @@ 1 1 0 +3 +5 1 0xdf30 0xcf88b72f @@ -170534,6 +213168,8 @@ 1 0 0 +1 +4 2 0x731 0x9b51568f @@ -170542,6 +213178,8 @@ 1 1 0 +3 +4 1 0xf69b 0x945d0773 @@ -170550,6 +213188,8 @@ 1 1 0 +0 +5 4 0x58b 0x15097ea4 @@ -170558,6 +213198,8 @@ 1 0 0 +0 +4 1 0x2b50 0x4eaef20 @@ -170566,6 +213208,8 @@ 0 0 0 +4 +0 2 0xdc05 0x1a06cee5 @@ -170574,6 +213218,8 @@ 0 0 0 +1 +3 2 0xc5c9 0x9dee5dd @@ -170582,6 +213228,8 @@ 1 0 0 +5 +3 3 0xab02 0x86009d7e @@ -170590,6 +213238,8 @@ 0 0 0 +0 +5 2 0x2e1c 0x75f3e820 @@ -170598,6 +213248,8 @@ 0 0 0 +0 +2 2 0x4901 0x3116fd27 @@ -170606,6 +213258,8 @@ 0 0 0 +2 +1 1 0x2839 0xf1d4eb0a @@ -170614,6 +213268,8 @@ 0 0 0 +5 +4 2 0x2ba1 0x1b9485c8 @@ -170623,6 +213279,8 @@ 0 0 4 +4 +4 0x45ea 0xe50276f6 256 @@ -170630,6 +213288,8 @@ 1 1 0 +5 +1 2 0xdeb2 0x54c10a60 @@ -170638,6 +213298,8 @@ 1 1 0 +4 +0 3 0x3421 0xf16a977a @@ -170647,6 +213309,8 @@ 0 0 4 +2 +4 0xddc5 0xcc0d5c95 256 @@ -170654,6 +213318,8 @@ 1 0 0 +4 +1 1 0xe00f 0xea4b9a17 @@ -170662,6 +213328,8 @@ 0 0 0 +4 +4 3 0x6253 0x846fe2c8 @@ -170670,6 +213338,8 @@ 1 0 0 +5 +1 4 0x74a 0xa5b3ddbf @@ -170678,6 +213348,8 @@ 0 0 0 +0 +4 1 0xeb97 0x3c23aa8a @@ -170686,6 +213358,8 @@ 0 0 0 +1 +1 3 0x7330 0xd495c569 @@ -170694,6 +213368,8 @@ 1 1 0 +1 +4 3 0x67ca 0xdfe01571 @@ -170703,6 +213379,8 @@ 0 0 2 +3 +2 0x52f3 0x36e7d590 256 @@ -170710,6 +213388,8 @@ 0 0 0 +3 +1 4 0x32f6 0xa2197bb @@ -170719,6 +213399,8 @@ 0 0 1 +5 +1 0x76a9 0x6d3cb46e 256 @@ -170726,6 +213408,8 @@ 0 0 0 +1 +2 2 0x9bdc 0xb6c4cf0 @@ -170734,6 +213418,8 @@ 0 0 0 +3 +0 2 0x1ef2 0x1018dd6a @@ -170742,6 +213428,8 @@ 0 0 0 +5 +3 1 0x9e65 0x3455e19b @@ -170750,6 +213438,8 @@ 1 1 0 +2 +0 1 0x3f41 0x651d2c1f @@ -170758,6 +213448,8 @@ 0 0 0 +2 +1 1 0x8768 0xdb458f88 @@ -170766,6 +213458,8 @@ 1 1 0 +5 +4 1 0xd645 0x6c84cac7 @@ -170774,6 +213468,8 @@ 0 0 0 +2 +5 4 0xf82b 0x90688f17 @@ -170782,6 +213478,8 @@ 0 0 0 +0 +5 3 0xdea2 0x9d8c18f0 @@ -170790,6 +213488,8 @@ 1 1 0 +5 +0 4 0xad76 0xde3ae7bb @@ -170799,6 +213499,8 @@ 0 0 1 +5 +1 0x27b6 0x9c1eeb5e 256 @@ -170806,6 +213508,8 @@ 1 0 0 +5 +2 3 0x33f 0x91626455 @@ -170814,6 +213518,8 @@ 0 0 0 +5 +0 3 0x935b 0xe69793e4 @@ -170823,6 +213529,8 @@ 0 0 1 +3 +1 0x6b1e 0xad7b5600 256 @@ -170830,6 +213538,8 @@ 1 0 0 +2 +3 1 0xde9d 0x78a1e0a5 @@ -170838,6 +213548,8 @@ 1 0 0 +4 +5 1 0x67ab 0x6cff7d30 @@ -170847,6 +213559,8 @@ 0 0 1 +5 +1 0x8c2c 0x4fa5bb0f 256 @@ -170854,6 +213568,8 @@ 0 0 0 +1 +4 2 0x92f 0xcbaf15c7 @@ -170862,6 +213578,8 @@ 1 0 0 +5 +2 1 0xb475 0x6db01f90 @@ -170870,6 +213588,8 @@ 0 0 0 +0 +3 2 0x2892 0x70713d17 @@ -170879,6 +213599,8 @@ 1 0 2 +3 +2 0xea10 0xe1d49d17 256 @@ -170886,6 +213608,8 @@ 0 0 0 +4 +5 1 0x662d 0x7773463 @@ -170894,6 +213618,8 @@ 0 0 0 +1 +3 4 0xb611 0x170e29d @@ -170902,6 +213628,8 @@ 0 0 0 +0 +5 1 0x936f 0xb26e2411 @@ -170911,6 +213639,8 @@ 0 0 2 +4 +2 0xfead 0xa02a5366 256 @@ -170918,6 +213648,8 @@ 1 0 0 +2 +1 1 0x883d 0x2e12820b @@ -170927,6 +213659,8 @@ 0 0 4 +5 +4 0xea7e 0xdf1aa131 256 @@ -170934,6 +213668,8 @@ 0 0 0 +5 +1 2 0xc616 0xf9f83a @@ -170942,6 +213678,8 @@ 0 0 0 +0 +0 3 0xfb6e 0xea6f279b @@ -170950,6 +213688,8 @@ 1 1 0 +0 +0 3 0x8556 0x486f90cb @@ -170958,6 +213698,8 @@ 1 0 0 +1 +3 4 0x7d50 0x5dbc334b @@ -170966,6 +213708,8 @@ 1 0 0 +0 +4 2 0x280c 0xbf3fe6fc @@ -170974,6 +213718,8 @@ 1 1 0 +2 +5 4 0x64b0 0xe689e6be @@ -170982,6 +213728,8 @@ 1 1 0 +0 +2 4 0x41ec 0xd3ec538a @@ -170990,6 +213738,8 @@ 0 0 0 +2 +5 1 0x81a 0x834f0c61 @@ -170998,6 +213748,8 @@ 0 0 0 +0 +4 4 0x7059 0x78a57c25 @@ -171007,6 +213759,8 @@ 0 0 2 +3 +2 0xa7e0 0xfbf3b00b 256 @@ -171014,6 +213768,8 @@ 0 0 0 +4 +0 3 0x2cf3 0x2ca80013 @@ -171022,6 +213778,8 @@ 1 1 0 +5 +0 4 0xb097 0xe127caf9 @@ -171030,6 +213788,8 @@ 1 0 0 +5 +3 4 0xfcc2 0x4303c542 @@ -171038,6 +213798,8 @@ 1 0 0 +4 +3 1 0xaaf4 0xc7656a22 @@ -171046,6 +213808,8 @@ 1 1 0 +0 +5 1 0x1a9a 0x87798a85 @@ -171055,6 +213819,8 @@ 1 0 4 +5 +4 0x263 0x6c59b109 256 @@ -171062,6 +213828,8 @@ 1 1 0 +5 +4 2 0x768b 0xce6dd4df @@ -171070,6 +213838,8 @@ 1 1 0 +4 +2 3 0x11e3 0xf808f8ac @@ -171078,6 +213848,8 @@ 0 0 0 +5 +5 1 0x17f 0xe8131137 @@ -171086,6 +213858,8 @@ 1 0 0 +3 +3 2 0xfdfd 0xed05b5df @@ -171094,6 +213868,8 @@ 1 1 0 +4 +5 1 0xca96 0xdfe7651 @@ -171102,6 +213878,8 @@ 0 0 0 +0 +0 1 0xbc55 0xb32a0885 @@ -171110,6 +213888,8 @@ 0 0 0 +4 +0 3 0x62f2 0xf8ca918 @@ -171118,6 +213898,8 @@ 1 0 0 +1 +4 4 0x9ee2 0xd1b59553 @@ -171126,6 +213908,8 @@ 1 0 0 +3 +4 4 0x579c 0xf4c21084 @@ -171134,6 +213918,8 @@ 0 0 0 +5 +0 3 0xa9a6 0x9410757a @@ -171142,6 +213928,8 @@ 1 1 0 +0 +2 1 0x1e38 0xe612bbc5 @@ -171150,6 +213938,8 @@ 0 0 0 +1 +1 4 0x51b0 0x2b326709 @@ -171158,6 +213948,8 @@ 1 1 0 +1 +4 3 0x8a51 0x1d6bd33c @@ -171166,6 +213958,8 @@ 0 0 0 +2 +0 3 0x710d 0x887cef2a @@ -171174,6 +213968,8 @@ 0 0 0 +0 +0 2 0x68a4 0x71654a70 @@ -171182,6 +213978,8 @@ 0 0 0 +5 +4 4 0xf3b9 0xf5aa3ab6 @@ -171190,6 +213988,8 @@ 0 0 0 +0 +4 1 0x7a4c 0x9a1a4d0a @@ -171198,6 +213998,8 @@ 1 0 0 +0 +0 4 0xd1df 0xe443191 @@ -171206,6 +214008,8 @@ 0 0 0 +4 +2 2 0x55df 0x59756d1 @@ -171215,6 +214019,8 @@ 0 0 3 +2 +3 0x2659 0xb28ae067 256 @@ -171222,6 +214028,8 @@ 1 0 0 +2 +3 4 0x346a 0x11896b2f @@ -171231,6 +214039,8 @@ 0 0 1 +0 +1 0xce52 0xe3cf1c9f 256 @@ -171238,6 +214048,8 @@ 1 1 0 +3 +0 4 0xfda9 0xd633ded6 @@ -171246,6 +214058,8 @@ 1 0 0 +1 +5 2 0xbc17 0x3f9e71cb @@ -171254,6 +214068,8 @@ 1 1 0 +3 +3 4 0xa282 0x25fbe59b @@ -171262,6 +214078,8 @@ 1 0 0 +0 +4 4 0xba32 0x96ec8843 @@ -171270,6 +214088,8 @@ 0 0 0 +0 +0 3 0xa34d 0x8a88565c @@ -171278,6 +214098,8 @@ 1 0 0 +3 +0 4 0x70aa 0x393a504d @@ -171286,6 +214108,8 @@ 0 0 0 +1 +0 4 0xb0fe 0xdaddbb0d @@ -171294,6 +214118,8 @@ 1 1 0 +4 +1 2 0x9bdc 0xef448a9d @@ -171302,6 +214128,8 @@ 1 0 0 +3 +4 2 0xcfef 0xdbfb583 @@ -171310,6 +214138,8 @@ 0 0 0 +0 +4 3 0x1f86 0x9484e2fe @@ -171318,6 +214148,8 @@ 0 0 0 +5 +1 4 0x2946 0x1a06a4db @@ -171326,6 +214158,8 @@ 0 0 0 +0 +0 3 0x65b6 0x84dea365 @@ -171334,6 +214168,8 @@ 0 0 0 +0 +1 4 0xaf08 0x5750f5e7 @@ -171342,6 +214178,8 @@ 0 0 0 +0 +4 1 0x7afa 0xa0f5d110 @@ -171350,6 +214188,8 @@ 0 0 0 +2 +2 1 0xd584 0x59f4ccad @@ -171358,6 +214198,8 @@ 0 0 0 +0 +2 4 0x7c2c 0x57614cbc @@ -171367,6 +214209,8 @@ 1 0 3 +0 +3 0xf6d6 0x3a10de44 256 @@ -171374,6 +214218,8 @@ 0 0 0 +4 +0 1 0xb2e9 0xb9039696 @@ -171382,6 +214228,8 @@ 1 0 0 +4 +2 1 0xf908 0x87c25348 @@ -171390,6 +214238,8 @@ 1 0 0 +2 +3 4 0x5c72 0xfac43a10 @@ -171398,6 +214248,8 @@ 0 0 0 +0 +0 2 0x1538 0x1d03c5a4 @@ -171406,6 +214258,8 @@ 1 1 0 +3 +4 2 0x66ae 0x9e2eee7e @@ -171414,6 +214268,8 @@ 1 1 0 +4 +3 1 0xf9b2 0xce1952bc @@ -171422,6 +214278,8 @@ 0 0 0 +5 +4 2 0x73fe 0xe1327615 @@ -171430,6 +214288,8 @@ 0 0 0 +5 +2 4 0x7958 0x61d27165 @@ -171438,6 +214298,8 @@ 1 1 0 +5 +4 1 0xb0a2 0x2c6cecbd @@ -171446,6 +214308,8 @@ 0 0 0 +1 +1 3 0x77a2 0x4ab3a5ab @@ -171454,6 +214318,8 @@ 1 1 0 +4 +5 3 0x534b 0xb374fcc9 @@ -171462,6 +214328,8 @@ 1 0 0 +5 +0 3 0xc659 0xa16ce135 @@ -171470,6 +214338,8 @@ 1 0 0 +1 +0 4 0xcfab 0x4b644255 @@ -171478,6 +214348,8 @@ 1 1 0 +3 +3 1 0xbde0 0x5e56e7aa @@ -171486,6 +214358,8 @@ 0 0 0 +2 +5 1 0x5c91 0x9c51f0b4 @@ -171495,6 +214369,8 @@ 0 0 1 +4 +1 0x6df 0x8a542c18 256 @@ -171502,6 +214378,8 @@ 1 0 0 +4 +0 1 0x213c 0x44f77857 @@ -171511,6 +214389,8 @@ 0 0 3 +2 +3 0x4735 0x51a3146b 256 @@ -171519,6 +214399,8 @@ 1 0 1 +1 +1 0x848d 0x58057180 256 @@ -171526,6 +214408,8 @@ 1 0 0 +0 +3 4 0x1a 0x535d91b5 @@ -171534,6 +214418,8 @@ 0 0 0 +0 +0 3 0xb34 0x95e16dc3 @@ -171542,6 +214428,8 @@ 1 0 0 +4 +0 2 0xb025 0xf5da5911 @@ -171550,6 +214438,8 @@ 1 0 0 +0 +4 4 0xa25f 0xea51239 @@ -171558,6 +214448,8 @@ 1 1 0 +5 +1 1 0xd4a6 0x168e2f17 @@ -171566,6 +214458,8 @@ 1 0 0 +0 +3 2 0x11f1 0xfe6638ac @@ -171574,6 +214468,8 @@ 0 0 0 +5 +1 3 0x8219 0x6f4a54d3 @@ -171582,6 +214478,8 @@ 0 0 0 +4 +3 2 0xbbab 0x8e6970f2 @@ -171590,6 +214488,8 @@ 0 0 0 +0 +3 4 0xe6f7 0x5b80045 @@ -171598,6 +214498,8 @@ 0 0 0 +4 +0 1 0x316 0x9870e010 @@ -171606,6 +214508,8 @@ 0 0 0 +2 +4 1 0x3801 0x6fbfff1a @@ -171615,6 +214519,8 @@ 1 0 3 +1 +3 0x2875 0xdc3b6109 256 @@ -171622,6 +214528,8 @@ 1 1 0 +2 +1 4 0x9925 0xa66899ba @@ -171630,6 +214538,8 @@ 0 0 0 +3 +4 1 0x50e 0xa92027cd @@ -171638,6 +214548,8 @@ 1 1 0 +4 +2 1 0xbb5c 0xa33b9358 @@ -171646,6 +214558,8 @@ 0 0 0 +0 +5 4 0x3af0 0xfe954128 @@ -171654,6 +214568,8 @@ 0 0 0 +1 +3 4 0x1e87 0x1e19ddc1 @@ -171662,6 +214578,8 @@ 1 0 0 +4 +5 3 0xe6c8 0x6b35cce8 @@ -171670,6 +214588,8 @@ 0 0 0 +5 +3 3 0xa17f 0x86b94503 @@ -171678,6 +214598,8 @@ 0 0 0 +4 +1 1 0x5f51 0xded6019e @@ -171687,6 +214609,8 @@ 0 0 4 +2 +4 0x324b 0x2f3be943 256 @@ -171694,6 +214618,8 @@ 0 0 0 +0 +4 3 0x50d8 0xb4074c @@ -171702,6 +214628,8 @@ 0 0 0 +0 +5 3 0xdf2d 0x837a0465 @@ -171710,6 +214638,8 @@ 1 0 0 +5 +3 1 0xe8bc 0xc8dbd754 @@ -171719,6 +214649,8 @@ 0 0 2 +1 +2 0x1fc 0xd3e4bff5 256 @@ -171727,6 +214659,8 @@ 0 0 4 +5 +4 0x6cdf 0x8cf7c9d0 256 @@ -171734,6 +214668,8 @@ 1 0 0 +3 +1 1 0x316 0x30ccc019 @@ -171742,6 +214678,8 @@ 1 1 0 +3 +5 4 0xc3ab 0x6575098e @@ -171750,6 +214688,8 @@ 0 0 0 +3 +2 2 0x6f03 0x6b7c2c2f @@ -171758,6 +214698,8 @@ 1 0 0 +5 +4 2 0x544c 0x8229b086 @@ -171766,6 +214708,8 @@ 0 0 0 +1 +3 3 0x6b52 0x885b7499 @@ -171774,6 +214718,8 @@ 1 0 0 +2 +4 1 0x7899 0x91f33794 @@ -171782,6 +214728,8 @@ 1 0 0 +0 +2 1 0x1070 0x41b8cc12 @@ -171790,6 +214738,8 @@ 1 0 0 +4 +0 3 0x70ad 0x9092dc30 @@ -171798,6 +214748,8 @@ 1 0 0 +0 +1 2 0x4218 0x90d0a4eb @@ -171806,6 +214758,8 @@ 0 0 0 +1 +4 2 0x992b 0x382727a2 @@ -171815,6 +214769,8 @@ 0 0 1 +4 +1 0xf893 0xf203246e 256 @@ -171822,6 +214778,8 @@ 0 0 0 +2 +5 3 0x6b22 0x30fdf268 @@ -171830,6 +214788,8 @@ 0 0 0 +0 +1 1 0xee50 0x9334d327 @@ -171838,6 +214798,8 @@ 0 0 0 +5 +4 2 0x5cb8 0x92ed4f6c @@ -171846,6 +214808,8 @@ 0 0 0 +4 +1 2 0xbfd0 0x702073a6 @@ -171854,6 +214818,8 @@ 1 0 0 +0 +5 4 0x1e78 0x30eb0b8a @@ -171862,6 +214828,8 @@ 0 0 0 +0 +5 1 0xb5f7 0x8bae242 @@ -171871,6 +214839,8 @@ 0 0 3 +2 +3 0x5889 0xf46dbc58 256 @@ -171878,6 +214848,8 @@ 0 0 0 +2 +0 1 0x3870 0x546a6d00 @@ -171886,6 +214858,8 @@ 1 1 0 +5 +2 2 0x7a39 0xd3cba22c @@ -171895,6 +214869,8 @@ 0 0 4 +4 +4 0x720a 0x5daaaac5 256 @@ -171902,6 +214878,8 @@ 1 1 0 +5 +0 1 0x9443 0xc483e117 @@ -171910,6 +214888,8 @@ 1 1 0 +0 +5 3 0x9858 0xba2af119 @@ -171918,6 +214898,8 @@ 1 1 0 +1 +0 2 0x8c91 0x1abaf5c0 @@ -171926,6 +214908,8 @@ 1 1 0 +4 +1 3 0x229a 0x8c0ca4be @@ -171934,6 +214918,8 @@ 1 1 0 +4 +0 2 0x6604 0x44086b56 @@ -171943,6 +214929,8 @@ 0 0 4 +5 +4 0xd1d8 0x65316f8b 256 @@ -171950,6 +214938,8 @@ 0 0 0 +0 +2 3 0x7e00 0x8124d1ae @@ -171958,6 +214948,8 @@ 0 0 0 +1 +3 2 0x7882 0x5fe7a168 @@ -171967,6 +214959,8 @@ 1 0 3 +4 +3 0x3e72 0x63c6af37 256 @@ -171974,6 +214968,8 @@ 1 1 0 +1 +5 2 0x143f 0xe5426a4e @@ -171983,6 +214979,8 @@ 1 0 1 +3 +1 0x8b0f 0x466381ae 256 @@ -171990,6 +214988,8 @@ 1 0 0 +1 +1 3 0xcf9b 0x63025a84 @@ -171998,6 +214998,8 @@ 1 0 0 +5 +2 2 0x5af5 0x1e1ade5b @@ -172006,6 +215008,8 @@ 1 0 0 +4 +1 3 0xd26f 0xbf116107 @@ -172014,6 +215018,8 @@ 1 0 0 +2 +3 4 0xa295 0xc3dcbd88 @@ -172022,6 +215028,8 @@ 0 0 0 +3 +2 4 0x381e 0xb707e2b7 @@ -172030,6 +215038,8 @@ 0 0 0 +3 +2 4 0x1bc2 0x8f2bf6a @@ -172038,6 +215048,8 @@ 1 0 0 +5 +0 3 0x724e 0x93abbab4 @@ -172046,6 +215058,8 @@ 0 0 0 +0 +1 3 0x17d5 0xe0fd59db @@ -172054,6 +215068,8 @@ 1 0 0 +4 +1 2 0xee0e 0x2302420d @@ -172062,6 +215078,8 @@ 1 1 0 +0 +3 1 0x10a1 0x4bb1d080 @@ -172070,6 +215088,8 @@ 0 0 0 +2 +3 3 0x219f 0x1cba313 @@ -172078,6 +215098,8 @@ 0 0 0 +2 +3 4 0xd2c 0xffb093fe @@ -172086,6 +215108,8 @@ 0 0 0 +2 +2 4 0xa11d 0x7b29f58e @@ -172094,6 +215118,8 @@ 0 0 0 +1 +3 4 0xf67b 0xa30317f @@ -172102,6 +215128,8 @@ 0 0 0 +2 +4 4 0x5b5 0x17ef77e6 @@ -172110,6 +215138,8 @@ 1 0 0 +2 +3 1 0xab86 0xaf59da61 @@ -172118,6 +215148,8 @@ 1 1 0 +3 +0 2 0x621 0x24de8c55 @@ -172126,6 +215158,8 @@ 1 0 0 +3 +2 2 0x868 0x35d316e9 @@ -172134,6 +215168,8 @@ 0 0 0 +0 +4 1 0xd55a 0x3a47d465 @@ -172142,6 +215178,8 @@ 1 0 0 +0 +0 2 0xdde6 0x330e287d @@ -172150,6 +215188,8 @@ 1 0 0 +0 +5 2 0xe5cd 0xa100896f @@ -172159,6 +215199,8 @@ 1 0 2 +2 +2 0x894f 0xb41e3c4b 256 @@ -172166,6 +215208,8 @@ 0 0 0 +1 +1 3 0xa479 0x52286695 @@ -172175,6 +215219,8 @@ 1 0 1 +3 +1 0xedad 0x84cb841d 256 @@ -172182,6 +215228,8 @@ 0 0 0 +2 +2 4 0x3bad 0x5fb3afde @@ -172190,6 +215238,8 @@ 1 1 0 +2 +3 4 0x9057 0x204872a9 @@ -172198,6 +215248,8 @@ 0 0 0 +4 +1 3 0x65ce 0x3fe8950 @@ -172206,6 +215258,8 @@ 0 0 0 +2 +3 4 0x724 0x3c28c70d @@ -172214,6 +215268,8 @@ 1 0 0 +2 +1 3 0x6f54 0xceba13a @@ -172222,6 +215278,8 @@ 1 1 0 +1 +5 4 0x34bc 0x388cbc22 @@ -172230,6 +215288,8 @@ 1 1 0 +5 +2 2 0x6796 0xac9048af @@ -172238,6 +215298,8 @@ 0 0 0 +1 +4 2 0x8f07 0xec797571 @@ -172246,6 +215308,8 @@ 0 0 0 +2 +5 4 0x905d 0x3a596a1b @@ -172254,6 +215318,8 @@ 1 1 0 +5 +5 2 0x66bc 0xca7259b7 @@ -172262,6 +215328,8 @@ 0 0 0 +4 +1 3 0xf200 0xa4d64448 @@ -172270,6 +215338,8 @@ 1 0 0 +1 +5 4 0xfc40 0xb0022d96 @@ -172278,6 +215348,8 @@ 1 0 0 +3 +2 2 0x84fd 0x9c7aaf37 @@ -172286,6 +215358,8 @@ 1 0 0 +5 +1 4 0x6894 0x87fdd8c0 @@ -172295,6 +215369,8 @@ 0 0 2 +1 +2 0x7659 0x86513fe3 256 @@ -172303,6 +215379,8 @@ 0 0 2 +2 +2 0x13f7 0xb2435ab7 256 @@ -172310,6 +215388,8 @@ 1 0 0 +3 +2 1 0xa92b 0x67a5ecc2 @@ -172318,6 +215398,8 @@ 1 0 0 +2 +0 1 0x2beb 0x2b362f3 @@ -172326,6 +215408,8 @@ 1 0 0 +5 +1 1 0xfeff 0x9e0e2c2a @@ -172334,6 +215418,8 @@ 1 1 0 +2 +2 3 0xafb3 0x574a9e1b @@ -172343,6 +215429,8 @@ 1 0 4 +5 +4 0x18bd 0x3dcabf7d 256 @@ -172350,6 +215438,8 @@ 0 0 0 +0 +0 4 0xd902 0xf836b567 @@ -172358,6 +215448,8 @@ 1 1 0 +5 +0 2 0x1ef1 0xc22ee081 @@ -172366,6 +215458,8 @@ 1 1 0 +0 +2 2 0x79f8 0x4395d601 @@ -172374,6 +215468,8 @@ 1 1 0 +0 +4 2 0xe34f 0x607b9569 @@ -172382,6 +215478,8 @@ 1 1 0 +2 +2 1 0x8ab2 0x7d90dbe @@ -172390,6 +215488,8 @@ 0 0 0 +3 +3 2 0xa536 0x45db6e63 @@ -172398,6 +215498,8 @@ 0 0 0 +2 +5 3 0x5d39 0x8e75a5fe @@ -172406,6 +215508,8 @@ 0 0 0 +3 +1 2 0xcbd6 0xd73a620f @@ -172414,6 +215518,8 @@ 0 0 0 +5 +0 2 0x736d 0x43ed089b @@ -172423,6 +215529,8 @@ 1 0 4 +0 +4 0xe682 0x64df0975 256 @@ -172430,6 +215538,8 @@ 0 0 0 +4 +1 2 0xa046 0xb33b0c88 @@ -172439,6 +215549,8 @@ 0 0 3 +5 +3 0x8fe3 0x8eca63c2 256 @@ -172446,6 +215558,8 @@ 0 0 0 +2 +2 3 0x5fd3 0xadaee4a8 @@ -172454,6 +215568,8 @@ 0 0 0 +3 +4 1 0x6fa7 0xe31c653b @@ -172462,6 +215578,8 @@ 1 0 0 +0 +0 4 0x8bf8 0x192381cc @@ -172470,6 +215588,8 @@ 0 0 0 +5 +3 4 0xa33a 0x4d4185d7 @@ -172478,6 +215598,8 @@ 1 0 0 +5 +2 2 0xb0bf 0x33024aaf @@ -172487,6 +215609,8 @@ 0 0 3 +4 +3 0x324e 0x412eb395 256 @@ -172494,6 +215618,8 @@ 0 0 0 +0 +4 2 0x4e64 0xaa911fa1 @@ -172502,6 +215628,8 @@ 1 1 0 +3 +4 2 0xf052 0x3bc26e2 @@ -172511,6 +215639,8 @@ 1 0 2 +5 +2 0x28df 0x7804b30b 256 @@ -172518,6 +215648,8 @@ 1 1 0 +0 +3 2 0x15b0 0x3581ea07 @@ -172527,6 +215659,8 @@ 0 0 1 +2 +1 0xee71 0xb91a3a72 256 @@ -172534,6 +215668,8 @@ 1 1 0 +0 +3 3 0xede8 0xf6675c17 @@ -172542,6 +215678,8 @@ 1 0 0 +2 +0 4 0x978e 0xeea84868 @@ -172550,6 +215688,8 @@ 1 0 0 +3 +1 1 0xae4c 0xf3461865 @@ -172558,6 +215698,8 @@ 0 0 0 +5 +3 2 0x1e97 0x55c5e69e @@ -172566,6 +215708,8 @@ 0 0 0 +0 +2 4 0x291d 0x576e93b4 @@ -172574,6 +215718,8 @@ 0 0 0 +4 +3 2 0x6052 0x45c8f39d @@ -172583,6 +215729,8 @@ 0 0 1 +5 +1 0x1dc9 0xede3af56 256 @@ -172590,6 +215738,8 @@ 0 0 0 +4 +0 3 0x1cc8 0x107d94ca @@ -172598,6 +215748,8 @@ 1 1 0 +5 +1 4 0x875 0x1f5e97fb @@ -172606,6 +215758,8 @@ 1 1 0 +1 +5 2 0x537b 0xed0e4e36 @@ -172614,6 +215768,8 @@ 1 0 0 +5 +1 4 0x49a3 0x17f373ed @@ -172623,6 +215779,8 @@ 1 0 3 +1 +3 0xc7e5 0x7d6be9c6 256 @@ -172630,6 +215788,8 @@ 0 0 0 +1 +0 4 0x4886 0x3cb0c7df @@ -172638,6 +215798,8 @@ 0 0 0 +2 +4 3 0x991 0x5a9418d6 @@ -172646,6 +215808,8 @@ 1 0 0 +0 +3 1 0xabab 0xb108f059 @@ -172654,6 +215818,8 @@ 1 0 0 +5 +0 2 0xa1f6 0x269c4d14 @@ -172662,6 +215828,8 @@ 1 1 0 +3 +1 2 0x12af 0x14cf1017 @@ -172670,6 +215838,8 @@ 0 0 0 +1 +3 3 0xac33 0x2814018d @@ -172678,6 +215848,8 @@ 0 0 0 +2 +4 4 0xd859 0xdc4fa75c @@ -172686,6 +215858,8 @@ 1 0 0 +5 +3 1 0xb25 0x272c2c55 @@ -172694,6 +215868,8 @@ 1 0 0 +3 +2 4 0x3a 0x1b8c0b44 @@ -172702,6 +215878,8 @@ 0 0 0 +1 +0 2 0xc6b8 0x3c806ab9 @@ -172711,6 +215889,8 @@ 0 0 2 +0 +2 0xd574 0x2d033741 256 @@ -172718,6 +215898,8 @@ 0 0 0 +4 +5 1 0x9849 0xc6ec42a1 @@ -172727,6 +215909,8 @@ 0 0 2 +1 +2 0x247d 0x1848e5ff 256 @@ -172734,6 +215918,8 @@ 0 0 0 +4 +5 3 0x1182 0x15718bd4 @@ -172742,6 +215928,8 @@ 0 0 0 +0 +0 3 0xe1bd 0x4c8ff707 @@ -172750,6 +215938,8 @@ 1 1 0 +5 +1 3 0x8bd0 0xc867a1e6 @@ -172758,6 +215948,8 @@ 0 0 0 +4 +5 2 0x295a 0x1409b189 @@ -172766,6 +215958,8 @@ 1 0 0 +4 +5 1 0xb0dd 0xe828c280 @@ -172774,6 +215968,8 @@ 1 1 0 +2 +0 4 0xcddd 0xe5a15d60 @@ -172783,6 +215979,8 @@ 0 0 1 +0 +1 0xcbd4 0x8d1466fd 256 @@ -172790,6 +215988,8 @@ 0 0 0 +5 +1 4 0x15f0 0xd26eb014 @@ -172798,6 +215998,8 @@ 0 0 0 +0 +1 2 0xe91 0xc6dc04b1 @@ -172806,6 +216008,8 @@ 1 1 0 +4 +3 1 0xaa7 0x7c283345 @@ -172814,6 +216018,8 @@ 0 0 0 +5 +3 4 0x9c83 0xcd490c83 @@ -172822,6 +216028,8 @@ 1 1 0 +5 +3 3 0x1d29 0x3832b557 @@ -172830,6 +216038,8 @@ 1 1 0 +0 +2 3 0xab5c 0x6e18086a @@ -172838,6 +216048,8 @@ 0 0 0 +2 +2 4 0x8ba2 0x9497a41f @@ -172846,6 +216058,8 @@ 1 1 0 +4 +0 2 0x77bd 0x425bb2eb @@ -172854,6 +216068,8 @@ 0 0 0 +1 +0 3 0x205b 0xb7c209a9 @@ -172862,6 +216078,8 @@ 0 0 0 +3 +0 2 0x109e 0x23c30a07 @@ -172870,6 +216088,8 @@ 0 0 0 +4 +5 2 0x8fa5 0x1990132c @@ -172878,6 +216098,8 @@ 0 0 0 +3 +3 2 0xd755 0x3f72e040 @@ -172886,6 +216108,8 @@ 1 1 0 +5 +3 2 0x90d9 0x40f6cba @@ -172894,6 +216118,8 @@ 0 0 0 +0 +0 2 0x7d86 0x7d81efce @@ -172902,6 +216128,8 @@ 0 0 0 +1 +0 3 0x10bf 0x9cf15e95 @@ -172910,6 +216138,8 @@ 0 0 0 +2 +0 4 0x567 0xd5f05f00 @@ -172918,6 +216148,8 @@ 1 0 0 +0 +0 4 0x7900 0x766f2a0c @@ -172926,6 +216158,8 @@ 1 0 0 +0 +0 4 0x3dd8 0x46e9644 @@ -172934,6 +216168,8 @@ 0 0 0 +5 +4 3 0xf866 0x12926bbe @@ -172943,6 +216179,8 @@ 0 0 2 +2 +2 0xb8c1 0xfb49f4f8 256 @@ -172950,6 +216188,8 @@ 1 0 0 +5 +1 2 0x392e 0x1d580bcc @@ -172959,6 +216199,8 @@ 0 0 1 +0 +1 0xcfac 0x760568da 256 @@ -172966,6 +216208,8 @@ 1 1 0 +4 +3 1 0x6a9a 0xd762308e @@ -172974,6 +216218,8 @@ 0 0 0 +2 +1 4 0xc632 0xd32ab0cb @@ -172982,6 +216228,8 @@ 0 0 0 +5 +2 2 0x5b2f 0xff4fcd3c @@ -172991,6 +216239,8 @@ 0 0 4 +5 +4 0xa893 0x815b1f65 256 @@ -172998,6 +216248,8 @@ 1 1 0 +0 +4 4 0x44a4 0xeb434004 @@ -173006,6 +216258,8 @@ 0 0 0 +5 +0 2 0x9609 0x1dd45455 @@ -173015,6 +216269,8 @@ 1 0 4 +3 +4 0x96bf 0x35ca02f3 256 @@ -173022,6 +216278,8 @@ 0 0 0 +2 +0 3 0xdfb3 0xfb40716d @@ -173031,6 +216289,8 @@ 0 0 1 +4 +1 0xe66f 0xbc30312e 256 @@ -173038,6 +216298,8 @@ 0 0 0 +5 +1 1 0x308d 0xd481abe9 @@ -173046,6 +216308,8 @@ 0 0 0 +2 +4 3 0x69a9 0x36d7e896 @@ -173055,6 +216319,8 @@ 0 0 3 +3 +3 0x8563 0x38abf368 256 @@ -173062,6 +216328,8 @@ 1 1 0 +5 +2 3 0x477 0x9443cb1d @@ -173070,6 +216338,8 @@ 0 0 0 +0 +3 4 0xec40 0x5f57c7ce @@ -173079,6 +216349,8 @@ 0 0 1 +3 +1 0x6743 0x6c69553e 256 @@ -173086,6 +216358,8 @@ 1 0 0 +3 +1 1 0x8d58 0xe84ad28c @@ -173094,6 +216368,8 @@ 0 0 0 +1 +4 3 0x7f51 0xe392ef7d @@ -173103,6 +216379,8 @@ 0 0 2 +0 +2 0xc871 0x83f46839 256 @@ -173110,6 +216388,8 @@ 1 1 0 +4 +3 1 0x3ce0 0x8667eff2 @@ -173118,6 +216398,8 @@ 1 1 0 +4 +1 3 0x1520 0x3125e6cf @@ -173126,6 +216408,8 @@ 1 0 0 +5 +2 3 0xa2dd 0x9de94641 @@ -173134,6 +216418,8 @@ 1 1 0 +1 +5 3 0x4e1f 0xb896f915 @@ -173142,6 +216428,8 @@ 0 0 0 +0 +4 1 0x3d61 0x1067842b @@ -173150,6 +216438,8 @@ 1 0 0 +4 +4 2 0x3640 0x7838d605 @@ -173158,6 +216448,8 @@ 0 0 0 +4 +3 1 0xbe4 0xb9ecccdf @@ -173166,6 +216458,8 @@ 1 0 0 +3 +2 4 0xfc55 0xe6067cc1 @@ -173174,6 +216468,8 @@ 0 0 0 +3 +5 2 0xc14 0x66292e73 @@ -173182,6 +216478,8 @@ 1 0 0 +4 +2 3 0x8b82 0x7809f2ce @@ -173190,6 +216488,8 @@ 1 1 0 +3 +3 2 0xb036 0x78b0136a @@ -173198,6 +216498,8 @@ 0 0 0 +0 +3 3 0x7f89 0xaa7c7631 @@ -173207,6 +216509,8 @@ 0 0 4 +4 +4 0x774a 0x9593dead 256 @@ -173214,6 +216518,8 @@ 0 0 0 +5 +3 3 0x5310 0xf925281a @@ -173222,6 +216528,8 @@ 1 0 0 +0 +2 2 0xef0a 0x11b3e85d @@ -173230,6 +216538,8 @@ 1 1 0 +5 +4 4 0xec55 0x311953ea @@ -173238,6 +216548,8 @@ 0 0 0 +2 +0 3 0x9ad8 0x4ccbf447 @@ -173246,6 +216558,8 @@ 0 0 0 +4 +0 3 0xbd0e 0xd75c73cb @@ -173254,6 +216568,8 @@ 0 0 0 +5 +0 4 0xe094 0x54fa9bb1 @@ -173263,6 +216579,8 @@ 0 0 2 +5 +2 0xf8a0 0xcb7028ec 256 @@ -173270,6 +216588,8 @@ 0 0 0 +5 +5 2 0xc04c 0x6573304e @@ -173278,6 +216598,8 @@ 1 0 0 +5 +0 4 0x1f39 0xd164012b @@ -173286,6 +216608,8 @@ 0 0 0 +1 +5 2 0xbdf9 0x24ae7431 @@ -173294,6 +216618,8 @@ 0 0 0 +4 +3 3 0x1c40 0x9f58fb05 @@ -173302,6 +216628,8 @@ 1 1 0 +4 +5 2 0xd7da 0x7fd3b385 @@ -173310,6 +216638,8 @@ 0 0 0 +0 +0 4 0x9074 0x9f66b8d2 @@ -173319,6 +216649,8 @@ 0 0 4 +1 +4 0x5fae 0xd138632f 256 @@ -173326,6 +216658,8 @@ 0 0 0 +4 +4 3 0x6ab8 0xcdd752ce @@ -173334,6 +216668,8 @@ 0 0 0 +5 +2 2 0x2930 0x7652637a @@ -173342,6 +216678,8 @@ 1 1 0 +3 +2 4 0x726c 0xed5ff655 @@ -173351,6 +216689,8 @@ 1 0 1 +0 +1 0x8913 0x91f050e4 256 @@ -173358,6 +216698,8 @@ 1 0 0 +0 +3 4 0x9bf0 0x6bc97f34 @@ -173366,6 +216708,8 @@ 0 0 0 +0 +5 2 0x8386 0x7e00f48e @@ -173374,6 +216718,8 @@ 0 0 0 +4 +1 1 0xa42e 0x20926f55 @@ -173382,6 +216728,8 @@ 1 0 0 +3 +4 4 0xa128 0x9b60aabf @@ -173391,6 +216739,8 @@ 0 0 4 +5 +4 0xa809 0xba169c26 256 @@ -173399,6 +216749,8 @@ 0 0 1 +2 +1 0xec21 0xc8a5d081 256 @@ -173406,6 +216758,8 @@ 1 1 0 +4 +3 1 0x757b 0xd3ce0af4 @@ -173414,6 +216768,8 @@ 1 1 0 +1 +2 4 0x6890 0xa2cca775 @@ -173422,6 +216778,8 @@ 1 1 0 +4 +5 3 0xddc4 0x5ccee303 @@ -173430,6 +216788,8 @@ 0 0 0 +0 +3 2 0x7855 0xf57c7d59 @@ -173438,6 +216798,8 @@ 0 0 0 +1 +4 2 0x5e96 0xd484b8e5 @@ -173447,6 +216809,8 @@ 0 0 3 +0 +3 0x99f6 0x5c8d7f58 256 @@ -173455,6 +216819,8 @@ 0 0 1 +4 +1 0x1159 0x9009f34d 256 @@ -173462,6 +216828,8 @@ 1 0 0 +4 +3 2 0x1586 0x723b1cdd @@ -173470,6 +216838,8 @@ 1 0 0 +2 +0 1 0x2c81 0xfc2b67db @@ -173479,6 +216849,8 @@ 0 0 1 +4 +1 0x1d8c 0x34ad6602 256 @@ -173486,6 +216858,8 @@ 0 0 0 +4 +4 3 0x6e83 0x3ec2fcba @@ -173494,6 +216868,8 @@ 0 0 0 +5 +3 4 0x6a67 0x6888e958 @@ -173502,6 +216878,8 @@ 1 1 0 +0 +4 1 0x3c25 0x763f02ff @@ -173510,6 +216888,8 @@ 1 1 0 +4 +1 1 0x3d4c 0xff2c4f0 @@ -173518,6 +216898,8 @@ 1 0 0 +4 +4 1 0xe952 0x2770efe3 @@ -173526,6 +216908,8 @@ 0 0 0 +0 +4 3 0x69b2 0x8c2c7993 @@ -173534,6 +216918,8 @@ 0 0 0 +3 +2 4 0xd410 0x21fcfbdb @@ -173543,6 +216929,8 @@ 0 0 3 +2 +3 0xc79f 0x84fbb08e 256 @@ -173551,6 +216939,8 @@ 0 0 4 +0 +4 0x75ed 0xdd06a088 256 @@ -173559,6 +216949,8 @@ 0 0 1 +4 +1 0x3ad6 0x8b9502f9 256 @@ -173566,6 +216958,8 @@ 1 1 0 +0 +1 4 0x9292 0x8809e3ea @@ -173574,6 +216968,8 @@ 1 0 0 +2 +5 4 0x9dde 0xb1ed869a @@ -173582,6 +216978,8 @@ 0 0 0 +0 +1 2 0xe13e 0xf28bbc01 @@ -173590,6 +216988,8 @@ 0 0 0 +2 +1 3 0xdc32 0xd068883f @@ -173598,6 +216998,8 @@ 0 0 0 +5 +2 1 0x473a 0x6aacbb34 @@ -173606,6 +217008,8 @@ 0 0 0 +5 +3 2 0xc82e 0x723380a2 @@ -173614,6 +217018,8 @@ 0 0 0 +0 +0 3 0x28bd 0xfb58413 @@ -173622,6 +217028,8 @@ 0 0 0 +1 +2 4 0xa5b2 0xd2382c71 @@ -173630,6 +217038,8 @@ 1 1 0 +0 +1 4 0x9ec7 0xab2304e1 @@ -173638,6 +217048,8 @@ 0 0 0 +4 +4 3 0xa682 0xc678cc18 @@ -173646,6 +217058,8 @@ 0 0 0 +0 +5 1 0xd5a2 0xbe3318ae @@ -173655,6 +217069,8 @@ 1 0 3 +4 +3 0x3ccf 0x821a94e 256 @@ -173662,6 +217078,8 @@ 1 1 0 +2 +1 3 0x970b 0x956963bb @@ -173670,6 +217088,8 @@ 0 0 0 +2 +1 4 0x2767 0xb4d6c100 @@ -173678,6 +217098,8 @@ 0 0 0 +0 +4 2 0xa5d3 0xa3a38ff9 @@ -173687,6 +217109,8 @@ 1 0 3 +2 +3 0x2282 0x2fe154ea 256 @@ -173694,6 +217118,8 @@ 1 0 0 +2 +1 1 0xdc2a 0x98d122c2 @@ -173702,6 +217128,8 @@ 1 0 0 +1 +5 3 0x3b76 0x5522d72 @@ -173710,6 +217138,8 @@ 0 0 0 +5 +2 3 0x280 0xd1b2d20 @@ -173719,6 +217149,8 @@ 0 0 3 +5 +3 0x7f39 0x51a9c147 256 @@ -173727,6 +217159,8 @@ 1 0 3 +3 +3 0x7f4a 0x9ed526a7 256 @@ -173734,6 +217168,8 @@ 1 0 0 +3 +1 2 0xcef 0x5c50c29b @@ -173742,6 +217178,8 @@ 0 0 0 +0 +4 1 0x7baa 0x18470474 @@ -173750,6 +217188,8 @@ 0 0 0 +2 +5 3 0x9887 0x97473030 @@ -173758,6 +217198,8 @@ 0 0 0 +5 +0 4 0x8c9b 0xbc42570d @@ -173767,6 +217209,8 @@ 0 0 2 +4 +2 0xd337 0x5cab07b4 256 @@ -173774,6 +217218,8 @@ 1 1 0 +4 +0 1 0xe9d2 0xe6f2b3c9 @@ -173783,6 +217229,8 @@ 0 0 1 +3 +1 0x6536 0xc81a1a90 256 @@ -173790,6 +217238,8 @@ 0 0 0 +4 +3 1 0x2869 0x3a64827f @@ -173798,6 +217248,8 @@ 1 0 0 +1 +2 3 0x7cc4 0xef3b7e56 @@ -173806,6 +217258,8 @@ 0 0 0 +0 +3 3 0xe358 0x4a4d43ae @@ -173814,6 +217268,8 @@ 0 0 0 +3 +3 4 0xf37e 0xfa5032e2 @@ -173822,6 +217278,8 @@ 1 1 0 +2 +4 1 0xbf35 0x8abde8f7 @@ -173830,6 +217288,8 @@ 1 1 0 +3 +2 1 0x9f0a 0x362eaa9 @@ -173838,6 +217298,8 @@ 0 0 0 +5 +4 2 0x66cf 0x4613b2c8 @@ -173846,6 +217308,8 @@ 0 0 0 +3 +5 2 0xc13b 0x557d734e @@ -173854,6 +217318,8 @@ 1 1 0 +3 +5 2 0xc1b6 0xb9636e82 @@ -173862,6 +217328,8 @@ 1 1 0 +2 +2 4 0xb9da 0x32294fcb @@ -173870,6 +217338,8 @@ 1 0 0 +3 +5 2 0x2c92 0x47a85307 @@ -173878,6 +217348,8 @@ 0 0 0 +0 +5 3 0xfced 0xfcdb1353 @@ -173886,6 +217358,8 @@ 1 1 0 +4 +0 2 0xebee 0x7132deb0 @@ -173894,6 +217368,8 @@ 1 1 0 +4 +3 1 0xf06c 0x74ce4482 @@ -173902,6 +217378,8 @@ 1 1 0 +0 +4 1 0x36d4 0xafec81c2 @@ -173910,6 +217388,8 @@ 0 0 0 +5 +2 4 0x44a3 0xe7a84263 @@ -173918,6 +217398,8 @@ 0 0 0 +4 +3 1 0x820d 0x24cc676b @@ -173927,6 +217409,8 @@ 0 0 2 +1 +2 0x510a 0x5b2109f3 256 @@ -173934,6 +217418,8 @@ 1 0 0 +5 +5 2 0xf2ee 0x48dfc1c4 @@ -173942,6 +217428,8 @@ 1 1 0 +0 +1 1 0x3908 0xe999906c @@ -173950,6 +217438,8 @@ 1 1 0 +4 +4 3 0x9ad7 0x53641540 @@ -173958,6 +217448,8 @@ 1 1 0 +0 +0 2 0x9c69 0x2fe0921d @@ -173966,6 +217458,8 @@ 1 0 0 +1 +1 2 0x8784 0x1babf4c @@ -173974,6 +217468,8 @@ 0 0 0 +2 +4 4 0x5597 0x30ff8f17 @@ -173982,6 +217478,8 @@ 1 0 0 +5 +3 3 0xe602 0x3c72d1da @@ -173990,6 +217488,8 @@ 1 0 0 +3 +5 2 0xcd30 0x316ab8e3 @@ -173998,6 +217498,8 @@ 1 0 0 +0 +2 4 0x2be4 0x852c5357 @@ -174006,6 +217508,8 @@ 0 0 0 +5 +4 3 0x902f 0xe465477b @@ -174015,6 +217519,8 @@ 0 0 2 +2 +2 0x20d 0x5297c55b 256 @@ -174022,6 +217528,8 @@ 0 0 0 +0 +3 2 0xa4ea 0x2a73a2c7 @@ -174030,6 +217538,8 @@ 1 0 0 +3 +4 2 0x2b5d 0x907697f7 @@ -174038,6 +217548,8 @@ 1 0 0 +0 +2 3 0x6288 0xc964cb2e @@ -174046,6 +217558,8 @@ 0 0 0 +0 +1 3 0x40af 0x16a9f810 @@ -174054,6 +217568,8 @@ 0 0 0 +5 +5 1 0x399f 0xe4549e7 @@ -174063,6 +217579,8 @@ 0 0 2 +5 +2 0xd357 0x8290cc85 256 @@ -174070,6 +217588,8 @@ 1 0 0 +0 +3 4 0x85fd 0xcf22efbb @@ -174078,6 +217598,8 @@ 1 1 0 +4 +3 1 0x791d 0xd953d923 @@ -174086,6 +217608,8 @@ 0 0 0 +4 +4 1 0x3fe7 0xcfd07176 @@ -174094,6 +217618,8 @@ 1 0 0 +5 +2 1 0xd011 0xbe1582cf @@ -174103,6 +217629,8 @@ 0 0 4 +0 +4 0x1a15 0xe389f1c0 256 @@ -174110,6 +217638,8 @@ 0 0 0 +1 +0 3 0xecfa 0x14651b9f @@ -174119,6 +217649,8 @@ 0 0 3 +4 +3 0x843e 0x67986999 256 @@ -174126,6 +217658,8 @@ 1 0 0 +3 +4 2 0x2735 0xdef039eb @@ -174134,6 +217668,8 @@ 0 0 0 +1 +4 2 0x1cda 0x73fe41be @@ -174142,6 +217678,8 @@ 1 1 0 +0 +3 2 0xef0d 0x22153659 @@ -174150,6 +217688,8 @@ 0 0 0 +5 +2 4 0x3ea 0xc6bc4f87 @@ -174158,6 +217698,8 @@ 1 0 0 +2 +4 1 0xf7e0 0xedd41342 @@ -174166,6 +217708,8 @@ 1 1 0 +0 +5 4 0xd3d7 0x215eb0fa @@ -174174,6 +217718,8 @@ 0 0 0 +0 +0 4 0x10ec 0x71e34ef1 @@ -174182,6 +217728,8 @@ 0 0 0 +2 +2 4 0x73df 0xcb071093 @@ -174191,6 +217739,8 @@ 0 0 1 +1 +1 0xc06d 0x41f38568 256 @@ -174198,6 +217748,8 @@ 1 0 0 +0 +5 4 0x399c 0xf026dbc6 @@ -174206,6 +217758,8 @@ 1 1 0 +0 +4 2 0xa36c 0x977c05b1 @@ -174214,6 +217768,8 @@ 1 0 0 +2 +4 3 0xb6c0 0x17b8491 @@ -174222,6 +217778,8 @@ 1 0 0 +0 +5 2 0xd6fb 0xa98fdff @@ -174230,6 +217788,8 @@ 0 0 0 +0 +0 1 0xec06 0x124cfa71 @@ -174239,6 +217799,8 @@ 1 0 3 +3 +3 0x847b 0xba790e5f 256 @@ -174246,6 +217808,8 @@ 1 1 0 +5 +3 2 0x8493 0xb66cd6ec @@ -174254,6 +217818,8 @@ 1 1 0 +1 +3 3 0xeb88 0x43091884 @@ -174262,6 +217828,8 @@ 1 1 0 +3 +3 4 0xeee9 0xcf5803e @@ -174270,6 +217838,8 @@ 1 1 0 +0 +5 3 0xd6b9 0xbdea452d @@ -174278,6 +217848,8 @@ 1 1 0 +0 +3 2 0x6892 0x8c5d3900 @@ -174286,6 +217858,8 @@ 1 1 0 +2 +4 4 0x7dc4 0x3ce7acff @@ -174294,6 +217868,8 @@ 0 0 0 +2 +0 4 0x9658 0x62c0bb6b @@ -174302,6 +217878,8 @@ 0 0 0 +0 +0 4 0x26b3 0x84beb205 @@ -174311,6 +217889,8 @@ 0 0 3 +0 +3 0x5dc4 0x1f4f8c5c 256 @@ -174318,6 +217898,8 @@ 0 0 0 +3 +1 2 0x6a4e 0x5a89a1ea @@ -174326,6 +217908,8 @@ 1 1 0 +5 +3 1 0xffd7 0xda4d15cf @@ -174334,6 +217918,8 @@ 1 1 0 +5 +4 1 0x45aa 0x4a0ef450 @@ -174342,6 +217928,8 @@ 0 0 0 +1 +5 3 0x1a56 0x1c71eb8b @@ -174350,6 +217938,8 @@ 0 0 0 +1 +5 3 0xd838 0xd9b007de @@ -174358,6 +217948,8 @@ 1 1 0 +3 +5 1 0xa538 0x865b028d @@ -174366,6 +217958,8 @@ 1 0 0 +4 +0 1 0x1936 0x644d9f40 @@ -174374,6 +217968,8 @@ 0 0 0 +1 +4 3 0xfaa8 0x54407107 @@ -174382,6 +217978,8 @@ 0 0 0 +2 +5 3 0x225d 0xa56f8190 @@ -174390,6 +217988,8 @@ 0 0 0 +4 +2 3 0xceb8 0x1177441e @@ -174398,6 +217998,8 @@ 1 1 0 +2 +3 4 0xe0c6 0x42706b68 @@ -174406,6 +218008,8 @@ 0 0 0 +3 +3 2 0xba6e 0x62846db2 @@ -174414,6 +218018,8 @@ 0 0 0 +1 +0 2 0x8120 0xf3e44584 @@ -174422,6 +218028,8 @@ 1 0 0 +4 +4 2 0xef8f 0x4619e976 @@ -174430,6 +218038,8 @@ 0 0 0 +5 +1 4 0x940b 0x448ad4b2 @@ -174438,6 +218048,8 @@ 0 0 0 +3 +1 4 0x676 0x4311dc78 @@ -174446,6 +218058,8 @@ 1 0 0 +4 +5 3 0x5286 0x127ad50c @@ -174454,6 +218068,8 @@ 1 0 0 +2 +0 4 0x761e 0xe5e69175 @@ -174463,6 +218079,8 @@ 0 0 1 +5 +1 0xd01 0x58fdbc86 256 @@ -174470,6 +218088,8 @@ 1 1 0 +1 +5 4 0x3154 0xf43e7b93 @@ -174478,6 +218098,8 @@ 1 1 0 +1 +2 2 0x85f8 0x3aa1c6c9 @@ -174486,6 +218108,8 @@ 1 1 0 +5 +5 1 0x85aa 0x2c98ea25 @@ -174494,6 +218118,8 @@ 1 0 0 +3 +3 4 0xce56 0xbe9344a2 @@ -174503,6 +218129,8 @@ 0 0 4 +3 +4 0x45ff 0x3dc4279 256 @@ -174511,6 +218139,8 @@ 1 0 2 +0 +2 0x24ea 0xab70c2c5 256 @@ -174518,6 +218148,8 @@ 0 0 0 +4 +0 3 0x67a7 0x173ad0cd @@ -174527,6 +218159,8 @@ 0 0 2 +5 +2 0xcd32 0x7f8de8e5 256 @@ -174534,6 +218168,8 @@ 1 1 0 +3 +2 4 0x3902 0x821ac20c @@ -174542,6 +218178,8 @@ 1 1 0 +0 +1 4 0x4775 0xaad1ebbe @@ -174550,6 +218188,8 @@ 0 0 0 +2 +4 1 0x7ec2 0xac5e8361 @@ -174558,6 +218198,8 @@ 1 0 0 +2 +4 3 0x2c7c 0xdcbb323f @@ -174566,6 +218208,8 @@ 1 1 0 +1 +5 4 0xa4ac 0x52328f67 @@ -174574,6 +218218,8 @@ 0 0 0 +0 +5 4 0x7926 0xb6683b13 @@ -174582,6 +218228,8 @@ 0 0 0 +0 +0 4 0x1972 0x1453204 @@ -174590,6 +218238,8 @@ 0 0 0 +0 +3 4 0x7f7b 0x70607218 @@ -174598,6 +218248,8 @@ 1 1 0 +2 +5 4 0x4eee 0x2d2911f2 @@ -174606,6 +218258,8 @@ 0 0 0 +3 +0 1 0x1c20 0x26e635eb @@ -174614,6 +218268,8 @@ 0 0 0 +0 +2 3 0x1f78 0xbba172f1 @@ -174623,6 +218279,8 @@ 0 0 4 +4 +4 0xb564 0xb3eab987 256 @@ -174631,6 +218289,8 @@ 0 0 2 +3 +2 0x313f 0x51e113db 256 @@ -174639,6 +218299,8 @@ 0 0 3 +4 +3 0xe266 0x715f39ce 256 @@ -174646,6 +218308,8 @@ 0 0 0 +3 +5 1 0xbfa5 0xd52858f6 @@ -174654,6 +218318,8 @@ 1 1 0 +3 +3 4 0xd3bf 0xff902f28 @@ -174662,6 +218328,8 @@ 0 0 0 +3 +2 1 0xaeba 0xca460c52 @@ -174670,6 +218338,8 @@ 1 1 0 +0 +2 2 0x5e 0x193cd46c @@ -174678,6 +218348,8 @@ 1 0 0 +4 +1 3 0x611 0x71ff605b @@ -174686,6 +218358,8 @@ 1 1 0 +3 +1 1 0x5d0b 0x35df48d1 @@ -174694,6 +218368,8 @@ 0 0 0 +1 +0 3 0x1e1b 0x4b68b934 @@ -174702,6 +218378,8 @@ 0 0 0 +4 +4 1 0x30c3 0x72f9d80 @@ -174711,6 +218389,8 @@ 1 0 3 +3 +3 0x1768 0x83d1ab4f 256 @@ -174718,6 +218398,8 @@ 1 1 0 +1 +5 3 0x924e 0xc56806c2 @@ -174726,6 +218408,8 @@ 0 0 0 +3 +2 4 0x6296 0x5ba4876b @@ -174735,6 +218419,8 @@ 0 0 2 +0 +2 0xb81f 0x99aeebaf 256 @@ -174742,6 +218428,8 @@ 1 1 0 +4 +0 1 0x5397 0xedf4dbf2 @@ -174750,6 +218438,8 @@ 0 0 0 +3 +0 2 0xbb93 0x7e6f5824 @@ -174758,6 +218448,8 @@ 1 0 0 +2 +4 1 0xf581 0xde304fdf @@ -174766,6 +218458,8 @@ 1 1 0 +1 +4 3 0x3637 0xa685a142 @@ -174774,6 +218468,8 @@ 0 0 0 +5 +5 3 0xf0db 0x9a1e4d1a @@ -174782,6 +218478,8 @@ 0 0 0 +2 +3 3 0x3352 0x4b286f60 @@ -174791,6 +218489,8 @@ 0 0 1 +5 +1 0xde62 0x482f1ccc 256 @@ -174798,6 +218498,8 @@ 1 0 0 +0 +5 1 0xf50c 0x842bc704 @@ -174806,6 +218508,8 @@ 0 0 0 +4 +2 3 0xbddb 0x43af053f @@ -174814,6 +218518,8 @@ 1 0 0 +1 +5 2 0xd47c 0x99a16d9d @@ -174822,6 +218528,8 @@ 1 1 0 +1 +5 4 0xb136 0x9c60f814 @@ -174830,6 +218538,8 @@ 1 0 0 +5 +4 4 0xe738 0x94d20e96 @@ -174838,6 +218548,8 @@ 0 0 0 +1 +3 2 0x58ed 0x59df9840 @@ -174847,6 +218559,8 @@ 1 0 1 +2 +1 0xc24f 0x56d71cf6 256 @@ -174854,6 +218568,8 @@ 0 0 0 +1 +1 3 0xdaa8 0x7c0ef610 @@ -174862,6 +218578,8 @@ 1 0 0 +5 +5 4 0xcf74 0x18c3a973 @@ -174870,6 +218588,8 @@ 0 0 0 +5 +2 4 0x6770 0x585f24fa @@ -174878,6 +218598,8 @@ 1 0 0 +1 +4 2 0x493f 0xc7801446 @@ -174886,6 +218608,8 @@ 1 0 0 +1 +1 2 0x1c2e 0x7e408e13 @@ -174894,6 +218618,8 @@ 0 0 0 +5 +2 1 0x223c 0x6ec39520 @@ -174902,6 +218628,8 @@ 1 0 0 +3 +5 4 0x93be 0x9465aef7 @@ -174910,6 +218638,8 @@ 0 0 0 +5 +4 1 0x60e2 0x927bb4e5 @@ -174918,6 +218648,8 @@ 1 1 0 +1 +1 3 0x390 0x6ca02874 @@ -174926,6 +218658,8 @@ 1 1 0 +1 +3 3 0xa5e 0x570bc63c @@ -174934,6 +218668,8 @@ 1 0 0 +4 +2 3 0x56fe 0xa64b6e70 @@ -174942,6 +218678,8 @@ 1 0 0 +2 +2 1 0x9f73 0x15daf7e9 @@ -174951,6 +218689,8 @@ 0 0 4 +3 +4 0xc6a4 0xba55e318 256 @@ -174958,6 +218698,8 @@ 0 0 0 +3 +5 4 0x1547 0x7960d858 @@ -174966,6 +218708,8 @@ 0 0 0 +3 +4 4 0x9674 0xad1fa491 @@ -174974,6 +218718,8 @@ 1 1 0 +4 +0 1 0xe26a 0xe19ecf5e @@ -174982,6 +218728,8 @@ 1 1 0 +0 +5 1 0x977a 0x297414cb @@ -174990,6 +218738,8 @@ 0 0 0 +0 +2 3 0xb912 0x84febe9b @@ -174998,6 +218748,8 @@ 0 0 0 +4 +4 3 0x7a3b 0xcaaf3025 @@ -175007,6 +218759,8 @@ 0 0 3 +0 +3 0x1e96 0xd220b034 256 @@ -175015,6 +218769,8 @@ 0 0 2 +4 +2 0x6577 0x47e453b2 256 @@ -175022,6 +218778,8 @@ 0 0 0 +3 +0 2 0xdddd 0xf4bf812c @@ -175030,6 +218788,8 @@ 0 0 0 +4 +2 1 0xa15c 0x7079db8e @@ -175038,6 +218798,8 @@ 1 0 0 +3 +3 4 0xf831 0x35ec75ee @@ -175046,6 +218808,8 @@ 0 0 0 +4 +0 1 0x18e5 0xd42dcc3b @@ -175054,6 +218818,8 @@ 0 0 0 +0 +1 3 0x4f05 0x64fbad0c @@ -175062,6 +218828,8 @@ 0 0 0 +2 +3 1 0x33b7 0x3aa6f79a @@ -175070,6 +218838,8 @@ 1 1 0 +1 +3 3 0x712b 0xb71e6da3 @@ -175078,6 +218848,8 @@ 1 1 0 +4 +4 3 0xea9c 0x111847af @@ -175087,6 +218859,8 @@ 1 0 3 +4 +3 0xdeb3 0xb8566896 256 @@ -175094,6 +218868,8 @@ 1 0 0 +4 +3 3 0xce6f 0x136fb39d @@ -175102,6 +218878,8 @@ 0 0 0 +4 +5 1 0xc2ee 0xe6d044c9 @@ -175110,6 +218888,8 @@ 1 0 0 +5 +1 2 0x34d6 0xa7aa1637 @@ -175118,6 +218898,8 @@ 1 0 0 +2 +2 1 0xc711 0x417a18ca @@ -175126,6 +218908,8 @@ 1 0 0 +2 +4 3 0x379f 0x873676c4 @@ -175135,6 +218919,8 @@ 0 0 3 +5 +3 0x7630 0xf50ba347 256 @@ -175142,6 +218928,8 @@ 0 0 0 +1 +0 4 0xec90 0xa7eb9b8 @@ -175151,6 +218939,8 @@ 0 0 2 +4 +2 0xc655 0x8e2a25db 256 @@ -175158,6 +218948,8 @@ 0 0 0 +0 +4 1 0xdee6 0xa914ae33 @@ -175166,6 +218958,8 @@ 0 0 0 +3 +2 1 0x11b7 0x46f897d1 @@ -175174,6 +218968,8 @@ 0 0 0 +3 +2 1 0xb677 0xf6d13d8d @@ -175182,6 +218978,8 @@ 1 1 0 +4 +3 3 0xf9f3 0xf62b8472 @@ -175190,6 +218988,8 @@ 0 0 0 +2 +3 4 0x94de 0x5b25287c @@ -175198,6 +218998,8 @@ 1 0 0 +0 +5 3 0xc8f6 0x728987c5 @@ -175206,6 +219008,8 @@ 0 0 0 +3 +2 1 0x7fd9 0x15103066 @@ -175214,6 +219018,8 @@ 0 0 0 +3 +5 2 0xa4e6 0xd8e0cf76 @@ -175222,6 +219028,8 @@ 1 0 0 +1 +0 2 0x5865 0xbaf1c1e0 @@ -175230,6 +219038,8 @@ 1 1 0 +3 +2 2 0x34fe 0x90fc34b8 @@ -175238,6 +219048,8 @@ 0 0 0 +2 +1 4 0x6b8b 0x619dd9e8 @@ -175246,6 +219058,8 @@ 0 0 0 +4 +3 2 0xe22f 0x60149753 @@ -175254,6 +219068,8 @@ 1 1 0 +5 +1 1 0x3a5f 0x497dc082 @@ -175262,6 +219078,8 @@ 0 0 0 +3 +5 4 0x5272 0xf73f7 @@ -175270,6 +219088,8 @@ 1 1 0 +4 +2 3 0xeb37 0xa1c2685d @@ -175279,6 +219099,8 @@ 0 0 1 +1 +1 0xb40b 0x11438017 256 @@ -175286,6 +219108,8 @@ 1 0 0 +0 +5 2 0xd72c 0xb74d44cb @@ -175295,6 +219119,8 @@ 1 0 2 +5 +2 0x7b95 0xde8a02f2 256 @@ -175302,6 +219128,8 @@ 1 1 0 +4 +4 1 0xc9f8 0xbc4e219f @@ -175310,6 +219138,8 @@ 1 0 0 +3 +0 4 0x8bbe 0x9ea9b12 @@ -175318,6 +219148,8 @@ 1 1 0 +2 +1 3 0xb883 0xbd91c93b @@ -175326,6 +219158,8 @@ 1 1 0 +4 +4 2 0x129d 0xe24ba679 @@ -175335,6 +219169,8 @@ 0 0 2 +3 +2 0x6d98 0xb18ef1e8 256 @@ -175342,6 +219178,8 @@ 0 0 0 +3 +1 4 0x3250 0x3b727b0f @@ -175350,6 +219188,8 @@ 0 0 0 +5 +1 1 0x17b5 0x254b247 @@ -175358,6 +219198,8 @@ 0 0 0 +3 +5 1 0x5d74 0x20d9d689 @@ -175366,6 +219208,8 @@ 1 1 0 +0 +1 4 0xa0a4 0xcb28558f @@ -175374,6 +219218,8 @@ 0 0 0 +0 +0 2 0x33d6 0x41cd86a8 @@ -175382,6 +219228,8 @@ 0 0 0 +1 +0 2 0x8aa4 0xe4aae0f2 @@ -175390,6 +219238,8 @@ 0 0 0 +3 +1 1 0x4b91 0x880f76c0 @@ -175398,6 +219248,8 @@ 1 0 0 +4 +1 2 0xc577 0x800eb067 @@ -175406,6 +219258,8 @@ 1 0 0 +0 +1 3 0x77c1 0x27f20b79 @@ -175414,6 +219268,8 @@ 1 0 0 +3 +3 4 0x5c8c 0x8b8b571c @@ -175423,6 +219279,8 @@ 1 0 4 +0 +4 0xa2db 0xe8fe6374 256 @@ -175430,6 +219288,8 @@ 0 0 0 +1 +4 3 0xa0ed 0x661af7a2 @@ -175439,6 +219299,8 @@ 0 0 2 +5 +2 0x80de 0x5d55cacc 256 @@ -175446,6 +219308,8 @@ 1 0 0 +4 +2 1 0x39c2 0x7fc586b4 @@ -175454,6 +219318,8 @@ 0 0 0 +4 +1 1 0x7c16 0xb412fe8e @@ -175462,6 +219328,8 @@ 1 1 0 +4 +5 1 0xb25b 0xf449389 @@ -175470,6 +219338,8 @@ 0 0 0 +0 +3 1 0x8ce0 0xac0e01bc @@ -175478,6 +219348,8 @@ 0 0 0 +4 +0 2 0x99a2 0x9e9a26 @@ -175487,6 +219359,8 @@ 0 0 4 +0 +4 0x1076 0xc43a8e1 256 @@ -175495,6 +219369,8 @@ 1 0 1 +3 +1 0x8b5e 0x6ff33ee 256 @@ -175502,6 +219378,8 @@ 1 1 0 +5 +2 4 0x2ef7 0x59798838 @@ -175511,6 +219389,8 @@ 1 0 3 +2 +3 0xe4a8 0x3578813b 256 @@ -175518,6 +219398,8 @@ 1 0 0 +3 +0 4 0xc157 0x69e34301 @@ -175526,6 +219408,8 @@ 0 0 0 +3 +3 1 0xf0f 0xf5714fe9 @@ -175534,6 +219418,8 @@ 0 0 0 +0 +2 1 0x3af4 0x6ee88986 @@ -175543,6 +219429,8 @@ 0 0 3 +3 +3 0xf41d 0x1ecb6973 256 @@ -175550,6 +219438,8 @@ 1 0 0 +1 +0 3 0xa533 0xc20119da @@ -175558,6 +219448,8 @@ 1 1 0 +3 +1 4 0xecd7 0xe30777e2 @@ -175566,6 +219458,8 @@ 1 0 0 +0 +3 2 0x412a 0x93e89adc @@ -175574,6 +219468,8 @@ 0 0 0 +4 +0 2 0x8d4d 0xaa197c4e @@ -175582,6 +219478,8 @@ 1 1 0 +0 +4 1 0xf7ad 0x1d2ab2bd @@ -175590,6 +219488,8 @@ 0 0 0 +4 +5 3 0xca15 0x9de0a250 @@ -175598,6 +219498,8 @@ 0 0 0 +0 +1 4 0x51f9 0x16fc72d5 @@ -175606,6 +219508,8 @@ 0 0 0 +0 +1 1 0xc777 0x4a471a3a @@ -175614,6 +219518,8 @@ 0 0 0 +5 +5 3 0x4950 0x63fd000c @@ -175623,6 +219529,8 @@ 0 0 4 +0 +4 0x4df 0xf0efec7a 256 @@ -175630,6 +219538,8 @@ 0 0 0 +0 +2 3 0xa13 0x4e2064c7 @@ -175638,6 +219548,8 @@ 1 1 0 +0 +0 1 0x7124 0xf8492d73 @@ -175646,6 +219558,8 @@ 1 0 0 +5 +4 4 0x1f0 0x149afc38 @@ -175654,6 +219568,8 @@ 0 0 0 +1 +4 2 0x9ff 0x8fdd23d5 @@ -175662,6 +219578,8 @@ 0 0 0 +1 +5 4 0x14c2 0xbdda5e56 @@ -175670,6 +219588,8 @@ 0 0 0 +5 +1 1 0x1025 0x7ee7cabe @@ -175678,6 +219598,8 @@ 1 0 0 +2 +0 4 0xc7d1 0xb897a4d0 @@ -175686,6 +219608,8 @@ 1 1 0 +4 +5 2 0x3243 0xaf88221b @@ -175694,6 +219618,8 @@ 1 1 0 +0 +3 2 0x539 0xe8b19c4c @@ -175702,6 +219628,8 @@ 0 0 0 +3 +5 2 0x501 0xf640a62c @@ -175710,6 +219638,8 @@ 0 0 0 +4 +0 2 0x703d 0x3474cae4 @@ -175718,6 +219648,8 @@ 1 1 0 +0 +1 4 0x5f7 0x7755ae7d @@ -175726,6 +219658,8 @@ 1 1 0 +1 +2 3 0x62d3 0xb64d29ab @@ -175734,6 +219668,8 @@ 1 0 0 +5 +4 3 0xd021 0x337f7df9 @@ -175742,6 +219678,8 @@ 1 0 0 +4 +0 1 0xd8c7 0x9aad38ec @@ -175750,6 +219688,8 @@ 0 0 0 +2 +4 4 0x8d61 0x2e4be147 @@ -175758,6 +219698,8 @@ 1 0 0 +0 +3 4 0xae00 0xbe02166b @@ -175767,6 +219709,8 @@ 0 0 4 +5 +4 0x369a 0xf28009e7 256 @@ -175775,6 +219719,8 @@ 0 0 1 +5 +1 0xb454 0xef7e3b23 256 @@ -175783,6 +219729,8 @@ 1 0 1 +5 +1 0x1982 0x8beaf474 256 @@ -175790,6 +219738,8 @@ 0 0 0 +0 +4 2 0x5b17 0x16650884 @@ -175798,6 +219748,8 @@ 0 0 0 +5 +3 4 0xe872 0x83b5608b @@ -175807,6 +219759,8 @@ 0 0 2 +2 +2 0x76e7 0x66f1efd 256 @@ -175814,6 +219768,8 @@ 0 0 0 +2 +0 4 0x445f 0x82ceb57d @@ -175823,6 +219779,8 @@ 0 0 3 +0 +3 0xff6c 0xb3e28a35 256 @@ -175830,6 +219788,8 @@ 0 0 0 +3 +3 4 0x7ecd 0x77a98745 @@ -175838,6 +219798,8 @@ 1 0 0 +0 +4 3 0x61f 0xf2044cbf @@ -175846,6 +219808,8 @@ 0 0 0 +2 +4 3 0x79f4 0xa66ce218 @@ -175854,6 +219818,8 @@ 0 0 0 +2 +0 4 0xdcf0 0x6ab64d41 @@ -175862,6 +219828,8 @@ 0 0 0 +4 +0 1 0xd312 0xf0577cea @@ -175870,6 +219838,8 @@ 0 0 0 +5 +2 2 0xc3c6 0x24b3e0a6 @@ -175878,6 +219848,8 @@ 0 0 0 +3 +4 2 0x2ac1 0x1c88db6e @@ -175886,6 +219858,8 @@ 1 0 0 +3 +2 2 0x9391 0x835c6487 @@ -175895,6 +219869,8 @@ 0 0 4 +1 +4 0xeee5 0xa32bf325 256 @@ -175902,6 +219878,8 @@ 1 0 0 +2 +0 4 0xdf9 0x255882dd @@ -175910,6 +219888,8 @@ 0 0 0 +1 +1 2 0xf8a 0x57f0b0d1 @@ -175919,6 +219899,8 @@ 0 0 2 +5 +2 0xb2c3 0xfc71b67e 256 @@ -175926,6 +219908,8 @@ 1 1 0 +3 +5 4 0xf992 0x1234367c @@ -175934,6 +219918,8 @@ 1 0 0 +4 +5 1 0xa320 0x9466efba @@ -175942,6 +219928,8 @@ 0 0 0 +4 +1 1 0x9e4b 0xd100d304 @@ -175951,6 +219939,8 @@ 0 0 4 +0 +4 0x7eb1 0x90448264 256 @@ -175958,6 +219948,8 @@ 1 0 0 +4 +3 1 0xbad7 0x7c31f579 @@ -175967,6 +219959,8 @@ 1 0 2 +0 +2 0x943f 0xc87553e 256 @@ -175974,6 +219968,8 @@ 0 0 0 +3 +1 4 0xa1dd 0x9be9e8e0 @@ -175983,6 +219979,8 @@ 0 0 3 +0 +3 0x18ee 0x3a185de7 256 @@ -175990,6 +219988,8 @@ 0 0 0 +1 +3 2 0x3c75 0xee5948db @@ -175999,6 +219999,8 @@ 0 0 4 +1 +4 0x39a6 0x744bfdc1 256 @@ -176007,6 +220009,8 @@ 0 0 1 +1 +1 0xc669 0x118e8482 256 @@ -176014,6 +220018,8 @@ 0 0 0 +1 +5 2 0x5584 0x98dd241 @@ -176022,6 +220028,8 @@ 1 1 0 +0 +3 2 0xe7df 0x96bc308d @@ -176030,6 +220038,8 @@ 0 0 0 +1 +2 2 0x2a45 0x10d3c98c @@ -176039,6 +220049,8 @@ 0 0 3 +2 +3 0xb2f6 0xd1d68ec4 256 @@ -176046,6 +220058,8 @@ 0 0 0 +1 +1 2 0x40a9 0x87f8d029 @@ -176054,6 +220068,8 @@ 1 1 0 +0 +1 1 0x4f90 0x700dec8b @@ -176063,6 +220079,8 @@ 0 0 1 +3 +1 0x5c47 0xcf6d1017 256 @@ -176070,6 +220088,8 @@ 1 0 0 +5 +1 1 0xa1ae 0x521a1f72 @@ -176078,6 +220098,8 @@ 1 1 0 +5 +5 1 0x4650 0x8727648c @@ -176086,6 +220108,8 @@ 1 0 0 +4 +2 3 0x8e34 0xbfab0c20 @@ -176094,6 +220118,8 @@ 1 1 0 +4 +1 2 0x5db8 0xcbe43c49 @@ -176103,6 +220129,8 @@ 0 0 4 +3 +4 0x9ef1 0x7e0b44fa 256 @@ -176110,6 +220138,8 @@ 1 1 0 +0 +3 3 0x2d54 0xd1e3ddc7 @@ -176118,6 +220148,8 @@ 1 0 0 +3 +5 4 0x51b3 0xe47c1800 @@ -176126,6 +220158,8 @@ 1 0 0 +5 +0 2 0x2a8f 0x24dda928 @@ -176134,6 +220168,8 @@ 0 0 0 +4 +4 3 0xcd86 0x729096ea @@ -176143,6 +220179,8 @@ 0 0 1 +4 +1 0xda43 0xeb344f9e 256 @@ -176150,6 +220188,8 @@ 0 0 0 +2 +0 4 0xf54c 0xb9cf391e @@ -176158,6 +220198,8 @@ 1 0 0 +3 +3 4 0xbe6f 0x56bbbaeb @@ -176166,6 +220208,8 @@ 0 0 0 +0 +0 1 0x1511 0xe34cdd82 @@ -176174,6 +220218,8 @@ 1 0 0 +4 +0 3 0x490f 0x53d57678 @@ -176182,6 +220228,8 @@ 1 0 0 +5 +4 3 0xad19 0x680f83a3 @@ -176190,6 +220238,8 @@ 0 0 0 +4 +1 1 0x10b5 0xd757fc94 @@ -176198,6 +220248,8 @@ 0 0 0 +5 +0 3 0x80e6 0x3cc1fdb9 @@ -176206,6 +220258,8 @@ 1 1 0 +3 +2 4 0x2aa 0xf4208150 @@ -176215,6 +220269,8 @@ 0 0 1 +0 +1 0x7b09 0x35b97b6f 256 @@ -176222,6 +220278,8 @@ 0 0 0 +3 +4 2 0x7cb1 0x469e320 @@ -176230,6 +220288,8 @@ 1 1 0 +4 +3 1 0x898d 0x7bcb2eff @@ -176238,6 +220298,8 @@ 0 0 0 +2 +0 3 0x115a 0x59450e7b @@ -176246,6 +220308,8 @@ 1 0 0 +5 +3 4 0x97f 0x7979e3e2 @@ -176254,6 +220318,8 @@ 1 0 0 +1 +3 2 0x3714 0xf43cc518 @@ -176262,6 +220328,8 @@ 0 0 0 +0 +2 2 0x518c 0x2c851130 @@ -176270,6 +220338,8 @@ 0 0 0 +1 +3 4 0xf70 0xbaf7ce28 @@ -176278,6 +220348,8 @@ 0 0 0 +2 +5 4 0x13e 0x226946f6 @@ -176286,6 +220358,8 @@ 1 1 0 +5 +4 4 0xd144 0xe70cf3eb @@ -176294,6 +220368,8 @@ 0 0 0 +5 +4 1 0xbe8 0x95050f3c @@ -176302,6 +220378,8 @@ 1 0 0 +4 +5 1 0xc133 0xcf43a78b @@ -176311,6 +220389,8 @@ 0 0 3 +1 +3 0x6aed 0x2bb061ab 256 @@ -176318,6 +220398,8 @@ 1 0 0 +5 +5 1 0x188d 0x3fcd01e4 @@ -176326,6 +220408,8 @@ 1 0 0 +5 +0 2 0x8522 0xb156bbf5 @@ -176334,6 +220418,8 @@ 0 0 0 +2 +3 3 0x3f6b 0x319f839a @@ -176343,6 +220429,8 @@ 0 0 4 +2 +4 0x3536 0x3596e604 256 @@ -176350,6 +220438,8 @@ 0 0 0 +3 +1 2 0x4506 0xc565c3ff @@ -176358,6 +220448,8 @@ 1 1 0 +5 +1 1 0xb7c 0x3d68f986 @@ -176366,6 +220458,8 @@ 0 0 0 +4 +2 1 0x2dbf 0x728a1499 @@ -176374,6 +220468,8 @@ 0 0 0 +5 +3 4 0x2ee1 0x47ab0c0e @@ -176382,6 +220478,8 @@ 0 0 0 +2 +4 1 0xc332 0xa7faf8f @@ -176390,6 +220488,8 @@ 1 0 0 +1 +1 4 0xdfbc 0xe752c989 @@ -176398,6 +220498,8 @@ 0 0 0 +2 +1 1 0xb753 0x4376f62b @@ -176406,6 +220508,8 @@ 1 0 0 +3 +5 2 0x3f38 0xf9c1803d @@ -176414,6 +220518,8 @@ 0 0 0 +4 +1 2 0xf079 0x8387dd60 @@ -176423,6 +220529,8 @@ 0 0 3 +5 +3 0xed53 0x61da2cd 256 @@ -176431,6 +220539,8 @@ 1 0 3 +0 +3 0x7c78 0xd4c873ef 256 @@ -176438,6 +220548,8 @@ 1 0 0 +1 +2 3 0xbd4f 0xd359c60a @@ -176446,6 +220558,8 @@ 1 0 0 +2 +5 3 0x27fb 0x14b8f45 @@ -176454,6 +220568,8 @@ 1 1 0 +5 +5 2 0x67a7 0xbfb9e72c @@ -176462,6 +220578,8 @@ 0 0 0 +3 +0 1 0x50f 0x35b3c11d @@ -176471,6 +220589,8 @@ 0 0 3 +0 +3 0x43b9 0x4ce6cced 256 @@ -176478,6 +220598,8 @@ 0 0 0 +4 +1 3 0x552f 0x98e20a8f @@ -176487,6 +220609,8 @@ 1 0 3 +5 +3 0xecb9 0x4f2610f5 256 @@ -176495,6 +220619,8 @@ 0 0 3 +2 +3 0xc7d1 0xf6333ebd 256 @@ -176502,6 +220628,8 @@ 0 0 0 +1 +2 3 0x47dd 0xf55f5203 @@ -176510,6 +220638,8 @@ 1 0 0 +3 +2 2 0x26c8 0x9105854e @@ -176518,6 +220648,8 @@ 0 0 0 +1 +4 4 0x46b8 0x2c326f27 @@ -176526,6 +220658,8 @@ 1 0 0 +0 +4 4 0xa61 0x67923bd3 @@ -176534,6 +220668,8 @@ 0 0 0 +5 +4 1 0x97a3 0xaef66835 @@ -176542,6 +220678,8 @@ 1 1 0 +3 +5 1 0xc721 0xf4e3579d @@ -176550,6 +220688,8 @@ 0 0 0 +0 +0 2 0xb15a 0x1ccdf010 @@ -176558,6 +220698,8 @@ 1 1 0 +5 +3 3 0xe8ca 0x2ec17cda @@ -176567,6 +220709,8 @@ 0 0 3 +2 +3 0xbf20 0x11209c71 256 @@ -176574,6 +220718,8 @@ 1 0 0 +0 +2 2 0x483c 0x24bd77df @@ -176582,6 +220728,8 @@ 1 0 0 +5 +3 2 0x8bfd 0xda0aaa6 @@ -176590,6 +220738,8 @@ 1 1 0 +2 +2 1 0xae17 0x501b665 @@ -176599,6 +220749,8 @@ 0 0 1 +1 +1 0xc9ac 0xc7c0f98 256 @@ -176606,6 +220758,8 @@ 1 1 0 +2 +4 1 0xcc6a 0xe48e3e43 @@ -176614,6 +220768,8 @@ 1 1 0 +2 +3 1 0x64c4 0x86ade214 @@ -176622,6 +220778,8 @@ 1 1 0 +2 +1 4 0x99b4 0x8f0dbac2 @@ -176630,6 +220788,8 @@ 1 0 0 +0 +1 1 0xc861 0x5f67bac6 @@ -176638,6 +220798,8 @@ 1 1 0 +4 +5 3 0xb7a0 0x189bd93e @@ -176646,6 +220808,8 @@ 1 0 0 +5 +0 1 0x6ae0 0x19887088 @@ -176654,6 +220818,8 @@ 0 0 0 +0 +0 4 0x59fe 0xca31c07d @@ -176662,6 +220828,8 @@ 1 1 0 +0 +4 3 0xa4aa 0x43c9aa37 @@ -176670,6 +220838,8 @@ 0 0 0 +5 +3 1 0x7ce 0xa4119e75 @@ -176678,6 +220848,8 @@ 0 0 0 +1 +4 4 0x6d13 0x315d57d3 @@ -176686,6 +220858,8 @@ 1 1 0 +5 +0 1 0xf108 0xebf59965 @@ -176694,6 +220868,8 @@ 0 0 0 +2 +0 1 0xf224 0xed903dde @@ -176702,6 +220878,8 @@ 1 0 0 +1 +4 2 0xee6d 0x7138bf61 @@ -176710,6 +220888,8 @@ 1 0 0 +4 +1 3 0x76e6 0xfaa9ed3f @@ -176718,6 +220898,8 @@ 1 1 0 +0 +1 2 0x6e1e 0x28ed34fd @@ -176726,6 +220908,8 @@ 0 0 0 +5 +1 3 0x287c 0x56f94b6e @@ -176735,6 +220919,8 @@ 0 0 4 +0 +4 0x3f29 0xf3ee63a8 256 @@ -176742,6 +220928,8 @@ 1 0 0 +5 +1 2 0x4148 0xfecca6db @@ -176750,6 +220938,8 @@ 1 1 0 +5 +4 1 0x79b1 0x6a8c498 @@ -176758,6 +220948,8 @@ 1 1 0 +1 +5 3 0x8ec3 0xc337ee55 @@ -176766,6 +220958,8 @@ 1 0 0 +0 +1 3 0x8fef 0xfc4a886c @@ -176774,6 +220968,8 @@ 1 0 0 +0 +4 3 0xfb7 0x66504c0e @@ -176782,6 +220978,8 @@ 1 0 0 +1 +3 4 0x182a 0xef7be420 @@ -176790,6 +220988,8 @@ 0 0 0 +2 +3 1 0x8729 0x34edd326 @@ -176798,6 +220998,8 @@ 0 0 0 +3 +0 4 0xfa70 0x5bb6120d @@ -176807,6 +221009,8 @@ 0 0 3 +2 +3 0xc29e 0x42fb7c0e 256 @@ -176814,6 +221018,8 @@ 1 0 0 +3 +2 1 0x4891 0xcc56b71e @@ -176822,6 +221028,8 @@ 1 0 0 +3 +3 1 0x5cde 0xf0ec1958 @@ -176831,6 +221039,8 @@ 0 0 3 +0 +3 0x4f31 0xf42ac472 256 @@ -176839,6 +221049,8 @@ 0 0 4 +4 +4 0x67f 0xeb1d0c7a 256 @@ -176846,6 +221058,8 @@ 1 1 0 +1 +4 2 0x8f11 0xa84b4636 @@ -176854,6 +221068,8 @@ 0 0 0 +1 +2 4 0xc340 0x2941f7b7 @@ -176862,6 +221078,8 @@ 1 1 0 +1 +2 4 0x7497 0x8f5c638d @@ -176870,6 +221088,8 @@ 0 0 0 +2 +2 1 0x57ab 0x2f649ee3 @@ -176878,6 +221098,8 @@ 0 0 0 +3 +0 2 0x79e7 0xfe6807f7 @@ -176886,6 +221108,8 @@ 1 1 0 +3 +2 1 0x4aed 0x9c9233f @@ -176894,6 +221118,8 @@ 1 1 0 +0 +0 2 0xe950 0xc5f71d87 @@ -176902,6 +221128,8 @@ 1 0 0 +0 +4 3 0xa9e3 0xc0739bc4 @@ -176910,6 +221138,8 @@ 0 0 0 +3 +3 4 0xfe3d 0x2c26f17d @@ -176918,6 +221148,8 @@ 0 0 0 +3 +3 4 0x4a5e 0x3e71cf74 @@ -176926,6 +221158,8 @@ 1 1 0 +3 +0 1 0x5365 0x48d73b45 @@ -176934,6 +221168,8 @@ 1 1 0 +2 +2 4 0x8cb8 0xa57de70b @@ -176942,6 +221178,8 @@ 0 0 0 +0 +4 3 0x4b2b 0xaa67c253 @@ -176950,6 +221188,8 @@ 0 0 0 +0 +0 1 0x9a9d 0xb13514b0 @@ -176958,6 +221198,8 @@ 0 0 0 +4 +5 3 0x8657 0x4dcd2e28 @@ -176966,6 +221208,8 @@ 0 0 0 +1 +1 2 0xb856 0x695e4d66 @@ -176974,6 +221218,8 @@ 1 0 0 +4 +2 2 0x627d 0x73804440 @@ -176982,6 +221228,8 @@ 1 0 0 +0 +2 3 0xf44e 0xdfc5efd7 @@ -176990,6 +221238,8 @@ 1 0 0 +2 +1 1 0x52be 0x3f047e54 @@ -176998,6 +221248,8 @@ 0 0 0 +3 +2 4 0xbe95 0x7de8ac14 @@ -177006,6 +221258,8 @@ 0 0 0 +4 +0 1 0x1bcf 0xfba356db @@ -177015,6 +221269,8 @@ 0 0 4 +1 +4 0x96 0x7dcac2de 256 @@ -177022,6 +221278,8 @@ 1 1 0 +5 +4 1 0xb12a 0x832bcb12 @@ -177030,6 +221288,8 @@ 0 0 0 +3 +4 2 0xab09 0x4c1c4665 @@ -177038,6 +221298,8 @@ 0 0 0 +4 +2 2 0x8ebf 0x5ed116c5 @@ -177046,6 +221308,8 @@ 1 1 0 +5 +3 4 0x3159 0xa967fb63 @@ -177054,6 +221318,8 @@ 1 0 0 +3 +2 4 0x2ae 0xe986aaf7 @@ -177062,6 +221328,8 @@ 1 0 0 +3 +2 4 0xced8 0x5b54c5bb @@ -177070,6 +221338,8 @@ 1 1 0 +0 +2 4 0x5f7e 0x7482233b @@ -177078,6 +221348,8 @@ 1 1 0 +3 +0 1 0x1c42 0x30353534 @@ -177086,6 +221358,8 @@ 0 0 0 +2 +4 3 0xcdd3 0x951d46a6 @@ -177094,6 +221368,8 @@ 1 0 0 +2 +1 4 0x9dbd 0xc4c60eb @@ -177103,6 +221379,8 @@ 0 0 3 +5 +3 0xef2 0xf8b28d03 256 @@ -177110,6 +221388,8 @@ 0 0 0 +1 +0 4 0xbf35 0xe354d853 @@ -177118,6 +221398,8 @@ 1 0 0 +0 +4 4 0xaa61 0x44e2ebfa @@ -177127,6 +221409,8 @@ 0 0 3 +4 +3 0xeb8 0x691f778e 256 @@ -177134,6 +221418,8 @@ 0 0 0 +5 +0 2 0xf56c 0x6330f299 @@ -177142,6 +221428,8 @@ 1 0 0 +3 +5 1 0xb696 0x9b42e40e @@ -177150,6 +221438,8 @@ 1 0 0 +1 +4 2 0x7fc8 0xc044ba77 @@ -177158,6 +221448,8 @@ 1 1 0 +3 +3 2 0x9383 0x4c3ad569 @@ -177167,6 +221459,8 @@ 0 0 1 +2 +1 0x6df4 0xe3779ea2 256 @@ -177174,6 +221468,8 @@ 1 0 0 +1 +3 4 0x8c84 0xfe841ebb @@ -177182,6 +221478,8 @@ 0 0 0 +2 +5 1 0x5472 0xb000b0de @@ -177190,6 +221488,8 @@ 0 0 0 +5 +1 4 0xc64a 0x84dbb5df @@ -177198,6 +221498,8 @@ 0 0 0 +2 +2 4 0xc04d 0xa7b45c86 @@ -177207,6 +221509,8 @@ 0 0 3 +4 +3 0x3082 0xc311713a 256 @@ -177215,6 +221519,8 @@ 0 0 1 +2 +1 0xeec8 0x3034926d 256 @@ -177222,6 +221528,8 @@ 1 1 0 +0 +1 4 0xf376 0x2a01d9f0 @@ -177230,6 +221538,8 @@ 0 0 0 +4 +1 1 0xd6db 0x6f07e683 @@ -177238,6 +221548,8 @@ 1 1 0 +1 +3 2 0x1725 0x6234e3c6 @@ -177247,6 +221559,8 @@ 0 0 1 +2 +1 0xe0 0x3bac9702 256 @@ -177254,6 +221568,8 @@ 1 0 0 +4 +3 2 0x69f8 0xa026cf6f @@ -177262,6 +221578,8 @@ 0 0 0 +3 +4 1 0x28e3 0x7dfb5bff @@ -177270,6 +221588,8 @@ 0 0 0 +3 +3 4 0x1fbb 0xcd13d255 @@ -177278,6 +221598,8 @@ 1 1 0 +3 +5 4 0x270d 0x1cbeed1f @@ -177286,6 +221608,8 @@ 0 0 0 +0 +1 2 0xc49b 0xf3264a52 @@ -177294,6 +221618,8 @@ 0 0 0 +5 +0 3 0x321e 0x8a5299b @@ -177302,6 +221628,8 @@ 0 0 0 +3 +2 2 0xfd6a 0x9d08815e @@ -177311,6 +221639,8 @@ 1 0 2 +1 +2 0x4d6d 0x75b112e2 256 @@ -177319,6 +221649,8 @@ 1 0 3 +2 +3 0xdea3 0x522982ae 256 @@ -177326,6 +221658,8 @@ 1 0 0 +0 +5 4 0x3445 0x5d64abd6 @@ -177334,6 +221668,8 @@ 0 0 0 +0 +3 3 0x90a1 0x29de9abe @@ -177342,6 +221678,8 @@ 0 0 0 +4 +4 2 0xc820 0x896b3ae3 @@ -177350,6 +221688,8 @@ 1 1 0 +4 +5 2 0x570 0xb4fad9b1 @@ -177358,6 +221698,8 @@ 1 0 0 +0 +5 4 0x99e7 0x9fb7ba09 @@ -177366,6 +221708,8 @@ 0 0 0 +5 +2 2 0xff26 0x31a525a3 @@ -177375,6 +221719,8 @@ 0 0 3 +0 +3 0x6b95 0xf273fc13 256 @@ -177383,6 +221729,8 @@ 0 0 2 +1 +2 0x228 0x8478e7b1 256 @@ -177390,6 +221738,8 @@ 1 1 0 +3 +3 2 0xd3f3 0xd4bc279e @@ -177398,6 +221748,8 @@ 1 0 0 +0 +4 4 0x6a22 0xf7769003 @@ -177406,6 +221758,8 @@ 0 0 0 +3 +0 4 0xabc2 0x70e9cf1c @@ -177414,6 +221768,8 @@ 1 1 0 +1 +4 2 0xf9d8 0xe0fdcb19 @@ -177423,6 +221779,8 @@ 0 0 4 +0 +4 0x7211 0x3556f50c 256 @@ -177430,6 +221788,8 @@ 1 0 0 +5 +5 4 0x81e6 0x6974822e @@ -177438,6 +221798,8 @@ 1 1 0 +5 +0 2 0xcd5b 0xf2f85fab @@ -177446,6 +221808,8 @@ 1 1 0 +3 +5 1 0x3dbc 0x2c5d7350 @@ -177454,6 +221818,8 @@ 0 0 0 +2 +2 3 0x3b2c 0x2eadf56e @@ -177462,6 +221828,8 @@ 1 0 0 +0 +1 1 0x6304 0xa1e584dd @@ -177470,6 +221838,8 @@ 0 0 0 +5 +5 1 0x4828 0xf75be78f @@ -177478,6 +221848,8 @@ 0 0 0 +5 +2 1 0xab0d 0xd1d49c8f @@ -177486,6 +221858,8 @@ 0 0 0 +1 +1 4 0x77df 0xbf3ee2e3 @@ -177494,6 +221868,8 @@ 0 0 0 +4 +4 2 0xc8ce 0xc29d7b77 @@ -177503,6 +221879,8 @@ 1 0 1 +1 +1 0xd5a4 0x3a537a08 256 @@ -177510,6 +221888,8 @@ 0 0 0 +0 +1 4 0x3141 0x84815d86 @@ -177518,6 +221898,8 @@ 1 0 0 +5 +1 2 0xf542 0x59bf8ba2 @@ -177526,6 +221908,8 @@ 0 0 0 +0 +0 3 0x8ab8 0xf8df35a5 @@ -177534,6 +221918,8 @@ 1 1 0 +4 +2 1 0xa295 0x43a868f0 @@ -177542,6 +221928,8 @@ 1 0 0 +4 +5 3 0x5750 0x9f8ff8b8 @@ -177550,6 +221938,8 @@ 1 0 0 +2 +2 4 0x7a9a 0x50bb8f02 @@ -177558,6 +221948,8 @@ 0 0 0 +5 +0 3 0x3024 0x515e8f97 @@ -177566,6 +221958,8 @@ 1 1 0 +3 +2 2 0x4554 0x299d1f7d @@ -177574,6 +221968,8 @@ 0 0 0 +3 +5 4 0x2b38 0x4b129f0e @@ -177582,6 +221978,8 @@ 0 0 0 +3 +1 1 0xe89c 0x59ece416 @@ -177591,6 +221989,8 @@ 0 0 3 +1 +3 0x8576 0x31e7fdce 256 @@ -177598,6 +221998,8 @@ 1 1 0 +4 +1 3 0x4d09 0xca307eb6 @@ -177606,6 +222008,8 @@ 0 0 0 +4 +0 3 0xc361 0x393d1e78 @@ -177614,6 +222018,8 @@ 0 0 0 +1 +1 4 0xea87 0x847e9d7 @@ -177623,6 +222029,8 @@ 0 0 4 +3 +4 0xa782 0xa56bc43b 256 @@ -177630,6 +222038,8 @@ 0 0 0 +5 +5 1 0x2b73 0x85836a8d @@ -177638,6 +222048,8 @@ 0 0 0 +2 +4 3 0x57f2 0xd62d07fd @@ -177646,6 +222058,8 @@ 1 0 0 +0 +0 2 0x7de1 0x2308aaae @@ -177654,6 +222068,8 @@ 0 0 0 +5 +5 1 0x6ebe 0xb077b806 @@ -177663,6 +222079,8 @@ 0 0 1 +5 +1 0x17a1 0x407f5928 256 @@ -177670,6 +222088,8 @@ 1 1 0 +3 +2 1 0xe4c9 0xcaee8d96 @@ -177679,6 +222099,8 @@ 0 0 4 +4 +4 0xdab7 0x3a46ed30 256 @@ -177686,6 +222108,8 @@ 1 1 0 +4 +4 1 0x603c 0xff16c344 @@ -177695,6 +222119,8 @@ 1 0 4 +3 +4 0x745c 0xac21f556 256 @@ -177702,6 +222128,8 @@ 1 1 0 +0 +4 2 0x6710 0x7c9e5958 @@ -177711,6 +222139,8 @@ 0 0 2 +0 +2 0xc50 0x17a5c070 256 @@ -177718,6 +222148,8 @@ 0 0 0 +3 +1 2 0xcda8 0x194611ad @@ -177726,6 +222158,8 @@ 0 0 0 +3 +4 2 0x719 0x799987ad @@ -177734,6 +222168,8 @@ 1 1 0 +0 +0 2 0x2d50 0x5525b02f @@ -177743,6 +222179,8 @@ 1 0 1 +5 +1 0xc963 0x82f9bd65 256 @@ -177750,6 +222188,8 @@ 1 0 0 +3 +0 2 0x134a 0xe3dad6c7 @@ -177758,6 +222198,8 @@ 1 0 0 +1 +1 4 0x73f1 0x303c24a3 @@ -177766,6 +222208,8 @@ 1 0 0 +5 +0 2 0xd05a 0x5f2a5160 @@ -177774,6 +222218,8 @@ 0 0 0 +0 +5 1 0x4246 0xfe29d830 @@ -177782,6 +222228,8 @@ 0 0 0 +2 +4 4 0xd634 0xfe99a8bf @@ -177790,6 +222238,8 @@ 1 1 0 +0 +5 4 0xe1d7 0x9aaad65b @@ -177798,6 +222248,8 @@ 0 0 0 +3 +1 2 0x1531 0x4ea0eaa @@ -177806,6 +222258,8 @@ 1 1 0 +0 +2 2 0xaf92 0x4d63ad65 @@ -177814,6 +222268,8 @@ 1 0 0 +4 +2 3 0xa1db 0xde0a46de @@ -177822,6 +222278,8 @@ 0 0 0 +5 +5 4 0x45d 0x78791d0b @@ -177831,6 +222289,8 @@ 1 0 3 +5 +3 0x7325 0xa9df7eb9 256 @@ -177839,6 +222299,8 @@ 0 0 3 +2 +3 0xf72f 0x674e41e 256 @@ -177846,6 +222308,8 @@ 1 0 0 +2 +0 1 0x5fb8 0xb765ef5c @@ -177854,6 +222318,8 @@ 0 0 0 +0 +1 2 0xe87d 0xe1aea4c9 @@ -177862,6 +222328,8 @@ 0 0 0 +5 +1 2 0x86b8 0xda3b6068 @@ -177871,6 +222339,8 @@ 0 0 4 +0 +4 0xe53a 0x403960d8 256 @@ -177878,6 +222348,8 @@ 0 0 0 +1 +0 2 0x2813 0x7f21070b @@ -177886,6 +222358,8 @@ 1 1 0 +1 +2 4 0xdddc 0xdbc76f7f @@ -177894,6 +222368,8 @@ 1 1 0 +1 +1 3 0xc90f 0x22cf54b5 @@ -177902,6 +222378,8 @@ 0 0 0 +5 +4 1 0x3b0 0xd629dc92 @@ -177910,6 +222388,8 @@ 1 1 0 +2 +5 1 0x3a76 0xea0bfaaf @@ -177918,6 +222398,8 @@ 1 0 0 +0 +1 4 0x627 0xf99ec966 @@ -177927,6 +222409,8 @@ 1 0 3 +5 +3 0xfd59 0xbfc59b8c 256 @@ -177935,6 +222419,8 @@ 0 0 3 +4 +3 0x7065 0x73f3fb48 256 @@ -177943,6 +222429,8 @@ 0 0 3 +2 +3 0x3817 0x81b2fe38 256 @@ -177950,6 +222438,8 @@ 0 0 0 +0 +0 4 0x7e62 0x14b6b035 @@ -177958,6 +222448,8 @@ 1 1 0 +0 +4 4 0x92d 0xdc76780 @@ -177966,6 +222458,8 @@ 0 0 0 +2 +0 1 0x92c9 0x4cc5eeb3 @@ -177975,6 +222469,8 @@ 0 0 4 +5 +4 0x7a33 0xe125c628 256 @@ -177982,6 +222478,8 @@ 0 0 0 +5 +1 3 0x8f2d 0xc39819d5 @@ -177990,6 +222488,8 @@ 0 0 0 +5 +5 3 0x4e76 0xa6e52898 @@ -177998,6 +222498,8 @@ 0 0 0 +5 +2 1 0xa68 0x2d134b2f @@ -178006,6 +222508,8 @@ 0 0 0 +5 +5 1 0xf64a 0x731861df @@ -178014,6 +222518,8 @@ 1 0 0 +4 +1 3 0x7ba3 0xc9754297 @@ -178023,6 +222529,8 @@ 0 0 4 +3 +4 0xd82a 0x61eb25ef 256 @@ -178030,6 +222538,8 @@ 1 1 0 +4 +3 3 0xa3e4 0x581d43aa @@ -178038,6 +222548,8 @@ 0 0 0 +5 +0 1 0x9f5d 0x4a75e247 @@ -178047,6 +222559,8 @@ 0 0 3 +2 +3 0x61e1 0x96e1e960 256 @@ -178054,6 +222568,8 @@ 0 0 0 +5 +0 1 0x6a22 0x9067ffe2 @@ -178062,6 +222578,8 @@ 1 1 0 +4 +4 2 0x761b 0xd49b1307 @@ -178071,6 +222589,8 @@ 0 0 4 +1 +4 0x3b1 0x44253f40 256 @@ -178078,6 +222598,8 @@ 0 0 0 +2 +4 4 0x6889 0x4e140481 @@ -178087,6 +222609,8 @@ 0 0 4 +3 +4 0xd6d4 0x629f95c9 256 @@ -178094,6 +222618,8 @@ 1 1 0 +3 +0 1 0x25ec 0xeec1ddbb @@ -178102,6 +222628,8 @@ 1 1 0 +3 +4 4 0xf8b 0xcbad7021 @@ -178111,6 +222639,8 @@ 0 0 4 +2 +4 0x18a0 0x5be6e360 256 @@ -178118,6 +222648,8 @@ 1 1 0 +2 +4 4 0x6898 0x527b28ae @@ -178127,6 +222659,8 @@ 1 0 1 +4 +1 0x7c9c 0x3c3d1c49 256 @@ -178134,6 +222668,8 @@ 1 1 0 +4 +2 1 0x8e19 0x212dd1dd @@ -178142,6 +222678,8 @@ 0 0 0 +0 +1 1 0x4a5 0x919ba54b @@ -178150,6 +222688,8 @@ 0 0 0 +4 +2 2 0xe738 0xce530eec @@ -178158,6 +222698,8 @@ 1 1 0 +1 +0 2 0x48f2 0x8634029c @@ -178166,6 +222708,8 @@ 1 0 0 +3 +1 2 0x220d 0x3357b8e5 @@ -178174,6 +222718,8 @@ 0 0 0 +4 +5 3 0x516c 0x928988c9 @@ -178182,6 +222728,8 @@ 1 0 0 +5 +0 4 0xa9bb 0x6e16a7ac @@ -178190,6 +222738,8 @@ 1 1 0 +1 +1 4 0x85b 0x36b81b4 @@ -178198,6 +222748,8 @@ 1 0 0 +2 +2 4 0x2dce 0xce644d60 @@ -178206,6 +222758,8 @@ 0 0 0 +1 +3 4 0xe09c 0xb4d2a4ea @@ -178214,6 +222768,8 @@ 0 0 0 +0 +4 1 0x2793 0x3dcc180a @@ -178223,6 +222779,8 @@ 1 0 2 +2 +2 0x2dc2 0x636b2c4d 256 @@ -178230,6 +222788,8 @@ 1 1 0 +3 +0 2 0x78b9 0x489ef680 @@ -178238,6 +222798,8 @@ 1 1 0 +0 +2 3 0xf04b 0xb3ab7106 @@ -178246,6 +222808,8 @@ 0 0 0 +5 +3 1 0x49aa 0x5f3f7b27 @@ -178254,6 +222818,8 @@ 0 0 0 +4 +5 2 0x9739 0xf1986795 @@ -178262,6 +222828,8 @@ 1 0 0 +0 +3 4 0xd570 0xd92f7927 @@ -178270,6 +222838,8 @@ 0 0 0 +1 +4 4 0xaecf 0x83ab9a1a @@ -178278,6 +222848,8 @@ 1 0 0 +3 +3 4 0x5460 0x1ab5cc4 @@ -178286,6 +222858,8 @@ 0 0 0 +3 +0 4 0x622d 0x3562e782 @@ -178294,6 +222868,8 @@ 0 0 0 +1 +0 2 0x14be 0x237b4447 @@ -178303,6 +222879,8 @@ 0 0 2 +4 +2 0xb85c 0xe1a2fe4c 256 @@ -178310,6 +222888,8 @@ 0 0 0 +0 +2 3 0xbd66 0x221e47ac @@ -178318,6 +222898,8 @@ 1 1 0 +4 +5 3 0x22ee 0x7342615c @@ -178326,6 +222908,8 @@ 1 1 0 +4 +4 1 0xd221 0x3e3628ad @@ -178334,6 +222918,8 @@ 0 0 0 +2 +4 3 0x6cea 0x75683ee0 @@ -178343,6 +222929,8 @@ 0 0 2 +2 +2 0x8386 0xa68898d5 256 @@ -178350,6 +222938,8 @@ 1 1 0 +5 +0 1 0x9f17 0xb9841bd @@ -178359,6 +222949,8 @@ 0 0 3 +2 +3 0x1689 0x683b805c 256 @@ -178366,6 +222958,8 @@ 1 0 0 +1 +1 2 0xeafb 0x9aa989fb @@ -178374,6 +222968,8 @@ 1 1 0 +1 +5 3 0x4386 0x3d312bb4 @@ -178382,6 +222978,8 @@ 1 0 0 +5 +4 2 0x5987 0x7182cd21 @@ -178390,6 +222988,8 @@ 1 1 0 +2 +0 1 0x8ff1 0x67b412 @@ -178398,6 +222998,8 @@ 1 0 0 +2 +3 3 0x407c 0xf8fe6b3 @@ -178406,6 +223008,8 @@ 1 1 0 +5 +3 2 0x56ba 0x417219c4 @@ -178415,6 +223019,8 @@ 1 0 4 +4 +4 0xc241 0xc33284c3 256 @@ -178422,6 +223028,8 @@ 0 0 0 +5 +3 1 0x4082 0x96796fad @@ -178430,6 +223038,8 @@ 0 0 0 +5 +2 1 0x408b 0x19c1a81 @@ -178438,6 +223048,8 @@ 1 0 0 +1 +2 3 0x4f32 0xd37ad9e4 @@ -178447,6 +223059,8 @@ 1 0 2 +0 +2 0xf351 0x23d41ec6 256 @@ -178454,6 +223068,8 @@ 1 0 0 +4 +4 2 0xad01 0xa6660260 @@ -178462,6 +223078,8 @@ 1 0 0 +1 +5 2 0x5ca 0xe521f4d7 @@ -178470,6 +223088,8 @@ 0 0 0 +3 +3 1 0xf7ed 0x8ee401c5 @@ -178478,6 +223098,8 @@ 0 0 0 +3 +2 4 0x85e 0x9b5c149a @@ -178486,6 +223108,8 @@ 0 0 0 +5 +2 4 0x9b1e 0x3721dfb1 @@ -178495,6 +223119,8 @@ 0 0 3 +3 +3 0x3c04 0x600d2e6 256 @@ -178502,6 +223128,8 @@ 0 0 0 +2 +0 1 0xec64 0x78641c92 @@ -178511,6 +223139,8 @@ 1 0 4 +4 +4 0x9922 0xb9b58e67 256 @@ -178519,6 +223149,8 @@ 0 0 4 +5 +4 0xeed7 0x53e471e7 256 @@ -178526,6 +223158,8 @@ 1 0 0 +0 +1 3 0x81a2 0x1917f3d9 @@ -178534,6 +223168,8 @@ 0 0 0 +3 +4 1 0xadce 0xd23f444b @@ -178542,6 +223178,8 @@ 1 0 0 +2 +4 1 0x460a 0xeec5689 @@ -178550,6 +223188,8 @@ 1 0 0 +2 +1 4 0x87c9 0xef234e3f @@ -178558,6 +223198,8 @@ 0 0 0 +5 +0 3 0x3f0b 0x3207d078 @@ -178566,6 +223208,8 @@ 1 0 0 +4 +5 1 0x61c0 0x30dd21a @@ -178574,6 +223218,8 @@ 1 1 0 +0 +5 2 0x32ba 0x4085c1be @@ -178582,6 +223228,8 @@ 0 0 0 +1 +5 4 0xbd97 0xc70aaf96 @@ -178590,6 +223238,8 @@ 0 0 0 +2 +5 3 0x4e1c 0xe171475e @@ -178598,6 +223248,8 @@ 1 0 0 +5 +4 1 0xee3d 0x4d3856ab @@ -178606,6 +223258,8 @@ 1 1 0 +1 +3 2 0xc7dc 0x4d9ab6fe @@ -178614,6 +223268,8 @@ 1 1 0 +5 +5 1 0xdd14 0xaa872c2c @@ -178622,6 +223278,8 @@ 0 0 0 +2 +2 3 0x4ab0 0x5cbd6113 @@ -178630,6 +223288,8 @@ 1 0 0 +1 +3 4 0xd8d3 0x67c96098 @@ -178638,6 +223298,8 @@ 0 0 0 +3 +2 4 0x3dbf 0x82810f0c @@ -178646,6 +223308,8 @@ 1 1 0 +1 +1 3 0x2a4b 0xce599770 @@ -178654,6 +223318,8 @@ 0 0 0 +5 +4 1 0x9f29 0x36bb019b @@ -178662,6 +223328,8 @@ 0 0 0 +1 +4 4 0xca50 0xbe9816d8 @@ -178670,6 +223338,8 @@ 1 0 0 +3 +0 4 0x6398 0x1c09fcf7 @@ -178679,6 +223349,8 @@ 1 0 2 +1 +2 0xef30 0x816f2e6b 256 @@ -178686,6 +223358,8 @@ 0 0 0 +4 +5 1 0xa08b 0x52d625b9 @@ -178694,6 +223368,8 @@ 0 0 0 +1 +4 2 0xafa1 0x6f260ab7 @@ -178702,6 +223378,8 @@ 1 0 0 +3 +5 4 0xcb 0x6af6b649 @@ -178710,6 +223388,8 @@ 0 0 0 +0 +0 4 0x75e 0x69b693fb @@ -178718,6 +223398,8 @@ 1 1 0 +5 +4 2 0x14a3 0x25153733 @@ -178726,6 +223408,8 @@ 1 1 0 +1 +0 2 0xc779 0xce0b441 @@ -178734,6 +223418,8 @@ 1 0 0 +5 +3 2 0x940b 0x7677e7eb @@ -178742,6 +223428,8 @@ 0 0 0 +3 +5 1 0xe04c 0x46288f70 @@ -178750,6 +223438,8 @@ 1 0 0 +1 +3 4 0x14b7 0x4906ec7a @@ -178758,6 +223448,8 @@ 1 0 0 +0 +0 2 0xdd6d 0xb0faa192 @@ -178766,6 +223458,8 @@ 1 0 0 +2 +2 3 0x1bba 0xfd43cb74 @@ -178775,6 +223469,8 @@ 1 0 1 +5 +1 0xbbab 0x5779f280 256 @@ -178782,6 +223478,8 @@ 0 0 0 +0 +1 1 0x3278 0x46edae93 @@ -178790,6 +223488,8 @@ 0 0 0 +3 +2 2 0x144d 0xde75d8ab @@ -178798,6 +223498,8 @@ 1 0 0 +3 +3 2 0x426c 0x9ddd3f48 @@ -178806,6 +223508,8 @@ 1 0 0 +4 +1 2 0xecf5 0x1e972de2 @@ -178814,6 +223518,8 @@ 0 0 0 +0 +2 2 0x223c 0x2fa87ef7 @@ -178822,6 +223528,8 @@ 0 0 0 +4 +2 3 0x2d89 0x3b701ca4 @@ -178830,6 +223538,8 @@ 1 0 0 +4 +4 3 0xd3b 0x3b967e43 @@ -178838,6 +223548,8 @@ 0 0 0 +0 +4 1 0xa94e 0x975317e9 @@ -178846,6 +223558,8 @@ 1 1 0 +2 +4 1 0x57d3 0x1c0ea0c9 @@ -178855,6 +223569,8 @@ 0 0 3 +1 +3 0xbd4a 0xbeeb9602 256 @@ -178862,6 +223578,8 @@ 0 0 0 +4 +0 2 0x5be1 0xee519ae7 @@ -178871,6 +223589,8 @@ 0 0 1 +0 +1 0x635c 0xaaca7545 256 @@ -178878,6 +223598,8 @@ 1 1 0 +3 +2 2 0xfb73 0x3ecb3df2 @@ -178886,6 +223608,8 @@ 0 0 0 +0 +5 3 0x668a 0xac809c61 @@ -178894,6 +223618,8 @@ 0 0 0 +3 +5 1 0x9021 0x21e5b559 @@ -178903,6 +223629,8 @@ 1 0 2 +3 +2 0x53cc 0x57415f2b 256 @@ -178910,6 +223638,8 @@ 0 0 0 +2 +2 4 0x6828 0x14df97af @@ -178918,6 +223648,8 @@ 1 1 0 +0 +0 3 0x5340 0xa9b6bec0 @@ -178926,6 +223658,8 @@ 0 0 0 +5 +2 3 0xb477 0x681935fd @@ -178934,6 +223668,8 @@ 0 0 0 +1 +1 4 0x64c7 0xa056799e @@ -178942,6 +223678,8 @@ 1 1 0 +0 +0 3 0x1003 0xd3390cd6 @@ -178950,6 +223688,8 @@ 1 0 0 +3 +0 4 0x7a05 0x842f882 @@ -178958,6 +223698,8 @@ 0 0 0 +0 +4 3 0x1ad9 0x785edcca @@ -178966,6 +223708,8 @@ 1 0 0 +4 +2 2 0x4f78 0x91527fb4 @@ -178974,6 +223718,8 @@ 1 1 0 +2 +3 4 0x6faf 0x34af6318 @@ -178982,6 +223728,8 @@ 0 0 0 +1 +4 4 0xecb5 0xd56d20b1 @@ -178991,6 +223739,8 @@ 0 0 4 +1 +4 0x12a1 0x89ce3453 256 @@ -178998,6 +223748,8 @@ 1 0 0 +0 +2 4 0x6752 0x83d3b0da @@ -179007,6 +223759,8 @@ 1 0 2 +4 +2 0x9661 0xe08c5429 256 @@ -179014,6 +223768,8 @@ 1 1 0 +4 +0 3 0x7643 0x8b994947 @@ -179022,6 +223778,8 @@ 1 1 0 +3 +1 1 0x8c2e 0xeb1791 @@ -179030,6 +223788,8 @@ 0 0 0 +4 +5 2 0x7389 0xc332e2bf @@ -179038,6 +223798,8 @@ 1 1 0 +2 +2 1 0xcb51 0x35b92e41 @@ -179046,6 +223808,8 @@ 0 0 0 +1 +5 4 0xf061 0x1decde90 @@ -179054,6 +223818,8 @@ 0 0 0 +5 +2 3 0xb2c6 0x99284765 @@ -179062,6 +223828,8 @@ 1 0 0 +3 +2 1 0xfd3a 0xc09dbd23 @@ -179070,6 +223838,8 @@ 1 1 0 +5 +5 2 0xa10a 0x16a22ae3 @@ -179078,6 +223848,8 @@ 1 0 0 +3 +0 4 0xb646 0x4480ccdb @@ -179086,6 +223858,8 @@ 0 0 0 +5 +2 2 0x3609 0x6d8d29ae @@ -179094,6 +223868,8 @@ 0 0 0 +5 +3 3 0x510e 0x3870198a @@ -179102,6 +223878,8 @@ 0 0 0 +1 +3 2 0xfcf7 0xd582df35 @@ -179110,6 +223888,8 @@ 1 1 0 +4 +1 2 0x8174 0xef87834d @@ -179118,6 +223898,8 @@ 0 0 0 +2 +4 3 0xe0f3 0x8517e985 @@ -179126,6 +223908,8 @@ 0 0 0 +5 +4 3 0xfef9 0x8b3ac1bb @@ -179134,6 +223918,8 @@ 0 0 0 +3 +3 4 0xc962 0xdda2ba83 @@ -179142,6 +223928,8 @@ 1 1 0 +0 +5 3 0x8763 0xaa425c97 @@ -179150,6 +223938,8 @@ 0 0 0 +2 +0 4 0x2a5f 0x70e86207 @@ -179158,6 +223948,8 @@ 0 0 0 +5 +1 3 0x5144 0xfa242e81 @@ -179166,6 +223958,8 @@ 0 0 0 +5 +5 2 0x7297 0xcededecf @@ -179174,6 +223968,8 @@ 0 0 0 +1 +3 3 0x13e9 0xdece1269 @@ -179182,6 +223978,8 @@ 0 0 0 +0 +2 4 0x9371 0x3bb7d834 @@ -179190,6 +223988,8 @@ 1 0 0 +1 +4 4 0x6fc9 0xff6f172a @@ -179198,6 +223998,8 @@ 1 0 0 +5 +2 3 0x6d36 0x7bb36337 @@ -179206,6 +224008,8 @@ 1 1 0 +1 +3 2 0x8e29 0x96834843 @@ -179214,6 +224018,8 @@ 0 0 0 +3 +5 1 0x90d 0x14ce5b36 @@ -179222,6 +224028,8 @@ 0 0 0 +1 +1 3 0x2955 0x850c38b6 @@ -179230,6 +224038,8 @@ 0 0 0 +0 +5 2 0x9ef0 0x15e2c77b @@ -179238,6 +224048,8 @@ 1 1 0 +1 +4 3 0xfe69 0xdbc84e7c @@ -179246,6 +224058,8 @@ 0 0 0 +4 +2 1 0xd54 0xcfc537dc @@ -179254,6 +224068,8 @@ 0 0 0 +1 +3 3 0x9a6 0xcf0ba2b1 @@ -179262,6 +224078,8 @@ 0 0 0 +5 +1 2 0x1d72 0xea49ebd4 @@ -179270,6 +224088,8 @@ 0 0 0 +3 +2 2 0xe287 0x59517195 @@ -179279,6 +224099,8 @@ 0 0 1 +5 +1 0x2f5a 0x40ff7d34 256 @@ -179286,6 +224108,8 @@ 0 0 0 +5 +1 3 0x50d7 0xd2c9f5e1 @@ -179294,6 +224118,8 @@ 0 0 0 +3 +0 2 0x1abc 0x51eb2c90 @@ -179302,6 +224128,8 @@ 1 1 0 +2 +3 4 0xe7c3 0xee4d3542 @@ -179310,6 +224138,8 @@ 0 0 0 +1 +0 2 0xf433 0x2fb1bd7b @@ -179318,6 +224148,8 @@ 1 1 0 +4 +4 1 0x96a7 0x38d03108 @@ -179326,6 +224158,8 @@ 0 0 0 +0 +2 2 0x44ad 0xd613ed85 @@ -179334,6 +224168,8 @@ 0 0 0 +3 +5 4 0xd865 0x1ba85a8c @@ -179342,6 +224178,8 @@ 0 0 0 +1 +5 2 0x8b3f 0x29744e45 @@ -179350,6 +224188,8 @@ 1 1 0 +3 +5 1 0x8fbd 0x2f88ee4a @@ -179358,6 +224198,8 @@ 0 0 0 +4 +1 1 0xff22 0x480088f3 @@ -179366,6 +224208,8 @@ 1 0 0 +5 +3 4 0x74a5 0x3d18eb4b @@ -179374,6 +224218,8 @@ 0 0 0 +1 +3 4 0x6735 0x576938f5 @@ -179382,6 +224228,8 @@ 1 1 0 +0 +4 3 0xb78e 0xd3c86ec8 @@ -179390,6 +224238,8 @@ 0 0 0 +5 +3 1 0xe065 0xea36693f @@ -179398,6 +224248,8 @@ 1 1 0 +5 +1 2 0xbacb 0x70848c56 @@ -179406,6 +224258,8 @@ 0 0 0 +5 +2 4 0x2af0 0xcf961de3 @@ -179414,6 +224268,8 @@ 0 0 0 +0 +5 4 0xe71c 0x64133b49 @@ -179422,6 +224278,8 @@ 0 0 0 +2 +0 4 0xf8a 0xcbd71a37 @@ -179430,6 +224288,8 @@ 1 0 0 +0 +4 4 0x65c6 0xfd733e74 @@ -179438,6 +224298,8 @@ 1 0 0 +0 +5 3 0x6c58 0x412e1d47 @@ -179446,6 +224308,8 @@ 1 1 0 +2 +5 4 0x54e2 0x6c4a5a4d @@ -179454,6 +224318,8 @@ 1 0 0 +0 +4 4 0xfc46 0x63ffbb92 @@ -179462,6 +224328,8 @@ 1 1 0 +2 +2 3 0xc3a6 0x67146d6c @@ -179470,6 +224338,8 @@ 1 1 0 +2 +1 1 0xcc 0x7e10bba2 @@ -179478,6 +224348,8 @@ 0 0 0 +1 +5 4 0x8c6b 0x42f48685 @@ -179486,6 +224358,8 @@ 0 0 0 +3 +5 4 0x8e0 0x31fb8f65 @@ -179494,6 +224368,8 @@ 1 1 0 +1 +4 4 0x4a72 0xdefcb1a4 @@ -179502,6 +224378,8 @@ 1 0 0 +4 +0 2 0x4406 0xa7ebdb81 @@ -179510,6 +224388,8 @@ 1 1 0 +1 +3 3 0x8707 0x97d9e64f @@ -179518,6 +224398,8 @@ 1 1 0 +2 +3 4 0x9a88 0xf1853a2f @@ -179526,6 +224408,8 @@ 1 1 0 +3 +1 2 0x6a67 0xafd2ca9a @@ -179534,6 +224418,8 @@ 0 0 0 +2 +4 4 0xb5fd 0xd3062784 @@ -179542,6 +224428,8 @@ 1 0 0 +0 +4 4 0x20be 0x6fdd04e2 @@ -179550,6 +224438,8 @@ 1 0 0 +0 +2 3 0xb338 0xb428c0b8 @@ -179558,6 +224448,8 @@ 1 0 0 +0 +0 2 0x25d 0x9de20787 @@ -179566,6 +224458,8 @@ 0 0 0 +2 +3 4 0x1531 0x9ecd0f8f @@ -179574,6 +224468,8 @@ 1 1 0 +1 +1 4 0xcae0 0xa6fe74f0 @@ -179583,6 +224479,8 @@ 0 0 4 +1 +4 0x32d0 0x42c12e17 256 @@ -179590,6 +224488,8 @@ 0 0 0 +0 +5 2 0x8c92 0xf680f436 @@ -179598,6 +224498,8 @@ 0 0 0 +3 +1 1 0xb19 0xcbb0f8d1 @@ -179606,6 +224508,8 @@ 1 0 0 +5 +4 3 0x2923 0x3216280e @@ -179614,6 +224518,8 @@ 1 1 0 +5 +0 2 0x131e 0x46cd530c @@ -179622,6 +224528,8 @@ 1 0 0 +1 +4 3 0x9225 0x7241434c @@ -179631,6 +224539,8 @@ 0 0 4 +4 +4 0xb42d 0x9116c2dd 256 @@ -179639,6 +224549,8 @@ 1 0 3 +0 +3 0x4186 0x2f804247 256 @@ -179646,6 +224558,8 @@ 1 0 0 +2 +0 1 0xa3d2 0xe9d45a70 @@ -179654,6 +224568,8 @@ 1 0 0 +0 +3 2 0x71a5 0x4086a693 @@ -179662,6 +224578,8 @@ 1 0 0 +3 +0 4 0x8f27 0x68d0aeba @@ -179670,6 +224588,8 @@ 0 0 0 +2 +2 4 0x142c 0xaa6ac514 @@ -179679,6 +224599,8 @@ 1 0 4 +0 +4 0x7f51 0x9d767ba3 256 @@ -179686,6 +224608,8 @@ 1 1 0 +5 +3 4 0xc623 0xdabb77f8 @@ -179694,6 +224618,8 @@ 0 0 0 +4 +1 2 0x287d 0x20eb90a9 @@ -179702,6 +224628,8 @@ 0 0 0 +5 +2 4 0x7524 0x8278cdc @@ -179710,6 +224638,8 @@ 1 0 0 +4 +3 1 0x2124 0xaa922023 @@ -179718,6 +224648,8 @@ 1 0 0 +3 +4 4 0xf8cf 0xde569f9c @@ -179726,6 +224658,8 @@ 0 0 0 +0 +0 1 0x1b82 0x66f4f69 @@ -179734,6 +224668,8 @@ 0 0 0 +0 +3 2 0x317d 0x180890b5 @@ -179742,6 +224678,8 @@ 1 1 0 +3 +4 1 0x5dc2 0x8d53ce2a @@ -179750,6 +224688,8 @@ 1 1 0 +2 +3 3 0x303c 0x918cb3c1 @@ -179758,6 +224698,8 @@ 0 0 0 +0 +3 1 0x720 0xa28bf36 @@ -179767,6 +224709,8 @@ 0 0 2 +2 +2 0xf448 0xb215f2f0 256 @@ -179774,6 +224718,8 @@ 0 0 0 +1 +1 3 0xc47e 0xb9c9dc24 @@ -179782,6 +224728,8 @@ 1 1 0 +5 +0 4 0x916b 0xaace15c4 @@ -179790,6 +224738,8 @@ 0 0 0 +1 +2 3 0xebbe 0x137ad1dd @@ -179798,6 +224748,8 @@ 0 0 0 +5 +0 3 0xaf3 0x5c21d9a2 @@ -179806,6 +224758,8 @@ 1 0 0 +2 +4 1 0xd623 0xe918f14b @@ -179814,6 +224768,8 @@ 1 0 0 +5 +1 1 0xdbbc 0x9da34f36 @@ -179822,6 +224778,8 @@ 1 1 0 +2 +4 1 0xc576 0x54008542 @@ -179830,6 +224788,8 @@ 0 0 0 +5 +3 4 0x8481 0xce7bb8eb @@ -179839,6 +224799,8 @@ 0 0 3 +2 +3 0xf4c1 0x122eccd1 256 @@ -179847,6 +224809,8 @@ 0 0 3 +0 +3 0xfc9 0xd0b6a7af 256 @@ -179855,6 +224819,8 @@ 0 0 3 +1 +3 0x13a9 0xcc789196 256 @@ -179862,6 +224828,8 @@ 1 1 0 +5 +2 4 0x5ad3 0xf9e58806 @@ -179870,6 +224838,8 @@ 0 0 0 +5 +1 1 0xe4bc 0x70488f9e @@ -179878,6 +224848,8 @@ 1 1 0 +2 +1 4 0xe09e 0x50e5a9a3 @@ -179886,6 +224858,8 @@ 1 0 0 +1 +2 2 0xe063 0x9d5775dc @@ -179894,6 +224868,8 @@ 1 1 0 +5 +4 2 0xc7d7 0x522f9c67 @@ -179903,6 +224879,8 @@ 1 0 1 +3 +1 0x8e26 0x1a82477a 256 @@ -179910,6 +224888,8 @@ 0 0 0 +0 +2 4 0x7db2 0x6a08f458 @@ -179918,6 +224898,8 @@ 0 0 0 +1 +5 4 0x51a3 0x418a1cc2 @@ -179926,6 +224908,8 @@ 0 0 0 +2 +3 3 0x8e41 0x8f8557cb @@ -179934,6 +224918,8 @@ 0 0 0 +0 +2 4 0xdbd0 0xeb36c51d @@ -179942,6 +224928,8 @@ 1 0 0 +0 +5 1 0x2a4e 0x1ad88d69 @@ -179950,6 +224938,8 @@ 0 0 0 +0 +1 3 0x270e 0x9936bcd7 @@ -179958,6 +224948,8 @@ 0 0 0 +1 +3 3 0x7e4c 0x807e83e4 @@ -179966,6 +224958,8 @@ 1 0 0 +0 +4 2 0x7ae 0xa105f5df @@ -179974,6 +224968,8 @@ 1 1 0 +0 +3 2 0xe4b8 0x6c0d9faa @@ -179982,6 +224978,8 @@ 0 0 0 +0 +3 2 0xed4a 0xb9f81b11 @@ -179991,6 +224989,8 @@ 1 0 2 +4 +2 0x841a 0x6d28b660 256 @@ -179998,6 +224998,8 @@ 1 1 0 +4 +4 2 0x35be 0x84a00773 @@ -180007,6 +225009,8 @@ 1 0 3 +2 +3 0x9626 0x3143e3a7 256 @@ -180014,6 +225018,8 @@ 1 0 0 +4 +2 3 0xfd8c 0x3d9658c @@ -180022,6 +225028,8 @@ 0 0 0 +1 +4 3 0xe141 0x6873f56e @@ -180031,6 +225039,8 @@ 0 0 3 +3 +3 0xe815 0x51cd1156 256 @@ -180038,6 +225048,8 @@ 1 0 0 +5 +4 4 0x8ad 0x87744b16 @@ -180046,6 +225058,8 @@ 1 0 0 +0 +0 2 0x3b41 0x4c5bbaf9 @@ -180054,6 +225068,8 @@ 0 0 0 +3 +5 1 0x4823 0x2bc47d8b @@ -180062,6 +225078,8 @@ 0 0 0 +0 +5 2 0xb75d 0xc2a10794 @@ -180070,6 +225088,8 @@ 0 0 0 +1 +3 4 0xa5dc 0x886604d6 @@ -180078,6 +225098,8 @@ 0 0 0 +2 +3 3 0x77c1 0xbb8f090c @@ -180087,6 +225109,8 @@ 0 0 3 +1 +3 0x940b 0xf5152406 256 @@ -180094,6 +225118,8 @@ 0 0 0 +5 +5 3 0x14d6 0xcf5de0c2 @@ -180102,6 +225128,8 @@ 1 0 0 +5 +5 1 0x90a6 0x1e1c7cd9 @@ -180110,6 +225138,8 @@ 1 1 0 +5 +0 4 0x42df 0x85e1aa0a @@ -180118,6 +225148,8 @@ 0 0 0 +2 +4 3 0x819e 0x5b4de402 @@ -180126,6 +225158,8 @@ 1 1 0 +3 +2 4 0x2400 0x8cab93a1 @@ -180134,6 +225168,8 @@ 0 0 0 +0 +4 3 0x7a1f 0x33f88ec5 @@ -180142,6 +225178,8 @@ 1 0 0 +5 +4 1 0x28 0x5c92add0 @@ -180150,6 +225188,8 @@ 1 1 0 +4 +1 2 0x69c6 0xccef3f0e @@ -180158,6 +225198,8 @@ 1 0 0 +5 +3 1 0x55c0 0x8ad78632 @@ -180166,6 +225208,8 @@ 1 0 0 +2 +4 3 0x3be3 0xa26c3feb @@ -180174,6 +225218,8 @@ 0 0 0 +5 +3 2 0x9c4b 0x985b8752 @@ -180182,6 +225228,8 @@ 1 1 0 +5 +5 2 0x912f 0x4cd6f0eb @@ -180190,6 +225238,8 @@ 0 0 0 +2 +5 3 0x288d 0xfd3ff26f @@ -180199,6 +225249,8 @@ 0 0 2 +5 +2 0xecec 0x66e4e11 256 @@ -180206,6 +225258,8 @@ 0 0 0 +2 +3 3 0xa279 0x7ea339d6 @@ -180214,6 +225268,8 @@ 0 0 0 +3 +5 4 0x23a 0xe085f8e8 @@ -180222,6 +225278,8 @@ 0 0 0 +0 +0 2 0xf3dd 0xce61f989 @@ -180231,6 +225289,8 @@ 0 0 4 +5 +4 0x4133 0x3c54ee45 256 @@ -180238,6 +225298,8 @@ 1 0 0 +2 +4 3 0x7c49 0xf067593a @@ -180246,6 +225308,8 @@ 1 1 0 +4 +4 1 0x5e6f 0x3328f0e2 @@ -180254,6 +225318,8 @@ 1 1 0 +1 +2 3 0xbecf 0xd1bdd09e @@ -180262,6 +225328,8 @@ 1 1 0 +4 +4 3 0x646e 0xc0a18a20 @@ -180270,6 +225338,8 @@ 0 0 0 +5 +3 2 0x51e1 0x2270c0fd @@ -180278,6 +225348,8 @@ 0 0 0 +1 +3 3 0x200 0xf5187e6d @@ -180286,6 +225358,8 @@ 0 0 0 +0 +4 2 0xc3c8 0x5dd7a2d1 @@ -180294,6 +225368,8 @@ 0 0 0 +0 +1 1 0xd94b 0x5265aed0 @@ -180303,6 +225379,8 @@ 0 0 1 +0 +1 0x84ff 0x45f0a2de 256 @@ -180310,6 +225388,8 @@ 1 0 0 +4 +2 1 0xa661 0xef14d01b @@ -180318,6 +225398,8 @@ 1 0 0 +3 +4 4 0x902b 0xc29fc5c4 @@ -180326,6 +225408,8 @@ 1 0 0 +1 +1 4 0x7d51 0x69118744 @@ -180335,6 +225419,8 @@ 0 0 2 +1 +2 0x3bf 0xa6e6e776 256 @@ -180343,6 +225429,8 @@ 1 0 3 +2 +3 0x63a9 0xfdfd36f4 256 @@ -180351,6 +225439,8 @@ 0 0 4 +2 +4 0xb553 0x28b8df74 256 @@ -180358,6 +225448,8 @@ 0 0 0 +5 +4 1 0x4bb1 0x7dafd535 @@ -180366,6 +225458,8 @@ 0 0 0 +3 +0 2 0x8d54 0xe6f40e94 @@ -180374,6 +225468,8 @@ 0 0 0 +3 +0 4 0x65b0 0x54dd1f82 @@ -180382,6 +225478,8 @@ 1 1 0 +3 +4 1 0xcdbd 0x7a3d644b @@ -180390,6 +225488,8 @@ 1 1 0 +3 +1 1 0xbfe4 0xb1aa5f6e @@ -180398,6 +225498,8 @@ 0 0 0 +0 +1 2 0x7141 0xbc3ce7f7 @@ -180406,6 +225508,8 @@ 0 0 0 +0 +4 3 0x4163 0xc206d5a4 @@ -180415,6 +225519,8 @@ 0 0 2 +0 +2 0xe5ea 0xe4347ffa 256 @@ -180422,6 +225528,8 @@ 0 0 0 +3 +3 4 0x98e9 0x50d14542 @@ -180430,6 +225538,8 @@ 1 1 0 +0 +2 3 0x9989 0xb2350287 @@ -180438,6 +225548,8 @@ 0 0 0 +1 +4 4 0xcd2b 0x176e9c31 @@ -180447,6 +225559,8 @@ 1 0 1 +0 +1 0x19a7 0x4db3db90 256 @@ -180454,6 +225568,8 @@ 1 0 0 +1 +2 4 0x3f8f 0x89811622 @@ -180463,6 +225579,8 @@ 0 0 3 +1 +3 0x8c78 0x5fc5c12a 256 @@ -180470,6 +225588,8 @@ 1 0 0 +5 +4 3 0xaed3 0x80ada02e @@ -180478,6 +225598,8 @@ 1 0 0 +5 +3 3 0x2678 0xe3d6b5fe @@ -180486,6 +225608,8 @@ 0 0 0 +2 +2 3 0x943 0x6485f59 @@ -180494,6 +225618,8 @@ 0 0 0 +1 +3 3 0x8e78 0xece2e938 @@ -180502,6 +225628,8 @@ 1 0 0 +2 +3 3 0xea46 0x9884d9d4 @@ -180510,6 +225638,8 @@ 1 0 0 +1 +5 3 0xfa41 0x9ee2cb9d @@ -180519,6 +225649,8 @@ 1 0 1 +0 +1 0xb740 0xdadf4e56 256 @@ -180526,6 +225658,8 @@ 0 0 0 +0 +4 2 0xfcc1 0x51a2e4a0 @@ -180534,6 +225668,8 @@ 1 0 0 +3 +5 1 0x6e63 0x8ec57bcb @@ -180542,6 +225678,8 @@ 0 0 0 +3 +3 4 0xc743 0x31af28ea @@ -180550,6 +225688,8 @@ 1 0 0 +0 +2 4 0xb1f6 0xa6e49a0 @@ -180558,6 +225698,8 @@ 0 0 0 +1 +4 2 0x972d 0xc6a8cf71 @@ -180566,6 +225708,8 @@ 0 0 0 +2 +5 1 0x329f 0x1081fca6 @@ -180574,6 +225718,8 @@ 0 0 0 +1 +5 2 0x3b10 0x4c1a54f5 @@ -180582,6 +225728,8 @@ 0 0 0 +1 +4 2 0x9048 0x94537301 @@ -180590,6 +225738,8 @@ 0 0 0 +1 +1 4 0xdfbe 0xaef89e36 @@ -180598,6 +225748,8 @@ 1 0 0 +3 +4 2 0xe14b 0x3a74b1d8 @@ -180606,6 +225758,8 @@ 0 0 0 +3 +0 4 0xe660 0x4fd7ae46 @@ -180614,6 +225768,8 @@ 1 1 0 +3 +4 2 0xa73a 0x534d8380 @@ -180622,6 +225778,8 @@ 1 1 0 +2 +5 3 0x29c7 0xd6e3d172 @@ -180630,6 +225788,8 @@ 0 0 0 +5 +2 3 0xb614 0xa7130a2c @@ -180639,6 +225799,8 @@ 0 0 2 +4 +2 0x9d97 0x5149ecaf 256 @@ -180647,6 +225809,8 @@ 1 0 1 +0 +1 0xa606 0x69167f13 256 @@ -180654,6 +225818,8 @@ 0 0 0 +1 +5 2 0x11f9 0x8ee62731 @@ -180662,6 +225828,8 @@ 1 0 0 +0 +4 3 0xb01e 0xa88cf1fc @@ -180670,6 +225838,8 @@ 0 0 0 +0 +1 2 0xd916 0x581f87eb @@ -180678,6 +225848,8 @@ 1 0 0 +0 +3 4 0x2630 0x74dabe2 @@ -180686,6 +225858,8 @@ 1 1 0 +4 +1 3 0x9eb0 0xf3f7c0ab @@ -180694,6 +225868,8 @@ 1 1 0 +4 +4 3 0x6f40 0x9bc29d9d @@ -180702,6 +225878,8 @@ 1 0 0 +0 +5 3 0x3164 0x73017a2d @@ -180710,6 +225888,8 @@ 0 0 0 +4 +3 1 0xc06a 0x9d3c7c28 @@ -180718,6 +225898,8 @@ 1 0 0 +2 +5 1 0x4784 0xd2f4af4d @@ -180726,6 +225908,8 @@ 1 1 0 +3 +5 2 0xecf0 0x861f158f @@ -180734,6 +225918,8 @@ 1 1 0 +4 +5 3 0x5112 0x5882e4b0 @@ -180743,6 +225929,8 @@ 0 0 4 +4 +4 0x7515 0xc461ea92 256 @@ -180750,6 +225938,8 @@ 0 0 0 +3 +5 4 0x4d17 0xd8e95ba4 @@ -180758,6 +225948,8 @@ 1 0 0 +5 +0 1 0xd6cb 0xa750df4b @@ -180766,6 +225958,8 @@ 0 0 0 +1 +4 4 0x351e 0x7aa67a8d @@ -180774,6 +225968,8 @@ 1 0 0 +4 +4 1 0x6905 0x932cbe0e @@ -180783,6 +225979,8 @@ 0 0 4 +1 +4 0x75d6 0x20153431 256 @@ -180791,6 +225989,8 @@ 0 0 1 +0 +1 0xa2d6 0xb83b3f26 256 @@ -180798,6 +225998,8 @@ 0 0 0 +4 +1 3 0x5674 0xb6fd759f @@ -180806,6 +226008,8 @@ 1 0 0 +3 +2 4 0xd1f 0x28e6ab3e @@ -180814,6 +226018,8 @@ 0 0 0 +0 +0 4 0x9721 0x4b817ade @@ -180822,6 +226028,8 @@ 0 0 0 +1 +3 4 0x5f62 0x26773044 @@ -180831,6 +226039,8 @@ 0 0 1 +3 +1 0x5272 0xd2d4974f 256 @@ -180839,6 +226049,8 @@ 0 0 2 +5 +2 0x72a8 0xc47a4e99 256 @@ -180846,6 +226058,8 @@ 0 0 0 +1 +2 2 0xacf0 0x96d72bcb @@ -180854,6 +226068,8 @@ 1 1 0 +5 +1 4 0x39e5 0x120cbb5e @@ -180862,6 +226078,8 @@ 0 0 0 +4 +1 2 0x8a60 0xf212c02a @@ -180870,6 +226088,8 @@ 1 1 0 +0 +2 4 0xcb4f 0xa4b98250 @@ -180878,6 +226098,8 @@ 0 0 0 +4 +4 3 0xd885 0xabdad2fb @@ -180886,6 +226108,8 @@ 0 0 0 +5 +4 3 0x692b 0x25c0acac @@ -180894,6 +226118,8 @@ 0 0 0 +1 +2 4 0xd566 0x2f0d5ba0 @@ -180903,6 +226129,8 @@ 0 0 4 +0 +4 0xd4d2 0x5c356bca 256 @@ -180910,6 +226138,8 @@ 1 0 0 +3 +3 4 0x10bc 0xb49b570f @@ -180918,6 +226148,8 @@ 1 0 0 +1 +2 2 0xc5ea 0x7629f6d3 @@ -180926,6 +226158,8 @@ 1 1 0 +3 +2 2 0x9b89 0x3a30936e @@ -180934,6 +226168,8 @@ 0 0 0 +2 +0 1 0x35c5 0x20ef7663 @@ -180942,6 +226178,8 @@ 1 1 0 +5 +2 1 0x4adc 0xb29d4bba @@ -180950,6 +226188,8 @@ 0 0 0 +4 +4 3 0xb8d 0xe11870bb @@ -180958,6 +226198,8 @@ 0 0 0 +2 +3 4 0xcee0 0x2f089185 @@ -180966,6 +226208,8 @@ 0 0 0 +4 +3 3 0x9789 0x4f557a84 @@ -180974,6 +226218,8 @@ 0 0 0 +5 +4 2 0xdb72 0x185af13 @@ -180982,6 +226228,8 @@ 1 1 0 +0 +5 4 0xb775 0x739fffbe @@ -180990,6 +226238,8 @@ 0 0 0 +5 +4 2 0xff71 0x58372f36 @@ -180998,6 +226248,8 @@ 1 1 0 +2 +1 3 0xd218 0xa788553f @@ -181006,6 +226258,8 @@ 0 0 0 +1 +0 2 0xdf85 0x9fcaff81 @@ -181014,6 +226268,8 @@ 0 0 0 +0 +2 1 0x7838 0xde6882e5 @@ -181023,6 +226279,8 @@ 0 0 3 +2 +3 0x3361 0x757bf0ee 256 @@ -181030,6 +226288,8 @@ 0 0 0 +0 +0 1 0x334 0x71e2f54e @@ -181038,6 +226298,8 @@ 0 0 0 +0 +3 4 0xe457 0x4b37dd5a @@ -181046,6 +226308,8 @@ 0 0 0 +0 +3 3 0xc4ae 0x38ef6b8 @@ -181054,6 +226318,8 @@ 1 0 0 +0 +4 1 0x7858 0x61446a8 @@ -181062,6 +226328,8 @@ 0 0 0 +4 +4 3 0x9799 0xaadf5e26 @@ -181070,6 +226338,8 @@ 0 0 0 +4 +4 2 0xdc58 0xbe829230 @@ -181078,6 +226348,8 @@ 0 0 0 +0 +2 4 0xabe8 0x48ae0f05 @@ -181086,6 +226358,8 @@ 0 0 0 +0 +2 2 0xd7c 0xab07680 @@ -181094,6 +226368,8 @@ 0 0 0 +3 +5 2 0x1e21 0x14977ae9 @@ -181103,6 +226379,8 @@ 0 0 4 +3 +4 0x10ee 0x13b16ec 256 @@ -181110,6 +226388,8 @@ 1 0 0 +3 +2 2 0x1d87 0x4946fa57 @@ -181118,6 +226398,8 @@ 0 0 0 +4 +2 3 0x80c7 0xb0ace686 @@ -181126,6 +226408,8 @@ 0 0 0 +5 +3 2 0xff8a 0xc41fa7d @@ -181134,6 +226418,8 @@ 0 0 0 +3 +2 1 0xf83a 0xb0aa49a4 @@ -181142,6 +226428,8 @@ 0 0 0 +3 +1 4 0x404c 0xa2aec763 @@ -181150,6 +226438,8 @@ 1 0 0 +0 +4 4 0x55cc 0xfe4d91b5 @@ -181158,6 +226448,8 @@ 0 0 0 +5 +4 3 0x6be8 0xdc409b50 @@ -181166,6 +226458,8 @@ 1 1 0 +4 +3 2 0x9eb8 0x4e192883 @@ -181174,6 +226468,8 @@ 1 1 0 +5 +0 2 0xffd9 0x1c939e79 @@ -181182,6 +226478,8 @@ 0 0 0 +2 +5 4 0xc897 0xab27d111 @@ -181190,6 +226488,8 @@ 1 0 0 +0 +5 2 0x563 0xeb3fa8ee @@ -181199,6 +226499,8 @@ 1 0 2 +3 +2 0x2f45 0xd47720ea 256 @@ -181206,6 +226508,8 @@ 0 0 0 +3 +5 4 0xec95 0xcb94de5f @@ -181214,6 +226518,8 @@ 0 0 0 +0 +1 1 0xfb0 0xb816d381 @@ -181223,6 +226529,8 @@ 1 0 1 +3 +1 0xd7ab 0x36a85a50 256 @@ -181230,6 +226538,8 @@ 0 0 0 +0 +5 4 0x1160 0x8f89c18c @@ -181238,6 +226548,8 @@ 0 0 0 +3 +2 1 0xd609 0x56b4a74b @@ -181246,6 +226558,8 @@ 1 1 0 +2 +1 4 0x4deb 0x3a1eaef5 @@ -181254,6 +226568,8 @@ 1 1 0 +4 +5 2 0xce1b 0x43fb654e @@ -181262,6 +226578,8 @@ 0 0 0 +5 +0 2 0xb1c6 0x86a60b92 @@ -181270,6 +226588,8 @@ 0 0 0 +5 +4 4 0x6f8d 0x3bb59752 @@ -181278,6 +226598,8 @@ 0 0 0 +5 +2 4 0x450 0x13d3944 @@ -181286,6 +226608,8 @@ 1 0 0 +3 +4 4 0xd219 0xb3da06c4 @@ -181295,6 +226619,8 @@ 1 0 1 +3 +1 0x2b8a 0x8996efe4 256 @@ -181302,6 +226628,8 @@ 1 1 0 +4 +5 1 0xcabc 0x3f241cc0 @@ -181310,6 +226638,8 @@ 1 1 0 +0 +4 1 0x467a 0x1a3112cc @@ -181318,6 +226648,8 @@ 1 1 0 +0 +0 2 0x9dba 0x26e51c3e @@ -181326,6 +226658,8 @@ 0 0 0 +1 +1 4 0xdeb1 0xb1a66afc @@ -181334,6 +226668,8 @@ 0 0 0 +5 +3 2 0x6cd8 0x1c57f77 @@ -181343,6 +226679,8 @@ 0 0 1 +0 +1 0xa133 0x6386c6a4 256 @@ -181351,6 +226689,8 @@ 1 0 3 +3 +3 0x1659 0x72e88acf 256 @@ -181358,6 +226698,8 @@ 0 0 0 +0 +3 2 0x7547 0xb5ee1e2c @@ -181366,6 +226708,8 @@ 0 0 0 +5 +1 2 0x6e3f 0xcb7b187d @@ -181374,6 +226718,8 @@ 1 0 0 +4 +4 1 0x4276 0x3d4bdbc1 @@ -181382,6 +226728,8 @@ 1 0 0 +5 +0 3 0x745b 0xeb0ba131 @@ -181390,6 +226738,8 @@ 1 0 0 +4 +1 1 0x6d68 0xddea5dc7 @@ -181398,6 +226748,8 @@ 0 0 0 +4 +1 3 0xe774 0x964b95e8 @@ -181406,6 +226758,8 @@ 0 0 0 +5 +3 3 0x13b9 0xd055bec8 @@ -181414,6 +226768,8 @@ 1 0 0 +5 +4 2 0x8d89 0xf3572ead @@ -181422,6 +226778,8 @@ 0 0 0 +4 +3 1 0xabc5 0xb059999a @@ -181431,6 +226789,8 @@ 0 0 2 +3 +2 0xdc5c 0x404bfcd8 256 @@ -181438,6 +226798,8 @@ 1 0 0 +0 +0 3 0xaf04 0x4531effb @@ -181446,6 +226808,8 @@ 0 0 0 +0 +3 4 0x6a28 0x5b773baf @@ -181454,6 +226818,8 @@ 1 1 0 +2 +2 3 0x5ab6 0xa9c379d8 @@ -181463,6 +226829,8 @@ 0 0 4 +3 +4 0xe8a6 0xaeabd3db 256 @@ -181471,6 +226839,8 @@ 1 0 4 +0 +4 0x5908 0x6292aac0 256 @@ -181478,6 +226848,8 @@ 0 0 0 +2 +4 1 0xf84f 0xe44e572a @@ -181486,6 +226858,8 @@ 1 1 0 +0 +3 3 0x6920 0x2c59b905 @@ -181494,6 +226868,8 @@ 0 0 0 +5 +0 2 0x192f 0xc2d0689a @@ -181502,6 +226878,8 @@ 0 0 0 +0 +2 3 0xdb4 0x3c3ab2d5 @@ -181511,6 +226889,8 @@ 0 0 3 +0 +3 0x108d 0x79cb0477 256 @@ -181519,6 +226899,8 @@ 0 0 2 +5 +2 0xcd6c 0x1e601d96 256 @@ -181526,6 +226908,8 @@ 0 0 0 +4 +5 1 0x7cb9 0x91b26d55 @@ -181534,6 +226918,8 @@ 1 1 0 +4 +5 3 0x207e 0xd314779 @@ -181543,6 +226929,8 @@ 0 0 4 +1 +4 0xcbec 0x8312cb34 256 @@ -181550,6 +226938,8 @@ 0 0 0 +1 +0 4 0xb32e 0xb4bb88a8 @@ -181558,6 +226948,8 @@ 0 0 0 +5 +3 3 0x671b 0x4c955a70 @@ -181566,6 +226958,8 @@ 1 1 0 +5 +1 4 0x4c75 0x7b28b33c @@ -181574,6 +226968,8 @@ 1 1 0 +0 +3 2 0x5f45 0x1d1facf9 @@ -181582,6 +226978,8 @@ 0 0 0 +4 +5 3 0x52 0x2dc66633 @@ -181590,6 +226988,8 @@ 1 1 0 +2 +3 4 0x36ef 0x80bdff20 @@ -181598,6 +226998,8 @@ 1 1 0 +5 +4 1 0x988b 0x20989507 @@ -181606,6 +227008,8 @@ 1 0 0 +4 +2 1 0x9f8d 0xfad69a45 @@ -181614,6 +227018,8 @@ 0 0 0 +4 +3 1 0xe66a 0x7a38e1b6 @@ -181622,6 +227028,8 @@ 1 0 0 +3 +0 4 0x2a3c 0xd41009ce @@ -181630,6 +227038,8 @@ 1 0 0 +3 +0 1 0x9dd0 0x833af17c @@ -181638,6 +227048,8 @@ 1 0 0 +0 +3 2 0x26de 0xf142c671 @@ -181646,6 +227058,8 @@ 0 0 0 +5 +0 2 0x206d 0xc51b77de @@ -181654,6 +227068,8 @@ 0 0 0 +4 +4 3 0x5445 0x82c7664 @@ -181662,6 +227078,8 @@ 1 1 0 +5 +1 4 0x56e0 0xd5565ef3 @@ -181670,6 +227088,8 @@ 1 0 0 +5 +5 3 0x2745 0xb120b809 @@ -181678,6 +227098,8 @@ 1 0 0 +4 +5 3 0x3ac4 0xe5417609 @@ -181686,6 +227108,8 @@ 1 0 0 +5 +2 4 0x7889 0x56fb198f @@ -181694,6 +227118,8 @@ 0 0 0 +2 +0 3 0xa273 0xf3350190 @@ -181702,6 +227128,8 @@ 1 1 0 +4 +1 3 0xa618 0xb5da2703 @@ -181711,6 +227139,8 @@ 0 0 4 +4 +4 0x8ae7 0x749dddb7 256 @@ -181718,6 +227148,8 @@ 1 0 0 +1 +5 3 0x5f64 0x482d0ad @@ -181727,6 +227159,8 @@ 1 0 1 +2 +1 0xb706 0xe960de22 256 @@ -181734,6 +227168,8 @@ 1 0 0 +2 +2 3 0x1819 0x7595f091 @@ -181742,6 +227178,8 @@ 1 1 0 +5 +1 1 0x9702 0xbaa915aa @@ -181750,6 +227188,8 @@ 0 0 0 +1 +1 3 0x929b 0xcbefe4 @@ -181758,6 +227198,8 @@ 0 0 0 +5 +1 4 0x75e1 0xab740bd9 @@ -181766,6 +227208,8 @@ 1 0 0 +5 +1 2 0xb1c5 0x4f882950 @@ -181774,6 +227218,8 @@ 0 0 0 +3 +3 1 0xd1e 0xe044176a @@ -181783,6 +227229,8 @@ 0 0 4 +3 +4 0xa37e 0xa0af479f 256 @@ -181790,6 +227238,8 @@ 0 0 0 +3 +3 1 0x62b2 0xd36fac97 @@ -181799,6 +227249,8 @@ 1 0 2 +0 +2 0x29d5 0xfe225703 256 @@ -181806,6 +227258,8 @@ 1 1 0 +3 +2 2 0x7c27 0x5d757e8d @@ -181814,6 +227268,8 @@ 1 0 0 +1 +0 4 0xf150 0x35883f24 @@ -181822,6 +227278,8 @@ 1 1 0 +5 +4 4 0x2c80 0x36eff42 @@ -181830,6 +227288,8 @@ 1 0 0 +3 +5 2 0x6019 0xd1bf1c53 @@ -181838,6 +227298,8 @@ 1 0 0 +4 +1 1 0x41c 0xe28a5251 @@ -181846,6 +227308,8 @@ 0 0 0 +2 +5 1 0xbf61 0xb362feb0 @@ -181855,6 +227319,8 @@ 0 0 4 +5 +4 0xcc47 0x25f6e0a8 256 @@ -181862,6 +227328,8 @@ 1 1 0 +1 +0 3 0x6a90 0x787a570a @@ -181870,6 +227338,8 @@ 1 0 0 +2 +2 4 0xa94f 0xd6b3dcb5 @@ -181878,6 +227348,8 @@ 1 1 0 +4 +1 1 0x30ce 0xc8e8e609 @@ -181886,6 +227358,8 @@ 1 1 0 +5 +4 2 0xba66 0x70621b67 @@ -181895,6 +227369,8 @@ 0 0 1 +1 +1 0xd925 0x2ba90349 256 @@ -181902,6 +227378,8 @@ 0 0 0 +2 +0 1 0x2707 0xfdfea7ff @@ -181911,6 +227389,8 @@ 0 0 2 +3 +2 0x9d42 0x4f69203d 256 @@ -181918,6 +227398,8 @@ 1 1 0 +1 +5 2 0x8a02 0x1fdc66ff @@ -181926,6 +227408,8 @@ 1 1 0 +4 +0 2 0xc7e6 0x47bae8cc @@ -181935,6 +227419,8 @@ 0 0 2 +0 +2 0x52e7 0x9f6084b4 256 @@ -181942,6 +227428,8 @@ 0 0 0 +0 +2 3 0xf080 0x54044ba @@ -181950,6 +227438,8 @@ 1 0 0 +3 +4 4 0xe3b4 0xf1769044 @@ -181959,6 +227449,8 @@ 0 0 2 +4 +2 0x109a 0x26997e37 256 @@ -181966,6 +227458,8 @@ 1 0 0 +0 +5 4 0x5e2d 0xdcf08a04 @@ -181974,6 +227468,8 @@ 0 0 0 +5 +3 1 0x61d7 0x85ab3eb4 @@ -181982,6 +227478,8 @@ 0 0 0 +2 +1 1 0x3d8 0xfa8f1cdd @@ -181991,6 +227489,8 @@ 0 0 4 +0 +4 0x932b 0x71e9d1f5 256 @@ -181998,6 +227498,8 @@ 1 1 0 +0 +5 2 0x4939 0x855484ba @@ -182006,6 +227508,8 @@ 1 0 0 +0 +4 1 0x86b3 0xfa672cf0 @@ -182014,6 +227518,8 @@ 0 0 0 +0 +3 3 0xe1d5 0x887adea @@ -182022,6 +227528,8 @@ 1 1 0 +4 +5 2 0xdd57 0x523e88e5 @@ -182030,6 +227538,8 @@ 1 1 0 +5 +1 1 0x1de3 0x6483b639 @@ -182039,6 +227549,8 @@ 1 0 2 +4 +2 0x6eb1 0x96052409 256 @@ -182046,6 +227558,8 @@ 1 1 0 +4 +1 1 0xe7c0 0x19002670 @@ -182054,6 +227568,8 @@ 0 0 0 +0 +1 2 0xf1e3 0xf160ad0c @@ -182062,6 +227578,8 @@ 1 1 0 +4 +1 2 0x8507 0xae0f8a38 @@ -182070,6 +227588,8 @@ 1 0 0 +3 +3 4 0xcb3f 0x4522d79f @@ -182078,6 +227598,8 @@ 0 0 0 +5 +1 1 0xbd48 0x51269481 @@ -182086,6 +227608,8 @@ 1 1 0 +4 +1 3 0x50c7 0xf6cd3c5c @@ -182094,6 +227618,8 @@ 0 0 0 +5 +5 1 0x35a2 0x3c7c548a @@ -182102,6 +227628,8 @@ 0 0 0 +0 +2 3 0xc512 0xede13474 @@ -182110,6 +227638,8 @@ 0 0 0 +5 +2 1 0x780b 0xc8b787b3 @@ -182118,6 +227648,8 @@ 0 0 0 +0 +2 4 0x6025 0x42984455 @@ -182127,6 +227659,8 @@ 0 0 4 +4 +4 0x6020 0x351ded84 256 @@ -182134,6 +227668,8 @@ 0 0 0 +0 +5 2 0xe9a5 0xca366cab @@ -182142,6 +227678,8 @@ 1 1 0 +0 +0 1 0xe566 0x3f208122 @@ -182150,6 +227688,8 @@ 1 0 0 +4 +4 3 0x59e 0x91c942f2 @@ -182158,6 +227698,8 @@ 0 0 0 +3 +1 4 0xc1a3 0x58f5e62 @@ -182166,6 +227708,8 @@ 1 1 0 +0 +3 2 0xb82c 0xa673f67d @@ -182174,6 +227718,8 @@ 0 0 0 +1 +1 2 0x9750 0xf51a2ad @@ -182182,6 +227728,8 @@ 0 0 0 +5 +2 4 0x4e6d 0x379fb80c @@ -182191,6 +227739,8 @@ 0 0 4 +2 +4 0xcb9f 0xbe7636b1 256 @@ -182199,6 +227749,8 @@ 0 0 2 +4 +2 0xb6ba 0x33499f52 256 @@ -182206,6 +227758,8 @@ 1 0 0 +5 +0 4 0x1d7d 0x59e5d80f @@ -182214,6 +227768,8 @@ 1 0 0 +0 +3 2 0xfe3d 0x58ad6591 @@ -182222,6 +227778,8 @@ 1 0 0 +0 +4 3 0x99a1 0xbf82ba32 @@ -182230,6 +227788,8 @@ 1 0 0 +4 +2 3 0x655f 0x5370c4cf @@ -182238,6 +227798,8 @@ 1 0 0 +5 +2 2 0x337f 0xd3eb9ff6 @@ -182246,6 +227808,8 @@ 1 1 0 +5 +2 2 0x2892 0x7df9da3c @@ -182254,6 +227818,8 @@ 1 0 0 +5 +4 1 0xca64 0xfd412e39 @@ -182262,6 +227828,8 @@ 1 0 0 +2 +3 3 0x4d0d 0xa78dc8c5 @@ -182270,6 +227838,8 @@ 0 0 0 +4 +2 3 0xd1b3 0x928f138d @@ -182278,6 +227848,8 @@ 1 0 0 +0 +3 4 0x7ce 0xdd8f5fe2 @@ -182286,6 +227858,8 @@ 1 1 0 +4 +1 2 0x28eb 0x270dff79 @@ -182294,6 +227868,8 @@ 1 0 0 +2 +4 1 0xd080 0xd04a5227 @@ -182302,6 +227878,8 @@ 1 0 0 +1 +2 4 0x4dfc 0x5869a7ba @@ -182310,6 +227888,8 @@ 0 0 0 +1 +0 3 0x85d4 0x4fe908c9 @@ -182318,6 +227898,8 @@ 1 0 0 +3 +2 2 0x3812 0x9acd9716 @@ -182326,6 +227908,8 @@ 0 0 0 +0 +5 4 0x358a 0x5a57736c @@ -182334,6 +227918,8 @@ 1 0 0 +2 +2 3 0xb190 0x609e9411 @@ -182342,6 +227928,8 @@ 0 0 0 +1 +5 2 0xe333 0x6243070f @@ -182351,6 +227939,8 @@ 0 0 4 +3 +4 0x134c 0x226fde8d 256 @@ -182358,6 +227948,8 @@ 0 0 0 +2 +0 3 0xcef4 0x6899f123 @@ -182366,6 +227958,8 @@ 0 0 0 +4 +0 1 0xbb6 0xef423f4d @@ -182374,6 +227968,8 @@ 0 0 0 +2 +3 4 0xbdb9 0x5fedff01 @@ -182382,6 +227978,8 @@ 0 0 0 +2 +3 4 0x846f 0x49712851 @@ -182390,6 +227988,8 @@ 0 0 0 +3 +1 4 0x3318 0xe5a93458 @@ -182398,6 +227998,8 @@ 1 1 0 +1 +1 4 0x4a98 0x8c9f1c81 @@ -182406,6 +228008,8 @@ 1 0 0 +0 +2 3 0x3262 0x14657e19 @@ -182415,6 +228019,8 @@ 1 0 2 +0 +2 0x558 0x41a07f5d 256 @@ -182422,6 +228028,8 @@ 1 0 0 +1 +2 4 0x6eec 0xe1c4b1d8 @@ -182431,6 +228039,8 @@ 0 0 3 +4 +3 0x31a5 0x35701bcd 256 @@ -182439,6 +228049,8 @@ 0 0 4 +2 +4 0x5262 0x8c916882 256 @@ -182447,6 +228059,8 @@ 0 0 2 +0 +2 0xe668 0xb13c86f5 256 @@ -182455,6 +228069,8 @@ 0 0 4 +5 +4 0xa164 0x8feb3541 256 @@ -182462,6 +228078,8 @@ 1 0 0 +2 +5 3 0x2935 0x392e2343 @@ -182470,6 +228088,8 @@ 0 0 0 +2 +4 4 0xe7d5 0xf3a9b16a @@ -182478,6 +228098,8 @@ 0 0 0 +0 +1 4 0x9bbe 0x5588199b @@ -182487,6 +228109,8 @@ 0 0 4 +2 +4 0xa947 0x8cd888d9 256 @@ -182494,6 +228118,8 @@ 0 0 0 +5 +0 2 0xbaaf 0x88b5a6bc @@ -182502,6 +228128,8 @@ 1 0 0 +0 +2 2 0x2aa0 0x1a3f2012 @@ -182510,6 +228138,8 @@ 1 1 0 +4 +1 1 0xd772 0xa3751a29 @@ -182519,6 +228149,8 @@ 0 0 1 +1 +1 0xf38e 0x8bcf87aa 256 @@ -182527,6 +228159,8 @@ 0 0 3 +0 +3 0x472 0x4aef9df1 256 @@ -182534,6 +228168,8 @@ 0 0 0 +0 +0 1 0xf058 0x886a719d @@ -182542,6 +228178,8 @@ 1 0 0 +0 +3 3 0x4470 0xf03c66a8 @@ -182550,6 +228188,8 @@ 1 0 0 +2 +2 1 0xa2e0 0xe41e9c5e @@ -182558,6 +228198,8 @@ 1 0 0 +3 +5 2 0x6851 0xbe05465 @@ -182566,6 +228208,8 @@ 1 1 0 +0 +4 2 0x1fa2 0x9556bc6 @@ -182574,6 +228218,8 @@ 1 1 0 +2 +4 3 0x12fd 0x9bb9d345 @@ -182582,6 +228228,8 @@ 0 0 0 +5 +2 1 0x827f 0xc375e66d @@ -182590,6 +228238,8 @@ 0 0 0 +2 +5 1 0x5cb1 0xf267dced @@ -182598,6 +228248,8 @@ 1 0 0 +0 +5 2 0x31d3 0xca8542cf @@ -182607,6 +228259,8 @@ 1 0 3 +2 +3 0x5f8f 0x4fd0530e 256 @@ -182614,6 +228268,8 @@ 0 0 0 +3 +1 1 0xa5c0 0xe7931f6d @@ -182622,6 +228278,8 @@ 1 1 0 +0 +3 4 0xbff4 0x792d98e8 @@ -182630,6 +228288,8 @@ 1 0 0 +4 +5 2 0x8de0 0xaab7b77f @@ -182638,6 +228298,8 @@ 1 0 0 +1 +0 4 0x4784 0x4e174052 @@ -182646,6 +228308,8 @@ 0 0 0 +1 +3 4 0x4f71 0xaac76b63 @@ -182654,6 +228318,8 @@ 1 0 0 +5 +5 2 0x6cc 0xc1a1583 @@ -182662,6 +228328,8 @@ 1 0 0 +5 +4 4 0x7283 0xecf33f51 @@ -182671,6 +228339,8 @@ 0 0 2 +4 +2 0xbd2 0x95d724c9 256 @@ -182678,6 +228348,8 @@ 0 0 0 +0 +3 3 0xba6c 0x6a8e1bcd @@ -182686,6 +228358,8 @@ 0 0 0 +0 +3 2 0x589d 0x40fcd201 @@ -182694,6 +228368,8 @@ 1 0 0 +2 +1 4 0xf365 0xd0af4b00 @@ -182702,6 +228378,8 @@ 1 1 0 +0 +3 4 0x9e48 0x80144464 @@ -182710,6 +228388,8 @@ 0 0 0 +3 +3 2 0xf80b 0x45777a9c @@ -182718,6 +228398,8 @@ 0 0 0 +2 +5 1 0x1a04 0x32ef6d1c @@ -182726,6 +228408,8 @@ 1 1 0 +2 +5 3 0x8f4a 0xd684e6ff @@ -182735,6 +228419,8 @@ 0 0 1 +0 +1 0xd1ff 0x4dcac8c4 256 @@ -182742,6 +228428,8 @@ 0 0 0 +0 +5 3 0x3d13 0xc470d915 @@ -182750,6 +228438,8 @@ 0 0 0 +2 +1 1 0xc426 0xfe2cbc89 @@ -182758,6 +228448,8 @@ 0 0 0 +3 +5 2 0x1f8b 0x425c353a @@ -182766,6 +228458,8 @@ 1 1 0 +0 +2 3 0x7c52 0x4bbd6f0e @@ -182774,6 +228468,8 @@ 1 0 0 +0 +4 4 0x443f 0x48ffdc8c @@ -182782,6 +228478,8 @@ 1 1 0 +4 +4 3 0xfeac 0xb771352d @@ -182790,6 +228488,8 @@ 0 0 0 +1 +3 2 0x6a81 0x8995a31f @@ -182798,6 +228498,8 @@ 1 0 0 +2 +2 1 0x4d00 0xf20f0836 @@ -182806,6 +228508,8 @@ 1 1 0 +1 +1 2 0xcb14 0xa597e4da @@ -182815,6 +228519,8 @@ 0 0 1 +2 +1 0x5210 0xf7a362c9 256 @@ -182823,6 +228529,8 @@ 0 0 1 +2 +1 0x69da 0x2f60656d 256 @@ -182830,6 +228538,8 @@ 0 0 0 +3 +3 2 0x8428 0x6e85b6d9 @@ -182839,6 +228549,8 @@ 0 0 4 +0 +4 0x3e03 0x8224ad3c 256 @@ -182846,6 +228558,8 @@ 1 0 0 +4 +5 1 0x7c9a 0x461af980 @@ -182854,6 +228568,8 @@ 0 0 0 +3 +1 4 0xf807 0x7ee952d1 @@ -182863,6 +228579,8 @@ 0 0 1 +0 +1 0x6027 0xb911566 256 @@ -182871,6 +228589,8 @@ 0 0 4 +5 +4 0x8233 0xe7af9352 256 @@ -182878,6 +228598,8 @@ 0 0 0 +0 +5 2 0x610 0x9c28cfef @@ -182886,6 +228608,8 @@ 0 0 0 +4 +4 3 0xb2d1 0xff39c028 @@ -182894,6 +228618,8 @@ 0 0 0 +2 +1 3 0x6958 0xa202efb8 @@ -182903,6 +228629,8 @@ 1 0 2 +2 +2 0x233 0xf7d2edef 256 @@ -182910,6 +228638,8 @@ 1 0 0 +0 +5 3 0x8a59 0x583b1b9e @@ -182918,6 +228648,8 @@ 0 0 0 +0 +2 2 0xc5e2 0x704aea7d @@ -182926,6 +228658,8 @@ 1 1 0 +1 +2 2 0x47e0 0xfad60b57 @@ -182934,6 +228668,8 @@ 1 1 0 +2 +4 1 0x5285 0xaf74aff5 @@ -182942,6 +228678,8 @@ 0 0 0 +0 +4 1 0xd8e4 0xbae4eff0 @@ -182950,6 +228688,8 @@ 0 0 0 +0 +5 1 0xdd9f 0xb8c39e47 @@ -182959,6 +228699,8 @@ 1 0 1 +5 +1 0x558 0x6308d1e2 256 @@ -182967,6 +228709,8 @@ 0 0 3 +1 +3 0x9813 0xc631a84 256 @@ -182974,6 +228718,8 @@ 0 0 0 +1 +0 4 0xe15e 0x68f104de @@ -182982,6 +228728,8 @@ 1 0 0 +5 +3 1 0x3b73 0x618dff43 @@ -182990,6 +228738,8 @@ 1 1 0 +2 +4 4 0x55f9 0x3592f156 @@ -182999,6 +228749,8 @@ 1 0 3 +4 +3 0xe570 0xe1307c8e 256 @@ -183006,6 +228758,8 @@ 1 1 0 +4 +2 2 0x57d6 0xb209da8a @@ -183015,6 +228769,8 @@ 0 0 4 +0 +4 0x8aff 0x92c8cc22 256 @@ -183022,6 +228778,8 @@ 1 1 0 +1 +4 3 0x8556 0xdaa474a4 @@ -183030,6 +228788,8 @@ 1 1 0 +1 +3 4 0xf0cc 0x32cd2725 @@ -183038,6 +228798,8 @@ 1 1 0 +1 +2 4 0x5db2 0xf584434e @@ -183046,6 +228808,8 @@ 0 0 0 +0 +3 2 0x8ab 0x6cfbe6d3 @@ -183055,6 +228819,8 @@ 1 0 4 +1 +4 0xbbe4 0x22b0e63e 256 @@ -183062,6 +228828,8 @@ 0 0 0 +4 +3 1 0x52 0xe7ef22ce @@ -183070,6 +228838,8 @@ 0 0 0 +5 +5 1 0x9af 0xd226b1b0 @@ -183078,6 +228848,8 @@ 0 0 0 +3 +2 2 0xbd04 0x383fd358 @@ -183086,6 +228858,8 @@ 0 0 0 +1 +3 3 0x4bb0 0x800bbce8 @@ -183094,6 +228868,8 @@ 0 0 0 +4 +2 2 0x9d81 0x1133e04a @@ -183102,6 +228878,8 @@ 1 0 0 +5 +3 3 0xbfd7 0xa933892e @@ -183110,6 +228888,8 @@ 0 0 0 +3 +3 2 0xe206 0xfb867561 @@ -183118,6 +228898,8 @@ 0 0 0 +1 +5 4 0x96c9 0xbc510d98 @@ -183126,6 +228908,8 @@ 1 0 0 +3 +2 2 0xea0b 0x918fca71 @@ -183134,6 +228918,8 @@ 1 0 0 +1 +3 3 0xb634 0x6fd0f784 @@ -183142,6 +228928,8 @@ 0 0 0 +4 +2 1 0x1584 0xd7e8fbff @@ -183151,6 +228939,8 @@ 0 0 4 +3 +4 0x107 0x75d064c1 256 @@ -183158,6 +228948,8 @@ 0 0 0 +1 +4 4 0xd313 0xfda787f @@ -183166,6 +228958,8 @@ 0 0 0 +5 +1 1 0x91e 0x72e9e924 @@ -183174,6 +228968,8 @@ 0 0 0 +0 +0 3 0x8d72 0xcf27e401 @@ -183182,6 +228978,8 @@ 1 0 0 +3 +0 1 0x10b3 0xb25330e @@ -183190,6 +228988,8 @@ 0 0 0 +5 +4 1 0x6c72 0x7d53ee21 @@ -183199,6 +228999,8 @@ 1 0 4 +2 +4 0x6060 0x38eec954 256 @@ -183206,6 +229008,8 @@ 1 0 0 +5 +0 3 0xde2 0xc6ef11f0 @@ -183214,6 +229018,8 @@ 1 0 0 +0 +1 3 0x7086 0x13d6c1f4 @@ -183222,6 +229028,8 @@ 0 0 0 +0 +1 3 0xdfd4 0x129d238a @@ -183231,6 +229039,8 @@ 0 0 3 +0 +3 0x6b42 0x4b48128b 256 @@ -183238,6 +229048,8 @@ 0 0 0 +2 +1 1 0x7805 0x85da81b6 @@ -183247,6 +229059,8 @@ 0 0 1 +3 +1 0xbb96 0x85775552 256 @@ -183254,6 +229068,8 @@ 0 0 0 +1 +3 2 0x7c28 0x3196ae28 @@ -183263,6 +229079,8 @@ 1 0 1 +2 +1 0x1ee 0xedd11966 256 @@ -183271,6 +229089,8 @@ 0 0 1 +5 +1 0x3acc 0xfb65c6b 256 @@ -183278,6 +229098,8 @@ 0 0 0 +2 +4 1 0xf83b 0x7d69093b @@ -183286,6 +229108,8 @@ 0 0 0 +0 +2 3 0x985 0x34a08a61 @@ -183295,6 +229119,8 @@ 0 0 1 +3 +1 0xc784 0x51373f99 256 @@ -183303,6 +229129,8 @@ 1 0 3 +4 +3 0x2b55 0xe467c2ce 256 @@ -183310,6 +229138,8 @@ 1 1 0 +0 +4 4 0x2ba5 0xb018f932 @@ -183319,6 +229149,8 @@ 0 0 2 +1 +2 0xa6c3 0x48caeb78 256 @@ -183326,6 +229158,8 @@ 0 0 0 +5 +0 4 0x9b1c 0xa438cdf5 @@ -183334,6 +229168,8 @@ 0 0 0 +1 +1 4 0x5bf 0x737b184a @@ -183342,6 +229178,8 @@ 1 1 0 +3 +1 2 0x8650 0x2cac082e @@ -183350,6 +229188,8 @@ 1 1 0 +2 +0 3 0x832b 0x115ba846 @@ -183358,6 +229198,8 @@ 1 0 0 +2 +2 1 0xd012 0xb9a82064 @@ -183366,6 +229208,8 @@ 0 0 0 +5 +5 2 0x151b 0x8fe2c24a @@ -183374,6 +229218,8 @@ 0 0 0 +4 +3 1 0x40f2 0x34ea50d5 @@ -183382,6 +229228,8 @@ 1 0 0 +3 +3 1 0x7495 0x1468d8eb @@ -183390,6 +229238,8 @@ 0 0 0 +1 +3 4 0xe333 0xa360674c @@ -183398,6 +229248,8 @@ 0 0 0 +5 +0 1 0xa08c 0xd5dfbee8 @@ -183406,6 +229258,8 @@ 1 1 0 +1 +4 2 0x2684 0x8d51a231 @@ -183414,6 +229268,8 @@ 1 0 0 +1 +4 4 0x39b3 0xe37c00e2 @@ -183422,6 +229278,8 @@ 1 0 0 +5 +1 3 0x8cee 0x2f1adec1 @@ -183430,6 +229288,8 @@ 0 0 0 +3 +3 1 0xa083 0xbc8a7eef @@ -183439,6 +229299,8 @@ 0 0 1 +1 +1 0xc8a9 0x4fa529f5 256 @@ -183447,6 +229309,8 @@ 1 0 2 +1 +2 0x4649 0xb51363c9 256 @@ -183454,6 +229318,8 @@ 1 0 0 +0 +0 2 0x3812 0x1c4d6ef8 @@ -183462,6 +229328,8 @@ 0 0 0 +1 +3 4 0x8f7b 0xcbca663b @@ -183470,6 +229338,8 @@ 1 0 0 +0 +5 2 0x7be3 0x8724a3b3 @@ -183478,6 +229348,8 @@ 1 1 0 +3 +4 2 0x4504 0x654f72ac @@ -183486,6 +229358,8 @@ 1 1 0 +4 +5 2 0x81f5 0xff6e10d3 @@ -183494,6 +229368,8 @@ 1 0 0 +0 +1 2 0xf7a9 0xa0111bd8 @@ -183503,6 +229379,8 @@ 0 0 3 +1 +3 0xb5e1 0xf4d6672c 256 @@ -183510,6 +229388,8 @@ 0 0 0 +2 +4 3 0x2f57 0xab4eb34f @@ -183518,6 +229398,8 @@ 1 0 0 +0 +0 3 0x4463 0x70dae4af @@ -183526,6 +229408,8 @@ 1 1 0 +3 +2 2 0x9fab 0x553888f7 @@ -183534,6 +229418,8 @@ 1 0 0 +3 +4 2 0x969f 0xfe3b773 @@ -183542,6 +229428,8 @@ 1 1 0 +5 +5 1 0x76a1 0xb1525ea5 @@ -183551,6 +229439,8 @@ 0 0 4 +2 +4 0x5edf 0x63262b68 256 @@ -183559,6 +229449,8 @@ 0 0 3 +1 +3 0x6fbe 0xdbadab75 256 @@ -183566,6 +229458,8 @@ 1 0 0 +0 +0 1 0xa3ff 0x3dc6e6e8 @@ -183574,6 +229468,8 @@ 0 0 0 +0 +0 1 0xaeee 0x49ff1da1 @@ -183582,6 +229478,8 @@ 1 0 0 +2 +1 1 0xfc30 0x66cd4b10 @@ -183591,6 +229489,8 @@ 0 0 2 +1 +2 0x973e 0xecef5e1c 256 @@ -183598,6 +229498,8 @@ 0 0 0 +2 +4 4 0x5d08 0x9019ab0e @@ -183606,6 +229508,8 @@ 0 0 0 +0 +2 1 0x51e3 0xe011fb1b @@ -183614,6 +229518,8 @@ 1 1 0 +3 +5 4 0x4389 0xc3885545 @@ -183622,6 +229528,8 @@ 0 0 0 +0 +3 2 0xbb3d 0x419e0bbf @@ -183630,6 +229538,8 @@ 0 0 0 +0 +1 2 0x85d 0x5aab2a7a @@ -183638,6 +229548,8 @@ 1 0 0 +3 +3 4 0x5541 0xb4f491ae @@ -183646,6 +229558,8 @@ 0 0 0 +5 +1 3 0xfe85 0x128cf1cd @@ -183654,6 +229568,8 @@ 1 0 0 +0 +4 4 0x5210 0x28a23a18 @@ -183662,6 +229578,8 @@ 1 0 0 +0 +0 3 0x690f 0xba6c1dea @@ -183671,6 +229589,8 @@ 1 0 2 +5 +2 0xadd0 0x1b530d1d 256 @@ -183678,6 +229598,8 @@ 1 1 0 +5 +0 1 0xb512 0xc80473cc @@ -183686,6 +229608,8 @@ 1 0 0 +0 +1 1 0x9e37 0x867f9fa6 @@ -183695,6 +229619,8 @@ 0 0 1 +0 +1 0x48e4 0xee33c207 256 @@ -183702,6 +229628,8 @@ 0 0 0 +0 +4 4 0xee8f 0x6dd3b158 @@ -183710,6 +229638,8 @@ 1 1 0 +5 +3 3 0xe281 0x9c089512 @@ -183718,6 +229648,8 @@ 1 1 0 +4 +4 2 0xd3e 0xad724e90 @@ -183726,6 +229658,8 @@ 0 0 0 +5 +2 4 0xc3d 0x6291cb3d @@ -183735,6 +229669,8 @@ 1 0 1 +3 +1 0xb69a 0xe54db86f 256 @@ -183742,6 +229678,8 @@ 0 0 0 +0 +4 1 0x55a9 0x346bd827 @@ -183750,6 +229688,8 @@ 0 0 0 +0 +1 3 0x1022 0x5ed27ca4 @@ -183758,6 +229698,8 @@ 0 0 0 +4 +2 1 0x1b13 0xaac70082 @@ -183767,6 +229709,8 @@ 0 0 3 +0 +3 0xa63f 0x458acaa9 256 @@ -183774,6 +229718,8 @@ 1 0 0 +3 +4 1 0x387a 0x166750b1 @@ -183783,6 +229729,8 @@ 1 0 1 +0 +1 0x7834 0x81444b28 256 @@ -183790,6 +229738,8 @@ 0 0 0 +1 +1 2 0xbd6b 0x6dacf0d5 @@ -183798,6 +229748,8 @@ 0 0 0 +3 +2 1 0xba2e 0xbcd997d0 @@ -183806,6 +229758,8 @@ 0 0 0 +1 +4 3 0x69ac 0xcf402e68 @@ -183814,6 +229768,8 @@ 1 0 0 +0 +4 4 0xe258 0xa4aa0e31 @@ -183822,6 +229778,8 @@ 0 0 0 +1 +0 3 0x62f4 0x14a2b0d7 @@ -183830,6 +229788,8 @@ 1 1 0 +4 +5 1 0xdea 0xafd975 @@ -183839,6 +229799,8 @@ 0 0 2 +1 +2 0xdff3 0x92e8bf16 256 @@ -183846,6 +229808,8 @@ 0 0 0 +0 +3 3 0x1f99 0x5bab5b71 @@ -183854,6 +229818,8 @@ 0 0 0 +0 +2 4 0xfe3a 0xd492cc9b @@ -183862,6 +229828,8 @@ 0 0 0 +4 +2 3 0xfd16 0x178e5a26 @@ -183871,6 +229839,8 @@ 0 0 3 +1 +3 0x32f6 0x8ac4dda5 256 @@ -183878,6 +229848,8 @@ 1 0 0 +0 +4 4 0xa7aa 0x3b1a126d @@ -183886,6 +229858,8 @@ 1 0 0 +3 +2 4 0x8bc6 0x14cadf8a @@ -183895,6 +229869,8 @@ 1 0 2 +1 +2 0xe5d9 0x9e74e51a 256 @@ -183902,6 +229878,8 @@ 1 0 0 +4 +0 1 0xce8b 0xaf3ab1b0 @@ -183910,6 +229888,8 @@ 0 0 0 +4 +5 3 0xa58 0x25b50a4e @@ -183918,6 +229898,8 @@ 0 0 0 +5 +3 2 0xcb58 0x784303de @@ -183926,6 +229908,8 @@ 1 1 0 +0 +1 1 0x1869 0xae321c43 @@ -183934,6 +229918,8 @@ 1 1 0 +4 +2 1 0x87a 0xe03c4247 @@ -183943,6 +229929,8 @@ 0 0 1 +1 +1 0x1c42 0xd1f378a4 256 @@ -183950,6 +229938,8 @@ 0 0 0 +5 +1 2 0x1a5c 0x2f0ada0e @@ -183958,6 +229948,8 @@ 1 0 0 +1 +1 4 0xd348 0xf5a64bf @@ -183966,6 +229958,8 @@ 0 0 0 +0 +3 4 0x2b68 0x8a56385a @@ -183974,6 +229968,8 @@ 0 0 0 +3 +5 2 0xc85a 0xc509040b @@ -183982,6 +229978,8 @@ 0 0 0 +1 +5 2 0x94cd 0xf77eef95 @@ -183990,6 +229988,8 @@ 0 0 0 +3 +2 4 0x6aec 0xd3263d5f @@ -183998,6 +229998,8 @@ 0 0 0 +3 +3 1 0xbcc0 0xc70057fa @@ -184006,6 +230008,8 @@ 0 0 0 +1 +3 3 0x5305 0x4a66a513 @@ -184015,6 +230019,8 @@ 0 0 1 +1 +1 0x16be 0x7d3f5c31 256 @@ -184022,6 +230028,8 @@ 1 1 0 +5 +4 4 0x48a2 0xf948638c @@ -184030,6 +230038,8 @@ 0 0 0 +4 +0 1 0xf96e 0x68a3d498 @@ -184038,6 +230048,8 @@ 0 0 0 +0 +2 4 0x7419 0x94194d62 @@ -184046,6 +230058,8 @@ 0 0 0 +3 +1 1 0xb29e 0xfa7dae5e @@ -184055,6 +230069,8 @@ 0 0 4 +3 +4 0x69e1 0xf0b2eb97 256 @@ -184063,6 +230079,8 @@ 1 0 2 +0 +2 0xc155 0xec4dec9f 256 @@ -184071,6 +230089,8 @@ 0 0 3 +2 +3 0x9cec 0x469e1b0b 256 @@ -184078,6 +230098,8 @@ 1 0 0 +2 +1 4 0x325f 0x30a6243 @@ -184086,6 +230108,8 @@ 0 0 0 +0 +0 2 0x24d5 0x8779583 @@ -184094,6 +230118,8 @@ 1 0 0 +2 +2 1 0x8413 0xfe0d73a1 @@ -184103,6 +230129,8 @@ 1 0 4 +5 +4 0xc61b 0x2b3f9ff9 256 @@ -184110,6 +230138,8 @@ 0 0 0 +1 +2 2 0x7fd8 0x459c63ee @@ -184118,6 +230148,8 @@ 1 0 0 +5 +4 3 0x1e06 0x143b7578 @@ -184126,6 +230158,8 @@ 1 1 0 +1 +5 3 0xcc4f 0x5d7340db @@ -184134,6 +230168,8 @@ 1 0 0 +3 +3 2 0xa101 0x478076bf @@ -184143,6 +230179,8 @@ 1 0 2 +4 +2 0xcbe2 0x6af8f67 256 @@ -184150,6 +230188,8 @@ 0 0 0 +5 +3 2 0x33de 0xa78330b4 @@ -184158,6 +230198,8 @@ 0 0 0 +0 +5 3 0xb0e2 0xc9deca99 @@ -184167,6 +230209,8 @@ 1 0 4 +5 +4 0xbdb0 0x9d6e0a6 256 @@ -184175,6 +230219,8 @@ 0 0 4 +3 +4 0x5f5f 0xe1bcd8df 256 @@ -184182,6 +230228,8 @@ 1 1 0 +0 +2 4 0x84f 0x842fd47d @@ -184190,6 +230238,8 @@ 1 1 0 +5 +2 3 0x80fd 0x331deaba @@ -184198,6 +230248,8 @@ 1 1 0 +1 +2 4 0x4fbe 0xc0f1da06 @@ -184206,6 +230258,8 @@ 1 1 0 +2 +3 1 0xf39c 0xf0ea7a8f @@ -184214,6 +230268,8 @@ 0 0 0 +1 +0 3 0x9549 0x72e006f5 @@ -184222,6 +230278,8 @@ 1 1 0 +3 +4 4 0xa19e 0x763dc9e8 @@ -184231,6 +230289,8 @@ 0 0 1 +5 +1 0x6eaa 0xbbb5526e 256 @@ -184238,6 +230298,8 @@ 1 0 0 +3 +2 2 0x66d6 0xf8918d99 @@ -184246,6 +230308,8 @@ 1 0 0 +1 +3 3 0x8f2a 0x3e51c286 @@ -184255,6 +230319,8 @@ 1 0 3 +5 +3 0xaa51 0x49f36511 256 @@ -184262,6 +230328,8 @@ 1 0 0 +3 +2 2 0xca22 0x3d4bd7ff @@ -184270,6 +230338,8 @@ 1 1 0 +3 +1 1 0xb542 0xde340c50 @@ -184278,6 +230348,8 @@ 1 0 0 +0 +5 1 0xec97 0x9d0227e @@ -184287,6 +230359,8 @@ 0 0 2 +1 +2 0xd48e 0xaf20196a 256 @@ -184294,6 +230368,8 @@ 0 0 0 +3 +1 2 0x4fe2 0x1fbeab5e @@ -184302,6 +230378,8 @@ 0 0 0 +3 +0 4 0xa91b 0xa5f1c902 @@ -184310,6 +230388,8 @@ 0 0 0 +3 +3 1 0xb850 0x26d19523 @@ -184318,6 +230398,8 @@ 1 0 0 +5 +2 3 0xf0f8 0x2611c8f4 @@ -184326,6 +230408,8 @@ 1 1 0 +1 +4 2 0x4e91 0x9512149a @@ -184334,6 +230418,8 @@ 0 0 0 +0 +1 1 0x2acd 0x93b59407 @@ -184343,6 +230429,8 @@ 0 0 1 +3 +1 0x156c 0xd8cd9965 256 @@ -184350,6 +230438,8 @@ 0 0 0 +0 +4 1 0x677b 0xef2a7836 @@ -184358,6 +230448,8 @@ 1 0 0 +5 +4 2 0x9ab8 0x91714682 @@ -184366,6 +230458,8 @@ 0 0 0 +0 +2 1 0x50dc 0x2541c479 @@ -184374,6 +230468,8 @@ 1 0 0 +1 +5 2 0x987e 0x31600078 @@ -184382,6 +230478,8 @@ 1 0 0 +0 +3 3 0x43be 0x370c7708 @@ -184390,6 +230488,8 @@ 1 1 0 +1 +0 3 0x5f27 0xafa3df4f @@ -184398,6 +230498,8 @@ 0 0 0 +4 +1 2 0xc2a0 0x2616b750 @@ -184406,6 +230508,8 @@ 0 0 0 +3 +0 1 0xdc71 0x486ebb4 @@ -184414,6 +230518,8 @@ 0 0 0 +1 +5 2 0x4121 0x2ee00665 @@ -184423,6 +230529,8 @@ 0 0 4 +3 +4 0x92d2 0x2442fdcf 256 @@ -184430,6 +230538,8 @@ 0 0 0 +4 +4 3 0x6a28 0xe3fdbdea @@ -184439,6 +230549,8 @@ 0 0 2 +5 +2 0x9d21 0x3222e3c8 256 @@ -184446,6 +230558,8 @@ 0 0 0 +4 +2 3 0x426b 0xdd708fe3 @@ -184454,6 +230568,8 @@ 0 0 0 +0 +3 4 0x787c 0xd5d4b7c3 @@ -184463,6 +230579,8 @@ 0 0 3 +5 +3 0x6550 0xf783d3e1 256 @@ -184470,6 +230588,8 @@ 1 1 0 +4 +4 1 0xdec9 0xf12b11f2 @@ -184478,6 +230598,8 @@ 1 0 0 +0 +0 3 0x539c 0x4875b8b5 @@ -184487,6 +230609,8 @@ 0 0 1 +1 +1 0x85f4 0x21915119 256 @@ -184494,6 +230618,8 @@ 0 0 0 +4 +0 1 0xdddf 0x28b44807 @@ -184502,6 +230628,8 @@ 1 0 0 +5 +4 4 0xc35f 0x3cf82698 @@ -184510,6 +230638,8 @@ 0 0 0 +1 +4 3 0xe99d 0xb2a8f1c9 @@ -184518,6 +230648,8 @@ 0 0 0 +0 +0 2 0xfde8 0xc45d3642 @@ -184526,6 +230658,8 @@ 1 1 0 +4 +4 1 0xe595 0x4b0f279e @@ -184534,6 +230668,8 @@ 1 0 0 +5 +0 2 0xf0b6 0xd15277a4 @@ -184542,6 +230678,8 @@ 1 0 0 +2 +5 3 0x520c 0x27b7ea6e @@ -184550,6 +230688,8 @@ 1 0 0 +0 +0 3 0xca2d 0x200aae81 @@ -184558,6 +230698,8 @@ 1 1 0 +3 +3 4 0x5987 0x8ae7dc3a @@ -184566,6 +230708,8 @@ 1 0 0 +0 +4 3 0x2755 0x7cf9cd0c @@ -184574,6 +230718,8 @@ 0 0 0 +4 +3 2 0xfba2 0x2c9111d9 @@ -184583,6 +230729,8 @@ 0 0 3 +5 +3 0xa85b 0xbec6537b 256 @@ -184590,6 +230738,8 @@ 1 1 0 +3 +0 1 0x2153 0x6cbb4dbb @@ -184599,6 +230749,8 @@ 0 0 3 +4 +3 0xe201 0xdfd53b0a 256 @@ -184606,6 +230758,8 @@ 1 1 0 +1 +2 3 0x5999 0xd4df7ae1 @@ -184614,6 +230768,8 @@ 0 0 0 +3 +2 1 0x7db6 0xe723394 @@ -184622,6 +230778,8 @@ 0 0 0 +5 +5 4 0x4f45 0xb390b3e1 @@ -184630,6 +230788,8 @@ 1 1 0 +1 +2 2 0x6e08 0x11357b07 @@ -184639,6 +230799,8 @@ 1 0 2 +3 +2 0xf0f1 0x7fd9de7 256 @@ -184646,6 +230808,8 @@ 1 0 0 +0 +0 1 0x68f 0xfd9c7fcc @@ -184654,6 +230818,8 @@ 1 0 0 +5 +1 2 0xca91 0x6564291 @@ -184663,6 +230829,8 @@ 0 0 4 +4 +4 0xdc5f 0xd9e60414 256 @@ -184670,6 +230838,8 @@ 1 1 0 +4 +5 1 0x3d4b 0x6d7a293 @@ -184678,6 +230848,8 @@ 0 0 0 +2 +1 3 0x15cf 0xf2931df9 @@ -184686,6 +230858,8 @@ 1 0 0 +1 +0 2 0x11df 0xcc6807e6 @@ -184695,6 +230869,8 @@ 0 0 1 +5 +1 0xdea 0x9de7b6be 256 @@ -184702,6 +230878,8 @@ 1 0 0 +3 +0 2 0x6580 0x2f865bd2 @@ -184711,6 +230889,8 @@ 0 0 1 +3 +1 0xdf0a 0xe6fc8d09 256 @@ -184718,6 +230898,8 @@ 1 1 0 +3 +4 2 0x3336 0xed8270a8 @@ -184726,6 +230908,8 @@ 0 0 0 +0 +0 1 0x4f9f 0xc4c092c9 @@ -184734,6 +230918,8 @@ 0 0 0 +3 +5 4 0x25ac 0x584080b0 @@ -184742,6 +230928,8 @@ 1 1 0 +3 +4 1 0x288e 0x7edefa52 @@ -184750,6 +230938,8 @@ 1 0 0 +5 +0 4 0x192b 0x7257967a @@ -184758,6 +230948,8 @@ 0 0 0 +4 +2 2 0x9bb2 0x12a368ed @@ -184766,6 +230958,8 @@ 0 0 0 +5 +5 4 0x621e 0x689041b @@ -184774,6 +230968,8 @@ 1 0 0 +1 +0 2 0x5d3a 0xf354f43c @@ -184782,6 +230978,8 @@ 1 1 0 +2 +0 1 0xb019 0x66d2cdc9 @@ -184790,6 +230988,8 @@ 0 0 0 +0 +4 2 0xaa0c 0xc9e7988c @@ -184798,6 +230998,8 @@ 1 1 0 +1 +1 4 0xdc2b 0xe659ec85 @@ -184806,6 +231008,8 @@ 0 0 0 +5 +5 1 0x3876 0xdd868aec @@ -184814,6 +231018,8 @@ 1 0 0 +5 +5 2 0x8ca6 0x7d8932de @@ -184822,6 +231028,8 @@ 0 0 0 +2 +2 1 0xcb89 0xeebafeb6 @@ -184830,6 +231038,8 @@ 1 1 0 +3 +5 1 0x61ac 0xf392d7cb @@ -184839,6 +231049,8 @@ 0 0 3 +1 +3 0x39ed 0x16fdc97d 256 @@ -184847,6 +231059,8 @@ 0 0 4 +0 +4 0xddad 0x886aa6a3 256 @@ -184854,6 +231068,8 @@ 1 0 0 +4 +3 1 0x6c7c 0xb101f336 @@ -184862,6 +231078,8 @@ 0 0 0 +2 +2 1 0x87e1 0x53726b5e @@ -184870,6 +231088,8 @@ 0 0 0 +0 +1 1 0x293b 0xdac9511a @@ -184878,6 +231098,8 @@ 1 0 0 +1 +5 4 0x8ce7 0xcf72f74a @@ -184886,6 +231108,8 @@ 1 1 0 +0 +5 2 0x26a9 0x9af9213e @@ -184894,6 +231118,8 @@ 1 0 0 +3 +1 2 0xf36d 0xac67e2bb @@ -184902,6 +231128,8 @@ 1 0 0 +3 +1 2 0x5515 0x19dbe36e @@ -184910,6 +231138,8 @@ 0 0 0 +3 +1 4 0xeb2 0xae8f4006 @@ -184919,6 +231149,8 @@ 0 0 4 +3 +4 0x93af 0xa67e674e 256 @@ -184926,6 +231158,8 @@ 0 0 0 +4 +4 1 0x355c 0xbdf64ca6 @@ -184934,6 +231168,8 @@ 1 0 0 +3 +2 2 0xab2c 0x6ce924a @@ -184942,6 +231178,8 @@ 1 1 0 +2 +4 1 0xd734 0x1fd0ffc2 @@ -184951,6 +231189,8 @@ 0 0 2 +0 +2 0x15ec 0xe9ec85dd 256 @@ -184958,6 +231198,8 @@ 0 0 0 +4 +1 2 0x4091 0x807a4d21 @@ -184966,6 +231208,8 @@ 1 0 0 +3 +0 1 0x15a8 0xacd14fac @@ -184974,6 +231218,8 @@ 0 0 0 +2 +0 1 0x229b 0xa4e367f0 @@ -184982,6 +231228,8 @@ 0 0 0 +3 +4 2 0xaaa6 0x16f5dd21 @@ -184990,6 +231238,8 @@ 0 0 0 +0 +2 2 0xf14d 0x1147d4d4 @@ -184999,6 +231249,8 @@ 0 0 1 +2 +1 0xb8d4 0xf2eedc6e 256 @@ -185006,6 +231258,8 @@ 1 0 0 +2 +3 3 0xd9e9 0xd592b364 @@ -185014,6 +231268,8 @@ 1 1 0 +5 +5 3 0x29a9 0x46c37b6a @@ -185022,6 +231278,8 @@ 0 0 0 +5 +0 1 0x1c7 0xf88f7da8 @@ -185031,6 +231289,8 @@ 0 0 1 +0 +1 0x67c4 0xab74573 256 @@ -185038,6 +231298,8 @@ 1 1 0 +2 +4 3 0xe457 0x5a049da6 @@ -185046,6 +231308,8 @@ 0 0 0 +1 +5 4 0x8c70 0xe8d18ff7 @@ -185054,6 +231318,8 @@ 1 0 0 +4 +0 1 0x2131 0x283dcc08 @@ -185062,6 +231328,8 @@ 0 0 0 +5 +5 2 0xa0c0 0x5a0b38e0 @@ -185070,6 +231338,8 @@ 0 0 0 +4 +0 1 0x8181 0x271bab84 @@ -185078,6 +231348,8 @@ 1 0 0 +1 +1 3 0x3100 0xe5a39d07 @@ -185086,6 +231358,8 @@ 1 0 0 +1 +1 2 0x6361 0xcbf9fe73 @@ -185094,6 +231368,8 @@ 1 0 0 +3 +1 2 0x6c7a 0x95f85ec0 @@ -185102,6 +231378,8 @@ 0 0 0 +5 +3 1 0xebdc 0xafa7fd6f @@ -185110,6 +231388,8 @@ 1 0 0 +4 +5 1 0x8c4a 0x81a05a08 @@ -185118,6 +231398,8 @@ 1 1 0 +0 +2 1 0xe687 0x7331e73f @@ -185127,6 +231409,8 @@ 1 0 1 +4 +1 0x42ae 0xf7b464b5 256 @@ -185134,6 +231418,8 @@ 1 0 0 +5 +0 3 0x7ecc 0x952b9208 @@ -185142,6 +231428,8 @@ 1 0 0 +0 +2 4 0x9652 0x83e27fc @@ -185151,6 +231439,8 @@ 0 0 3 +0 +3 0x202a 0xf526d73c 256 @@ -185158,6 +231448,8 @@ 1 1 0 +5 +0 3 0xc963 0x588e40e5 @@ -185166,6 +231458,8 @@ 0 0 0 +3 +0 1 0xb585 0x5e607e5d @@ -185174,6 +231468,8 @@ 1 1 0 +5 +4 4 0xcad0 0x66203568 @@ -185182,6 +231478,8 @@ 0 0 0 +5 +4 2 0x81a1 0xcc728588 @@ -185190,6 +231488,8 @@ 1 0 0 +4 +0 2 0xf89d 0x8b10e61b @@ -185198,6 +231498,8 @@ 1 1 0 +1 +3 2 0x576d 0xaf82fd38 @@ -185206,6 +231508,8 @@ 0 0 0 +4 +5 3 0x4f9e 0x8430dff @@ -185214,6 +231518,8 @@ 0 0 0 +5 +0 1 0x5159 0xfa625765 @@ -185222,6 +231528,8 @@ 1 1 0 +3 +2 4 0x8289 0x2aa98bb8 @@ -185230,6 +231538,8 @@ 1 0 0 +2 +3 4 0xebe0 0x22d775 @@ -185238,6 +231548,8 @@ 0 0 0 +2 +5 3 0xf4f8 0x9846695f @@ -185246,6 +231558,8 @@ 1 0 0 +0 +4 2 0x4a3d 0xb2963057 @@ -185254,6 +231568,8 @@ 0 0 0 +5 +4 3 0x4cbd 0xb65cc85b @@ -185262,6 +231578,8 @@ 1 1 0 +5 +5 3 0xf599 0x9e30cedb @@ -185271,6 +231589,8 @@ 1 0 4 +0 +4 0xec8a 0xf5da074f 256 @@ -185278,6 +231598,8 @@ 1 1 0 +4 +2 3 0x8c56 0xb1293ee4 @@ -185286,6 +231608,8 @@ 0 0 0 +5 +1 1 0x5560 0xf2bd2f9f @@ -185294,6 +231618,8 @@ 0 0 0 +2 +1 1 0x262e 0x80a7cecf @@ -185302,6 +231628,8 @@ 0 0 0 +0 +2 1 0x168c 0x3232d7c7 @@ -185310,6 +231638,8 @@ 0 0 0 +5 +0 2 0xda3d 0xec960cff @@ -185318,6 +231648,8 @@ 1 0 0 +0 +1 2 0x6eb8 0x5f3b2179 @@ -185326,6 +231658,8 @@ 1 1 0 +5 +1 4 0x83d5 0xb6b50e6d @@ -185334,6 +231668,8 @@ 1 0 0 +0 +4 4 0xc357 0x7efee072 @@ -185342,6 +231678,8 @@ 0 0 0 +5 +0 2 0x6768 0x7bf46d6e @@ -185350,6 +231688,8 @@ 0 0 0 +4 +2 3 0x12e2 0xa1ed660e @@ -185358,6 +231698,8 @@ 0 0 0 +1 +1 3 0xc45d 0x532907e3 @@ -185366,6 +231708,8 @@ 0 0 0 +5 +5 3 0x5c07 0x22748f9a @@ -185374,6 +231718,8 @@ 0 0 0 +0 +4 1 0xb942 0xd6e98ced @@ -185383,6 +231729,8 @@ 0 0 4 +1 +4 0x7d11 0x48e61544 256 @@ -185390,6 +231738,8 @@ 0 0 0 +5 +2 4 0xb0f9 0x6c40f3d7 @@ -185398,6 +231748,8 @@ 0 0 0 +0 +5 2 0x84ef 0xe117fa35 @@ -185406,6 +231758,8 @@ 1 0 0 +1 +1 3 0x12db 0xf09cfc90 @@ -185414,6 +231768,8 @@ 1 0 0 +1 +2 2 0xb28a 0x376d5c8f @@ -185422,6 +231778,8 @@ 0 0 0 +4 +5 3 0xb99c 0x1c7c0460 @@ -185430,6 +231788,8 @@ 1 0 0 +5 +5 1 0x8a37 0x5bf4e22c @@ -185438,6 +231798,8 @@ 1 1 0 +2 +2 1 0xc3c0 0x54afec22 @@ -185446,6 +231808,8 @@ 0 0 0 +1 +5 4 0x5993 0x892bcc7c @@ -185454,6 +231818,8 @@ 1 1 0 +1 +0 4 0xca6e 0xcb052a3e @@ -185462,6 +231828,8 @@ 1 0 0 +5 +5 3 0xa562 0x79fc263f @@ -185470,6 +231838,8 @@ 1 0 0 +5 +4 2 0xafc0 0x601804e6 @@ -185478,6 +231848,8 @@ 1 1 0 +5 +4 2 0x6ea8 0xeadd0ff5 @@ -185486,6 +231858,8 @@ 1 0 0 +3 +0 1 0xe0b7 0x6c5f4984 @@ -185494,6 +231868,8 @@ 1 1 0 +1 +0 3 0x4ef4 0xf7c04c97 @@ -185502,6 +231878,8 @@ 0 0 0 +2 +3 4 0xd7bf 0x828df238 @@ -185511,6 +231889,8 @@ 1 0 3 +3 +3 0x4c66 0x87b91a2 256 @@ -185518,6 +231898,8 @@ 1 1 0 +4 +2 1 0xd5fd 0xa3570ef4 @@ -185526,6 +231908,8 @@ 0 0 0 +4 +3 3 0xc913 0x96f6ce6d @@ -185534,6 +231918,8 @@ 1 0 0 +3 +4 4 0xcb04 0x2c765211 @@ -185543,6 +231929,8 @@ 0 0 1 +4 +1 0x7201 0x6da4c101 256 @@ -185550,6 +231938,8 @@ 0 0 0 +0 +5 1 0x87b7 0x1b638f65 @@ -185559,6 +231949,8 @@ 1 0 4 +2 +4 0x46d8 0xd43aba62 256 @@ -185566,6 +231958,8 @@ 0 0 0 +4 +3 2 0xb615 0xf8bb029f @@ -185574,6 +231968,8 @@ 0 0 0 +5 +5 3 0xa352 0xf23614a8 @@ -185582,6 +231978,8 @@ 0 0 0 +0 +5 1 0x5993 0xf3b0b1c8 @@ -185591,6 +231989,8 @@ 0 0 4 +4 +4 0x9594 0x823aa4f9 256 @@ -185598,6 +231998,8 @@ 1 1 0 +5 +2 3 0xd687 0x16f690fe @@ -185607,6 +232009,8 @@ 0 0 2 +1 +2 0xbd36 0x3772ae4e 256 @@ -185614,6 +232018,8 @@ 1 1 0 +1 +2 3 0xd305 0xfa980359 @@ -185623,6 +232029,8 @@ 0 0 1 +3 +1 0xffbe 0xdbacbdd2 256 @@ -185630,6 +232038,8 @@ 1 0 0 +0 +4 1 0xfba4 0x5e1c3a40 @@ -185638,6 +232048,8 @@ 0 0 0 +1 +4 2 0x3078 0x44fc8410 @@ -185646,6 +232058,8 @@ 0 0 0 +4 +1 3 0xfbac 0x5548c277 @@ -185655,6 +232069,8 @@ 1 0 3 +3 +3 0xf397 0x96916ad 256 @@ -185662,6 +232078,8 @@ 0 0 0 +0 +5 2 0x8ff4 0x90336d17 @@ -185670,6 +232088,8 @@ 1 0 0 +1 +5 3 0xcf11 0xb03d6008 @@ -185678,6 +232098,8 @@ 1 0 0 +3 +2 2 0x6bf8 0x1982a567 @@ -185686,6 +232108,8 @@ 1 1 0 +4 +3 3 0x282 0xb0d23ff7 @@ -185695,6 +232119,8 @@ 0 0 3 +1 +3 0x7355 0x7afeb413 256 @@ -185702,6 +232128,8 @@ 0 0 0 +2 +2 1 0xd41b 0x5771ae6 @@ -185710,6 +232138,8 @@ 1 1 0 +3 +2 2 0xcdb4 0xe1444c62 @@ -185719,6 +232149,8 @@ 0 0 4 +2 +4 0x4d79 0xd17ee94d 256 @@ -185726,6 +232158,8 @@ 0 0 0 +0 +1 4 0x51a0 0x6988707b @@ -185734,6 +232168,8 @@ 1 0 0 +1 +3 2 0x29f4 0x8de6f612 @@ -185743,6 +232179,8 @@ 0 0 4 +2 +4 0xfed6 0x8d53a5b4 256 @@ -185750,6 +232188,8 @@ 1 1 0 +2 +1 4 0x385 0xa325c4bf @@ -185758,6 +232198,8 @@ 0 0 0 +0 +3 2 0xadb2 0x22da1a2d @@ -185766,6 +232208,8 @@ 0 0 0 +4 +2 3 0x3613 0x918e8cb0 @@ -185774,6 +232218,8 @@ 1 0 0 +3 +2 4 0x63ee 0x11a2b5de @@ -185782,6 +232228,8 @@ 1 0 0 +3 +4 4 0x207 0x163ab24 @@ -185790,6 +232238,8 @@ 1 0 0 +5 +1 1 0xd1bd 0xe4555c70 @@ -185798,6 +232248,8 @@ 0 0 0 +3 +5 4 0xecda 0xaaf60c90 @@ -185806,6 +232258,8 @@ 1 1 0 +0 +5 3 0x2afa 0xfe8b8a70 @@ -185814,6 +232268,8 @@ 1 0 0 +0 +1 2 0xabdd 0x801fa00b @@ -185822,6 +232278,8 @@ 0 0 0 +1 +0 2 0xc208 0xb9b5db94 @@ -185830,6 +232288,8 @@ 1 1 0 +4 +4 3 0x1436 0x1fe400 @@ -185839,6 +232299,8 @@ 0 0 2 +3 +2 0x75e3 0xe6a95777 256 @@ -185846,6 +232308,8 @@ 1 1 0 +3 +4 4 0xc23a 0x10afd0ed @@ -185854,6 +232318,8 @@ 1 0 0 +5 +4 2 0xe7eb 0xf6b865f5 @@ -185862,6 +232328,8 @@ 1 0 0 +2 +4 1 0xb60f 0xae83a77d @@ -185870,6 +232338,8 @@ 0 0 0 +0 +2 3 0xaa7 0xdaf7c564 @@ -185878,6 +232348,8 @@ 0 0 0 +1 +1 4 0xf502 0x797a60e5 @@ -185886,6 +232358,8 @@ 0 0 0 +2 +3 3 0x1349 0xcc87acc6 @@ -185894,6 +232368,8 @@ 0 0 0 +5 +2 3 0x7a92 0x421e2649 @@ -185902,6 +232378,8 @@ 1 0 0 +1 +4 4 0x975 0x838947de @@ -185910,6 +232388,8 @@ 1 1 0 +0 +4 3 0xd075 0x5b261e84 @@ -185918,6 +232398,8 @@ 1 0 0 +4 +0 1 0x63a 0x36e192b4 @@ -185926,6 +232408,8 @@ 0 0 0 +2 +5 3 0x56a4 0xfe9668e5 @@ -185934,6 +232418,8 @@ 0 0 0 +1 +5 4 0x3be1 0x4def19f @@ -185942,6 +232428,8 @@ 0 0 0 +0 +4 4 0x6c47 0x328d22a @@ -185950,6 +232438,8 @@ 1 0 0 +3 +2 1 0xf4a5 0xcfcf788f @@ -185959,6 +232449,8 @@ 0 0 4 +2 +4 0xd792 0xaa1b0fb9 256 @@ -185966,6 +232458,8 @@ 0 0 0 +3 +1 1 0xeb84 0x212a3ad0 @@ -185974,6 +232468,8 @@ 0 0 0 +1 +3 4 0x50f6 0xe71afa54 @@ -185982,6 +232478,8 @@ 0 0 0 +0 +0 2 0xfecb 0xa3ec559c @@ -185990,6 +232488,8 @@ 0 0 0 +3 +5 1 0x89d3 0x4925e5ad @@ -185998,6 +232498,8 @@ 1 1 0 +1 +3 2 0x204 0xeede3175 @@ -186006,6 +232508,8 @@ 1 1 0 +0 +2 1 0xb3c7 0x5c11172d @@ -186014,6 +232518,8 @@ 0 0 0 +2 +0 3 0xc4b3 0x197ed002 @@ -186022,6 +232528,8 @@ 0 0 0 +5 +1 3 0xc278 0x79b6c4aa @@ -186030,6 +232538,8 @@ 1 0 0 +0 +5 3 0xc076 0x4c613507 @@ -186038,6 +232548,8 @@ 0 0 0 +1 +0 4 0xca88 0x8f0a5632 @@ -186046,6 +232558,8 @@ 1 1 0 +4 +2 2 0x6d40 0x5b3fe4a7 @@ -186054,6 +232568,8 @@ 0 0 0 +4 +5 3 0xb3eb 0x456b0be @@ -186062,6 +232578,8 @@ 0 0 0 +1 +4 4 0x1d07 0x820212dc @@ -186070,6 +232588,8 @@ 0 0 0 +2 +1 3 0x96ec 0xf01165bb @@ -186078,6 +232598,8 @@ 1 1 0 +5 +0 4 0x78ab 0xe640b358 @@ -186086,6 +232608,8 @@ 0 0 0 +2 +4 4 0x43b8 0x358ce15e @@ -186094,6 +232618,8 @@ 1 0 0 +2 +2 4 0x814 0xc5ffe9c4 @@ -186102,6 +232628,8 @@ 0 0 0 +1 +4 3 0x4a2c 0xd76bedfa @@ -186110,6 +232638,8 @@ 0 0 0 +0 +2 3 0x8492 0x22bd962f @@ -186118,6 +232648,8 @@ 0 0 0 +3 +0 1 0x5676 0x7d972a89 @@ -186126,6 +232658,8 @@ 0 0 0 +4 +5 1 0xb7d6 0x630111e6 @@ -186134,6 +232668,8 @@ 1 0 0 +5 +2 1 0x17de 0xa43e6b99 @@ -186142,6 +232678,8 @@ 0 0 0 +4 +4 1 0x3653 0xa03776a6 @@ -186150,6 +232688,8 @@ 1 1 0 +4 +1 3 0xed13 0xc61ea2a7 @@ -186158,6 +232698,8 @@ 1 1 0 +2 +4 4 0x5349 0xaf155853 @@ -186166,6 +232708,8 @@ 0 0 0 +0 +3 4 0xe25b 0xc2dce848 @@ -186174,6 +232718,8 @@ 1 1 0 +1 +2 4 0xb8ce 0x1c66794a @@ -186182,6 +232728,8 @@ 1 0 0 +0 +4 3 0xb476 0x8853b132 @@ -186190,6 +232738,8 @@ 0 0 0 +0 +3 2 0xe4 0x2b6005d3 @@ -186198,6 +232748,8 @@ 0 0 0 +1 +1 3 0xb106 0xbecef517 @@ -186207,6 +232759,8 @@ 1 0 3 +2 +3 0x7fb7 0x67ba719c 256 @@ -186214,6 +232768,8 @@ 1 0 0 +5 +4 2 0xda18 0x3315a2 @@ -186222,6 +232778,8 @@ 0 0 0 +5 +1 3 0xb25f 0x95716411 @@ -186230,6 +232788,8 @@ 0 0 0 +5 +3 2 0x8d45 0xae7d1577 @@ -186238,6 +232798,8 @@ 1 0 0 +0 +2 1 0xe41 0x69824a80 @@ -186246,6 +232808,8 @@ 0 0 0 +4 +5 3 0x574e 0xeb3420f2 @@ -186255,6 +232819,8 @@ 0 0 1 +5 +1 0xf4e4 0xb78f09b8 256 @@ -186262,6 +232828,8 @@ 0 0 0 +2 +0 1 0x302c 0x6273f83d @@ -186270,6 +232838,8 @@ 1 1 0 +4 +2 2 0x89cf 0x68aa0358 @@ -186278,6 +232848,8 @@ 1 1 0 +4 +1 3 0x88fe 0x48233e5e @@ -186286,6 +232858,8 @@ 0 0 0 +3 +0 2 0xedd3 0x7f024b1 @@ -186294,6 +232868,8 @@ 0 0 0 +4 +5 3 0x2db 0xb52825f8 @@ -186302,6 +232878,8 @@ 0 0 0 +4 +3 3 0xa328 0x3818a39f @@ -186310,6 +232888,8 @@ 1 1 0 +5 +4 3 0xeb7a 0xac90c1dc @@ -186318,6 +232898,8 @@ 0 0 0 +3 +1 1 0xd8e7 0x3071002a @@ -186327,6 +232909,8 @@ 1 0 2 +5 +2 0x9ced 0x4ecea39a 256 @@ -186334,6 +232918,8 @@ 1 1 0 +5 +1 2 0xfff0 0x8157a9db @@ -186343,6 +232929,8 @@ 1 0 2 +3 +2 0x53a6 0x3ac3c250 256 @@ -186350,6 +232938,8 @@ 1 0 0 +4 +5 3 0xf46e 0xb660feae @@ -186358,6 +232948,8 @@ 1 1 0 +5 +5 3 0xbb45 0x93a39b @@ -186367,6 +232959,8 @@ 1 0 3 +5 +3 0x66c2 0x841472fd 256 @@ -186375,6 +232969,8 @@ 0 0 3 +3 +3 0x4aad 0x22fd31ca 256 @@ -186382,6 +232978,8 @@ 0 0 0 +5 +5 1 0xa524 0x192a3d38 @@ -186390,6 +232988,8 @@ 1 1 0 +1 +2 2 0xb4bd 0x5840e9bf @@ -186398,6 +232998,8 @@ 1 1 0 +5 +0 4 0x2c85 0xd534fc4c @@ -186406,6 +233008,8 @@ 0 0 0 +5 +5 4 0x85df 0xc2441080 @@ -186414,6 +233018,8 @@ 0 0 0 +4 +0 2 0x2af8 0xd2921b79 @@ -186422,6 +233028,8 @@ 0 0 0 +1 +1 4 0x8cf8 0xfbd01e6b @@ -186430,6 +233038,8 @@ 1 1 0 +1 +1 3 0x5d32 0xf356af47 @@ -186438,6 +233048,8 @@ 1 0 0 +2 +5 1 0x94d9 0xd1772de8 @@ -186447,6 +233059,8 @@ 0 0 1 +0 +1 0x7d10 0xa321c457 256 @@ -186454,6 +233068,8 @@ 1 1 0 +3 +2 1 0x5aac 0xaba907d5 @@ -186462,6 +233078,8 @@ 1 0 0 +5 +3 2 0xe2f6 0x337bdbc4 @@ -186471,6 +233089,8 @@ 0 0 2 +4 +2 0x71f5 0x3de52245 256 @@ -186479,6 +233099,8 @@ 0 0 1 +2 +1 0xfb12 0x4bca05e3 256 @@ -186486,6 +233108,8 @@ 1 1 0 +5 +2 1 0x65a9 0x5311b562 @@ -186494,6 +233118,8 @@ 0 0 0 +2 +4 1 0xa06d 0x4eb70f74 @@ -186502,6 +233128,8 @@ 0 0 0 +5 +0 4 0x7f6a 0xa812517f @@ -186510,6 +233138,8 @@ 1 1 0 +4 +1 2 0x482 0x5f331ed6 @@ -186519,6 +233149,8 @@ 1 0 3 +5 +3 0xecc6 0x86636747 256 @@ -186527,6 +233159,8 @@ 0 0 2 +1 +2 0xeb2b 0x8676d36 256 @@ -186534,6 +233168,8 @@ 1 1 0 +5 +3 4 0x766e 0xb4244a24 @@ -186542,6 +233178,8 @@ 1 0 0 +0 +5 1 0xef66 0x90b4d2c9 @@ -186550,6 +233188,8 @@ 1 0 0 +2 +5 3 0x1505 0xe9d6f37b @@ -186558,6 +233198,8 @@ 1 1 0 +0 +0 3 0x532b 0x3cb455ab @@ -186566,6 +233208,8 @@ 0 0 0 +0 +5 2 0x4f6a 0xe6dc97b @@ -186574,6 +233218,8 @@ 1 0 0 +0 +5 2 0x84d9 0x177d884e @@ -186582,6 +233228,8 @@ 1 0 0 +3 +1 1 0x4b78 0x72eb56e1 @@ -186590,6 +233238,8 @@ 0 0 0 +5 +3 2 0x85da 0x40a8154d @@ -186598,6 +233248,8 @@ 0 0 0 +3 +0 1 0x761d 0xd9a30506 @@ -186606,6 +233258,8 @@ 0 0 0 +0 +3 4 0x9b74 0x8bd34b2a @@ -186614,6 +233268,8 @@ 0 0 0 +4 +0 2 0xcdea 0x62983af1 @@ -186622,6 +233278,8 @@ 1 1 0 +1 +4 3 0xaa4e 0x1a0b3eb7 @@ -186630,6 +233288,8 @@ 0 0 0 +2 +2 4 0x6521 0xa1ce26f7 @@ -186638,6 +233298,8 @@ 1 1 0 +1 +2 4 0xd65a 0x7c727d52 @@ -186647,6 +233309,8 @@ 0 0 3 +3 +3 0xe4d6 0xad54a9d9 256 @@ -186655,6 +233319,8 @@ 0 0 2 +5 +2 0x2ad4 0x55a608ea 256 @@ -186662,6 +233328,8 @@ 1 0 0 +0 +0 3 0x62af 0x43957daa @@ -186671,6 +233339,8 @@ 0 0 3 +3 +3 0x9c0a 0x3540f02d 256 @@ -186678,6 +233348,8 @@ 1 1 0 +3 +1 1 0x9550 0x31c595d2 @@ -186686,6 +233358,8 @@ 1 0 0 +2 +2 4 0xe969 0xb07aff17 @@ -186694,6 +233368,8 @@ 1 1 0 +5 +0 2 0xf1ac 0x32d47e8a @@ -186702,6 +233378,8 @@ 0 0 0 +2 +2 1 0x3b76 0x9fd5e337 @@ -186710,6 +233388,8 @@ 0 0 0 +1 +1 4 0x46fa 0xbf46fd15 @@ -186719,6 +233399,8 @@ 1 0 3 +5 +3 0x495 0x8c3a9541 256 @@ -186726,6 +233408,8 @@ 1 1 0 +4 +4 3 0xa81f 0x40455fa2 @@ -186734,6 +233418,8 @@ 0 0 0 +3 +4 4 0x33cd 0x1db3a390 @@ -186742,6 +233428,8 @@ 1 1 0 +3 +3 1 0x2a37 0x959cdead @@ -186750,6 +233438,8 @@ 0 0 0 +3 +3 4 0xd886 0xfa6558be @@ -186758,6 +233448,8 @@ 0 0 0 +2 +3 3 0x37d7 0x3619cb24 @@ -186767,6 +233459,8 @@ 0 0 4 +0 +4 0xc5b3 0xd8ff0426 256 @@ -186774,6 +233468,8 @@ 0 0 0 +3 +0 1 0x1f8a 0xed9ec10b @@ -186782,6 +233478,8 @@ 0 0 0 +0 +5 3 0xbaaa 0x104d6479 @@ -186790,6 +233488,8 @@ 1 1 0 +5 +5 2 0xa4cd 0xf9417dbc @@ -186798,6 +233498,8 @@ 0 0 0 +3 +3 2 0x97f6 0x626dbd2a @@ -186806,6 +233508,8 @@ 0 0 0 +0 +4 4 0x6b8f 0x74f24f9b @@ -186814,6 +233518,8 @@ 0 0 0 +2 +0 4 0x30d5 0x4f2db43d @@ -186822,6 +233528,8 @@ 0 0 0 +3 +3 4 0xa1f3 0xebae4588 @@ -186830,6 +233538,8 @@ 1 1 0 +1 +4 4 0xd349 0x15d0cdd8 @@ -186838,6 +233548,8 @@ 1 0 0 +3 +5 2 0x129d 0xd78ae775 @@ -186846,6 +233558,8 @@ 0 0 0 +5 +1 2 0xd67 0x27c4710 @@ -186854,6 +233568,8 @@ 1 1 0 +1 +1 2 0x141e 0xea22503e @@ -186862,6 +233578,8 @@ 0 0 0 +3 +4 4 0x25f6 0x782e32de @@ -186870,6 +233588,8 @@ 1 1 0 +0 +3 2 0x2379 0x1b86294f @@ -186878,6 +233598,8 @@ 0 0 0 +1 +4 4 0x8550 0x8b208309 @@ -186886,6 +233608,8 @@ 1 0 0 +5 +1 3 0x8286 0xd7d36dd2 @@ -186894,6 +233618,8 @@ 0 0 0 +4 +1 3 0x904b 0xba6799b6 @@ -186902,6 +233628,8 @@ 1 1 0 +0 +4 1 0x366 0x9649b3d9 @@ -186910,6 +233638,8 @@ 0 0 0 +4 +1 1 0xca34 0xd623a6d4 @@ -186918,6 +233648,8 @@ 1 0 0 +2 +5 3 0xcb4d 0x884d2490 @@ -186926,6 +233658,8 @@ 0 0 0 +3 +1 1 0xa3ce 0xfd69703e @@ -186935,6 +233669,8 @@ 0 0 1 +2 +1 0xf4af 0x4ba576fb 256 @@ -186942,6 +233678,8 @@ 0 0 0 +1 +5 3 0x436 0x5fca5e55 @@ -186950,6 +233688,8 @@ 1 1 0 +0 +0 3 0xea8 0x53b67b35 @@ -186958,6 +233698,8 @@ 1 0 0 +1 +5 2 0x7a00 0x5bf74b31 @@ -186966,6 +233708,8 @@ 0 0 0 +5 +4 3 0xc154 0xa6e5c3be @@ -186974,6 +233718,8 @@ 0 0 0 +3 +1 4 0xb85 0xf5ce827b @@ -186982,6 +233728,8 @@ 0 0 0 +5 +1 4 0x5721 0x1e9b6478 @@ -186990,6 +233738,8 @@ 0 0 0 +2 +2 3 0x1c10 0x725f9cd0 @@ -186998,6 +233748,8 @@ 1 1 0 +3 +5 4 0x24f 0xfe1825da @@ -187006,6 +233758,8 @@ 0 0 0 +3 +5 1 0x47f 0xb6667211 @@ -187014,6 +233768,8 @@ 1 1 0 +1 +2 2 0xe3a6 0x7a60d5a9 @@ -187022,6 +233778,8 @@ 0 0 0 +1 +5 2 0x5607 0x1598e79d @@ -187031,6 +233789,8 @@ 0 0 3 +4 +3 0x6ce3 0xc0f0f3e3 256 @@ -187038,6 +233798,8 @@ 0 0 0 +3 +0 2 0xf43c 0xa0f91685 @@ -187046,6 +233808,8 @@ 0 0 0 +5 +3 3 0x8406 0xbab42c68 @@ -187054,6 +233818,8 @@ 1 1 0 +1 +0 3 0xbeca 0x6bdf563d @@ -187062,6 +233828,8 @@ 0 0 0 +1 +3 4 0x5155 0xe9d2b2b3 @@ -187070,6 +233838,8 @@ 0 0 0 +1 +0 4 0x6521 0xdeeacb8 @@ -187078,6 +233848,8 @@ 0 0 0 +3 +3 1 0x1901 0xf3ab662f @@ -187086,6 +233858,8 @@ 1 1 0 +2 +4 1 0x6d45 0x3e6fb62f @@ -187094,6 +233868,8 @@ 1 1 0 +3 +5 2 0xb99d 0x3814d703 @@ -187103,6 +233879,8 @@ 0 0 2 +5 +2 0x3443 0x1b020575 256 @@ -187110,6 +233888,8 @@ 1 0 0 +2 +1 1 0x6006 0xa0907ad1 @@ -187118,6 +233898,8 @@ 1 1 0 +4 +3 3 0xa287 0x17de631e @@ -187126,6 +233908,8 @@ 0 0 0 +1 +0 4 0xd047 0x6322c98b @@ -187135,6 +233919,8 @@ 0 0 4 +5 +4 0xb33f 0x9a124f34 256 @@ -187142,6 +233928,8 @@ 1 0 0 +0 +1 1 0xf01f 0x4e27e5d @@ -187150,6 +233938,8 @@ 1 0 0 +5 +3 4 0x15c9 0xb013a106 @@ -187159,6 +233949,8 @@ 1 0 4 +4 +4 0xa395 0x2c9fec0d 256 @@ -187166,6 +233958,8 @@ 1 1 0 +4 +1 1 0x3897 0xd7e3c9c8 @@ -187175,6 +233969,8 @@ 0 0 2 +2 +2 0x1e3d 0x849cac6 256 @@ -187182,6 +233978,8 @@ 1 1 0 +4 +5 2 0xcbf0 0x758b1531 @@ -187190,6 +233988,8 @@ 1 1 0 +1 +2 3 0xd211 0xcc80330f @@ -187198,6 +233998,8 @@ 0 0 0 +4 +0 2 0x7d26 0x71a33aab @@ -187206,6 +234008,8 @@ 0 0 0 +0 +3 4 0x82b1 0xe54e6289 @@ -187214,6 +234018,8 @@ 0 0 0 +2 +1 3 0xa490 0x3e314a22 @@ -187222,6 +234028,8 @@ 0 0 0 +4 +1 3 0x3fab 0x794f804c @@ -187231,6 +234039,8 @@ 1 0 2 +3 +2 0x6472 0x2d0969ac 256 @@ -187238,6 +234048,8 @@ 1 1 0 +2 +4 4 0x8dac 0x70dcb98f @@ -187246,6 +234058,8 @@ 0 0 0 +1 +5 3 0xcf4f 0x53fe862 @@ -187254,6 +234068,8 @@ 1 0 0 +1 +5 2 0x4bd9 0x27a0e8b0 @@ -187262,6 +234078,8 @@ 0 0 0 +1 +5 3 0x37e5 0x477b39c7 @@ -187270,6 +234088,8 @@ 0 0 0 +4 +0 3 0xcf89 0xc222eb81 @@ -187279,6 +234099,8 @@ 0 0 1 +5 +1 0x515d 0x1895a926 256 @@ -187286,6 +234108,8 @@ 1 1 0 +0 +1 3 0xb3b0 0xdc0ef9a0 @@ -187295,6 +234119,8 @@ 1 0 3 +3 +3 0xee2c 0xd4cad50f 256 @@ -187303,6 +234129,8 @@ 0 0 4 +1 +4 0xb517 0xad8d3de7 256 @@ -187310,6 +234138,8 @@ 0 0 0 +5 +0 3 0x3c11 0xc9bbff61 @@ -187318,6 +234148,8 @@ 0 0 0 +4 +2 3 0xde7d 0x3f6d0482 @@ -187326,6 +234158,8 @@ 0 0 0 +1 +2 4 0x50fd 0x449c04e8 @@ -187334,6 +234168,8 @@ 0 0 0 +3 +5 4 0xaf79 0x60cc1e53 @@ -187343,6 +234179,8 @@ 1 0 3 +1 +3 0xd60c 0x70613c9 256 @@ -187350,6 +234188,8 @@ 0 0 0 +5 +5 4 0x2094 0xfc1cd81c @@ -187358,6 +234198,8 @@ 1 1 0 +5 +0 2 0x7a6d 0x4ce24ebd @@ -187366,6 +234208,8 @@ 0 0 0 +2 +0 3 0x2c3e 0x3008d8f @@ -187374,6 +234218,8 @@ 1 0 0 +2 +3 3 0x333a 0xfcd505a6 @@ -187382,6 +234228,8 @@ 0 0 0 +4 +4 2 0x5ed0 0x575fee1a @@ -187390,6 +234238,8 @@ 0 0 0 +4 +5 1 0xc8e4 0x2fda0317 @@ -187398,6 +234248,8 @@ 1 0 0 +5 +3 2 0xd4ee 0xa1d9ea88 @@ -187407,6 +234259,8 @@ 0 0 4 +5 +4 0x97af 0x67fb9534 256 @@ -187414,6 +234268,8 @@ 0 0 0 +1 +3 4 0xa9d8 0xb23b2ab1 @@ -187422,6 +234278,8 @@ 1 1 0 +1 +3 3 0xa7a2 0x64531653 @@ -187430,6 +234288,8 @@ 1 1 0 +4 +0 3 0x239f 0xaea7673 @@ -187438,6 +234298,8 @@ 0 0 0 +0 +0 4 0xcbb2 0xe9487dd2 @@ -187446,6 +234308,8 @@ 0 0 0 +1 +3 4 0x7ea8 0x3797b4b8 @@ -187454,6 +234318,8 @@ 0 0 0 +2 +0 4 0x7fcf 0x7829242 @@ -187462,6 +234328,8 @@ 0 0 0 +0 +3 3 0xd42f 0x487719f0 @@ -187470,6 +234338,8 @@ 0 0 0 +3 +5 2 0x7629 0x399b8323 @@ -187478,6 +234348,8 @@ 1 1 0 +2 +2 1 0xec5 0xa3d38dd1 @@ -187486,6 +234358,8 @@ 0 0 0 +0 +3 2 0x95fe 0xa06ba925 @@ -187495,6 +234369,8 @@ 0 0 3 +4 +3 0x31f 0xb7e9933b 256 @@ -187502,6 +234378,8 @@ 0 0 0 +4 +5 3 0x7275 0xb50f53a4 @@ -187511,6 +234389,8 @@ 0 0 2 +5 +2 0xd30 0xce990e5d 256 @@ -187518,6 +234398,8 @@ 0 0 0 +2 +3 1 0xc45a 0xc743a4be @@ -187526,6 +234408,8 @@ 1 0 0 +0 +2 3 0x1c42 0x6d7032c1 @@ -187534,6 +234418,8 @@ 0 0 0 +2 +5 3 0x5089 0xa47bc327 @@ -187542,6 +234428,8 @@ 0 0 0 +0 +0 4 0xafa2 0x812175c7 @@ -187550,6 +234438,8 @@ 0 0 0 +2 +2 4 0xa928 0x5dfababe @@ -187558,6 +234448,8 @@ 1 0 0 +0 +3 3 0x53f2 0xc82a77b1 @@ -187566,6 +234458,8 @@ 0 0 0 +4 +0 3 0x6798 0x38e48129 @@ -187574,6 +234468,8 @@ 1 1 0 +0 +1 1 0xfa66 0xb07b1b46 @@ -187582,6 +234478,8 @@ 1 1 0 +0 +5 4 0xd 0xb3bf4e56 @@ -187590,6 +234488,8 @@ 0 0 0 +4 +2 1 0xf413 0x4668428d @@ -187598,6 +234498,8 @@ 1 1 0 +5 +4 1 0xd3a4 0x6c2cfcec @@ -187606,6 +234508,8 @@ 0 0 0 +4 +5 1 0x9e60 0xd470b6d4 @@ -187614,6 +234518,8 @@ 1 1 0 +1 +1 2 0x7d58 0x4c341232 @@ -187622,6 +234528,8 @@ 0 0 0 +0 +2 3 0xc2eb 0xc4840bf6 @@ -187630,6 +234538,8 @@ 0 0 0 +3 +3 4 0xa3c3 0x88f487b1 @@ -187638,6 +234548,8 @@ 0 0 0 +2 +2 3 0xeb98 0x41d0f49f @@ -187646,6 +234558,8 @@ 0 0 0 +0 +4 3 0xfe2d 0xe11e619 @@ -187654,6 +234568,8 @@ 1 1 0 +0 +1 4 0x8979 0x43736562 @@ -187662,6 +234578,8 @@ 1 0 0 +4 +2 1 0x4c13 0xc01fca15 @@ -187670,6 +234588,8 @@ 0 0 0 +2 +2 1 0x3b6 0x458ac6d1 @@ -187678,6 +234598,8 @@ 0 0 0 +4 +5 2 0x401 0x80a853e2 @@ -187686,6 +234608,8 @@ 1 1 0 +3 +0 1 0x39a7 0x4c851152 @@ -187694,6 +234618,8 @@ 1 1 0 +3 +3 1 0x474b 0x9bd409cc @@ -187702,6 +234628,8 @@ 0 0 0 +1 +2 2 0xb359 0x2d2ba74f @@ -187710,6 +234638,8 @@ 0 0 0 +5 +0 1 0x7828 0xc09b64ac @@ -187718,6 +234648,8 @@ 0 0 0 +2 +4 3 0xa88b 0x8bc77c32 @@ -187726,6 +234658,8 @@ 1 0 0 +4 +4 1 0x6881 0x323a8cc6 @@ -187735,6 +234669,8 @@ 0 0 4 +0 +4 0x70f6 0xcaea1ec7 256 @@ -187742,6 +234678,8 @@ 1 0 0 +0 +0 2 0xbbe7 0xae13fe5d @@ -187750,6 +234688,8 @@ 1 0 0 +5 +0 3 0x16c0 0x33ccce6b @@ -187758,6 +234698,8 @@ 0 0 0 +1 +1 2 0xa7e4 0xb5b5ebf8 @@ -187766,6 +234708,8 @@ 0 0 0 +2 +3 4 0xd960 0xaf5086e0 @@ -187774,6 +234718,8 @@ 0 0 0 +5 +1 1 0x8aea 0x491b12f7 @@ -187783,6 +234729,8 @@ 0 0 2 +1 +2 0x305d 0xbf1d38d2 256 @@ -187790,6 +234738,8 @@ 1 1 0 +5 +0 1 0x92f4 0x72b900e2 @@ -187798,6 +234748,8 @@ 1 0 0 +0 +5 1 0x94c9 0xefe90c9b @@ -187806,6 +234758,8 @@ 1 1 0 +4 +4 3 0x7cfe 0x544e3c79 @@ -187814,6 +234768,8 @@ 1 1 0 +2 +5 1 0x617d 0x7048b95d @@ -187823,6 +234779,8 @@ 0 0 4 +3 +4 0x45bc 0x8436800b 256 @@ -187831,6 +234789,8 @@ 0 0 3 +0 +3 0x38a9 0x27b314be 256 @@ -187838,6 +234798,8 @@ 1 0 0 +3 +1 2 0xaa2d 0xf32e772f @@ -187846,6 +234808,8 @@ 1 1 0 +3 +4 1 0xe7e0 0xf95c5e93 @@ -187854,6 +234818,8 @@ 1 0 0 +4 +3 1 0x2a6f 0x680a2b9d @@ -187862,6 +234828,8 @@ 1 1 0 +4 +2 2 0xf0ce 0x57da4e6 @@ -187871,6 +234839,8 @@ 0 0 2 +0 +2 0x2e8c 0xc93e2d51 256 @@ -187878,6 +234848,8 @@ 1 0 0 +5 +0 3 0x8cfb 0xd04b9be1 @@ -187886,6 +234858,8 @@ 1 0 0 +1 +2 2 0xdc1c 0x5b041afc @@ -187895,6 +234869,8 @@ 1 0 3 +2 +3 0x6d41 0x27c6662e 256 @@ -187902,6 +234878,8 @@ 0 0 0 +1 +4 4 0xe3d 0x9a91e250 @@ -187910,6 +234888,8 @@ 1 0 0 +1 +3 3 0x12 0x90168fe9 @@ -187918,6 +234898,8 @@ 1 1 0 +1 +3 4 0x4914 0x24f5c895 @@ -187927,6 +234909,8 @@ 0 0 2 +3 +2 0x1c34 0x3f695b29 256 @@ -187934,6 +234918,8 @@ 0 0 0 +3 +1 2 0xdb7f 0x95ae2345 @@ -187942,6 +234928,8 @@ 0 0 0 +1 +2 2 0x738f 0xcb2e67d6 @@ -187950,6 +234938,8 @@ 0 0 0 +3 +4 2 0x8bfa 0xb210069e @@ -187958,6 +234948,8 @@ 0 0 0 +3 +5 1 0xaee8 0x37787bfc @@ -187966,6 +234958,8 @@ 1 0 0 +3 +5 2 0xc7aa 0x9a41596e @@ -187974,6 +234968,8 @@ 0 0 0 +0 +2 3 0xad0e 0x83eda25b @@ -187983,6 +234979,8 @@ 1 0 1 +1 +1 0x382c 0xa5c18b94 256 @@ -187990,6 +234988,8 @@ 1 0 0 +2 +3 4 0x9940 0xc9050011 @@ -187999,6 +234999,8 @@ 0 0 4 +0 +4 0x75f2 0xeb385a51 256 @@ -188006,6 +235008,8 @@ 0 0 0 +1 +0 3 0x345e 0x6c808b47 @@ -188015,6 +235019,8 @@ 0 0 4 +3 +4 0xd54c 0x549ba764 256 @@ -188022,6 +235028,8 @@ 1 0 0 +3 +3 1 0xa9d6 0xa4617d6e @@ -188030,6 +235038,8 @@ 0 0 0 +1 +1 4 0x190d 0x11d73a63 @@ -188039,6 +235049,8 @@ 1 0 1 +3 +1 0x90e4 0xe79d6c88 256 @@ -188046,6 +235058,8 @@ 0 0 0 +4 +2 2 0xb078 0xdafca529 @@ -188054,6 +235068,8 @@ 1 0 0 +0 +5 3 0x28 0xf4cd581 @@ -188062,6 +235078,8 @@ 0 0 0 +0 +4 3 0xb0af 0x5d960bb7 @@ -188071,6 +235089,8 @@ 1 0 4 +5 +4 0xcdcd 0x7582a1a3 256 @@ -188078,6 +235098,8 @@ 0 0 0 +5 +3 1 0xea4f 0x653c365d @@ -188086,6 +235108,8 @@ 1 1 0 +5 +3 2 0x16c5 0xbfe10071 @@ -188094,6 +235118,8 @@ 0 0 0 +1 +4 2 0xa55b 0x79e8c640 @@ -188102,6 +235128,8 @@ 0 0 0 +1 +0 4 0x6766 0xdf970b0d @@ -188110,6 +235138,8 @@ 0 0 0 +0 +1 4 0xd5ea 0x2b921568 @@ -188118,6 +235148,8 @@ 1 0 0 +1 +1 3 0x94c2 0xa3c317ef @@ -188126,6 +235158,8 @@ 0 0 0 +3 +5 1 0xbc87 0x4306a77a @@ -188134,6 +235168,8 @@ 0 0 0 +2 +5 1 0x167 0x2a41f456 @@ -188142,6 +235178,8 @@ 1 0 0 +2 +1 4 0xaae8 0x4ce3dcac @@ -188151,6 +235189,8 @@ 0 0 3 +5 +3 0x4c93 0xc6cde1b3 256 @@ -188158,6 +235198,8 @@ 0 0 0 +5 +1 3 0x1ff4 0x87623c8c @@ -188166,6 +235208,8 @@ 1 1 0 +5 +0 3 0x34bf 0xd28b9c5a @@ -188174,6 +235218,8 @@ 0 0 0 +5 +1 4 0x1c02 0xff351241 @@ -188182,6 +235228,8 @@ 1 0 0 +1 +4 4 0xa606 0xf7d57e63 @@ -188190,6 +235238,8 @@ 0 0 0 +1 +1 2 0x39ea 0x9c56b72f @@ -188198,6 +235248,8 @@ 1 1 0 +3 +1 4 0x14b7 0xd215e060 @@ -188206,6 +235258,8 @@ 0 0 0 +2 +0 3 0xcd4d 0xd98b5aa3 @@ -188214,6 +235268,8 @@ 0 0 0 +5 +2 4 0x2387 0x45661afc @@ -188223,6 +235279,8 @@ 0 0 4 +5 +4 0x5d0c 0xbb2629c6 256 @@ -188230,6 +235288,8 @@ 1 1 0 +3 +1 2 0x3138 0x9faf838e @@ -188238,6 +235298,8 @@ 1 1 0 +0 +1 1 0xf1fc 0x7ae4343c @@ -188246,6 +235308,8 @@ 0 0 0 +5 +0 2 0xfff4 0xc00a3c1f @@ -188254,6 +235318,8 @@ 1 0 0 +0 +3 1 0x65f 0xb33890a0 @@ -188262,6 +235328,8 @@ 1 0 0 +2 +5 3 0x918e 0x815a5103 @@ -188271,6 +235339,8 @@ 1 0 4 +1 +4 0xeb4a 0x608a45f1 256 @@ -188278,6 +235348,8 @@ 0 0 0 +2 +1 4 0x17bb 0xdbff9704 @@ -188286,6 +235358,8 @@ 0 0 0 +5 +3 2 0x5e7b 0x7338a85c @@ -188294,6 +235368,8 @@ 0 0 0 +0 +5 1 0x803 0xad384af3 @@ -188302,6 +235378,8 @@ 1 1 0 +4 +2 1 0x8e24 0x778c234 @@ -188310,6 +235388,8 @@ 1 0 0 +0 +3 4 0x8d61 0x120316e7 @@ -188318,6 +235398,8 @@ 1 0 0 +3 +3 2 0xa21a 0x3c0c5776 @@ -188326,6 +235408,8 @@ 0 0 0 +0 +0 3 0xdd82 0x2d556b39 @@ -188334,6 +235418,8 @@ 1 0 0 +0 +5 2 0x6949 0x8d27c2a6 @@ -188343,6 +235429,8 @@ 1 0 2 +0 +2 0x917e 0x1e7d5c08 256 @@ -188350,6 +235438,8 @@ 0 0 0 +4 +2 2 0xd8cd 0xbb7040a7 @@ -188359,6 +235449,8 @@ 0 0 2 +2 +2 0xb5fa 0xbc9157ad 256 @@ -188367,6 +235459,8 @@ 0 0 2 +3 +2 0xed2a 0xb89cd0bc 256 @@ -188374,6 +235468,8 @@ 0 0 0 +4 +2 2 0xa977 0x949420d8 @@ -188382,6 +235478,8 @@ 1 1 0 +0 +4 4 0xb5e5 0xee04e407 @@ -188390,6 +235488,8 @@ 0 0 0 +0 +3 1 0x762f 0x3a580096 @@ -188398,6 +235498,8 @@ 1 0 0 +2 +5 1 0x8aac 0x50d6a707 @@ -188406,6 +235508,8 @@ 1 1 0 +1 +2 4 0xa665 0xa05e8dad @@ -188414,6 +235518,8 @@ 0 0 0 +3 +0 2 0x224e 0xa9ac680d @@ -188422,6 +235528,8 @@ 0 0 0 +0 +1 1 0x91b8 0x91910f62 @@ -188430,6 +235538,8 @@ 1 0 0 +0 +3 4 0x2c8e 0xd7f02b9f @@ -188438,6 +235548,8 @@ 1 0 0 +3 +2 2 0x2b09 0x175ecd82 @@ -188446,6 +235558,8 @@ 1 1 0 +4 +4 2 0x33e6 0x8145a51b @@ -188454,6 +235568,8 @@ 1 1 0 +3 +1 4 0x4f37 0x65c39b23 @@ -188462,6 +235578,8 @@ 0 0 0 +0 +2 3 0x117e 0x2e398c51 @@ -188470,6 +235588,8 @@ 1 0 0 +5 +4 1 0xb9cc 0xc542e08b @@ -188478,6 +235598,8 @@ 1 1 0 +0 +3 1 0x4aec 0x873d86e6 @@ -188486,6 +235608,8 @@ 0 0 0 +5 +1 4 0x9a9b 0xbf3fe68 @@ -188494,6 +235618,8 @@ 0 0 0 +4 +2 1 0x93ae 0xe87d028d @@ -188502,6 +235628,8 @@ 0 0 0 +3 +0 1 0xefc8 0xb63fd3c3 @@ -188510,6 +235638,8 @@ 1 0 0 +3 +0 1 0x69d7 0x193c960b @@ -188519,6 +235649,8 @@ 0 0 3 +1 +3 0x2185 0x58dd1117 256 @@ -188526,6 +235658,8 @@ 0 0 0 +0 +3 2 0xdcac 0xb4417b61 @@ -188535,6 +235669,8 @@ 1 0 2 +3 +2 0xdaed 0x567222ab 256 @@ -188542,6 +235678,8 @@ 0 0 0 +1 +0 2 0xe4d9 0xc9aa790c @@ -188551,6 +235689,8 @@ 1 0 1 +5 +1 0x2d16 0xb46e2977 256 @@ -188558,6 +235698,8 @@ 1 1 0 +0 +4 3 0x32ed 0xfb6eab64 @@ -188566,6 +235708,8 @@ 0 0 0 +5 +1 4 0x9676 0x53350252 @@ -188574,6 +235718,8 @@ 0 0 0 +2 +0 4 0x9fd7 0xfe43ed79 @@ -188582,6 +235728,8 @@ 1 0 0 +2 +3 4 0x5b18 0x55fcc50b @@ -188590,6 +235738,8 @@ 1 1 0 +1 +2 2 0xf1ad 0x9144d99d @@ -188598,6 +235748,8 @@ 0 0 0 +5 +2 4 0x4fc3 0xc04bf058 @@ -188607,6 +235759,8 @@ 1 0 1 +2 +1 0x60ec 0x3eb8c2c6 256 @@ -188614,6 +235768,8 @@ 0 0 0 +2 +0 1 0xf718 0x53fa44e5 @@ -188622,6 +235778,8 @@ 0 0 0 +3 +2 4 0x3b0c 0x7bd44200 @@ -188630,6 +235788,8 @@ 0 0 0 +1 +5 3 0x6fb6 0x700612e9 @@ -188638,6 +235798,8 @@ 0 0 0 +4 +4 2 0x3c2 0xac58bd8e @@ -188646,6 +235808,8 @@ 0 0 0 +0 +3 3 0xf5f2 0x1aa5d9cd @@ -188654,6 +235818,8 @@ 0 0 0 +2 +1 1 0x8414 0x47450fd1 @@ -188662,6 +235828,8 @@ 1 1 0 +2 +3 3 0xb67 0x865ca9a9 @@ -188671,6 +235839,8 @@ 1 0 3 +2 +3 0x1da4 0x93e98b8a 256 @@ -188679,6 +235849,8 @@ 0 0 3 +2 +3 0xfc44 0x603b3429 256 @@ -188686,6 +235858,8 @@ 1 1 0 +5 +3 1 0x1895 0xa0ed52bc @@ -188694,6 +235868,8 @@ 0 0 0 +0 +5 2 0x5b5d 0x8ba03bf9 @@ -188702,6 +235878,8 @@ 0 0 0 +5 +2 4 0xaec2 0x7fa99cc3 @@ -188710,6 +235888,8 @@ 1 1 0 +5 +2 1 0x4d1 0xd68015ee @@ -188718,6 +235898,8 @@ 1 0 0 +3 +1 2 0xdd42 0x425b5130 @@ -188726,6 +235908,8 @@ 0 0 0 +1 +4 3 0x92cc 0x918d611f @@ -188734,6 +235918,8 @@ 1 0 0 +5 +3 4 0x3bb0 0x63f3736f @@ -188742,6 +235928,8 @@ 0 0 0 +5 +5 3 0x36f3 0xf07bad5c @@ -188750,6 +235938,8 @@ 0 0 0 +2 +3 4 0x5083 0xcdd919ce @@ -188758,6 +235948,8 @@ 0 0 0 +3 +5 1 0xe33 0x91d7dc6f @@ -188766,6 +235958,8 @@ 1 0 0 +5 +2 3 0x102f 0x87f9e65 @@ -188774,6 +235968,8 @@ 0 0 0 +0 +0 3 0x7498 0x243c335 @@ -188782,6 +235978,8 @@ 0 0 0 +1 +5 2 0xd8ce 0x35514d81 @@ -188791,6 +235989,8 @@ 0 0 4 +0 +4 0xa728 0xc5f07e55 256 @@ -188799,6 +235999,8 @@ 0 0 1 +2 +1 0xb9ef 0xe1517db0 256 @@ -188806,6 +236008,8 @@ 0 0 0 +2 +4 3 0x977f 0xeec0ff7c @@ -188814,6 +236018,8 @@ 1 0 0 +1 +3 3 0xf41b 0x703c7c0d @@ -188822,6 +236028,8 @@ 1 0 0 +4 +0 2 0xdfa4 0x3775a09e @@ -188831,6 +236039,8 @@ 0 0 4 +2 +4 0x381c 0x65284db7 256 @@ -188838,6 +236048,8 @@ 1 0 0 +4 +5 2 0xebf6 0x38e5106c @@ -188846,6 +236058,8 @@ 1 0 0 +4 +0 1 0x8e7f 0xaa90a881 @@ -188854,6 +236068,8 @@ 1 1 0 +1 +3 2 0x2efb 0x9bb5f734 @@ -188863,6 +236079,8 @@ 1 0 2 +4 +2 0x5f43 0x40577371 256 @@ -188870,6 +236088,8 @@ 0 0 0 +5 +0 4 0x7c17 0x994dc9d5 @@ -188878,6 +236098,8 @@ 1 0 0 +0 +5 2 0x3d12 0xd8baf736 @@ -188887,6 +236109,8 @@ 1 0 4 +2 +4 0xe8ec 0x38ee51b6 256 @@ -188894,6 +236118,8 @@ 0 0 0 +5 +5 2 0x4b15 0xab13dd92 @@ -188902,6 +236128,8 @@ 0 0 0 +5 +3 3 0x1e94 0x68de6573 @@ -188910,6 +236138,8 @@ 1 0 0 +3 +3 4 0xa7d2 0xb2b48706 @@ -188918,6 +236148,8 @@ 0 0 0 +4 +1 2 0x3386 0x20760e84 @@ -188926,6 +236158,8 @@ 0 0 0 +3 +1 2 0x4571 0x9c14aafa @@ -188935,6 +236169,8 @@ 0 0 2 +2 +2 0x6277 0x2078faa2 256 @@ -188942,6 +236178,8 @@ 1 0 0 +4 +3 2 0x9851 0x38554a51 @@ -188950,6 +236188,8 @@ 1 1 0 +4 +5 1 0x3c40 0xc48c05ca @@ -188958,6 +236198,8 @@ 0 0 0 +5 +1 4 0x6fbf 0x35118ebe @@ -188966,6 +236208,8 @@ 0 0 0 +5 +3 4 0x4a65 0x20faf475 @@ -188974,6 +236218,8 @@ 1 0 0 +5 +5 1 0x24e8 0xc904b195 @@ -188982,6 +236228,8 @@ 1 0 0 +1 +1 4 0xe010 0x3826c07d @@ -188991,6 +236239,8 @@ 0 0 2 +2 +2 0xfb8f 0x7b107d45 256 @@ -188999,6 +236249,8 @@ 0 0 3 +3 +3 0x2f13 0x357e3686 256 @@ -189006,6 +236258,8 @@ 1 0 0 +5 +3 2 0x2eee 0xa3aecb60 @@ -189014,6 +236268,8 @@ 0 0 0 +5 +3 3 0x32bf 0xa44eeb3f @@ -189023,6 +236279,8 @@ 0 0 1 +2 +1 0x694c 0x7b377d3f 256 @@ -189031,6 +236289,8 @@ 0 0 1 +3 +1 0xb9e2 0xa721dc23 256 @@ -189039,6 +236299,8 @@ 0 0 2 +5 +2 0xe176 0x5af6f53 256 @@ -189046,6 +236308,8 @@ 1 1 0 +2 +0 3 0xec83 0xb7801983 @@ -189054,6 +236318,8 @@ 1 0 0 +1 +4 3 0x1b32 0x29ddcd2f @@ -189062,6 +236328,8 @@ 0 0 0 +2 +3 1 0x5537 0xe2fb7d95 @@ -189071,6 +236339,8 @@ 0 0 4 +5 +4 0x212e 0x6d93bec 256 @@ -189078,6 +236348,8 @@ 0 0 0 +5 +2 4 0xe625 0x65171d @@ -189086,6 +236358,8 @@ 1 1 0 +3 +3 2 0xa5d8 0x178780d4 @@ -189094,6 +236368,8 @@ 0 0 0 +5 +0 4 0x435d 0xe7536e5a @@ -189102,6 +236378,8 @@ 0 0 0 +4 +4 1 0xa3f9 0x7cd13ec3 @@ -189111,6 +236389,8 @@ 1 0 4 +2 +4 0x1c15 0x3f273f70 256 @@ -189119,6 +236399,8 @@ 0 0 4 +0 +4 0xcd32 0x4bb03e60 256 @@ -189126,6 +236408,8 @@ 1 1 0 +5 +3 4 0xa2bd 0xa8c82600 @@ -189134,6 +236418,8 @@ 1 1 0 +4 +5 3 0xa288 0x28d97c77 @@ -189142,6 +236428,8 @@ 0 0 0 +3 +1 1 0x23ab 0x6fa64408 @@ -189150,6 +236438,8 @@ 1 1 0 +3 +2 1 0xfc9 0x49af0a09 @@ -189159,6 +236449,8 @@ 0 0 3 +0 +3 0xd4df 0xa0815e77 256 @@ -189166,6 +236458,8 @@ 1 1 0 +3 +5 4 0xc25f 0x4c7a5250 @@ -189175,6 +236469,8 @@ 0 0 4 +4 +4 0x79d7 0xe2847e32 256 @@ -189183,6 +236479,8 @@ 0 0 1 +5 +1 0xede4 0x432291f1 256 @@ -189190,6 +236488,8 @@ 0 0 0 +0 +3 2 0x231a 0x78d4d4c @@ -189198,6 +236498,8 @@ 0 0 0 +5 +1 4 0x6e75 0xa3109a6e @@ -189206,6 +236508,8 @@ 0 0 0 +3 +4 1 0x540e 0xd03e8440 @@ -189214,6 +236518,8 @@ 1 1 0 +0 +3 3 0x40a3 0xe3bf0ca7 @@ -189222,6 +236528,8 @@ 0 0 0 +5 +0 1 0x67e0 0xad017a47 @@ -189230,6 +236538,8 @@ 0 0 0 +3 +3 1 0x43dc 0x79f6585 @@ -189238,6 +236548,8 @@ 1 0 0 +2 +1 3 0xd91e 0x11663627 @@ -189246,6 +236558,8 @@ 1 0 0 +5 +1 4 0xdb76 0x52af09a0 @@ -189254,6 +236568,8 @@ 1 0 0 +5 +4 4 0xcf1e 0x2fccd875 @@ -189262,6 +236578,8 @@ 1 0 0 +5 +4 4 0xd7df 0x22d5c2b8 @@ -189270,6 +236588,8 @@ 0 0 0 +5 +0 4 0x3cd3 0x405503a6 @@ -189278,6 +236598,8 @@ 0 0 0 +3 +5 1 0x71e5 0x94dc4691 @@ -189286,6 +236608,8 @@ 1 0 0 +1 +0 4 0xd8b8 0x5f4c709e @@ -189294,6 +236618,8 @@ 1 1 0 +0 +2 1 0x23d5 0x2971c594 @@ -189302,6 +236628,8 @@ 0 0 0 +2 +5 3 0xf0b7 0xa828d386 @@ -189310,6 +236638,8 @@ 0 0 0 +3 +1 4 0xa1e2 0x8110cfc @@ -189318,6 +236648,8 @@ 1 0 0 +1 +0 4 0xc378 0x495c5ec4 @@ -189326,6 +236658,8 @@ 1 1 0 +3 +1 2 0x2ff4 0xd5c19df5 @@ -189334,6 +236668,8 @@ 0 0 0 +0 +0 2 0xb7c9 0xed7fa6c0 @@ -189342,6 +236678,8 @@ 1 1 0 +0 +2 1 0xbcb3 0xf2d4afb2 @@ -189350,6 +236688,8 @@ 0 0 0 +4 +5 3 0x4ff4 0x28c12560 @@ -189358,6 +236698,8 @@ 0 0 0 +4 +2 2 0x2b18 0x20c2fe04 @@ -189366,6 +236708,8 @@ 0 0 0 +4 +4 1 0xd71a 0x3e5894ee @@ -189374,6 +236718,8 @@ 0 0 0 +1 +5 4 0x7903 0x5926b439 @@ -189382,6 +236728,8 @@ 1 0 0 +0 +1 2 0x7314 0x192434f @@ -189390,6 +236738,8 @@ 1 1 0 +3 +2 4 0x810b 0x6a79d5c4 @@ -189399,6 +236749,8 @@ 0 0 3 +2 +3 0xd9ed 0x348abdee 256 @@ -189406,6 +236758,8 @@ 0 0 0 +2 +3 3 0xc43e 0x96bc69f8 @@ -189414,6 +236768,8 @@ 0 0 0 +5 +3 4 0x8f11 0x8e9668b1 @@ -189422,6 +236778,8 @@ 0 0 0 +2 +1 1 0x56f5 0x2460aa30 @@ -189430,6 +236788,8 @@ 1 1 0 +0 +5 1 0xd196 0xf1775b2 @@ -189438,6 +236798,8 @@ 0 0 0 +0 +1 3 0x34fe 0x376fd4a0 @@ -189447,6 +236809,8 @@ 0 0 3 +1 +3 0x4796 0xf250df78 256 @@ -189454,6 +236818,8 @@ 1 0 0 +3 +0 4 0x3e69 0x5eeb73a1 @@ -189463,6 +236829,8 @@ 0 0 1 +1 +1 0x95aa 0xbbd72b46 256 @@ -189471,6 +236839,8 @@ 0 0 3 +1 +3 0xde30 0xb91e6a8f 256 @@ -189478,6 +236848,8 @@ 1 0 0 +5 +3 1 0x4079 0x4c6e7663 @@ -189486,6 +236858,8 @@ 1 1 0 +3 +1 2 0xb95b 0xfc91680c @@ -189494,6 +236868,8 @@ 1 0 0 +5 +3 4 0x9342 0x6a58180f @@ -189502,6 +236878,8 @@ 0 0 0 +2 +2 3 0x45f9 0xcbea7ab3 @@ -189511,6 +236889,8 @@ 1 0 3 +4 +3 0x574 0x4ede5a9 256 @@ -189518,6 +236898,8 @@ 0 0 0 +1 +5 3 0x63b1 0x1870c889 @@ -189526,6 +236908,8 @@ 1 1 0 +1 +2 4 0x986e 0x2f3eb638 @@ -189534,6 +236918,8 @@ 1 1 0 +1 +2 2 0x811c 0x30dc4df1 @@ -189542,6 +236928,8 @@ 1 0 0 +4 +3 2 0x260d 0xf303cfba @@ -189550,6 +236938,8 @@ 1 0 0 +0 +4 3 0xb1a8 0x648c3afb @@ -189558,6 +236948,8 @@ 1 1 0 +0 +1 2 0x715b 0x777db739 @@ -189566,6 +236958,8 @@ 1 1 0 +5 +4 4 0x7757 0x6c0919b2 @@ -189574,6 +236968,8 @@ 1 1 0 +0 +4 3 0xea12 0xb7c4020d @@ -189582,6 +236978,8 @@ 1 0 0 +2 +2 4 0x2a30 0x2e98ecb5 @@ -189590,6 +236988,8 @@ 1 0 0 +5 +2 2 0x692a 0xe0287908 @@ -189598,6 +236998,8 @@ 0 0 0 +0 +0 2 0xfe3d 0x95af67ed @@ -189606,6 +237008,8 @@ 1 1 0 +3 +0 4 0x98a4 0xcff1838d @@ -189615,6 +237019,8 @@ 0 0 2 +5 +2 0x858f 0x26d53ce 256 @@ -189622,6 +237028,8 @@ 0 0 0 +4 +2 1 0xf231 0x69776aa4 @@ -189630,6 +237038,8 @@ 1 0 0 +0 +1 3 0x22fb 0xb2eb7916 @@ -189639,6 +237049,8 @@ 1 0 3 +0 +3 0x9dce 0x51262afc 256 @@ -189646,6 +237058,8 @@ 1 1 0 +4 +0 3 0x2bd7 0x80b3a284 @@ -189654,6 +237068,8 @@ 1 0 0 +3 +5 2 0x5b1 0x7a56c71b @@ -189662,6 +237078,8 @@ 0 0 0 +4 +1 1 0xb2b0 0x20ba277 @@ -189670,6 +237088,8 @@ 1 0 0 +1 +4 3 0x4794 0x1b9e9f85 @@ -189679,6 +237099,8 @@ 0 0 4 +1 +4 0xdfe4 0xe3198095 256 @@ -189686,6 +237108,8 @@ 0 0 0 +5 +0 2 0xfa58 0xa518af7b @@ -189694,6 +237118,8 @@ 0 0 0 +5 +0 4 0xa11a 0x263ef5b2 @@ -189703,6 +237129,8 @@ 0 0 4 +1 +4 0x51ff 0xea35a8a3 256 @@ -189710,6 +237138,8 @@ 1 0 0 +0 +0 4 0xbd22 0xcee1f743 @@ -189718,6 +237148,8 @@ 1 1 0 +2 +3 1 0x8401 0xdd908884 @@ -189726,6 +237158,8 @@ 0 0 0 +0 +1 1 0xe0f2 0xa0370fc6 @@ -189734,6 +237168,8 @@ 0 0 0 +1 +2 4 0x6ac0 0xec32d0b9 @@ -189742,6 +237178,8 @@ 1 1 0 +3 +4 1 0xc9bf 0x446d8bd5 @@ -189750,6 +237188,8 @@ 1 1 0 +2 +0 1 0x78fe 0x6e7a71f2 @@ -189758,6 +237198,8 @@ 0 0 0 +5 +5 3 0x5491 0xe598d3 @@ -189766,6 +237208,8 @@ 0 0 0 +1 +0 3 0xebf5 0x85db3919 @@ -189774,6 +237218,8 @@ 0 0 0 +0 +5 3 0x18be 0x7d9c573d @@ -189783,6 +237229,8 @@ 0 0 4 +5 +4 0xe902 0xc543948c 256 @@ -189790,7 +237238,9 @@ 1 1 0 -1 +0 +0 +1 0x2935 0xc9cf80ff 256 @@ -189798,6 +237248,8 @@ 1 1 0 +5 +3 2 0xb805 0x8fea4b05 @@ -189806,6 +237258,8 @@ 0 0 0 +0 +4 3 0xc1d9 0x9d07551a @@ -189814,6 +237268,8 @@ 0 0 0 +2 +1 3 0x8b67 0x5f8b38fa @@ -189822,6 +237278,8 @@ 1 1 0 +5 +5 2 0xd55 0x24e30c9 @@ -189830,6 +237288,8 @@ 1 1 0 +5 +5 2 0x7182 0x7839018a @@ -189838,6 +237298,8 @@ 1 1 0 +2 +1 4 0xd4d2 0xcbf11470 @@ -189846,6 +237308,8 @@ 0 0 0 +5 +1 2 0x3834 0xa8a5c2af @@ -189854,6 +237318,8 @@ 1 1 0 +3 +1 2 0xfc84 0xf9d14d47 @@ -189862,6 +237328,8 @@ 1 0 0 +4 +4 3 0xca10 0x9cbf48c4 @@ -189870,6 +237338,8 @@ 0 0 0 +4 +3 3 0x7011 0x9a61695f @@ -189879,6 +237349,8 @@ 0 0 4 +5 +4 0x576b 0x4adb6d57 256 @@ -189887,6 +237359,8 @@ 0 0 4 +0 +4 0xfbba 0x3876f1 256 @@ -189894,6 +237368,8 @@ 1 1 0 +4 +5 2 0x111b 0x8e0f452a @@ -189902,6 +237378,8 @@ 1 0 0 +3 +4 4 0xabdc 0x7387e3bc @@ -189910,6 +237388,8 @@ 0 0 0 +4 +0 2 0x2a8d 0xe22706b7 @@ -189918,6 +237398,8 @@ 1 0 0 +3 +4 2 0x3592 0x89784256 @@ -189926,6 +237408,8 @@ 0 0 0 +5 +2 1 0x32e0 0xcd1b3d47 @@ -189934,6 +237418,8 @@ 1 1 0 +4 +5 2 0x2e56 0xdec45cd @@ -189942,6 +237428,8 @@ 0 0 0 +1 +1 4 0x78a7 0x15b5bce1 @@ -189950,6 +237438,8 @@ 1 1 0 +3 +0 1 0x5d9d 0xa4ccd760 @@ -189959,6 +237449,8 @@ 0 0 2 +3 +2 0xda52 0x82a7eb6d 256 @@ -189966,6 +237458,8 @@ 1 0 0 +1 +0 4 0x3a9 0x277b30a4 @@ -189974,6 +237468,8 @@ 0 0 0 +0 +4 1 0x243f 0x3fbd3371 @@ -189983,6 +237479,8 @@ 0 0 3 +1 +3 0x9bac 0x1b8289f0 256 @@ -189990,6 +237488,8 @@ 0 0 0 +3 +3 1 0x4103 0xcd3a73ce @@ -189998,6 +237498,8 @@ 0 0 0 +3 +1 4 0x34f9 0x4b40d133 @@ -190006,6 +237508,8 @@ 1 1 0 +2 +1 1 0x61d6 0x3a6a7484 @@ -190014,6 +237518,8 @@ 0 0 0 +1 +4 3 0x4783 0xce9ed781 @@ -190022,6 +237528,8 @@ 0 0 0 +1 +3 4 0xf1aa 0x38a9d6d7 @@ -190030,6 +237538,8 @@ 0 0 0 +5 +3 2 0x4df7 0x9d91785 @@ -190039,6 +237549,8 @@ 0 0 3 +2 +3 0x2e33 0x554f3ba3 256 @@ -190046,6 +237558,8 @@ 0 0 0 +4 +0 3 0xfd21 0xc01892c0 @@ -190055,6 +237569,8 @@ 0 0 3 +5 +3 0xfca5 0x69bd588c 256 @@ -190062,6 +237578,8 @@ 1 1 0 +0 +2 1 0x286d 0xba94aa43 @@ -190071,6 +237589,8 @@ 0 0 2 +5 +2 0xba90 0x5bc2d717 256 @@ -190079,6 +237599,8 @@ 0 0 4 +5 +4 0xd92a 0x263960ef 256 @@ -190086,6 +237608,8 @@ 0 0 0 +0 +5 1 0xf916 0x677f04eb @@ -190094,6 +237618,8 @@ 0 0 0 +0 +4 1 0xf94c 0xcaceca1c @@ -190102,6 +237628,8 @@ 1 1 0 +2 +2 4 0x7e84 0x733e57cb @@ -190110,6 +237638,8 @@ 1 0 0 +5 +3 2 0xb7e5 0xb533906a @@ -190118,6 +237648,8 @@ 1 0 0 +5 +3 3 0x3783 0x65046429 @@ -190127,6 +237659,8 @@ 0 0 1 +5 +1 0x1153 0x3384957 256 @@ -190134,6 +237668,8 @@ 0 0 0 +5 +0 3 0x3d4 0xe6b2cbd9 @@ -190142,6 +237678,8 @@ 1 1 0 +0 +1 2 0xc9e7 0xf32e9d5f @@ -190150,6 +237688,8 @@ 0 0 0 +3 +0 2 0xc4a8 0x6d317160 @@ -190158,6 +237698,8 @@ 0 0 0 +2 +1 4 0x53e8 0x5bdc771d @@ -190166,6 +237708,8 @@ 0 0 0 +0 +2 2 0x473e 0x12eec8cc @@ -190175,6 +237719,8 @@ 0 0 2 +2 +2 0x653a 0x7b025e66 256 @@ -190182,6 +237728,8 @@ 1 0 0 +4 +5 2 0x5c4 0xf013f7a5 @@ -190190,6 +237738,8 @@ 1 1 0 +2 +3 1 0x3f10 0xfe26b1fb @@ -190198,6 +237748,8 @@ 1 1 0 +3 +1 2 0xac4e 0xeab9d222 @@ -190206,6 +237758,8 @@ 1 1 0 +3 +5 2 0xb290 0xd93605e9 @@ -190214,6 +237768,8 @@ 0 0 0 +1 +3 2 0xe4c7 0xda48aea @@ -190222,6 +237778,8 @@ 1 0 0 +5 +4 3 0x921a 0x8d81fad6 @@ -190230,6 +237788,8 @@ 0 0 0 +5 +0 3 0xa2d 0x48a6179f @@ -190238,6 +237798,8 @@ 1 1 0 +0 +2 1 0xe360 0x10998a09 @@ -190247,6 +237809,8 @@ 0 0 4 +0 +4 0x68d6 0x732a317c 256 @@ -190254,6 +237818,8 @@ 1 1 0 +0 +4 4 0x3ba0 0x6d71db6c @@ -190262,6 +237828,8 @@ 0 0 0 +4 +4 2 0x2f06 0x6d994ef8 @@ -190271,6 +237839,8 @@ 0 0 3 +1 +3 0xe8a5 0xe10106ab 256 @@ -190278,6 +237848,8 @@ 1 0 0 +4 +2 2 0xa598 0xfd0020d9 @@ -190286,6 +237858,8 @@ 1 1 0 +0 +5 4 0x3b49 0xb48c64b3 @@ -190294,6 +237868,8 @@ 1 0 0 +5 +2 1 0xc542 0x5a694bb7 @@ -190302,6 +237878,8 @@ 1 0 0 +0 +4 1 0xe436 0xa9138d28 @@ -190310,6 +237888,8 @@ 1 0 0 +2 +1 1 0xce7f 0x2ef61dec @@ -190319,6 +237899,8 @@ 0 0 4 +5 +4 0xb488 0x8d162d26 256 @@ -190326,6 +237908,8 @@ 0 0 0 +4 +1 3 0x188a 0xa0d4350 @@ -190334,6 +237918,8 @@ 0 0 0 +0 +3 1 0xad50 0x563449bd @@ -190342,6 +237928,8 @@ 0 0 0 +5 +0 1 0xa74e 0x59f8db0e @@ -190350,6 +237938,8 @@ 0 0 0 +1 +1 4 0x891 0x83a1fdec @@ -190358,6 +237948,8 @@ 0 0 0 +1 +0 3 0x1b48 0xf59872b @@ -190366,6 +237958,8 @@ 0 0 0 +3 +0 4 0x8f9d 0xdd4b8a37 @@ -190374,6 +237968,8 @@ 0 0 0 +0 +2 3 0x91ff 0xc893e2d7 @@ -190382,6 +237978,8 @@ 0 0 0 +3 +0 2 0x6090 0x24a6d19e @@ -190390,6 +237988,8 @@ 1 1 0 +1 +2 3 0x991c 0xf22ede2b @@ -190398,6 +237998,8 @@ 1 1 0 +0 +3 4 0xd284 0xcd74751f @@ -190406,6 +238008,8 @@ 1 1 0 +0 +2 4 0x380a 0x91fba374 @@ -190414,6 +238018,8 @@ 0 0 0 +3 +4 1 0x72e6 0xb447573 @@ -190422,6 +238028,8 @@ 0 0 0 +5 +2 4 0xb3ea 0x9e2f7c34 @@ -190430,6 +238038,8 @@ 1 0 0 +5 +5 1 0x3c22 0x425684a5 @@ -190438,6 +238048,8 @@ 0 0 0 +0 +5 4 0xb334 0x3e038cf9 @@ -190446,6 +238058,8 @@ 0 0 0 +4 +4 1 0x6c17 0xa1e4e146 @@ -190454,6 +238068,8 @@ 1 1 0 +4 +0 3 0x3454 0x12ad6ca0 @@ -190462,6 +238078,8 @@ 0 0 0 +5 +1 3 0x1b65 0xd2b8fe95 @@ -190470,6 +238088,8 @@ 1 1 0 +1 +2 3 0xfde1 0x2011a48c @@ -190478,6 +238098,8 @@ 0 0 0 +2 +0 4 0x6bf5 0x3dcb777a @@ -190487,6 +238109,8 @@ 0 0 2 +5 +2 0x16b7 0xfc1d984e 256 @@ -190494,6 +238118,8 @@ 0 0 0 +0 +5 2 0x134a 0xcbc2ba2a @@ -190502,6 +238128,8 @@ 1 1 0 +4 +1 3 0x5479 0x847957a8 @@ -190510,6 +238138,8 @@ 0 0 0 +4 +5 2 0x9e7a 0xd85be58e @@ -190518,6 +238148,8 @@ 1 1 0 +3 +2 2 0x2775 0xe481f744 @@ -190526,6 +238158,8 @@ 0 0 0 +0 +5 2 0x2de0 0x83a1f3ed @@ -190534,6 +238168,8 @@ 0 0 0 +3 +0 4 0xa338 0xb1c64d07 @@ -190542,6 +238178,8 @@ 1 1 0 +4 +3 1 0xd890 0x94f9dc52 @@ -190550,6 +238188,8 @@ 1 0 0 +4 +4 2 0xdedf 0xbe7f11cd @@ -190559,6 +238199,8 @@ 1 0 4 +1 +4 0x1 0x41e3f7db 256 @@ -190566,6 +238208,8 @@ 0 0 0 +5 +2 2 0x6621 0x23936973 @@ -190574,6 +238218,8 @@ 1 1 0 +4 +1 1 0x8b64 0xd8d0e189 @@ -190582,6 +238228,8 @@ 0 0 0 +0 +0 2 0xc309 0xa57e9824 @@ -190590,6 +238238,8 @@ 1 1 0 +0 +2 4 0x60a7 0xc7338ac @@ -190598,6 +238248,8 @@ 0 0 0 +3 +1 2 0x9eab 0x7341a1ec @@ -190606,6 +238258,8 @@ 1 1 0 +4 +0 2 0xf7ed 0x92be472a @@ -190614,6 +238268,8 @@ 0 0 0 +5 +3 2 0x3f9a 0xc6304a17 @@ -190622,6 +238278,8 @@ 1 1 0 +1 +0 2 0xa0a2 0x8df29cbe @@ -190630,6 +238288,8 @@ 1 0 0 +1 +2 4 0x5437 0x4a3e1eec @@ -190638,6 +238298,8 @@ 1 0 0 +3 +2 1 0xe4e0 0x6d948cb0 @@ -190646,6 +238308,8 @@ 0 0 0 +4 +4 1 0x33a3 0x974d5424 @@ -190654,6 +238318,8 @@ 0 0 0 +3 +1 4 0x5a84 0xa55a27a9 @@ -190662,6 +238328,8 @@ 0 0 0 +5 +1 2 0xda41 0xef8d79e8 @@ -190670,6 +238338,8 @@ 0 0 0 +3 +4 4 0x3c17 0x90d3839 @@ -190678,6 +238348,8 @@ 1 1 0 +0 +4 3 0xa195 0x8c081986 @@ -190686,6 +238358,8 @@ 0 0 0 +2 +0 3 0x62eb 0x94d19fb8 @@ -190694,6 +238368,8 @@ 0 0 0 +3 +0 4 0x498f 0x67cfe30e @@ -190703,6 +238379,8 @@ 0 0 4 +2 +4 0x522 0x923fe72a 256 @@ -190710,6 +238388,8 @@ 1 0 0 +2 +1 4 0xc07 0xc9d08f5c @@ -190718,6 +238398,8 @@ 0 0 0 +5 +1 3 0xa16e 0x6a5a59fb @@ -190726,6 +238408,8 @@ 0 0 0 +3 +2 2 0x5fb9 0xf0b3e536 @@ -190734,6 +238418,8 @@ 1 0 0 +5 +5 1 0xb0e3 0xecf57cfc @@ -190742,6 +238428,8 @@ 1 1 0 +3 +4 2 0x1d65 0xa8090bef @@ -190750,6 +238438,8 @@ 0 0 0 +5 +0 3 0xc8bc 0x86c792a @@ -190758,6 +238448,8 @@ 1 1 0 +5 +0 1 0xb511 0x75807360 @@ -190766,6 +238458,8 @@ 1 0 0 +0 +4 2 0x5215 0x968e16c0 @@ -190774,6 +238468,8 @@ 0 0 0 +3 +3 4 0x3ee5 0xa8cc80da @@ -190782,6 +238478,8 @@ 0 0 0 +3 +4 4 0x4fdf 0x9e69fa77 @@ -190790,6 +238488,8 @@ 0 0 0 +3 +1 4 0x11e8 0xe904261c @@ -190798,6 +238498,8 @@ 1 0 0 +0 +4 1 0x65ef 0x73f06256 @@ -190807,6 +238509,8 @@ 1 0 1 +2 +1 0xeae1 0xcd9ca551 256 @@ -190814,6 +238518,8 @@ 0 0 0 +0 +4 2 0x56a5 0xdb72ac39 @@ -190822,6 +238528,8 @@ 1 0 0 +5 +5 3 0x6ca4 0x1c7c1020 @@ -190830,6 +238538,8 @@ 0 0 0 +1 +4 2 0x787f 0x5d2207ad @@ -190838,6 +238548,8 @@ 1 0 0 +5 +2 2 0x3662 0x1d957d93 @@ -190847,6 +238559,8 @@ 0 0 2 +1 +2 0xe294 0x8a7a078 256 @@ -190854,6 +238568,8 @@ 1 0 0 +1 +3 4 0x42e9 0x4448438a @@ -190862,6 +238578,8 @@ 1 1 0 +2 +5 3 0x64ff 0x550bd345 @@ -190870,6 +238588,8 @@ 0 0 0 +0 +5 4 0x6065 0x79e4a0a7 @@ -190878,6 +238598,8 @@ 1 0 0 +0 +1 2 0xd605 0x4fd8c5d7 @@ -190886,6 +238608,8 @@ 1 0 0 +3 +0 1 0x1656 0xee733945 @@ -190894,6 +238618,8 @@ 0 0 0 +1 +1 4 0x4301 0x62ee43f @@ -190902,6 +238628,8 @@ 0 0 0 +5 +1 4 0x53c4 0x1fd4717c @@ -190910,6 +238638,8 @@ 1 1 0 +2 +2 1 0xded4 0xfe0315af @@ -190919,6 +238649,8 @@ 0 0 4 +4 +4 0x35b8 0xac7b7650 256 @@ -190926,6 +238658,8 @@ 1 0 0 +5 +2 2 0x25a5 0xec9bd8c6 @@ -190934,6 +238668,8 @@ 1 1 0 +3 +2 4 0x2e56 0xf661549 @@ -190942,6 +238678,8 @@ 1 1 0 +3 +4 4 0xf68f 0x5204c4a9 @@ -190950,6 +238688,8 @@ 0 0 0 +0 +2 2 0x347a 0x873d90e8 @@ -190958,6 +238698,8 @@ 0 0 0 +0 +5 4 0xbcda 0x1826681c @@ -190966,6 +238708,8 @@ 0 0 0 +3 +2 2 0xc20f 0x33ef3bf5 @@ -190974,6 +238718,8 @@ 0 0 0 +1 +2 3 0xe801 0x2e1f68fd @@ -190982,6 +238728,8 @@ 0 0 0 +2 +0 3 0xd2c0 0x85089b2e @@ -190990,6 +238738,8 @@ 1 0 0 +5 +0 2 0x21d5 0x98819de1 @@ -190998,6 +238748,8 @@ 0 0 0 +1 +0 4 0xc1dc 0xeaadb64e @@ -191007,6 +238759,8 @@ 0 0 3 +2 +3 0x4304 0x55d87e82 256 @@ -191014,6 +238768,8 @@ 0 0 0 +2 +1 1 0x3000 0x9d2d7340 @@ -191022,6 +238778,8 @@ 1 1 0 +4 +3 3 0x3807 0x4a4ee4ae @@ -191030,6 +238788,8 @@ 1 0 0 +2 +0 3 0xcc7d 0x1d861e5 @@ -191038,6 +238798,8 @@ 1 0 0 +4 +0 2 0xc93c 0x27b9fee4 @@ -191046,6 +238808,8 @@ 0 0 0 +3 +1 4 0x57ea 0xeb6eddf2 @@ -191054,6 +238818,8 @@ 0 0 0 +1 +5 4 0x7584 0x9db360a0 @@ -191062,6 +238828,8 @@ 0 0 0 +5 +5 1 0xe1a8 0x76f5a5ab @@ -191070,6 +238838,8 @@ 0 0 0 +5 +0 4 0x7adc 0x870a90ae @@ -191079,6 +238849,8 @@ 0 0 2 +1 +2 0xd396 0x4ab3400d 256 @@ -191086,6 +238858,8 @@ 1 1 0 +4 +3 3 0xbbdc 0xad06e3b0 @@ -191094,6 +238868,8 @@ 0 0 0 +5 +3 1 0x2628 0xfb3132ec @@ -191102,6 +238878,8 @@ 1 0 0 +5 +3 1 0x6fe6 0x49b32d45 @@ -191110,6 +238888,8 @@ 0 0 0 +5 +1 1 0x1cee 0xde746ea @@ -191118,6 +238898,8 @@ 1 0 0 +2 +0 3 0x44eb 0x62704dcb @@ -191126,6 +238908,8 @@ 1 1 0 +5 +0 1 0x64de 0x4ac17cab @@ -191134,6 +238918,8 @@ 0 0 0 +5 +0 2 0x1f2a 0xd4535552 @@ -191142,6 +238928,8 @@ 0 0 0 +5 +0 1 0x197f 0x40e0f896 @@ -191151,6 +238939,8 @@ 1 0 2 +0 +2 0x5c4e 0xb6671a20 256 @@ -191159,6 +238949,8 @@ 0 0 1 +4 +1 0xedd7 0x3f36003f 256 @@ -191166,6 +238958,8 @@ 1 0 0 +5 +2 3 0x944d 0xe8072923 @@ -191174,6 +238968,8 @@ 0 0 0 +1 +0 4 0xc225 0xf64c188e @@ -191182,6 +238978,8 @@ 0 0 0 +2 +1 1 0xa210 0xad546b91 @@ -191190,6 +238988,8 @@ 1 0 0 +0 +1 1 0x9ec8 0x45c32a58 @@ -191198,6 +238998,8 @@ 1 1 0 +4 +2 1 0xb93c 0xac4a7320 @@ -191206,6 +239008,8 @@ 0 0 0 +4 +3 2 0x426d 0x4f733b0e @@ -191215,6 +239019,8 @@ 1 0 4 +3 +4 0x84cd 0x8de30749 256 @@ -191223,6 +239029,8 @@ 0 0 2 +3 +2 0x9cb4 0xcee5310b 256 @@ -191230,6 +239038,8 @@ 0 0 0 +2 +4 3 0x1504 0x9cf3e627 @@ -191239,6 +239049,8 @@ 0 0 1 +1 +1 0xf630 0x6ee61b68 256 @@ -191246,6 +239058,8 @@ 0 0 0 +1 +0 3 0x7d17 0x4262ff43 @@ -191254,6 +239068,8 @@ 0 0 0 +2 +2 4 0x61f4 0xabd946f6 @@ -191263,6 +239079,8 @@ 0 0 3 +0 +3 0x84fd 0xadc5b23b 256 @@ -191270,6 +239088,8 @@ 0 0 0 +2 +4 3 0x3e25 0x95fcdb55 @@ -191278,6 +239098,8 @@ 0 0 0 +0 +2 2 0xfafb 0x8c993e4 @@ -191286,6 +239108,8 @@ 0 0 0 +2 +0 4 0xf311 0xb537fa59 @@ -191294,6 +239118,8 @@ 1 1 0 +0 +4 2 0x71ec 0xb383201a @@ -191302,6 +239128,8 @@ 0 0 0 +3 +2 4 0x5151 0x295c959 @@ -191310,6 +239138,8 @@ 0 0 0 +2 +5 3 0x167b 0xdb02fe1b @@ -191318,6 +239148,8 @@ 0 0 0 +5 +5 4 0xca2 0x20c900d1 @@ -191326,6 +239158,8 @@ 0 0 0 +5 +4 2 0xf7a6 0xe659fa64 @@ -191334,6 +239168,8 @@ 1 0 0 +0 +3 4 0xe5d9 0x99816ff4 @@ -191342,6 +239178,8 @@ 1 1 0 +5 +5 1 0xe1fd 0x18e5f211 @@ -191350,6 +239188,8 @@ 1 1 0 +0 +3 1 0xd56a 0xed2fb679 @@ -191358,6 +239198,8 @@ 1 0 0 +1 +2 4 0xe4c1 0xa5ab9c51 @@ -191366,6 +239208,8 @@ 1 1 0 +5 +2 4 0xcdcf 0xd60bd8a4 @@ -191374,6 +239218,8 @@ 1 1 0 +5 +3 1 0xc90a 0xc14e850b @@ -191382,6 +239228,8 @@ 0 0 0 +2 +0 4 0x1b8a 0x7395d2f7 @@ -191390,6 +239238,8 @@ 1 1 0 +0 +5 4 0xc4e5 0x567bbbc5 @@ -191398,6 +239248,8 @@ 1 1 0 +3 +5 4 0xe1f9 0x864e0a94 @@ -191406,6 +239258,8 @@ 1 0 0 +0 +2 1 0xa059 0x731402b9 @@ -191414,6 +239268,8 @@ 0 0 0 +3 +2 4 0x12d4 0x51b53c61 @@ -191423,6 +239279,8 @@ 1 0 4 +0 +4 0x9df9 0xcf05b011 256 @@ -191431,6 +239289,8 @@ 0 0 1 +3 +1 0x2c20 0x8493edf9 256 @@ -191439,6 +239299,8 @@ 0 0 4 +3 +4 0xb6f4 0x8e1ac264 256 @@ -191446,6 +239308,8 @@ 1 1 0 +0 +0 1 0x7ee4 0x86dd9596 @@ -191454,6 +239318,8 @@ 1 1 0 +0 +2 1 0x2b34 0x2139e549 @@ -191462,6 +239328,8 @@ 0 0 0 +5 +1 3 0x5f4f 0xfb75d4e2 @@ -191470,6 +239338,8 @@ 1 0 0 +0 +4 2 0x540b 0xfb25b8b7 @@ -191478,6 +239348,8 @@ 0 0 0 +5 +0 3 0x5a43 0x5d10d0df @@ -191486,6 +239358,8 @@ 1 1 0 +5 +0 2 0xae37 0xd453cb05 @@ -191494,6 +239368,8 @@ 0 0 0 +5 +1 1 0x456c 0x6f242fa6 @@ -191502,6 +239378,8 @@ 1 0 0 +0 +2 2 0xe580 0xe28f4f6b @@ -191510,6 +239388,8 @@ 1 1 0 +2 +5 1 0x30b5 0xd39f8aa8 @@ -191518,6 +239398,8 @@ 0 0 0 +0 +5 4 0x9c18 0xa7f320a6 @@ -191526,6 +239408,8 @@ 1 1 0 +5 +2 4 0x695f 0xc41c7b77 @@ -191535,6 +239419,8 @@ 1 0 4 +0 +4 0xe6b3 0x899fd6e9 256 @@ -191542,6 +239428,8 @@ 1 0 0 +0 +3 4 0x7a12 0x653c0af6 @@ -191550,6 +239438,8 @@ 1 0 0 +5 +3 2 0x929f 0xa807ccee @@ -191558,6 +239448,8 @@ 1 1 0 +0 +0 4 0xf452 0x839c51d7 @@ -191566,6 +239458,8 @@ 1 1 0 +3 +1 4 0x388c 0x645f53c0 @@ -191574,6 +239468,8 @@ 1 0 0 +0 +2 1 0x2ad6 0xed9f12f9 @@ -191582,6 +239478,8 @@ 1 1 0 +0 +4 3 0x86b0 0xc4e0c0f3 @@ -191590,6 +239488,8 @@ 1 0 0 +5 +1 2 0xf212 0x7fcba7d0 @@ -191598,6 +239498,8 @@ 1 0 0 +2 +3 4 0x2047 0x943cdb3b @@ -191606,6 +239508,8 @@ 0 0 0 +3 +4 1 0xca3d 0x16ac4f59 @@ -191614,6 +239518,8 @@ 1 0 0 +2 +5 1 0x7bef 0xb6cc0a3 @@ -191622,6 +239528,8 @@ 1 0 0 +3 +4 2 0xa53a 0x6e3a6d21 @@ -191630,6 +239538,8 @@ 0 0 0 +0 +0 4 0x876a 0xcc8d5b13 @@ -191638,6 +239548,8 @@ 1 1 0 +3 +5 4 0xf71d 0x40f1dc2d @@ -191646,6 +239558,8 @@ 0 0 0 +0 +0 4 0xfea1 0x147f368a @@ -191654,6 +239568,8 @@ 0 0 0 +5 +1 3 0xed63 0xa69c9316 @@ -191662,6 +239578,8 @@ 1 1 0 +0 +0 1 0x3018 0xaaa8fcd3 @@ -191670,6 +239588,8 @@ 1 0 0 +0 +2 1 0xb7bc 0x9b940e6b @@ -191678,6 +239598,8 @@ 0 0 0 +1 +3 3 0x22e6 0xccbb9f90 @@ -191687,6 +239609,8 @@ 0 0 3 +4 +3 0xd4a7 0xb9cb476f 256 @@ -191694,6 +239618,8 @@ 0 0 0 +0 +5 2 0x9c07 0x6e072585 @@ -191702,6 +239628,8 @@ 0 0 0 +4 +0 3 0x28a9 0x1c8f50a2 @@ -191710,6 +239638,8 @@ 0 0 0 +3 +2 1 0x855c 0xce3212be @@ -191718,6 +239648,8 @@ 1 1 0 +5 +4 3 0xcf1e 0x2b636633 @@ -191727,6 +239659,8 @@ 0 0 3 +4 +3 0x852b 0x3a6cf5b3 256 @@ -191734,6 +239668,8 @@ 0 0 0 +3 +1 2 0x9ba9 0xc1367d6a @@ -191743,6 +239679,8 @@ 1 0 2 +4 +2 0xf661 0xa3bcbc21 256 @@ -191751,6 +239689,8 @@ 0 0 4 +5 +4 0x2cd1 0x65abe622 256 @@ -191758,6 +239698,8 @@ 1 1 0 +0 +1 1 0xd4d4 0xd1f4980e @@ -191767,6 +239709,8 @@ 0 0 4 +5 +4 0xfd71 0xd6a10e23 256 @@ -191774,6 +239718,8 @@ 0 0 0 +3 +3 4 0x94dc 0x23dd559c @@ -191782,6 +239728,8 @@ 0 0 0 +3 +3 4 0xc83f 0x78b57bd @@ -191790,6 +239738,8 @@ 0 0 0 +5 +0 2 0x8c66 0xa5c88002 @@ -191798,6 +239748,8 @@ 1 0 0 +0 +3 4 0x7109 0x7b9b029c @@ -191806,6 +239758,8 @@ 0 0 0 +1 +3 2 0x6f18 0x10b060d2 @@ -191814,6 +239768,8 @@ 1 1 0 +4 +3 3 0x5105 0x533126d4 @@ -191822,6 +239778,8 @@ 1 1 0 +5 +2 2 0x8335 0x5edbfd0f @@ -191830,6 +239788,8 @@ 1 0 0 +0 +0 3 0x883f 0x1253835d @@ -191839,6 +239799,8 @@ 1 0 2 +5 +2 0x4ede 0xfa3c1695 256 @@ -191846,6 +239808,8 @@ 1 1 0 +4 +1 2 0xfd62 0x61b0301a @@ -191854,6 +239818,8 @@ 0 0 0 +3 +2 4 0xea00 0x86ca964a @@ -191862,6 +239828,8 @@ 1 1 0 +4 +4 2 0x2496 0x18aa80dc @@ -191870,6 +239838,8 @@ 0 0 0 +1 +0 4 0xf3cc 0x4a25b864 @@ -191879,6 +239849,8 @@ 0 0 1 +4 +1 0xaafe 0xb0f3dd0 256 @@ -191886,6 +239858,8 @@ 0 0 0 +4 +4 3 0xa597 0x49d7ce7d @@ -191894,6 +239868,8 @@ 0 0 0 +3 +2 4 0x4b8f 0xa5a3ebce @@ -191902,6 +239878,8 @@ 0 0 0 +5 +0 4 0xa887 0xcc145946 @@ -191910,6 +239888,8 @@ 1 0 0 +2 +1 3 0x1355 0x87d8d35f @@ -191918,6 +239898,8 @@ 0 0 0 +4 +1 2 0xed6b 0xf43866bf @@ -191927,6 +239909,8 @@ 0 0 1 +3 +1 0x1959 0xd673547c 256 @@ -191934,6 +239918,8 @@ 1 1 0 +3 +4 2 0x7803 0xf9778097 @@ -191942,6 +239928,8 @@ 1 1 0 +5 +4 3 0xba36 0x17cf19be @@ -191950,6 +239938,8 @@ 0 0 0 +0 +5 2 0xc8b6 0x4cb5a9a2 @@ -191959,6 +239949,8 @@ 0 0 4 +2 +4 0x87ef 0x27f3e2d6 256 @@ -191966,6 +239958,8 @@ 1 0 0 +5 +4 3 0xf19b 0xa35f32e @@ -191975,6 +239969,8 @@ 0 0 2 +0 +2 0x4f6c 0x2e889d50 256 @@ -191982,6 +239978,8 @@ 0 0 0 +0 +3 1 0xc65d 0xb8d4a168 @@ -191990,6 +239988,8 @@ 0 0 0 +2 +4 4 0x5f6f 0x4a2c363a @@ -191998,6 +239998,8 @@ 0 0 0 +4 +0 1 0x1645 0xdd602b66 @@ -192006,6 +240008,8 @@ 1 1 0 +1 +4 3 0x5064 0xdfce3ed @@ -192015,6 +240019,8 @@ 0 0 3 +3 +3 0x20d3 0x2b626126 256 @@ -192022,6 +240028,8 @@ 1 1 0 +0 +2 4 0xd27 0xccedd034 @@ -192030,6 +240038,8 @@ 0 0 0 +1 +2 3 0x393 0x40b0d1d2 @@ -192038,6 +240048,8 @@ 0 0 0 +0 +4 4 0x3586 0xaae19f87 @@ -192046,6 +240058,8 @@ 1 0 0 +1 +0 2 0x7050 0xf7a5cbd6 @@ -192054,6 +240068,8 @@ 1 0 0 +3 +0 1 0x5513 0x15ce7183 @@ -192062,6 +240078,8 @@ 1 0 0 +3 +0 1 0x5edc 0xf1323108 @@ -192070,6 +240088,8 @@ 1 0 0 +1 +2 2 0xfa31 0x9651ae90 @@ -192079,6 +240099,8 @@ 0 0 3 +3 +3 0x51e5 0xfebc0f00 256 @@ -192086,6 +240108,8 @@ 0 0 0 +2 +1 3 0x2862 0xb28af0c2 @@ -192094,6 +240118,8 @@ 1 1 0 +3 +2 4 0xf769 0x655d4051 @@ -192102,6 +240128,8 @@ 1 1 0 +2 +1 1 0xdbc6 0x5ad7389c @@ -192110,6 +240138,8 @@ 1 0 0 +3 +5 2 0xc2d8 0xc727be60 @@ -192118,6 +240148,8 @@ 1 0 0 +1 +3 4 0x2b11 0x2cfb7f69 @@ -192126,6 +240158,8 @@ 1 1 0 +4 +3 2 0x46ed 0x2f104d40 @@ -192134,6 +240168,8 @@ 0 0 0 +5 +5 2 0x884a 0x6bd77ac3 @@ -192143,6 +240179,8 @@ 0 0 4 +2 +4 0xcf69 0x36fdbb6a 256 @@ -192150,6 +240188,8 @@ 0 0 0 +4 +1 3 0xe649 0x8b70b0ce @@ -192159,6 +240199,8 @@ 0 0 1 +0 +1 0x8cd5 0x1e70860e 256 @@ -192167,6 +240209,8 @@ 0 0 3 +2 +3 0x175a 0x31127930 256 @@ -192174,6 +240218,8 @@ 1 0 0 +2 +0 3 0xf418 0x9898807 @@ -192182,6 +240228,8 @@ 0 0 0 +0 +2 3 0x6453 0xffbbd35f @@ -192190,6 +240238,8 @@ 0 0 0 +1 +1 3 0x3a63 0xfc1ef232 @@ -192199,6 +240249,8 @@ 1 0 4 +3 +4 0x41f3 0xc05ca39b 256 @@ -192207,6 +240259,8 @@ 0 0 3 +1 +3 0xa2d2 0x8af89151 256 @@ -192214,6 +240268,8 @@ 0 0 0 +2 +4 3 0x344 0x142a770f @@ -192222,6 +240278,8 @@ 0 0 0 +0 +1 3 0xa36c 0x564e3629 @@ -192230,6 +240288,8 @@ 0 0 0 +1 +1 4 0xed91 0xb9ec00fd @@ -192239,6 +240299,8 @@ 0 0 2 +4 +2 0x5117 0x465140f3 256 @@ -192246,6 +240308,8 @@ 0 0 0 +0 +1 1 0x72a2 0x84282da0 @@ -192254,6 +240318,8 @@ 0 0 0 +1 +4 2 0xff9 0x9d2a168b @@ -192262,6 +240328,8 @@ 1 0 0 +3 +0 2 0xeedb 0x8b20ae10 @@ -192270,6 +240338,8 @@ 0 0 0 +1 +5 3 0x76b6 0x1f925ec2 @@ -192278,6 +240348,8 @@ 0 0 0 +4 +0 3 0x2c38 0xdf4d0a18 @@ -192286,6 +240358,8 @@ 1 1 0 +3 +1 2 0x9c76 0xda8c07f7 @@ -192295,6 +240369,8 @@ 0 0 3 +2 +3 0xe6b2 0xbb45e7d2 256 @@ -192303,6 +240379,8 @@ 1 0 1 +2 +1 0xdf8d 0xdf2da0e2 256 @@ -192311,6 +240389,8 @@ 0 0 3 +1 +3 0x88b 0x3d333b08 256 @@ -192318,6 +240398,8 @@ 0 0 0 +2 +3 1 0xe029 0xc98c9768 @@ -192326,6 +240408,8 @@ 1 0 0 +5 +4 2 0x3ef1 0x4ce20026 @@ -192334,6 +240418,8 @@ 0 0 0 +5 +2 4 0x756c 0x94677b4 @@ -192342,6 +240428,8 @@ 0 0 0 +3 +5 4 0x859a 0x7f8608ec @@ -192350,6 +240438,8 @@ 0 0 0 +5 +2 2 0x759d 0x26d0dd11 @@ -192359,6 +240449,8 @@ 0 0 1 +3 +1 0x2282 0xd17079e8 256 @@ -192366,6 +240458,8 @@ 1 1 0 +2 +0 3 0x1ff8 0x9e5e3948 @@ -192375,6 +240469,8 @@ 0 0 1 +1 +1 0x7236 0xe73b7ed9 256 @@ -192382,6 +240478,8 @@ 1 1 0 +0 +0 4 0x7559 0x893c627b @@ -192390,6 +240488,8 @@ 1 1 0 +0 +1 2 0x5aa 0x98332c6a @@ -192398,6 +240498,8 @@ 1 0 0 +3 +2 4 0xc82b 0x80268b88 @@ -192406,6 +240508,8 @@ 0 0 0 +1 +4 2 0x3688 0x50938084 @@ -192414,6 +240518,8 @@ 0 0 0 +3 +1 4 0xa093 0x1b751ee8 @@ -192422,6 +240528,8 @@ 0 0 0 +5 +1 2 0xb018 0xa04ce2cd @@ -192431,6 +240539,8 @@ 0 0 2 +5 +2 0x35f5 0xf6a66538 256 @@ -192439,6 +240549,8 @@ 0 0 1 +4 +1 0x4b51 0x136c8b16 256 @@ -192447,6 +240559,8 @@ 0 0 3 +1 +3 0xc317 0x8c10f70b 256 @@ -192454,6 +240568,8 @@ 0 0 0 +1 +5 3 0xc15d 0x8e876475 @@ -192462,6 +240578,8 @@ 0 0 0 +3 +1 2 0x1183 0xd7490ba0 @@ -192470,6 +240588,8 @@ 0 0 0 +0 +2 1 0x42f4 0xb9b443b3 @@ -192479,6 +240599,8 @@ 1 0 4 +1 +4 0x591c 0xade1ec09 256 @@ -192486,6 +240608,8 @@ 1 0 0 +0 +4 3 0x902a 0xb90a7589 @@ -192494,6 +240618,8 @@ 1 0 0 +1 +3 3 0x7858 0x5520a2ca @@ -192502,6 +240628,8 @@ 0 0 0 +0 +0 4 0xf8e2 0xf1defaf7 @@ -192510,6 +240638,8 @@ 0 0 0 +2 +3 1 0x8efb 0xcb60cda2 @@ -192518,6 +240648,8 @@ 0 0 0 +5 +3 4 0x2dbd 0xd31eb86e @@ -192526,6 +240658,8 @@ 0 0 0 +0 +0 4 0xa4ce 0x21297da0 @@ -192534,6 +240668,8 @@ 0 0 0 +0 +4 2 0xcca0 0x480b8d53 @@ -192542,6 +240678,8 @@ 0 0 0 +0 +4 3 0xdb0f 0x17e3c2f6 @@ -192550,6 +240688,8 @@ 1 1 0 +5 +3 1 0x137b 0xeadf5754 @@ -192558,6 +240698,8 @@ 1 1 0 +2 +2 3 0x6b12 0x78834935 @@ -192566,6 +240708,8 @@ 1 0 0 +5 +5 4 0xf8d 0x9efd5833 @@ -192574,6 +240718,8 @@ 1 0 0 +0 +5 3 0x6082 0x3783f791 @@ -192583,6 +240729,8 @@ 0 0 2 +5 +2 0x58ce 0x7cf6a359 256 @@ -192590,6 +240738,8 @@ 1 0 0 +2 +5 4 0xa327 0x147a20f2 @@ -192598,6 +240748,8 @@ 0 0 0 +0 +0 4 0xabcd 0xde4e8e48 @@ -192607,6 +240759,8 @@ 1 0 3 +0 +3 0xf559 0xbf5c6d63 256 @@ -192614,6 +240768,8 @@ 0 0 0 +0 +3 3 0x9018 0x2529ed84 @@ -192622,6 +240778,8 @@ 0 0 0 +4 +2 2 0x60c7 0x1e5a3ac9 @@ -192630,6 +240788,8 @@ 1 0 0 +3 +3 1 0x7c37 0xe916b65d @@ -192638,6 +240798,8 @@ 0 0 0 +1 +1 2 0xe5e6 0x10ba6ec2 @@ -192646,6 +240808,8 @@ 1 1 0 +5 +5 1 0x6227 0x5754dc20 @@ -192654,6 +240818,8 @@ 1 0 0 +5 +0 4 0x568c 0xd5a0fbe1 @@ -192662,6 +240828,8 @@ 0 0 0 +5 +5 3 0xa500 0x46618231 @@ -192670,6 +240838,8 @@ 0 0 0 +0 +2 1 0x7393 0x58db53f4 @@ -192678,6 +240848,8 @@ 0 0 0 +0 +4 1 0x2c2e 0xed9be99 @@ -192686,6 +240858,8 @@ 1 1 0 +1 +0 3 0x955d 0x4966b850 @@ -192694,6 +240868,8 @@ 0 0 0 +5 +5 3 0x7288 0x37b2ff6c @@ -192702,6 +240878,8 @@ 0 0 0 +3 +2 2 0x48f6 0x33c2213f @@ -192710,6 +240888,8 @@ 0 0 0 +3 +5 1 0x8c5c 0xbdf805a7 @@ -192719,6 +240899,8 @@ 0 0 1 +3 +1 0xc17 0x71b23309 256 @@ -192726,6 +240908,8 @@ 0 0 0 +3 +4 2 0xee2 0xa61f7835 @@ -192734,6 +240918,8 @@ 1 1 0 +5 +1 3 0x7771 0xff5257ed @@ -192742,6 +240928,8 @@ 0 0 0 +5 +0 3 0x7b48 0xe85d3c12 @@ -192750,6 +240938,8 @@ 0 0 0 +0 +3 2 0xf2f3 0xa3fb8a14 @@ -192759,6 +240949,8 @@ 0 0 2 +4 +2 0xa725 0x430758fa 256 @@ -192766,6 +240958,8 @@ 0 0 0 +4 +0 2 0x1b0f 0xc9b8360e @@ -192774,6 +240968,8 @@ 0 0 0 +5 +0 3 0x3e06 0x6017ab73 @@ -192782,6 +240978,8 @@ 1 0 0 +5 +5 2 0xc771 0xdb7c7cce @@ -192791,6 +240989,8 @@ 0 0 4 +5 +4 0x59ba 0x483ff6cf 256 @@ -192798,6 +240998,8 @@ 1 0 0 +0 +2 4 0x2c06 0x9fd22bfe @@ -192806,6 +241008,8 @@ 1 1 0 +5 +4 1 0xa8f3 0xcda58ae9 @@ -192814,6 +241018,8 @@ 1 0 0 +0 +4 1 0x4bb8 0xfb283c42 @@ -192822,6 +241028,8 @@ 1 0 0 +4 +3 3 0x295c 0x4e2f0f7d @@ -192830,6 +241038,8 @@ 1 0 0 +5 +2 1 0xc0b8 0xa150a270 @@ -192838,6 +241048,8 @@ 0 0 0 +5 +4 1 0xbd06 0xe87109b9 @@ -192846,6 +241058,8 @@ 1 1 0 +4 +4 3 0x1701 0x922b7d24 @@ -192854,6 +241068,8 @@ 0 0 0 +1 +1 2 0x68d5 0x2fda3c3c @@ -192862,6 +241078,8 @@ 1 1 0 +0 +1 3 0xabf3 0x5de2d7ea @@ -192870,6 +241088,8 @@ 1 1 0 +4 +0 1 0x505b 0x3569640c @@ -192878,6 +241098,8 @@ 0 0 0 +2 +2 4 0x7dc 0x7e10d828 @@ -192887,6 +241109,8 @@ 0 0 4 +2 +4 0x5c1f 0x528e58e 256 @@ -192895,6 +241119,8 @@ 0 0 2 +0 +2 0x5bac 0x75d58fd5 256 @@ -192902,6 +241128,8 @@ 1 0 0 +4 +1 1 0xc063 0x26d5852c @@ -192910,6 +241138,8 @@ 1 1 0 +4 +1 2 0x7b8a 0x66f46797 @@ -192918,6 +241148,8 @@ 0 0 0 +2 +1 1 0x6bcb 0x11ba0076 @@ -192926,6 +241158,8 @@ 1 1 0 +3 +1 4 0x6594 0x7c0c6368 @@ -192934,6 +241168,8 @@ 0 0 0 +0 +4 2 0x4b1f 0x15f08d3c @@ -192942,6 +241178,8 @@ 1 1 0 +4 +3 1 0xa2cb 0xd120ee9d @@ -192950,6 +241188,8 @@ 1 1 0 +4 +0 2 0x9d1c 0xc7858d6f @@ -192958,6 +241198,8 @@ 0 0 0 +5 +1 2 0x82b6 0x43721df3 @@ -192966,6 +241208,8 @@ 0 0 0 +2 +0 1 0x8645 0xf9e65931 @@ -192974,6 +241218,8 @@ 0 0 0 +3 +2 2 0x9af7 0x9308988b @@ -192982,6 +241228,8 @@ 0 0 0 +5 +2 4 0xae89 0x36cda7d5 @@ -192990,6 +241238,8 @@ 1 1 0 +3 +4 2 0x5a3a 0xaa125663 @@ -192998,6 +241248,8 @@ 1 0 0 +4 +4 2 0x8213 0xa0eba57 @@ -193006,6 +241258,8 @@ 1 1 0 +5 +3 2 0xac3a 0x6369eeed @@ -193014,6 +241268,8 @@ 1 0 0 +5 +2 1 0x3dbf 0x50f9b88f @@ -193022,6 +241278,8 @@ 0 0 0 +0 +4 1 0xf88 0x1060235e @@ -193030,6 +241288,8 @@ 1 0 0 +5 +2 3 0xe83f 0x354bd0b7 @@ -193038,6 +241298,8 @@ 1 1 0 +5 +3 3 0x1f28 0x76af64e @@ -193046,6 +241308,8 @@ 1 0 0 +1 +3 4 0xdad5 0x6c067de0 @@ -193054,6 +241318,8 @@ 0 0 0 +1 +3 2 0xd7e1 0x80aa2df4 @@ -193062,6 +241328,8 @@ 1 0 0 +3 +2 2 0x5eb5 0xd964ae42 @@ -193070,6 +241338,8 @@ 0 0 0 +5 +3 4 0xc6e1 0x785b3f96 @@ -193078,6 +241348,8 @@ 1 0 0 +2 +2 1 0xd7bd 0x31622fff @@ -193086,6 +241358,8 @@ 1 1 0 +1 +5 3 0x6bbf 0xa1c8a4bb @@ -193094,6 +241368,8 @@ 0 0 0 +5 +0 3 0x1054 0x9d516388 @@ -193102,6 +241378,8 @@ 1 1 0 +4 +0 2 0xe4e5 0xee63444e @@ -193110,6 +241388,8 @@ 1 1 0 +1 +1 4 0xe36d 0xf7ee4fc @@ -193118,6 +241398,8 @@ 0 0 0 +0 +2 2 0xfcc9 0x801252db @@ -193126,6 +241408,8 @@ 1 0 0 +2 +0 3 0xfe7b 0xddd367e4 @@ -193134,6 +241418,8 @@ 0 0 0 +1 +2 4 0x9b 0x49029a81 @@ -193142,6 +241428,8 @@ 0 0 0 +5 +1 2 0x600a 0xb8a88256 @@ -193151,6 +241439,8 @@ 0 0 3 +5 +3 0x303 0xb9b82e6e 256 @@ -193158,6 +241448,8 @@ 1 1 0 +1 +3 2 0x34f7 0x5f32be83 @@ -193166,6 +241458,8 @@ 0 0 0 +5 +3 4 0xaff 0x821cfd54 @@ -193174,6 +241468,8 @@ 1 1 0 +0 +4 2 0x7de5 0x692d7c01 @@ -193182,6 +241478,8 @@ 0 0 0 +2 +2 1 0xa7ed 0x4223ae5e @@ -193190,6 +241488,8 @@ 1 0 0 +0 +3 4 0xc229 0x2355f5ed @@ -193198,6 +241498,8 @@ 1 1 0 +2 +4 3 0xbdd2 0xe74e4da0 @@ -193206,6 +241508,8 @@ 1 1 0 +2 +3 3 0x44a8 0x71357834 @@ -193215,6 +241519,8 @@ 0 0 3 +3 +3 0x8b20 0x924f45e3 256 @@ -193222,6 +241528,8 @@ 0 0 0 +3 +5 2 0x9954 0xe281c224 @@ -193230,6 +241538,8 @@ 0 0 0 +5 +2 1 0xf9a7 0xdd0a5dbc @@ -193238,6 +241548,8 @@ 1 0 0 +3 +5 2 0x38c9 0x1d6278ee @@ -193246,6 +241558,8 @@ 0 0 0 +0 +1 2 0xdf03 0x844a20ea @@ -193254,6 +241568,8 @@ 0 0 0 +1 +3 4 0xecdc 0x7dd8028e @@ -193262,6 +241578,8 @@ 0 0 0 +4 +0 2 0xae58 0x461094cd @@ -193270,6 +241588,8 @@ 0 0 0 +1 +3 2 0x1c19 0x44bfa0f1 @@ -193278,6 +241598,8 @@ 1 1 0 +0 +2 1 0x13c5 0xe07b5ec4 @@ -193286,6 +241608,8 @@ 0 0 0 +5 +5 4 0x2417 0x72185820 @@ -193294,6 +241618,8 @@ 0 0 0 +0 +4 1 0x3007 0xd44585f4 @@ -193302,6 +241628,8 @@ 0 0 0 +1 +3 4 0x2a1c 0x877c751f @@ -193310,6 +241638,8 @@ 0 0 0 +0 +1 2 0xc0cb 0xea69e030 @@ -193319,6 +241649,8 @@ 1 0 4 +3 +4 0x2576 0xabfdb275 256 @@ -193326,6 +241658,8 @@ 0 0 0 +2 +0 4 0x1f8 0x1bdb60c4 @@ -193334,6 +241668,8 @@ 1 1 0 +3 +5 2 0x4776 0x84d8e715 @@ -193342,6 +241678,8 @@ 1 1 0 +4 +0 1 0x6385 0x3f9ef73 @@ -193350,6 +241688,8 @@ 1 1 0 +5 +0 3 0x4334 0xe7c8c2cd @@ -193359,6 +241699,8 @@ 0 0 3 +4 +3 0xc928 0x8e2cba2f 256 @@ -193366,6 +241708,8 @@ 0 0 0 +4 +3 2 0x94de 0xc232b373 @@ -193374,6 +241718,8 @@ 1 1 0 +3 +4 1 0xff8e 0x9c6b76ec @@ -193382,6 +241728,8 @@ 0 0 0 +0 +1 4 0xc530 0x9c6d70a8 @@ -193390,6 +241738,8 @@ 1 1 0 +5 +1 1 0x286b 0x8fab6f77 @@ -193398,6 +241748,8 @@ 1 0 0 +5 +3 3 0xe40c 0x96ee8576 @@ -193406,6 +241758,8 @@ 1 0 0 +1 +0 4 0xe098 0xb9d5a6ae @@ -193414,6 +241768,8 @@ 0 0 0 +1 +4 2 0xe3c7 0xb1d7195e @@ -193422,6 +241778,8 @@ 0 0 0 +5 +4 4 0x6761 0x2e0bf09d @@ -193430,6 +241788,8 @@ 0 0 0 +5 +1 2 0x5616 0x12a755d4 @@ -193438,6 +241798,8 @@ 0 0 0 +5 +4 2 0x4c5f 0xb7bf72dd @@ -193446,6 +241808,8 @@ 1 0 0 +2 +0 3 0x4cfd 0x639f88a @@ -193454,6 +241818,8 @@ 0 0 0 +1 +5 2 0xafb 0xba7e8d41 @@ -193462,6 +241828,8 @@ 1 0 0 +5 +5 3 0xda81 0xd97ca4f4 @@ -193470,6 +241838,8 @@ 0 0 0 +4 +2 3 0x6cc6 0x90f19417 @@ -193478,6 +241848,8 @@ 1 0 0 +5 +1 4 0x86d9 0xff03214c @@ -193486,6 +241858,8 @@ 0 0 0 +1 +2 3 0x2354 0xd80ea8a7 @@ -193494,6 +241868,8 @@ 1 1 0 +1 +0 3 0xccfc 0x8941de43 @@ -193502,6 +241878,8 @@ 0 0 0 +5 +1 1 0x10c0 0xf4b83949 @@ -193510,6 +241888,8 @@ 0 0 0 +4 +0 1 0xb77e 0xfa5e9e5 @@ -193518,6 +241898,8 @@ 0 0 0 +5 +2 1 0xccdd 0x1d2a259 @@ -193526,6 +241908,8 @@ 1 1 0 +0 +0 3 0xf934 0xf52c07ca @@ -193534,6 +241918,8 @@ 1 1 0 +3 +3 4 0x1b71 0x620c87e8 @@ -193542,6 +241928,8 @@ 1 1 0 +3 +4 2 0x6b13 0x5f4abdfb @@ -193550,6 +241938,8 @@ 0 0 0 +2 +3 3 0x499e 0x8eb9924a @@ -193559,6 +241949,8 @@ 0 0 2 +1 +2 0x86b8 0x302f670f 256 @@ -193566,6 +241958,8 @@ 0 0 0 +2 +3 4 0x64df 0x992ddfba @@ -193574,6 +241968,8 @@ 0 0 0 +5 +1 2 0x4607 0x13336f4 @@ -193582,6 +241978,8 @@ 0 0 0 +2 +4 1 0x6bde 0x56a7717b @@ -193590,6 +241988,8 @@ 0 0 0 +5 +0 1 0x3cd6 0xbd175cc1 @@ -193598,6 +241998,8 @@ 1 1 0 +3 +3 4 0x56b7 0x77f94132 @@ -193606,6 +242008,8 @@ 0 0 0 +0 +0 1 0xa30b 0x8dfd53ea @@ -193614,6 +242018,8 @@ 0 0 0 +1 +4 2 0x2197 0x6190c3e7 @@ -193622,6 +242028,8 @@ 1 0 0 +1 +2 2 0x55df 0x745ef2fb @@ -193630,6 +242038,8 @@ 1 1 0 +4 +2 1 0xef8d 0xbb7025ee @@ -193638,6 +242048,8 @@ 1 0 0 +2 +5 3 0x4db4 0xe524cb18 @@ -193646,6 +242058,8 @@ 1 1 0 +2 +2 1 0x11c3 0x49298841 @@ -193654,6 +242068,8 @@ 0 0 0 +0 +1 3 0xff00 0xb417c9fe @@ -193663,6 +242079,8 @@ 0 0 1 +5 +1 0x4e13 0x98b57678 256 @@ -193670,6 +242088,8 @@ 0 0 0 +0 +5 2 0x8395 0x936a0e20 @@ -193678,6 +242098,8 @@ 0 0 0 +0 +1 4 0xac85 0xa9ff16e7 @@ -193686,6 +242108,8 @@ 1 1 0 +4 +2 1 0x1eee 0xc6351597 @@ -193694,6 +242118,8 @@ 1 0 0 +3 +0 4 0xac86 0x12d19549 @@ -193702,6 +242128,8 @@ 1 0 0 +1 +5 3 0xa95b 0xde35e86c @@ -193710,6 +242138,8 @@ 1 1 0 +5 +5 1 0x5bd3 0x5d4d9461 @@ -193719,6 +242149,8 @@ 0 0 3 +1 +3 0xaa6b 0x1747c5fc 256 @@ -193726,6 +242158,8 @@ 1 1 0 +2 +5 3 0xe8a7 0x3c9cb625 @@ -193735,6 +242169,8 @@ 0 0 3 +5 +3 0x2302 0x97655c3f 256 @@ -193742,6 +242178,8 @@ 0 0 0 +5 +5 3 0x5e8f 0x288cc2d7 @@ -193750,6 +242188,8 @@ 1 0 0 +2 +4 4 0x28a1 0x62998d2a @@ -193758,6 +242198,8 @@ 1 1 0 +5 +0 1 0x6c01 0xfa8ad115 @@ -193766,6 +242208,8 @@ 1 1 0 +0 +4 4 0x9e27 0xb89aa014 @@ -193774,6 +242218,8 @@ 1 1 0 +2 +4 1 0xeddd 0x3f13233d @@ -193782,6 +242228,8 @@ 1 1 0 +4 +0 3 0x6e7a 0x13dd17e8 @@ -193790,6 +242238,8 @@ 0 0 0 +2 +0 1 0x9f9b 0x461b03cf @@ -193798,6 +242248,8 @@ 0 0 0 +1 +5 2 0x8120 0x50a89d18 @@ -193806,6 +242258,8 @@ 0 0 0 +4 +1 2 0xcca9 0x5091e70f @@ -193814,6 +242268,8 @@ 0 0 0 +1 +1 3 0x1a15 0xec150bb @@ -193822,6 +242278,8 @@ 0 0 0 +2 +4 1 0x5398 0x61bf2bd8 @@ -193830,6 +242288,8 @@ 0 0 0 +5 +0 2 0xf21f 0xc193c89e @@ -193838,6 +242298,8 @@ 0 0 0 +1 +4 3 0x5164 0xeeb1b693 @@ -193846,6 +242308,8 @@ 1 1 0 +5 +1 2 0x562d 0xe8feaeee @@ -193854,6 +242318,8 @@ 1 1 0 +3 +1 2 0xbfec 0x625003a6 @@ -193862,6 +242328,8 @@ 1 1 0 +0 +3 3 0xefc5 0xf8a6831d @@ -193871,6 +242339,8 @@ 0 0 2 +0 +2 0x8945 0x55d6416b 256 @@ -193878,6 +242348,8 @@ 1 0 0 +0 +1 4 0x2103 0x53f6f59a @@ -193886,6 +242358,8 @@ 0 0 0 +5 +5 3 0x4af5 0x4253635 @@ -193895,6 +242369,8 @@ 0 0 1 +2 +1 0xb693 0xb94a3bdd 256 @@ -193902,6 +242378,8 @@ 1 1 0 +5 +5 2 0x9eb3 0xf645242b @@ -193910,6 +242388,8 @@ 1 0 0 +5 +0 4 0x5d78 0x35909248 @@ -193918,6 +242398,8 @@ 1 0 0 +5 +0 1 0xc41c 0x45f9d173 @@ -193926,6 +242408,8 @@ 0 0 0 +3 +5 1 0xde16 0x4ffabe38 @@ -193934,6 +242418,8 @@ 1 0 0 +1 +0 4 0xefeb 0x7e5b9559 @@ -193942,6 +242428,8 @@ 0 0 0 +2 +1 3 0xaffd 0xfa206c6e @@ -193950,6 +242438,8 @@ 0 0 0 +0 +2 1 0x3979 0x42cb187b @@ -193958,6 +242448,8 @@ 0 0 0 +3 +2 4 0x2533 0x1e10ed0d @@ -193966,6 +242458,8 @@ 1 0 0 +0 +0 2 0xee03 0x7c6a5c73 @@ -193974,6 +242468,8 @@ 1 0 0 +3 +3 1 0x56ed 0xccdb2e9b @@ -193982,6 +242478,8 @@ 0 0 0 +5 +5 3 0xe086 0x51bd36b0 @@ -193990,6 +242488,8 @@ 0 0 0 +4 +3 1 0xf17 0xc48e81f7 @@ -193998,6 +242498,8 @@ 0 0 0 +2 +5 3 0x2dd 0x3e48c8c5 @@ -194006,6 +242508,8 @@ 0 0 0 +5 +4 1 0x4d51 0x5606a618 @@ -194014,6 +242518,8 @@ 1 0 0 +4 +4 2 0xd71c 0x2432c1da @@ -194022,6 +242528,8 @@ 0 0 0 +3 +4 2 0x1b0f 0x8af97671 @@ -194030,6 +242538,8 @@ 0 0 0 +3 +3 4 0x3e6e 0x1ff24681 @@ -194039,6 +242549,8 @@ 0 0 3 +2 +3 0x95a5 0x1c590628 256 @@ -194046,6 +242558,8 @@ 0 0 0 +2 +2 1 0xdd63 0xbd0c21a2 @@ -194054,6 +242568,8 @@ 0 0 0 +4 +1 3 0xbf29 0xe28863a5 @@ -194062,6 +242578,8 @@ 1 1 0 +4 +5 2 0xb189 0x169b531a @@ -194070,6 +242588,8 @@ 1 0 0 +3 +4 2 0x2ba4 0x2c90eaa2 @@ -194078,6 +242598,8 @@ 0 0 0 +0 +3 2 0xdf71 0xf2ca3fa0 @@ -194086,6 +242608,8 @@ 1 1 0 +0 +5 2 0xd20a 0xbc01acfb @@ -194094,6 +242618,8 @@ 0 0 0 +4 +1 2 0x13b 0xfcc55704 @@ -194102,6 +242628,8 @@ 0 0 0 +5 +2 1 0xefc8 0x4e961f9f @@ -194110,6 +242638,8 @@ 1 0 0 +2 +2 3 0x3d7a 0x76ddff6b @@ -194118,6 +242648,8 @@ 0 0 0 +5 +0 1 0xb369 0xeed2285d @@ -194127,6 +242659,8 @@ 1 0 1 +0 +1 0x362b 0x2192b151 256 @@ -194134,6 +242668,8 @@ 0 0 0 +4 +5 1 0x3526 0xfd3bede6 @@ -194142,6 +242678,8 @@ 1 0 0 +1 +2 2 0xb543 0x2f7e34c7 @@ -194151,6 +242689,8 @@ 0 0 2 +1 +2 0xca32 0x4f1e3d4e 256 @@ -194158,6 +242698,8 @@ 1 1 0 +3 +1 4 0x56f7 0xc8b7985b @@ -194166,6 +242708,8 @@ 1 0 0 +5 +5 1 0xd034 0x8b509e91 @@ -194174,6 +242718,8 @@ 0 0 0 +5 +4 1 0x3a01 0x7d374af9 @@ -194182,6 +242728,8 @@ 0 0 0 +3 +2 1 0x7fd3 0x56870c82 @@ -194191,6 +242739,8 @@ 1 0 4 +2 +4 0x17f6 0xbeb72767 256 @@ -194198,6 +242748,8 @@ 0 0 0 +5 +0 1 0xece6 0x3dfe536c @@ -194206,6 +242758,8 @@ 1 1 0 +2 +0 1 0x62c7 0xe47b0c5d @@ -194214,6 +242768,8 @@ 1 0 0 +2 +5 3 0xcd16 0x656cd56a @@ -194222,6 +242778,8 @@ 1 1 0 +4 +1 1 0xcc31 0x6e2df944 @@ -194230,6 +242788,8 @@ 1 1 0 +5 +5 2 0x4e3c 0xdf6c2b8 @@ -194239,6 +242799,8 @@ 0 0 3 +4 +3 0xec5c 0x8112cdd3 256 @@ -194246,6 +242808,8 @@ 0 0 0 +2 +1 3 0x232e 0x481e9fe5 @@ -194254,6 +242818,8 @@ 0 0 0 +0 +2 3 0x54f3 0x731cb9cc @@ -194262,6 +242828,8 @@ 1 0 0 +5 +4 3 0x5edc 0xc6f509b2 @@ -194270,6 +242838,8 @@ 1 1 0 +3 +2 4 0x70a1 0xefe11401 @@ -194279,6 +242849,8 @@ 1 0 2 +2 +2 0xdea2 0x5b91ecde 256 @@ -194286,6 +242858,8 @@ 0 0 0 +0 +2 2 0x5fcf 0xa032512c @@ -194295,6 +242869,8 @@ 0 0 4 +4 +4 0xd3cd 0xe4b712ef 256 @@ -194302,6 +242878,8 @@ 0 0 0 +2 +1 3 0x4186 0x22fa959 @@ -194310,6 +242888,8 @@ 0 0 0 +0 +5 3 0xd1fb 0x2d8a72f3 @@ -194318,6 +242898,8 @@ 0 0 0 +4 +3 3 0x2a98 0xf72fc1f7 @@ -194327,6 +242909,8 @@ 0 0 3 +5 +3 0x619e 0x6d6a3c01 256 @@ -194334,6 +242918,8 @@ 0 0 0 +1 +4 2 0x7424 0xe6d72e93 @@ -194342,6 +242928,8 @@ 0 0 0 +1 +4 2 0xd94c 0x3968c4b1 @@ -194350,6 +242938,8 @@ 1 0 0 +4 +4 1 0xfb2b 0x966971ea @@ -194358,6 +242948,8 @@ 0 0 0 +0 +2 2 0xb558 0x621872b0 @@ -194366,6 +242958,8 @@ 0 0 0 +5 +4 4 0x7208 0x7b3f5701 @@ -194375,6 +242969,8 @@ 0 0 2 +0 +2 0xf42d 0x63ff09fb 256 @@ -194382,6 +242978,8 @@ 0 0 0 +0 +5 1 0x80ea 0x8ec438b6 @@ -194390,6 +242988,8 @@ 1 1 0 +2 +1 1 0x5170 0xf7e53635 @@ -194398,6 +242998,8 @@ 1 1 0 +2 +1 4 0x8172 0xea081902 @@ -194406,6 +243008,8 @@ 1 0 0 +0 +4 4 0x8920 0xfc816556 @@ -194414,6 +243018,8 @@ 0 0 0 +3 +2 4 0x31e 0x4959ef39 @@ -194422,6 +243028,8 @@ 0 0 0 +3 +5 1 0x1aac 0x7e1ae327 @@ -194430,6 +243038,8 @@ 0 0 0 +2 +4 1 0xb683 0xfb842803 @@ -194438,6 +243048,8 @@ 1 1 0 +0 +4 2 0x21ee 0x55718c09 @@ -194446,6 +243058,8 @@ 1 0 0 +2 +5 3 0x5cae 0x9c3f7939 @@ -194454,6 +243068,8 @@ 0 0 0 +2 +4 3 0xc673 0x82b0025c @@ -194463,6 +243079,8 @@ 0 0 2 +1 +2 0x983a 0xda99b649 256 @@ -194470,6 +243088,8 @@ 1 1 0 +5 +5 4 0x87bb 0x168d650 @@ -194478,6 +243098,8 @@ 0 0 0 +3 +4 4 0x1ccd 0xc28def1 @@ -194486,6 +243108,8 @@ 1 0 0 +0 +1 1 0x8a8b 0xa0813c43 @@ -194494,6 +243118,8 @@ 1 1 0 +3 +5 1 0x7817 0x7a310c8c @@ -194502,6 +243128,8 @@ 1 1 0 +3 +3 2 0x7bfe 0x40a95f57 @@ -194510,6 +243138,8 @@ 0 0 0 +2 +5 1 0xead3 0x78680106 @@ -194519,6 +243149,8 @@ 0 0 4 +4 +4 0xc993 0x687acb2b 256 @@ -194526,6 +243158,8 @@ 1 0 0 +5 +0 3 0x852c 0x141be96f @@ -194534,6 +243168,8 @@ 1 1 0 +5 +4 3 0x1b54 0x99b28e22 @@ -194542,6 +243178,8 @@ 0 0 0 +1 +4 4 0x1f49 0xd3146508 @@ -194550,6 +243188,8 @@ 0 0 0 +2 +2 1 0x5ebf 0x34f2c700 @@ -194558,6 +243198,8 @@ 1 0 0 +2 +2 4 0x49a0 0x935e6415 @@ -194566,6 +243208,8 @@ 1 1 0 +2 +0 1 0x719a 0xcdce7930 @@ -194574,6 +243218,8 @@ 1 0 0 +4 +0 3 0x7894 0x53a52e98 @@ -194583,6 +243229,8 @@ 1 0 1 +1 +1 0xc444 0x8f8a5413 256 @@ -194590,6 +243238,8 @@ 0 0 0 +5 +1 2 0xccc5 0xeb67729c @@ -194598,6 +243248,8 @@ 0 0 0 +4 +1 1 0x672e 0x9e68d904 @@ -194606,6 +243258,8 @@ 1 0 0 +0 +0 2 0xa6d9 0x10f55a5f @@ -194614,6 +243268,8 @@ 0 0 0 +4 +0 2 0x4432 0xc56cd127 @@ -194622,6 +243278,8 @@ 1 1 0 +2 +1 1 0x3536 0x74565422 @@ -194630,6 +243288,8 @@ 0 0 0 +3 +5 1 0x322f 0x5726c86a @@ -194639,6 +243299,8 @@ 1 0 2 +2 +2 0x3a39 0xdd131c06 256 @@ -194646,6 +243308,8 @@ 1 1 0 +5 +5 1 0x2bc8 0xbace0e18 @@ -194654,6 +243318,8 @@ 1 0 0 +4 +0 2 0xaf5b 0x887ca5d6 @@ -194662,6 +243328,8 @@ 0 0 0 +4 +3 1 0x32a8 0x7454ed37 @@ -194670,6 +243338,8 @@ 1 0 0 +2 +0 1 0xe2d 0x174d67fb @@ -194678,6 +243348,8 @@ 1 1 0 +0 +0 2 0xbd73 0xf745d342 @@ -194686,6 +243358,8 @@ 0 0 0 +2 +1 4 0x7a2e 0xa422e7bd @@ -194694,6 +243368,8 @@ 0 0 0 +0 +0 3 0xcb95 0x753fbe28 @@ -194703,6 +243379,8 @@ 0 0 2 +3 +2 0xe0df 0xf295ab1f 256 @@ -194710,6 +243388,8 @@ 1 0 0 +0 +2 3 0x3fc5 0x960cb29 @@ -194718,6 +243398,8 @@ 0 0 0 +4 +0 2 0xaa9f 0x31a1d0b0 @@ -194727,6 +243409,8 @@ 0 0 4 +5 +4 0x5eb7 0x709f7e97 256 @@ -194735,6 +243419,8 @@ 0 0 2 +1 +2 0x5243 0x57447602 256 @@ -194742,6 +243428,8 @@ 0 0 0 +0 +2 4 0x3968 0xaadd9fe9 @@ -194751,6 +243439,8 @@ 0 0 3 +3 +3 0x406c 0xda8deb97 256 @@ -194758,6 +243448,8 @@ 1 0 0 +2 +2 1 0x350f 0x566291f1 @@ -194766,6 +243458,8 @@ 0 0 0 +5 +4 3 0x66d8 0xc46a69e2 @@ -194775,6 +243469,8 @@ 1 0 3 +1 +3 0x7a2f 0xa85480fb 256 @@ -194782,6 +243478,8 @@ 1 1 0 +4 +0 1 0x5353 0x3011f614 @@ -194790,6 +243488,8 @@ 1 0 0 +0 +3 1 0xbf47 0xe37d4b1d @@ -194799,6 +243499,8 @@ 1 0 1 +2 +1 0x5f06 0x7705f500 256 @@ -194806,6 +243508,8 @@ 1 0 0 +3 +4 4 0x30fb 0x1faf58d8 @@ -194814,6 +243518,8 @@ 0 0 0 +2 +5 1 0x878e 0x8f71880e @@ -194822,6 +243528,8 @@ 0 0 0 +5 +0 4 0x8492 0x873884f6 @@ -194830,6 +243538,8 @@ 0 0 0 +0 +4 4 0xe4b4 0x7a99e0cf @@ -194838,6 +243548,8 @@ 0 0 0 +0 +5 1 0x67fb 0x3e74cea2 @@ -194846,6 +243558,8 @@ 1 0 0 +5 +1 1 0x7cb6 0xa092963d @@ -194854,6 +243568,8 @@ 1 0 0 +5 +5 4 0xb8e7 0x6b046040 @@ -194863,6 +243579,8 @@ 0 0 1 +3 +1 0x9ba6 0xf893bc22 256 @@ -194870,6 +243588,8 @@ 1 1 0 +3 +1 4 0x2034 0xa789d735 @@ -194878,6 +243598,8 @@ 0 0 0 +2 +3 3 0xb5a6 0x5f1cbb95 @@ -194886,6 +243608,8 @@ 0 0 0 +5 +5 4 0x6b1f 0x4dcbbb16 @@ -194894,6 +243618,8 @@ 1 1 0 +5 +2 4 0x94b9 0xf17f34bc @@ -194902,6 +243628,8 @@ 1 0 0 +5 +5 2 0xf4e6 0xea50302e @@ -194910,6 +243638,8 @@ 0 0 0 +3 +5 1 0xfac4 0x43329056 @@ -194918,6 +243648,8 @@ 1 0 0 +3 +1 2 0xf833 0x58f76a51 @@ -194926,6 +243658,8 @@ 0 0 0 +4 +3 1 0xf54b 0xff27fd0c @@ -194935,6 +243669,8 @@ 0 0 1 +2 +1 0x4458 0x707bad19 256 @@ -194942,6 +243678,8 @@ 1 0 0 +3 +1 4 0xe72e 0xa616dcd1 @@ -194950,6 +243688,8 @@ 1 1 0 +4 +5 1 0x96f5 0x950b7ee8 @@ -194958,6 +243698,8 @@ 1 0 0 +2 +3 1 0x8d95 0x1c0626db @@ -194967,6 +243709,8 @@ 0 0 2 +2 +2 0x5d9a 0x9af50b0a 256 @@ -194974,6 +243718,8 @@ 1 1 0 +4 +1 1 0x6ef0 0x6d090c38 @@ -194982,6 +243728,8 @@ 0 0 0 +1 +4 4 0x92cd 0x9933f673 @@ -194990,6 +243738,8 @@ 0 0 0 +1 +3 2 0x65ba 0x471786f9 @@ -194998,6 +243748,8 @@ 0 0 0 +4 +3 3 0xfeda 0x4fb1c19 @@ -195006,6 +243758,8 @@ 1 0 0 +3 +5 4 0xda29 0x5ca0c305 @@ -195014,6 +243768,8 @@ 0 0 0 +0 +2 4 0x7320 0xbb9cfbb6 @@ -195022,6 +243778,8 @@ 1 1 0 +5 +5 4 0x3b82 0xe694bd17 @@ -195030,6 +243788,8 @@ 0 0 0 +1 +1 2 0x845d 0x5225a9be @@ -195038,6 +243798,8 @@ 0 0 0 +4 +5 3 0xc6c5 0x26b714c4 @@ -195046,6 +243808,8 @@ 1 0 0 +4 +5 3 0x27ed 0x5fe4b011 @@ -195054,6 +243818,8 @@ 0 0 0 +5 +0 3 0x448f 0xda16a5c4 @@ -195062,6 +243828,8 @@ 0 0 0 +3 +5 4 0x4c4 0xccffd00e @@ -195071,6 +243839,8 @@ 1 0 1 +3 +1 0xd87f 0x4fcb9467 256 @@ -195078,6 +243848,8 @@ 0 0 0 +5 +2 2 0xf519 0xfbfa2981 @@ -195086,6 +243858,8 @@ 1 0 0 +5 +3 3 0xe0f9 0xea6bff0c @@ -195095,6 +243869,8 @@ 0 0 3 +5 +3 0xa999 0xe93d40f4 256 @@ -195102,6 +243878,8 @@ 0 0 0 +3 +3 4 0x2e1c 0xdc805e0c @@ -195110,6 +243888,8 @@ 1 0 0 +5 +5 1 0xb110 0x7a04717 @@ -195119,6 +243899,8 @@ 0 0 4 +1 +4 0xff4a 0xc5dc0076 256 @@ -195126,6 +243908,8 @@ 1 0 0 +1 +4 4 0x1b2e 0x3c1a236 @@ -195134,6 +243918,8 @@ 0 0 0 +4 +3 1 0xc82d 0x83f6d017 @@ -195142,6 +243928,8 @@ 1 1 0 +0 +2 1 0x78d7 0x96d931cf @@ -195150,6 +243938,8 @@ 0 0 0 +5 +2 2 0xf7a7 0x402a7a9 @@ -195158,6 +243948,8 @@ 1 1 0 +4 +1 1 0xe6e4 0x1382c1ec @@ -195166,6 +243958,8 @@ 1 1 0 +0 +1 3 0x2635 0xb1398de0 @@ -195175,6 +243969,8 @@ 0 0 3 +3 +3 0x2dca 0xff97c01b 256 @@ -195182,6 +243978,8 @@ 0 0 0 +0 +1 1 0x9b2 0x9121c29e @@ -195190,6 +243988,8 @@ 1 1 0 +1 +3 4 0xbcc 0x33cffaf0 @@ -195199,6 +243999,8 @@ 0 0 2 +2 +2 0xad67 0xa6ac39a8 256 @@ -195206,6 +244008,8 @@ 1 0 0 +2 +0 3 0xdf9 0x4219fdf6 @@ -195214,6 +244018,8 @@ 1 0 0 +3 +2 4 0x343b 0x9c00526 @@ -195222,6 +244028,8 @@ 0 0 0 +1 +0 4 0x8c28 0x40cdbab1 @@ -195230,6 +244038,8 @@ 0 0 0 +2 +0 1 0xc508 0x6deb87e5 @@ -195238,6 +244048,8 @@ 0 0 0 +0 +4 2 0x589f 0x173b8c19 @@ -195247,6 +244059,8 @@ 0 0 4 +0 +4 0xbe28 0x71697464 256 @@ -195254,6 +244068,8 @@ 0 0 0 +3 +5 4 0x3cda 0xa8a60264 @@ -195262,6 +244078,8 @@ 1 0 0 +3 +2 1 0x63b5 0x8cb2e8bd @@ -195270,6 +244088,8 @@ 0 0 0 +3 +5 2 0x2aed 0x9903b85d @@ -195278,6 +244098,8 @@ 1 1 0 +4 +5 1 0xb79b 0xc1d6e043 @@ -195286,6 +244108,8 @@ 1 1 0 +0 +3 3 0xebc6 0xdb695139 @@ -195294,6 +244118,8 @@ 1 0 0 +0 +3 4 0x2836 0x113cd67f @@ -195302,6 +244128,8 @@ 0 0 0 +0 +2 3 0xa91e 0x29c43cdf @@ -195310,6 +244138,8 @@ 1 0 0 +5 +5 3 0x55b3 0x259d0c3e @@ -195318,6 +244148,8 @@ 1 1 0 +4 +1 2 0x42b3 0x8f8c29d8 @@ -195326,6 +244158,8 @@ 0 0 0 +5 +0 2 0xf5c1 0xf859de0c @@ -195334,6 +244168,8 @@ 0 0 0 +5 +0 3 0x57c8 0xe288030 @@ -195342,6 +244178,8 @@ 0 0 0 +4 +3 2 0x3865 0xfd65e11e @@ -195351,6 +244189,8 @@ 0 0 2 +3 +2 0x8898 0x95c0e774 256 @@ -195358,6 +244198,8 @@ 1 0 0 +3 +0 2 0xa460 0xa32440bf @@ -195366,6 +244208,8 @@ 1 0 0 +3 +5 4 0x3c7 0x38aa6cac @@ -195374,6 +244218,8 @@ 0 0 0 +4 +0 1 0xaf26 0x4dbe8ab5 @@ -195383,6 +244229,8 @@ 0 0 2 +2 +2 0xf0bd 0x1a4bdf82 256 @@ -195390,6 +244238,8 @@ 0 0 0 +2 +3 3 0xaf8b 0x9b710b75 @@ -195398,6 +244248,8 @@ 1 1 0 +3 +2 4 0x9933 0x3832ba35 @@ -195406,6 +244258,8 @@ 1 0 0 +5 +2 4 0x52a8 0x62a2b53e @@ -195415,6 +244269,8 @@ 0 0 1 +0 +1 0x4da7 0x45a09849 256 @@ -195422,6 +244278,8 @@ 0 0 0 +3 +1 1 0xa6b9 0x8d052d5 @@ -195430,6 +244288,8 @@ 0 0 0 +1 +5 3 0x5763 0xa643e909 @@ -195438,6 +244298,8 @@ 0 0 0 +0 +0 3 0xa88e 0x298c5b5 @@ -195447,6 +244309,8 @@ 0 0 2 +0 +2 0x2fae 0x82a64fd3 256 @@ -195454,6 +244318,8 @@ 1 1 0 +5 +4 3 0xe6f6 0x54cd050d @@ -195463,6 +244329,8 @@ 0 0 4 +1 +4 0x181d 0x2bb458d0 256 @@ -195470,6 +244338,8 @@ 0 0 0 +3 +3 4 0x1cad 0xa17831d4 @@ -195478,6 +244348,8 @@ 1 1 0 +4 +1 3 0x559b 0x8229236c @@ -195486,6 +244358,8 @@ 1 0 0 +4 +2 1 0x5460 0xfb4c49de @@ -195494,6 +244368,8 @@ 1 1 0 +1 +2 3 0x7a49 0x1c66cfa9 @@ -195502,6 +244378,8 @@ 0 0 0 +4 +0 3 0x3436 0x419d9848 @@ -195510,6 +244388,8 @@ 0 0 0 +5 +3 4 0x92db 0x5a54220 @@ -195518,6 +244398,8 @@ 1 0 0 +3 +0 2 0x32a4 0xbd37df89 @@ -195526,6 +244408,8 @@ 0 0 0 +0 +0 1 0xd4ab 0xf16118ae @@ -195535,6 +244419,8 @@ 0 0 1 +0 +1 0x5aee 0x1332ca7d 256 @@ -195542,6 +244428,8 @@ 0 0 0 +1 +5 2 0xeb89 0xe97e7e41 @@ -195550,6 +244438,8 @@ 1 0 0 +4 +4 2 0x26c4 0x7df29e37 @@ -195558,6 +244448,8 @@ 1 0 0 +5 +0 4 0xe09 0xa746fbfd @@ -195566,6 +244458,8 @@ 1 1 0 +2 +4 4 0x8e0f 0x2f00d4a1 @@ -195575,6 +244469,8 @@ 0 0 1 +4 +1 0x6497 0xeb92a30c 256 @@ -195582,6 +244478,8 @@ 0 0 0 +5 +4 3 0x8be2 0x4dfd9793 @@ -195590,6 +244488,8 @@ 0 0 0 +0 +0 2 0x74fc 0x49405420 @@ -195598,6 +244498,8 @@ 1 0 0 +5 +3 3 0x57c7 0x27bdec2 @@ -195607,6 +244509,8 @@ 0 0 2 +4 +2 0x49ca 0x4913c125 256 @@ -195614,6 +244518,8 @@ 0 0 0 +4 +4 1 0xd318 0x8e250a61 @@ -195622,6 +244528,8 @@ 0 0 0 +3 +1 4 0x840d 0x4664ec7d @@ -195630,6 +244538,8 @@ 0 0 0 +5 +1 4 0x1d31 0xca2c42f9 @@ -195639,6 +244549,8 @@ 1 0 3 +1 +3 0x934a 0x49274ec8 256 @@ -195646,6 +244558,8 @@ 0 0 0 +3 +0 1 0xb2eb 0xe0ec8f11 @@ -195654,6 +244568,8 @@ 1 1 0 +4 +1 3 0x4c72 0x88b3513f @@ -195663,6 +244579,8 @@ 1 0 2 +5 +2 0x3731 0xa35a6f44 256 @@ -195670,6 +244588,8 @@ 1 1 0 +0 +4 1 0xef74 0x9a8d892b @@ -195678,6 +244598,8 @@ 1 1 0 +1 +0 3 0x1d21 0xe4c6aa17 @@ -195686,6 +244608,8 @@ 1 0 0 +3 +0 1 0xb1d6 0xe825dcb3 @@ -195694,6 +244618,8 @@ 1 0 0 +5 +1 3 0x5351 0xd6387fd3 @@ -195702,6 +244628,8 @@ 0 0 0 +5 +5 2 0xaf10 0x5dacaf48 @@ -195710,6 +244638,8 @@ 1 0 0 +0 +1 4 0x196e 0xb0d45145 @@ -195718,6 +244648,8 @@ 1 1 0 +3 +2 4 0xe152 0x91e93225 @@ -195726,6 +244658,8 @@ 0 0 0 +0 +4 3 0xba57 0xc441082e @@ -195734,6 +244668,8 @@ 0 0 0 +3 +2 2 0x8701 0x8f56f067 @@ -195742,6 +244678,8 @@ 1 0 0 +2 +1 4 0x4748 0x4800b2ed @@ -195750,6 +244688,8 @@ 1 1 0 +3 +5 1 0x96bb 0x72f677f0 @@ -195758,6 +244698,8 @@ 0 0 0 +0 +1 2 0x64e6 0x3b874aa2 @@ -195767,6 +244709,8 @@ 1 0 2 +5 +2 0xfcdc 0x195d2009 256 @@ -195774,6 +244718,8 @@ 1 0 0 +4 +3 3 0xb813 0x2a856a00 @@ -195782,6 +244728,8 @@ 1 0 0 +3 +5 2 0xb5ec 0xa5884e01 @@ -195790,6 +244738,8 @@ 1 1 0 +5 +2 4 0x766a 0x6df56f62 @@ -195798,6 +244748,8 @@ 0 0 0 +0 +2 1 0xe7de 0xf92e72dd @@ -195807,6 +244759,8 @@ 0 0 4 +4 +4 0x8306 0x4a29a810 256 @@ -195814,6 +244768,8 @@ 0 0 0 +1 +2 4 0xdb39 0x80d31a75 @@ -195823,6 +244779,8 @@ 1 0 1 +3 +1 0xaf4e 0xb57a364c 256 @@ -195830,6 +244788,8 @@ 1 1 0 +4 +3 1 0x748c 0xea9c8388 @@ -195839,6 +244799,8 @@ 1 0 1 +1 +1 0x6564 0x63361f72 256 @@ -195846,6 +244808,8 @@ 1 0 0 +1 +1 4 0x89d7 0xe7f6a1d2 @@ -195854,6 +244818,8 @@ 0 0 0 +2 +2 4 0xdf66 0x42cf07f3 @@ -195863,6 +244829,8 @@ 0 0 3 +0 +3 0x8c46 0x11d9c6a4 256 @@ -195870,6 +244838,8 @@ 1 0 0 +1 +5 4 0xcabf 0x511f033c @@ -195878,6 +244848,8 @@ 0 0 0 +4 +3 3 0x561b 0x7945bae8 @@ -195886,6 +244858,8 @@ 0 0 0 +3 +5 4 0x5287 0xabd2907a @@ -195894,6 +244868,8 @@ 1 1 0 +5 +5 4 0xaac 0xe06c993e @@ -195902,6 +244878,8 @@ 1 1 0 +5 +1 3 0x86bf 0xdd49a7d5 @@ -195910,6 +244888,8 @@ 0 0 0 +2 +0 1 0xebd7 0x440a2d74 @@ -195918,6 +244898,8 @@ 1 0 0 +2 +4 1 0x3e18 0x86ed8653 @@ -195926,6 +244908,8 @@ 0 0 0 +3 +5 2 0x555 0xb2b52bfa @@ -195934,6 +244918,8 @@ 1 1 0 +1 +0 4 0x7c2 0xa283296b @@ -195942,6 +244928,8 @@ 1 0 0 +5 +3 1 0x5213 0x3ee00c6a @@ -195950,6 +244938,8 @@ 1 0 0 +5 +0 1 0x5368 0x6b83028a @@ -195959,6 +244949,8 @@ 0 0 2 +4 +2 0xe507 0x4e163029 256 @@ -195966,6 +244958,8 @@ 1 0 0 +5 +0 2 0xacff 0x77e891a2 @@ -195974,6 +244968,8 @@ 0 0 0 +5 +2 2 0x23bd 0x5755cb6 @@ -195982,6 +244978,8 @@ 1 1 0 +5 +2 1 0x32eb 0xd40d964d @@ -195990,6 +244988,8 @@ 1 1 0 +2 +5 4 0xcf8d 0xdb244a31 @@ -195998,6 +244998,8 @@ 0 0 0 +1 +0 2 0x2570 0x931f04dc @@ -196006,6 +245008,8 @@ 1 1 0 +0 +4 4 0xceb5 0xc88e4f99 @@ -196014,6 +245018,8 @@ 0 0 0 +5 +4 2 0x970 0x1f61aaf3 @@ -196022,6 +245028,8 @@ 1 1 0 +5 +0 4 0xd393 0xa893ef4e @@ -196030,6 +245038,8 @@ 0 0 0 +0 +1 1 0xffd3 0x78860dd2 @@ -196038,6 +245048,8 @@ 0 0 0 +1 +3 3 0x5cd 0xf6c4364c @@ -196046,6 +245058,8 @@ 0 0 0 +4 +0 3 0xc324 0x336cad00 @@ -196054,6 +245068,8 @@ 0 0 0 +1 +1 4 0x22f9 0x1d684602 @@ -196062,6 +245078,8 @@ 1 0 0 +5 +5 4 0x5b9d 0xcdc26e6b @@ -196070,6 +245088,8 @@ 1 1 0 +3 +3 4 0x64ce 0xa8d0d587 @@ -196078,6 +245098,8 @@ 0 0 0 +0 +0 3 0x2d29 0xf3d693c9 @@ -196086,6 +245108,8 @@ 1 0 0 +3 +5 2 0x616b 0xce3d30e0 @@ -196094,6 +245118,8 @@ 1 1 0 +1 +5 3 0x6d69 0xac7dc06f @@ -196102,6 +245128,8 @@ 1 1 0 +2 +0 4 0xedea 0x3dd1cb7c @@ -196110,6 +245138,8 @@ 1 1 0 +2 +2 3 0xe41f 0xcd5ad7e @@ -196118,6 +245148,8 @@ 0 0 0 +1 +0 3 0xb7fe 0x7a517b92 @@ -196126,6 +245158,8 @@ 0 0 0 +2 +0 1 0xb7a0 0x87b525de @@ -196134,6 +245168,8 @@ 0 0 0 +0 +3 3 0x5086 0x4bbbce33 @@ -196142,6 +245178,8 @@ 0 0 0 +2 +1 3 0xbca6 0xa6cea00c @@ -196151,6 +245189,8 @@ 0 0 2 +1 +2 0xf8bf 0x6b1f58a4 256 @@ -196158,6 +245198,8 @@ 0 0 0 +4 +1 3 0xe218 0x4c7c3cf0 @@ -196166,6 +245208,8 @@ 0 0 0 +3 +3 1 0x5d3 0x6ba1040a @@ -196174,6 +245218,8 @@ 1 0 0 +4 +0 3 0x18eb 0xf9d4f1e7 @@ -196182,6 +245228,8 @@ 1 1 0 +2 +2 1 0x5c00 0xe620b36b @@ -196190,6 +245238,8 @@ 0 0 0 +5 +1 3 0x2756 0x57141058 @@ -196198,6 +245248,8 @@ 0 0 0 +4 +2 3 0xe48b 0x7f6a6ec0 @@ -196206,6 +245258,8 @@ 0 0 0 +2 +4 1 0x1c60 0xbd5d037a @@ -196214,6 +245268,8 @@ 0 0 0 +0 +3 1 0xb617 0x465ec2bb @@ -196222,6 +245278,8 @@ 1 0 0 +2 +5 1 0xea6c 0x35c443c6 @@ -196231,6 +245289,8 @@ 0 0 2 +0 +2 0x13e0 0x32945004 256 @@ -196238,6 +245298,8 @@ 0 0 0 +0 +4 4 0x4b14 0xe5cd79ae @@ -196246,6 +245308,8 @@ 1 0 0 +2 +2 1 0x12f7 0x9f4e3664 @@ -196254,6 +245318,8 @@ 1 1 0 +1 +0 3 0x88d3 0xd54ba04e @@ -196262,6 +245328,8 @@ 0 0 0 +3 +2 2 0xd6f8 0xfeb4226 @@ -196271,6 +245339,8 @@ 0 0 2 +5 +2 0xf6cc 0x6d2b95fa 256 @@ -196278,6 +245348,8 @@ 0 0 0 +5 +5 1 0x5b59 0xb6cb7147 @@ -196286,6 +245358,8 @@ 0 0 0 +3 +0 2 0x2290 0xe9bc39dd @@ -196295,6 +245369,8 @@ 1 0 4 +1 +4 0x7916 0x6a5be033 256 @@ -196302,6 +245378,8 @@ 0 0 0 +5 +0 3 0xcc4c 0xbd129a89 @@ -196310,6 +245388,8 @@ 0 0 0 +2 +2 4 0xefc2 0xed891444 @@ -196318,6 +245398,8 @@ 1 1 0 +2 +3 4 0x77e3 0x1d5d83c @@ -196326,6 +245408,8 @@ 1 0 0 +5 +0 1 0x1026 0x28b03336 @@ -196334,6 +245418,8 @@ 0 0 0 +0 +2 4 0x78ba 0xf2077f6e @@ -196342,6 +245428,8 @@ 1 1 0 +5 +3 1 0x2ff5 0x2abb806f @@ -196350,6 +245438,8 @@ 1 0 0 +2 +5 4 0x3c26 0x8c1e66c7 @@ -196358,6 +245448,8 @@ 1 0 0 +1 +5 4 0x997a 0xde245c81 @@ -196366,6 +245458,8 @@ 0 0 0 +3 +2 4 0x3bd2 0x21f848d8 @@ -196375,6 +245469,8 @@ 0 0 1 +0 +1 0xcb0f 0x78a3cedf 256 @@ -196382,6 +245478,8 @@ 1 0 0 +2 +4 1 0xa875 0x9d09d3b7 @@ -196390,6 +245488,8 @@ 0 0 0 +4 +4 2 0x96b6 0xf3301687 @@ -196398,6 +245498,8 @@ 1 0 0 +3 +0 2 0x4c3b 0x4e873b9c @@ -196406,6 +245508,8 @@ 0 0 0 +5 +3 3 0x9275 0x6b63c4ea @@ -196414,6 +245518,8 @@ 1 0 0 +3 +4 2 0xf731 0x84389d51 @@ -196422,6 +245528,8 @@ 1 1 0 +4 +2 1 0x84f 0xe37b8b72 @@ -196431,6 +245539,8 @@ 0 0 4 +1 +4 0xb92c 0x82cfdfe6 256 @@ -196438,6 +245548,8 @@ 0 0 0 +0 +4 4 0x371b 0xa2fedd9 @@ -196446,6 +245558,8 @@ 0 0 0 +4 +1 2 0x92bf 0x868b7a9c @@ -196454,6 +245568,8 @@ 0 0 0 +0 +0 4 0xcf67 0xa7d097cb @@ -196462,6 +245578,8 @@ 0 0 0 +3 +3 1 0xa968 0xfe3e205b @@ -196470,6 +245588,8 @@ 0 0 0 +5 +0 2 0x3e04 0x32221b45 @@ -196478,6 +245598,8 @@ 1 1 0 +1 +1 4 0x96e1 0x68d8427d @@ -196486,6 +245608,8 @@ 0 0 0 +0 +5 1 0xea1f 0x2ef8c972 @@ -196494,6 +245618,8 @@ 1 1 0 +1 +5 3 0xc70e 0xda747967 @@ -196502,6 +245628,8 @@ 0 0 0 +4 +2 2 0x7b1f 0x809a82f @@ -196510,6 +245638,8 @@ 1 1 0 +4 +4 1 0x8a61 0x5a922834 @@ -196518,6 +245648,8 @@ 1 1 0 +0 +4 4 0x6f61 0x4c34af27 @@ -196526,6 +245658,8 @@ 1 1 0 +4 +5 1 0x4b1e 0xf4a7fa5b @@ -196534,6 +245668,8 @@ 1 1 0 +1 +4 2 0x6046 0x54678f5 @@ -196542,6 +245678,8 @@ 0 0 0 +4 +5 3 0x3d2 0xe4f2529e @@ -196550,6 +245688,8 @@ 0 0 0 +0 +1 2 0xc19 0xa18df576 @@ -196558,6 +245698,8 @@ 0 0 0 +5 +5 2 0xdccb 0x98e4c261 @@ -196566,6 +245708,8 @@ 1 0 0 +4 +3 2 0xe80c 0xbda02452 @@ -196574,6 +245718,8 @@ 0 0 0 +5 +1 4 0x802d 0xbfd072ec @@ -196582,6 +245728,8 @@ 0 0 0 +5 +5 3 0xb13d 0x103dfaee @@ -196590,6 +245738,8 @@ 0 0 0 +0 +3 2 0xd49f 0x7f595ec6 @@ -196599,6 +245749,8 @@ 1 0 1 +5 +1 0xc1db 0xf25ae883 256 @@ -196606,6 +245758,8 @@ 1 1 0 +0 +0 1 0x5248 0x4cea15f7 @@ -196614,6 +245768,8 @@ 0 0 0 +0 +0 1 0xa99b 0x2707b269 @@ -196623,6 +245779,8 @@ 0 0 3 +0 +3 0xa1a2 0x1b6ee790 256 @@ -196630,6 +245788,8 @@ 1 1 0 +1 +2 2 0xff21 0xd620230b @@ -196638,6 +245798,8 @@ 1 0 0 +4 +2 1 0x5f2f 0xdb5fb9bc @@ -196647,6 +245809,8 @@ 0 0 3 +5 +3 0x21e6 0x629d50ab 256 @@ -196654,6 +245818,8 @@ 0 0 0 +0 +3 1 0x1ae 0x3d7d9292 @@ -196662,6 +245828,8 @@ 0 0 0 +5 +3 2 0xc024 0x54465892 @@ -196670,6 +245838,8 @@ 1 1 0 +5 +5 3 0x4886 0x8bce16b @@ -196678,6 +245848,8 @@ 0 0 0 +3 +2 1 0x9573 0xf9e38a96 @@ -196686,6 +245858,8 @@ 0 0 0 +0 +4 3 0x12a 0x904bfb50 @@ -196695,6 +245869,8 @@ 0 0 1 +4 +1 0x7cdc 0xc5e10ded 256 @@ -196702,6 +245878,8 @@ 0 0 0 +2 +3 1 0x5dc5 0x3000c765 @@ -196710,6 +245888,8 @@ 1 1 0 +4 +0 3 0x8bda 0xd3d0686d @@ -196718,6 +245898,8 @@ 1 1 0 +0 +1 3 0x7b7b 0x1fb8f3f8 @@ -196726,6 +245908,8 @@ 0 0 0 +4 +2 2 0x605c 0xcedb3c5d @@ -196734,6 +245918,8 @@ 1 0 0 +2 +1 3 0x136f 0x84d79356 @@ -196742,6 +245928,8 @@ 0 0 0 +5 +3 1 0x2594 0xd73f37e4 @@ -196750,6 +245938,8 @@ 0 0 0 +5 +4 1 0xe407 0x17b8914e @@ -196759,6 +245949,8 @@ 1 0 2 +4 +2 0xbe5 0x957ffd8d 256 @@ -196766,6 +245958,8 @@ 0 0 0 +2 +0 4 0xb1ee 0x6e211e10 @@ -196774,6 +245968,8 @@ 1 1 0 +0 +4 2 0x73b1 0xdd2cde62 @@ -196782,6 +245978,8 @@ 1 0 0 +4 +0 1 0xb15d 0x94a5f8d7 @@ -196790,6 +245988,8 @@ 0 0 0 +1 +3 4 0x22aa 0x36c6e628 @@ -196798,6 +245998,8 @@ 0 0 0 +4 +3 2 0x8fab 0xc220b188 @@ -196807,6 +246009,8 @@ 0 0 2 +0 +2 0x454c 0xb1a86348 256 @@ -196814,6 +246018,8 @@ 1 1 0 +0 +5 3 0x2852 0xf0cb3da2 @@ -196822,6 +246028,8 @@ 1 0 0 +2 +1 4 0x909b 0xe9c32b69 @@ -196830,6 +246038,8 @@ 1 0 0 +0 +0 2 0x7951 0x6c6b20b3 @@ -196839,6 +246049,8 @@ 1 0 1 +4 +1 0x5f44 0x8a99a23f 256 @@ -196847,6 +246059,8 @@ 1 0 4 +4 +4 0x461e 0x2e73621f 256 @@ -196854,6 +246068,8 @@ 0 0 0 +0 +0 2 0xd640 0xd809dca6 @@ -196863,6 +246079,8 @@ 1 0 1 +1 +1 0x5549 0x4a039e65 256 @@ -196870,6 +246088,8 @@ 0 0 0 +2 +0 1 0xfb55 0x833d24ff @@ -196878,6 +246098,8 @@ 1 1 0 +2 +1 1 0x7ddf 0x1a81d9be @@ -196886,6 +246108,8 @@ 1 1 0 +4 +0 1 0xd392 0xcb30e137 @@ -196894,6 +246118,8 @@ 0 0 0 +0 +3 2 0x248b 0x70ff32b2 @@ -196902,6 +246128,8 @@ 0 0 0 +3 +2 4 0x1f33 0x4d2b9860 @@ -196910,6 +246138,8 @@ 1 1 0 +4 +3 3 0x6adf 0xbf379981 @@ -196918,6 +246148,8 @@ 1 0 0 +4 +2 2 0x4698 0x2e9a4c09 @@ -196926,6 +246158,8 @@ 0 0 0 +4 +1 3 0x220f 0x289a05ff @@ -196934,6 +246168,8 @@ 0 0 0 +0 +4 1 0x6167 0x5b04cde4 @@ -196942,6 +246178,8 @@ 1 0 0 +2 +5 3 0x33c0 0xf98df09d @@ -196951,6 +246189,8 @@ 0 0 3 +0 +3 0x8acf 0x687ff96 256 @@ -196958,6 +246198,8 @@ 1 1 0 +3 +5 1 0x1d56 0x570234f1 @@ -196966,6 +246208,8 @@ 1 0 0 +1 +2 4 0x21c2 0x1faf33c7 @@ -196974,6 +246218,8 @@ 0 0 0 +0 +5 2 0xfa3b 0x55ce7d23 @@ -196982,6 +246228,8 @@ 1 1 0 +5 +3 2 0x3dd2 0xc424533b @@ -196990,6 +246238,8 @@ 0 0 0 +0 +3 4 0xc13d 0x63474b60 @@ -196998,6 +246248,8 @@ 1 1 0 +0 +3 2 0x7019 0xab547450 @@ -197006,6 +246258,8 @@ 1 1 0 +0 +0 4 0xc57a 0xb8711b0b @@ -197015,6 +246269,8 @@ 1 0 1 +3 +1 0x3391 0x65d1d28f 256 @@ -197022,6 +246278,8 @@ 0 0 0 +3 +5 2 0x5319 0xd5453194 @@ -197030,6 +246288,8 @@ 1 1 0 +2 +2 4 0x9bda 0xc0f6fac4 @@ -197038,6 +246298,8 @@ 1 0 0 +2 +4 4 0xe35f 0xcc4ff0fd @@ -197046,6 +246308,8 @@ 0 0 0 +0 +0 3 0xb56f 0xaf8750b @@ -197054,6 +246318,8 @@ 0 0 0 +0 +3 1 0x6dfd 0x19f45425 @@ -197062,6 +246328,8 @@ 0 0 0 +4 +1 2 0x1eab 0x4e0e5ef5 @@ -197070,6 +246338,8 @@ 1 1 0 +5 +5 2 0xd344 0xc6ee05f1 @@ -197078,6 +246348,8 @@ 0 0 0 +0 +1 3 0xc19b 0x8c595a23 @@ -197086,6 +246358,8 @@ 0 0 0 +1 +2 2 0x4577 0xabbb277b @@ -197094,6 +246368,8 @@ 0 0 0 +5 +4 1 0xc8d9 0xbb199998 @@ -197102,6 +246378,8 @@ 1 0 0 +2 +3 3 0x365f 0xa7f08905 @@ -197110,6 +246388,8 @@ 1 1 0 +3 +4 1 0xe6a2 0x2dba151a @@ -197118,6 +246398,8 @@ 0 0 0 +1 +4 2 0x23b2 0x1a4fcfaf @@ -197126,6 +246408,8 @@ 1 0 0 +1 +3 2 0x8b77 0x3cdc7fa0 @@ -197134,6 +246418,8 @@ 1 1 0 +2 +4 3 0x7fa9 0x5a4d05ad @@ -197142,6 +246428,8 @@ 1 0 0 +1 +4 2 0x92e3 0xa39ced4a @@ -197150,6 +246438,8 @@ 1 1 0 +3 +5 4 0xd14e 0xfa9f06cf @@ -197158,6 +246448,8 @@ 1 0 0 +5 +4 2 0xa6b1 0xcfed2b6c @@ -197166,6 +246458,8 @@ 1 1 0 +2 +3 4 0xe418 0x9c084175 @@ -197174,6 +246468,8 @@ 0 0 0 +5 +3 3 0x4da6 0xa42b447d @@ -197182,6 +246478,8 @@ 0 0 0 +1 +0 3 0xfec 0x412caf5 @@ -197190,6 +246488,8 @@ 0 0 0 +3 +3 4 0x6eae 0x71482fd2 @@ -197198,6 +246498,8 @@ 1 0 0 +2 +0 3 0xd7c 0x239f92ef @@ -197206,6 +246508,8 @@ 0 0 0 +2 +4 1 0xc5fd 0x6524d1d7 @@ -197214,6 +246518,8 @@ 1 0 0 +3 +2 1 0xd4ba 0xeee4fd80 @@ -197222,6 +246528,8 @@ 1 0 0 +1 +1 3 0xae8c 0xdfb33a2a @@ -197230,6 +246538,8 @@ 0 0 0 +0 +0 3 0x573d 0x5c3de3d0 @@ -197238,6 +246548,8 @@ 0 0 0 +0 +1 2 0x6ab2 0x45b34b77 @@ -197246,6 +246558,8 @@ 1 1 0 +4 +4 3 0x4466 0xf51bf340 @@ -197254,6 +246568,8 @@ 1 0 0 +5 +5 3 0xa04f 0x6399a7cc @@ -197262,6 +246578,8 @@ 1 1 0 +2 +5 3 0x9c21 0xb8cf0aec @@ -197271,6 +246589,8 @@ 0 0 2 +3 +2 0xfac0 0xb60987c8 256 @@ -197278,6 +246598,8 @@ 0 0 0 +4 +1 1 0x514c 0xa14886d9 @@ -197286,6 +246608,8 @@ 1 1 0 +5 +2 4 0xef25 0xc862846c @@ -197294,6 +246618,8 @@ 0 0 0 +2 +1 3 0xc3e3 0x927a39da @@ -197302,6 +246628,8 @@ 0 0 0 +5 +4 1 0x525a 0x76333710 @@ -197310,6 +246638,8 @@ 0 0 0 +0 +1 3 0xe4b8 0x752d98f2 @@ -197318,6 +246648,8 @@ 1 0 0 +5 +2 4 0x904b 0x1222acd @@ -197326,6 +246658,8 @@ 1 0 0 +4 +2 3 0x68f3 0xdb3af11 @@ -197334,6 +246668,8 @@ 1 0 0 +5 +1 1 0xb1ee 0x8c1c057b @@ -197342,6 +246678,8 @@ 0 0 0 +4 +0 1 0x737c 0xe0bc6762 @@ -197350,6 +246688,8 @@ 0 0 0 +0 +5 2 0x66af 0x2a2aae3a @@ -197359,6 +246699,8 @@ 0 0 4 +1 +4 0x83fb 0xefae2c40 256 @@ -197367,6 +246709,8 @@ 0 0 4 +3 +4 0x7b60 0x740dffb0 256 @@ -197374,6 +246718,8 @@ 0 0 0 +1 +0 2 0x4aa6 0x76a3b938 @@ -197382,6 +246728,8 @@ 1 0 0 +4 +2 2 0x82ef 0xf3e1e95f @@ -197390,6 +246738,8 @@ 1 0 0 +0 +0 3 0x829c 0xcae4289c @@ -197398,6 +246748,8 @@ 1 1 0 +0 +5 4 0xb302 0xcc053e0b @@ -197407,6 +246759,8 @@ 0 0 4 +2 +4 0x8db1 0x5924ea3b 256 @@ -197414,6 +246768,8 @@ 1 0 0 +5 +2 4 0x1d8d 0xb0916eb0 @@ -197422,6 +246778,8 @@ 1 0 0 +2 +3 1 0xd854 0xb871b47e @@ -197430,6 +246788,8 @@ 0 0 0 +1 +3 3 0xa746 0x27a9b25e @@ -197438,6 +246798,8 @@ 1 0 0 +0 +4 3 0x1332 0xe97b2929 @@ -197446,6 +246808,8 @@ 1 0 0 +3 +3 2 0xdff5 0x88cc8939 @@ -197454,6 +246818,8 @@ 1 1 0 +4 +2 1 0xe818 0x64e01cb4 @@ -197463,6 +246829,8 @@ 0 0 1 +4 +1 0xe897 0x72f84b78 256 @@ -197471,6 +246839,8 @@ 0 0 2 +3 +2 0x1edc 0x67c69641 256 @@ -197478,6 +246848,8 @@ 0 0 0 +2 +4 1 0x1bf5 0xd92eb2f7 @@ -197487,6 +246859,8 @@ 1 0 4 +3 +4 0x5b45 0xfe121727 256 @@ -197494,6 +246868,8 @@ 0 0 0 +5 +1 3 0xcab8 0x3d9f1439 @@ -197502,6 +246878,8 @@ 1 1 0 +3 +0 1 0x7a7f 0xb47b2ec1 @@ -197510,6 +246888,8 @@ 1 1 0 +0 +0 1 0xefb5 0x3cbeba2e @@ -197518,6 +246898,8 @@ 1 1 0 +5 +5 4 0xd89c 0x87b352cd @@ -197526,6 +246908,8 @@ 0 0 0 +1 +1 2 0x7844 0xbfde80c9 @@ -197534,6 +246918,8 @@ 1 1 0 +4 +5 1 0xac9a 0x2d60d59c @@ -197542,6 +246928,8 @@ 1 1 0 +0 +1 4 0x2a80 0x2c40bdc @@ -197550,6 +246938,8 @@ 1 1 0 +2 +0 1 0xa3d 0x965b0586 @@ -197558,6 +246948,8 @@ 1 0 0 +3 +4 4 0x9c4e 0x7f929be2 @@ -197567,6 +246959,8 @@ 0 0 3 +4 +3 0x8fa3 0x15858762 256 @@ -197574,6 +246968,8 @@ 0 0 0 +2 +1 1 0x5d5a 0xadabeb5b @@ -197582,6 +246978,8 @@ 1 0 0 +2 +1 4 0x1d6a 0xb9b6a136 @@ -197590,6 +246988,8 @@ 0 0 0 +2 +1 1 0x367a 0xfda7863b @@ -197598,6 +246998,8 @@ 1 0 0 +0 +1 2 0x97cb 0x4e5b346a @@ -197606,6 +247008,8 @@ 1 0 0 +5 +0 3 0x3400 0xfc9b1c3e @@ -197614,6 +247018,8 @@ 0 0 0 +0 +3 2 0x6cc6 0xca016691 @@ -197622,6 +247028,8 @@ 0 0 0 +2 +0 4 0xe010 0xda8e45d7 @@ -197630,6 +247038,8 @@ 0 0 0 +3 +2 2 0x3597 0x60a9abaa @@ -197638,6 +247048,8 @@ 1 1 0 +4 +2 2 0xadee 0xaa7aa06d @@ -197646,6 +247058,8 @@ 1 0 0 +2 +5 4 0xf000 0x38251ac9 @@ -197654,6 +247068,8 @@ 0 0 0 +1 +4 2 0xdff9 0xf91ed50e @@ -197662,6 +247078,8 @@ 1 1 0 +0 +1 1 0x10d0 0xba622fd8 @@ -197670,6 +247088,8 @@ 1 0 0 +5 +0 2 0x53ab 0xdfd652e4 @@ -197678,6 +247098,8 @@ 1 0 0 +2 +1 1 0xcffc 0xc1a1087f @@ -197686,6 +247108,8 @@ 0 0 0 +2 +4 4 0x2026 0xc3c4ba30 @@ -197694,6 +247118,8 @@ 0 0 0 +2 +0 3 0x7116 0xdc3dd03d @@ -197703,6 +247129,8 @@ 0 0 3 +1 +3 0x64e2 0x398bb7e3 256 @@ -197710,6 +247138,8 @@ 1 0 0 +5 +4 3 0xbfcb 0x2e44d713 @@ -197718,6 +247148,8 @@ 0 0 0 +0 +3 4 0x87d6 0xe8e12f3 @@ -197726,6 +247158,8 @@ 0 0 0 +2 +0 1 0x4c30 0xdd66d192 @@ -197734,6 +247168,8 @@ 1 0 0 +1 +4 2 0xfd50 0x3df7ffe8 @@ -197742,6 +247178,8 @@ 1 1 0 +4 +2 2 0xf7bd 0x47313ce1 @@ -197751,6 +247189,8 @@ 0 0 1 +4 +1 0x9907 0xc989df85 256 @@ -197759,6 +247199,8 @@ 1 0 1 +1 +1 0x845f 0xbbb26cde 256 @@ -197766,6 +247208,8 @@ 1 1 0 +3 +0 1 0x4aa9 0x1e4c7c4a @@ -197774,6 +247218,8 @@ 0 0 0 +0 +4 4 0x7d16 0x31564d12 @@ -197782,6 +247228,8 @@ 0 0 0 +3 +1 4 0xac3d 0x95c46312 @@ -197790,6 +247238,8 @@ 1 1 0 +5 +3 3 0x9376 0xf5add62b @@ -197798,6 +247248,8 @@ 1 0 0 +0 +0 4 0xe23a 0x4e33ee9c @@ -197807,6 +247259,8 @@ 1 0 3 +4 +3 0x277f 0x83450d15 256 @@ -197814,6 +247268,8 @@ 1 0 0 +0 +3 1 0xc838 0x679d7cb5 @@ -197822,6 +247278,8 @@ 0 0 0 +3 +0 2 0xf65 0x74d72d4d @@ -197830,6 +247288,8 @@ 0 0 0 +3 +4 4 0xbcf6 0x212edcb3 @@ -197839,6 +247299,8 @@ 1 0 4 +4 +4 0x7c87 0x16134160 256 @@ -197846,6 +247308,8 @@ 1 0 0 +4 +0 2 0xa5c 0xcdac3653 @@ -197854,6 +247318,8 @@ 1 0 0 +4 +0 1 0x64b4 0xe8a290ef @@ -197863,6 +247329,8 @@ 0 0 2 +3 +2 0xa653 0x9ec7bde5 256 @@ -197870,6 +247338,8 @@ 0 0 0 +0 +5 3 0x227d 0x52e3eecf @@ -197879,6 +247349,8 @@ 1 0 4 +1 +4 0x3900 0x2226cbe4 256 @@ -197886,6 +247358,8 @@ 1 1 0 +1 +1 2 0x7afa 0x1e1715bb @@ -197895,6 +247369,8 @@ 0 0 1 +4 +1 0xb430 0xd9ed59b2 256 @@ -197902,6 +247378,8 @@ 1 0 0 +3 +3 4 0xe547 0x19831ee8 @@ -197910,6 +247388,8 @@ 0 0 0 +4 +0 1 0xfa6 0x60fcadfa @@ -197918,6 +247398,8 @@ 0 0 0 +5 +4 1 0x4ed4 0x9c24cc92 @@ -197926,6 +247408,8 @@ 1 1 0 +4 +1 3 0x3454 0xa241f061 @@ -197934,6 +247418,8 @@ 1 0 0 +5 +4 2 0xa16b 0xe133cafb @@ -197942,6 +247428,8 @@ 0 0 0 +5 +0 4 0x5a3d 0xd151f83f @@ -197950,6 +247438,8 @@ 0 0 0 +2 +4 1 0x8cc2 0x660f1306 @@ -197958,6 +247448,8 @@ 0 0 0 +1 +4 2 0xae9a 0xa9ec58dd @@ -197966,6 +247458,8 @@ 0 0 0 +1 +5 3 0x68f8 0x5feb688b @@ -197974,6 +247468,8 @@ 1 0 0 +1 +2 2 0x11ba 0xa49316ab @@ -197983,6 +247479,8 @@ 0 0 4 +5 +4 0xec7f 0x26dafdcf 256 @@ -197990,6 +247488,8 @@ 0 0 0 +0 +5 1 0x19d3 0xd9e47af8 @@ -197998,6 +247498,8 @@ 0 0 0 +5 +4 4 0xf0fe 0x80761709 @@ -198007,6 +247509,8 @@ 0 0 1 +1 +1 0x289 0x44083e02 256 @@ -198015,6 +247519,8 @@ 0 0 1 +1 +1 0x8270 0xe318b427 256 @@ -198022,6 +247528,8 @@ 1 0 0 +3 +4 1 0x7f7b 0x4042e5fe @@ -198030,6 +247538,8 @@ 1 0 0 +1 +4 2 0xf72f 0x48011a4 @@ -198039,6 +247549,8 @@ 0 0 2 +5 +2 0x6014 0x404c1882 256 @@ -198046,6 +247558,8 @@ 1 0 0 +4 +3 3 0xc24f 0x13d0bb84 @@ -198054,6 +247568,8 @@ 1 0 0 +5 +1 3 0xa571 0x79a3862e @@ -198062,6 +247578,8 @@ 1 0 0 +2 +3 1 0xff2b 0xfa190caa @@ -198070,6 +247588,8 @@ 0 0 0 +4 +3 3 0x258f 0x36ec470b @@ -198078,6 +247598,8 @@ 0 0 0 +4 +2 3 0x84eb 0x4d2fed83 @@ -198086,6 +247608,8 @@ 1 0 0 +3 +0 4 0xed01 0x78e212e3 @@ -198094,6 +247618,8 @@ 1 0 0 +0 +4 1 0x5fb 0x68ed17b8 @@ -198102,6 +247628,8 @@ 1 0 0 +2 +4 1 0x60b6 0x55c94ab7 @@ -198110,6 +247638,8 @@ 1 0 0 +0 +5 1 0xcf4a 0xafecdf62 @@ -198119,6 +247649,8 @@ 0 0 4 +2 +4 0xcaf4 0x3a79925 256 @@ -198126,6 +247658,8 @@ 0 0 0 +2 +3 4 0x8742 0x5c904595 @@ -198134,6 +247668,8 @@ 1 1 0 +0 +4 4 0xb2db 0x5bbbc060 @@ -198142,6 +247678,8 @@ 1 1 0 +5 +2 2 0x9d 0x47bb60c6 @@ -198151,6 +247689,8 @@ 0 0 4 +2 +4 0xa48f 0x233b3b8c 256 @@ -198159,6 +247699,8 @@ 0 0 2 +0 +2 0x1e83 0xc12f1a7f 256 @@ -198166,6 +247708,8 @@ 1 0 0 +1 +3 4 0xaf0e 0x5f201d7a @@ -198174,6 +247718,8 @@ 1 0 0 +3 +5 4 0xeb8f 0x3ec710d2 @@ -198182,6 +247728,8 @@ 1 1 0 +1 +5 3 0xbf0f 0x2614a91b @@ -198191,6 +247739,8 @@ 0 0 2 +1 +2 0x2b4f 0x7cc9b8d 256 @@ -198198,6 +247748,8 @@ 0 0 0 +0 +5 2 0xa6e8 0x4c0ef2aa @@ -198206,6 +247758,8 @@ 0 0 0 +1 +4 4 0xcf5 0x3e7b38aa @@ -198214,6 +247768,8 @@ 1 1 0 +4 +2 3 0x27ae 0x29f62806 @@ -198223,6 +247779,8 @@ 0 0 2 +4 +2 0x82e6 0x7962b404 256 @@ -198230,6 +247788,8 @@ 0 0 0 +2 +3 4 0xd69e 0x28db3422 @@ -198239,6 +247799,8 @@ 0 0 1 +3 +1 0x1e24 0xcd5003ff 256 @@ -198246,6 +247808,8 @@ 1 1 0 +5 +5 2 0xea5e 0xbb398d35 @@ -198254,6 +247818,8 @@ 0 0 0 +0 +0 1 0x976e 0x11331bb @@ -198262,6 +247828,8 @@ 1 0 0 +0 +3 1 0xfcc4 0x1db09919 @@ -198270,6 +247838,8 @@ 1 1 0 +0 +3 1 0xc66 0x253385e1 @@ -198278,6 +247848,8 @@ 1 1 0 +2 +0 1 0x7cc7 0x97772102 @@ -198286,6 +247858,8 @@ 1 1 0 +4 +5 1 0x1bf5 0xd90a383a @@ -198294,6 +247868,8 @@ 0 0 0 +5 +4 1 0xaa2d 0x7c240c2b @@ -198302,6 +247878,8 @@ 1 0 0 +2 +0 1 0x1767 0xe813b3c3 @@ -198310,6 +247888,8 @@ 1 1 0 +5 +2 3 0x317c 0xd7266d5a @@ -198318,6 +247898,8 @@ 1 0 0 +1 +5 4 0x9401 0x32234192 @@ -198326,6 +247908,8 @@ 0 0 0 +3 +4 4 0x8817 0x7fdcb8c8 @@ -198334,6 +247918,8 @@ 0 0 0 +1 +3 4 0x3d56 0x3275284 @@ -198342,6 +247928,8 @@ 0 0 0 +3 +1 1 0x2697 0x927c2a30 @@ -198350,6 +247938,8 @@ 1 1 0 +3 +3 2 0xd6bd 0x7ce6b491 @@ -198358,6 +247948,8 @@ 0 0 0 +5 +5 4 0xe529 0x20cf7bc5 @@ -198367,6 +247959,8 @@ 0 0 2 +1 +2 0x7b17 0x4a694b0f 256 @@ -198374,6 +247968,8 @@ 1 0 0 +5 +1 2 0x4ff8 0x1ae3b886 @@ -198382,6 +247978,8 @@ 0 0 0 +3 +3 1 0x7aaa 0xfac29307 @@ -198390,6 +247988,8 @@ 1 0 0 +5 +2 1 0xbe0c 0x2c3c1090 @@ -198398,6 +247998,8 @@ 1 0 0 +0 +1 2 0x8c6d 0xaf5b6489 @@ -198406,6 +248008,8 @@ 0 0 0 +0 +2 2 0xfa19 0x50464f56 @@ -198414,6 +248018,8 @@ 1 0 0 +4 +4 2 0x29a2 0x61cdc51c @@ -198422,6 +248028,8 @@ 1 0 0 +2 +1 3 0xc120 0xa65c6a0c @@ -198430,6 +248038,8 @@ 1 1 0 +1 +4 3 0x6e83 0xdf9b863b @@ -198438,6 +248048,8 @@ 1 0 0 +4 +5 2 0xfba3 0x6418e63e @@ -198446,6 +248058,8 @@ 1 0 0 +1 +1 3 0xbc29 0xb6af9c62 @@ -198454,6 +248068,8 @@ 1 0 0 +5 +5 2 0x49fd 0xd1ce3ceb @@ -198462,6 +248078,8 @@ 1 1 0 +1 +2 4 0x52 0x41fdfbbd @@ -198470,6 +248088,8 @@ 0 0 0 +0 +1 2 0x3cf8 0x3c95ca43 @@ -198479,6 +248099,8 @@ 0 0 2 +0 +2 0x8e7e 0x6c475e54 256 @@ -198486,6 +248108,8 @@ 1 0 0 +4 +5 3 0xd375 0x79bded50 @@ -198494,6 +248118,8 @@ 0 0 0 +3 +3 2 0x8bad 0x40ecfdb4 @@ -198502,6 +248128,8 @@ 1 0 0 +1 +0 2 0xec4 0xae42dfe1 @@ -198510,6 +248138,8 @@ 1 0 0 +0 +1 4 0x3007 0xcf3fb713 @@ -198518,6 +248148,8 @@ 0 0 0 +1 +5 3 0xb928 0xfb84657c @@ -198526,6 +248158,8 @@ 0 0 0 +0 +2 3 0xd3c9 0x95ebfc51 @@ -198535,6 +248169,8 @@ 0 0 4 +2 +4 0x9803 0x3944aef1 256 @@ -198542,6 +248178,8 @@ 0 0 0 +1 +2 3 0xa15b 0x838843e @@ -198550,6 +248188,8 @@ 0 0 0 +4 +2 2 0x308c 0x76b62695 @@ -198558,6 +248198,8 @@ 0 0 0 +5 +4 2 0xfa96 0x6c905463 @@ -198566,6 +248208,8 @@ 1 0 0 +1 +5 2 0xdf84 0x7d37b51e @@ -198574,6 +248218,8 @@ 0 0 0 +5 +2 3 0x27b3 0x2c681011 @@ -198582,6 +248228,8 @@ 1 0 0 +1 +5 3 0x6ec3 0xb9ba2443 @@ -198591,6 +248239,8 @@ 1 0 3 +1 +3 0xded0 0x21eaf9dd 256 @@ -198598,6 +248248,8 @@ 1 1 0 +2 +5 4 0x5138 0xc4eafe1a @@ -198606,6 +248258,8 @@ 0 0 0 +0 +4 2 0x6181 0xfe129f3b @@ -198614,6 +248268,8 @@ 1 1 0 +2 +4 1 0xe927 0xd0ad1b00 @@ -198622,6 +248278,8 @@ 1 1 0 +1 +3 2 0x2d82 0xa2e18524 @@ -198630,6 +248288,8 @@ 0 0 0 +4 +3 3 0x3d32 0x1777bcba @@ -198638,6 +248298,8 @@ 1 1 0 +5 +1 4 0x21d0 0x96bf6d93 @@ -198646,6 +248308,8 @@ 0 0 0 +0 +0 3 0x1527 0x3f68f28c @@ -198654,6 +248318,8 @@ 0 0 0 +1 +5 4 0x52bc 0x53eb74ce @@ -198662,6 +248328,8 @@ 0 0 0 +4 +5 2 0x34f7 0xb85f39ff @@ -198671,6 +248339,8 @@ 0 0 2 +1 +2 0xf768 0x2ccd84f9 256 @@ -198679,6 +248349,8 @@ 0 0 3 +2 +3 0x5609 0x4a250c2 256 @@ -198686,6 +248358,8 @@ 0 0 0 +0 +2 3 0x98c2 0x5dd00f5 @@ -198695,6 +248369,8 @@ 0 0 3 +5 +3 0xfd6b 0x4a6e671b 256 @@ -198702,6 +248378,8 @@ 0 0 0 +3 +5 1 0xcd22 0x22373937 @@ -198710,6 +248388,8 @@ 0 0 0 +2 +0 3 0xb0b7 0x1c10847d @@ -198719,6 +248399,8 @@ 0 0 2 +3 +2 0x212a 0xbc4485a8 256 @@ -198727,6 +248409,8 @@ 0 0 3 +2 +3 0x25dc 0x9c24552b 256 @@ -198734,6 +248418,8 @@ 1 0 0 +2 +1 1 0x53f0 0xd78bee1 @@ -198743,6 +248429,8 @@ 0 0 1 +3 +1 0xdc48 0x40185edd 256 @@ -198750,6 +248438,8 @@ 0 0 0 +2 +1 3 0x9d37 0xedf7f65a @@ -198758,6 +248448,8 @@ 0 0 0 +1 +2 2 0x3ad6 0x69f8c4dd @@ -198766,6 +248458,8 @@ 0 0 0 +0 +2 4 0xd503 0x3fb33f65 @@ -198774,6 +248468,8 @@ 1 0 0 +0 +3 4 0xd77f 0xcb9166d6 @@ -198782,6 +248478,8 @@ 0 0 0 +5 +0 2 0xb45 0x9f5c5ec1 @@ -198790,6 +248488,8 @@ 0 0 0 +1 +3 3 0x867e 0xab84f570 @@ -198798,6 +248498,8 @@ 0 0 0 +5 +1 2 0x5abc 0xfc24ae6a @@ -198806,6 +248508,8 @@ 0 0 0 +1 +1 2 0x347f 0x55467b83 @@ -198814,6 +248518,8 @@ 1 1 0 +1 +1 3 0x7eb4 0x97a663bd @@ -198822,6 +248528,8 @@ 1 1 0 +3 +2 1 0xe4ed 0xda942eaf @@ -198830,6 +248538,8 @@ 0 0 0 +0 +2 1 0x3f2c 0xc491791c @@ -198838,6 +248548,8 @@ 0 0 0 +3 +0 1 0x8aa7 0x743b81f5 @@ -198846,6 +248558,8 @@ 0 0 0 +3 +5 4 0x9772 0x47b2507b @@ -198854,6 +248568,8 @@ 0 0 0 +2 +0 3 0x9da6 0xc6301b7b @@ -198862,6 +248578,8 @@ 0 0 0 +1 +5 2 0x98a6 0x158934fa @@ -198870,6 +248588,8 @@ 1 1 0 +3 +2 2 0xc970 0xd6e0e9bb @@ -198878,6 +248598,8 @@ 1 1 0 +0 +1 3 0xc22c 0x2863fccb @@ -198886,6 +248608,8 @@ 1 0 0 +5 +2 4 0xaf1b 0x4bc52a08 @@ -198895,6 +248619,8 @@ 0 0 3 +0 +3 0xfa02 0x903cfdf2 256 @@ -198903,6 +248629,8 @@ 0 0 1 +0 +1 0x4734 0xc37b9d3d 256 @@ -198910,6 +248638,8 @@ 0 0 0 +3 +3 2 0x92c7 0x62777c0a @@ -198918,6 +248648,8 @@ 0 0 0 +5 +1 1 0x7b86 0xabee320c @@ -198926,6 +248658,8 @@ 0 0 0 +5 +1 3 0x2e12 0x3837b0b4 @@ -198934,6 +248668,8 @@ 0 0 0 +3 +2 1 0xc1a6 0xad6d30aa @@ -198942,6 +248678,8 @@ 1 0 0 +0 +5 4 0xcfa6 0x64503857 @@ -198950,6 +248688,8 @@ 0 0 0 +5 +4 2 0x584b 0x1b90b3ba @@ -198958,6 +248698,8 @@ 0 0 0 +1 +0 3 0xe8d9 0x210cc734 @@ -198966,6 +248708,8 @@ 1 0 0 +4 +5 2 0xf368 0x7d188ca4 @@ -198974,6 +248718,8 @@ 0 0 0 +4 +3 3 0x98cc 0x92bd1329 @@ -198982,6 +248728,8 @@ 1 0 0 +3 +5 1 0x3ac3 0xa86afee3 @@ -198990,6 +248738,8 @@ 1 0 0 +4 +4 1 0x7afd 0xc0ad78f4 @@ -198998,6 +248748,8 @@ 0 0 0 +5 +2 2 0xaecc 0x572085f6 @@ -199006,6 +248758,8 @@ 1 1 0 +2 +1 4 0xb9b7 0x8dc2a0de @@ -199014,6 +248768,8 @@ 0 0 0 +4 +4 3 0x9569 0xc329469 @@ -199023,6 +248779,8 @@ 0 0 2 +0 +2 0xac6a 0xf050449b 256 @@ -199030,6 +248788,8 @@ 0 0 0 +3 +1 2 0xb8ce 0xc733609f @@ -199038,6 +248798,8 @@ 1 1 0 +4 +5 1 0xa722 0x58d86c87 @@ -199046,6 +248808,8 @@ 1 0 0 +0 +5 4 0x18f2 0xd204a35b @@ -199054,6 +248818,8 @@ 1 1 0 +3 +3 1 0xe785 0x82d9fb2 @@ -199062,6 +248828,8 @@ 1 0 0 +0 +0 1 0xbd03 0x6b6669de @@ -199070,6 +248838,8 @@ 0 0 0 +4 +4 3 0xc691 0x522a5ea1 @@ -199078,6 +248848,8 @@ 0 0 0 +0 +3 3 0x6b8f 0x14a69d42 @@ -199086,6 +248858,8 @@ 0 0 0 +3 +5 2 0xd9f7 0xe1e8e8c6 @@ -199094,6 +248868,8 @@ 1 0 0 +1 +3 2 0x101 0x3f925ddc @@ -199102,6 +248878,8 @@ 0 0 0 +5 +2 4 0xc7b3 0xeef6f870 @@ -199110,6 +248888,8 @@ 1 0 0 +2 +4 4 0xb58e 0x1e264642 @@ -199119,6 +248899,8 @@ 0 0 2 +1 +2 0x2c98 0x7c03c944 256 @@ -199126,6 +248908,8 @@ 1 0 0 +4 +4 1 0x9de5 0x53762aa9 @@ -199134,6 +248918,8 @@ 1 0 0 +0 +0 2 0xe6dc 0xefa35b8 @@ -199142,6 +248928,8 @@ 0 0 0 +0 +5 1 0xcca4 0x504531c8 @@ -199150,6 +248938,8 @@ 0 0 0 +2 +2 4 0x16d6 0xc1f9ea64 @@ -199158,6 +248948,8 @@ 1 1 0 +5 +4 1 0x6cf5 0xfea4967c @@ -199166,6 +248958,8 @@ 1 1 0 +2 +3 4 0x5577 0xba2ad392 @@ -199174,6 +248968,8 @@ 0 0 0 +0 +0 1 0x46b8 0xecc7ea40 @@ -199182,6 +248978,8 @@ 0 0 0 +1 +2 2 0x49f1 0x2652438f @@ -199191,6 +248989,8 @@ 0 0 2 +2 +2 0xcac1 0xa5776c40 256 @@ -199198,6 +248998,8 @@ 0 0 0 +2 +1 4 0x8c78 0x14dede6b @@ -199207,6 +249009,8 @@ 0 0 4 +4 +4 0x8ffa 0x9c742076 256 @@ -199214,6 +249018,8 @@ 1 1 0 +2 +3 3 0x11bf 0x755dfee @@ -199222,6 +249028,8 @@ 1 1 0 +5 +0 3 0x56ba 0x2872113b @@ -199231,6 +249039,8 @@ 0 0 3 +0 +3 0x1d37 0xedd99246 256 @@ -199238,6 +249048,8 @@ 0 0 0 +5 +2 3 0x9b71 0x6e87b4c0 @@ -199246,6 +249058,8 @@ 1 0 0 +5 +1 4 0xd383 0x31fd39d3 @@ -199254,6 +249068,8 @@ 0 0 0 +4 +0 2 0xfe22 0x4bdef3ee @@ -199262,6 +249078,8 @@ 1 0 0 +2 +1 3 0x212a 0x2cd8f7c5 @@ -199270,6 +249088,8 @@ 0 0 0 +0 +1 1 0xf5ca 0x6c6dd5a2 @@ -199278,6 +249098,8 @@ 0 0 0 +5 +5 4 0xc950 0xa75fb5e3 @@ -199286,6 +249108,8 @@ 0 0 0 +3 +3 1 0xd8ac 0xfbb30d6d @@ -199294,6 +249118,8 @@ 1 0 0 +4 +0 1 0xd4ff 0x83ab8ff3 @@ -199302,6 +249128,8 @@ 1 1 0 +4 +5 3 0x6827 0x39c3f67b @@ -199311,6 +249139,8 @@ 0 0 3 +3 +3 0xe55c 0x95f835cd 256 @@ -199318,6 +249148,8 @@ 0 0 0 +3 +4 2 0x6aef 0x20945c09 @@ -199326,6 +249158,8 @@ 0 0 0 +5 +5 2 0x6481 0xf36a740 @@ -199334,6 +249168,8 @@ 1 0 0 +0 +4 1 0x636 0xcb83fc09 @@ -199342,6 +249178,8 @@ 1 0 0 +0 +2 1 0x1f3d 0x2f10ac42 @@ -199350,6 +249188,8 @@ 1 0 0 +5 +0 3 0x5e61 0xc5a3e617 @@ -199358,6 +249198,8 @@ 1 0 0 +4 +4 1 0x8504 0x1f450571 @@ -199366,6 +249208,8 @@ 1 1 0 +4 +2 1 0x7bd1 0x6b43ef82 @@ -199375,6 +249219,8 @@ 0 0 1 +1 +1 0x1ceb 0xe893e76f 256 @@ -199382,6 +249228,8 @@ 0 0 0 +0 +2 4 0x9975 0x2c1a93a3 @@ -199390,6 +249238,8 @@ 1 0 0 +3 +4 4 0x512 0x395c49eb @@ -199398,6 +249248,8 @@ 1 1 0 +0 +5 3 0x521c 0xada87366 @@ -199406,6 +249258,8 @@ 0 0 0 +5 +0 3 0xb73 0x33a56dd5 @@ -199414,6 +249268,8 @@ 0 0 0 +3 +5 1 0xf15c 0xb0d95bfe @@ -199423,6 +249279,8 @@ 0 0 4 +1 +4 0xb4e1 0xb62337bf 256 @@ -199430,6 +249288,8 @@ 0 0 0 +2 +0 3 0x114b 0xb3d89764 @@ -199438,6 +249298,8 @@ 0 0 0 +3 +4 2 0xf9d3 0x790cacee @@ -199446,6 +249308,8 @@ 0 0 0 +2 +4 3 0x93e2 0xd7287fd @@ -199454,6 +249318,8 @@ 0 0 0 +5 +0 2 0x59b9 0xdcb2737d @@ -199462,6 +249328,8 @@ 0 0 0 +2 +5 3 0x686c 0xa3c040ea @@ -199470,6 +249338,8 @@ 1 0 0 +3 +2 4 0x5860 0xf24dcfba @@ -199478,6 +249348,8 @@ 0 0 0 +5 +3 1 0xd875 0x5336b208 @@ -199486,6 +249358,8 @@ 0 0 0 +3 +4 4 0xf7ad 0x746bb06 @@ -199494,6 +249368,8 @@ 1 1 0 +0 +0 4 0x43bc 0x6a8fd2ff @@ -199502,6 +249378,8 @@ 0 0 0 +0 +3 4 0x771c 0x58ed7914 @@ -199510,6 +249388,8 @@ 0 0 0 +0 +1 4 0x2d63 0xbc0e44ab @@ -199518,6 +249398,8 @@ 0 0 0 +1 +5 2 0xe24a 0xf48a5f39 @@ -199527,6 +249409,8 @@ 0 0 4 +5 +4 0x61b6 0x3096aa8c 256 @@ -199534,6 +249418,8 @@ 1 1 0 +5 +5 4 0xb8fb 0xbb555a28 @@ -199542,6 +249428,8 @@ 0 0 0 +4 +0 1 0x29b7 0xa447f5f6 @@ -199550,6 +249438,8 @@ 1 0 0 +1 +4 3 0x1d9d 0xad815775 @@ -199558,6 +249448,8 @@ 1 1 0 +3 +1 1 0x783d 0x30bd5c5f @@ -199566,6 +249458,8 @@ 0 0 0 +2 +0 1 0x4b20 0x444ea56a @@ -199575,6 +249469,8 @@ 1 0 1 +5 +1 0x6f6c 0xba3af7bf 256 @@ -199583,6 +249479,8 @@ 0 0 4 +0 +4 0x5dde 0xf246ccf2 256 @@ -199590,6 +249488,8 @@ 0 0 0 +1 +3 4 0x5e20 0x4a7de821 @@ -199598,6 +249498,8 @@ 0 0 0 +3 +5 1 0xe9e7 0x33dec08b @@ -199606,6 +249508,8 @@ 1 1 0 +2 +2 4 0x913d 0xa0bd7d0e @@ -199614,6 +249518,8 @@ 1 1 0 +1 +2 4 0xab32 0x17f14920 @@ -199622,6 +249528,8 @@ 0 0 0 +5 +4 2 0xb36c 0x929f7250 @@ -199630,6 +249538,8 @@ 1 0 0 +2 +4 3 0xacd5 0xe80ac8e1 @@ -199638,6 +249548,8 @@ 1 1 0 +1 +4 3 0xfd5a 0x370e6009 @@ -199647,6 +249559,8 @@ 0 0 1 +1 +1 0x7473 0x2c54b30c 256 @@ -199654,6 +249568,8 @@ 0 0 0 +5 +4 2 0x9cf5 0x4627d12 @@ -199662,6 +249578,8 @@ 1 1 0 +3 +3 1 0x1754 0xdf8f5f8b @@ -199671,6 +249589,8 @@ 0 0 2 +3 +2 0xdccd 0xccfa2325 256 @@ -199678,6 +249598,8 @@ 1 1 0 +1 +5 3 0xc54d 0xbbe6f844 @@ -199686,6 +249608,8 @@ 1 1 0 +0 +0 2 0x5647 0x76d640ec @@ -199694,7 +249618,9 @@ 0 0 0 -1 +0 +0 +1 0x8905 0x3424fa1f 256 @@ -199702,6 +249628,8 @@ 1 0 0 +0 +4 1 0x33c0 0x6ef830e4 @@ -199710,6 +249638,8 @@ 0 0 0 +4 +1 3 0xefcb 0xa70ca821 @@ -199718,6 +249648,8 @@ 0 0 0 +2 +4 1 0x423 0xa7325343 @@ -199727,6 +249659,8 @@ 0 0 2 +0 +2 0xafc5 0x84641a6a 256 @@ -199734,6 +249668,8 @@ 1 1 0 +3 +0 1 0x3be2 0x70292850 @@ -199742,6 +249678,8 @@ 0 0 0 +3 +2 2 0x527b 0x577ee7ff @@ -199751,6 +249689,8 @@ 0 0 1 +2 +1 0x7f0f 0x8a4f30ea 256 @@ -199759,6 +249699,8 @@ 0 0 4 +4 +4 0x5758 0x8bab131e 256 @@ -199767,6 +249709,8 @@ 0 0 1 +1 +1 0x13de 0x2d810cb7 256 @@ -199774,6 +249718,8 @@ 0 0 0 +5 +4 3 0x8698 0xe266557e @@ -199782,6 +249728,8 @@ 0 0 0 +0 +0 4 0x920b 0x12449ba3 @@ -199790,6 +249738,8 @@ 0 0 0 +0 +4 3 0x27c2 0x34497bcc @@ -199798,6 +249748,8 @@ 0 0 0 +2 +0 1 0x7655 0x6e2453e4 @@ -199806,6 +249758,8 @@ 0 0 0 +2 +4 1 0x9818 0xc877b904 @@ -199814,6 +249768,8 @@ 0 0 0 +1 +3 2 0x5ec4 0xc07c8f60 @@ -199822,6 +249778,8 @@ 1 1 0 +5 +2 1 0x8bb2 0xb3fd9a21 @@ -199831,6 +249789,8 @@ 0 0 1 +4 +1 0xaf45 0xf5c2d8bd 256 @@ -199838,6 +249798,8 @@ 0 0 0 +1 +0 3 0x3d98 0xe0b3ecca @@ -199846,6 +249808,8 @@ 1 0 0 +4 +3 3 0x9223 0x995600ce @@ -199854,6 +249818,8 @@ 0 0 0 +0 +0 3 0x161b 0xbf35e8c9 @@ -199862,6 +249828,8 @@ 1 0 0 +2 +3 1 0xc101 0x99d3bf99 @@ -199870,6 +249838,8 @@ 1 0 0 +4 +1 3 0x8eba 0x19f860ef @@ -199878,6 +249848,8 @@ 0 0 0 +0 +0 4 0xa360 0x52abfb2e @@ -199886,6 +249858,8 @@ 1 1 0 +0 +3 4 0x99a 0xad794b35 @@ -199894,6 +249868,8 @@ 0 0 0 +2 +2 1 0xfe6 0xb7d15017 @@ -199902,6 +249878,8 @@ 1 0 0 +0 +4 3 0xdf16 0x488d29e8 @@ -199911,6 +249889,8 @@ 0 0 2 +1 +2 0x55cb 0x319480d2 256 @@ -199919,6 +249899,8 @@ 1 0 2 +4 +2 0xc358 0x617eabdf 256 @@ -199927,6 +249909,8 @@ 0 0 1 +5 +1 0xd32d 0x681d2bb4 256 @@ -199934,6 +249918,8 @@ 0 0 0 +3 +5 1 0xe996 0x48a3e292 @@ -199942,6 +249928,8 @@ 0 0 0 +0 +3 4 0x2d86 0x1181d68a @@ -199950,6 +249938,8 @@ 1 0 0 +0 +3 4 0xed1 0xe853caa0 @@ -199958,6 +249948,8 @@ 1 0 0 +2 +2 3 0x8c29 0x62833da6 @@ -199966,6 +249958,8 @@ 1 1 0 +5 +4 3 0x91f7 0x377abf98 @@ -199975,6 +249969,8 @@ 0 0 1 +5 +1 0x43a4 0xb950d3de 256 @@ -199982,6 +249978,8 @@ 0 0 0 +1 +0 3 0xb6b7 0x66350d08 @@ -199990,6 +249988,8 @@ 1 1 0 +3 +1 2 0xc8a9 0x3eb29b4d @@ -199998,6 +249998,8 @@ 0 0 0 +0 +0 4 0x4fc6 0xdb0e4127 @@ -200006,6 +250008,8 @@ 1 1 0 +4 +1 1 0x304a 0x3e6d5d40 @@ -200014,6 +250018,8 @@ 1 0 0 +0 +3 3 0xab0f 0x798d894d @@ -200023,6 +250029,8 @@ 0 0 2 +4 +2 0x29a2 0xb4e1669c 256 @@ -200030,6 +250038,8 @@ 0 0 0 +5 +5 3 0xce04 0x935c1d2e @@ -200038,6 +250048,8 @@ 0 0 0 +1 +3 4 0xb161 0x85342d27 @@ -200046,6 +250058,8 @@ 1 0 0 +1 +5 3 0x38ae 0xc1e6fb48 @@ -200054,6 +250068,8 @@ 1 0 0 +0 +1 3 0xa36e 0x945c3590 @@ -200062,6 +250078,8 @@ 0 0 0 +4 +5 3 0xffa0 0x88ed51f6 @@ -200070,6 +250088,8 @@ 1 1 0 +3 +0 1 0xcdf1 0xab75816e @@ -200078,6 +250098,8 @@ 0 0 0 +5 +0 2 0x7ef9 0x5f6fe0c9 @@ -200086,6 +250108,8 @@ 0 0 0 +0 +3 4 0xe200 0x360c9955 @@ -200095,6 +250119,8 @@ 1 0 2 +4 +2 0x6451 0x4855e274 256 @@ -200103,6 +250129,8 @@ 0 0 2 +4 +2 0x338a 0xec58fefe 256 @@ -200110,6 +250138,8 @@ 1 0 0 +2 +4 3 0x312f 0x45ecd447 @@ -200118,6 +250148,8 @@ 1 0 0 +0 +5 1 0x16a5 0x4fc9f703 @@ -200126,6 +250158,8 @@ 0 0 0 +3 +5 1 0x5d7a 0xcdd7a9c @@ -200134,6 +250168,8 @@ 1 1 0 +3 +2 2 0xac75 0xeab525ff @@ -200142,6 +250178,8 @@ 0 0 0 +4 +3 1 0x1f7d 0x63b1a9d5 @@ -200150,6 +250188,8 @@ 1 0 0 +3 +4 4 0x3478 0x70d35dd0 @@ -200158,6 +250198,8 @@ 0 0 0 +4 +0 2 0xf674 0xf9ba02cc @@ -200166,6 +250208,8 @@ 1 1 0 +4 +3 3 0xb2dc 0xfa35abb3 @@ -200174,6 +250218,8 @@ 1 1 0 +1 +5 3 0x369c 0xa83fda7e @@ -200182,6 +250228,8 @@ 1 0 0 +1 +4 2 0x7752 0x823ecf6a @@ -200190,6 +250238,8 @@ 1 0 0 +1 +1 2 0xc1ed 0xb7b96fcf @@ -200199,6 +250249,8 @@ 0 0 2 +3 +2 0x3f94 0xb5a17525 256 @@ -200207,6 +250259,8 @@ 0 0 4 +5 +4 0x33b0 0x84887ca0 256 @@ -200214,6 +250268,8 @@ 0 0 0 +1 +5 3 0x6a6e 0x1895b9ad @@ -200222,6 +250278,8 @@ 0 0 0 +2 +0 3 0xf64 0x868fe6f8 @@ -200230,6 +250288,8 @@ 1 0 0 +4 +0 2 0x8c15 0x2efa139 @@ -200238,6 +250298,8 @@ 0 0 0 +5 +3 1 0x8c8b 0x689292ac @@ -200246,6 +250308,8 @@ 0 0 0 +5 +5 2 0x3bc2 0xdda1420c @@ -200254,6 +250318,8 @@ 0 0 0 +2 +5 1 0x4f13 0x50f5c4f7 @@ -200262,6 +250328,8 @@ 0 0 0 +1 +4 3 0x6dfb 0xf4667738 @@ -200270,6 +250338,8 @@ 0 0 0 +2 +1 3 0x1a31 0x32fc1a88 @@ -200278,6 +250348,8 @@ 0 0 0 +2 +1 1 0x88f9 0x1bb2c907 @@ -200287,6 +250359,8 @@ 0 0 3 +0 +3 0x69f1 0xc568b57d 256 @@ -200294,6 +250368,8 @@ 1 0 0 +0 +3 3 0x71fc 0x9877d1fa @@ -200302,6 +250378,8 @@ 0 0 0 +0 +1 4 0x474d 0x3191d7af @@ -200310,6 +250388,8 @@ 1 1 0 +0 +2 4 0xa05f 0x521fcaac @@ -200318,6 +250398,8 @@ 0 0 0 +2 +3 1 0xbe68 0x18035355 @@ -200326,6 +250408,8 @@ 1 1 0 +1 +2 3 0x8d88 0xc8247ce1 @@ -200334,6 +250418,8 @@ 1 0 0 +5 +4 1 0xd95f 0xb55f9d66 @@ -200343,6 +250429,8 @@ 0 0 1 +3 +1 0xa631 0xa8070b09 256 @@ -200350,6 +250438,8 @@ 1 1 0 +4 +2 3 0x6445 0x3055b6df @@ -200358,6 +250448,8 @@ 1 0 0 +2 +4 4 0x53b1 0x86031edf @@ -200366,6 +250458,8 @@ 0 0 0 +0 +3 3 0x80b6 0xfa105bbd @@ -200374,6 +250468,8 @@ 1 0 0 +3 +2 4 0xe9a2 0x1d76d22b @@ -200382,6 +250478,8 @@ 1 0 0 +4 +0 2 0x9452 0x1b4e805c @@ -200390,6 +250488,8 @@ 0 0 0 +4 +5 3 0x5903 0xcdee1a87 @@ -200399,6 +250499,8 @@ 0 0 4 +1 +4 0x9a09 0x395b0cc3 256 @@ -200406,6 +250508,8 @@ 0 0 0 +2 +1 3 0x34e1 0x434ab8b7 @@ -200415,6 +250519,8 @@ 0 0 1 +3 +1 0xb6e7 0x9e120e4a 256 @@ -200422,6 +250528,8 @@ 0 0 0 +0 +4 4 0xef09 0xe9297ac5 @@ -200430,6 +250538,8 @@ 0 0 0 +5 +3 3 0xdcec 0x38d129de @@ -200438,6 +250548,8 @@ 1 0 0 +4 +4 1 0xeb7f 0xe6baf7f4 @@ -200446,6 +250558,8 @@ 1 1 0 +0 +1 1 0xe8d2 0x2ec1bd0 @@ -200454,6 +250568,8 @@ 0 0 0 +4 +1 1 0xc5e3 0xe9752ea4 @@ -200462,6 +250578,8 @@ 0 0 0 +5 +5 2 0xe414 0xf8ad0ddb @@ -200470,6 +250588,8 @@ 1 0 0 +2 +3 3 0x1881 0x877b46fc @@ -200478,6 +250598,8 @@ 0 0 0 +1 +3 2 0x2526 0xe68d00b @@ -200487,6 +250609,8 @@ 1 0 3 +2 +3 0x8026 0xa8571364 256 @@ -200495,6 +250619,8 @@ 0 0 4 +1 +4 0x8ec 0x18c75dbe 256 @@ -200502,6 +250628,8 @@ 0 0 0 +0 +0 4 0x140 0x6daabc25 @@ -200510,6 +250638,8 @@ 1 1 0 +0 +3 4 0xd357 0xf5579a8c @@ -200518,6 +250648,8 @@ 1 0 0 +0 +2 3 0xb363 0x13724532 @@ -200526,6 +250658,8 @@ 0 0 0 +0 +4 3 0x432b 0xb95e95f9 @@ -200534,6 +250668,8 @@ 0 0 0 +4 +5 1 0xa79f 0x4dae8086 @@ -200542,6 +250678,8 @@ 0 0 0 +3 +1 2 0x6ff7 0xcfab6aa7 @@ -200551,6 +250689,8 @@ 1 0 1 +1 +1 0x5b39 0xa50b6321 256 @@ -200558,6 +250698,8 @@ 0 0 0 +4 +0 1 0x9285 0x9f08ec4e @@ -200566,6 +250708,8 @@ 1 1 0 +1 +0 2 0x6ba0 0x711e6296 @@ -200574,6 +250718,8 @@ 0 0 0 +2 +4 1 0xe1d5 0xe41d0009 @@ -200583,6 +250729,8 @@ 0 0 4 +1 +4 0x4734 0x80f28af0 256 @@ -200590,6 +250738,8 @@ 0 0 0 +3 +0 4 0x2f48 0xb45ae5ee @@ -200599,6 +250749,8 @@ 0 0 3 +3 +3 0x6281 0x8b9b2c8b 256 @@ -200606,6 +250758,8 @@ 0 0 0 +2 +5 4 0x171f 0x5e8f0707 @@ -200614,6 +250768,8 @@ 1 0 0 +0 +0 2 0x5006 0xce6cf12b @@ -200623,6 +250779,8 @@ 0 0 4 +5 +4 0xedfd 0xd7012d33 256 @@ -200630,6 +250788,8 @@ 1 1 0 +5 +1 1 0x831e 0xf6cf51eb @@ -200638,6 +250798,8 @@ 0 0 0 +1 +5 4 0x7d70 0xdf89317a @@ -200646,6 +250808,8 @@ 1 1 0 +3 +3 1 0x3fda 0xc1757326 @@ -200654,6 +250818,8 @@ 0 0 0 +0 +5 3 0x944c 0xc978193b @@ -200662,6 +250828,8 @@ 0 0 0 +2 +5 3 0xed1 0x713bf63f @@ -200670,6 +250838,8 @@ 0 0 0 +1 +2 2 0x75c7 0x59bc2e24 @@ -200679,6 +250849,8 @@ 0 0 2 +5 +2 0xa431 0x8a326d0c 256 @@ -200687,6 +250859,8 @@ 0 0 3 +3 +3 0x8c9b 0xb33391af 256 @@ -200694,6 +250868,8 @@ 1 0 0 +5 +5 4 0x1911 0x5382d97 @@ -200702,6 +250878,8 @@ 0 0 0 +2 +3 3 0x398e 0x4193a632 @@ -200710,6 +250888,8 @@ 1 1 0 +5 +5 2 0x18f8 0xd4a646b9 @@ -200719,6 +250899,8 @@ 0 0 3 +3 +3 0xa638 0x68adbfde 256 @@ -200727,6 +250909,8 @@ 0 0 1 +5 +1 0x9b7f 0x887d4979 256 @@ -200734,6 +250918,8 @@ 0 0 0 +1 +4 4 0xf6d5 0x13b77812 @@ -200742,6 +250928,8 @@ 1 1 0 +2 +2 3 0xe8dc 0x9103b500 @@ -200750,6 +250938,8 @@ 0 0 0 +4 +4 1 0xbb9e 0x55e86c5c @@ -200758,6 +250948,8 @@ 0 0 0 +0 +4 3 0x6cf3 0xb15250ef @@ -200766,6 +250958,8 @@ 1 0 0 +5 +5 1 0xe7d7 0x79ffe0c9 @@ -200775,6 +250969,8 @@ 1 0 4 +5 +4 0xd744 0xd2913c66 256 @@ -200782,6 +250978,8 @@ 1 1 0 +2 +1 4 0x4275 0x53f12f64 @@ -200790,6 +250988,8 @@ 1 1 0 +3 +3 2 0x2b60 0xad677912 @@ -200798,6 +250998,8 @@ 0 0 0 +0 +4 4 0xc58d 0x6f50a712 @@ -200806,6 +251008,8 @@ 0 0 0 +0 +1 2 0x5307 0xac8b8e56 @@ -200814,6 +251018,8 @@ 0 0 0 +3 +4 2 0xd71b 0xa2b6b2c1 @@ -200822,6 +251028,8 @@ 0 0 0 +4 +3 3 0x508a 0x8db9ca84 @@ -200830,6 +251038,8 @@ 1 1 0 +5 +1 4 0x64cd 0xfd5db50f @@ -200838,6 +251048,8 @@ 1 1 0 +2 +3 4 0x339d 0x3b6286f4 @@ -200846,6 +251058,8 @@ 1 0 0 +4 +2 2 0xfd6d 0xbf1b7865 @@ -200854,6 +251068,8 @@ 0 0 0 +5 +0 1 0xa395 0x74eeeaf @@ -200862,6 +251078,8 @@ 0 0 0 +0 +2 2 0xddfb 0xab6e9fde @@ -200870,6 +251088,8 @@ 1 0 0 +5 +2 3 0xc83b 0x6922a0d0 @@ -200878,6 +251098,8 @@ 1 1 0 +3 +4 2 0xb472 0xe441569d @@ -200886,6 +251108,8 @@ 0 0 0 +4 +5 2 0x3d80 0xe24f55bd @@ -200894,6 +251118,8 @@ 0 0 0 +0 +2 1 0x3fe5 0xa503f0d6 @@ -200902,6 +251128,8 @@ 1 1 0 +1 +0 4 0x1389 0x9a88d236 @@ -200910,6 +251138,8 @@ 0 0 0 +3 +4 1 0x592f 0xd4aa1e69 @@ -200918,6 +251148,8 @@ 0 0 0 +5 +0 1 0x796b 0x36a5ab64 @@ -200927,6 +251159,8 @@ 0 0 1 +0 +1 0xb89a 0x67406152 256 @@ -200934,6 +251168,8 @@ 0 0 0 +0 +3 3 0x76e8 0xa55f96d5 @@ -200942,6 +251178,8 @@ 0 0 0 +1 +5 4 0x5f89 0x1a00ecd9 @@ -200950,6 +251188,8 @@ 1 1 0 +1 +4 2 0x5df8 0x5528a290 @@ -200958,6 +251198,8 @@ 1 1 0 +2 +2 1 0xa70d 0xa0e2c609 @@ -200967,6 +251209,8 @@ 0 0 2 +3 +2 0x2b73 0x2338b2f2 256 @@ -200974,6 +251218,8 @@ 0 0 0 +0 +4 3 0x4593 0xaecd5c63 @@ -200983,6 +251229,8 @@ 1 0 3 +3 +3 0xf4fc 0xb1d38025 256 @@ -200990,6 +251238,8 @@ 1 1 0 +2 +2 1 0x48e5 0x23df2bc2 @@ -200998,6 +251248,8 @@ 1 0 0 +5 +2 3 0x226f 0x6407a170 @@ -201006,6 +251258,8 @@ 0 0 0 +0 +2 1 0xb229 0xd4cc7219 @@ -201015,6 +251269,8 @@ 0 0 2 +4 +2 0xe5d2 0x943ab4b2 256 @@ -201023,6 +251279,8 @@ 0 0 3 +3 +3 0x7bd 0x662e4351 256 @@ -201030,6 +251288,8 @@ 1 1 0 +2 +0 3 0x2ad 0xa929ce49 @@ -201038,6 +251298,8 @@ 1 0 0 +2 +3 1 0x22f6 0xeea6a889 @@ -201046,6 +251308,8 @@ 1 0 0 +3 +1 4 0x9ae7 0x44764efe @@ -201054,6 +251318,8 @@ 1 0 0 +5 +0 3 0x4dca 0x49e93b28 @@ -201062,6 +251328,8 @@ 1 0 0 +0 +4 2 0xa545 0x42afcffb @@ -201070,6 +251338,8 @@ 1 1 0 +4 +0 2 0x58c7 0xdd76d629 @@ -201078,6 +251348,8 @@ 1 1 0 +3 +4 2 0x7d0b 0xb26c9728 @@ -201086,6 +251358,8 @@ 0 0 0 +3 +1 4 0x121 0xe0b24f74 @@ -201094,6 +251368,8 @@ 1 0 0 +0 +4 1 0x9631 0x24021898 @@ -201102,6 +251378,8 @@ 0 0 0 +4 +3 1 0xe13a 0x95bc58f2 @@ -201110,6 +251388,8 @@ 0 0 0 +3 +2 2 0xd1fd 0x24e9fcf3 @@ -201118,6 +251398,8 @@ 0 0 0 +5 +1 1 0xe00f 0xeb99c07e @@ -201126,6 +251408,8 @@ 1 1 0 +5 +0 1 0xe93e 0xcc7494b4 @@ -201134,6 +251418,8 @@ 1 0 0 +5 +4 2 0xbf41 0xbf95ffcb @@ -201142,6 +251428,8 @@ 1 1 0 +1 +3 2 0x21b2 0x9f5bf5bc @@ -201150,6 +251438,8 @@ 1 0 0 +0 +2 1 0x4d8e 0x34da50b1 @@ -201159,6 +251449,8 @@ 1 0 1 +4 +1 0xbbc8 0x761bcc3a 256 @@ -201166,6 +251458,8 @@ 0 0 0 +3 +5 1 0x32db 0x17b922e5 @@ -201175,6 +251469,8 @@ 0 0 3 +5 +3 0xec3f 0xbc6e0c04 256 @@ -201183,6 +251479,8 @@ 0 0 1 +3 +1 0xc5c0 0xdfceacc4 256 @@ -201190,6 +251488,8 @@ 0 0 0 +2 +5 4 0x64c6 0x5c49c7dc @@ -201199,6 +251499,8 @@ 0 0 4 +4 +4 0x2ce5 0x6c0bd212 256 @@ -201206,6 +251508,8 @@ 1 1 0 +2 +3 3 0xa148 0x5e85e8bf @@ -201214,6 +251518,8 @@ 0 0 0 +4 +0 3 0x1ad7 0x30bcbbc0 @@ -201222,6 +251528,8 @@ 0 0 0 +5 +1 3 0x4268 0x28a3c6fe @@ -201230,6 +251538,8 @@ 1 1 0 +0 +2 2 0xa32f 0x328a6b7b @@ -201239,6 +251549,8 @@ 0 0 3 +3 +3 0x25e0 0x696c97a0 256 @@ -201246,6 +251558,8 @@ 1 1 0 +3 +2 1 0xb1c 0xc4bda566 @@ -201254,6 +251568,8 @@ 1 0 0 +5 +1 1 0xc7a0 0x6d9ca949 @@ -201262,6 +251578,8 @@ 1 1 0 +4 +5 3 0x4d3d 0x325d692 @@ -201270,6 +251588,8 @@ 0 0 0 +3 +0 1 0x2be8 0x4407e4ed @@ -201278,6 +251598,8 @@ 1 1 0 +3 +4 1 0x392a 0x90755c4b @@ -201287,6 +251609,8 @@ 0 0 2 +4 +2 0xecbe 0x380c8a48 256 @@ -201294,6 +251618,8 @@ 0 0 0 +3 +3 2 0x8cf9 0xf3fab1e8 @@ -201302,6 +251628,8 @@ 1 0 0 +4 +0 1 0xc259 0x7d7f0ed6 @@ -201310,6 +251638,8 @@ 1 0 0 +0 +1 3 0xcded 0x98886de2 @@ -201319,6 +251649,8 @@ 1 0 4 +3 +4 0x81ab 0xb17b706c 256 @@ -201327,6 +251659,8 @@ 1 0 4 +4 +4 0xb14c 0xa85463ac 256 @@ -201334,6 +251668,8 @@ 1 1 0 +5 +5 1 0xc79f 0xc0c0e860 @@ -201342,6 +251678,8 @@ 1 1 0 +5 +1 4 0xc2d5 0x842934db @@ -201350,6 +251688,8 @@ 1 1 0 +0 +5 3 0xa996 0x515f40b2 @@ -201358,6 +251698,8 @@ 1 1 0 +1 +2 3 0x7c2 0xb7a4e71b @@ -201366,6 +251708,8 @@ 0 0 0 +0 +0 3 0x2709 0x4f1c6f89 @@ -201374,6 +251718,8 @@ 0 0 0 +2 +1 3 0xc531 0x2ee3d6ff @@ -201382,6 +251728,8 @@ 1 1 0 +0 +3 4 0xb886 0x5068c8b1 @@ -201390,6 +251738,8 @@ 0 0 0 +4 +2 1 0xcd41 0xacfaa388 @@ -201398,6 +251748,8 @@ 0 0 0 +2 +4 3 0xb5c7 0x777a8c66 @@ -201406,6 +251758,8 @@ 1 1 0 +5 +2 4 0x5721 0x2c3dc61 @@ -201414,6 +251768,8 @@ 1 1 0 +2 +2 3 0x7f20 0x9c87c093 @@ -201422,6 +251778,8 @@ 0 0 0 +2 +0 4 0x30fd 0x47240c6c @@ -201430,6 +251788,8 @@ 1 0 0 +2 +1 4 0x8896 0xa2e3501c @@ -201439,6 +251799,8 @@ 1 0 1 +3 +1 0xf6f7 0xb1902066 256 @@ -201446,6 +251808,8 @@ 1 1 0 +1 +5 3 0x2d8a 0xce29fc43 @@ -201454,6 +251818,8 @@ 1 1 0 +1 +0 4 0x2393 0x136dabb0 @@ -201462,6 +251828,8 @@ 0 0 0 +3 +5 1 0x605a 0x6d5acc1f @@ -201470,6 +251838,8 @@ 0 0 0 +0 +2 4 0x6b0f 0x58b6685e @@ -201478,6 +251848,8 @@ 0 0 0 +1 +0 2 0xdd52 0x21034e7a @@ -201486,6 +251858,8 @@ 1 0 0 +4 +5 3 0xbc8b 0xb9818ef2 @@ -201494,6 +251868,8 @@ 0 0 0 +2 +4 3 0xc7d 0x21c5c8bd @@ -201502,6 +251878,8 @@ 1 0 0 +5 +0 4 0x21ab 0xac0922b3 @@ -201510,6 +251888,8 @@ 1 0 0 +0 +4 4 0x79c5 0xd6c2dad9 @@ -201518,6 +251898,8 @@ 0 0 0 +0 +1 3 0x2cd6 0xc84ff140 @@ -201526,6 +251908,8 @@ 0 0 0 +1 +2 4 0xb26c 0x265f62a2 @@ -201534,6 +251918,8 @@ 1 0 0 +5 +2 1 0x3401 0x1f23d665 @@ -201543,6 +251929,8 @@ 0 0 4 +4 +4 0x818d 0x4292f225 256 @@ -201551,6 +251939,8 @@ 0 0 4 +3 +4 0xccc3 0xd3916fdb 256 @@ -201558,6 +251948,8 @@ 1 0 0 +3 +1 1 0x7412 0xf047114a @@ -201566,6 +251958,8 @@ 1 1 0 +1 +4 4 0x1f63 0xf2c8feb7 @@ -201574,6 +251968,8 @@ 0 0 0 +2 +2 3 0x3ceb 0x89c4c194 @@ -201582,6 +251978,8 @@ 0 0 0 +0 +1 2 0x116f 0x52bf3899 @@ -201590,6 +251988,8 @@ 0 0 0 +1 +2 3 0x6e40 0x7010f287 @@ -201598,6 +251998,8 @@ 1 1 0 +0 +5 1 0x1567 0x47441f05 @@ -201606,6 +252008,8 @@ 0 0 0 +5 +0 1 0x6099 0xbc885c58 @@ -201614,6 +252018,8 @@ 0 0 0 +5 +4 4 0x57d9 0xc2aab5b6 @@ -201622,6 +252028,8 @@ 1 0 0 +5 +2 3 0xd63c 0x1f686222 @@ -201630,6 +252038,8 @@ 1 0 0 +4 +4 3 0xc25c 0x34343a37 @@ -201639,6 +252049,8 @@ 1 0 4 +5 +4 0x6e31 0x5a8eba94 256 @@ -201646,6 +252058,8 @@ 0 0 0 +5 +5 2 0x7473 0xd400e29c @@ -201654,6 +252068,8 @@ 1 1 0 +1 +5 3 0x91a9 0x22fe44aa @@ -201662,6 +252078,8 @@ 0 0 0 +5 +1 2 0x1bda 0x9de3e2f9 @@ -201670,6 +252088,8 @@ 0 0 0 +0 +3 1 0x4c82 0xdd860888 @@ -201678,6 +252098,8 @@ 0 0 0 +3 +0 2 0x6c20 0x69dba9f4 @@ -201686,6 +252108,8 @@ 0 0 0 +5 +2 4 0x29a5 0x4d0f690e @@ -201694,6 +252118,8 @@ 1 0 0 +5 +0 4 0xd83d 0x9fc432e0 @@ -201702,6 +252128,8 @@ 0 0 0 +1 +5 3 0x5bbb 0x163bec10 @@ -201710,6 +252138,8 @@ 1 1 0 +2 +5 3 0x5e30 0x213f51bf @@ -201718,6 +252148,8 @@ 1 0 0 +4 +2 2 0x40c8 0xc794afc9 @@ -201726,6 +252158,8 @@ 0 0 0 +1 +5 3 0x30a9 0x44c1eb13 @@ -201734,6 +252168,8 @@ 1 1 0 +3 +2 4 0x7c3c 0x399fc93a @@ -201742,6 +252178,8 @@ 1 0 0 +5 +1 2 0x1c02 0xd675b57e @@ -201750,6 +252188,8 @@ 1 1 0 +0 +4 4 0x6220 0x97a396d7 @@ -201758,6 +252198,8 @@ 1 1 0 +5 +5 2 0xfb73 0x5c1210a8 @@ -201766,6 +252208,8 @@ 0 0 0 +4 +0 3 0x7224 0xf5a8076a @@ -201774,6 +252218,8 @@ 0 0 0 +2 +0 4 0xe78a 0x7cf5dcec @@ -201782,6 +252228,8 @@ 0 0 0 +3 +4 2 0xa436 0x91e09af @@ -201790,6 +252238,8 @@ 0 0 0 +1 +2 3 0x799d 0x95adc9fd @@ -201799,6 +252249,8 @@ 0 0 4 +2 +4 0xd989 0x5d4afbdb 256 @@ -201807,6 +252259,8 @@ 0 0 3 +4 +3 0x2dca 0x2f81d7da 256 @@ -201814,6 +252268,8 @@ 0 0 0 +4 +1 1 0xf7b2 0x58d28a7 @@ -201822,6 +252278,8 @@ 0 0 0 +1 +3 3 0xc54b 0x82aa0427 @@ -201830,6 +252288,8 @@ 1 0 0 +2 +5 1 0xa039 0x5e9bce99 @@ -201838,6 +252298,8 @@ 1 1 0 +4 +4 3 0x53a9 0xbe3a4abc @@ -201846,6 +252308,8 @@ 1 0 0 +0 +4 2 0x63b 0x468a6325 @@ -201854,6 +252318,8 @@ 0 0 0 +3 +4 2 0x2998 0xf92ee024 @@ -201863,6 +252329,8 @@ 0 0 3 +5 +3 0x6c7a 0x2dec1aa2 256 @@ -201870,6 +252338,8 @@ 0 0 0 +1 +5 3 0x5696 0x11cb461f @@ -201878,6 +252348,8 @@ 0 0 0 +5 +1 4 0x5618 0xee0c0b31 @@ -201886,6 +252358,8 @@ 0 0 0 +5 +4 4 0x5e81 0x3f3b0843 @@ -201894,6 +252368,8 @@ 0 0 0 +5 +4 1 0xb875 0x4c27468 @@ -201902,6 +252378,8 @@ 1 1 0 +0 +2 4 0x6359 0xe1833e43 @@ -201911,6 +252389,8 @@ 1 0 4 +1 +4 0x976a 0xe43159f 256 @@ -201918,6 +252398,8 @@ 0 0 0 +2 +3 3 0x56f6 0x783e9960 @@ -201926,6 +252408,8 @@ 1 1 0 +1 +4 4 0x5836 0xdd9e1341 @@ -201935,6 +252419,8 @@ 0 0 1 +3 +1 0x2c71 0x31857ffe 256 @@ -201942,6 +252428,8 @@ 0 0 0 +3 +3 2 0x3338 0x6606d639 @@ -201951,6 +252439,8 @@ 1 0 1 +2 +1 0xcc16 0xfc62874a 256 @@ -201958,6 +252448,8 @@ 1 0 0 +4 +1 2 0x6b1c 0xb81562ff @@ -201966,6 +252458,8 @@ 0 0 0 +1 +0 3 0x1c07 0x8a243e3c @@ -201974,6 +252468,8 @@ 0 0 0 +3 +5 4 0x14da 0xbfbdf690 @@ -201982,6 +252478,8 @@ 1 1 0 +5 +4 3 0x1632 0xc8535950 @@ -201991,6 +252489,8 @@ 0 0 3 +4 +3 0xe5b9 0x26e0a47e 256 @@ -201998,6 +252498,8 @@ 1 1 0 +0 +2 3 0xc138 0x321d579a @@ -202006,6 +252508,8 @@ 0 0 0 +5 +0 2 0x97f1 0x48c5dccd @@ -202014,6 +252518,8 @@ 0 0 0 +2 +0 3 0x60f4 0x86caf9a @@ -202022,6 +252528,8 @@ 0 0 0 +0 +5 3 0x577f 0x1388e8bf @@ -202030,6 +252538,8 @@ 0 0 0 +0 +5 1 0xd181 0xd7ca193a @@ -202039,6 +252549,8 @@ 0 0 2 +2 +2 0xca3 0xb3963ffa 256 @@ -202046,6 +252558,8 @@ 0 0 0 +5 +4 2 0x48d3 0x67f5a38f @@ -202055,6 +252569,8 @@ 1 0 1 +1 +1 0xb85c 0x64490f25 256 @@ -202063,6 +252579,8 @@ 0 0 4 +5 +4 0x558c 0xe1f40f2c 256 @@ -202070,6 +252588,8 @@ 1 1 0 +3 +5 1 0x69d9 0x926a22de @@ -202078,6 +252598,8 @@ 1 0 0 +1 +5 3 0x2bf6 0xdd26b6a8 @@ -202086,6 +252608,8 @@ 1 1 0 +2 +0 3 0xe7b9 0xe36a27c6 @@ -202095,6 +252619,8 @@ 0 0 2 +4 +2 0xda46 0xc470ad7 256 @@ -202102,6 +252628,8 @@ 1 0 0 +5 +4 4 0x825b 0x44f28c1f @@ -202110,6 +252638,8 @@ 1 1 0 +5 +3 2 0xe2e3 0xfc397def @@ -202118,6 +252648,8 @@ 0 0 0 +2 +5 3 0xbee8 0xc06bed1 @@ -202127,6 +252659,8 @@ 0 0 4 +1 +4 0x79d4 0x55f288c4 256 @@ -202134,6 +252668,8 @@ 1 0 0 +3 +2 1 0x7050 0xf396af9b @@ -202142,6 +252678,8 @@ 1 0 0 +0 +1 1 0x66a9 0xa51f64fc @@ -202150,6 +252688,8 @@ 1 1 0 +2 +1 1 0xa2e0 0x5f524f6e @@ -202159,6 +252699,8 @@ 0 0 2 +0 +2 0x5420 0x59acaddd 256 @@ -202166,6 +252708,8 @@ 1 1 0 +3 +1 2 0x7806 0xb5a43ea9 @@ -202174,6 +252718,8 @@ 0 0 0 +4 +0 2 0xa5d6 0x687e0aee @@ -202182,6 +252728,8 @@ 0 0 0 +4 +1 1 0x35a9 0x5d341a9e @@ -202190,6 +252738,8 @@ 0 0 0 +3 +4 4 0x98d5 0x8e571557 @@ -202198,6 +252748,8 @@ 0 0 0 +0 +2 3 0x12de 0x71a2dd70 @@ -202206,6 +252758,8 @@ 0 0 0 +3 +0 1 0xcc85 0xfc6587e1 @@ -202214,6 +252768,8 @@ 1 0 0 +3 +4 2 0x5ee7 0x500de0d4 @@ -202223,6 +252779,8 @@ 0 0 1 +4 +1 0xef56 0x7a2eb7d2 256 @@ -202230,6 +252788,8 @@ 0 0 0 +5 +5 1 0x3521 0xcf9a2a1f @@ -202238,6 +252798,8 @@ 0 0 0 +3 +4 1 0x17af 0x529e9b29 @@ -202246,6 +252808,8 @@ 1 0 0 +0 +3 4 0xe595 0x56875d35 @@ -202254,6 +252818,8 @@ 1 1 0 +4 +4 1 0xc1ef 0x60395145 @@ -202262,6 +252828,8 @@ 1 0 0 +4 +5 2 0x2f4b 0x9c3234a6 @@ -202270,6 +252838,8 @@ 0 0 0 +5 +4 2 0xea70 0x13291312 @@ -202279,6 +252849,8 @@ 0 0 1 +4 +1 0x7eb7 0x7f8d3fde 256 @@ -202286,6 +252858,8 @@ 1 0 0 +4 +0 2 0xf6be 0x9f962ebb @@ -202294,6 +252868,8 @@ 1 1 0 +2 +0 4 0xc398 0xabdde737 @@ -202302,6 +252878,8 @@ 0 0 0 +1 +4 4 0x6487 0xdf22e41a @@ -202310,6 +252888,8 @@ 1 1 0 +5 +1 4 0xe33e 0x183e6e6e @@ -202318,6 +252898,8 @@ 1 1 0 +0 +3 2 0xd715 0xba219a64 @@ -202326,6 +252908,8 @@ 1 1 0 +1 +1 4 0x6495 0xa0af2e49 @@ -202335,6 +252919,8 @@ 0 0 1 +1 +1 0x80cb 0x9bfe8f86 256 @@ -202342,6 +252928,8 @@ 1 1 0 +4 +5 1 0x396e 0xf56febae @@ -202351,6 +252939,8 @@ 0 0 3 +4 +3 0xb33 0x847a36f2 256 @@ -202358,6 +252948,8 @@ 1 1 0 +2 +5 3 0xea90 0xd9ed6df4 @@ -202366,6 +252958,8 @@ 1 1 0 +2 +4 3 0xed6b 0xe2b67992 @@ -202374,6 +252968,8 @@ 0 0 0 +2 +4 3 0xf301 0xb28577fd @@ -202382,6 +252978,8 @@ 1 1 0 +4 +4 2 0x3fb3 0x770233b0 @@ -202390,6 +252988,8 @@ 0 0 0 +3 +4 4 0xa989 0x69816e04 @@ -202398,6 +252998,8 @@ 0 0 0 +5 +3 2 0xcae6 0x83e993c5 @@ -202406,6 +253008,8 @@ 1 0 0 +1 +1 2 0x8ee1 0x62b7203a @@ -202414,6 +253018,8 @@ 0 0 0 +0 +3 3 0xdadc 0xfef56b26 @@ -202422,6 +253028,8 @@ 1 0 0 +2 +4 1 0xab41 0x638af748 @@ -202431,6 +253039,8 @@ 0 0 3 +2 +3 0x336e 0xdafd9fc5 256 @@ -202438,6 +253048,8 @@ 0 0 0 +4 +5 1 0x9ad6 0x364381e @@ -202446,6 +253058,8 @@ 0 0 0 +2 +2 3 0x1dd6 0x8135619e @@ -202454,6 +253068,8 @@ 1 1 0 +1 +5 2 0x6bcb 0xc82dc73e @@ -202462,6 +253078,8 @@ 1 0 0 +1 +1 4 0x1b98 0x5cbdda8e @@ -202470,6 +253088,8 @@ 1 1 0 +0 +3 4 0x975 0x621c9982 @@ -202479,6 +253099,8 @@ 0 0 1 +3 +1 0x3898 0xbd31367f 256 @@ -202487,6 +253109,8 @@ 0 0 3 +4 +3 0xfc20 0x3e765a16 256 @@ -202494,6 +253118,8 @@ 1 1 0 +4 +1 1 0x2721 0x3149cfc6 @@ -202502,6 +253128,8 @@ 1 1 0 +4 +1 1 0x6568 0xdb34b14b @@ -202510,6 +253138,8 @@ 1 1 0 +5 +2 2 0xe45f 0x90a572bb @@ -202518,6 +253148,8 @@ 0 0 0 +4 +5 2 0xcbfc 0x9d5d737 @@ -202526,6 +253158,8 @@ 1 1 0 +0 +1 3 0x1b52 0xc361c286 @@ -202535,6 +253169,8 @@ 0 0 1 +0 +1 0xb186 0x3341afc 256 @@ -202542,6 +253178,8 @@ 0 0 0 +2 +0 1 0x84d1 0x94b1c956 @@ -202550,6 +253188,8 @@ 1 0 0 +0 +2 1 0x546 0xcf29e248 @@ -202558,6 +253198,8 @@ 1 1 0 +2 +0 3 0x8de7 0x6eadcb95 @@ -202566,6 +253208,8 @@ 0 0 0 +4 +1 2 0x3591 0x9b4cf8ba @@ -202574,6 +253218,8 @@ 0 0 0 +4 +3 2 0x7483 0xcade2ea3 @@ -202582,6 +253228,8 @@ 0 0 0 +0 +4 2 0x1dcd 0xfb42e594 @@ -202590,6 +253238,8 @@ 1 0 0 +3 +5 4 0x30e0 0xd15dc74f @@ -202599,6 +253249,8 @@ 0 0 3 +2 +3 0xd2ac 0xb4b11553 256 @@ -202606,6 +253258,8 @@ 1 1 0 +2 +1 3 0xa049 0x30d9f60c @@ -202614,6 +253268,8 @@ 1 0 0 +0 +5 1 0x23b6 0x995db791 @@ -202622,6 +253278,8 @@ 0 0 0 +1 +4 3 0xd398 0xc41671ed @@ -202630,6 +253288,8 @@ 1 1 0 +4 +5 1 0xcc3d 0xfd0e65b8 @@ -202638,6 +253298,8 @@ 0 0 0 +5 +5 4 0x9dbd 0x403c5d27 @@ -202646,6 +253308,8 @@ 0 0 0 +3 +1 1 0x9025 0xa69229fc @@ -202654,6 +253318,8 @@ 0 0 0 +3 +3 2 0x9a29 0x62528006 @@ -202663,6 +253329,8 @@ 0 0 1 +3 +1 0x81cc 0x36da6ce8 256 @@ -202670,6 +253338,8 @@ 1 1 0 +0 +3 3 0x7518 0x375bdc8e @@ -202678,6 +253348,8 @@ 1 0 0 +5 +4 3 0x5d6c 0x61d5d875 @@ -202687,6 +253359,8 @@ 0 0 3 +3 +3 0xec0 0xcbaed077 256 @@ -202695,6 +253369,8 @@ 1 0 4 +5 +4 0xdc 0xa36deec9 256 @@ -202702,6 +253378,8 @@ 0 0 0 +1 +5 2 0x87cb 0x409d836b @@ -202710,6 +253388,8 @@ 1 0 0 +2 +1 1 0x684a 0xaeeabe6d @@ -202718,6 +253398,8 @@ 1 0 0 +4 +1 3 0x8c5b 0xb1f02ce8 @@ -202726,6 +253408,8 @@ 1 0 0 +4 +4 1 0x263f 0x4d406765 @@ -202734,6 +253418,8 @@ 0 0 0 +4 +2 2 0x55fd 0x3183f40d @@ -202742,6 +253428,8 @@ 0 0 0 +1 +5 2 0xe18c 0xf6a31edb @@ -202750,6 +253438,8 @@ 1 1 0 +5 +5 3 0xddc5 0x294549e6 @@ -202758,6 +253448,8 @@ 0 0 0 +3 +5 2 0x7a12 0xd9bffb04 @@ -202766,6 +253458,8 @@ 0 0 0 +3 +3 4 0x9e9a 0xaab4e764 @@ -202774,6 +253468,8 @@ 0 0 0 +2 +3 3 0x1320 0x22dcb838 @@ -202782,6 +253478,8 @@ 1 1 0 +5 +2 3 0x42f1 0xda9d01b6 @@ -202790,6 +253488,8 @@ 1 0 0 +1 +1 4 0xb93f 0x539b3362 @@ -202798,6 +253498,8 @@ 0 0 0 +5 +5 2 0xa29c 0x254895eb @@ -202807,6 +253509,8 @@ 0 0 1 +5 +1 0x9b97 0xc1e0e635 256 @@ -202814,6 +253518,8 @@ 0 0 0 +5 +2 2 0x1baf 0x9b4c9bdf @@ -202822,6 +253528,8 @@ 1 0 0 +0 +3 1 0x7c42 0x19ef5a1 @@ -202830,6 +253538,8 @@ 1 0 0 +1 +3 2 0xb73f 0xc9c67e4a @@ -202839,6 +253549,8 @@ 1 0 2 +5 +2 0x4b38 0x99c8d8b6 256 @@ -202847,6 +253559,8 @@ 0 0 2 +4 +2 0x8e48 0x41743015 256 @@ -202854,6 +253568,8 @@ 0 0 0 +4 +1 2 0x2bea 0xb05ef70a @@ -202862,6 +253578,8 @@ 1 1 0 +2 +2 3 0xc9c9 0x89d01f15 @@ -202870,6 +253588,8 @@ 0 0 0 +0 +1 2 0x1e9 0xad434719 @@ -202878,6 +253598,8 @@ 0 0 0 +1 +1 2 0x3524 0x1030b8b6 @@ -202886,6 +253608,8 @@ 1 0 0 +1 +0 4 0xb206 0x9df3a316 @@ -202894,6 +253618,8 @@ 0 0 0 +2 +1 3 0x6935 0xc26bf34 @@ -202902,6 +253628,8 @@ 0 0 0 +4 +3 1 0x3bef 0xcfeffece @@ -202910,6 +253638,8 @@ 0 0 0 +0 +2 2 0x6477 0xda0d5aa9 @@ -202918,6 +253648,8 @@ 1 1 0 +4 +5 2 0x1149 0x6403b9f0 @@ -202927,6 +253659,8 @@ 1 0 1 +3 +1 0xbf7e 0x25668998 256 @@ -202934,6 +253668,8 @@ 1 0 0 +0 +0 4 0xaa27 0x6d71dbe8 @@ -202942,6 +253678,8 @@ 1 1 0 +0 +3 1 0x89a9 0x381dd253 @@ -202950,6 +253688,8 @@ 1 0 0 +5 +3 1 0xef9a 0x3715089e @@ -202958,6 +253698,8 @@ 1 1 0 +0 +1 4 0x10e9 0x83561d01 @@ -202966,6 +253708,8 @@ 0 0 0 +5 +2 2 0xa374 0x3ccfad14 @@ -202974,6 +253718,8 @@ 1 1 0 +3 +5 2 0xb394 0x5aef9db7 @@ -202982,6 +253728,8 @@ 0 0 0 +1 +1 4 0xdcca 0xe3aec09e @@ -202990,6 +253738,8 @@ 0 0 0 +3 +0 4 0x92ce 0x43bedfef @@ -202998,6 +253748,8 @@ 0 0 0 +2 +4 3 0x5ef4 0x6a12d47e @@ -203006,6 +253758,8 @@ 1 1 0 +5 +2 4 0x160 0xe2dcbef8 @@ -203014,6 +253768,8 @@ 1 1 0 +2 +5 4 0x25 0x7064285c @@ -203023,6 +253779,8 @@ 0 0 4 +0 +4 0xcc83 0x7544a61 256 @@ -203030,6 +253788,8 @@ 0 0 0 +0 +4 1 0xb3d9 0xdf25664f @@ -203038,6 +253798,8 @@ 0 0 0 +0 +2 3 0x2c81 0x541846d4 @@ -203046,6 +253808,8 @@ 1 1 0 +1 +5 3 0x5940 0x84e772b9 @@ -203054,6 +253818,8 @@ 1 0 0 +0 +3 2 0x62ad 0xd89c9a74 @@ -203062,6 +253828,8 @@ 0 0 0 +1 +0 4 0xbdd9 0xdf601fe4 @@ -203070,6 +253838,8 @@ 0 0 0 +4 +4 3 0xfa8 0x6c27d31e @@ -203078,6 +253848,8 @@ 0 0 0 +2 +3 1 0xe78a 0x2b41fdb5 @@ -203086,6 +253858,8 @@ 0 0 0 +4 +0 3 0x5d4e 0x8eb26b53 @@ -203094,6 +253868,8 @@ 1 0 0 +3 +5 1 0xc6d0 0x38f5506e @@ -203102,6 +253878,8 @@ 0 0 0 +3 +0 1 0xf281 0x759bff3 @@ -203110,6 +253888,8 @@ 1 0 0 +2 +4 1 0x60d1 0xab3fc2e7 @@ -203119,6 +253899,8 @@ 0 0 2 +4 +2 0xd6b 0xb70647a7 256 @@ -203126,6 +253908,8 @@ 1 1 0 +4 +3 1 0x9e4d 0x784a668d @@ -203134,6 +253918,8 @@ 0 0 0 +4 +2 2 0xa355 0xfe1c6bd0 @@ -203142,6 +253928,8 @@ 0 0 0 +1 +0 2 0x770f 0xa9ca95ee @@ -203150,6 +253938,8 @@ 0 0 0 +3 +3 4 0xa095 0xb0fd04d5 @@ -203158,6 +253948,8 @@ 1 0 0 +3 +1 1 0x5ef4 0x229b6eaf @@ -203166,6 +253958,8 @@ 1 1 0 +2 +5 3 0xe337 0x6a2bbd95 @@ -203175,6 +253969,8 @@ 0 0 1 +2 +1 0x9a6e 0x3a070fb5 256 @@ -203182,6 +253978,8 @@ 0 0 0 +2 +2 1 0xa7b9 0x3b28ca26 @@ -203190,6 +253988,8 @@ 0 0 0 +5 +0 4 0xc936 0x705302f2 @@ -203198,6 +253998,8 @@ 1 0 0 +0 +4 3 0x15aa 0x66214031 @@ -203206,6 +254008,8 @@ 0 0 0 +3 +1 2 0x75b6 0x177d2887 @@ -203214,6 +254018,8 @@ 0 0 0 +0 +5 4 0xe057 0x8f43e91e @@ -203222,6 +254028,8 @@ 0 0 0 +2 +5 4 0x472d 0xf2d1a4c6 @@ -203230,6 +254038,8 @@ 1 1 0 +0 +4 4 0x82ed 0xe588d54f @@ -203238,6 +254048,8 @@ 0 0 0 +5 +4 1 0xe953 0xda37a87 @@ -203246,6 +254058,8 @@ 0 0 0 +3 +2 1 0x8131 0x1d00d806 @@ -203254,6 +254068,8 @@ 0 0 0 +0 +3 1 0x3d14 0xade5eef @@ -203262,6 +254078,8 @@ 0 0 0 +0 +1 1 0x6160 0xf073fa90 @@ -203270,6 +254088,8 @@ 1 0 0 +2 +3 1 0x6516 0x9f80e9e8 @@ -203278,6 +254098,8 @@ 1 1 0 +1 +0 4 0x8ad6 0x39ada0ef @@ -203286,6 +254108,8 @@ 1 1 0 +5 +0 3 0x6477 0x6e57e04a @@ -203295,6 +254119,8 @@ 0 0 1 +1 +1 0x6cd3 0xf3513318 256 @@ -203302,6 +254128,8 @@ 1 1 0 +2 +0 1 0xb094 0xb588e5c5 @@ -203310,6 +254138,8 @@ 0 0 0 +4 +2 2 0xda08 0xedcf0932 @@ -203318,6 +254148,8 @@ 1 0 0 +2 +5 4 0x37ce 0x645a07a2 @@ -203326,6 +254158,8 @@ 0 0 0 +2 +0 3 0x3cd9 0xa3c9cb3a @@ -203335,6 +254169,8 @@ 0 0 3 +1 +3 0xc0c4 0xefead301 256 @@ -203342,6 +254178,8 @@ 0 0 0 +1 +1 2 0x8653 0x40a77324 @@ -203350,6 +254188,8 @@ 0 0 0 +0 +5 1 0x7352 0xfc430b5a @@ -203358,6 +254198,8 @@ 1 0 0 +2 +0 4 0xf763 0xf0c88739 @@ -203366,6 +254208,8 @@ 0 0 0 +0 +0 2 0xa7ae 0x57e475b4 @@ -203374,6 +254218,8 @@ 1 1 0 +1 +0 3 0x3e1d 0x739894d @@ -203382,6 +254228,8 @@ 1 0 0 +5 +3 3 0x54ee 0x663b9bf5 @@ -203390,6 +254238,8 @@ 0 0 0 +3 +3 2 0xd2b3 0xe779f550 @@ -203398,6 +254248,8 @@ 0 0 0 +4 +4 1 0x6229 0x3c60102d @@ -203406,6 +254258,8 @@ 0 0 0 +4 +2 2 0xea41 0x298064d0 @@ -203414,6 +254268,8 @@ 1 1 0 +5 +2 4 0x1b3f 0x68e002e1 @@ -203422,6 +254278,8 @@ 0 0 0 +0 +2 2 0xc0d8 0x33f351ac @@ -203430,6 +254288,8 @@ 1 1 0 +5 +3 1 0x20d5 0xd0498e7 @@ -203438,6 +254298,8 @@ 0 0 0 +2 +5 3 0x6dc 0x4d9ef991 @@ -203446,6 +254308,8 @@ 1 1 0 +0 +0 3 0xf042 0x60229348 @@ -203454,6 +254318,8 @@ 0 0 0 +5 +4 4 0x5cdb 0xcc7436d5 @@ -203462,6 +254328,8 @@ 1 1 0 +0 +0 3 0x1c9 0x240b2fe5 @@ -203470,6 +254338,8 @@ 1 0 0 +3 +5 2 0x851c 0x6249d866 @@ -203478,6 +254348,8 @@ 0 0 0 +5 +4 4 0x29b0 0xe2e70830 @@ -203486,6 +254358,8 @@ 0 0 0 +0 +0 1 0x1ee5 0xa835fa39 @@ -203494,6 +254368,8 @@ 0 0 0 +5 +0 1 0xd719 0x27e2ee1b @@ -203502,6 +254378,8 @@ 1 1 0 +2 +0 1 0x5f07 0xe6773139 @@ -203510,6 +254388,8 @@ 0 0 0 +4 +5 1 0x6a62 0x84adf45c @@ -203518,6 +254398,8 @@ 1 0 0 +1 +0 2 0x617d 0x10328a9c @@ -203526,6 +254408,8 @@ 1 0 0 +0 +1 1 0xe648 0x6a1bc847 @@ -203534,6 +254418,8 @@ 0 0 0 +0 +5 4 0x54c3 0x7438c456 @@ -203542,6 +254428,8 @@ 1 1 0 +5 +0 2 0xc72 0x3c9e79fa @@ -203550,6 +254438,8 @@ 0 0 0 +5 +2 2 0x4608 0xd3181c6f @@ -203558,6 +254448,8 @@ 1 1 0 +3 +1 2 0xf5d9 0xbe61a373 @@ -203566,6 +254458,8 @@ 1 0 0 +1 +4 4 0xcc2e 0x20474773 @@ -203575,6 +254469,8 @@ 0 0 4 +0 +4 0xdd9e 0x5f7208d0 256 @@ -203582,6 +254478,8 @@ 1 0 0 +4 +0 3 0x65 0x3631d459 @@ -203591,6 +254489,8 @@ 1 0 1 +0 +1 0x218b 0xa7a5a323 256 @@ -203598,6 +254498,8 @@ 0 0 0 +0 +3 3 0xa6c0 0x7dae2d69 @@ -203606,6 +254508,8 @@ 0 0 0 +1 +3 3 0x9758 0xe7ee51cd @@ -203614,6 +254518,8 @@ 1 1 0 +5 +5 2 0x1208 0x80096159 @@ -203622,6 +254528,8 @@ 1 1 0 +0 +4 3 0x7d0a 0x355e4f08 @@ -203630,6 +254538,8 @@ 1 0 0 +3 +3 1 0x2ead 0x4ba22613 @@ -203638,6 +254548,8 @@ 1 0 0 +3 +3 4 0x18b3 0x3497dbde @@ -203646,6 +254558,8 @@ 0 0 0 +3 +1 4 0x8530 0x49a7c75d @@ -203655,6 +254569,8 @@ 0 0 1 +2 +1 0x4538 0x9d7a6ac5 256 @@ -203662,6 +254578,8 @@ 1 0 0 +5 +3 4 0xcd3d 0xb56cd54e @@ -203670,6 +254588,8 @@ 0 0 0 +3 +5 1 0x4fd3 0xcd5eeeae @@ -203678,6 +254598,8 @@ 1 1 0 +4 +5 1 0xc387 0xdc7bf7fd @@ -203686,6 +254608,8 @@ 1 0 0 +2 +2 3 0xd120 0xb3e6f4fb @@ -203694,6 +254618,8 @@ 0 0 0 +4 +3 3 0x8ec0 0xa2ef1b7d @@ -203702,6 +254628,8 @@ 0 0 0 +0 +4 3 0x6cc3 0x3b017ea2 @@ -203710,6 +254638,8 @@ 0 0 0 +0 +5 4 0x95a2 0xcdcfa51d @@ -203718,6 +254648,8 @@ 0 0 0 +2 +2 1 0x9870 0x8c563717 @@ -203727,6 +254659,8 @@ 0 0 3 +2 +3 0x2624 0x3bcfacc9 256 @@ -203734,6 +254668,8 @@ 0 0 0 +3 +2 2 0x9b3a 0xedd70429 @@ -203742,6 +254678,8 @@ 0 0 0 +1 +3 3 0x5f9a 0xaedbd2f8 @@ -203751,6 +254689,8 @@ 0 0 1 +2 +1 0xfd5b 0xde541797 256 @@ -203758,6 +254698,8 @@ 1 0 0 +2 +4 1 0xc997 0xc4dd7090 @@ -203766,6 +254708,8 @@ 1 0 0 +4 +0 1 0x55dd 0x5bc6948c @@ -203774,6 +254718,8 @@ 1 1 0 +0 +2 4 0x7fbd 0xa7dcb336 @@ -203782,6 +254728,8 @@ 1 1 0 +1 +1 2 0xd252 0x7a06a373 @@ -203790,6 +254738,8 @@ 0 0 0 +2 +3 4 0xd14 0xd99a8482 @@ -203799,6 +254749,8 @@ 0 0 3 +3 +3 0x890b 0x449243b2 256 @@ -203806,6 +254758,8 @@ 1 1 0 +2 +4 3 0x8b72 0x3da8c7b @@ -203814,6 +254768,8 @@ 0 0 0 +2 +3 4 0x4dbe 0xcda68fb @@ -203822,6 +254778,8 @@ 0 0 0 +2 +4 1 0x23f9 0xd9b877e3 @@ -203830,6 +254788,8 @@ 1 0 0 +3 +4 4 0xc855 0x91806e30 @@ -203838,6 +254798,8 @@ 0 0 0 +1 +5 3 0x5198 0x490fb096 @@ -203846,6 +254808,8 @@ 0 0 0 +2 +1 1 0x6a0 0x6b092cba @@ -203855,6 +254819,8 @@ 0 0 4 +5 +4 0x7647 0xf69eb645 256 @@ -203862,6 +254828,8 @@ 0 0 0 +5 +5 3 0x27bd 0x92565537 @@ -203870,6 +254838,8 @@ 0 0 0 +3 +5 4 0x3de0 0xa53101d5 @@ -203878,6 +254848,8 @@ 0 0 0 +2 +0 3 0x9c1e 0xf5fe26ae @@ -203887,6 +254859,8 @@ 0 0 4 +2 +4 0xf0ef 0xa8966fc1 256 @@ -203894,6 +254868,8 @@ 0 0 0 +5 +2 1 0xcc45 0xcc6c173c @@ -203903,6 +254879,8 @@ 0 0 3 +1 +3 0xe89 0x9d9ad0aa 256 @@ -203910,6 +254888,8 @@ 1 1 0 +0 +1 3 0xff6a 0xeacac33 @@ -203919,6 +254899,8 @@ 0 0 1 +2 +1 0xab52 0x291aa454 256 @@ -203927,6 +254909,8 @@ 1 0 4 +1 +4 0xdee4 0xeb78e52c 256 @@ -203934,6 +254918,8 @@ 0 0 0 +5 +3 4 0xedd1 0xd4cbbbab @@ -203942,6 +254928,8 @@ 1 0 0 +5 +2 3 0x1faa 0x25292488 @@ -203950,6 +254938,8 @@ 0 0 0 +2 +3 3 0xa0ce 0xafabade @@ -203958,6 +254948,8 @@ 1 1 0 +1 +4 2 0x24d5 0xda01433a @@ -203966,6 +254958,8 @@ 1 1 0 +3 +0 2 0x1715 0x84b5e56d @@ -203974,6 +254968,8 @@ 0 0 0 +0 +0 3 0x9f46 0x12204322 @@ -203982,6 +254978,8 @@ 0 0 0 +0 +3 1 0x87bc 0x8ba175f6 @@ -203991,6 +254989,8 @@ 0 0 3 +3 +3 0xe81a 0xc6479dc2 256 @@ -203998,6 +254998,8 @@ 0 0 0 +2 +3 1 0xaa3f 0xf5b7500b @@ -204006,6 +255008,8 @@ 1 0 0 +5 +3 1 0xf642 0x7d4d6f59 @@ -204014,6 +255018,8 @@ 0 0 0 +5 +5 1 0xac95 0xdf13b315 @@ -204022,6 +255028,8 @@ 1 0 0 +1 +1 4 0xeb26 0x4fa9c195 @@ -204030,6 +255038,8 @@ 1 0 0 +5 +5 1 0x8141 0x91127cc3 @@ -204038,6 +255048,8 @@ 1 1 0 +2 +3 3 0x2ea0 0x61987223 @@ -204046,6 +255058,8 @@ 0 0 0 +5 +2 4 0x7e5e 0x159a91f9 @@ -204054,6 +255068,8 @@ 1 0 0 +2 +3 4 0x4543 0xd956b0bf @@ -204062,6 +255078,8 @@ 0 0 0 +4 +0 2 0xf9f5 0xdbf26c69 @@ -204070,6 +255088,8 @@ 0 0 0 +2 +4 3 0x651b 0xf4759128 @@ -204078,6 +255098,8 @@ 1 0 0 +5 +5 4 0x6ac 0x151efe54 @@ -204086,6 +255108,8 @@ 1 1 0 +0 +1 4 0xd729 0x2403266e @@ -204094,6 +255118,8 @@ 1 1 0 +3 +5 1 0x86c6 0x8df58711 @@ -204102,6 +255128,8 @@ 0 0 0 +3 +4 1 0x9ca5 0xa561307 @@ -204110,6 +255138,8 @@ 0 0 0 +5 +0 2 0x1409 0xb1b74f5b @@ -204119,6 +255149,8 @@ 0 0 1 +5 +1 0xcc1e 0x4b3eae5 256 @@ -204126,6 +255158,8 @@ 1 0 0 +0 +5 2 0x9a9e 0x807177d0 @@ -204134,6 +255168,8 @@ 1 0 0 +0 +4 4 0xdff4 0x7a5b3d54 @@ -204142,6 +255178,8 @@ 0 0 0 +4 +4 2 0xb97b 0xe5d8c7ef @@ -204150,6 +255188,8 @@ 1 0 0 +2 +0 3 0x6cae 0x1d7b6d0b @@ -204159,6 +255199,8 @@ 0 0 2 +0 +2 0x9fd1 0xe62fdca0 256 @@ -204166,6 +255208,8 @@ 0 0 0 +3 +1 2 0x8307 0x57331bcf @@ -204174,6 +255218,8 @@ 1 0 0 +0 +1 3 0x5787 0x2c7e57f3 @@ -204182,6 +255228,8 @@ 1 1 0 +5 +4 1 0xe14a 0xb0e74e3a @@ -204190,6 +255238,8 @@ 1 1 0 +2 +3 1 0xd6be 0x9e46d15d @@ -204198,6 +255248,8 @@ 1 0 0 +3 +2 4 0xdf8b 0x8efa7336 @@ -204206,6 +255258,8 @@ 1 0 0 +3 +0 2 0x3948 0x4a26bf91 @@ -204215,6 +255269,8 @@ 0 0 4 +2 +4 0x1a61 0x4844fec7 256 @@ -204222,6 +255278,8 @@ 1 1 0 +1 +0 3 0x1e99 0xe2950fec @@ -204230,6 +255288,8 @@ 0 0 0 +0 +5 1 0xebd0 0xa5d2185 @@ -204238,6 +255298,8 @@ 1 1 0 +5 +3 1 0xd680 0x37cc3429 @@ -204246,6 +255308,8 @@ 1 1 0 +2 +0 1 0xcbfe 0x605ff086 @@ -204254,6 +255318,8 @@ 0 0 0 +0 +4 4 0xa50 0x75993791 @@ -204262,6 +255328,8 @@ 0 0 0 +5 +4 1 0x2e51 0xde18e69d @@ -204271,6 +255339,8 @@ 0 0 3 +0 +3 0x4881 0x170c6dc2 256 @@ -204279,6 +255349,8 @@ 0 0 3 +2 +3 0xa7f2 0xc839867e 256 @@ -204287,6 +255359,8 @@ 0 0 1 +1 +1 0x6029 0xe3b6442b 256 @@ -204295,6 +255369,8 @@ 1 0 3 +4 +3 0x18d1 0x371072ea 256 @@ -204303,6 +255379,8 @@ 0 0 1 +4 +1 0x5bea 0x3f112994 256 @@ -204310,6 +255388,8 @@ 0 0 0 +0 +0 3 0x99f1 0xdbb1af1b @@ -204319,6 +255399,8 @@ 0 0 1 +1 +1 0x9fe0 0xec70ee47 256 @@ -204326,6 +255408,8 @@ 1 1 0 +4 +1 2 0xd720 0x68db9bf9 @@ -204334,6 +255418,8 @@ 0 0 0 +1 +3 4 0x44d7 0xa4ff6ce1 @@ -204342,6 +255428,8 @@ 1 0 0 +4 +3 3 0xb54a 0xbe99d561 @@ -204350,6 +255438,8 @@ 0 0 0 +0 +1 4 0x9c16 0x411b6ad1 @@ -204358,6 +255448,8 @@ 1 1 0 +4 +0 1 0x78e8 0xb63afe07 @@ -204366,6 +255458,8 @@ 0 0 0 +4 +0 3 0xe997 0xf6636be8 @@ -204374,6 +255468,8 @@ 1 0 0 +2 +5 4 0x821e 0xf1fec7c8 @@ -204382,6 +255478,8 @@ 0 0 0 +5 +1 1 0xbd5b 0xe72c2b8c @@ -204390,6 +255488,8 @@ 0 0 0 +2 +4 3 0xe3ae 0x43fcede9 @@ -204398,6 +255498,8 @@ 1 0 0 +3 +2 4 0xf5a6 0x871e8374 @@ -204406,6 +255508,8 @@ 0 0 0 +5 +4 4 0x9ec9 0xca7f0bdf @@ -204414,6 +255518,8 @@ 1 0 0 +1 +0 4 0x92a8 0x89dc3aae @@ -204423,6 +255529,8 @@ 0 0 2 +5 +2 0x440c 0x6f91c5cf 256 @@ -204430,6 +255538,8 @@ 1 1 0 +3 +0 2 0x2b1b 0x4238346f @@ -204439,6 +255549,8 @@ 0 0 4 +5 +4 0x3027 0x877d7b41 256 @@ -204447,6 +255559,8 @@ 0 0 3 +2 +3 0x2107 0x73504db5 256 @@ -204455,6 +255569,8 @@ 0 0 1 +5 +1 0x3111 0x49ee21a8 256 @@ -204462,6 +255578,8 @@ 0 0 0 +0 +3 4 0x7076 0x78b3af4c @@ -204470,6 +255588,8 @@ 1 0 0 +4 +1 1 0x4a12 0xea1d3c05 @@ -204478,6 +255598,8 @@ 0 0 0 +0 +3 4 0xc3bf 0x5027f208 @@ -204486,6 +255608,8 @@ 0 0 0 +5 +1 4 0x5228 0x7dd853d4 @@ -204495,6 +255619,8 @@ 0 0 1 +2 +1 0x1cc4 0x95ef9bca 256 @@ -204502,6 +255628,8 @@ 1 1 0 +1 +1 3 0x14c6 0xada7f9cb @@ -204511,6 +255639,8 @@ 1 0 3 +4 +3 0xf2a3 0xd4a026bd 256 @@ -204518,6 +255648,8 @@ 0 0 0 +3 +5 1 0x3f72 0xe19155b0 @@ -204526,6 +255658,8 @@ 1 1 0 +1 +4 3 0x6a21 0x1848f6ee @@ -204534,6 +255668,8 @@ 1 0 0 +0 +5 1 0x767d 0xd4ddb47f @@ -204542,6 +255678,8 @@ 1 1 0 +4 +1 2 0xbeb5 0xcc92ff6d @@ -204550,6 +255688,8 @@ 1 1 0 +1 +5 3 0x18b4 0x5cd52a2d @@ -204558,6 +255698,8 @@ 0 0 0 +5 +5 1 0x6035 0x3a3271a8 @@ -204566,6 +255708,8 @@ 1 1 0 +1 +1 2 0x22e9 0x33d10dc9 @@ -204575,6 +255719,8 @@ 0 0 4 +4 +4 0xa519 0x9c6a39fd 256 @@ -204583,6 +255729,8 @@ 1 0 4 +1 +4 0x40fe 0x306359f5 256 @@ -204590,6 +255738,8 @@ 0 0 0 +2 +5 1 0x445c 0x9c298322 @@ -204598,6 +255748,8 @@ 1 1 0 +5 +4 2 0x1ed2 0xe6c3cbb @@ -204607,6 +255759,8 @@ 1 0 4 +4 +4 0x9a5f 0xeef5a59e 256 @@ -204614,6 +255768,8 @@ 1 0 0 +5 +4 2 0x1aff 0xc399ab0d @@ -204622,6 +255778,8 @@ 0 0 0 +5 +3 1 0x17b3 0x10ec4a46 @@ -204630,6 +255788,8 @@ 0 0 0 +5 +4 3 0x5253 0x3af5b4d5 @@ -204638,6 +255798,8 @@ 1 1 0 +2 +4 4 0xc9a4 0xa9942574 @@ -204646,6 +255808,8 @@ 1 1 0 +4 +4 1 0xba20 0xefde4be8 @@ -204654,6 +255818,8 @@ 0 0 0 +1 +1 2 0x28ce 0x120c57e5 @@ -204662,6 +255828,8 @@ 1 0 0 +2 +5 3 0xd8ab 0x9526bc74 @@ -204670,6 +255838,8 @@ 1 0 0 +5 +2 2 0x1685 0xc2d1d79 @@ -204678,6 +255848,8 @@ 0 0 0 +0 +1 1 0x2fa3 0x688dc8fc @@ -204686,6 +255858,8 @@ 0 0 0 +4 +2 2 0x1b08 0xa547c355 @@ -204694,6 +255868,8 @@ 1 1 0 +2 +1 1 0x440 0xeb71c71d @@ -204702,6 +255878,8 @@ 0 0 0 +4 +3 1 0x81ae 0x91df2f8 @@ -204710,6 +255888,8 @@ 0 0 0 +3 +5 2 0xda7c 0xdf37fdf5 @@ -204718,6 +255898,8 @@ 0 0 0 +3 +0 2 0x2641 0x6db86276 @@ -204726,6 +255908,8 @@ 1 0 0 +1 +4 4 0x997a 0xeb8f7858 @@ -204734,6 +255918,8 @@ 1 1 0 +0 +0 1 0xa9a2 0x604fffd4 @@ -204742,6 +255928,8 @@ 0 0 0 +5 +1 3 0xce54 0xf17df54f @@ -204750,6 +255938,8 @@ 1 0 0 +4 +1 2 0xaf89 0x41020238 @@ -204758,6 +255948,8 @@ 1 1 0 +0 +5 1 0x17c5 0x14979175 @@ -204766,6 +255958,8 @@ 0 0 0 +4 +0 2 0xa6be 0xa2ead9eb @@ -204774,6 +255968,8 @@ 1 1 0 +0 +0 2 0xe31c 0x9dc7cb83 @@ -204783,6 +255979,8 @@ 0 0 3 +4 +3 0xb605 0x7bd145e0 256 @@ -204790,6 +255988,8 @@ 0 0 0 +1 +4 2 0xed2f 0xf27fd9ef @@ -204798,6 +255998,8 @@ 0 0 0 +5 +4 3 0xf66f 0x6f3ba559 @@ -204806,6 +256008,8 @@ 0 0 0 +4 +0 3 0x587f 0xfb717669 @@ -204815,6 +256019,8 @@ 1 0 2 +5 +2 0x8d42 0x3b387dc2 256 @@ -204822,6 +256028,8 @@ 0 0 0 +1 +5 3 0x2dc3 0x886285d @@ -204830,6 +256038,8 @@ 1 1 0 +1 +4 2 0xeb3f 0xbf48e0df @@ -204838,6 +256048,8 @@ 0 0 0 +5 +2 4 0x280f 0xf4011051 @@ -204846,6 +256058,8 @@ 1 1 0 +0 +1 1 0xa82a 0xa3b06750 @@ -204855,6 +256069,8 @@ 0 0 4 +5 +4 0xa43f 0xcf4c0ad5 256 @@ -204862,6 +256078,8 @@ 0 0 0 +5 +0 2 0xa79 0x1319717 @@ -204871,6 +256089,8 @@ 0 0 1 +0 +1 0x9176 0xe2362237 256 @@ -204878,6 +256098,8 @@ 1 1 0 +0 +5 3 0x751d 0xde7219af @@ -204886,6 +256108,8 @@ 1 1 0 +1 +5 4 0x169c 0x34e44f36 @@ -204894,6 +256118,8 @@ 1 0 0 +2 +3 1 0xa2bb 0x4aa8e627 @@ -204903,6 +256129,8 @@ 0 0 2 +2 +2 0x9439 0x2164be82 256 @@ -204910,6 +256138,8 @@ 1 1 0 +3 +1 4 0x218f 0xa7c941df @@ -204918,6 +256148,8 @@ 1 1 0 +0 +1 2 0xfc50 0xeafc1628 @@ -204926,6 +256158,8 @@ 0 0 0 +2 +2 4 0x4b35 0x642b94c0 @@ -204934,6 +256168,8 @@ 0 0 0 +0 +1 4 0xee39 0xe9e0b3b3 @@ -204942,6 +256178,8 @@ 0 0 0 +3 +1 1 0x3667 0x296a3263 @@ -204950,6 +256188,8 @@ 0 0 0 +4 +0 3 0x9009 0x6377a2e1 @@ -204958,6 +256198,8 @@ 0 0 0 +4 +1 1 0xdc84 0x5afd9593 @@ -204966,6 +256208,8 @@ 1 1 0 +2 +0 4 0xa6dd 0xab133c61 @@ -204975,6 +256219,8 @@ 0 0 3 +4 +3 0xdd6a 0x58b225da 256 @@ -204982,6 +256228,8 @@ 1 0 0 +5 +0 4 0x1c0d 0x32f97af @@ -204990,6 +256238,8 @@ 0 0 0 +1 +3 2 0xe256 0x97dce420 @@ -204998,6 +256248,8 @@ 1 0 0 +0 +3 3 0x7dcd 0x436fe02c @@ -205007,6 +256259,8 @@ 0 0 1 +4 +1 0x295a 0x4c0a4f34 256 @@ -205014,6 +256268,8 @@ 0 0 0 +3 +0 1 0xba96 0x26f374e3 @@ -205023,6 +256279,8 @@ 1 0 2 +0 +2 0x1341 0x562f6752 256 @@ -205030,6 +256288,8 @@ 1 1 0 +0 +0 4 0x86b5 0xd7639178 @@ -205038,6 +256298,8 @@ 1 1 0 +0 +0 3 0x5bbc 0x744d0eeb @@ -205046,6 +256308,8 @@ 1 1 0 +0 +2 2 0x8f6c 0x6dfac063 @@ -205054,6 +256318,8 @@ 1 1 0 +3 +1 1 0xe846 0xa119ac69 @@ -205062,6 +256328,8 @@ 0 0 0 +2 +2 1 0x5da 0x74835760 @@ -205070,6 +256338,8 @@ 0 0 0 +1 +4 3 0xae7 0x1c6bb74b @@ -205078,6 +256348,8 @@ 0 0 0 +2 +5 3 0x308e 0x7d2465d8 @@ -205086,6 +256358,8 @@ 1 0 0 +5 +2 2 0xc8ee 0xffac9f53 @@ -205094,6 +256368,8 @@ 0 0 0 +2 +2 4 0xe559 0x485275ee @@ -205102,6 +256378,8 @@ 0 0 0 +0 +0 2 0xc320 0x4fafb79f @@ -205110,6 +256388,8 @@ 0 0 0 +4 +0 1 0xeefd 0x889dc366 @@ -205118,6 +256398,8 @@ 0 0 0 +2 +5 3 0x9f37 0x88146f07 @@ -205126,6 +256408,8 @@ 0 0 0 +1 +2 2 0x774a 0x826a208 @@ -205135,6 +256419,8 @@ 1 0 1 +4 +1 0x59b 0x9bf785cf 256 @@ -205142,6 +256428,8 @@ 1 0 0 +3 +4 2 0x951c 0x17b2463d @@ -205150,6 +256438,8 @@ 1 0 0 +4 +1 3 0x36c3 0x8795821 @@ -205158,6 +256448,8 @@ 1 1 0 +0 +1 1 0x8120 0x6a1d09e5 @@ -205166,6 +256458,8 @@ 1 0 0 +4 +1 2 0xfb8f 0x63f76bca @@ -205174,6 +256468,8 @@ 0 0 0 +0 +2 1 0xd4ae 0x7870c7b6 @@ -205183,6 +256479,8 @@ 1 0 1 +2 +1 0x5b02 0x9fc4a76c 256 @@ -205190,6 +256488,8 @@ 1 1 0 +1 +0 3 0x50f3 0xe1c105be @@ -205198,6 +256498,8 @@ 0 0 0 +2 +3 1 0xb853 0x9dfe0e7b @@ -205206,6 +256508,8 @@ 0 0 0 +3 +1 4 0x7a68 0xc9789669 @@ -205214,6 +256518,8 @@ 1 1 0 +3 +2 2 0xa86b 0xe169e595 @@ -205222,6 +256528,8 @@ 1 0 0 +4 +3 1 0xf962 0xc9a7016f @@ -205230,6 +256538,8 @@ 1 0 0 +4 +5 2 0x38fc 0xa77dbbf7 @@ -205238,6 +256548,8 @@ 1 0 0 +5 +0 4 0xd710 0xb9d1306d @@ -205246,6 +256558,8 @@ 1 1 0 +5 +4 1 0x258a 0xe06f0b0f @@ -205254,6 +256568,8 @@ 0 0 0 +0 +4 2 0x3fd8 0x5c4a3b6c @@ -205262,6 +256578,8 @@ 0 0 0 +2 +5 3 0x9298 0x8d3051a6 @@ -205270,6 +256588,8 @@ 1 0 0 +1 +2 3 0x971 0xb026408c @@ -205278,6 +256598,8 @@ 0 0 0 +0 +3 4 0x7852 0x56b1b58b @@ -205286,6 +256608,8 @@ 0 0 0 +2 +0 3 0xf174 0x50d0ae1a @@ -205295,6 +256619,8 @@ 1 0 1 +4 +1 0xb44e 0xe298dc0f 256 @@ -205303,6 +256629,8 @@ 0 0 1 +2 +1 0x6191 0x1dc235fa 256 @@ -205310,6 +256638,8 @@ 1 1 0 +3 +0 2 0x2d08 0x36eb3fd2 @@ -205318,6 +256648,8 @@ 0 0 0 +3 +3 4 0x9fff 0x65f0aa5f @@ -205326,6 +256658,8 @@ 1 0 0 +3 +4 4 0xe124 0xf5f1772d @@ -205335,6 +256669,8 @@ 0 0 1 +3 +1 0x4e35 0x9add43e4 256 @@ -205342,6 +256678,8 @@ 0 0 0 +3 +0 2 0x4171 0x226206cf @@ -205350,6 +256688,8 @@ 1 0 0 +4 +5 1 0x749e 0x2be2177b @@ -205358,6 +256698,8 @@ 0 0 0 +0 +5 4 0x157d 0x4b1fad51 @@ -205366,6 +256708,8 @@ 0 0 0 +1 +2 4 0xbafc 0xf597ae60 @@ -205374,6 +256718,8 @@ 0 0 0 +2 +5 3 0xb4de 0xc18cf5a2 @@ -205382,6 +256728,8 @@ 0 0 0 +1 +0 4 0xbdbf 0x3212fc5 @@ -205390,6 +256738,8 @@ 1 1 0 +1 +3 2 0x3848 0xd6aeb95c @@ -205398,6 +256748,8 @@ 1 0 0 +4 +1 1 0xe498 0x3101424e @@ -205406,6 +256758,8 @@ 0 0 0 +0 +1 2 0x8792 0x63bb5b15 @@ -205414,6 +256768,8 @@ 0 0 0 +0 +5 3 0x61a8 0x8c825f75 @@ -205422,6 +256778,8 @@ 0 0 0 +1 +3 3 0x850a 0xfd88830a @@ -205430,6 +256788,8 @@ 1 1 0 +4 +4 2 0xf5cb 0x6a05d0e7 @@ -205438,6 +256798,8 @@ 0 0 0 +0 +2 2 0x98d8 0x74fa808e @@ -205446,6 +256808,8 @@ 0 0 0 +3 +4 1 0x69fd 0x983a5409 @@ -205454,6 +256818,8 @@ 1 0 0 +4 +2 3 0xe82b 0xbc45623c @@ -205462,6 +256828,8 @@ 0 0 0 +3 +5 2 0x7066 0xadc1bb19 @@ -205470,6 +256838,8 @@ 0 0 0 +5 +3 2 0x5706 0xe9c1c25a @@ -205478,6 +256848,8 @@ 0 0 0 +4 +0 3 0xb94a 0x33c85aa2 @@ -205486,6 +256858,8 @@ 0 0 0 +4 +2 2 0x6a 0x5e287571 @@ -205495,6 +256869,8 @@ 0 0 1 +2 +1 0xde96 0x503032c5 256 @@ -205502,6 +256878,8 @@ 0 0 0 +1 +1 2 0xb91a 0xc483e854 @@ -205510,6 +256888,8 @@ 0 0 0 +0 +2 1 0xe05f 0x65d8616d @@ -205518,6 +256898,8 @@ 1 1 0 +3 +3 4 0xc533 0x6f1c54f7 @@ -205526,6 +256908,8 @@ 1 0 0 +4 +5 2 0xf1a 0x19c4c96d @@ -205534,6 +256918,8 @@ 0 0 0 +0 +4 1 0xaa38 0x64113c58 @@ -205542,6 +256928,8 @@ 0 0 0 +1 +1 2 0x86d1 0x4bf7205e @@ -205550,6 +256938,8 @@ 1 1 0 +5 +1 2 0xabe8 0xb0d58830 @@ -205558,6 +256948,8 @@ 0 0 0 +5 +5 1 0xe393 0xcff17844 @@ -205567,6 +256959,8 @@ 0 0 2 +0 +2 0x2ac0 0xa70e4a33 256 @@ -205574,6 +256968,8 @@ 1 1 0 +0 +1 4 0x3483 0xf2808cec @@ -205582,6 +256978,8 @@ 0 0 0 +4 +3 3 0xd50 0xfb68b77e @@ -205590,6 +256988,8 @@ 0 0 0 +4 +1 1 0x4ac1 0xf14ac4c9 @@ -205598,6 +256998,8 @@ 0 0 0 +0 +0 1 0x500b 0x51ca85a2 @@ -205606,6 +257008,8 @@ 1 0 0 +5 +4 1 0x29fb 0x1fdd4d17 @@ -205615,6 +257019,8 @@ 0 0 4 +3 +4 0x43b0 0x470c32a3 256 @@ -205622,6 +257028,8 @@ 0 0 0 +3 +4 2 0x8b4c 0x9fcc349c @@ -205630,6 +257038,8 @@ 1 0 0 +4 +4 3 0x643a 0x5800b5e3 @@ -205638,6 +257048,8 @@ 1 1 0 +0 +3 2 0x9bf 0x4f71a2e1 @@ -205646,6 +257058,8 @@ 0 0 0 +0 +1 3 0x4950 0x746396f1 @@ -205654,6 +257068,8 @@ 1 1 0 +2 +4 1 0x9c42 0x4107339c @@ -205663,6 +257079,8 @@ 1 0 4 +5 +4 0x1ffa 0x15c52126 256 @@ -205670,6 +257088,8 @@ 1 1 0 +3 +2 4 0x30ad 0x99080c8c @@ -205678,6 +257098,8 @@ 1 1 0 +1 +2 3 0x58ea 0x3fbc198c @@ -205686,6 +257108,8 @@ 1 1 0 +0 +2 3 0x1a68 0x232866dd @@ -205694,6 +257118,8 @@ 0 0 0 +0 +2 2 0xd0b7 0x8d8f9082 @@ -205702,6 +257128,8 @@ 1 1 0 +5 +0 1 0xf8fd 0x50429f8f @@ -205710,6 +257138,8 @@ 1 0 0 +5 +0 2 0x4bd0 0x2ed5bb8f @@ -205718,6 +257148,8 @@ 0 0 0 +3 +5 2 0xe417 0xa2212d0b @@ -205726,6 +257158,8 @@ 1 0 0 +4 +4 2 0x895c 0x921201ee @@ -205734,6 +257168,8 @@ 1 0 0 +3 +4 2 0x94d2 0xc09d2ffa @@ -205743,6 +257179,8 @@ 0 0 2 +2 +2 0x99c7 0x66986dcf 256 @@ -205750,6 +257188,8 @@ 1 1 0 +5 +4 2 0xcaa1 0xb046373e @@ -205758,6 +257198,8 @@ 0 0 0 +2 +1 1 0x1b5f 0x9aacba76 @@ -205767,6 +257209,8 @@ 1 0 3 +3 +3 0x62a3 0x3916d11 256 @@ -205774,6 +257218,8 @@ 1 0 0 +5 +5 4 0x2b50 0x7bb87712 @@ -205783,6 +257229,8 @@ 1 0 1 +3 +1 0xd922 0x3683379a 256 @@ -205791,6 +257239,8 @@ 0 0 4 +3 +4 0xf6c5 0xfe89ceca 256 @@ -205798,6 +257248,8 @@ 0 0 0 +5 +2 4 0x2214 0x99aac37f @@ -205806,6 +257258,8 @@ 1 1 0 +3 +0 1 0x2036 0x89465625 @@ -205814,6 +257268,8 @@ 1 0 0 +4 +0 1 0x748c 0xc64c094d @@ -205822,6 +257278,8 @@ 1 0 0 +1 +0 2 0xa262 0x4c1be322 @@ -205831,6 +257289,8 @@ 0 0 4 +1 +4 0xfbf 0x80dda47e 256 @@ -205839,6 +257299,8 @@ 0 0 3 +2 +3 0xb8bb 0x2d4617ac 256 @@ -205846,6 +257308,8 @@ 1 1 0 +4 +1 2 0x408c 0x5e16e34b @@ -205854,6 +257318,8 @@ 0 0 0 +0 +0 4 0xc414 0x15194636 @@ -205862,6 +257328,8 @@ 0 0 0 +2 +2 4 0xf28c 0xdda5ae6c @@ -205870,6 +257338,8 @@ 0 0 0 +2 +5 4 0x7957 0x675778f5 @@ -205878,6 +257348,8 @@ 0 0 0 +4 +1 1 0x5616 0x10fb4ead @@ -205886,6 +257358,8 @@ 0 0 0 +5 +0 1 0x48ea 0x583089d5 @@ -205894,6 +257368,8 @@ 0 0 0 +5 +4 1 0x1019 0x38321b32 @@ -205902,6 +257378,8 @@ 1 0 0 +5 +2 2 0xcf99 0xeb3a9ec7 @@ -205910,6 +257388,8 @@ 0 0 0 +0 +5 1 0x5858 0xd7c5fa3d @@ -205919,6 +257399,8 @@ 1 0 1 +2 +1 0xa1ab 0xcaa83cf2 256 @@ -205927,6 +257409,8 @@ 1 0 1 +0 +1 0x91c0 0x6cda19fa 256 @@ -205934,6 +257418,8 @@ 0 0 0 +3 +4 4 0xd6bf 0x6df035c4 @@ -205942,6 +257428,8 @@ 1 0 0 +0 +0 2 0x1098 0xc5e2d3ee @@ -205950,6 +257438,8 @@ 0 0 0 +4 +4 2 0xbf9b 0x942de269 @@ -205959,6 +257449,8 @@ 0 0 1 +0 +1 0x407f 0xb15fd0dd 256 @@ -205967,6 +257459,8 @@ 0 0 3 +1 +3 0x54e2 0xb8dec5b5 256 @@ -205974,6 +257468,8 @@ 1 1 0 +3 +0 2 0x818d 0x40d4ceb2 @@ -205982,6 +257478,8 @@ 0 0 0 +0 +3 3 0x88a9 0xde21377a @@ -205990,6 +257488,8 @@ 0 0 0 +0 +5 2 0x2d80 0x2acc0b8 @@ -205999,6 +257499,8 @@ 1 0 4 +3 +4 0x8c3b 0xe6336494 256 @@ -206006,6 +257508,8 @@ 1 0 0 +1 +4 2 0x927f 0x233c6864 @@ -206014,6 +257518,8 @@ 0 0 0 +0 +2 2 0xa4b8 0x2b277748 @@ -206022,6 +257528,8 @@ 1 0 0 +3 +4 2 0x8f11 0x9b5288e3 @@ -206030,6 +257538,8 @@ 1 1 0 +0 +2 4 0xec71 0xe2261989 @@ -206039,6 +257549,8 @@ 1 0 4 +5 +4 0x9552 0x36a9ed12 256 @@ -206046,6 +257558,8 @@ 0 0 0 +4 +3 1 0x2c11 0x349e0386 @@ -206054,6 +257568,8 @@ 1 1 0 +0 +1 1 0x7fd4 0x9fa2e5dd @@ -206062,6 +257578,8 @@ 0 0 0 +1 +5 3 0x1bf4 0xdf896374 @@ -206070,6 +257588,8 @@ 1 1 0 +0 +2 4 0x3bb6 0xbbf9f403 @@ -206079,6 +257599,8 @@ 0 0 4 +0 +4 0xeed2 0x5270664a 256 @@ -206086,6 +257608,8 @@ 0 0 0 +4 +5 2 0xa3ac 0xd29d4eab @@ -206094,6 +257618,8 @@ 0 0 0 +5 +2 3 0x6e59 0xeb5cc69e @@ -206102,6 +257628,8 @@ 0 0 0 +2 +4 1 0x7758 0xa2c6fea0 @@ -206110,6 +257638,8 @@ 1 1 0 +4 +1 3 0x1776 0xf9d65ec5 @@ -206118,6 +257648,8 @@ 1 1 0 +1 +3 2 0x66e 0x2a27c4a5 @@ -206126,6 +257658,8 @@ 1 1 0 +3 +5 1 0x60b 0xf1885d5c @@ -206134,6 +257668,8 @@ 0 0 0 +3 +4 4 0x9c84 0x41230373 @@ -206142,6 +257678,8 @@ 0 0 0 +5 +2 2 0x9b55 0x4d02fe72 @@ -206150,6 +257688,8 @@ 0 0 0 +5 +5 4 0x35e 0x4b983b9a @@ -206158,6 +257698,8 @@ 0 0 0 +3 +1 1 0xde0e 0x12180563 @@ -206166,6 +257708,8 @@ 0 0 0 +3 +0 2 0x8b82 0x93d9c52b @@ -206174,6 +257718,8 @@ 1 0 0 +4 +5 1 0x6e15 0x9413c6bc @@ -206182,6 +257728,8 @@ 0 0 0 +1 +2 2 0xccff 0x357008c6 @@ -206190,6 +257738,8 @@ 0 0 0 +5 +3 4 0xb3f9 0x472f5b35 @@ -206198,6 +257748,8 @@ 0 0 0 +0 +1 2 0x9e4a 0xa9387adb @@ -206206,6 +257758,8 @@ 1 1 0 +0 +4 4 0xe388 0x4c92c9f3 @@ -206214,6 +257768,8 @@ 0 0 0 +3 +5 4 0x1e86 0xe870eb9c @@ -206223,6 +257779,8 @@ 0 0 1 +2 +1 0xc57 0x2685f1fa 256 @@ -206230,6 +257788,8 @@ 0 0 0 +2 +4 4 0xa58f 0x2ab539aa @@ -206238,6 +257798,8 @@ 1 0 0 +5 +1 1 0x18ec 0xd54fbcca @@ -206246,6 +257808,8 @@ 1 0 0 +0 +2 2 0xb9ca 0x3b667add @@ -206255,6 +257819,8 @@ 1 0 2 +2 +2 0xdeb3 0x8041dec 256 @@ -206262,6 +257828,8 @@ 0 0 0 +4 +4 2 0x8a35 0xfea7902d @@ -206270,6 +257838,8 @@ 1 1 0 +0 +4 3 0x3292 0x59aade2f @@ -206278,6 +257848,8 @@ 1 1 0 +0 +1 4 0x9738 0x8ed34837 @@ -206286,6 +257858,8 @@ 1 1 0 +5 +4 2 0x1352 0xc5fa2b4f @@ -206295,6 +257869,8 @@ 0 0 2 +2 +2 0x59e7 0x1767ef74 256 @@ -206302,6 +257878,8 @@ 1 1 0 +4 +2 3 0x6b03 0x9097e85a @@ -206310,6 +257888,8 @@ 0 0 0 +5 +0 3 0xdb45 0xbb8a397b @@ -206318,6 +257898,8 @@ 0 0 0 +3 +1 2 0x531 0x4e63bd5d @@ -206326,6 +257908,8 @@ 1 1 0 +1 +0 2 0xc79d 0x1b381329 @@ -206335,6 +257919,8 @@ 0 0 1 +1 +1 0xbc14 0x8fb60ce3 256 @@ -206343,6 +257929,8 @@ 0 0 2 +0 +2 0xb307 0xa309b286 256 @@ -206350,6 +257938,8 @@ 0 0 0 +0 +1 4 0x591f 0x8a483449 @@ -206359,6 +257949,8 @@ 1 0 3 +0 +3 0xe306 0x1479335b 256 @@ -206366,6 +257958,8 @@ 1 0 0 +1 +3 4 0x7077 0x51222d13 @@ -206375,6 +257969,8 @@ 1 0 1 +5 +1 0xb426 0x649179b4 256 @@ -206382,6 +257978,8 @@ 1 1 0 +1 +4 2 0x14e1 0x2cc1f4be @@ -206390,6 +257988,8 @@ 1 0 0 +1 +1 2 0x34df 0x23499e2b @@ -206398,6 +257998,8 @@ 1 0 0 +0 +4 4 0xed71 0xfa95bbbc @@ -206406,6 +258008,8 @@ 0 0 0 +2 +0 4 0xd6c 0x2cbeabb @@ -206414,6 +258018,8 @@ 1 1 0 +1 +1 4 0x9e44 0xe5ed9cf3 @@ -206423,6 +258029,8 @@ 1 0 3 +2 +3 0x6fb6 0x9ea4fda 256 @@ -206430,6 +258038,8 @@ 1 1 0 +0 +1 4 0x3cc8 0x6eb02136 @@ -206439,6 +258049,8 @@ 0 0 2 +1 +2 0x14cf 0x3943a248 256 @@ -206446,6 +258058,8 @@ 1 1 0 +1 +4 2 0xf1b8 0x44947588 @@ -206454,6 +258068,8 @@ 1 1 0 +4 +5 1 0x2fb 0x3e7b96f4 @@ -206462,6 +258078,8 @@ 0 0 0 +3 +3 2 0x9b82 0xdf19c00e @@ -206470,6 +258088,8 @@ 1 1 0 +1 +4 4 0xd229 0x6a61e471 @@ -206478,6 +258098,8 @@ 0 0 0 +2 +2 3 0x7222 0x1bf82e3b @@ -206486,6 +258108,8 @@ 0 0 0 +2 +1 3 0xf1d1 0xe4665979 @@ -206494,6 +258118,8 @@ 0 0 0 +4 +3 1 0x4a0a 0x1eb6fd14 @@ -206502,6 +258128,8 @@ 0 0 0 +4 +1 3 0x349d 0xe7d295da @@ -206510,6 +258138,8 @@ 1 1 0 +4 +2 2 0xa04b 0xf0ad9ee2 @@ -206519,6 +258149,8 @@ 0 0 4 +4 +4 0x2083 0xfbebe917 256 @@ -206526,6 +258158,8 @@ 0 0 0 +5 +3 4 0x468e 0xbd2e5ec9 @@ -206534,6 +258168,8 @@ 0 0 0 +0 +4 2 0x9f29 0x10edb37c @@ -206542,6 +258178,8 @@ 0 0 0 +0 +0 2 0x6f0f 0x54ecb6d8 @@ -206551,6 +258189,8 @@ 1 0 2 +2 +2 0x6d0 0xaaa126ee 256 @@ -206558,6 +258198,8 @@ 1 0 0 +2 +2 4 0xade3 0x50367e67 @@ -206566,6 +258208,8 @@ 1 1 0 +0 +1 4 0x640a 0x60d24787 @@ -206574,6 +258218,8 @@ 1 1 0 +5 +0 1 0xe083 0x3c587394 @@ -206582,6 +258228,8 @@ 1 0 0 +0 +1 4 0x8e86 0xbd6c7dc2 @@ -206591,6 +258239,8 @@ 0 0 4 +3 +4 0xfddf 0xcd68c7 256 @@ -206599,6 +258249,8 @@ 0 0 1 +5 +1 0xfc45 0xbd626b62 256 @@ -206606,6 +258258,8 @@ 1 0 0 +3 +5 1 0xc785 0x5a1086d1 @@ -206614,6 +258268,8 @@ 1 0 0 +2 +0 3 0xd8b9 0xd3072d4c @@ -206622,6 +258278,8 @@ 0 0 0 +5 +3 3 0xd7e5 0xa8ab723b @@ -206630,6 +258288,8 @@ 0 0 0 +4 +2 3 0x49c 0x3c79fcfa @@ -206639,6 +258299,8 @@ 0 0 2 +4 +2 0x1162 0x3d4f6d76 256 @@ -206646,6 +258308,8 @@ 0 0 0 +3 +2 4 0x8c13 0xad678769 @@ -206654,6 +258318,8 @@ 0 0 0 +0 +4 1 0xddce 0xffb19d3e @@ -206663,6 +258329,8 @@ 0 0 4 +3 +4 0xfc94 0x51f07b21 256 @@ -206671,6 +258339,8 @@ 0 0 3 +4 +3 0xe497 0x65e15a86 256 @@ -206679,6 +258349,8 @@ 1 0 1 +1 +1 0x76da 0x946d2da1 256 @@ -206687,6 +258359,8 @@ 0 0 3 +2 +3 0x71da 0x320ec89e 256 @@ -206694,6 +258368,8 @@ 1 1 0 +2 +4 1 0xe154 0x3601b871 @@ -206702,6 +258378,8 @@ 0 0 0 +0 +3 2 0xafd0 0x405ec94d @@ -206710,6 +258388,8 @@ 1 0 0 +1 +1 4 0x3738 0x9b270d6d @@ -206718,6 +258398,8 @@ 1 0 0 +2 +3 1 0x2152 0x68429432 @@ -206726,6 +258408,8 @@ 1 1 0 +1 +0 3 0xab81 0xe5b08277 @@ -206734,6 +258418,8 @@ 0 0 0 +0 +4 1 0x2cd7 0xbaa44c9c @@ -206742,6 +258428,8 @@ 1 1 0 +0 +1 2 0x65c9 0xf25b0b23 @@ -206750,6 +258438,8 @@ 1 1 0 +5 +0 2 0xf745 0x46dde6b5 @@ -206758,6 +258448,8 @@ 1 1 0 +5 +5 3 0x4b5f 0xf984a8a5 @@ -206766,6 +258458,8 @@ 0 0 0 +0 +2 4 0x5114 0x66b1e359 @@ -206775,6 +258469,8 @@ 1 0 1 +1 +1 0x5460 0x33c3eec0 256 @@ -206782,6 +258478,8 @@ 1 1 0 +4 +1 3 0xeb15 0x3f08a4e7 @@ -206790,6 +258488,8 @@ 1 1 0 +1 +2 3 0x869f 0x2376e44f @@ -206798,6 +258498,8 @@ 1 1 0 +1 +0 3 0xf0b8 0x27e69454 @@ -206806,6 +258508,8 @@ 0 0 0 +3 +2 2 0x1bac 0xafae238c @@ -206815,6 +258519,8 @@ 0 0 2 +1 +2 0xa037 0x6291ddec 256 @@ -206822,6 +258528,8 @@ 0 0 0 +4 +2 1 0x8480 0x5f950895 @@ -206830,6 +258538,8 @@ 1 0 0 +5 +0 3 0x36f5 0x6bed90b6 @@ -206838,6 +258548,8 @@ 0 0 0 +0 +0 3 0x1632 0xee395bf4 @@ -206846,6 +258558,8 @@ 0 0 0 +1 +3 2 0x4ef 0xaf53ab82 @@ -206854,6 +258568,8 @@ 1 1 0 +1 +5 3 0x7878 0x30a04346 @@ -206862,6 +258578,8 @@ 1 0 0 +2 +4 3 0xa280 0xd96ed395 @@ -206871,6 +258589,8 @@ 0 0 3 +2 +3 0x2dca 0x33a901ef 256 @@ -206878,6 +258598,8 @@ 0 0 0 +4 +3 3 0x6d00 0xaa1012e5 @@ -206886,6 +258608,8 @@ 0 0 0 +1 +2 4 0xb441 0xf55b6aaf @@ -206894,6 +258618,8 @@ 0 0 0 +1 +4 4 0x6a3d 0x388dc12d @@ -206902,6 +258628,8 @@ 1 1 0 +5 +2 3 0x13bd 0x99b9ff8f @@ -206910,6 +258638,8 @@ 1 0 0 +0 +0 2 0xb3e9 0xea500c93 @@ -206918,6 +258648,8 @@ 1 1 0 +3 +4 1 0x2351 0x2173c066 @@ -206926,6 +258658,8 @@ 1 1 0 +5 +4 2 0xd15c 0x9eece870 @@ -206934,6 +258668,8 @@ 1 1 0 +5 +5 1 0x7f5a 0xdcf2b023 @@ -206942,6 +258678,8 @@ 1 1 0 +0 +1 4 0xcf35 0xb6407861 @@ -206950,6 +258688,8 @@ 1 1 0 +0 +3 1 0x2742 0x431b8629 @@ -206958,6 +258698,8 @@ 1 1 0 +5 +1 2 0xbd7b 0xee3b59bf @@ -206966,6 +258708,8 @@ 1 1 0 +5 +3 3 0x7123 0xf09614ec @@ -206974,6 +258718,8 @@ 0 0 0 +5 +4 3 0x8bd9 0x6b3bdc5b @@ -206982,6 +258728,8 @@ 0 0 0 +2 +4 3 0x85d4 0xa2d186ea @@ -206990,6 +258738,8 @@ 0 0 0 +1 +0 3 0x2f51 0x3e83eb38 @@ -206999,6 +258749,8 @@ 0 0 4 +2 +4 0xd5b3 0x50cd6bee 256 @@ -207006,6 +258758,8 @@ 1 0 0 +0 +3 4 0xa986 0xb770f975 @@ -207014,6 +258768,8 @@ 0 0 0 +1 +4 4 0x8ee0 0x4f77db7b @@ -207022,6 +258778,8 @@ 0 0 0 +5 +2 1 0x245a 0x68a6a8b8 @@ -207030,6 +258788,8 @@ 1 1 0 +0 +3 1 0xc153 0xc112c096 @@ -207038,6 +258798,8 @@ 0 0 0 +0 +0 1 0xbe63 0xcc97b488 @@ -207046,6 +258808,8 @@ 0 0 0 +5 +5 1 0xc24d 0x18fc891a @@ -207054,6 +258818,8 @@ 1 1 0 +2 +0 4 0xc824 0x9cffb17b @@ -207062,6 +258828,8 @@ 0 0 0 +0 +2 2 0xb6f 0xab8296e2 @@ -207070,6 +258838,8 @@ 0 0 0 +1 +0 2 0x2458 0x814f2be @@ -207078,6 +258848,8 @@ 0 0 0 +3 +0 4 0x237a 0x92e4c194 @@ -207086,6 +258858,8 @@ 0 0 0 +0 +1 2 0xac88 0x831bbe80 @@ -207095,6 +258869,8 @@ 0 0 4 +1 +4 0xa4e9 0x599dc294 256 @@ -207102,6 +258878,8 @@ 1 1 0 +0 +2 3 0xfd6d 0x1bf86976 @@ -207111,6 +258889,8 @@ 0 0 1 +3 +1 0x6f16 0x2f30feb 256 @@ -207119,6 +258899,8 @@ 1 0 3 +1 +3 0x4154 0x914de4fd 256 @@ -207126,6 +258908,8 @@ 0 0 0 +0 +2 3 0xa326 0x375ead5e @@ -207135,6 +258919,8 @@ 1 0 1 +1 +1 0x2b3d 0x1fbdd819 256 @@ -207142,6 +258928,8 @@ 0 0 0 +0 +5 4 0x4ec8 0xbfc1ff7 @@ -207150,6 +258938,8 @@ 1 0 0 +2 +3 4 0xf9b8 0x783fb1ca @@ -207158,6 +258948,8 @@ 1 0 0 +0 +3 2 0xe521 0x7ba19515 @@ -207167,6 +258959,8 @@ 0 0 1 +3 +1 0xd434 0xe3971be2 256 @@ -207174,6 +258968,8 @@ 1 1 0 +0 +1 1 0x9c60 0x64acc307 @@ -207182,6 +258978,8 @@ 1 0 0 +5 +5 4 0xc73d 0xac33cdfe @@ -207190,6 +258988,8 @@ 1 0 0 +0 +2 1 0x848e 0x71093845 @@ -207198,6 +258998,8 @@ 1 0 0 +1 +0 4 0x819b 0x38c3d482 @@ -207206,6 +259008,8 @@ 0 0 0 +2 +3 4 0xeb62 0x10fd8aab @@ -207214,6 +259018,8 @@ 1 0 0 +0 +1 1 0xeff5 0x676c7f50 @@ -207222,6 +259028,8 @@ 1 1 0 +4 +3 3 0xd177 0x266d06ee @@ -207230,6 +259038,8 @@ 0 0 0 +3 +3 4 0x3fba 0x590065ac @@ -207239,6 +259049,8 @@ 0 0 4 +3 +4 0x292f 0xd6056d15 256 @@ -207246,6 +259058,8 @@ 0 0 0 +3 +2 1 0xc204 0x323300f7 @@ -207255,6 +259069,8 @@ 0 0 1 +3 +1 0x50ee 0xa984edcc 256 @@ -207262,6 +259078,8 @@ 1 0 0 +0 +1 3 0xe53b 0xdf3462ae @@ -207270,6 +259088,8 @@ 0 0 0 +1 +3 3 0x512 0xbad28c0d @@ -207278,6 +259098,8 @@ 1 0 0 +4 +5 1 0x5230 0xe187971a @@ -207286,6 +259108,8 @@ 0 0 0 +0 +5 3 0x6117 0x4776b6ac @@ -207294,6 +259118,8 @@ 1 1 0 +4 +1 2 0x1406 0xc19cf92 @@ -207303,6 +259129,8 @@ 0 0 2 +4 +2 0x5477 0x6199f0f5 256 @@ -207310,6 +259138,8 @@ 0 0 0 +0 +1 2 0x55d6 0x9cfd5292 @@ -207318,6 +259148,8 @@ 0 0 0 +2 +0 1 0x39c8 0x4c07ca3e @@ -207326,6 +259158,8 @@ 0 0 0 +2 +2 1 0x2d1e 0x85f476c9 @@ -207334,6 +259168,8 @@ 1 1 0 +2 +2 3 0xa9e 0x5b2af158 @@ -207342,6 +259178,8 @@ 1 0 0 +0 +1 3 0x50f5 0x1c936e3a @@ -207350,6 +259188,8 @@ 0 0 0 +2 +4 1 0xd6bf 0x1dce50b4 @@ -207358,6 +259198,8 @@ 0 0 0 +0 +2 3 0x7aee 0xf3162056 @@ -207366,6 +259208,8 @@ 1 1 0 +5 +1 4 0xf4f3 0x8268bff7 @@ -207374,6 +259218,8 @@ 0 0 0 +5 +1 3 0x6afa 0x96f96fb6 @@ -207382,6 +259228,8 @@ 0 0 0 +4 +0 3 0x33c1 0xa29a8ca8 @@ -207390,6 +259238,8 @@ 1 1 0 +4 +4 3 0x44a0 0x85006b34 @@ -207398,6 +259248,8 @@ 0 0 0 +5 +5 4 0x12bd 0x2e923261 @@ -207407,6 +259259,8 @@ 0 0 1 +5 +1 0xad5f 0x7fd79e74 256 @@ -207414,6 +259268,8 @@ 1 1 0 +0 +2 1 0xba84 0xe2d74de5 @@ -207422,6 +259278,8 @@ 0 0 0 +4 +3 2 0x71a1 0x11f8b49d @@ -207430,6 +259288,8 @@ 0 0 0 +0 +2 1 0xcc74 0xbc2a47e @@ -207439,6 +259299,8 @@ 0 0 1 +4 +1 0xca9a 0xb596b903 256 @@ -207447,6 +259309,8 @@ 1 0 3 +0 +3 0x9786 0x427eecf2 256 @@ -207454,6 +259318,8 @@ 1 0 0 +1 +2 2 0xbed4 0xc4407274 @@ -207463,6 +259329,8 @@ 0 0 3 +0 +3 0x6f8 0x8476ce7 256 @@ -207471,6 +259339,8 @@ 1 0 1 +5 +1 0x2f1d 0x771d6f82 256 @@ -207478,6 +259348,8 @@ 0 0 0 +5 +2 2 0xcf78 0x2a7cfed0 @@ -207486,6 +259358,8 @@ 1 0 0 +4 +5 1 0xcbc7 0x4ebc7bd7 @@ -207494,6 +259368,8 @@ 1 0 0 +0 +1 3 0x368b 0x51918b2f @@ -207502,6 +259378,8 @@ 0 0 0 +4 +4 1 0xa330 0xbbbcf8e5 @@ -207511,6 +259389,8 @@ 0 0 2 +1 +2 0xc284 0x2571543e 256 @@ -207518,6 +259398,8 @@ 0 0 0 +4 +4 3 0x963c 0x6a2e69ce @@ -207526,6 +259408,8 @@ 0 0 0 +5 +2 2 0x5d82 0x39d3885b @@ -207535,6 +259419,8 @@ 0 0 4 +2 +4 0xa8bc 0x11aca7b0 256 @@ -207542,6 +259428,8 @@ 1 0 0 +0 +3 4 0x2ff3 0xf0742c81 @@ -207550,6 +259438,8 @@ 1 1 0 +4 +4 1 0xaa6e 0xa498db3f @@ -207558,6 +259448,8 @@ 1 1 0 +0 +3 1 0x7321 0x6d91d3a9 @@ -207566,6 +259458,8 @@ 0 0 0 +4 +5 1 0x2cf6 0x66cb980d @@ -207574,6 +259468,8 @@ 1 1 0 +1 +3 3 0xd030 0xeb8323a6 @@ -207582,6 +259478,8 @@ 1 1 0 +0 +2 3 0x911c 0xf988eb8a @@ -207590,6 +259488,8 @@ 1 1 0 +5 +1 4 0x31e2 0x5ba2b134 @@ -207598,6 +259498,8 @@ 1 0 0 +4 +1 1 0x6cf4 0x11b2d601 @@ -207606,6 +259508,8 @@ 1 1 0 +1 +3 3 0x433b 0x138fb42e @@ -207614,6 +259518,8 @@ 1 0 0 +2 +3 1 0x1818 0x14df5572 @@ -207623,6 +259529,8 @@ 0 0 1 +4 +1 0x6598 0x86062321 256 @@ -207630,6 +259538,8 @@ 0 0 0 +2 +1 1 0x66ed 0x583138a8 @@ -207638,6 +259548,8 @@ 0 0 0 +0 +1 3 0x3006 0x5ab1ed9e @@ -207646,6 +259558,8 @@ 1 1 0 +3 +1 1 0x2fe5 0xf5061d2f @@ -207654,6 +259568,8 @@ 1 1 0 +4 +2 1 0xf3f5 0xce4f4692 @@ -207662,6 +259578,8 @@ 1 0 0 +5 +3 3 0x5e43 0xa5f3656f @@ -207670,6 +259588,8 @@ 0 0 0 +2 +2 4 0xfc73 0x60b25c35 @@ -207678,6 +259598,8 @@ 1 0 0 +4 +4 2 0x2ade 0xb20d7cb2 @@ -207686,6 +259608,8 @@ 1 0 0 +2 +4 4 0x4342 0xca422490 @@ -207694,6 +259618,8 @@ 1 1 0 +1 +2 3 0x6b1d 0x92709e94 @@ -207702,6 +259628,8 @@ 0 0 0 +4 +1 1 0xd215 0x3142b093 @@ -207710,6 +259638,8 @@ 1 1 0 +1 +3 3 0x27d1 0x418d8dd9 @@ -207718,6 +259648,8 @@ 0 0 0 +2 +0 1 0x41f9 0xd8cdf109 @@ -207726,6 +259658,8 @@ 0 0 0 +5 +1 4 0x5496 0xd04b171 @@ -207734,6 +259668,8 @@ 0 0 0 +5 +5 1 0xc307 0xe3d39923 @@ -207742,6 +259678,8 @@ 1 1 0 +4 +1 2 0x4233 0x1bdb4976 @@ -207750,6 +259688,8 @@ 1 0 0 +2 +2 3 0x314f 0x271a4928 @@ -207758,6 +259698,8 @@ 1 0 0 +5 +3 1 0xb3f9 0x4e2ec44a @@ -207766,6 +259708,8 @@ 1 0 0 +0 +0 1 0x5f93 0x5f64ab82 @@ -207774,6 +259718,8 @@ 0 0 0 +2 +2 1 0x60b6 0xacf6aa3b @@ -207782,6 +259728,8 @@ 1 0 0 +5 +0 4 0x908 0x86c9b84a @@ -207790,6 +259738,8 @@ 1 1 0 +3 +3 2 0x4de1 0xe86bc3c @@ -207798,6 +259748,8 @@ 1 1 0 +4 +1 1 0x80cd 0x3c97fb3d @@ -207806,6 +259758,8 @@ 1 1 0 +2 +5 3 0xea7d 0xa8ff021f @@ -207814,6 +259768,8 @@ 0 0 0 +3 +2 4 0x710f 0x158e2098 @@ -207822,6 +259778,8 @@ 1 1 0 +4 +3 3 0x9a9 0xc321f91d @@ -207831,6 +259789,8 @@ 1 0 3 +5 +3 0x3eff 0xe96e33e2 256 @@ -207838,6 +259798,8 @@ 1 0 0 +1 +3 2 0xa658 0x9e32d4a3 @@ -207846,6 +259808,8 @@ 0 0 0 +4 +4 3 0x1251 0x5e393537 @@ -207854,6 +259818,8 @@ 1 1 0 +2 +0 3 0x1db6 0x2d6fc4f @@ -207862,6 +259828,8 @@ 1 0 0 +4 +3 2 0xa51 0xee222641 @@ -207870,6 +259838,8 @@ 0 0 0 +3 +3 4 0x7b95 0xf1b04497 @@ -207878,6 +259848,8 @@ 0 0 0 +5 +0 3 0x7bf1 0xb6506380 @@ -207886,6 +259858,8 @@ 0 0 0 +4 +5 3 0xa990 0xc6d04ba1 @@ -207894,6 +259868,8 @@ 1 1 0 +5 +5 4 0x97cb 0x4ae2cf57 @@ -207902,6 +259878,8 @@ 0 0 0 +4 +4 3 0x6e61 0x37c8c5df @@ -207910,6 +259888,8 @@ 0 0 0 +0 +0 1 0xa8b3 0x661d42d7 @@ -207918,6 +259898,8 @@ 0 0 0 +5 +1 4 0x7b46 0xeb15a393 @@ -207926,6 +259908,8 @@ 1 0 0 +0 +0 4 0x614a 0x55c59db4 @@ -207934,6 +259918,8 @@ 1 1 0 +5 +0 1 0xcd4b 0x4349c4b5 @@ -207942,6 +259928,8 @@ 1 0 0 +1 +1 2 0x2389 0x54d911ea @@ -207951,6 +259939,8 @@ 0 0 3 +0 +3 0x5295 0xe51514f7 256 @@ -207958,6 +259948,8 @@ 0 0 0 +5 +1 2 0xa25a 0x8d7de4e3 @@ -207966,6 +259958,8 @@ 1 1 0 +3 +0 4 0x20d6 0xc312ebb5 @@ -207974,6 +259968,8 @@ 1 0 0 +2 +2 3 0xd51a 0x82aa5a9c @@ -207982,6 +259978,8 @@ 0 0 0 +0 +3 2 0x1ec9 0xf7b03ffa @@ -207990,6 +259988,8 @@ 0 0 0 +3 +5 2 0xd49 0xbdb0cd40 @@ -207998,6 +259998,8 @@ 0 0 0 +0 +4 4 0x10b7 0x84c1e4e9 @@ -208007,6 +260009,8 @@ 1 0 4 +1 +4 0xebd4 0xe0d283b8 256 @@ -208014,6 +260018,8 @@ 0 0 0 +3 +2 1 0x17f7 0x8f6f99af @@ -208022,6 +260028,8 @@ 0 0 0 +2 +1 3 0x4594 0xd7ebfb9 @@ -208030,6 +260038,8 @@ 0 0 0 +4 +3 1 0xd167 0x65bc5be @@ -208038,6 +260048,8 @@ 0 0 0 +1 +2 2 0xa246 0x90cd3dc6 @@ -208046,6 +260058,8 @@ 1 1 0 +4 +5 3 0x4647 0x57c29757 @@ -208055,6 +260069,8 @@ 0 0 4 +1 +4 0xdc5b 0xd21f92df 256 @@ -208063,6 +260079,8 @@ 0 0 1 +3 +1 0x4a27 0xf9e778ae 256 @@ -208071,6 +260089,8 @@ 0 0 3 +4 +3 0x6ec5 0xdd49fcc4 256 @@ -208078,6 +260098,8 @@ 0 0 0 +5 +2 3 0xd11e 0xb698760a @@ -208086,6 +260108,8 @@ 1 0 0 +1 +5 3 0x573e 0x5a3736f6 @@ -208095,6 +260119,8 @@ 0 0 2 +3 +2 0x5ca 0x1ca167f0 256 @@ -208102,6 +260128,8 @@ 0 0 0 +1 +4 2 0x213b 0x76be672c @@ -208110,6 +260138,8 @@ 0 0 0 +1 +4 2 0x122c 0xf734e82c @@ -208119,6 +260149,8 @@ 0 0 1 +3 +1 0x1a8b 0x82335921 256 @@ -208126,6 +260158,8 @@ 1 1 0 +5 +0 4 0xb4ed 0x5561a16c @@ -208134,6 +260168,8 @@ 1 0 0 +2 +1 3 0xddd6 0xc7d8c842 @@ -208142,6 +260178,8 @@ 0 0 0 +1 +1 4 0x1b78 0x8178d709 @@ -208150,6 +260188,8 @@ 1 0 0 +5 +0 4 0xbaf6 0xa2621e49 @@ -208159,6 +260199,8 @@ 0 0 2 +3 +2 0xb0f1 0x67e9149e 256 @@ -208166,6 +260208,8 @@ 0 0 0 +4 +3 3 0x6ea1 0x2fce1d97 @@ -208174,6 +260218,8 @@ 0 0 0 +2 +0 1 0x9fff 0xbcb915f9 @@ -208182,6 +260228,8 @@ 0 0 0 +3 +1 2 0xc931 0x6c7d88cf @@ -208190,6 +260238,8 @@ 1 0 0 +1 +2 4 0x68a4 0x66a7cf67 @@ -208198,6 +260248,8 @@ 1 1 0 +5 +4 3 0x47a6 0x9df06f4e @@ -208206,6 +260258,8 @@ 1 0 0 +0 +5 3 0x8349 0xcd289f1f @@ -208214,6 +260268,8 @@ 1 0 0 +4 +1 3 0xd8ed 0x71e4775b @@ -208223,6 +260279,8 @@ 0 0 3 +4 +3 0xb13f 0xae56053e 256 @@ -208230,6 +260288,8 @@ 0 0 0 +2 +1 4 0x130a 0x6f797bc4 @@ -208238,6 +260298,8 @@ 1 1 0 +0 +0 2 0x741c 0x9b56800c @@ -208246,6 +260308,8 @@ 0 0 0 +5 +4 2 0x740c 0xacc2f300 @@ -208254,6 +260318,8 @@ 1 1 0 +4 +0 1 0xe925 0x796a8b05 @@ -208262,6 +260328,8 @@ 0 0 0 +4 +3 2 0xa55b 0xd6bd09b1 @@ -208270,6 +260338,8 @@ 0 0 0 +4 +4 1 0x84bb 0x854cf2b9 @@ -208278,6 +260348,8 @@ 1 1 0 +0 +4 2 0x6f5e 0xd1284a80 @@ -208286,6 +260358,8 @@ 0 0 0 +5 +0 2 0x11bd 0x73f310a8 @@ -208294,6 +260368,8 @@ 0 0 0 +0 +5 2 0xbcbe 0x4e62e979 @@ -208302,6 +260378,8 @@ 1 1 0 +4 +0 3 0xaacb 0x64fc2e6a @@ -208310,6 +260388,8 @@ 0 0 0 +0 +5 3 0x4966 0x78ad838e @@ -208318,6 +260398,8 @@ 1 0 0 +3 +0 4 0x8188 0x96ba6f2 @@ -208326,6 +260408,8 @@ 1 1 0 +5 +2 4 0x40e7 0xfe3ad2f1 @@ -208334,6 +260418,8 @@ 0 0 0 +0 +0 4 0x6382 0x4278f963 @@ -208342,6 +260428,8 @@ 0 0 0 +1 +4 4 0x93b5 0xd0ffad2d @@ -208350,6 +260438,8 @@ 1 0 0 +4 +1 3 0xdb47 0x202d50a4 @@ -208358,6 +260448,8 @@ 1 1 0 +4 +3 3 0xf561 0x73f5e8f9 @@ -208366,6 +260458,8 @@ 0 0 0 +2 +2 3 0x39b1 0x57e1a58c @@ -208375,6 +260469,8 @@ 0 0 3 +5 +3 0x52a8 0x980efd00 256 @@ -208382,6 +260478,8 @@ 1 1 0 +2 +1 4 0xc21f 0x59b8fad5 @@ -208390,6 +260488,8 @@ 0 0 0 +0 +4 1 0x4977 0xecfcecb0 @@ -208398,6 +260498,8 @@ 1 0 0 +5 +4 3 0x9ffa 0x85940e0b @@ -208406,6 +260508,8 @@ 0 0 0 +2 +2 3 0x2c23 0xef0eae86 @@ -208414,6 +260518,8 @@ 1 0 0 +2 +2 1 0x4a6a 0x4881ded5 @@ -208422,6 +260528,8 @@ 1 1 0 +4 +2 1 0xc24d 0x2fe79b8b @@ -208430,6 +260538,8 @@ 0 0 0 +5 +2 4 0x56e3 0x438f6d92 @@ -208438,6 +260548,8 @@ 1 0 0 +0 +4 4 0x77aa 0x4dc01bbd @@ -208446,6 +260558,8 @@ 0 0 0 +1 +5 2 0x4051 0xf13b4d02 @@ -208454,6 +260568,8 @@ 0 0 0 +4 +2 1 0xf9a 0xa0f2ee3d @@ -208462,6 +260578,8 @@ 0 0 0 +2 +0 4 0xc179 0x575b43f4 @@ -208470,6 +260588,8 @@ 0 0 0 +4 +4 1 0x636f 0x91691546 @@ -208478,6 +260598,8 @@ 1 1 0 +0 +4 1 0x2ee2 0x5aa6bd67 @@ -208486,6 +260608,8 @@ 0 0 0 +4 +0 2 0x54a4 0xc28f051f @@ -208494,6 +260618,8 @@ 0 0 0 +1 +5 3 0xf6c1 0xb8d74680 @@ -208502,6 +260628,8 @@ 0 0 0 +5 +2 1 0x8d33 0xfcfab187 @@ -208510,6 +260638,8 @@ 0 0 0 +3 +5 4 0xdfbe 0xed60687b @@ -208518,6 +260648,8 @@ 0 0 0 +0 +1 4 0x6058 0xe2a96f4d @@ -208526,6 +260658,8 @@ 1 1 0 +5 +5 4 0xe318 0xffb712f4 @@ -208534,6 +260668,8 @@ 1 0 0 +1 +1 3 0x73b 0x8b8c3f20 @@ -208542,6 +260678,8 @@ 1 1 0 +5 +0 4 0x663a 0x75a6464b @@ -208550,6 +260688,8 @@ 0 0 0 +2 +5 1 0xb680 0x91c9a114 @@ -208558,6 +260698,8 @@ 0 0 0 +5 +2 1 0x5d4f 0x70de2352 @@ -208566,6 +260708,8 @@ 0 0 0 +3 +0 1 0x1652 0x278eb5ab @@ -208574,6 +260718,8 @@ 0 0 0 +0 +0 3 0x7ecf 0x9d780b72 @@ -208582,6 +260728,8 @@ 0 0 0 +0 +4 4 0x256b 0x2a9c61d2 @@ -208590,6 +260738,8 @@ 0 0 0 +3 +4 1 0xaf24 0x4d951030 @@ -208598,6 +260748,8 @@ 0 0 0 +3 +5 2 0x7055 0xce6cdfe4 @@ -208606,6 +260758,8 @@ 0 0 0 +4 +3 2 0xc8c1 0x402cb502 @@ -208615,6 +260769,8 @@ 0 0 3 +3 +3 0xd9ab 0x591b2ade 256 @@ -208622,6 +260778,8 @@ 0 0 0 +4 +4 2 0x74c3 0xe6e6c981 @@ -208630,6 +260788,8 @@ 1 1 0 +1 +3 3 0x5414 0xee28caed @@ -208638,6 +260798,8 @@ 1 0 0 +1 +4 3 0x8461 0xdbd01027 @@ -208646,6 +260808,8 @@ 1 0 0 +5 +4 4 0x9dc1 0x11d3fd1b @@ -208654,6 +260818,8 @@ 1 1 0 +2 +0 3 0xf1ba 0x1aebde8a @@ -208662,6 +260828,8 @@ 0 0 0 +0 +1 4 0x4fd6 0xc2b0b64 @@ -208670,6 +260838,8 @@ 1 0 0 +4 +0 2 0x8271 0x5115765f @@ -208678,6 +260848,8 @@ 1 1 0 +4 +1 2 0x46f5 0x1719e686 @@ -208687,6 +260859,8 @@ 1 0 4 +4 +4 0x1192 0xe81472e 256 @@ -208694,6 +260868,8 @@ 0 0 0 +0 +5 4 0xa269 0xf24a6ed @@ -208703,6 +260879,8 @@ 0 0 2 +1 +2 0x233 0xf511cb20 256 @@ -208710,6 +260888,8 @@ 0 0 0 +4 +0 3 0x5321 0xc293246f @@ -208718,6 +260898,8 @@ 1 1 0 +0 +2 1 0xc381 0x147fcafa @@ -208726,6 +260908,8 @@ 0 0 0 +1 +0 3 0xfc55 0xf74c90ff @@ -208734,6 +260918,8 @@ 0 0 0 +2 +1 4 0xfc12 0x86b75870 @@ -208742,6 +260928,8 @@ 0 0 0 +0 +2 1 0x14fc 0xeed5b59 @@ -208750,6 +260938,8 @@ 1 0 0 +2 +5 3 0x46ce 0xef5b74ae @@ -208758,6 +260948,8 @@ 0 0 0 +1 +1 2 0x6aed 0xb1f65f4a @@ -208766,6 +260958,8 @@ 1 1 0 +3 +4 4 0xefb5 0x8eccd1ca @@ -208774,6 +260968,8 @@ 0 0 0 +3 +5 2 0xb0fe 0x18314cd9 @@ -208782,6 +260978,8 @@ 1 1 0 +3 +5 2 0x55dc 0xb213f1b0 @@ -208790,6 +260988,8 @@ 1 1 0 +1 +2 3 0x8fd4 0xed1fd21a @@ -208799,6 +260999,8 @@ 0 0 4 +3 +4 0x38c9 0x87bbc28e 256 @@ -208807,6 +261009,8 @@ 0 0 2 +4 +2 0x92c0 0x20bd54d7 256 @@ -208814,6 +261018,8 @@ 0 0 0 +0 +4 3 0x3815 0x91cdf4ea @@ -208822,6 +261028,8 @@ 1 0 0 +2 +1 1 0x2e1d 0x4eef4c7d @@ -208830,6 +261038,8 @@ 1 0 0 +1 +5 3 0xbe3a 0x25aaacdc @@ -208839,6 +261049,8 @@ 0 0 4 +4 +4 0x9b42 0x8cb11b3 256 @@ -208846,6 +261058,8 @@ 0 0 0 +1 +3 4 0xf315 0x1a5cc753 @@ -208854,6 +261068,8 @@ 0 0 0 +0 +4 2 0xd00f 0x624b1c2d @@ -208862,6 +261078,8 @@ 0 0 0 +0 +0 4 0xbb43 0x9aae828e @@ -208870,6 +261088,8 @@ 1 1 0 +5 +2 1 0x3a0e 0xca000b9d @@ -208878,6 +261098,8 @@ 0 0 0 +2 +0 4 0xf0c6 0x5ff0fd8c @@ -208886,6 +261108,8 @@ 0 0 0 +1 +3 4 0xe383 0xed7a351c @@ -208894,6 +261118,8 @@ 0 0 0 +3 +2 1 0xd82e 0xf3740d9b @@ -208902,6 +261128,8 @@ 0 0 0 +4 +0 1 0xcd4e 0x9a60a9a4 @@ -208911,6 +261139,8 @@ 0 0 3 +4 +3 0xb129 0x52341105 256 @@ -208918,6 +261148,8 @@ 0 0 0 +5 +3 3 0x62f4 0x957c390d @@ -208926,6 +261158,8 @@ 0 0 0 +3 +2 4 0xf458 0x905c92e @@ -208934,6 +261168,8 @@ 0 0 0 +5 +3 1 0xd737 0x6c305af0 @@ -208942,6 +261178,8 @@ 1 0 0 +1 +4 2 0x2d9b 0x82c68ec5 @@ -208951,6 +261189,8 @@ 1 0 1 +4 +1 0x436b 0xed393529 256 @@ -208959,6 +261199,8 @@ 0 0 4 +2 +4 0xaeba 0xb20fa257 256 @@ -208966,6 +261208,8 @@ 0 0 0 +5 +3 4 0x5be5 0x360dd375 @@ -208974,6 +261218,8 @@ 0 0 0 +5 +3 4 0x27ec 0xf4d3e909 @@ -208982,6 +261228,8 @@ 1 0 0 +2 +0 1 0xb957 0x6444ed16 @@ -208990,6 +261238,8 @@ 1 0 0 +3 +2 1 0x35b7 0x7f0e1e4b @@ -208999,6 +261249,8 @@ 0 0 2 +3 +2 0x43fe 0x977f414b 256 @@ -209006,6 +261258,8 @@ 0 0 0 +3 +1 1 0xa1b9 0x866f18c4 @@ -209015,6 +261269,8 @@ 0 0 3 +3 +3 0x237e 0x34e428aa 256 @@ -209022,6 +261278,8 @@ 1 0 0 +4 +2 1 0xdcd9 0xf1517cb0 @@ -209030,6 +261288,8 @@ 1 0 0 +0 +5 3 0x6a00 0xbea2872a @@ -209039,6 +261299,8 @@ 0 0 4 +0 +4 0x787f 0xe2911dd8 256 @@ -209046,6 +261308,8 @@ 1 1 0 +5 +5 2 0xe5e4 0x58d88eaa @@ -209054,6 +261318,8 @@ 0 0 0 +1 +2 2 0x7015 0xfdf57b94 @@ -209062,6 +261328,8 @@ 0 0 0 +4 +2 1 0x231f 0xd40a7d72 @@ -209070,6 +261338,8 @@ 1 1 0 +0 +0 1 0x2e81 0xa59aebd @@ -209078,6 +261348,8 @@ 0 0 0 +1 +5 3 0xfd1f 0xf94800e2 @@ -209086,6 +261358,8 @@ 1 0 0 +5 +4 2 0xa89e 0xd4cb8afb @@ -209094,6 +261368,8 @@ 1 0 0 +5 +3 4 0xc2d0 0x852124ae @@ -209102,6 +261378,8 @@ 0 0 0 +1 +3 4 0x5d74 0xb57dbb3c @@ -209110,6 +261388,8 @@ 1 1 0 +5 +3 2 0x88ef 0x943e9c93 @@ -209118,6 +261398,8 @@ 0 0 0 +0 +1 4 0xf170 0x8ab8021f @@ -209126,6 +261408,8 @@ 1 1 0 +0 +4 2 0xcb81 0x68033ee5 @@ -209134,6 +261418,8 @@ 1 1 0 +4 +0 2 0x373 0x72985107 @@ -209142,6 +261428,8 @@ 0 0 0 +4 +4 2 0xeb1b 0xa0092177 @@ -209151,6 +261439,8 @@ 1 0 2 +2 +2 0xe915 0xcbb8bb43 256 @@ -209158,6 +261448,8 @@ 0 0 0 +1 +1 3 0x5e9f 0x541638e4 @@ -209166,6 +261458,8 @@ 0 0 0 +4 +4 3 0xffa2 0x90944f86 @@ -209174,6 +261468,8 @@ 0 0 0 +3 +1 2 0xdd18 0x4cf0c444 @@ -209182,6 +261478,8 @@ 0 0 0 +1 +2 2 0xee37 0xb173c428 @@ -209190,6 +261488,8 @@ 1 0 0 +5 +0 1 0xb5d1 0xa27c9b92 @@ -209198,6 +261498,8 @@ 0 0 0 +2 +0 4 0x3e60 0xfbc77db4 @@ -209206,6 +261508,8 @@ 1 1 0 +1 +1 3 0x8796 0xcd46c9ff @@ -209214,6 +261518,8 @@ 1 0 0 +5 +1 1 0xd36a 0x469f4944 @@ -209222,6 +261528,8 @@ 1 0 0 +4 +4 1 0x1760 0xd1861044 @@ -209230,6 +261538,8 @@ 1 1 0 +5 +3 1 0xa322 0x824849d @@ -209239,6 +261549,8 @@ 0 0 1 +0 +1 0x6a29 0xe5236564 256 @@ -209246,6 +261558,8 @@ 0 0 0 +5 +3 1 0xbf05 0x3508fb9b @@ -209254,6 +261568,8 @@ 1 0 0 +3 +5 2 0xd34 0x3eb48854 @@ -209262,6 +261578,8 @@ 0 0 0 +4 +5 1 0xb950 0xf38f785a @@ -209271,6 +261589,8 @@ 0 0 4 +2 +4 0x25dd 0x549c8817 256 @@ -209278,6 +261598,8 @@ 1 1 0 +5 +4 3 0xee5c 0xa95d4f59 @@ -209286,6 +261608,8 @@ 0 0 0 +0 +1 2 0xdee9 0x61a3cdf7 @@ -209294,6 +261618,8 @@ 0 0 0 +4 +5 1 0x7145 0x87e1af23 @@ -209302,6 +261628,8 @@ 1 1 0 +0 +5 2 0x6a76 0x6f6c3f75 @@ -209311,6 +261639,8 @@ 0 0 4 +4 +4 0x9f62 0x254f8fc 256 @@ -209318,6 +261648,8 @@ 0 0 0 +5 +3 4 0x143d 0xa84e71fc @@ -209326,6 +261658,8 @@ 0 0 0 +3 +0 1 0x37e4 0x9a4cb4cb @@ -209334,6 +261668,8 @@ 1 1 0 +1 +1 2 0x6639 0xf85a387d @@ -209342,6 +261678,8 @@ 1 1 0 +1 +1 3 0x645 0xc763809b @@ -209350,6 +261688,8 @@ 1 1 0 +1 +4 4 0x45b5 0xf722d9bf @@ -209358,6 +261698,8 @@ 1 0 0 +1 +3 4 0xd05d 0xa95e0384 @@ -209366,6 +261708,8 @@ 0 0 0 +0 +1 3 0x5391 0x70310c51 @@ -209374,6 +261718,8 @@ 0 0 0 +3 +2 4 0x5601 0xe56e5727 @@ -209382,6 +261728,8 @@ 1 1 0 +3 +3 2 0x12a0 0x8725041e @@ -209390,6 +261738,8 @@ 1 1 0 +0 +5 1 0xaef 0xae9430fe @@ -209398,6 +261748,8 @@ 0 0 0 +2 +2 4 0x4dbe 0x73474530 @@ -209406,6 +261758,8 @@ 1 0 0 +5 +4 4 0x89f5 0x509a0421 @@ -209414,6 +261768,8 @@ 1 1 0 +4 +1 1 0x3a1f 0x29bedfd4 @@ -209422,6 +261778,8 @@ 0 0 0 +5 +5 2 0xa461 0xf18a9a8c @@ -209430,6 +261788,8 @@ 0 0 0 +4 +2 1 0x9898 0xfe24d6e0 @@ -209438,6 +261798,8 @@ 1 1 0 +5 +3 3 0xd959 0x2ce469f @@ -209446,6 +261808,8 @@ 0 0 0 +3 +3 4 0x2218 0x2bac4843 @@ -209454,6 +261818,8 @@ 1 1 0 +3 +1 2 0xbdf9 0x1e9292e1 @@ -209462,6 +261828,8 @@ 0 0 0 +0 +4 4 0x551e 0x76c721d9 @@ -209470,6 +261838,8 @@ 1 0 0 +1 +3 4 0xe5c0 0xffcc605e @@ -209478,6 +261848,8 @@ 1 0 0 +0 +2 2 0xf449 0x8d93a0ae @@ -209486,6 +261858,8 @@ 0 0 0 +4 +0 3 0x8c9a 0x7c2fcfad @@ -209495,6 +261869,8 @@ 0 0 2 +5 +2 0x8abe 0x416f827b 256 @@ -209503,6 +261879,8 @@ 0 0 1 +4 +1 0x6545 0x23c46d5f 256 @@ -209510,6 +261888,8 @@ 1 0 0 +1 +2 4 0xf42d 0xf8d2c6aa @@ -209518,6 +261898,8 @@ 0 0 0 +1 +5 4 0x7527 0xe424c9a1 @@ -209526,6 +261908,8 @@ 0 0 0 +0 +2 1 0x41ff 0xc7cd2bf2 @@ -209535,6 +261919,8 @@ 1 0 3 +4 +3 0xf8d3 0xbb64e0d9 256 @@ -209542,6 +261928,8 @@ 1 0 0 +5 +1 4 0xc2c 0xdd80893e @@ -209550,6 +261938,8 @@ 0 0 0 +2 +5 4 0x99b8 0x3ba454f @@ -209559,6 +261949,8 @@ 0 0 3 +5 +3 0x87c2 0xd01e3544 256 @@ -209566,6 +261958,8 @@ 1 0 0 +0 +4 3 0xdf5c 0xb3303ba6 @@ -209574,6 +261968,8 @@ 0 0 0 +4 +4 3 0x7ced 0x831b9755 @@ -209582,6 +261978,8 @@ 0 0 0 +5 +0 3 0x6147 0x984390f6 @@ -209590,6 +261988,8 @@ 1 1 0 +3 +3 4 0xe244 0x8a64c8a1 @@ -209598,6 +261998,8 @@ 0 0 0 +1 +3 3 0x16cf 0x51c17a37 @@ -209606,6 +262008,8 @@ 1 0 0 +4 +3 1 0xf8d3 0x1b29c45d @@ -209614,6 +262018,8 @@ 1 0 0 +5 +0 3 0x4a00 0xce97d5fa @@ -209622,6 +262028,8 @@ 0 0 0 +5 +1 4 0xa493 0xd9c496a7 @@ -209630,6 +262038,8 @@ 0 0 0 +4 +4 3 0xe899 0xc8c6a879 @@ -209638,6 +262048,8 @@ 0 0 0 +1 +1 4 0x6d44 0xb2607404 @@ -209646,6 +262058,8 @@ 0 0 0 +5 +2 2 0x3129 0xceb8bb6 @@ -209654,6 +262068,8 @@ 0 0 0 +5 +2 4 0xfc62 0x2ce213f9 @@ -209663,6 +262079,8 @@ 0 0 3 +1 +3 0xf777 0x8954b158 256 @@ -209670,6 +262088,8 @@ 0 0 0 +0 +4 3 0xf4e9 0x5d7a08b7 @@ -209678,6 +262098,8 @@ 0 0 0 +3 +5 4 0xcb01 0xe50fb69e @@ -209686,6 +262108,8 @@ 1 0 0 +4 +4 3 0x426b 0xaaae5ee2 @@ -209694,6 +262118,8 @@ 0 0 0 +0 +1 2 0xc32f 0x3cc0d7ca @@ -209702,6 +262128,8 @@ 0 0 0 +3 +2 4 0xc0c3 0x2ea036ac @@ -209710,6 +262138,8 @@ 1 0 0 +3 +0 2 0x5b10 0x9ef39adf @@ -209719,6 +262149,8 @@ 0 0 4 +3 +4 0x6206 0x244b8d97 256 @@ -209727,6 +262159,8 @@ 0 0 3 +1 +3 0x3a04 0xd28c35e8 256 @@ -209734,6 +262168,8 @@ 0 0 0 +2 +0 3 0xaa74 0xc7ca3679 @@ -209743,6 +262179,8 @@ 0 0 3 +3 +3 0xe2ba 0x13f8b420 256 @@ -209750,6 +262188,8 @@ 1 0 0 +0 +5 1 0x42eb 0xb01c2ed8 @@ -209758,6 +262198,8 @@ 1 0 0 +4 +1 3 0x858c 0x3f6b7d41 @@ -209767,6 +262209,8 @@ 0 0 2 +0 +2 0x64b1 0xd7789d57 256 @@ -209774,6 +262218,8 @@ 1 0 0 +4 +2 3 0x4c10 0xbc4238d4 @@ -209782,6 +262228,8 @@ 1 1 0 +0 +5 1 0x274a 0xfff52f48 @@ -209790,6 +262238,8 @@ 1 1 0 +5 +4 3 0xb2e2 0x374b7887 @@ -209798,6 +262248,8 @@ 0 0 0 +3 +0 2 0xa742 0xaba1344e @@ -209806,6 +262258,8 @@ 1 1 0 +5 +4 2 0x325d 0xf9ea4ad2 @@ -209814,6 +262268,8 @@ 1 1 0 +2 +2 1 0xb188 0x4e2b817f @@ -209822,6 +262278,8 @@ 0 0 0 +5 +0 3 0x50c7 0xa2529dd2 @@ -209830,6 +262288,8 @@ 0 0 0 +1 +4 3 0x75a9 0x8f6a55e3 @@ -209839,6 +262299,8 @@ 0 0 2 +4 +2 0x475e 0xd7ac252e 256 @@ -209846,6 +262308,8 @@ 0 0 0 +1 +4 3 0xc451 0xff4cc80b @@ -209854,6 +262318,8 @@ 1 1 0 +4 +2 3 0x99ab 0xf06e4ca0 @@ -209862,6 +262328,8 @@ 0 0 0 +3 +1 4 0xa2bc 0x58827064 @@ -209870,6 +262338,8 @@ 0 0 0 +2 +2 1 0x569f 0x34033456 @@ -209878,6 +262348,8 @@ 1 1 0 +1 +0 3 0x22ad 0x8c5edf0a @@ -209886,6 +262358,8 @@ 1 0 0 +1 +4 2 0xa675 0xaa175307 @@ -209894,6 +262368,8 @@ 0 0 0 +1 +2 2 0x4ca3 0x56e83387 @@ -209902,6 +262378,8 @@ 1 0 0 +2 +4 4 0xc649 0x991de792 @@ -209910,6 +262388,8 @@ 0 0 0 +3 +1 4 0x8f56 0x35a3c9e9 @@ -209918,6 +262398,8 @@ 1 0 0 +5 +0 4 0x32f8 0xb63b71af @@ -209926,6 +262408,8 @@ 0 0 0 +2 +0 3 0xe0b7 0xe0532bd0 @@ -209934,6 +262418,8 @@ 1 1 0 +5 +4 2 0x1e1e 0xbe7c7496 @@ -209942,6 +262428,8 @@ 1 1 0 +0 +4 3 0x3567 0x859d3334 @@ -209951,6 +262439,8 @@ 0 0 2 +2 +2 0x1549 0xe200d362 256 @@ -209958,6 +262448,8 @@ 1 0 0 +5 +1 3 0x9de3 0x3f1c7bc8 @@ -209966,6 +262458,8 @@ 0 0 0 +1 +2 4 0x7c74 0xd7268353 @@ -209974,6 +262468,8 @@ 0 0 0 +2 +2 3 0x52f4 0xfc5deeb1 @@ -209982,6 +262478,8 @@ 0 0 0 +2 +4 3 0x691 0x42a18b19 @@ -209990,6 +262488,8 @@ 0 0 0 +0 +0 1 0x4a0e 0x23ba6a5a @@ -209998,6 +262498,8 @@ 1 0 0 +3 +4 2 0xa850 0xc9f079f0 @@ -210006,6 +262508,8 @@ 1 0 0 +1 +0 4 0x32e1 0x8233f5bf @@ -210014,6 +262518,8 @@ 0 0 0 +3 +0 4 0x5031 0xcd823c71 @@ -210022,6 +262528,8 @@ 1 1 0 +1 +4 4 0x98e9 0x3fa84e5b @@ -210030,6 +262538,8 @@ 1 0 0 +1 +0 2 0xbb77 0x481523b6 @@ -210038,6 +262548,8 @@ 1 1 0 +5 +3 4 0x9f79 0xa1fe1ba4 @@ -210046,6 +262558,8 @@ 0 0 0 +0 +3 1 0xb828 0xe0485d9b @@ -210055,6 +262569,8 @@ 0 0 2 +0 +2 0xaf7a 0x9f469c00 256 @@ -210062,6 +262578,8 @@ 1 1 0 +0 +5 1 0x1c2 0x6473414 @@ -210071,6 +262589,8 @@ 0 0 1 +3 +1 0x1d40 0x738b1c8e 256 @@ -210079,6 +262599,8 @@ 0 0 4 +1 +4 0xdd14 0xd339ff7 256 @@ -210086,6 +262608,8 @@ 0 0 0 +1 +0 4 0xb567 0x71d3791c @@ -210094,6 +262618,8 @@ 1 1 0 +1 +5 4 0x61e9 0x84cceaf6 @@ -210102,6 +262628,8 @@ 0 0 0 +3 +2 1 0xcb11 0xa07cf20c @@ -210110,6 +262638,8 @@ 0 0 0 +5 +1 2 0xd720 0x9dac2e92 @@ -210118,6 +262648,8 @@ 0 0 0 +0 +0 4 0xa0bd 0xbac03f7b @@ -210126,6 +262658,8 @@ 1 1 0 +0 +0 4 0xd1e8 0x62dd4cfd @@ -210135,6 +262669,8 @@ 1 0 1 +4 +1 0x8e15 0x4add8637 256 @@ -210142,6 +262678,8 @@ 0 0 0 +0 +2 3 0xc351 0xaf364f6d @@ -210151,6 +262689,8 @@ 0 0 1 +3 +1 0xc3eb 0xd36a8cc8 256 @@ -210158,6 +262698,8 @@ 1 0 0 +0 +0 3 0xb3d0 0x500f3fef @@ -210166,6 +262708,8 @@ 1 1 0 +4 +2 1 0xc45d 0xe1862b60 @@ -210175,6 +262719,8 @@ 0 0 3 +4 +3 0xefda 0xf04e339e 256 @@ -210182,6 +262728,8 @@ 1 0 0 +3 +4 1 0x8cdd 0x93b0b59a @@ -210191,6 +262739,8 @@ 0 0 3 +2 +3 0xbc31 0x43d84bc1 256 @@ -210199,6 +262749,8 @@ 1 0 1 +3 +1 0x5797 0xf321c852 256 @@ -210206,6 +262758,8 @@ 0 0 0 +5 +5 2 0x9cc5 0xfde914fe @@ -210214,6 +262768,8 @@ 1 0 0 +5 +0 3 0x755d 0x49793d05 @@ -210222,6 +262778,8 @@ 1 1 0 +0 +3 2 0x25bf 0x4e6635df @@ -210230,6 +262788,8 @@ 1 1 0 +5 +4 2 0x1fc9 0x82329d4 @@ -210238,6 +262798,8 @@ 1 0 0 +2 +3 1 0xa69f 0xd4b589ca @@ -210246,6 +262808,8 @@ 1 1 0 +4 +0 3 0xf27e 0x117284c9 @@ -210255,6 +262819,8 @@ 1 0 3 +1 +3 0x188c 0x20d4ef5c 256 @@ -210262,6 +262828,8 @@ 1 1 0 +0 +5 3 0x25c1 0x53424722 @@ -210270,6 +262838,8 @@ 1 1 0 +1 +5 4 0x9ac5 0xe2250cf9 @@ -210278,6 +262848,8 @@ 1 1 0 +5 +5 3 0x99e0 0xe0884f0d @@ -210286,6 +262858,8 @@ 1 1 0 +0 +4 3 0x25a4 0x23e59ab4 @@ -210294,6 +262868,8 @@ 1 0 0 +1 +5 3 0xa71d 0x59748908 @@ -210303,6 +262879,8 @@ 0 0 1 +0 +1 0x6f46 0xb2eaae3d 256 @@ -210310,6 +262888,8 @@ 1 0 0 +4 +2 2 0x97f 0xb5701832 @@ -210318,6 +262898,8 @@ 1 1 0 +1 +2 3 0x1567 0x28fb504f @@ -210327,6 +262909,8 @@ 0 0 4 +1 +4 0x850b 0x37e820d4 256 @@ -210334,6 +262918,8 @@ 1 1 0 +4 +0 2 0xdc99 0x731f795 @@ -210342,6 +262928,8 @@ 1 0 0 +1 +2 3 0xc4b6 0x791266d3 @@ -210350,6 +262938,8 @@ 1 1 0 +5 +4 4 0xc30c 0x12f8f835 @@ -210358,6 +262948,8 @@ 1 1 0 +5 +5 3 0xc3e3 0x90bfbec @@ -210367,6 +262959,8 @@ 1 0 2 +3 +2 0xe9cd 0xb1696c29 256 @@ -210374,6 +262968,8 @@ 1 0 0 +5 +1 1 0xa846 0x74119c9 @@ -210383,6 +262979,8 @@ 0 0 2 +1 +2 0x94bc 0x833dbcd8 256 @@ -210390,6 +262988,8 @@ 1 1 0 +1 +0 2 0x8848 0x2b6514a5 @@ -210398,6 +262998,8 @@ 1 1 0 +5 +4 3 0x676b 0xb38dba5d @@ -210406,6 +263008,8 @@ 1 1 0 +4 +5 3 0xdd1f 0x28958e71 @@ -210415,6 +263019,8 @@ 0 0 1 +3 +1 0xed52 0xc4e1496c 256 @@ -210422,6 +263028,8 @@ 0 0 0 +3 +4 2 0x759b 0x6f7977db @@ -210430,6 +263038,8 @@ 0 0 0 +3 +0 2 0xbb09 0x6099d9dd @@ -210438,6 +263048,8 @@ 1 0 0 +4 +4 2 0x7652 0xccaea67b @@ -210446,6 +263058,8 @@ 0 0 0 +0 +5 2 0x6b8e 0x165b88ef @@ -210455,6 +263069,8 @@ 1 0 4 +3 +4 0xb95f 0xe134191 256 @@ -210463,6 +263079,8 @@ 0 0 1 +1 +1 0x79a8 0xe336961e 256 @@ -210470,6 +263088,8 @@ 0 0 0 +2 +5 3 0x3f23 0x5d3f37d6 @@ -210478,6 +263098,8 @@ 0 0 0 +0 +0 1 0xc51 0x7886a331 @@ -210486,6 +263108,8 @@ 1 1 0 +1 +0 4 0x91d7 0xdfb1fcf4 @@ -210495,6 +263119,8 @@ 0 0 3 +3 +3 0x7130 0xe754bc21 256 @@ -210502,6 +263128,8 @@ 1 1 0 +5 +0 3 0x4acb 0x1cbe4d @@ -210510,6 +263138,8 @@ 1 1 0 +0 +5 2 0x280c 0x7a7ee3dd @@ -210518,6 +263148,8 @@ 1 1 0 +0 +5 1 0x2e00 0xdcd7dca2 @@ -210526,6 +263158,8 @@ 0 0 0 +1 +2 2 0x7a66 0xfa2e801a @@ -210535,6 +263169,8 @@ 0 0 2 +3 +2 0xa109 0x461a036c 256 @@ -210542,6 +263178,8 @@ 0 0 0 +1 +5 3 0x6e01 0xb2c59b58 @@ -210550,6 +263188,8 @@ 1 0 0 +2 +2 4 0xab9b 0x183d8dd2 @@ -210558,6 +263198,8 @@ 0 0 0 +0 +2 2 0x2dbb 0x65af4249 @@ -210566,6 +263208,8 @@ 0 0 0 +5 +0 3 0xbf07 0xc8c3cb40 @@ -210574,6 +263218,8 @@ 0 0 0 +5 +1 2 0x32a5 0x63e6b648 @@ -210582,6 +263228,8 @@ 0 0 0 +0 +5 3 0x2ed1 0x5e57b80a @@ -210591,6 +263239,8 @@ 0 0 1 +2 +1 0xc738 0x9147f8f2 256 @@ -210598,6 +263248,8 @@ 1 0 0 +3 +1 4 0xbe37 0xe27d92f @@ -210606,6 +263258,8 @@ 0 0 0 +3 +4 4 0x7eba 0x73f94caf @@ -210614,6 +263268,8 @@ 1 0 0 +0 +1 2 0x78c6 0x52d724bd @@ -210622,6 +263278,8 @@ 0 0 0 +1 +1 3 0xa488 0xc0243b41 @@ -210630,6 +263288,8 @@ 0 0 0 +4 +3 3 0x7418 0x30a0ddfe @@ -210638,6 +263298,8 @@ 1 0 0 +4 +4 3 0x7a5b 0xa540a1c1 @@ -210646,6 +263308,8 @@ 1 0 0 +0 +2 4 0x1c66 0x2a992a4 @@ -210655,6 +263319,8 @@ 0 0 2 +0 +2 0x322e 0x446ad408 256 @@ -210662,6 +263328,8 @@ 0 0 0 +0 +4 1 0xdc6d 0xcf1dc822 @@ -210670,6 +263338,8 @@ 1 0 0 +0 +0 1 0x64cc 0xfc7049eb @@ -210678,6 +263348,8 @@ 0 0 0 +4 +0 3 0x8304 0xbd08506c @@ -210687,6 +263359,8 @@ 1 0 4 +2 +4 0xa0dc 0x94e6edf5 256 @@ -210694,6 +263368,8 @@ 1 1 0 +3 +0 2 0x6a93 0xc68a1d22 @@ -210702,6 +263378,8 @@ 0 0 0 +1 +1 3 0x7e18 0x1f5d600 @@ -210710,6 +263388,8 @@ 0 0 0 +2 +2 1 0x5a9e 0x93b04f52 @@ -210718,6 +263398,8 @@ 0 0 0 +3 +1 1 0xd465 0x77547b0a @@ -210726,6 +263408,8 @@ 1 0 0 +0 +3 1 0x6631 0x9430e82b @@ -210734,6 +263418,8 @@ 0 0 0 +2 +5 4 0x9422 0xcad6c799 @@ -210742,6 +263428,8 @@ 1 1 0 +5 +5 2 0x3c67 0x2a6b0ac7 @@ -210750,6 +263438,8 @@ 0 0 0 +2 +1 3 0xd370 0x83ff8ef0 @@ -210758,6 +263448,8 @@ 1 0 0 +2 +0 1 0x10000 0x70381843 @@ -210767,6 +263459,8 @@ 1 0 1 +5 +1 0x91a2 0x2712c3a6 256 @@ -210774,6 +263468,8 @@ 1 1 0 +5 +1 2 0x7392 0x906f448f @@ -210782,6 +263478,8 @@ 0 0 0 +4 +3 3 0x58c5 0xa015b840 @@ -210790,6 +263488,8 @@ 1 1 0 +1 +3 4 0x7a33 0x32f36c65 @@ -210799,6 +263499,8 @@ 0 0 2 +5 +2 0xd3a 0x8e428487 256 @@ -210806,6 +263508,8 @@ 1 0 0 +0 +2 4 0xec70 0x34ee609c @@ -210814,6 +263518,8 @@ 1 0 0 +1 +0 4 0x53cf 0x13c9c640 @@ -210822,6 +263528,8 @@ 1 1 0 +3 +2 4 0xf6cb 0xc82af204 @@ -210830,6 +263538,8 @@ 1 0 0 +1 +5 3 0xbc9e 0xa48213f4 @@ -210838,6 +263548,8 @@ 1 1 0 +5 +0 2 0x37cf 0xe9360a83 @@ -210846,6 +263558,8 @@ 1 1 0 +4 +3 2 0x1767 0x613ab72d @@ -210854,6 +263568,8 @@ 1 0 0 +3 +0 2 0xc977 0x92d9c253 @@ -210863,6 +263579,8 @@ 0 0 3 +5 +3 0x9921 0xc9b98487 256 @@ -210870,6 +263588,8 @@ 0 0 0 +5 +1 4 0xa001 0xe834d860 @@ -210878,6 +263598,8 @@ 0 0 0 +5 +4 4 0x3e70 0x9770091e @@ -210886,6 +263608,8 @@ 1 1 0 +3 +5 4 0x4fe7 0x28aa74d9 @@ -210894,6 +263618,8 @@ 0 0 0 +0 +2 3 0x821f 0x20394730 @@ -210903,6 +263629,8 @@ 0 0 3 +5 +3 0x997a 0x1e079f36 256 @@ -210910,6 +263638,8 @@ 0 0 0 +2 +4 3 0xa72 0xcc664087 @@ -210918,6 +263648,8 @@ 1 1 0 +1 +0 2 0x43c 0x6a8a71cc @@ -210926,6 +263658,8 @@ 1 0 0 +4 +5 3 0x9f9e 0x32d512be @@ -210935,6 +263669,8 @@ 1 0 2 +2 +2 0xcaf8 0x8c425353 256 @@ -210942,6 +263678,8 @@ 0 0 0 +5 +5 1 0x33ac 0x5e371fc3 @@ -210951,6 +263689,8 @@ 1 0 4 +3 +4 0x715b 0x1d238c3e 256 @@ -210958,6 +263698,8 @@ 1 1 0 +4 +1 2 0x32a5 0x7e52f138 @@ -210966,6 +263708,8 @@ 0 0 0 +5 +1 2 0xf874 0xbcaac0d4 @@ -210974,6 +263718,8 @@ 0 0 0 +2 +2 4 0x76ec 0xc339aac3 @@ -210982,6 +263728,8 @@ 1 0 0 +0 +0 4 0x49b5 0xa968dd5e @@ -210991,6 +263739,8 @@ 0 0 3 +2 +3 0x8868 0x906acbbe 256 @@ -210998,6 +263748,8 @@ 1 1 0 +3 +3 4 0x367e 0xc9b5fd85 @@ -211007,6 +263759,8 @@ 0 0 3 +4 +3 0x5ea2 0xe44c2f52 256 @@ -211014,6 +263768,8 @@ 0 0 0 +0 +1 3 0x7d35 0x724b82ca @@ -211023,6 +263779,8 @@ 1 0 3 +3 +3 0x66ce 0x9b10a11b 256 @@ -211031,6 +263789,8 @@ 1 0 3 +4 +3 0x8956 0x75dcf78b 256 @@ -211038,6 +263798,8 @@ 1 1 0 +3 +2 1 0x9cff 0xcc54bf7f @@ -211046,6 +263808,8 @@ 1 0 0 +4 +0 1 0x43a4 0xab11f861 @@ -211054,6 +263818,8 @@ 1 0 0 +5 +3 1 0xc517 0x32c2145f @@ -211062,6 +263828,8 @@ 1 0 0 +3 +3 2 0x107b 0xe267a2cf @@ -211071,6 +263839,8 @@ 0 0 2 +0 +2 0x8172 0x563ce594 256 @@ -211079,6 +263849,8 @@ 0 0 3 +4 +3 0xcc6e 0x96637148 256 @@ -211087,6 +263859,8 @@ 0 0 3 +5 +3 0xf161 0x96e8c72b 256 @@ -211094,6 +263868,8 @@ 0 0 0 +0 +3 3 0xc4b2 0xf4a15f3 @@ -211103,6 +263879,8 @@ 0 0 4 +2 +4 0x3ab4 0xb4ba01dd 256 @@ -211110,6 +263888,8 @@ 1 0 0 +3 +0 4 0xce2f 0x5280c33c @@ -211118,6 +263898,8 @@ 1 1 0 +0 +0 4 0x13e5 0x5498532c @@ -211126,6 +263908,8 @@ 1 1 0 +5 +1 1 0x9856 0xe337a6b1 @@ -211134,6 +263918,8 @@ 1 0 0 +0 +4 2 0xaa7e 0x29a81c3a @@ -211142,6 +263928,8 @@ 1 0 0 +3 +5 4 0x1deb 0xe8fcc856 @@ -211151,6 +263939,8 @@ 0 0 1 +1 +1 0xadf0 0xa9db4f29 256 @@ -211158,6 +263948,8 @@ 0 0 0 +4 +0 3 0x6dca 0xebcc5b76 @@ -211166,6 +263958,8 @@ 1 1 0 +0 +0 4 0x92f7 0x237bd397 @@ -211174,6 +263968,8 @@ 1 0 0 +3 +2 2 0x5be0 0xdd83bd2d @@ -211182,6 +263978,8 @@ 0 0 0 +2 +3 4 0x61a5 0x2182104c @@ -211190,6 +263988,8 @@ 1 0 0 +2 +3 4 0xcdb8 0x37742590 @@ -211198,6 +263998,8 @@ 1 0 0 +1 +0 2 0xb5d8 0xeff017b0 @@ -211206,6 +264008,8 @@ 1 1 0 +0 +3 2 0x5a62 0xd1d4532d @@ -211214,6 +264018,8 @@ 1 1 0 +5 +3 2 0x6e44 0x491d680 @@ -211222,6 +264028,8 @@ 0 0 0 +3 +2 4 0x8512 0x28bfabea @@ -211230,6 +264038,8 @@ 0 0 0 +2 +0 1 0x7130 0xb6516063 @@ -211238,6 +264048,8 @@ 0 0 0 +0 +0 4 0x650f 0x97b33206 @@ -211246,6 +264058,8 @@ 1 0 0 +0 +1 4 0x784a 0xd986c709 @@ -211255,6 +264069,8 @@ 1 0 2 +0 +2 0x25c3 0x8847ac6d 256 @@ -211262,6 +264078,8 @@ 0 0 0 +0 +5 2 0x353c 0x22476f7c @@ -211270,6 +264088,8 @@ 1 0 0 +4 +0 1 0xa62c 0x75be0d42 @@ -211278,6 +264098,8 @@ 1 1 0 +5 +4 2 0x3520 0xe034afa9 @@ -211286,6 +264108,8 @@ 1 0 0 +3 +4 2 0x9916 0x2a4ebc59 @@ -211294,6 +264118,8 @@ 0 0 0 +4 +5 3 0xfa03 0x1aeed18b @@ -211303,6 +264129,8 @@ 0 0 1 +3 +1 0x67fb 0x418f08f9 256 @@ -211311,6 +264139,8 @@ 1 0 1 +2 +1 0xac22 0x45851fc1 256 @@ -211318,6 +264148,8 @@ 1 0 0 +3 +3 1 0x8bdb 0xaf18e530 @@ -211327,6 +264159,8 @@ 1 0 2 +5 +2 0xddd8 0x53fc55d6 256 @@ -211334,6 +264168,8 @@ 1 0 0 +4 +1 3 0x7ceb 0xb1552620 @@ -211343,6 +264179,8 @@ 0 0 3 +3 +3 0x6563 0x5632063d 256 @@ -211350,6 +264188,8 @@ 1 0 0 +2 +0 1 0x131a 0xf8f23845 @@ -211358,6 +264198,8 @@ 0 0 0 +2 +0 4 0x4bac 0x866bb9ea @@ -211366,6 +264208,8 @@ 1 1 0 +1 +1 2 0x6fad 0x5b0ba3d4 @@ -211374,6 +264218,8 @@ 0 0 0 +4 +1 1 0xc0ee 0x496b8dc6 @@ -211383,6 +264229,8 @@ 0 0 2 +3 +2 0xb77d 0xfcb22a6 256 @@ -211390,6 +264238,8 @@ 1 0 0 +5 +3 4 0x133e 0xf78b608f @@ -211398,6 +264248,8 @@ 0 0 0 +0 +5 1 0x159d 0xb9749373 @@ -211406,6 +264258,8 @@ 0 0 0 +4 +4 1 0xdfeb 0x7cacdf2e @@ -211414,6 +264268,8 @@ 1 0 0 +5 +4 3 0x890a 0x68b57ba5 @@ -211423,6 +264279,8 @@ 0 0 3 +5 +3 0x2c1b 0xf185c96d 256 @@ -211430,6 +264288,8 @@ 0 0 0 +5 +1 3 0x9774 0x8e82fe70 @@ -211438,6 +264298,8 @@ 1 0 0 +4 +4 2 0xa2a9 0xcf7c0376 @@ -211447,6 +264309,8 @@ 0 0 4 +1 +4 0x610d 0x834b6d34 256 @@ -211454,6 +264318,8 @@ 1 0 0 +0 +3 1 0xe646 0xd2edf66 @@ -211462,6 +264328,8 @@ 0 0 0 +2 +2 3 0x48b8 0x8d9839f8 @@ -211470,6 +264338,8 @@ 1 1 0 +1 +5 3 0x4e3c 0x122ebb2f @@ -211478,6 +264348,8 @@ 1 1 0 +4 +1 1 0xbe51 0x60b85600 @@ -211486,6 +264358,8 @@ 0 0 0 +5 +0 1 0xdcd1 0x6b658bf0 @@ -211494,6 +264368,8 @@ 0 0 0 +5 +2 2 0x222d 0xf548ee5f @@ -211503,6 +264379,8 @@ 0 0 2 +4 +2 0x500e 0xfe773c89 256 @@ -211510,6 +264388,8 @@ 1 1 0 +5 +3 4 0xa2dd 0x16902124 @@ -211518,6 +264398,8 @@ 1 0 0 +3 +5 1 0x2766 0xd953c1f8 @@ -211526,6 +264408,8 @@ 0 0 0 +0 +1 2 0xa32e 0xaeb8d1ae @@ -211534,6 +264418,8 @@ 1 1 0 +2 +5 4 0xfecc 0x8329d450 @@ -211542,6 +264428,8 @@ 0 0 0 +1 +5 3 0xefc5 0x2221c63a @@ -211550,6 +264438,8 @@ 0 0 0 +0 +0 4 0xc3ed 0x9d8e6239 @@ -211558,6 +264448,8 @@ 0 0 0 +5 +1 3 0x1f5 0xa4bd8917 @@ -211566,6 +264458,8 @@ 0 0 0 +0 +2 2 0x7b8 0x5c805848 @@ -211575,6 +264469,8 @@ 0 0 4 +0 +4 0xab9f 0x8bb97c51 256 @@ -211582,6 +264478,8 @@ 0 0 0 +4 +1 2 0xed4f 0x2df60fc7 @@ -211591,6 +264489,8 @@ 0 0 2 +3 +2 0x4141 0x8505d989 256 @@ -211598,6 +264498,8 @@ 1 1 0 +3 +4 1 0xc9af 0x78a32f5e @@ -211607,6 +264509,8 @@ 0 0 4 +3 +4 0x2598 0x2728c9f9 256 @@ -211614,6 +264518,8 @@ 1 1 0 +0 +1 3 0x4734 0x5e4c7036 @@ -211622,6 +264528,8 @@ 1 1 0 +3 +3 2 0xec39 0x4e9068e5 @@ -211630,6 +264538,8 @@ 0 0 0 +5 +4 3 0xa871 0x25c35c70 @@ -211638,6 +264548,8 @@ 1 0 0 +2 +3 4 0x9ac8 0x3558b904 @@ -211646,6 +264558,8 @@ 0 0 0 +2 +4 1 0xf228 0xd8bdb742 @@ -211654,6 +264568,8 @@ 1 0 0 +3 +5 4 0xd9d6 0x185fefbc @@ -211662,6 +264578,8 @@ 1 1 0 +3 +5 4 0x1997 0xd2e82e91 @@ -211670,6 +264588,8 @@ 1 1 0 +1 +4 2 0xc49e 0xf0283339 @@ -211678,6 +264598,8 @@ 1 0 0 +4 +5 1 0x384f 0x75c1cd79 @@ -211686,6 +264608,8 @@ 1 0 0 +2 +5 4 0x824f 0x6a4e4b98 @@ -211694,6 +264618,8 @@ 0 0 0 +4 +5 3 0x5157 0x703ee86d @@ -211702,6 +264628,8 @@ 1 1 0 +0 +1 2 0xf671 0x967ee7b7 @@ -211710,6 +264638,8 @@ 0 0 0 +1 +4 4 0x8cc8 0xcb76a2ef @@ -211718,6 +264648,8 @@ 1 0 0 +3 +1 4 0x1060 0x2563b0ab @@ -211726,6 +264658,8 @@ 0 0 0 +4 +0 2 0x63e1 0xf4dda244 @@ -211734,6 +264668,8 @@ 1 0 0 +2 +1 1 0x92ac 0x1978417d @@ -211742,6 +264678,8 @@ 1 0 0 +0 +3 2 0xe671 0x6e118d5 @@ -211750,6 +264688,8 @@ 1 0 0 +4 +5 3 0xa702 0xffe950bc @@ -211759,6 +264699,8 @@ 0 0 4 +4 +4 0x4b2f 0xdbb2de1a 256 @@ -211766,6 +264708,8 @@ 1 1 0 +3 +3 1 0x9612 0x73c01122 @@ -211775,6 +264719,8 @@ 1 0 2 +5 +2 0x77ac 0x5e98a48b 256 @@ -211782,6 +264728,8 @@ 1 1 0 +0 +1 4 0x4dcf 0xab193281 @@ -211790,6 +264738,8 @@ 0 0 0 +2 +5 1 0x878b 0xde59fc70 @@ -211798,6 +264748,8 @@ 0 0 0 +5 +0 2 0x11fc 0xb936b7c8 @@ -211806,6 +264758,8 @@ 1 1 0 +0 +5 2 0xecdf 0x88fafa4a @@ -211815,6 +264769,8 @@ 0 0 1 +2 +1 0x21c2 0x593499cb 256 @@ -211822,6 +264778,8 @@ 1 1 0 +0 +0 4 0x3cb0 0x5539fe00 @@ -211830,6 +264788,8 @@ 1 0 0 +2 +0 4 0xacd5 0x8d823ddc @@ -211839,6 +264799,8 @@ 0 0 1 +1 +1 0x73df 0xed94e956 256 @@ -211846,6 +264808,8 @@ 0 0 0 +1 +1 2 0xa936 0x6fb5ad89 @@ -211854,6 +264818,8 @@ 0 0 0 +4 +4 2 0x3560 0x8f40a6e9 @@ -211862,6 +264828,8 @@ 1 0 0 +0 +0 1 0x4979 0x8405f6f3 @@ -211870,6 +264838,8 @@ 1 1 0 +4 +4 2 0x6d7f 0x59f9dade @@ -211879,6 +264849,8 @@ 1 0 4 +4 +4 0x7358 0xa0ae53fb 256 @@ -211887,6 +264859,8 @@ 0 0 3 +4 +3 0xb32 0x58b440e6 256 @@ -211894,6 +264868,8 @@ 0 0 0 +3 +4 1 0x2e32 0x7ef1ff20 @@ -211902,6 +264878,8 @@ 0 0 0 +5 +2 2 0xf634 0x7f626b2a @@ -211911,6 +264889,8 @@ 0 0 1 +2 +1 0x922b 0x11a91f51 256 @@ -211918,6 +264898,8 @@ 1 0 0 +1 +3 2 0x230b 0xadeca582 @@ -211926,6 +264908,8 @@ 1 0 0 +0 +4 3 0xcd3c 0x9147e269 @@ -211934,6 +264918,8 @@ 0 0 0 +4 +2 3 0xfcf7 0x6bb3712 @@ -211942,6 +264928,8 @@ 0 0 0 +5 +1 1 0xa4b3 0xe1403e22 @@ -211950,6 +264938,8 @@ 1 0 0 +4 +0 3 0xa393 0xe3f6f219 @@ -211958,6 +264948,8 @@ 1 1 0 +0 +1 2 0xd34a 0x8017a54c @@ -211966,6 +264958,8 @@ 0 0 0 +5 +0 1 0xece3 0x8a753de3 @@ -211974,6 +264968,8 @@ 0 0 0 +3 +2 1 0xaef5 0x8bc5b2a7 @@ -211982,6 +264978,8 @@ 0 0 0 +2 +0 4 0x2372 0x51f773bb @@ -211990,6 +264988,8 @@ 0 0 0 +2 +3 1 0xc19f 0xe8c45a5f @@ -211998,6 +264998,8 @@ 0 0 0 +0 +2 1 0x3670 0xb495640b @@ -212006,6 +265008,8 @@ 0 0 0 +2 +2 3 0xac30 0xde774402 @@ -212014,6 +265018,8 @@ 0 0 0 +2 +5 1 0x4985 0x4bf12b20 @@ -212023,6 +265029,8 @@ 0 0 3 +3 +3 0x68a5 0xcc4ce645 256 @@ -212030,6 +265038,8 @@ 0 0 0 +1 +5 2 0x1a1b 0xcda7dbac @@ -212038,6 +265048,8 @@ 0 0 0 +5 +1 3 0x940e 0xbcd0f0e1 @@ -212046,6 +265058,8 @@ 0 0 0 +5 +0 1 0x34ec 0x95f7e25a @@ -212054,6 +265068,8 @@ 1 0 0 +5 +2 2 0x480f 0x39847b0c @@ -212062,6 +265078,8 @@ 1 1 0 +3 +3 4 0x1d91 0xdaef4f3d @@ -212070,6 +265088,8 @@ 0 0 0 +2 +5 1 0x9031 0xb01d5d0e @@ -212078,6 +265098,8 @@ 1 1 0 +1 +3 4 0x5b85 0xf9cfe48c @@ -212086,6 +265108,8 @@ 1 1 0 +3 +0 4 0xa6ca 0xdaaf272a @@ -212094,6 +265118,8 @@ 0 0 0 +3 +2 2 0x5758 0xcccfe06e @@ -212102,6 +265128,8 @@ 1 1 0 +0 +0 1 0xd1f 0xc937da87 @@ -212110,6 +265138,8 @@ 0 0 0 +1 +3 3 0x8f76 0x50ffdd06 @@ -212118,6 +265148,8 @@ 1 0 0 +5 +3 4 0xe16e 0xcff0ad65 @@ -212126,6 +265158,8 @@ 1 0 0 +5 +0 4 0xba1d 0x261284be @@ -212134,6 +265168,8 @@ 0 0 0 +3 +2 4 0xaefb 0xb6a6235d @@ -212142,6 +265178,8 @@ 1 1 0 +4 +4 3 0xaed2 0x3b5da96 @@ -212150,6 +265188,8 @@ 0 0 0 +5 +3 3 0xfab8 0x7844622b @@ -212158,6 +265198,8 @@ 0 0 0 +3 +5 2 0xc4e6 0x94d631d3 @@ -212166,6 +265208,8 @@ 0 0 0 +0 +0 1 0x1e61 0x6aeb5bc5 @@ -212174,6 +265218,8 @@ 1 1 0 +2 +1 3 0x32c 0xfbc8e392 @@ -212182,6 +265228,8 @@ 1 1 0 +5 +0 4 0x91d2 0xf8e35fc2 @@ -212190,6 +265238,8 @@ 0 0 0 +2 +4 1 0x32d1 0x20f2d483 @@ -212198,6 +265248,8 @@ 0 0 0 +1 +2 2 0xe058 0xf49e6b20 @@ -212206,6 +265258,8 @@ 0 0 0 +0 +1 2 0x9ddf 0xc192234d @@ -212214,6 +265268,8 @@ 1 1 0 +2 +5 4 0x39d0 0xc6075d8f @@ -212222,6 +265278,8 @@ 0 0 0 +1 +5 3 0x400d 0x793102be @@ -212230,6 +265288,8 @@ 0 0 0 +2 +3 4 0x6e6 0xa03b2b32 @@ -212239,6 +265299,8 @@ 1 0 4 +0 +4 0x522c 0xeda63871 256 @@ -212247,6 +265309,8 @@ 0 0 4 +1 +4 0x3fd1 0x629f2dac 256 @@ -212254,6 +265318,8 @@ 1 0 0 +4 +4 1 0x7ba0 0x717a8afb @@ -212263,6 +265329,8 @@ 0 0 2 +5 +2 0x8ad9 0x2a140714 256 @@ -212270,6 +265338,8 @@ 1 0 0 +5 +4 3 0xdbec 0x75725cb1 @@ -212278,6 +265348,8 @@ 1 1 0 +2 +1 1 0xe0 0x95d326f0 @@ -212287,6 +265359,8 @@ 1 0 4 +1 +4 0x13a5 0x6c765b70 256 @@ -212295,6 +265369,8 @@ 0 0 3 +4 +3 0x71e 0x464415c8 256 @@ -212302,6 +265378,8 @@ 0 0 0 +4 +4 1 0x7675 0xc225607c @@ -212310,6 +265388,8 @@ 0 0 0 +1 +3 2 0x3820 0x2c10ff19 @@ -212318,6 +265398,8 @@ 0 0 0 +3 +3 4 0x43fe 0xef8bd547 @@ -212326,6 +265408,8 @@ 0 0 0 +5 +5 1 0x2934 0x31c03de3 @@ -212334,6 +265418,8 @@ 1 0 0 +0 +2 1 0xe4d 0x219e8a1d @@ -212342,6 +265428,8 @@ 0 0 0 +4 +1 3 0x4313 0x4d62d0ee @@ -212350,6 +265438,8 @@ 0 0 0 +2 +5 1 0x8ce1 0x69c3ab35 @@ -212359,6 +265449,8 @@ 0 0 4 +0 +4 0x95cf 0xa545b099 256 @@ -212366,6 +265458,8 @@ 1 1 0 +2 +2 4 0x3e78 0x7c2e275 @@ -212375,6 +265469,8 @@ 1 0 1 +5 +1 0xc259 0x2b54b3b8 256 @@ -212382,6 +265478,8 @@ 0 0 0 +0 +2 1 0xb083 0x544d4e85 @@ -212390,6 +265488,8 @@ 0 0 0 +5 +5 4 0xa501 0xe771fd20 @@ -212398,6 +265498,8 @@ 1 0 0 +1 +1 4 0x8563 0x3a8b44b2 @@ -212406,6 +265508,8 @@ 1 0 0 +3 +4 4 0xd1c6 0x5785a063 @@ -212414,6 +265518,8 @@ 0 0 0 +5 +4 3 0x6895 0xbfee5aac @@ -212422,6 +265528,8 @@ 0 0 0 +5 +1 2 0x6084 0x529383d5 @@ -212430,6 +265538,8 @@ 1 0 0 +5 +2 2 0xb7c7 0xfc304d1 @@ -212438,6 +265548,8 @@ 0 0 0 +5 +4 1 0x4f27 0xad7a4f29 @@ -212446,6 +265558,8 @@ 0 0 0 +3 +3 2 0xdea0 0x31a8db1b @@ -212455,6 +265569,8 @@ 0 0 1 +5 +1 0x6d7e 0x2a4a9b67 256 @@ -212462,6 +265578,8 @@ 1 0 0 +3 +4 4 0x3281 0xb40870bb @@ -212470,6 +265588,8 @@ 0 0 0 +0 +0 4 0x6d95 0x67a1d79d @@ -212478,6 +265598,8 @@ 0 0 0 +0 +1 1 0xd6bf 0x5293375e @@ -212486,6 +265608,8 @@ 1 1 0 +3 +2 4 0x642f 0xd5a582d3 @@ -212495,6 +265619,8 @@ 0 0 2 +3 +2 0x3880 0x1452ba35 256 @@ -212502,6 +265628,8 @@ 1 1 0 +2 +2 3 0x97d3 0xd5d23403 @@ -212511,6 +265639,8 @@ 1 0 1 +1 +1 0xcc8a 0x22531779 256 @@ -212518,6 +265648,8 @@ 0 0 0 +0 +2 1 0xb693 0xed970a6f @@ -212526,6 +265658,8 @@ 0 0 0 +0 +4 2 0x592a 0xbf823f5f @@ -212534,6 +265668,8 @@ 0 0 0 +2 +1 1 0x4f4a 0xd2de4ed5 @@ -212542,6 +265678,8 @@ 1 1 0 +3 +5 2 0x7ebf 0xa8e40bcf @@ -212550,6 +265688,8 @@ 1 0 0 +5 +2 2 0xe063 0x299b5beb @@ -212558,6 +265698,8 @@ 0 0 0 +0 +2 1 0xfcf8 0xc52fd4da @@ -212566,6 +265708,8 @@ 1 0 0 +0 +2 1 0x240c 0x134fb6c9 @@ -212574,6 +265718,8 @@ 0 0 0 +3 +4 1 0x8f8b 0xea48935b @@ -212582,6 +265728,8 @@ 0 0 0 +2 +2 1 0xf63a 0xe4624aa9 @@ -212591,6 +265739,8 @@ 1 0 3 +4 +3 0xc2df 0xe942a52b 256 @@ -212599,6 +265749,8 @@ 0 0 3 +4 +3 0x6ffb 0x22ec631a 256 @@ -212606,6 +265758,8 @@ 1 0 0 +4 +0 2 0xaff8 0x1e0117db @@ -212614,6 +265768,8 @@ 1 1 0 +5 +0 2 0xa576 0xfbd875 @@ -212622,6 +265778,8 @@ 0 0 0 +3 +0 2 0x30de 0xc5833495 @@ -212630,6 +265788,8 @@ 1 0 0 +4 +5 2 0xaccf 0x10f3b0ae @@ -212638,6 +265798,8 @@ 1 0 0 +4 +4 1 0x7a31 0xe4e0698d @@ -212646,6 +265808,8 @@ 0 0 0 +5 +5 2 0xe329 0xc8384f3f @@ -212654,6 +265818,8 @@ 0 0 0 +5 +1 2 0x325f 0xbf0291d6 @@ -212662,6 +265828,8 @@ 1 1 0 +0 +3 2 0x5756 0x8ccf9202 @@ -212670,6 +265838,8 @@ 0 0 0 +1 +2 4 0x948f 0xabf88f4c @@ -212678,6 +265848,8 @@ 0 0 0 +3 +0 1 0x79f 0x4b1b6bdd @@ -212686,6 +265858,8 @@ 0 0 0 +3 +4 4 0x7452 0xc2d4d433 @@ -212694,6 +265868,8 @@ 0 0 0 +3 +4 1 0xc544 0x55df7fed @@ -212702,6 +265878,8 @@ 1 0 0 +4 +5 3 0x8f7a 0x5183e5c3 @@ -212710,6 +265888,8 @@ 0 0 0 +5 +1 2 0xe822 0x1e502a2a @@ -212719,6 +265899,8 @@ 0 0 4 +3 +4 0xc7fa 0x9926bda1 256 @@ -212726,6 +265908,8 @@ 1 0 0 +5 +2 4 0x56aa 0xcb2f59e4 @@ -212734,6 +265918,8 @@ 1 0 0 +1 +0 3 0xf50d 0xfb0f18c4 @@ -212742,6 +265928,8 @@ 1 1 0 +2 +5 1 0x7a6d 0xecdaf61e @@ -212750,6 +265938,8 @@ 0 0 0 +1 +3 4 0x7bb4 0x57bdae68 @@ -212758,6 +265948,8 @@ 0 0 0 +5 +5 4 0xd620 0xbdad007f @@ -212766,6 +265958,8 @@ 1 0 0 +0 +2 1 0x1a35 0xe31c84af @@ -212775,6 +265969,8 @@ 1 0 3 +3 +3 0xd578 0x7f93347c 256 @@ -212782,6 +265978,8 @@ 1 0 0 +0 +5 4 0xd7a7 0x486da4aa @@ -212791,6 +265989,8 @@ 1 0 4 +5 +4 0xf8ba 0x33afb2d9 256 @@ -212798,6 +265998,8 @@ 1 0 0 +0 +1 1 0xb44d 0x5a3df36d @@ -212806,6 +266008,8 @@ 1 0 0 +3 +4 2 0x32cd 0xd3a9b1e7 @@ -212814,6 +266018,8 @@ 0 0 0 +1 +3 2 0x81c0 0xaf460208 @@ -212822,6 +266028,8 @@ 1 0 0 +5 +2 4 0x1fe9 0xa7817ff2 @@ -212830,6 +266038,8 @@ 1 1 0 +0 +0 3 0xc607 0x2edee45f @@ -212838,6 +266048,8 @@ 1 1 0 +0 +4 3 0x2d47 0x7166a8db @@ -212846,6 +266058,8 @@ 1 0 0 +1 +3 2 0x4d64 0x594d5108 @@ -212854,6 +266068,8 @@ 1 1 0 +4 +4 2 0x402f 0xb629eb2e @@ -212862,6 +266078,8 @@ 1 1 0 +4 +4 2 0xc1c4 0x958dd551 @@ -212870,6 +266088,8 @@ 0 0 0 +0 +5 1 0x17b4 0xa33f2f46 @@ -212878,6 +266098,8 @@ 0 0 0 +2 +3 3 0xe7b5 0xa3827280 @@ -212887,6 +266109,8 @@ 0 0 4 +1 +4 0x1f8e 0xbe0a839b 256 @@ -212895,6 +266119,8 @@ 0 0 1 +3 +1 0xdf1 0xd2a4704e 256 @@ -212902,6 +266128,8 @@ 0 0 0 +0 +1 4 0x1042 0x22e40100 @@ -212911,6 +266139,8 @@ 1 0 4 +1 +4 0x88f1 0x9421ed94 256 @@ -212919,6 +266149,8 @@ 0 0 3 +5 +3 0x99b7 0x540cd464 256 @@ -212926,6 +266158,8 @@ 1 0 0 +1 +1 2 0x30f6 0xd38d7704 @@ -212934,6 +266168,8 @@ 1 0 0 +1 +0 3 0xadb3 0x9c74fbd3 @@ -212942,6 +266178,8 @@ 0 0 0 +4 +5 2 0xaef3 0xd320f9c0 @@ -212950,6 +266188,8 @@ 0 0 0 +5 +4 4 0x66e7 0xec63b574 @@ -212958,6 +266198,8 @@ 1 0 0 +0 +1 3 0x7f9d 0xb2d12b47 @@ -212966,6 +266208,8 @@ 0 0 0 +4 +5 2 0xd23d 0x69019323 @@ -212974,6 +266218,8 @@ 1 1 0 +0 +4 2 0xfb40 0x41c32cf7 @@ -212982,6 +266228,8 @@ 1 1 0 +0 +2 2 0x8e65 0x59a7d60d @@ -212990,6 +266238,8 @@ 0 0 0 +5 +0 1 0xa3f2 0xf673408c @@ -212998,6 +266248,8 @@ 0 0 0 +5 +4 2 0x6119 0xb455bcee @@ -213006,6 +266258,8 @@ 0 0 0 +0 +0 2 0x20 0xfee760c3 @@ -213014,6 +266268,8 @@ 1 0 0 +4 +1 2 0xbd43 0x308bc688 @@ -213022,6 +266278,8 @@ 0 0 0 +0 +3 3 0xed1e 0x13d1b0d4 @@ -213030,6 +266288,8 @@ 1 1 0 +5 +2 1 0xcbd7 0x3af92932 @@ -213039,6 +266299,8 @@ 0 0 1 +3 +1 0x8333 0xdf4aa165 256 @@ -213046,6 +266308,8 @@ 1 1 0 +4 +2 3 0x9830 0xba998cfa @@ -213054,6 +266318,8 @@ 0 0 0 +1 +1 2 0x622b 0xbf21f346 @@ -213062,6 +266328,8 @@ 0 0 0 +3 +4 2 0xd116 0x9f0f2e4f @@ -213070,6 +266338,8 @@ 0 0 0 +2 +2 4 0xea7f 0x53a24104 @@ -213078,6 +266348,8 @@ 0 0 0 +3 +4 1 0x82cd 0x33bcb854 @@ -213086,6 +266358,8 @@ 1 1 0 +3 +5 2 0x5acd 0xb223e2f6 @@ -213094,6 +266368,8 @@ 0 0 0 +5 +1 1 0xeef1 0x570aed3e @@ -213102,6 +266378,8 @@ 1 1 0 +4 +4 3 0xe613 0x8a57a936 @@ -213110,6 +266388,8 @@ 0 0 0 +0 +3 2 0x8fd3 0xe0c7d4d3 @@ -213118,6 +266398,8 @@ 1 1 0 +1 +2 3 0x34b6 0xa06bce38 @@ -213126,6 +266408,8 @@ 0 0 0 +2 +2 1 0x55c9 0xc0cf3c2 @@ -213134,6 +266418,8 @@ 0 0 0 +3 +0 2 0x8714 0xdf432b9e @@ -213142,6 +266428,8 @@ 0 0 0 +5 +2 3 0x7083 0xa449be32 @@ -213150,6 +266438,8 @@ 0 0 0 +2 +5 3 0x9337 0xe3d0904d @@ -213158,6 +266448,8 @@ 0 0 0 +4 +3 2 0x8ccf 0x9a6db469 @@ -213167,6 +266459,8 @@ 0 0 3 +2 +3 0x4537 0xf1499562 256 @@ -213174,6 +266468,8 @@ 1 0 0 +1 +5 4 0x314d 0x420ed298 @@ -213182,6 +266478,8 @@ 1 1 0 +2 +2 4 0x2b76 0xecf96316 @@ -213190,6 +266488,8 @@ 0 0 0 +5 +2 3 0x3069 0xee6de729 @@ -213198,6 +266498,8 @@ 0 0 0 +0 +3 4 0xfa42 0x6a5d43d5 @@ -213206,6 +266508,8 @@ 1 0 0 +4 +4 1 0x2546 0x25198214 @@ -213214,6 +266518,8 @@ 0 0 0 +5 +4 4 0x317d 0xa646c321 @@ -213222,6 +266528,8 @@ 0 0 0 +0 +2 2 0xcf7f 0x3c219a12 @@ -213230,6 +266538,8 @@ 1 1 0 +4 +2 1 0xc4de 0xc08dfdad @@ -213238,6 +266548,8 @@ 1 1 0 +3 +3 4 0xdfba 0xdae5a40d @@ -213246,6 +266558,8 @@ 1 1 0 +1 +0 2 0x18af 0x56240f23 @@ -213254,6 +266568,8 @@ 0 0 0 +5 +5 2 0xd1b9 0x3b91420d @@ -213262,6 +266578,8 @@ 0 0 0 +3 +4 4 0xe9b4 0x343506c9 @@ -213270,6 +266588,8 @@ 1 1 0 +0 +3 1 0x34a6 0x530f59d5 @@ -213278,6 +266598,8 @@ 1 0 0 +5 +0 3 0x8a69 0x521fe080 @@ -213287,6 +266609,8 @@ 0 0 3 +0 +3 0xa019 0xeae7b418 256 @@ -213294,6 +266618,8 @@ 0 0 0 +1 +4 3 0xcbae 0xef172f55 @@ -213303,6 +266629,8 @@ 0 0 2 +3 +2 0xde51 0xf466daf4 256 @@ -213310,6 +266638,8 @@ 0 0 0 +4 +0 3 0xfee8 0x98bb652c @@ -213318,6 +266648,8 @@ 1 0 0 +1 +0 4 0x1ee2 0xf5c85110 @@ -213326,6 +266658,8 @@ 1 0 0 +0 +5 1 0xc8d2 0xa94dd4b7 @@ -213334,6 +266668,8 @@ 1 0 0 +1 +5 2 0xe12 0xb7326eea @@ -213343,6 +266679,8 @@ 0 0 2 +2 +2 0xbb3a 0xa3349a4a 256 @@ -213350,6 +266688,8 @@ 0 0 0 +4 +0 3 0xf62b 0x9f42a4e @@ -213358,6 +266698,8 @@ 1 0 0 +0 +0 2 0xa021 0xce465df1 @@ -213366,6 +266708,8 @@ 1 1 0 +5 +5 2 0xfe79 0x8402186a @@ -213374,6 +266718,8 @@ 1 1 0 +4 +3 2 0xfd2a 0x84d2cdc7 @@ -213383,6 +266729,8 @@ 0 0 3 +4 +3 0x7a73 0xea71128a 256 @@ -213390,6 +266738,8 @@ 0 0 0 +1 +4 4 0xc1e2 0xfbedb0f0 @@ -213398,6 +266748,8 @@ 0 0 0 +0 +0 3 0x9dc8 0x8de20996 @@ -213406,6 +266758,8 @@ 1 1 0 +3 +0 2 0xb977 0x23f5edbd @@ -213414,6 +266768,8 @@ 1 1 0 +1 +2 2 0x8384 0x5296ff4 @@ -213423,6 +266779,8 @@ 1 0 4 +3 +4 0xe752 0x15dd2d7c 256 @@ -213430,6 +266788,8 @@ 1 0 0 +1 +3 4 0x6a02 0x3d183bae @@ -213438,6 +266798,8 @@ 1 1 0 +5 +2 1 0x789 0x57a935f7 @@ -213446,6 +266808,8 @@ 0 0 0 +3 +2 4 0x72da 0xd0402ff0 @@ -213455,6 +266819,8 @@ 1 0 1 +4 +1 0x8d49 0x62d9e3c 256 @@ -213462,6 +266828,8 @@ 0 0 0 +5 +5 4 0x711d 0x451f832d @@ -213470,6 +266838,8 @@ 1 0 0 +5 +2 2 0x9121 0x646bbefb @@ -213478,6 +266848,8 @@ 1 0 0 +1 +5 2 0x48d6 0x3137be8 @@ -213486,6 +266858,8 @@ 0 0 0 +3 +5 2 0x7fe1 0x986f3470 @@ -213494,6 +266868,8 @@ 0 0 0 +3 +3 4 0x475f 0x2050d0aa @@ -213502,6 +266878,8 @@ 0 0 0 +1 +2 3 0xaa6a 0x567f8a62 @@ -213511,6 +266889,8 @@ 0 0 1 +5 +1 0x3b50 0x53bedb10 256 @@ -213518,6 +266898,8 @@ 0 0 0 +5 +5 2 0x3138 0x9e1a7908 @@ -213526,6 +266908,8 @@ 1 0 0 +0 +0 2 0xc00b 0x6195dc5e @@ -213534,6 +266918,8 @@ 1 1 0 +4 +1 1 0xc4bf 0xfc61f88b @@ -213543,6 +266929,8 @@ 0 0 4 +4 +4 0x78fc 0x5092c1c7 256 @@ -213551,6 +266939,8 @@ 0 0 4 +5 +4 0xcd0b 0x779ddfe 256 @@ -213558,6 +266948,8 @@ 1 1 0 +0 +1 4 0xe489 0x1981cc46 @@ -213566,6 +266958,8 @@ 0 0 0 +3 +1 4 0x6151 0x9fce988a @@ -213574,6 +266968,8 @@ 0 0 0 +1 +4 4 0x9110 0xe563665a @@ -213582,6 +266978,8 @@ 1 0 0 +1 +4 3 0x5e13 0xeaaf4ea5 @@ -213590,6 +266988,8 @@ 0 0 0 +5 +2 3 0xc233 0x456201bb @@ -213598,6 +266998,8 @@ 0 0 0 +5 +2 3 0x8b3 0xd5bfe173 @@ -213606,6 +267008,8 @@ 1 0 0 +3 +3 4 0x3ce 0xdd21944c @@ -213615,6 +267019,8 @@ 0 0 4 +0 +4 0x7b50 0x8eeb3d9e 256 @@ -213622,6 +267028,8 @@ 1 0 0 +2 +2 1 0x6a44 0x2a516985 @@ -213630,6 +267038,8 @@ 1 0 0 +0 +3 2 0x1d86 0x8f88a87e @@ -213639,6 +267049,8 @@ 0 0 1 +3 +1 0x341c 0xf7b4629a 256 @@ -213646,6 +267058,8 @@ 0 0 0 +4 +2 3 0xb2d2 0x77462953 @@ -213654,6 +267068,8 @@ 1 1 0 +5 +5 1 0xc15d 0x5567a64d @@ -213662,6 +267078,8 @@ 0 0 0 +0 +4 1 0xdc 0xd5c55932 @@ -213670,6 +267088,8 @@ 1 0 0 +5 +1 1 0xb990 0xbd67bd96 @@ -213678,6 +267098,8 @@ 1 1 0 +3 +5 1 0x919a 0xf282a7be @@ -213686,6 +267108,8 @@ 1 1 0 +4 +2 2 0x84dc 0x5923cd0f @@ -213694,6 +267118,8 @@ 0 0 0 +3 +0 2 0x535f 0x61b7e338 @@ -213702,6 +267128,8 @@ 0 0 0 +5 +4 2 0x980c 0x2983a8a8 @@ -213710,6 +267138,8 @@ 1 0 0 +0 +2 2 0x4d69 0xec079090 @@ -213718,6 +267148,8 @@ 0 0 0 +3 +5 1 0x21be 0x222fa845 @@ -213726,6 +267158,8 @@ 1 0 0 +2 +1 4 0x5096 0xef6f9e02 @@ -213734,6 +267168,8 @@ 0 0 0 +3 +3 4 0x7425 0xaa583910 @@ -213742,6 +267178,8 @@ 1 1 0 +0 +0 1 0xcf91 0x314b8539 @@ -213750,6 +267188,8 @@ 1 1 0 +2 +3 4 0x434d 0xb001e409 @@ -213759,6 +267199,8 @@ 1 0 3 +3 +3 0xc150 0x2f9ab744 256 @@ -213766,6 +267208,8 @@ 0 0 0 +4 +5 3 0x724 0x4d5c9b74 @@ -213774,6 +267218,8 @@ 0 0 0 +4 +3 3 0xd1e8 0xb519c1d3 @@ -213782,6 +267228,8 @@ 1 0 0 +3 +0 1 0xc897 0x32e0da93 @@ -213790,6 +267238,8 @@ 0 0 0 +2 +1 3 0xdcec 0xe1cb8aaa @@ -213799,6 +267249,8 @@ 0 0 3 +4 +3 0xa2ac 0x68941a97 256 @@ -213806,6 +267258,8 @@ 1 0 0 +5 +4 3 0xbb17 0xe4a4259b @@ -213814,6 +267268,8 @@ 1 0 0 +5 +1 1 0xaed9 0x169fdfff @@ -213822,6 +267278,8 @@ 0 0 0 +0 +3 4 0x337e 0x59b30367 @@ -213830,6 +267288,8 @@ 1 1 0 +2 +2 1 0xcc 0x62f6f1fd @@ -213839,6 +267299,8 @@ 0 0 2 +4 +2 0x964c 0x3fbad299 256 @@ -213847,6 +267309,8 @@ 0 0 1 +2 +1 0x71f8 0x520eaaef 256 @@ -213854,6 +267318,8 @@ 0 0 0 +4 +5 1 0x21d3 0x94898df9 @@ -213863,6 +267329,8 @@ 0 0 4 +5 +4 0x511a 0x1dcaaef 256 @@ -213871,6 +267339,8 @@ 0 0 3 +4 +3 0xc45e 0xcf60a81a 256 @@ -213878,6 +267348,8 @@ 1 1 0 +0 +0 4 0x1053 0x63ecc258 @@ -213886,6 +267358,8 @@ 1 0 0 +5 +3 3 0x12e3 0xd5eb1dc1 @@ -213894,6 +267368,8 @@ 1 1 0 +5 +1 3 0xa266 0x40591bd @@ -213902,6 +267378,8 @@ 1 0 0 +4 +0 2 0x6f58 0x72e8d3a7 @@ -213910,6 +267388,8 @@ 0 0 0 +0 +5 3 0x762d 0xe6affa93 @@ -213918,6 +267398,8 @@ 0 0 0 +5 +5 3 0x7840 0x71bc1187 @@ -213927,6 +267409,8 @@ 0 0 2 +4 +2 0xe71e 0x616c58c7 256 @@ -213934,6 +267418,8 @@ 1 0 0 +1 +1 2 0x1f82 0x6e066cb7 @@ -213943,6 +267429,8 @@ 0 0 1 +0 +1 0xd46e 0x80416944 256 @@ -213950,6 +267438,8 @@ 0 0 0 +2 +1 4 0x560a 0x19b5ddc9 @@ -213959,6 +267449,8 @@ 0 0 3 +2 +3 0xed93 0x5384e9e 256 @@ -213966,6 +267458,8 @@ 0 0 0 +5 +2 2 0x9413 0x706dbebc @@ -213974,6 +267468,8 @@ 1 1 0 +0 +2 4 0x5c9 0x8d4c3f83 @@ -213982,6 +267478,8 @@ 0 0 0 +1 +3 4 0xd6d9 0xbaf8cf14 @@ -213990,6 +267488,8 @@ 0 0 0 +5 +4 1 0xbb33 0xaae72e2b @@ -213998,6 +267498,8 @@ 1 0 0 +0 +5 2 0x7853 0xb67595ae @@ -214006,6 +267508,8 @@ 0 0 0 +1 +5 3 0xa5a5 0x63c99462 @@ -214015,6 +267519,8 @@ 0 0 1 +2 +1 0x4452 0x45862051 256 @@ -214022,6 +267528,8 @@ 0 0 0 +0 +3 3 0x923c 0xab442595 @@ -214030,6 +267538,8 @@ 0 0 0 +1 +1 4 0x58a1 0x11fc9f04 @@ -214039,6 +267549,8 @@ 0 0 3 +5 +3 0x2ded 0xb2a93ffb 256 @@ -214046,6 +267558,8 @@ 1 0 0 +5 +5 2 0xd9b8 0x8478979b @@ -214054,6 +267568,8 @@ 1 0 0 +4 +3 3 0x2690 0xdc6240b9 @@ -214062,6 +267578,8 @@ 1 0 0 +0 +4 3 0x1ba4 0xa9e51db5 @@ -214070,6 +267588,8 @@ 1 1 0 +3 +5 2 0xc73c 0xd9a590a7 @@ -214078,6 +267598,8 @@ 0 0 0 +0 +5 2 0x7f75 0x2c60c242 @@ -214086,6 +267608,8 @@ 1 0 0 +0 +4 3 0xdc45 0x2a960f7 @@ -214094,6 +267618,8 @@ 0 0 0 +5 +5 1 0xa76b 0xa03aafe4 @@ -214102,6 +267628,8 @@ 1 0 0 +4 +4 1 0xee49 0x9ce9be95 @@ -214110,6 +267638,8 @@ 0 0 0 +0 +5 2 0x5034 0x5f13fb8 @@ -214118,6 +267648,8 @@ 0 0 0 +5 +5 1 0xb783 0x5ed88386 @@ -214126,6 +267658,8 @@ 1 0 0 +4 +0 3 0x97f4 0xf37977de @@ -214134,6 +267668,8 @@ 1 0 0 +4 +2 1 0xec95 0x9631d106 @@ -214142,6 +267678,8 @@ 1 1 0 +1 +1 4 0xc99f 0x6851598a @@ -214150,6 +267688,8 @@ 0 0 0 +1 +1 2 0xc5c5 0xd42bc07c @@ -214158,6 +267698,8 @@ 0 0 0 +5 +4 4 0xb8f5 0xd4349441 @@ -214166,6 +267708,8 @@ 0 0 0 +0 +1 2 0x1bf7 0x400392c1 @@ -214175,6 +267719,8 @@ 1 0 2 +2 +2 0xd06f 0x75b32058 256 @@ -214182,6 +267728,8 @@ 0 0 0 +4 +3 2 0xbd6 0xc1e0a18d @@ -214190,6 +267738,8 @@ 0 0 0 +1 +1 2 0x2f64 0xcded8b1c @@ -214199,6 +267749,8 @@ 0 0 1 +2 +1 0x2922 0xf9464403 256 @@ -214206,6 +267758,8 @@ 1 0 0 +5 +2 2 0x485c 0x5e9f74a2 @@ -214214,6 +267768,8 @@ 0 0 0 +4 +2 2 0xb988 0x1f46b690 @@ -214222,6 +267778,8 @@ 1 1 0 +3 +3 1 0xf28d 0x3bfa093e @@ -214231,6 +267789,8 @@ 0 0 3 +1 +3 0x27ae 0xe631d94b 256 @@ -214238,6 +267798,8 @@ 1 1 0 +1 +1 3 0xcfd1 0x995ea85a @@ -214246,6 +267808,8 @@ 1 1 0 +0 +0 1 0xbafa 0xab6ecc92 @@ -214254,6 +267818,8 @@ 1 1 0 +3 +5 2 0xb5ea 0x1c9d56af @@ -214262,6 +267828,8 @@ 1 1 0 +0 +1 3 0xf3a4 0xad7b5213 @@ -214270,6 +267838,8 @@ 1 0 0 +0 +5 4 0x5ef5 0xf2e59b9 @@ -214278,6 +267848,8 @@ 0 0 0 +3 +1 2 0x814d 0xc3ef6458 @@ -214286,6 +267858,8 @@ 0 0 0 +5 +1 1 0xf276 0x8dcd0e4f @@ -214294,6 +267868,8 @@ 0 0 0 +4 +3 1 0x479e 0x35da84ad @@ -214302,6 +267878,8 @@ 0 0 0 +3 +5 1 0x49ce 0xf4fafe4f @@ -214310,6 +267888,8 @@ 0 0 0 +1 +3 2 0xb914 0x4a45a160 @@ -214318,6 +267898,8 @@ 1 0 0 +0 +3 1 0xe58c 0x8882af95 @@ -214326,6 +267908,8 @@ 0 0 0 +5 +1 4 0x2e 0xf8174487 @@ -214334,6 +267918,8 @@ 0 0 0 +3 +0 4 0xbb7b 0xd2a21358 @@ -214342,6 +267928,8 @@ 0 0 0 +0 +2 4 0xc41c 0x9d3440f2 @@ -214350,6 +267938,8 @@ 1 0 0 +4 +1 2 0x9254 0x75ae0ec0 @@ -214358,6 +267948,8 @@ 0 0 0 +5 +3 2 0xa895 0x48516e47 @@ -214366,6 +267958,8 @@ 0 0 0 +1 +1 3 0xe5b7 0x340724ee @@ -214374,6 +267968,8 @@ 0 0 0 +1 +3 4 0x39cb 0xda5ccdf2 @@ -214382,6 +267978,8 @@ 1 0 0 +5 +2 1 0x3e7f 0xa29bdeaa @@ -214390,6 +267988,8 @@ 1 0 0 +5 +4 4 0x23fc 0x90b9bb79 @@ -214398,6 +267998,8 @@ 0 0 0 +3 +0 2 0xe3cf 0xe3523f63 @@ -214406,6 +268008,8 @@ 0 0 0 +5 +1 1 0x351 0xa38a9a74 @@ -214414,6 +268018,8 @@ 0 0 0 +4 +1 1 0x2204 0x5202437c @@ -214422,6 +268028,8 @@ 1 0 0 +1 +4 4 0x7136 0xd23d2ee2 @@ -214430,6 +268038,8 @@ 1 0 0 +4 +5 3 0x554 0xd0e55a75 @@ -214438,6 +268048,8 @@ 0 0 0 +5 +5 2 0x112d 0x2dcdc8c7 @@ -214446,6 +268058,8 @@ 0 0 0 +0 +4 3 0x486b 0xc7cd4ba1 @@ -214454,6 +268068,8 @@ 1 0 0 +3 +5 2 0x7903 0x9a95fa16 @@ -214462,6 +268078,8 @@ 0 0 0 +2 +1 1 0x9f5a 0x5367bc65 @@ -214471,6 +268089,8 @@ 0 0 2 +5 +2 0x3edb 0x714cae2b 256 @@ -214478,6 +268098,8 @@ 1 1 0 +4 +1 2 0xdd9 0xe33794f8 @@ -214486,6 +268108,8 @@ 1 1 0 +5 +2 3 0xc540 0xa02facc9 @@ -214494,6 +268118,8 @@ 1 0 0 +4 +4 1 0xdc21 0x7cebc3cf @@ -214502,6 +268128,8 @@ 0 0 0 +5 +3 3 0xf1d 0x2bc5e55c @@ -214511,6 +268139,8 @@ 0 0 3 +0 +3 0xaf85 0x13ba0f61 256 @@ -214519,6 +268149,8 @@ 0 0 3 +2 +3 0x3382 0x56f5a625 256 @@ -214526,6 +268158,8 @@ 0 0 0 +4 +2 3 0xdf8e 0x1a2e4dbb @@ -214534,6 +268168,8 @@ 0 0 0 +5 +5 1 0x9837 0x958f53fb @@ -214542,6 +268178,8 @@ 1 0 0 +3 +3 4 0xd4b3 0xfcc7253f @@ -214550,6 +268188,8 @@ 1 0 0 +1 +0 4 0xb127 0x4fa29e82 @@ -214558,6 +268198,8 @@ 0 0 0 +5 +0 3 0xeb2b 0x1113a78d @@ -214566,6 +268208,8 @@ 1 0 0 +0 +2 4 0xaa8 0xfad25610 @@ -214574,6 +268218,8 @@ 0 0 0 +2 +3 3 0xe787 0x30f158a1 @@ -214582,6 +268228,8 @@ 1 1 0 +3 +1 1 0x3e61 0x5d6a2a12 @@ -214590,6 +268238,8 @@ 0 0 0 +0 +5 4 0xbfcc 0x7b82f6d2 @@ -214598,6 +268248,8 @@ 1 0 0 +0 +0 4 0x4430 0x891f2b2b @@ -214606,6 +268258,8 @@ 1 0 0 +3 +3 1 0x2c12 0x1d878813 @@ -214614,6 +268268,8 @@ 0 0 0 +1 +3 2 0x2131 0x472cc851 @@ -214622,6 +268278,8 @@ 0 0 0 +2 +3 4 0x9586 0x48356307 @@ -214630,6 +268288,8 @@ 1 1 0 +0 +4 3 0x51ee 0xfd447d60 @@ -214638,6 +268298,8 @@ 1 1 0 +1 +5 4 0xa5cb 0xabcf9878 @@ -214646,6 +268308,8 @@ 0 0 0 +5 +4 3 0x5039 0x4be8d170 @@ -214654,6 +268318,8 @@ 1 1 0 +1 +2 3 0x337e 0xcab542fa @@ -214662,6 +268328,8 @@ 0 0 0 +4 +0 3 0xee74 0xdca958d9 @@ -214670,6 +268338,8 @@ 0 0 0 +0 +5 1 0xd9a 0x99e3c292 @@ -214678,6 +268348,8 @@ 0 0 0 +5 +2 2 0x878f 0x5cf2dc4e @@ -214687,6 +268359,8 @@ 0 0 3 +0 +3 0x8c88 0x946ec1b4 256 @@ -214694,6 +268368,8 @@ 1 1 0 +1 +3 4 0xcddb 0xc9ed64d7 @@ -214702,6 +268378,8 @@ 1 1 0 +0 +0 2 0x62d1 0x9eba17b @@ -214710,6 +268388,8 @@ 1 0 0 +5 +3 4 0x6411 0x63003fca @@ -214719,6 +268399,8 @@ 1 0 2 +5 +2 0xf3bf 0x8d9c3d1d 256 @@ -214726,6 +268408,8 @@ 1 0 0 +0 +5 3 0x9456 0xe15b7872 @@ -214734,6 +268418,8 @@ 0 0 0 +4 +4 2 0x3c3c 0xac6b9992 @@ -214742,6 +268428,8 @@ 1 0 0 +0 +0 2 0xbb17 0x5a77c5e3 @@ -214750,6 +268438,8 @@ 0 0 0 +5 +4 3 0xe8c1 0x5a280375 @@ -214758,6 +268448,8 @@ 1 1 0 +5 +5 3 0x3f15 0x7671c172 @@ -214766,6 +268458,8 @@ 0 0 0 +1 +1 4 0x42a3 0xa2275b0e @@ -214775,6 +268469,8 @@ 0 0 4 +0 +4 0x722 0x2d2007d6 256 @@ -214782,6 +268478,8 @@ 1 0 0 +4 +4 2 0x7e07 0x8193ef0a @@ -214790,6 +268488,8 @@ 0 0 0 +0 +5 2 0xea28 0x78616594 @@ -214799,6 +268499,8 @@ 0 0 3 +3 +3 0x472d 0xcec43438 256 @@ -214806,6 +268508,8 @@ 0 0 0 +4 +1 2 0xaabf 0xed30b2d4 @@ -214814,6 +268518,8 @@ 0 0 0 +1 +1 3 0x1551 0x14ef0a11 @@ -214822,6 +268528,8 @@ 1 0 0 +3 +3 2 0xcc40 0x3376f0d0 @@ -214830,6 +268538,8 @@ 1 1 0 +2 +4 3 0x8d26 0xdafe74 @@ -214838,6 +268548,8 @@ 0 0 0 +1 +4 3 0x1f47 0xa3e5e06 @@ -214846,6 +268558,8 @@ 0 0 0 +0 +0 2 0x5ba0 0x3a1bbf7d @@ -214854,6 +268568,8 @@ 0 0 0 +1 +5 2 0x69f4 0xa768c95c @@ -214862,6 +268578,8 @@ 1 1 0 +1 +1 4 0x8bcf 0xa455bfa4 @@ -214870,6 +268588,8 @@ 0 0 0 +5 +3 2 0xadf4 0xf2431b22 @@ -214878,6 +268598,8 @@ 1 0 0 +2 +2 4 0x95fd 0xf14722e0 @@ -214886,6 +268608,8 @@ 1 0 0 +3 +4 4 0xba0f 0x917382df @@ -214894,6 +268618,8 @@ 1 1 0 +2 +1 4 0xe048 0xa1e9549b @@ -214902,6 +268628,8 @@ 1 0 0 +5 +1 4 0xa255 0x6acaa244 @@ -214910,6 +268638,8 @@ 1 1 0 +4 +3 3 0xe924 0x10f56f00 @@ -214918,6 +268648,8 @@ 0 0 0 +2 +1 1 0x768a 0xb02e92f5 @@ -214926,6 +268658,8 @@ 0 0 0 +0 +2 2 0xf9c3 0x4cf8ec12 @@ -214934,6 +268668,8 @@ 0 0 0 +4 +0 2 0xf6af 0x44787ec5 @@ -214942,6 +268678,8 @@ 1 0 0 +5 +2 1 0x346 0x8a2f6438 @@ -214951,6 +268689,8 @@ 0 0 4 +1 +4 0x58c4 0xb7442e60 256 @@ -214958,6 +268698,8 @@ 1 0 0 +2 +2 1 0xb70 0xab61d672 @@ -214966,6 +268708,8 @@ 0 0 0 +1 +1 2 0x4d4e 0x21201164 @@ -214975,6 +268719,8 @@ 0 0 1 +2 +1 0xeb06 0x276473a6 256 @@ -214982,6 +268728,8 @@ 1 1 0 +0 +4 1 0xbe5f 0x216b8bf8 @@ -214990,6 +268738,8 @@ 1 0 0 +3 +4 2 0xe609 0x285eb06f @@ -214998,6 +268748,8 @@ 0 0 0 +4 +2 1 0xc440 0xcdd9427d @@ -215006,6 +268758,8 @@ 0 0 0 +4 +5 3 0xf3c8 0xa27c484a @@ -215015,6 +268769,8 @@ 0 0 2 +5 +2 0x48d5 0xab77e8e8 256 @@ -215022,6 +268778,8 @@ 1 1 0 +0 +4 4 0xdd1b 0x82b8dfd2 @@ -215031,6 +268789,8 @@ 0 0 3 +3 +3 0x8f56 0x25b6ae92 256 @@ -215038,6 +268798,8 @@ 0 0 0 +0 +2 3 0x3ea9 0x507031e4 @@ -215046,6 +268808,8 @@ 0 0 0 +1 +2 3 0xb6f2 0xf27f0f63 @@ -215054,6 +268818,8 @@ 0 0 0 +0 +2 4 0xa998 0xd9a2c4ab @@ -215062,6 +268828,8 @@ 0 0 0 +2 +1 1 0x210e 0x14be9904 @@ -215070,6 +268838,8 @@ 0 0 0 +5 +3 2 0x2735 0xf913c877 @@ -215079,6 +268849,8 @@ 0 0 1 +4 +1 0x4967 0x6f40ac9d 256 @@ -215086,6 +268858,8 @@ 0 0 0 +2 +3 3 0x44da 0xd456a5c4 @@ -215094,6 +268868,8 @@ 1 0 0 +5 +0 3 0x69f6 0x90db324e @@ -215102,6 +268878,8 @@ 0 0 0 +4 +2 1 0x5ae4 0x97010b9f @@ -215110,6 +268888,8 @@ 0 0 0 +3 +4 1 0x1557 0x66c66ec7 @@ -215118,6 +268898,8 @@ 0 0 0 +4 +1 1 0x9de4 0x864abfcb @@ -215126,6 +268908,8 @@ 0 0 0 +0 +3 4 0x235b 0xd3fef256 @@ -215134,6 +268918,8 @@ 0 0 0 +4 +5 3 0x594e 0xdf7a4050 @@ -215143,6 +268929,8 @@ 0 0 3 +4 +3 0xae43 0x3a95b75a 256 @@ -215150,6 +268938,8 @@ 1 0 0 +2 +2 4 0x114d 0xd75ad229 @@ -215158,6 +268948,8 @@ 0 0 0 +2 +1 4 0x1f3c 0x30a83288 @@ -215166,6 +268958,8 @@ 0 0 0 +5 +5 3 0x7476 0xc897816c @@ -215174,6 +268968,8 @@ 0 0 0 +4 +3 1 0x6c0e 0x39874430 @@ -215182,6 +268978,8 @@ 0 0 0 +4 +0 1 0x4c79 0x11f3280e @@ -215190,6 +268988,8 @@ 1 1 0 +3 +1 2 0x4056 0x85c4aef8 @@ -215198,6 +268998,8 @@ 0 0 0 +3 +2 1 0x3407 0x4ef8bc3 @@ -215206,6 +269008,8 @@ 1 1 0 +0 +0 3 0xf127 0x6adafcf3 @@ -215214,6 +269018,8 @@ 1 1 0 +5 +4 1 0x43a1 0xcd68bc66 @@ -215222,6 +269028,8 @@ 0 0 0 +0 +0 4 0xa1cf 0x21ca55d2 @@ -215230,6 +269038,8 @@ 1 1 0 +1 +1 3 0x8f9e 0x95984c55 @@ -215238,6 +269048,8 @@ 0 0 0 +2 +3 3 0xd511 0x19aa06e4 @@ -215246,6 +269058,8 @@ 1 1 0 +1 +0 2 0x7c5d 0x923bdd6e @@ -215254,6 +269068,8 @@ 0 0 0 +2 +0 4 0x8957 0xd2a6c66f @@ -215263,6 +269079,8 @@ 0 0 2 +1 +2 0x8969 0x52ff13c0 256 @@ -215271,6 +269089,8 @@ 1 0 4 +4 +4 0xff58 0xf05a0a67 256 @@ -215278,6 +269098,8 @@ 0 0 0 +1 +3 4 0x1ac3 0x420f08b0 @@ -215286,6 +269108,8 @@ 1 0 0 +1 +0 3 0x664a 0xac2a2890 @@ -215295,6 +269119,8 @@ 0 0 1 +2 +1 0xad8e 0x2337a324 256 @@ -215302,6 +269128,8 @@ 0 0 0 +0 +4 1 0xc850 0xbc48d325 @@ -215310,6 +269138,8 @@ 1 0 0 +1 +2 2 0x71b1 0x84d532da @@ -215318,6 +269148,8 @@ 0 0 0 +3 +2 4 0x39d6 0x8bdd2df9 @@ -215326,6 +269158,8 @@ 1 0 0 +0 +2 4 0x1a62 0x2e537915 @@ -215334,6 +269168,8 @@ 1 0 0 +1 +5 4 0x1405 0x7cd333e6 @@ -215343,6 +269179,8 @@ 0 0 3 +5 +3 0x88f5 0xe99b67b0 256 @@ -215350,6 +269188,8 @@ 1 1 0 +2 +0 3 0xd34a 0xb52b1f69 @@ -215358,6 +269198,8 @@ 1 1 0 +4 +5 1 0x7c1 0x75768de1 @@ -215366,6 +269208,8 @@ 0 0 0 +3 +2 2 0x4942 0x22749728 @@ -215375,6 +269219,8 @@ 0 0 2 +4 +2 0xa2ff 0x6c49ef4b 256 @@ -215382,6 +269228,8 @@ 1 1 0 +0 +3 3 0x6e15 0xfeedd2ac @@ -215390,6 +269238,8 @@ 0 0 0 +0 +4 2 0x9fa4 0x3ff659b7 @@ -215398,6 +269248,8 @@ 1 1 0 +3 +4 2 0xa675 0xb40e0c40 @@ -215406,6 +269258,8 @@ 0 0 0 +1 +0 4 0x2ec0 0x87bccebe @@ -215414,6 +269268,8 @@ 1 0 0 +0 +3 2 0xf50e 0x715e6777 @@ -215422,6 +269278,8 @@ 0 0 0 +2 +0 1 0x9848 0x6803e410 @@ -215430,6 +269288,8 @@ 0 0 0 +2 +2 4 0xd74a 0x5aa88b59 @@ -215438,6 +269298,8 @@ 0 0 0 +3 +3 1 0x96cf 0x50356140 @@ -215446,6 +269308,8 @@ 0 0 0 +0 +5 1 0xb57b 0x53843bc9 @@ -215454,6 +269318,8 @@ 0 0 0 +1 +3 2 0xa6c 0xd01723 @@ -215462,6 +269328,8 @@ 1 1 0 +3 +2 1 0x968f 0x1ab38648 @@ -215470,6 +269338,8 @@ 1 0 0 +3 +1 1 0x5f89 0xd72f279f @@ -215478,6 +269348,8 @@ 0 0 0 +3 +3 1 0x2e47 0xbe2ca66b @@ -215487,6 +269359,8 @@ 0 0 2 +0 +2 0x3cf0 0x243abb97 256 @@ -215495,6 +269369,8 @@ 0 0 3 +1 +3 0x1d47 0x3b51db53 256 @@ -215502,6 +269378,8 @@ 0 0 0 +1 +0 2 0xd3da 0x3604010a @@ -215510,6 +269388,8 @@ 0 0 0 +5 +2 1 0xde5a 0x6bc334aa @@ -215519,6 +269399,8 @@ 1 0 4 +1 +4 0xd728 0x86f42b1f 256 @@ -215527,6 +269409,8 @@ 0 0 1 +2 +1 0xf3e 0x4b118cfb 256 @@ -215534,6 +269418,8 @@ 0 0 0 +2 +4 3 0xfa88 0xe334ab95 @@ -215542,6 +269428,8 @@ 0 0 0 +3 +5 4 0x5d78 0xd1ebefe1 @@ -215551,6 +269439,8 @@ 0 0 4 +4 +4 0xdbea 0x503f69b4 256 @@ -215558,6 +269448,8 @@ 1 1 0 +2 +2 3 0x7b11 0x2ed08bcb @@ -215567,6 +269459,8 @@ 0 0 1 +0 +1 0x310a 0xa88c5078 256 @@ -215574,6 +269468,8 @@ 1 0 0 +0 +1 1 0x40ed 0xe210c541 @@ -215582,6 +269478,8 @@ 1 0 0 +2 +0 1 0xc771 0x94392f60 @@ -215591,6 +269489,8 @@ 1 0 3 +4 +3 0x8e96 0x9c5ceb2a 256 @@ -215599,6 +269499,8 @@ 0 0 2 +1 +2 0xa036 0x4a38e894 256 @@ -215606,6 +269508,8 @@ 0 0 0 +0 +5 1 0xcaf9 0x43e4b7a1 @@ -215615,6 +269519,8 @@ 1 0 2 +4 +2 0x4841 0x31725759 256 @@ -215622,6 +269528,8 @@ 1 1 0 +0 +2 3 0x31ab 0xee1dc369 @@ -215630,6 +269538,8 @@ 0 0 0 +0 +3 1 0xa02b 0x6aa50cf4 @@ -215638,6 +269548,8 @@ 0 0 0 +5 +4 4 0xd41f 0xfb280560 @@ -215647,6 +269559,8 @@ 1 0 3 +5 +3 0x3d5a 0xb68c8bbb 256 @@ -215655,6 +269569,8 @@ 0 0 3 +5 +3 0x6206 0x5d496c4f 256 @@ -215662,6 +269578,8 @@ 0 0 0 +2 +0 1 0xe85a 0x122fd695 @@ -215670,6 +269588,8 @@ 0 0 0 +5 +4 4 0x7a3e 0xce26505c @@ -215678,6 +269598,8 @@ 1 1 0 +4 +0 1 0xae41 0xbd4a259d @@ -215687,6 +269609,8 @@ 0 0 1 +3 +1 0xffd0 0x3a8111cc 256 @@ -215694,6 +269618,8 @@ 1 0 0 +5 +5 1 0x81a0 0xacf0f4ae @@ -215702,6 +269628,8 @@ 0 0 0 +4 +4 2 0x3971 0x8dc9bbd9 @@ -215710,6 +269638,8 @@ 0 0 0 +2 +2 4 0xe35b 0x50be8238 @@ -215718,6 +269648,8 @@ 0 0 0 +4 +3 2 0x23d7 0x3f58d755 @@ -215726,6 +269658,8 @@ 1 0 0 +4 +3 3 0xef64 0xb5751d83 @@ -215735,6 +269669,8 @@ 0 0 1 +4 +1 0xe030 0xa7f377d 256 @@ -215742,6 +269678,8 @@ 0 0 0 +2 +1 3 0xc038 0xa9f49db8 @@ -215750,6 +269688,8 @@ 0 0 0 +5 +3 1 0xfc71 0xe8371de7 @@ -215758,6 +269698,8 @@ 0 0 0 +2 +1 3 0x8b1e 0x98fb2ff9 @@ -215766,6 +269708,8 @@ 0 0 0 +4 +1 1 0x3d7a 0x90452b7e @@ -215774,6 +269718,8 @@ 0 0 0 +2 +4 4 0xb284 0x3519c483 @@ -215782,6 +269728,8 @@ 0 0 0 +3 +4 1 0x7c0a 0x99d0864b @@ -215791,6 +269739,8 @@ 0 0 1 +1 +1 0x3b00 0x3ca9e70a 256 @@ -215798,6 +269748,8 @@ 0 0 0 +5 +0 1 0x7577 0x2427077c @@ -215806,6 +269758,8 @@ 0 0 0 +2 +0 1 0x6706 0xc728e381 @@ -215814,6 +269768,8 @@ 1 1 0 +4 +2 1 0x1f60 0x3441a651 @@ -215822,6 +269778,8 @@ 0 0 0 +0 +4 4 0xb0db 0x79e88e86 @@ -215830,6 +269788,8 @@ 1 1 0 +5 +0 2 0xdc4f 0xaf097db2 @@ -215838,6 +269798,8 @@ 1 1 0 +5 +5 2 0x634f 0xb7e85320 @@ -215846,6 +269808,8 @@ 1 1 0 +5 +5 3 0x513a 0x58c39ea5 @@ -215854,6 +269818,8 @@ 0 0 0 +5 +0 2 0xa8ae 0x2f792c38 @@ -215862,6 +269828,8 @@ 0 0 0 +2 +3 4 0x8fff 0xeb017ff3 @@ -215870,6 +269838,8 @@ 0 0 0 +0 +4 1 0x10a 0xcf49b21b @@ -215878,6 +269848,8 @@ 1 0 0 +2 +3 3 0xd2c4 0x843243bf @@ -215886,6 +269858,8 @@ 1 0 0 +0 +4 3 0xd0f3 0x22c97d04 @@ -215895,6 +269869,8 @@ 0 0 1 +4 +1 0xd1 0x88f76f7 256 @@ -215902,6 +269878,8 @@ 1 1 0 +5 +0 4 0x26e5 0xed8d4a28 @@ -215910,6 +269888,8 @@ 0 0 0 +4 +3 1 0x752a 0xe4afb693 @@ -215918,6 +269898,8 @@ 1 0 0 +0 +5 2 0xdfe8 0x82e36a9f @@ -215926,6 +269908,8 @@ 1 0 0 +0 +0 2 0x947a 0x24c740b3 @@ -215934,6 +269918,8 @@ 1 0 0 +0 +3 4 0x1d49 0xe4447266 @@ -215943,6 +269929,8 @@ 1 0 3 +2 +3 0xff8a 0x9e2c0634 256 @@ -215950,6 +269938,8 @@ 1 0 0 +4 +1 3 0xbdb 0x3e48c6e4 @@ -215958,6 +269948,8 @@ 0 0 0 +1 +1 2 0x2935 0x155c03bb @@ -215967,6 +269959,8 @@ 1 0 1 +5 +1 0x8563 0x6a66e24e 256 @@ -215975,6 +269969,8 @@ 1 0 2 +4 +2 0xc1db 0xf946163a 256 @@ -215983,6 +269979,8 @@ 0 0 2 +3 +2 0x6535 0xeab3613a 256 @@ -215990,6 +269988,8 @@ 1 0 0 +3 +0 4 0x4753 0xcf5d48c3 @@ -215998,6 +269998,8 @@ 0 0 0 +2 +0 3 0x2df9 0xf74eb3c8 @@ -216006,6 +270008,8 @@ 0 0 0 +4 +1 1 0xc539 0xd05037a7 @@ -216014,6 +270018,8 @@ 0 0 0 +1 +3 3 0xfa8e 0x5cc6f884 @@ -216022,6 +270028,8 @@ 1 0 0 +0 +4 4 0x66da 0xd2c53338 @@ -216030,6 +270038,8 @@ 1 0 0 +5 +0 3 0xa0a8 0xcd7228e3 @@ -216039,6 +270049,8 @@ 0 0 4 +1 +4 0x1524 0x9fe17f7e 256 @@ -216046,6 +270058,8 @@ 0 0 0 +0 +2 4 0x1e83 0xb1138af3 @@ -216054,6 +270068,8 @@ 0 0 0 +2 +5 3 0xfd5b 0x110e6840 @@ -216062,6 +270078,8 @@ 1 0 0 +0 +2 1 0x41c7 0x98d66736 @@ -216070,6 +270088,8 @@ 0 0 0 +0 +1 1 0x6624 0x3d376a18 @@ -216078,6 +270098,8 @@ 0 0 0 +5 +2 3 0x3667 0xc0972259 @@ -216086,6 +270108,8 @@ 0 0 0 +0 +5 1 0xc388 0x40822fbb @@ -216094,6 +270118,8 @@ 0 0 0 +2 +4 3 0x19f6 0xc4661880 @@ -216102,6 +270128,8 @@ 0 0 0 +4 +1 3 0x5bd7 0x34a3a684 @@ -216110,6 +270138,8 @@ 0 0 0 +5 +3 4 0xf66e 0x987f9b34 @@ -216118,6 +270148,8 @@ 1 1 0 +0 +4 3 0xeb1e 0xeb75ec64 @@ -216126,6 +270158,8 @@ 0 0 0 +3 +3 4 0xea64 0xa90ef64d @@ -216134,6 +270168,8 @@ 0 0 0 +0 +2 4 0xe7c0 0x257d9beb @@ -216142,6 +270178,8 @@ 0 0 0 +5 +5 4 0xc76 0x8d0ab4e5 @@ -216150,6 +270188,8 @@ 1 0 0 +5 +2 4 0x7f60 0xde4d8b80 @@ -216158,6 +270198,8 @@ 0 0 0 +2 +2 4 0x72d2 0x891026d0 @@ -216166,6 +270208,8 @@ 1 0 0 +1 +0 4 0x25a1 0xcf079117 @@ -216174,6 +270218,8 @@ 0 0 0 +1 +0 4 0xdeb8 0xc2cafac8 @@ -216183,6 +270229,8 @@ 0 0 4 +0 +4 0x2130 0x4549b44e 256 @@ -216190,6 +270238,8 @@ 1 1 0 +0 +0 1 0xa7c9 0xb20e2191 @@ -216199,6 +270249,8 @@ 0 0 3 +1 +3 0x2100 0x33f3fccd 256 @@ -216207,6 +270259,8 @@ 0 0 2 +4 +2 0xee98 0xdeed64e7 256 @@ -216214,6 +270268,8 @@ 1 1 0 +5 +5 3 0xb754 0x507582eb @@ -216222,6 +270278,8 @@ 0 0 0 +5 +3 3 0x4c3f 0x822e71c8 @@ -216230,6 +270288,8 @@ 1 0 0 +2 +0 4 0xd478 0xe63eead1 @@ -216238,6 +270298,8 @@ 0 0 0 +4 +1 2 0x57aa 0xcbf297ca @@ -216246,6 +270308,8 @@ 0 0 0 +4 +5 3 0x1693 0xb6001577 @@ -216254,6 +270318,8 @@ 0 0 0 +3 +3 2 0x8695 0x81eb276a @@ -216262,6 +270328,8 @@ 0 0 0 +0 +2 4 0x8d29 0x7cf2e77d @@ -216270,6 +270338,8 @@ 1 0 0 +3 +5 1 0xa464 0x3c81fda4 @@ -216278,6 +270348,8 @@ 0 0 0 +3 +5 2 0x55e 0xe94c1f13 @@ -216286,6 +270358,8 @@ 1 1 0 +0 +3 4 0xcaaa 0x568992d3 @@ -216294,6 +270368,8 @@ 1 0 0 +5 +2 4 0x68c7 0xbe7f58f7 @@ -216303,6 +270379,8 @@ 1 0 1 +5 +1 0x6637 0x140018c6 256 @@ -216310,6 +270388,8 @@ 0 0 0 +0 +0 2 0x37b 0x472145c0 @@ -216318,6 +270398,8 @@ 0 0 0 +1 +0 4 0xbfb8 0x985e728e @@ -216326,6 +270408,8 @@ 0 0 0 +5 +4 4 0xcdc1 0x9e5710c0 @@ -216334,6 +270418,8 @@ 1 0 0 +4 +2 2 0x9a28 0x2af3845a @@ -216342,6 +270428,8 @@ 0 0 0 +2 +2 3 0x95bc 0xc5a96217 @@ -216350,6 +270438,8 @@ 1 1 0 +4 +2 1 0x6360 0xc61c3751 @@ -216358,6 +270448,8 @@ 1 1 0 +0 +1 4 0x3d97 0x811e85f9 @@ -216366,6 +270458,8 @@ 0 0 0 +2 +5 1 0x9621 0x36ad7fbc @@ -216374,6 +270468,8 @@ 0 0 0 +3 +3 4 0xf22b 0xe67deaf1 @@ -216382,6 +270478,8 @@ 0 0 0 +3 +2 1 0xa996 0x370c85ef @@ -216390,6 +270488,8 @@ 1 1 0 +0 +1 1 0x18e0 0xfd6ba82f @@ -216398,6 +270498,8 @@ 0 0 0 +2 +4 1 0x3823 0xa433596b @@ -216406,6 +270508,8 @@ 0 0 0 +2 +0 4 0xbad7 0x547db9d6 @@ -216414,6 +270518,8 @@ 0 0 0 +0 +1 4 0x3f58 0x8b8dec9f @@ -216422,6 +270528,8 @@ 0 0 0 +2 +2 3 0xfa11 0x42ab9f4a @@ -216431,6 +270539,8 @@ 0 0 2 +5 +2 0xd32b 0x61598163 256 @@ -216438,6 +270548,8 @@ 1 0 0 +5 +0 2 0xa88a 0xf35aab9d @@ -216446,6 +270558,8 @@ 0 0 0 +0 +0 3 0xec3f 0xb551207f @@ -216455,6 +270569,8 @@ 0 0 1 +3 +1 0x6685 0x7c760a01 256 @@ -216462,6 +270578,8 @@ 0 0 0 +0 +3 3 0xca92 0xf1e3a55e @@ -216470,6 +270588,8 @@ 1 1 0 +1 +5 2 0x649b 0x5bd2449d @@ -216478,6 +270598,8 @@ 0 0 0 +2 +0 4 0x87ba 0xba67d1 @@ -216486,6 +270608,8 @@ 0 0 0 +3 +0 1 0x3f09 0xbc91f70f @@ -216494,6 +270618,8 @@ 0 0 0 +1 +0 2 0xc077 0x59ee05fa @@ -216502,6 +270628,8 @@ 0 0 0 +1 +5 3 0xab25 0x3b0e9785 @@ -216510,6 +270638,8 @@ 1 1 0 +4 +0 3 0x1ed 0xea9804ba @@ -216519,6 +270649,8 @@ 0 0 3 +2 +3 0x6dc 0x90df00cd 256 @@ -216526,6 +270658,8 @@ 1 0 0 +1 +0 4 0x12c5 0x65ce1c62 @@ -216534,6 +270668,8 @@ 0 0 0 +0 +5 3 0xed0 0x6cc6ea49 @@ -216542,6 +270678,8 @@ 0 0 0 +5 +2 1 0x3cb1 0x3c9060d0 @@ -216550,6 +270688,8 @@ 1 1 0 +4 +4 3 0xde8a 0x9f36bca3 @@ -216558,6 +270698,8 @@ 0 0 0 +2 +3 4 0x6a85 0x41eef237 @@ -216566,6 +270708,8 @@ 1 0 0 +1 +1 4 0xfe48 0xca6f4363 @@ -216575,6 +270719,8 @@ 1 0 1 +4 +1 0xb35f 0xaeaff2ac 256 @@ -216582,6 +270728,8 @@ 0 0 0 +0 +4 2 0xe5ba 0xeaa97d93 @@ -216591,6 +270739,8 @@ 0 0 3 +3 +3 0xe281 0xfe2a334a 256 @@ -216598,6 +270748,8 @@ 1 1 0 +3 +1 1 0x2d17 0x1aa06244 @@ -216606,6 +270758,8 @@ 1 0 0 +2 +3 3 0xaaf3 0xe55dcaf1 @@ -216615,6 +270769,8 @@ 1 0 2 +1 +2 0xd7d 0xa1305ba9 256 @@ -216622,6 +270778,8 @@ 0 0 0 +2 +1 3 0x5f4d 0x9f9da10a @@ -216630,6 +270788,8 @@ 0 0 0 +0 +3 1 0x19aa 0xaa65af43 @@ -216638,6 +270798,8 @@ 1 0 0 +1 +2 2 0xae94 0x11ee0b4d @@ -216646,6 +270808,8 @@ 1 1 0 +4 +5 1 0xe54e 0xe4bd69b0 @@ -216654,6 +270818,8 @@ 1 0 0 +2 +0 3 0x72dc 0x10c9ae19 @@ -216662,6 +270828,8 @@ 1 0 0 +0 +3 4 0x31b 0x384ee251 @@ -216670,6 +270838,8 @@ 0 0 0 +5 +4 3 0x826 0x71c70e92 @@ -216678,6 +270848,8 @@ 1 1 0 +3 +2 4 0xe1f2 0xf6723698 @@ -216686,6 +270858,8 @@ 1 0 0 +5 +2 2 0x8e5c 0x9ac5c9cb @@ -216694,6 +270868,8 @@ 1 0 0 +2 +4 4 0x3bf 0x696d7048 @@ -216702,6 +270878,8 @@ 1 0 0 +5 +3 1 0x5a4a 0x5b946f28 @@ -216710,6 +270888,8 @@ 1 0 0 +4 +5 1 0x79e 0xc8f8cfb7 @@ -216718,6 +270898,8 @@ 1 1 0 +5 +2 4 0x1805 0xc70792bf @@ -216727,6 +270909,8 @@ 0 0 2 +0 +2 0x5f14 0xb0950caa 256 @@ -216734,6 +270918,8 @@ 0 0 0 +3 +3 2 0x8525 0x605fed10 @@ -216743,6 +270929,8 @@ 0 0 1 +2 +1 0x1024 0x7346976e 256 @@ -216750,6 +270938,8 @@ 0 0 0 +5 +5 3 0xd95 0x62f8fc34 @@ -216758,6 +270948,8 @@ 0 0 0 +5 +0 2 0x7590 0x4fe110ea @@ -216767,6 +270959,8 @@ 0 0 2 +5 +2 0xdc8a 0xf680be63 256 @@ -216774,6 +270968,8 @@ 0 0 0 +1 +5 4 0x2fcc 0xd689d9bf @@ -216782,6 +270978,8 @@ 1 1 0 +0 +1 4 0xbfff 0xa03d6b1f @@ -216790,6 +270988,8 @@ 0 0 0 +1 +3 2 0x76e0 0x5a2bfce @@ -216798,6 +270998,8 @@ 1 1 0 +1 +3 2 0x9ee6 0x2791d9b9 @@ -216806,6 +271008,8 @@ 1 0 0 +5 +4 3 0x9cea 0xebabc60b @@ -216814,6 +271018,8 @@ 1 0 0 +4 +5 3 0x2751 0x3cd4204 @@ -216823,6 +271029,8 @@ 0 0 4 +5 +4 0x556b 0xf402268 256 @@ -216830,6 +271038,8 @@ 0 0 0 +1 +1 3 0x6347 0x5f38ce91 @@ -216838,6 +271048,8 @@ 1 0 0 +3 +2 2 0x7d58 0xdce29cb7 @@ -216846,6 +271058,8 @@ 1 1 0 +3 +5 4 0xfd2d 0xebaae638 @@ -216854,6 +271068,8 @@ 1 0 0 +3 +5 1 0xa7c9 0x66ab876b @@ -216862,6 +271078,8 @@ 1 1 0 +1 +2 3 0x2406 0x5238a536 @@ -216870,6 +271088,8 @@ 0 0 0 +5 +4 1 0x67c8 0xf73f4db6 @@ -216879,6 +271099,8 @@ 0 0 1 +0 +1 0x9cd6 0x7c4246eb 256 @@ -216886,6 +271108,8 @@ 1 0 0 +5 +5 2 0x2f89 0xcad55bc9 @@ -216894,6 +271118,8 @@ 0 0 0 +4 +2 2 0xd12b 0x5b63b13a @@ -216902,6 +271128,8 @@ 1 0 0 +5 +2 4 0x937e 0xb0324800 @@ -216910,6 +271138,8 @@ 0 0 0 +1 +4 4 0x8347 0x77976d27 @@ -216918,6 +271148,8 @@ 1 0 0 +3 +0 2 0xef49 0x5211b1f5 @@ -216926,6 +271158,8 @@ 1 1 0 +3 +3 4 0x10fe 0x2a7cd3ff @@ -216934,6 +271168,8 @@ 0 0 0 +2 +1 4 0x7390 0x60dcb5be @@ -216942,6 +271178,8 @@ 1 0 0 +3 +5 4 0xdbda 0xd4a92987 @@ -216950,6 +271188,8 @@ 1 1 0 +4 +3 3 0x9674 0x62975fcc @@ -216958,6 +271198,8 @@ 0 0 0 +0 +1 1 0x72ff 0x5b78a1e4 @@ -216966,6 +271208,8 @@ 1 1 0 +2 +3 1 0xeeb1 0xb965645 @@ -216974,6 +271218,8 @@ 1 0 0 +3 +1 4 0x34ce 0x9fe10bca @@ -216982,6 +271228,8 @@ 1 0 0 +1 +1 3 0x57a0 0x3195f23e @@ -216990,6 +271238,8 @@ 1 0 0 +2 +0 3 0x5813 0xf7b70bd4 @@ -216998,6 +271248,8 @@ 1 0 0 +1 +5 3 0x597d 0xbf99261e @@ -217006,6 +271258,8 @@ 1 1 0 +5 +2 1 0xab08 0x3dd17359 @@ -217015,6 +271269,8 @@ 0 0 1 +4 +1 0xfa40 0x8ca4d9e1 256 @@ -217022,6 +271278,8 @@ 0 0 0 +1 +2 4 0x288e 0x94f278ee @@ -217030,6 +271288,8 @@ 0 0 0 +1 +3 2 0xbda6 0x95c2726c @@ -217038,6 +271298,8 @@ 0 0 0 +0 +1 2 0xeda6 0xb9c064bf @@ -217046,6 +271308,8 @@ 1 1 0 +5 +4 4 0x24f9 0xfcd5686f @@ -217054,6 +271318,8 @@ 0 0 0 +4 +3 3 0x1003 0x8edcc4df @@ -217063,6 +271329,8 @@ 0 0 1 +0 +1 0xdeae 0x9d9bffd7 256 @@ -217070,6 +271338,8 @@ 1 1 0 +1 +5 4 0x416f 0x33efff7d @@ -217078,6 +271348,8 @@ 1 1 0 +5 +4 1 0x9146 0x2f5c645d @@ -217086,6 +271358,8 @@ 1 1 0 +3 +4 4 0xe7e3 0xd521d34d @@ -217094,6 +271368,8 @@ 0 0 0 +3 +1 2 0x9762 0xfa61727f @@ -217103,6 +271379,8 @@ 0 0 4 +4 +4 0x142a 0xfaa56b7b 256 @@ -217110,6 +271388,8 @@ 1 0 0 +1 +4 3 0x5ab8 0x5ff39474 @@ -217118,6 +271398,8 @@ 0 0 0 +3 +4 4 0xcb34 0x75c997ec @@ -217127,6 +271409,8 @@ 0 0 4 +3 +4 0x294c 0xc95993f9 256 @@ -217135,6 +271419,8 @@ 1 0 3 +1 +3 0x838e 0xe0b1908 256 @@ -217142,6 +271428,8 @@ 0 0 0 +1 +3 3 0xcebe 0x57f8338e @@ -217151,6 +271439,8 @@ 0 0 3 +1 +3 0x524f 0xadea437c 256 @@ -217158,6 +271448,8 @@ 1 0 0 +3 +4 4 0x1a60 0xd5b5c72d @@ -217167,6 +271459,8 @@ 1 0 4 +0 +4 0xd875 0xa10d1185 256 @@ -217174,6 +271468,8 @@ 1 1 0 +4 +2 3 0x1a25 0xb4222cf9 @@ -217182,6 +271478,8 @@ 1 0 0 +3 +1 1 0x14b6 0xea664803 @@ -217190,6 +271488,8 @@ 0 0 0 +0 +4 2 0xf3f6 0xb4da4863 @@ -217198,6 +271498,8 @@ 1 1 0 +0 +0 3 0xb9d1 0xa5d78249 @@ -217206,6 +271508,8 @@ 1 0 0 +3 +0 4 0xca80 0x72d13a99 @@ -217214,6 +271518,8 @@ 0 0 0 +2 +1 1 0x23cc 0x6dfe3d92 @@ -217222,6 +271528,8 @@ 1 0 0 +1 +5 4 0xde54 0x2a7a4e84 @@ -217230,6 +271538,8 @@ 1 1 0 +0 +5 4 0x1305 0x3adbd46e @@ -217238,6 +271548,8 @@ 1 0 0 +3 +3 1 0x2b10 0x4b998ebe @@ -217246,6 +271558,8 @@ 0 0 0 +5 +4 4 0x59ba 0x6bb9ec18 @@ -217254,6 +271568,8 @@ 1 1 0 +4 +3 1 0x9a77 0x8ba12642 @@ -217262,6 +271578,8 @@ 1 0 0 +0 +3 3 0xecc0 0xd6753f60 @@ -217270,6 +271588,8 @@ 0 0 0 +0 +3 3 0xfdb2 0x9e2f103b @@ -217278,6 +271598,8 @@ 1 1 0 +0 +0 2 0x112c 0xc3d5f847 @@ -217286,6 +271608,8 @@ 0 0 0 +3 +5 4 0xb8ec 0x413507f @@ -217294,6 +271618,8 @@ 1 1 0 +5 +2 1 0x8491 0x42ee233c @@ -217302,6 +271628,8 @@ 0 0 0 +1 +3 4 0x339d 0x7981c0b0 @@ -217311,6 +271639,8 @@ 0 0 3 +0 +3 0x7afa 0x58890ef9 256 @@ -217318,6 +271648,8 @@ 1 1 0 +3 +2 1 0x90e7 0x1ddbf26d @@ -217326,6 +271658,8 @@ 0 0 0 +0 +3 4 0xfb31 0xbf52420 @@ -217334,6 +271668,8 @@ 1 1 0 +5 +1 1 0x2617 0x19eed6b9 @@ -217342,6 +271678,8 @@ 0 0 0 +1 +4 3 0x5097 0x650039d2 @@ -217350,6 +271688,8 @@ 1 1 0 +2 +5 3 0x7520 0x109b3ea8 @@ -217359,6 +271699,8 @@ 0 0 4 +2 +4 0x31bf 0x490d053a 256 @@ -217366,6 +271708,8 @@ 0 0 0 +3 +5 4 0xbd97 0xb6cebf0c @@ -217374,6 +271718,8 @@ 0 0 0 +3 +5 1 0xf9e2 0x5fc6d5df @@ -217382,6 +271728,8 @@ 0 0 0 +5 +3 4 0x3361 0x8e5b1cf6 @@ -217390,6 +271738,8 @@ 0 0 0 +3 +2 2 0x8be 0x6a275537 @@ -217398,6 +271748,8 @@ 1 0 0 +0 +5 1 0x1605 0x67149acd @@ -217406,6 +271758,8 @@ 0 0 0 +0 +4 4 0x7e2 0xce0ab9c2 @@ -217414,6 +271768,8 @@ 0 0 0 +2 +2 1 0x1c1c 0xe5b7427d @@ -217422,6 +271778,8 @@ 0 0 0 +2 +5 3 0x3a7b 0x98d0ad0b @@ -217430,6 +271788,8 @@ 1 1 0 +5 +2 3 0xb1e0 0x326e9b53 @@ -217438,6 +271798,8 @@ 1 1 0 +5 +1 4 0x4bd5 0xdf0ce922 @@ -217446,6 +271808,8 @@ 1 1 0 +4 +4 1 0xa35 0x6eb86ba5 @@ -217454,6 +271818,8 @@ 1 0 0 +0 +1 1 0xdd5b 0x97a3039c @@ -217462,6 +271828,8 @@ 1 1 0 +0 +5 1 0xc6d9 0xec817f7e @@ -217470,6 +271838,8 @@ 0 0 0 +1 +4 3 0xa0bd 0xfd52996d @@ -217478,6 +271848,8 @@ 1 1 0 +1 +4 3 0x1bed 0x74e0faa6 @@ -217487,6 +271859,8 @@ 1 0 1 +2 +1 0xaf3a 0x63cb8f47 256 @@ -217494,6 +271868,8 @@ 0 0 0 +0 +4 1 0x5969 0x30eee388 @@ -217503,6 +271879,8 @@ 0 0 4 +0 +4 0x11ec 0x63346ad7 256 @@ -217510,6 +271888,8 @@ 1 1 0 +0 +3 2 0x42d2 0xb9b6547b @@ -217518,6 +271898,8 @@ 0 0 0 +4 +2 1 0x873a 0x9a7eaa4f @@ -217526,6 +271908,8 @@ 1 0 0 +0 +1 3 0xc284 0xe70b1269 @@ -217535,6 +271919,8 @@ 0 0 3 +1 +3 0x79d6 0x10e915b5 256 @@ -217543,6 +271929,8 @@ 0 0 2 +0 +2 0x2c34 0xb7d8c051 256 @@ -217550,6 +271938,8 @@ 0 0 0 +3 +5 2 0x5226 0xfffc5976 @@ -217558,6 +271948,8 @@ 0 0 0 +4 +3 2 0x9e5c 0x4edb74d2 @@ -217566,6 +271958,8 @@ 0 0 0 +0 +1 3 0xfd87 0x8bad6275 @@ -217574,6 +271968,8 @@ 0 0 0 +5 +4 1 0x353b 0x48031fe1 @@ -217583,6 +271979,8 @@ 1 0 3 +0 +3 0x9a81 0x88fff38f 256 @@ -217590,6 +271988,8 @@ 0 0 0 +5 +1 1 0x42a0 0xae08778d @@ -217598,6 +271998,8 @@ 1 1 0 +0 +1 2 0xd22 0x858e2ca2 @@ -217606,6 +272008,8 @@ 0 0 0 +5 +1 3 0x7247 0xe3f7b91a @@ -217614,6 +272018,8 @@ 1 0 0 +0 +5 3 0x4de2 0x120b30d9 @@ -217622,6 +272028,8 @@ 0 0 0 +0 +5 3 0x8683 0xac5621e8 @@ -217631,6 +272039,8 @@ 0 0 4 +1 +4 0xf215 0xda44c423 256 @@ -217638,6 +272048,8 @@ 1 1 0 +1 +0 3 0xb847 0x56d0b48f @@ -217646,6 +272058,8 @@ 0 0 0 +4 +5 1 0xb84d 0xd4927024 @@ -217654,6 +272068,8 @@ 0 0 0 +4 +0 3 0x88d6 0xb1b627b6 @@ -217662,6 +272078,8 @@ 1 1 0 +3 +5 4 0x9a5f 0xa9981151 @@ -217670,6 +272088,8 @@ 0 0 0 +2 +3 1 0x3f04 0xdbea47ae @@ -217679,6 +272099,8 @@ 1 0 4 +4 +4 0x6d8b 0xa921c153 256 @@ -217686,6 +272108,8 @@ 1 1 0 +4 +2 1 0x44d9 0x33c27732 @@ -217694,6 +272118,8 @@ 1 1 0 +5 +3 2 0x27e4 0xe9a7156a @@ -217702,6 +272128,8 @@ 0 0 0 +4 +3 3 0xa698 0x8a69cd30 @@ -217710,6 +272138,8 @@ 0 0 0 +4 +2 1 0xce8f 0x249f82bf @@ -217718,6 +272148,8 @@ 0 0 0 +4 +4 3 0xc60f 0x6ba1a3b2 @@ -217726,6 +272158,8 @@ 0 0 0 +0 +5 2 0x7368 0xa55e1cfd @@ -217734,6 +272168,8 @@ 0 0 0 +1 +1 3 0xa4b4 0xd1675b68 @@ -217742,6 +272178,8 @@ 1 1 0 +0 +3 1 0x6ed7 0xcfc07377 @@ -217750,6 +272188,8 @@ 1 0 0 +1 +5 4 0xfeda 0x4771be0a @@ -217758,6 +272198,8 @@ 0 0 0 +3 +5 2 0x3cd9 0xf04c83f3 @@ -217767,6 +272209,8 @@ 0 0 1 +5 +1 0xffa9 0xcadc1a35 256 @@ -217774,6 +272218,8 @@ 1 0 0 +1 +4 2 0xf5ab 0x25ef9a77 @@ -217782,6 +272228,8 @@ 0 0 0 +5 +5 1 0x3ff2 0x4cca33b @@ -217790,6 +272238,8 @@ 0 0 0 +0 +5 2 0xd853 0x7bdde645 @@ -217799,6 +272249,8 @@ 1 0 4 +4 +4 0x4fea 0xeff6c671 256 @@ -217806,6 +272258,8 @@ 1 1 0 +3 +4 4 0xda20 0xf90a442e @@ -217815,6 +272269,8 @@ 1 0 3 +3 +3 0x76a1 0x198e7d89 256 @@ -217822,6 +272278,8 @@ 0 0 0 +5 +2 3 0x57d8 0x3e7ae91 @@ -217830,6 +272288,8 @@ 1 0 0 +0 +0 4 0x5b86 0xda9e9f98 @@ -217838,6 +272298,8 @@ 0 0 0 +5 +4 3 0xbf85 0x74d1933a @@ -217846,6 +272308,8 @@ 1 1 0 +2 +4 3 0x657e 0x3c685165 @@ -217855,6 +272319,8 @@ 0 0 2 +4 +2 0x4b51 0xe2790ba1 256 @@ -217862,6 +272328,8 @@ 0 0 0 +5 +3 2 0x101e 0x92b89f23 @@ -217870,6 +272338,8 @@ 0 0 0 +3 +4 4 0x7d10 0x4df12694 @@ -217878,6 +272348,8 @@ 0 0 0 +3 +5 4 0x8ea 0x58bf7dab @@ -217887,6 +272359,8 @@ 0 0 3 +5 +3 0xc6f8 0x68d20ca7 256 @@ -217895,6 +272369,8 @@ 0 0 2 +5 +2 0xa060 0x33b77a62 256 @@ -217902,6 +272378,8 @@ 1 1 0 +1 +4 4 0x339c 0xeaa025fc @@ -217911,6 +272389,8 @@ 0 0 2 +1 +2 0xb963 0xc5c74012 256 @@ -217919,6 +272399,8 @@ 0 0 3 +4 +3 0xf647 0xa5016387 256 @@ -217926,6 +272408,8 @@ 0 0 0 +2 +1 3 0x3250 0x4e4e716 @@ -217934,6 +272418,8 @@ 0 0 0 +3 +5 2 0x19d1 0x6787a8ed @@ -217942,6 +272428,8 @@ 0 0 0 +1 +3 2 0x1ac 0x539fe0ef @@ -217950,6 +272438,8 @@ 1 0 0 +5 +4 2 0xbc24 0xb94aeed @@ -217958,6 +272448,8 @@ 0 0 0 +5 +3 3 0xdf75 0x10e52aeb @@ -217966,6 +272458,8 @@ 0 0 0 +3 +0 1 0xe741 0xd9649352 @@ -217975,6 +272469,8 @@ 0 0 2 +5 +2 0x22a8 0x32fc9723 256 @@ -217982,6 +272478,8 @@ 1 0 0 +0 +1 3 0xfcb3 0xa8118a2a @@ -217990,6 +272488,8 @@ 0 0 0 +2 +2 3 0x6ddc 0xf94a4c37 @@ -217998,6 +272498,8 @@ 1 1 0 +1 +3 2 0x3596 0xdcb830b5 @@ -218006,6 +272508,8 @@ 1 1 0 +2 +5 3 0xe6df 0xdef3794c @@ -218014,6 +272518,8 @@ 1 1 0 +1 +1 4 0x3943 0xc2a07c96 @@ -218023,6 +272529,8 @@ 0 0 4 +2 +4 0x2b4f 0x57dad09a 256 @@ -218030,6 +272538,8 @@ 0 0 0 +1 +1 3 0xbd3b 0xf0d31cfd @@ -218038,6 +272548,8 @@ 0 0 0 +0 +0 1 0x26d3 0xccd9bde1 @@ -218046,6 +272558,8 @@ 1 1 0 +0 +5 3 0x232c 0x85fc2779 @@ -218054,6 +272568,8 @@ 0 0 0 +2 +4 3 0x1567 0xa33ff6d6 @@ -218063,6 +272579,8 @@ 0 0 4 +2 +4 0xfb0d 0x6f1a8f68 256 @@ -218070,6 +272588,8 @@ 0 0 0 +5 +1 2 0x7693 0x8a674bc0 @@ -218078,6 +272598,8 @@ 1 1 0 +1 +0 2 0x608 0xe0ae989c @@ -218086,6 +272608,8 @@ 1 0 0 +3 +1 1 0xada8 0x2b81ec7 @@ -218094,6 +272618,8 @@ 1 1 0 +3 +0 1 0xfdcd 0xf8e7e06c @@ -218102,6 +272628,8 @@ 1 0 0 +1 +2 2 0xb77f 0x1ff66e @@ -218110,6 +272638,8 @@ 1 0 0 +4 +2 1 0x9599 0x97c6bfc5 @@ -218118,6 +272648,8 @@ 1 0 0 +4 +5 1 0xf226 0x41dc89af @@ -218126,6 +272658,8 @@ 1 1 0 +4 +4 1 0xf77e 0xc9e04a0f @@ -218134,6 +272668,8 @@ 0 0 0 +3 +2 1 0xaa94 0xdd29870 @@ -218142,6 +272678,8 @@ 1 1 0 +4 +2 3 0xfe0f 0xc460f9fb @@ -218150,6 +272688,8 @@ 0 0 0 +2 +1 3 0x15cd 0x26e4c57b @@ -218159,6 +272699,8 @@ 1 0 1 +4 +1 0x6cef 0xfb64bb2c 256 @@ -218166,6 +272708,8 @@ 1 1 0 +4 +2 1 0x68fc 0x93ae55c8 @@ -218175,6 +272719,8 @@ 1 0 4 +2 +4 0xd03 0x67cdd2ec 256 @@ -218182,6 +272728,8 @@ 1 0 0 +4 +3 3 0x9d2f 0x4c4e72cf @@ -218190,6 +272738,8 @@ 0 0 0 +0 +1 4 0x3883 0xd197481b @@ -218198,6 +272748,8 @@ 0 0 0 +4 +5 1 0x98f7 0x4c09c387 @@ -218206,6 +272758,8 @@ 1 0 0 +3 +5 2 0xd00 0x3d5663c8 @@ -218214,6 +272768,8 @@ 0 0 0 +4 +5 2 0x5b43 0xdb5ea617 @@ -218222,6 +272778,8 @@ 1 1 0 +5 +5 3 0x9e73 0xa1f44d09 @@ -218230,6 +272788,8 @@ 0 0 0 +4 +1 1 0xa28b 0x496858e1 @@ -218238,6 +272798,8 @@ 0 0 0 +5 +2 2 0xfd86 0x40b61fcc @@ -218247,6 +272809,8 @@ 1 0 4 +3 +4 0xa027 0xbffa79bf 256 @@ -218254,6 +272818,8 @@ 1 1 0 +5 +3 3 0x44f8 0xebae4af2 @@ -218263,6 +272829,8 @@ 1 0 2 +1 +2 0x3e09 0x180df650 256 @@ -218271,6 +272839,8 @@ 0 0 3 +1 +3 0x8679 0xe7504de 256 @@ -218279,6 +272849,8 @@ 0 0 3 +2 +3 0x692d 0x7dcc3334 256 @@ -218286,6 +272858,8 @@ 1 0 0 +5 +3 3 0x29c9 0x9efa10e1 @@ -218294,6 +272868,8 @@ 0 0 0 +0 +4 2 0xf323 0x179bb46e @@ -218302,6 +272878,8 @@ 0 0 0 +1 +3 3 0xbf48 0x488a0023 @@ -218310,6 +272888,8 @@ 1 1 0 +4 +0 3 0xf3a0 0x8f75df3d @@ -218318,6 +272898,8 @@ 1 0 0 +5 +5 4 0xbb73 0xa9cdbc5d @@ -218326,6 +272908,8 @@ 0 0 0 +3 +2 2 0x6ccb 0x98d43621 @@ -218334,6 +272918,8 @@ 0 0 0 +1 +3 4 0x6063 0xb6d548cb @@ -218342,6 +272928,8 @@ 1 1 0 +1 +3 3 0xa39b 0x25ce2965 @@ -218350,6 +272938,8 @@ 0 0 0 +5 +4 2 0x71b6 0xeb702dba @@ -218359,6 +272949,8 @@ 1 0 2 +3 +2 0x654e 0x2d4ede81 256 @@ -218367,6 +272959,8 @@ 0 0 2 +3 +2 0x2e34 0xb06c50e3 256 @@ -218374,6 +272968,8 @@ 1 1 0 +4 +2 1 0x974f 0xb938ef01 @@ -218382,6 +272978,8 @@ 0 0 0 +0 +4 2 0x6e6e 0x3acc06f4 @@ -218390,6 +272988,8 @@ 1 0 0 +0 +2 2 0x837c 0x283f8ebc @@ -218398,6 +272998,8 @@ 1 0 0 +0 +2 2 0xe41a 0xc7374688 @@ -218406,6 +273008,8 @@ 1 0 0 +0 +0 4 0x199 0x522576b0 @@ -218414,6 +273018,8 @@ 1 1 0 +0 +0 3 0xd2e1 0x435e20b4 @@ -218422,6 +273028,8 @@ 1 1 0 +0 +4 1 0xabf 0x7dced4dd @@ -218430,6 +273038,8 @@ 0 0 0 +4 +4 2 0x3d1e 0xbdc133ef @@ -218439,6 +273049,8 @@ 0 0 2 +0 +2 0x3f25 0x43071863 256 @@ -218447,6 +273059,8 @@ 1 0 1 +1 +1 0xcc88 0xc9d53c32 256 @@ -218454,6 +273068,8 @@ 0 0 0 +4 +4 2 0x44b3 0xa14c447f @@ -218462,6 +273078,8 @@ 0 0 0 +0 +2 1 0xd699 0x5b2eb3b8 @@ -218470,6 +273088,8 @@ 1 0 0 +1 +3 3 0xb761 0xa6fd39c9 @@ -218479,6 +273099,8 @@ 0 0 3 +2 +3 0xfd5c 0x5090edbb 256 @@ -218486,6 +273108,8 @@ 0 0 0 +3 +3 2 0x9ff4 0xd07b5d77 @@ -218494,6 +273118,8 @@ 0 0 0 +2 +3 3 0x25df 0xa48ad93a @@ -218502,6 +273128,8 @@ 0 0 0 +3 +0 4 0x8fe8 0xf872a9ca @@ -218510,6 +273138,8 @@ 1 0 0 +5 +1 4 0xa6c7 0xe83ad7db @@ -218518,6 +273148,8 @@ 1 0 0 +5 +5 2 0x1cca 0xf321c0fd @@ -218526,6 +273158,8 @@ 0 0 0 +5 +1 2 0x1423 0x60af523 @@ -218534,6 +273168,8 @@ 0 0 0 +1 +4 4 0xc823 0x5e178c75 @@ -218543,6 +273179,8 @@ 0 0 4 +1 +4 0xd56c 0xcfbb378f 256 @@ -218550,6 +273188,8 @@ 0 0 0 +2 +0 1 0xf58b 0x51d8878e @@ -218558,6 +273198,8 @@ 0 0 0 +0 +3 3 0x3646 0xbe5f126f @@ -218567,6 +273209,8 @@ 1 0 4 +1 +4 0x2db9 0x6d250a5a 256 @@ -218574,6 +273218,8 @@ 0 0 0 +0 +5 4 0x509 0x88608a85 @@ -218582,6 +273228,8 @@ 1 0 0 +4 +4 3 0xa13a 0xbf4e5cb7 @@ -218590,6 +273238,8 @@ 1 1 0 +1 +3 2 0x94a9 0x3114c8fc @@ -218598,6 +273248,8 @@ 1 0 0 +2 +2 1 0x880f 0xeed7a9ee @@ -218607,6 +273259,8 @@ 0 0 2 +3 +2 0x3758 0x89dfca97 256 @@ -218614,6 +273268,8 @@ 1 1 0 +5 +3 1 0xd6d1 0x60577b3b @@ -218622,6 +273278,8 @@ 0 0 0 +4 +0 2 0x273b 0x6f97d4da @@ -218630,6 +273288,8 @@ 1 0 0 +1 +5 4 0xa6a1 0x8685734e @@ -218638,6 +273298,8 @@ 1 0 0 +2 +1 1 0xfc80 0xb649c003 @@ -218646,6 +273308,8 @@ 0 0 0 +3 +5 2 0x30d9 0xc9e110bf @@ -218654,6 +273318,8 @@ 0 0 0 +1 +5 2 0x29db 0xfc350072 @@ -218662,6 +273328,8 @@ 0 0 0 +1 +2 4 0xa650 0xf59a0a9f @@ -218670,6 +273338,8 @@ 1 0 0 +5 +5 1 0x1cfe 0x58e16e9b @@ -218678,6 +273348,8 @@ 0 0 0 +1 +1 3 0x23e2 0x2c10e5ab @@ -218686,6 +273358,8 @@ 1 0 0 +5 +3 4 0x4b68 0xbafbc8ae @@ -218694,6 +273368,8 @@ 1 0 0 +1 +0 2 0x7559 0xd48162ba @@ -218703,6 +273379,8 @@ 1 0 4 +2 +4 0x4bd1 0xaaad0a63 256 @@ -218710,6 +273388,8 @@ 0 0 0 +1 +5 4 0xb0ce 0xde988a33 @@ -218718,6 +273398,8 @@ 0 0 0 +2 +0 4 0x31b1 0xb20343fa @@ -218727,6 +273409,8 @@ 0 0 4 +5 +4 0xfdcc 0x36bc5d5e 256 @@ -218734,6 +273418,8 @@ 0 0 0 +4 +5 3 0x6d20 0x45ef444 @@ -218742,6 +273428,8 @@ 0 0 0 +5 +0 4 0x295d 0xb2d5bcca @@ -218750,6 +273438,8 @@ 0 0 0 +1 +4 2 0xf1f6 0x40c81dcb @@ -218758,6 +273448,8 @@ 1 1 0 +3 +4 1 0x4c59 0xcd56f3af @@ -218766,6 +273458,8 @@ 1 1 0 +0 +4 3 0x8fd1 0xb6ddd2aa @@ -218774,6 +273468,8 @@ 0 0 0 +1 +0 2 0xce11 0xd3834619 @@ -218782,6 +273478,8 @@ 0 0 0 +2 +5 3 0x49e0 0xc270ce1c @@ -218790,6 +273488,8 @@ 1 1 0 +0 +3 4 0xdc35 0x8118a258 @@ -218798,6 +273498,8 @@ 0 0 0 +2 +2 3 0x17cc 0x97c17696 @@ -218806,6 +273508,8 @@ 1 0 0 +3 +4 4 0xa3dd 0x2db93b6a @@ -218814,6 +273518,8 @@ 0 0 0 +5 +3 3 0x4bfc 0x7d89a81f @@ -218822,6 +273528,8 @@ 0 0 0 +3 +2 1 0x104f 0x8870b342 @@ -218830,6 +273538,8 @@ 0 0 0 +3 +5 1 0xb5d7 0x6157e080 @@ -218838,6 +273548,8 @@ 1 1 0 +4 +2 3 0x2fdb 0x7bd27dd2 @@ -218846,6 +273558,8 @@ 1 0 0 +1 +2 2 0xfb05 0xd5dccdd6 @@ -218855,6 +273569,8 @@ 0 0 3 +0 +3 0xa26c 0x1b65caaf 256 @@ -218863,6 +273579,8 @@ 1 0 1 +3 +1 0x678a 0xa2918f98 256 @@ -218870,6 +273588,8 @@ 0 0 0 +5 +3 1 0xc515 0x9c8d36b7 @@ -218879,6 +273599,8 @@ 0 0 2 +4 +2 0xbcef 0x7b7e9cae 256 @@ -218886,6 +273608,8 @@ 1 0 0 +0 +5 4 0x33c0 0x56325b75 @@ -218894,6 +273618,8 @@ 0 0 0 +1 +4 2 0x88ab 0x34d83704 @@ -218902,6 +273628,8 @@ 1 1 0 +0 +1 3 0x964f 0xd4eddb40 @@ -218910,6 +273638,8 @@ 0 0 0 +5 +0 1 0xd580 0xcef0fc92 @@ -218918,6 +273648,8 @@ 1 1 0 +1 +2 3 0xa8c6 0xa8e252f4 @@ -218926,6 +273658,8 @@ 1 1 0 +0 +2 4 0xf060 0xc9bfca58 @@ -218934,6 +273668,8 @@ 0 0 0 +5 +1 3 0xb452 0x79f822fe @@ -218942,6 +273678,8 @@ 0 0 0 +2 +3 4 0xf350 0x1c7d8f5d @@ -218951,6 +273689,8 @@ 0 0 1 +5 +1 0xa5a8 0x49032933 256 @@ -218958,6 +273698,8 @@ 1 1 0 +3 +0 4 0x7aa 0x7c0560d7 @@ -218966,6 +273708,8 @@ 0 0 0 +2 +5 1 0x78be 0x8363a95f @@ -218974,6 +273718,8 @@ 1 1 0 +3 +0 1 0x3ffc 0xc393e526 @@ -218982,6 +273728,8 @@ 0 0 0 +1 +3 2 0xe476 0x4f2b4b88 @@ -218990,6 +273738,8 @@ 0 0 0 +4 +0 1 0xe766 0xd68a903a @@ -218998,6 +273748,8 @@ 1 1 0 +2 +4 1 0x1f9b 0xdec93a0f @@ -219006,6 +273758,8 @@ 1 0 0 +0 +2 3 0xd36 0x5086bbcd @@ -219014,6 +273768,8 @@ 0 0 0 +5 +1 3 0x50b2 0x59333bfd @@ -219022,6 +273778,8 @@ 1 0 0 +3 +5 2 0xb960 0x96526a6f @@ -219030,6 +273788,8 @@ 0 0 0 +5 +2 3 0x4f3e 0x11d554ad @@ -219039,6 +273799,8 @@ 0 0 2 +1 +2 0x3ad6 0xc5b0094f 256 @@ -219046,6 +273808,8 @@ 1 0 0 +3 +5 2 0xb323 0x7781ab6b @@ -219055,6 +273819,8 @@ 0 0 3 +2 +3 0x8fdc 0xe8c769e9 256 @@ -219062,6 +273828,8 @@ 1 0 0 +4 +0 3 0xd964 0x90557cb4 @@ -219070,6 +273838,8 @@ 0 0 0 +4 +2 3 0x2092 0xa5280c92 @@ -219078,6 +273848,8 @@ 0 0 0 +3 +0 1 0x95e2 0xf1ea8acb @@ -219086,6 +273858,8 @@ 0 0 0 +1 +5 3 0xe638 0x2d6f7ba5 @@ -219094,6 +273868,8 @@ 0 0 0 +0 +2 2 0x4057 0xf60d010f @@ -219102,6 +273878,8 @@ 1 0 0 +4 +5 3 0xf5f8 0xb2c0eacd @@ -219110,6 +273888,8 @@ 1 0 0 +4 +5 3 0x93cf 0x4cb11571 @@ -219118,6 +273898,8 @@ 0 0 0 +3 +3 4 0x641f 0xb7251cd5 @@ -219126,6 +273908,8 @@ 1 0 0 +4 +0 2 0xa560 0xf84cbaba @@ -219134,6 +273918,8 @@ 0 0 0 +2 +4 1 0x84ac 0x8eb7ee43 @@ -219142,6 +273928,8 @@ 0 0 0 +5 +4 1 0x6ae8 0x8537e5e6 @@ -219150,6 +273938,8 @@ 0 0 0 +5 +1 4 0x885f 0x46535813 @@ -219159,6 +273949,8 @@ 1 0 1 +0 +1 0x87a8 0x7eb9d27f 256 @@ -219166,6 +273958,8 @@ 0 0 0 +5 +4 3 0xbc1 0xe3ead44e @@ -219174,6 +273968,8 @@ 1 0 0 +5 +0 2 0xaa9c 0x442ca8d2 @@ -219182,6 +273978,8 @@ 1 0 0 +2 +5 4 0xad4 0xe63e5f06 @@ -219191,6 +273989,8 @@ 0 0 4 +3 +4 0x4cc9 0xecc8e83a 256 @@ -219198,6 +273998,8 @@ 0 0 0 +5 +3 2 0x6dcc 0xa789bc59 @@ -219206,6 +274008,8 @@ 0 0 0 +5 +0 1 0x88c3 0xc999f0d2 @@ -219214,6 +274018,8 @@ 1 1 0 +4 +0 1 0x3acf 0x404f5ee5 @@ -219223,6 +274029,8 @@ 1 0 3 +4 +3 0xff63 0xa2c46b45 256 @@ -219230,6 +274038,8 @@ 1 1 0 +2 +4 3 0xb4bc 0x92790254 @@ -219238,6 +274048,8 @@ 1 0 0 +4 +3 2 0x972d 0x11fa2a75 @@ -219247,6 +274059,8 @@ 0 0 2 +3 +2 0x36e3 0xd70187ff 256 @@ -219254,6 +274068,8 @@ 0 0 0 +3 +3 1 0x422b 0x42c68435 @@ -219262,6 +274078,8 @@ 1 0 0 +1 +0 4 0x53dc 0x7e8f3eef @@ -219270,6 +274088,8 @@ 0 0 0 +1 +4 4 0xa16f 0x7dcda5ff @@ -219278,6 +274098,8 @@ 1 0 0 +3 +5 2 0x18f9 0x2ca4ce95 @@ -219286,6 +274108,8 @@ 1 0 0 +1 +5 3 0x9aaf 0x27c4d1cf @@ -219294,6 +274118,8 @@ 0 0 0 +3 +2 1 0xf379 0x4e04e6b @@ -219303,6 +274129,8 @@ 0 0 3 +3 +3 0x3373 0xb567b478 256 @@ -219311,6 +274139,8 @@ 0 0 4 +0 +4 0x236d 0xf0b62bad 256 @@ -219318,6 +274148,8 @@ 1 1 0 +0 +4 2 0xdf8c 0x419d28dc @@ -219326,6 +274158,8 @@ 0 0 0 +5 +4 4 0xd956 0xc705d6a0 @@ -219335,6 +274169,8 @@ 0 0 4 +2 +4 0x9c19 0x63c08647 256 @@ -219342,6 +274178,8 @@ 0 0 0 +4 +3 2 0x78ed 0x384ad930 @@ -219350,6 +274188,8 @@ 0 0 0 +2 +1 1 0x4f5 0xc5365174 @@ -219359,6 +274199,8 @@ 0 0 2 +2 +2 0x7c47 0x32869bcc 256 @@ -219366,6 +274208,8 @@ 0 0 0 +2 +5 1 0x72da 0xb3b35b77 @@ -219374,6 +274218,8 @@ 1 0 0 +0 +5 2 0x4d0f 0x31a3100b @@ -219382,6 +274228,8 @@ 0 0 0 +0 +3 1 0xb686 0xaad81e30 @@ -219390,6 +274238,8 @@ 1 0 0 +2 +0 4 0x9a22 0xc137997b @@ -219399,6 +274249,8 @@ 0 0 2 +1 +2 0xe03b 0xfb2d64f0 256 @@ -219407,6 +274259,8 @@ 0 0 2 +0 +2 0x93e2 0x337b0a97 256 @@ -219414,6 +274268,8 @@ 0 0 0 +1 +4 4 0x19c9 0xd5b52cf4 @@ -219422,6 +274278,8 @@ 1 0 0 +5 +2 2 0x8297 0xd60cd228 @@ -219431,6 +274289,8 @@ 0 0 4 +2 +4 0xa03f 0x96c76d99 256 @@ -219438,6 +274298,8 @@ 0 0 0 +3 +3 1 0x5ef6 0xe8727716 @@ -219447,6 +274309,8 @@ 0 0 1 +2 +1 0xae09 0x4446f36 256 @@ -219455,6 +274319,8 @@ 0 0 1 +5 +1 0xc0ce 0xba47229c 256 @@ -219462,6 +274328,8 @@ 0 0 0 +0 +1 3 0xfb7a 0x4ef8c4ce @@ -219470,6 +274338,8 @@ 1 1 0 +3 +5 4 0x82f1 0x6ea5c868 @@ -219479,6 +274349,8 @@ 0 0 2 +0 +2 0x1e25 0x1dcb10d2 256 @@ -219486,6 +274358,8 @@ 1 1 0 +3 +0 1 0x7ef5 0x34f94c33 @@ -219494,6 +274368,8 @@ 1 1 0 +3 +5 4 0x6a29 0xf910a655 @@ -219502,6 +274378,8 @@ 1 0 0 +5 +2 2 0x7a33 0xf9d8b8d @@ -219510,6 +274388,8 @@ 0 0 0 +5 +2 3 0x56d8 0x8f2b41b8 @@ -219518,6 +274398,8 @@ 1 0 0 +3 +0 4 0x24b1 0xc70171f2 @@ -219527,6 +274409,8 @@ 1 0 3 +0 +3 0x97ef 0xfd61a3f2 256 @@ -219534,6 +274418,8 @@ 0 0 0 +4 +4 3 0x1de9 0x9c1eaa5a @@ -219542,6 +274428,8 @@ 1 1 0 +4 +3 1 0x7b99 0x4587f812 @@ -219550,6 +274438,8 @@ 1 1 0 +0 +2 4 0x2c1f 0x42bda1c8 @@ -219559,6 +274449,8 @@ 1 0 3 +1 +3 0x345a 0x4bbca11f 256 @@ -219566,6 +274458,8 @@ 0 0 0 +3 +1 4 0x90d6 0xce729df4 @@ -219574,6 +274468,8 @@ 1 1 0 +0 +4 4 0xdcf2 0xfc0b42af @@ -219582,6 +274478,8 @@ 0 0 0 +4 +3 3 0x5505 0x2f30b90c @@ -219590,6 +274488,8 @@ 1 0 0 +5 +2 3 0x2af6 0xeae50f55 @@ -219598,6 +274498,8 @@ 1 0 0 +2 +1 3 0x6b69 0x76138d38 @@ -219606,6 +274508,8 @@ 1 1 0 +5 +2 3 0xba96 0x140f6942 @@ -219614,6 +274518,8 @@ 0 0 0 +2 +1 1 0xccc0 0x3c77ab9 @@ -219622,6 +274528,8 @@ 1 0 0 +5 +5 3 0xc208 0xfd79a2b1 @@ -219630,6 +274538,8 @@ 0 0 0 +5 +1 3 0x687e 0x1a8645db @@ -219638,6 +274548,8 @@ 1 1 0 +1 +2 4 0x4bdb 0xb8e005be @@ -219646,6 +274558,8 @@ 1 0 0 +3 +3 4 0xbb81 0x9b0dad3e @@ -219654,6 +274568,8 @@ 1 1 0 +5 +3 1 0xd1a6 0xdd7958da @@ -219662,6 +274578,8 @@ 1 1 0 +3 +0 1 0x3ec7 0xefedaa92 @@ -219670,6 +274588,8 @@ 1 1 0 +2 +3 4 0xd010 0x3735c4dc @@ -219678,6 +274598,8 @@ 1 1 0 +3 +1 4 0x9c74 0x59e1ccc4 @@ -219686,6 +274608,8 @@ 1 0 0 +3 +0 4 0xc9b8 0x41066b8d @@ -219694,6 +274618,8 @@ 0 0 0 +5 +4 1 0x83ab 0x1ae4d316 @@ -219702,6 +274628,8 @@ 1 1 0 +4 +2 3 0xca1c 0x1d82eb13 @@ -219710,6 +274638,8 @@ 1 0 0 +5 +5 1 0x2e44 0x1164af74 @@ -219718,6 +274648,8 @@ 0 0 0 +0 +5 1 0x5c04 0x62cae08d @@ -219726,6 +274658,8 @@ 1 1 0 +0 +1 4 0x7ec5 0x19eefb59 @@ -219734,6 +274668,8 @@ 0 0 0 +0 +0 3 0xbc2 0xd1a490ab @@ -219742,6 +274678,8 @@ 0 0 0 +2 +1 3 0xdffe 0xcef016c4 @@ -219750,6 +274688,8 @@ 0 0 0 +0 +4 2 0xf522 0x5ff0b14d @@ -219758,6 +274698,8 @@ 0 0 0 +3 +0 1 0x4845 0x54740bc1 @@ -219767,6 +274709,8 @@ 0 0 1 +2 +1 0xe5c 0x29b86b47 256 @@ -219774,6 +274718,8 @@ 1 1 0 +5 +5 1 0xd5f7 0x7093e3da @@ -219782,6 +274728,8 @@ 1 0 0 +1 +4 2 0xaebf 0x280520d0 @@ -219790,6 +274738,8 @@ 1 1 0 +3 +2 1 0x7d4f 0xca0a23e4 @@ -219798,6 +274748,8 @@ 0 0 0 +0 +4 2 0xdfab 0xdd4b8d58 @@ -219806,6 +274758,8 @@ 1 0 0 +0 +4 3 0xaa14 0x486a6c63 @@ -219814,6 +274768,8 @@ 0 0 0 +3 +0 4 0xf2c6 0xe9424a85 @@ -219822,6 +274778,8 @@ 1 1 0 +1 +4 3 0x5021 0x4d271a05 @@ -219831,6 +274789,8 @@ 0 0 3 +0 +3 0x5b1e 0xeff64f86 256 @@ -219838,6 +274798,8 @@ 0 0 0 +4 +1 1 0x9b0f 0x846d37d @@ -219846,6 +274808,8 @@ 1 0 0 +0 +4 3 0xeca6 0x4d50d7c4 @@ -219854,6 +274818,8 @@ 0 0 0 +4 +4 1 0x3cae 0xa8941351 @@ -219863,6 +274829,8 @@ 0 0 2 +3 +2 0x6ceb 0xa6248513 256 @@ -219870,6 +274838,8 @@ 0 0 0 +5 +5 1 0xbb0d 0xbaa4d2a9 @@ -219879,6 +274849,8 @@ 1 0 4 +2 +4 0x852a 0x7b1a76ac 256 @@ -219886,6 +274858,8 @@ 0 0 0 +3 +2 1 0xb6f4 0x69ae5f73 @@ -219894,6 +274868,8 @@ 1 1 0 +5 +4 1 0x765c 0xae0724a8 @@ -219902,6 +274878,8 @@ 0 0 0 +1 +0 2 0x8cb0 0xcc8cfb0b @@ -219910,6 +274888,8 @@ 1 1 0 +1 +4 3 0x2eac 0x3224158 @@ -219918,6 +274898,8 @@ 1 1 0 +0 +2 3 0xf101 0x3e673d5e @@ -219926,6 +274908,8 @@ 1 1 0 +5 +4 1 0xf700 0x5645a07e @@ -219934,6 +274918,8 @@ 0 0 0 +3 +0 4 0x4773 0xa8fd7d61 @@ -219942,6 +274928,8 @@ 1 1 0 +0 +0 3 0x915c 0x5e5cec6e @@ -219950,6 +274938,8 @@ 0 0 0 +5 +0 1 0x7c55 0xa1a09a3d @@ -219958,6 +274948,8 @@ 1 0 0 +2 +5 1 0xf9fd 0x4206cc65 @@ -219966,6 +274958,8 @@ 1 0 0 +1 +3 3 0xd6b4 0x567f08a2 @@ -219974,6 +274968,8 @@ 0 0 0 +4 +0 1 0xe0e8 0x652c9a81 @@ -219982,6 +274978,8 @@ 1 1 0 +4 +1 1 0x193c 0xfcb937f2 @@ -219990,6 +274988,8 @@ 1 1 0 +2 +5 3 0x49b0 0x4e6bd13 @@ -219998,6 +274998,8 @@ 1 0 0 +1 +0 4 0x9baa 0x9ec77732 @@ -220006,6 +275008,8 @@ 1 0 0 +0 +0 2 0x5264 0x66aed134 @@ -220014,6 +275018,8 @@ 1 0 0 +5 +5 2 0x174c 0xf7554eda @@ -220022,6 +275028,8 @@ 1 1 0 +3 +5 1 0x35b0 0xc0949372 @@ -220030,6 +275038,8 @@ 1 0 0 +1 +0 3 0x81e1 0xdfa35194 @@ -220038,6 +275048,8 @@ 0 0 0 +5 +5 2 0x3fa3 0x9cb39424 @@ -220046,6 +275058,8 @@ 0 0 0 +5 +5 4 0x9830 0x51e60307 @@ -220054,6 +275068,8 @@ 1 0 0 +3 +2 2 0x2ec2 0xd63c037f @@ -220062,6 +275078,8 @@ 1 0 0 +5 +1 2 0xba2a 0xaee6db1b @@ -220070,6 +275088,8 @@ 1 1 0 +4 +0 2 0xe28 0x57ff907c @@ -220079,6 +275099,8 @@ 0 0 1 +3 +1 0x8265 0x8dda2d7e 256 @@ -220087,6 +275109,8 @@ 1 0 1 +3 +1 0x6de1 0x3953aa35 256 @@ -220094,6 +275118,8 @@ 0 0 0 +4 +4 1 0xbbb5 0xbc25c0c9 @@ -220102,6 +275128,8 @@ 0 0 0 +4 +4 2 0x6cfe 0xce5743a3 @@ -220110,6 +275138,8 @@ 0 0 0 +0 +0 1 0xada8 0x846b5cb @@ -220118,6 +275148,8 @@ 1 0 0 +0 +5 1 0x7f5b 0xadebfca4 @@ -220126,6 +275158,8 @@ 1 0 0 +0 +0 3 0x4883 0x157bbf12 @@ -220135,6 +275169,8 @@ 0 0 3 +3 +3 0xb16e 0x48ee6b01 256 @@ -220142,6 +275178,8 @@ 1 0 0 +4 +4 1 0xd196 0x2567e209 @@ -220151,6 +275189,8 @@ 0 0 3 +1 +3 0x788c 0xf3068dc9 256 @@ -220158,6 +275198,8 @@ 0 0 0 +1 +2 2 0x589b 0x6f35dbf4 @@ -220166,6 +275208,8 @@ 1 0 0 +2 +4 3 0xcca0 0xd3ae4717 @@ -220174,6 +275218,8 @@ 1 0 0 +2 +4 1 0x3b16 0x472cdf9a @@ -220182,6 +275228,8 @@ 1 1 0 +3 +0 1 0xc1b8 0x26aba930 @@ -220190,6 +275238,8 @@ 0 0 0 +5 +0 2 0xf3e8 0xf28fe716 @@ -220198,6 +275248,8 @@ 1 0 0 +1 +0 2 0xa6fa 0x202cd217 @@ -220206,6 +275258,8 @@ 1 1 0 +2 +3 3 0x2ab0 0x8aef1c2d @@ -220215,6 +275269,8 @@ 0 0 2 +0 +2 0xf931 0xe96ecdf4 256 @@ -220222,6 +275278,8 @@ 1 1 0 +0 +4 2 0xe4a4 0xf457c876 @@ -220230,6 +275288,8 @@ 0 0 0 +4 +3 2 0xd2c9 0x16fcebf8 @@ -220238,6 +275298,8 @@ 1 1 0 +1 +1 4 0x6080 0x79abb2d0 @@ -220246,6 +275308,8 @@ 1 0 0 +1 +0 4 0x9e6a 0xd4e3e86c @@ -220254,6 +275318,8 @@ 1 0 0 +4 +4 2 0xa030 0xf9f32b1a @@ -220263,6 +275329,8 @@ 1 0 4 +3 +4 0xbb0a 0x31e01ca2 256 @@ -220270,6 +275338,8 @@ 1 1 0 +1 +4 4 0x2d65 0xc84fd02f @@ -220278,6 +275348,8 @@ 0 0 0 +5 +1 1 0xfd58 0x81edcf5d @@ -220287,6 +275359,8 @@ 0 0 1 +2 +1 0x5d89 0x8ad5dbb7 256 @@ -220294,6 +275368,8 @@ 0 0 0 +0 +5 4 0x6eeb 0xdfd4927f @@ -220302,6 +275378,8 @@ 0 0 0 +3 +5 2 0x3eb8 0xdd3c05cd @@ -220310,6 +275388,8 @@ 0 0 0 +1 +5 3 0x1a27 0x5f1ee3ca @@ -220318,6 +275398,8 @@ 1 1 0 +2 +0 3 0x299 0x659d7bf2 @@ -220326,6 +275408,8 @@ 1 1 0 +3 +3 1 0x508e 0xbddf6aa6 @@ -220335,6 +275419,8 @@ 0 0 3 +1 +3 0xa933 0x5e1224a1 256 @@ -220342,6 +275428,8 @@ 1 1 0 +2 +5 1 0xc148 0xe8c964cd @@ -220350,6 +275438,8 @@ 1 0 0 +0 +5 1 0xa300 0x5238f239 @@ -220358,6 +275448,8 @@ 1 0 0 +0 +0 3 0x7871 0x7aa99d9a @@ -220366,6 +275458,8 @@ 0 0 0 +1 +4 2 0x62d1 0x275e183f @@ -220374,6 +275468,8 @@ 1 1 0 +5 +5 2 0x355a 0x6dd75601 @@ -220383,6 +275479,8 @@ 0 0 2 +1 +2 0xdfa2 0x1f0dac60 256 @@ -220390,6 +275488,8 @@ 1 1 0 +2 +4 1 0xc3cd 0x54ddfc64 @@ -220399,6 +275499,8 @@ 1 0 3 +4 +3 0xb33d 0xe447fe38 256 @@ -220406,6 +275508,8 @@ 1 1 0 +5 +3 4 0xf76b 0xea88b521 @@ -220414,6 +275518,8 @@ 0 0 0 +3 +5 4 0xbc34 0x7dd536fc @@ -220422,6 +275528,8 @@ 0 0 0 +2 +4 1 0x2478 0x86b178d2 @@ -220430,6 +275538,8 @@ 1 1 0 +0 +4 2 0x72cf 0xce5b1e43 @@ -220439,6 +275549,8 @@ 0 0 4 +5 +4 0xc0d7 0x435a75f9 256 @@ -220446,6 +275558,8 @@ 1 0 0 +0 +1 4 0xa5ee 0x6fc64cd @@ -220454,6 +275568,8 @@ 0 0 0 +1 +2 2 0x58b5 0xb923f7cf @@ -220462,6 +275578,8 @@ 1 0 0 +0 +0 1 0x9349 0xd4b55487 @@ -220470,6 +275588,8 @@ 1 1 0 +4 +0 2 0xf0e3 0xa319c427 @@ -220479,6 +275599,8 @@ 0 0 4 +2 +4 0x9261 0xa5261b68 256 @@ -220486,6 +275608,8 @@ 0 0 0 +1 +0 2 0x8757 0xa878137c @@ -220494,6 +275618,8 @@ 1 0 0 +3 +4 4 0x835c 0x64011d07 @@ -220502,6 +275628,8 @@ 1 0 0 +2 +1 4 0x8496 0x38ca069e @@ -220510,6 +275638,8 @@ 0 0 0 +2 +4 3 0x4ddc 0x130b1aa0 @@ -220518,6 +275648,8 @@ 0 0 0 +3 +3 4 0x1bf9 0x2d92900c @@ -220526,6 +275658,8 @@ 0 0 0 +0 +0 1 0x52b1 0x4ce0db50 @@ -220534,6 +275668,8 @@ 1 1 0 +3 +3 4 0x9be6 0x175338f @@ -220542,6 +275678,8 @@ 0 0 0 +5 +2 2 0xaa43 0x878729f5 @@ -220550,6 +275688,8 @@ 1 1 0 +4 +0 2 0xe2e 0xaf494e10 @@ -220558,6 +275698,8 @@ 0 0 0 +4 +1 2 0x845a 0xd506cef6 @@ -220566,6 +275708,8 @@ 1 0 0 +4 +0 2 0xb994 0x705301a0 @@ -220575,6 +275719,8 @@ 0 0 1 +3 +1 0x27df 0x83199027 256 @@ -220582,6 +275728,8 @@ 1 0 0 +5 +2 2 0xc68a 0x78f37c31 @@ -220591,6 +275739,8 @@ 0 0 1 +3 +1 0x39e1 0x56a378a 256 @@ -220598,6 +275748,8 @@ 1 1 0 +1 +2 4 0xa9db 0x72b12d52 @@ -220606,6 +275758,8 @@ 1 0 0 +4 +3 1 0xc3d2 0xeaece9c8 @@ -220614,6 +275768,8 @@ 1 1 0 +0 +0 1 0x4f33 0x274268 @@ -220622,6 +275778,8 @@ 1 0 0 +0 +2 4 0x3a5d 0x6ea9754e @@ -220630,6 +275788,8 @@ 1 0 0 +5 +0 2 0xfd84 0xf42cef79 @@ -220638,6 +275798,8 @@ 0 0 0 +0 +3 1 0x8bda 0x23d08863 @@ -220646,6 +275808,8 @@ 1 0 0 +1 +2 4 0x43fa 0x6a147a87 @@ -220654,6 +275818,8 @@ 0 0 0 +4 +1 1 0xdce9 0x2d38c9a @@ -220663,6 +275829,8 @@ 0 0 4 +5 +4 0x7b3d 0xb229e1cc 256 @@ -220670,6 +275838,8 @@ 0 0 0 +5 +0 2 0xcc3c 0x868301d0 @@ -220678,6 +275848,8 @@ 0 0 0 +2 +3 3 0x7673 0x6eaa283e @@ -220686,6 +275858,8 @@ 1 0 0 +0 +5 3 0x6998 0x84618d52 @@ -220694,6 +275868,8 @@ 1 1 0 +3 +3 4 0xcac9 0x1edc92b @@ -220702,6 +275878,8 @@ 1 0 0 +3 +5 4 0x786e 0x8595abad @@ -220710,6 +275888,8 @@ 0 0 0 +5 +4 1 0x7446 0x3e86f220 @@ -220719,6 +275899,8 @@ 0 0 1 +2 +1 0x27b0 0xb24518f1 256 @@ -220726,6 +275908,8 @@ 1 0 0 +1 +1 2 0xe23d 0x8b0faad6 @@ -220734,6 +275918,8 @@ 0 0 0 +2 +1 3 0xff34 0x8c1a4fcb @@ -220742,6 +275928,8 @@ 1 0 0 +2 +1 4 0xb7e2 0x5284bc5f @@ -220751,6 +275939,8 @@ 1 0 4 +2 +4 0x978 0xadd25838 256 @@ -220758,6 +275948,8 @@ 1 0 0 +2 +0 1 0x249c 0xea63a0ec @@ -220766,6 +275958,8 @@ 0 0 0 +1 +0 3 0xb6d3 0xc6e77c33 @@ -220774,6 +275968,8 @@ 0 0 0 +0 +3 3 0xa9e7 0x309f8fbc @@ -220782,6 +275978,8 @@ 1 1 0 +4 +0 1 0xc06e 0xd7168b27 @@ -220790,6 +275988,8 @@ 1 1 0 +5 +2 2 0x476b 0x844ea67a @@ -220799,6 +275999,8 @@ 0 0 2 +4 +2 0x162e 0x526958e9 256 @@ -220806,6 +276008,8 @@ 0 0 0 +5 +5 2 0x1b31 0xe99b8d @@ -220814,6 +276018,8 @@ 1 1 0 +3 +0 4 0x7406 0xf8ebe1aa @@ -220822,6 +276028,8 @@ 0 0 0 +0 +1 3 0xa3ac 0x839c8e5c @@ -220830,6 +276038,8 @@ 0 0 0 +4 +0 1 0xcc00 0x75ae68cd @@ -220838,6 +276048,8 @@ 0 0 0 +3 +0 2 0x6806 0x940dcaa @@ -220846,6 +276058,8 @@ 0 0 0 +0 +5 4 0xcce0 0x83071d42 @@ -220855,6 +276069,8 @@ 1 0 2 +5 +2 0x553c 0x4b82fd3f 256 @@ -220862,6 +276078,8 @@ 0 0 0 +4 +5 1 0xec95 0x26a830ed @@ -220870,6 +276088,8 @@ 0 0 0 +0 +0 4 0xe658 0x3379be60 @@ -220878,6 +276098,8 @@ 1 0 0 +3 +4 4 0x1a09 0x3e664ac0 @@ -220886,6 +276108,8 @@ 1 0 0 +3 +0 4 0x1c57 0x91fa4f49 @@ -220894,6 +276118,8 @@ 0 0 0 +3 +2 4 0xca14 0x39ddef5e @@ -220902,6 +276128,8 @@ 1 1 0 +4 +5 1 0x540f 0x74285073 @@ -220910,6 +276138,8 @@ 1 1 0 +5 +4 4 0x2550 0x917095d @@ -220918,6 +276148,8 @@ 1 1 0 +0 +0 2 0x178f 0x533a8691 @@ -220926,6 +276158,8 @@ 1 1 0 +4 +2 3 0x9d95 0xfb1b1468 @@ -220934,6 +276168,8 @@ 1 1 0 +0 +1 4 0xbcad 0x55e3a3c3 @@ -220942,6 +276178,8 @@ 0 0 0 +5 +3 1 0xf8c1 0xbe635148 @@ -220951,6 +276189,8 @@ 0 0 4 +0 +4 0xe946 0x19152f31 256 @@ -220958,6 +276198,8 @@ 0 0 0 +2 +5 1 0xc5ee 0x923718e5 @@ -220967,6 +276209,8 @@ 0 0 2 +3 +2 0x5164 0x5854c40 256 @@ -220974,6 +276218,8 @@ 0 0 0 +3 +1 2 0x9964 0xc7ec35b5 @@ -220982,6 +276228,8 @@ 0 0 0 +2 +1 3 0x3001 0xba283b75 @@ -220990,6 +276238,8 @@ 0 0 0 +5 +3 3 0xf350 0x59d61109 @@ -220999,6 +276249,8 @@ 0 0 2 +0 +2 0x1497 0x1e0322fe 256 @@ -221006,6 +276258,8 @@ 1 1 0 +2 +2 3 0x5c4d 0x7e9bb200 @@ -221015,6 +276269,8 @@ 0 0 4 +2 +4 0xede7 0x6b63217a 256 @@ -221022,6 +276278,8 @@ 0 0 0 +3 +0 4 0x1c9b 0xf9727601 @@ -221030,6 +276288,8 @@ 0 0 0 +1 +5 2 0x3155 0xae88457f @@ -221038,6 +276298,8 @@ 1 1 0 +3 +1 4 0x3ec3 0xb357083e @@ -221046,6 +276308,8 @@ 1 1 0 +0 +0 2 0x152e 0x6d0785f8 @@ -221055,6 +276319,8 @@ 0 0 3 +2 +3 0xa8f4 0x7e544993 256 @@ -221062,6 +276328,8 @@ 0 0 0 +1 +4 3 0xa4d2 0x2f9c504c @@ -221071,6 +276339,8 @@ 1 0 1 +2 +1 0x74ac 0xc7c768b7 256 @@ -221078,6 +276348,8 @@ 0 0 0 +0 +3 4 0x8c83 0x38ba302 @@ -221086,6 +276358,8 @@ 0 0 0 +0 +5 3 0x641e 0x9c1eb560 @@ -221094,6 +276368,8 @@ 1 0 0 +4 +4 2 0x17a4 0xc0b33d2c @@ -221102,6 +276378,8 @@ 0 0 0 +4 +2 3 0x5dcc 0xa3535121 @@ -221110,6 +276388,8 @@ 0 0 0 +3 +2 4 0x6175 0xcb6e803b @@ -221118,6 +276398,8 @@ 1 1 0 +1 +4 3 0xb975 0x76ec93f6 @@ -221126,6 +276408,8 @@ 1 1 0 +1 +4 3 0x1771 0x4a6d1c79 @@ -221134,6 +276418,8 @@ 0 0 0 +3 +1 2 0x1a0c 0xc890566b @@ -221142,6 +276428,8 @@ 1 1 0 +5 +5 1 0x4bef 0x149bda53 @@ -221150,6 +276438,8 @@ 0 0 0 +3 +1 1 0xeb3e 0x5234d7e9 @@ -221159,6 +276449,8 @@ 1 0 3 +3 +3 0x74f5 0x1cf01103 256 @@ -221166,6 +276458,8 @@ 0 0 0 +4 +1 1 0x6bee 0x5fb18212 @@ -221174,6 +276468,8 @@ 0 0 0 +1 +1 3 0xd66 0xdfa7b7cb @@ -221182,6 +276478,8 @@ 1 0 0 +5 +1 4 0x8e62 0xe84bcd81 @@ -221190,6 +276488,8 @@ 1 1 0 +3 +5 1 0x3b4f 0xcde2871c @@ -221198,6 +276498,8 @@ 1 1 0 +0 +3 4 0xc202 0x62b04bb1 @@ -221206,6 +276508,8 @@ 0 0 0 +4 +0 2 0x26a5 0x3fd79076 @@ -221214,6 +276518,8 @@ 1 0 0 +3 +4 2 0xddad 0xafdf980a @@ -221222,6 +276528,8 @@ 1 1 0 +2 +0 3 0x8b84 0x481ed691 @@ -221230,6 +276538,8 @@ 0 0 0 +0 +3 2 0xaa5b 0x6dc52a6e @@ -221238,6 +276548,8 @@ 0 0 0 +5 +3 3 0x4ab3 0x76a198c3 @@ -221246,6 +276558,8 @@ 0 0 0 +1 +2 2 0x507d 0xf855ac7e @@ -221254,6 +276568,8 @@ 1 0 0 +2 +4 4 0xaea8 0x1193c434 @@ -221262,6 +276578,8 @@ 1 0 0 +3 +0 1 0xa91 0x96707f1c @@ -221271,6 +276589,8 @@ 0 0 1 +5 +1 0x96e6 0x79153198 256 @@ -221278,6 +276598,8 @@ 0 0 0 +4 +5 2 0x37f5 0x37844d28 @@ -221287,6 +276609,8 @@ 0 0 2 +5 +2 0x77b 0xbbbf6a15 256 @@ -221294,6 +276618,8 @@ 1 0 0 +0 +5 2 0xcb25 0x6646e441 @@ -221302,6 +276628,8 @@ 1 1 0 +0 +4 1 0xc642 0x873726c2 @@ -221310,6 +276638,8 @@ 1 0 0 +5 +2 4 0x6ad 0x22359cf1 @@ -221318,6 +276648,8 @@ 0 0 0 +0 +0 1 0xe302 0x3cf6face @@ -221326,6 +276658,8 @@ 1 1 0 +2 +2 3 0x2271 0xea38a886 @@ -221334,6 +276668,8 @@ 0 0 0 +0 +5 3 0x490a 0x8d341f8a @@ -221342,6 +276678,8 @@ 1 0 0 +3 +1 1 0x60cd 0x58a50a2c @@ -221350,6 +276688,8 @@ 0 0 0 +3 +2 2 0x6356 0xb77c48f @@ -221358,6 +276698,8 @@ 0 0 0 +0 +4 3 0xba3f 0x7b2e2c72 @@ -221366,6 +276708,8 @@ 1 1 0 +5 +5 1 0x6932 0xc8a2b84d @@ -221374,6 +276718,8 @@ 0 0 0 +2 +4 4 0x2224 0x7646640 @@ -221382,6 +276728,8 @@ 0 0 0 +5 +3 1 0xd85 0x1cbee186 @@ -221390,6 +276738,8 @@ 1 1 0 +2 +3 4 0xe53c 0xf25273fc @@ -221398,6 +276748,8 @@ 0 0 0 +4 +0 3 0xbbaf 0x856df36c @@ -221406,6 +276758,8 @@ 1 0 0 +5 +3 1 0xc668 0xf410b347 @@ -221414,6 +276768,8 @@ 0 0 0 +1 +3 3 0x7f7a 0x26e2f4fe @@ -221422,6 +276778,8 @@ 0 0 0 +1 +1 3 0x92b0 0xbb6bf88f @@ -221430,6 +276788,8 @@ 1 1 0 +1 +4 3 0xcbf3 0xc56c3d52 @@ -221438,6 +276798,8 @@ 0 0 0 +2 +5 4 0x4a8b 0xc70036b1 @@ -221446,6 +276808,8 @@ 1 0 0 +1 +4 3 0x5101 0x533dc05c @@ -221455,6 +276819,8 @@ 0 0 3 +4 +3 0x43de 0x925fb73e 256 @@ -221462,6 +276828,8 @@ 0 0 0 +0 +5 3 0x9169 0x3dd8ac76 @@ -221470,6 +276838,8 @@ 1 1 0 +1 +5 3 0x73aa 0xbb39ad30 @@ -221479,6 +276849,8 @@ 1 0 1 +2 +1 0x911c 0xa1f002c3 256 @@ -221486,6 +276858,8 @@ 0 0 0 +2 +2 4 0x7a0c 0xdc3068d9 @@ -221494,6 +276868,8 @@ 0 0 0 +2 +2 4 0xb741 0xb1ca1f54 @@ -221502,6 +276878,8 @@ 1 1 0 +3 +2 4 0x6286 0x8cc9885e @@ -221510,6 +276888,8 @@ 0 0 0 +3 +1 2 0x5e9e 0x2d356af2 @@ -221518,6 +276898,8 @@ 1 1 0 +2 +1 4 0xd879 0x13aa8001 @@ -221526,6 +276908,8 @@ 0 0 0 +4 +0 3 0xded8 0xac6c12df @@ -221535,6 +276919,8 @@ 1 0 1 +4 +1 0xec24 0xd0ff017a 256 @@ -221542,6 +276928,8 @@ 0 0 0 +5 +2 2 0xf251 0x4f6dd866 @@ -221551,6 +276939,8 @@ 0 0 4 +5 +4 0x8b20 0x362362b0 256 @@ -221558,6 +276948,8 @@ 1 1 0 +0 +5 2 0x9327 0xfe6047fb @@ -221566,6 +276958,8 @@ 0 0 0 +2 +3 3 0xe593 0xfd71483b @@ -221574,6 +276968,8 @@ 1 0 0 +0 +2 4 0x1e16 0x9010ad74 @@ -221582,6 +276978,8 @@ 0 0 0 +2 +0 4 0xd487 0x38e840fc @@ -221590,6 +276988,8 @@ 0 0 0 +4 +2 2 0x74bf 0xfa901e40 @@ -221598,6 +276998,8 @@ 0 0 0 +2 +4 3 0xaa3e 0x1495c9fc @@ -221606,6 +277008,8 @@ 0 0 0 +5 +4 1 0x3f50 0xdf12d6ce @@ -221614,6 +277018,8 @@ 1 0 0 +1 +2 2 0x15e 0xc31c44d8 @@ -221623,6 +277029,8 @@ 0 0 3 +4 +3 0x957 0x9157ed08 256 @@ -221630,6 +277038,8 @@ 1 0 0 +4 +2 2 0xc026 0x923a209b @@ -221638,6 +277048,8 @@ 1 1 0 +0 +2 1 0x291 0xb853fe48 @@ -221646,6 +277058,8 @@ 1 0 0 +2 +3 3 0x4c66 0xe8c0e833 @@ -221654,6 +277068,8 @@ 1 0 0 +3 +5 1 0xf423 0x9eaacf5 @@ -221662,6 +277078,8 @@ 0 0 0 +0 +1 3 0xa12f 0xacb37483 @@ -221670,6 +277088,8 @@ 0 0 0 +0 +5 2 0xd22b 0x12729d8c @@ -221678,6 +277098,8 @@ 0 0 0 +1 +1 2 0x8b70 0xb826c925 @@ -221686,6 +277108,8 @@ 0 0 0 +2 +0 1 0x5a39 0x38452090 @@ -221694,6 +277118,8 @@ 1 0 0 +0 +5 2 0x667e 0x404736e4 @@ -221702,6 +277128,8 @@ 1 0 0 +0 +0 4 0x2530 0x9dced254 @@ -221710,6 +277138,8 @@ 0 0 0 +1 +2 2 0x281c 0x68c7fed1 @@ -221718,6 +277148,8 @@ 1 1 0 +4 +5 3 0xe170 0xa993d669 @@ -221726,6 +277158,8 @@ 1 1 0 +4 +4 2 0x4755 0x1bbb2dd5 @@ -221734,6 +277168,8 @@ 1 1 0 +3 +0 2 0xec2c 0xec9a3e39 @@ -221742,6 +277178,8 @@ 1 1 0 +1 +5 3 0xec7a 0x39c7d5b @@ -221750,6 +277188,8 @@ 0 0 0 +0 +1 2 0x761b 0x8a685eac @@ -221758,6 +277198,8 @@ 1 0 0 +5 +1 2 0x9383 0x68c33e53 @@ -221766,6 +277208,8 @@ 1 1 0 +0 +2 3 0xcc88 0x12efc982 @@ -221775,6 +277219,8 @@ 0 0 3 +4 +3 0xf9d4 0x5305517e 256 @@ -221782,6 +277228,8 @@ 1 1 0 +3 +3 2 0x634 0x182aa2e1 @@ -221790,6 +277238,8 @@ 1 1 0 +2 +4 3 0x822a 0xf16cac80 @@ -221798,6 +277248,8 @@ 1 1 0 +3 +0 2 0x88bd 0xba6409ee @@ -221806,6 +277258,8 @@ 0 0 0 +5 +2 1 0x997c 0x399a57b5 @@ -221814,6 +277268,8 @@ 1 0 0 +0 +1 4 0xe1ad 0x727d0fc @@ -221822,6 +277278,8 @@ 0 0 0 +2 +3 3 0x7b7f 0x4aefa45c @@ -221830,6 +277288,8 @@ 1 1 0 +1 +5 2 0x3b17 0x7e701137 @@ -221838,6 +277298,8 @@ 1 1 0 +5 +3 4 0xfb14 0xa822b7a4 @@ -221847,6 +277309,8 @@ 0 0 1 +1 +1 0x122b 0x2eeaef8e 256 @@ -221854,6 +277318,8 @@ 1 1 0 +3 +0 4 0xcc96 0x88a2085a @@ -221862,6 +277328,8 @@ 0 0 0 +4 +1 1 0x7e37 0x6bfa919 @@ -221870,6 +277338,8 @@ 1 1 0 +1 +2 2 0x23ac 0x48778bde @@ -221878,6 +277348,8 @@ 1 1 0 +0 +0 2 0x1858 0xcba1b45f @@ -221886,6 +277358,8 @@ 0 0 0 +0 +3 1 0x94ce 0xcdffe026 @@ -221895,6 +277369,8 @@ 0 0 1 +1 +1 0x84b4 0x66d85415 256 @@ -221902,6 +277378,8 @@ 1 0 0 +0 +1 2 0x2fd0 0x57267620 @@ -221910,6 +277388,8 @@ 1 1 0 +2 +0 4 0x4489 0x5daac7e9 @@ -221918,6 +277398,8 @@ 0 0 0 +3 +1 1 0xb578 0x8d9416a4 @@ -221926,6 +277408,8 @@ 1 0 0 +5 +3 2 0x9a46 0x321e9880 @@ -221934,6 +277418,8 @@ 0 0 0 +2 +5 4 0x783d 0x1dda4017 @@ -221942,6 +277428,8 @@ 0 0 0 +2 +4 3 0xa21f 0xd5b14112 @@ -221950,6 +277438,8 @@ 0 0 0 +0 +2 3 0xee0f 0x403e9079 @@ -221959,6 +277449,8 @@ 0 0 4 +2 +4 0xab34 0x13aad509 256 @@ -221966,6 +277458,8 @@ 0 0 0 +4 +5 3 0x8f48 0x1ec1bb46 @@ -221974,6 +277468,8 @@ 0 0 0 +2 +2 1 0x3067 0x8d8698cd @@ -221982,6 +277478,8 @@ 0 0 0 +3 +0 2 0xdf47 0xadac5dd4 @@ -221991,6 +277489,8 @@ 1 0 3 +2 +3 0xe714 0x346603e3 256 @@ -221998,6 +277498,8 @@ 1 1 0 +2 +2 4 0xd846 0xa6441172 @@ -222006,6 +277508,8 @@ 1 1 0 +5 +3 2 0xea7c 0xb28f023e @@ -222015,6 +277519,8 @@ 0 0 4 +1 +4 0x3139 0x5da9482e 256 @@ -222022,6 +277528,8 @@ 1 1 0 +1 +0 2 0x3c17 0xcf128d2b @@ -222030,6 +277538,8 @@ 0 0 0 +5 +1 1 0x7c96 0xebb68afa @@ -222038,6 +277548,8 @@ 0 0 0 +1 +4 2 0x5782 0x169b40d7 @@ -222047,6 +277559,8 @@ 1 0 3 +4 +3 0x55d2 0x177c299a 256 @@ -222054,6 +277568,8 @@ 0 0 0 +3 +0 1 0x1af1 0x44380c01 @@ -222062,6 +277578,8 @@ 0 0 0 +4 +5 3 0x1c5c 0xf3ca03b @@ -222070,6 +277588,8 @@ 0 0 0 +3 +0 1 0x33ef 0xab15b12 @@ -222078,6 +277598,8 @@ 0 0 0 +4 +0 2 0xd9d3 0xec3fc4c2 @@ -222086,6 +277608,8 @@ 0 0 0 +0 +2 1 0xb2bd 0x93105adf @@ -222094,6 +277618,8 @@ 0 0 0 +0 +5 2 0xc322 0x99a01f3 @@ -222102,6 +277628,8 @@ 1 0 0 +0 +2 4 0x7830 0x3e0e00e @@ -222110,6 +277638,8 @@ 0 0 0 +5 +3 4 0xc2c5 0x57001897 @@ -222119,6 +277649,8 @@ 0 0 2 +4 +2 0xc849 0xd31028fe 256 @@ -222126,6 +277658,8 @@ 1 0 0 +4 +4 3 0xf5b0 0x18edcbd @@ -222134,6 +277668,8 @@ 0 0 0 +4 +4 1 0x59b3 0x2425880d @@ -222142,6 +277678,8 @@ 0 0 0 +4 +1 2 0xdbb0 0x7eb6be4f @@ -222150,6 +277688,8 @@ 0 0 0 +5 +0 2 0x9f73 0xfe4350a8 @@ -222158,6 +277698,8 @@ 0 0 0 +3 +2 1 0x8326 0xcdf0f7b3 @@ -222166,6 +277708,8 @@ 0 0 0 +3 +5 1 0x570 0x6a38449d @@ -222174,6 +277718,8 @@ 1 1 0 +0 +2 3 0x70cb 0x5a10b029 @@ -222183,6 +277729,8 @@ 0 0 1 +4 +1 0xc414 0x3d635ca0 256 @@ -222190,6 +277738,8 @@ 1 0 0 +3 +1 1 0xc2a3 0xe928b83 @@ -222198,6 +277748,8 @@ 1 1 0 +3 +3 1 0x7ab5 0xd4908bb1 @@ -222206,6 +277758,8 @@ 0 0 0 +4 +0 3 0xf3ae 0x8ac36dff @@ -222214,6 +277768,8 @@ 1 0 0 +5 +1 1 0x8cda 0xe8d63745 @@ -222223,6 +277779,8 @@ 0 0 4 +2 +4 0x7720 0x6aaa8060 256 @@ -222231,6 +277789,8 @@ 0 0 3 +2 +3 0xfca0 0xbdf6f112 256 @@ -222238,6 +277798,8 @@ 0 0 0 +4 +3 1 0x9680 0xe4c02a7c @@ -222247,6 +277809,8 @@ 0 0 1 +4 +1 0x1162 0x85351b3 256 @@ -222254,6 +277818,8 @@ 0 0 0 +0 +1 4 0xf4e4 0x7956e4a2 @@ -222262,6 +277828,8 @@ 0 0 0 +3 +0 4 0x2001 0x6ec298fc @@ -222270,6 +277838,8 @@ 0 0 0 +1 +1 4 0x5a14 0x80f2f930 @@ -222278,6 +277848,8 @@ 0 0 0 +5 +2 1 0x9d65 0x45b1a58f @@ -222286,6 +277858,8 @@ 1 0 0 +2 +2 4 0x5e2c 0x50bca962 @@ -222294,6 +277868,8 @@ 1 0 0 +4 +3 2 0xf39d 0xb706e1a8 @@ -222302,6 +277878,8 @@ 1 0 0 +2 +2 1 0xaa09 0x6540f65c @@ -222310,6 +277888,8 @@ 1 0 0 +3 +1 4 0x88d4 0xa267d664 @@ -222319,6 +277899,8 @@ 0 0 1 +3 +1 0xc401 0x54c2eedf 256 @@ -222326,6 +277908,8 @@ 0 0 0 +0 +3 3 0x13a3 0x7d8c3f63 @@ -222335,6 +277919,8 @@ 0 0 1 +1 +1 0x4694 0xe6dfd9a6 256 @@ -222342,6 +277928,8 @@ 0 0 0 +1 +4 4 0x838e 0x8c4d7a22 @@ -222350,6 +277938,8 @@ 1 0 0 +5 +2 3 0x3d66 0xb02c5fa @@ -222358,6 +277948,8 @@ 1 1 0 +0 +4 3 0x2348 0xe999db3c @@ -222366,6 +277958,8 @@ 1 1 0 +2 +3 1 0x1ab8 0x783080f9 @@ -222374,6 +277968,8 @@ 1 1 0 +5 +2 1 0x6123 0x59b06513 @@ -222382,6 +277978,8 @@ 1 0 0 +3 +4 4 0xe875 0x2b75bc8d @@ -222390,6 +277988,8 @@ 0 0 0 +0 +5 4 0x77bc 0xb16f4150 @@ -222399,6 +277999,8 @@ 0 0 3 +2 +3 0xd2ad 0x1b23f07e 256 @@ -222407,6 +278009,8 @@ 0 0 2 +5 +2 0xb70a 0xbb41a4f0 256 @@ -222414,6 +278018,8 @@ 0 0 0 +0 +4 1 0xd599 0xde6bc7fa @@ -222422,6 +278028,8 @@ 1 1 0 +3 +3 2 0x933a 0x9c468ede @@ -222430,6 +278038,8 @@ 0 0 0 +0 +1 4 0xfbc4 0xbca32b3f @@ -222439,6 +278049,8 @@ 0 0 4 +2 +4 0xff8 0x56ab6d62 256 @@ -222446,6 +278058,8 @@ 0 0 0 +1 +3 2 0x4914 0x364dc904 @@ -222454,6 +278068,8 @@ 0 0 0 +5 +5 1 0x1594 0xbbe8986a @@ -222462,6 +278078,8 @@ 1 0 0 +5 +3 4 0xd0ba 0x727838b9 @@ -222470,6 +278088,8 @@ 1 0 0 +0 +1 2 0x834b 0xc0d6d0f @@ -222478,6 +278098,8 @@ 0 0 0 +3 +1 2 0x446 0x20314033 @@ -222486,6 +278108,8 @@ 0 0 0 +3 +1 4 0x29fb 0xe8c49d6d @@ -222494,6 +278118,8 @@ 1 1 0 +1 +3 4 0x1dca 0xbeece1d2 @@ -222502,6 +278128,8 @@ 1 0 0 +5 +3 1 0x70d5 0x1bf7dd00 @@ -222511,6 +278139,8 @@ 0 0 4 +3 +4 0x23e6 0x391a35a2 256 @@ -222519,6 +278149,8 @@ 0 0 2 +3 +2 0x3aa5 0xa049e0c5 256 @@ -222527,6 +278159,8 @@ 0 0 4 +0 +4 0x8212 0x46cfb96f 256 @@ -222534,6 +278168,8 @@ 0 0 0 +5 +4 2 0x4526 0x66bea1e9 @@ -222543,6 +278179,8 @@ 0 0 4 +5 +4 0xfa07 0x4481432c 256 @@ -222550,6 +278188,8 @@ 1 0 0 +4 +2 2 0x7059 0xbc66f22d @@ -222558,6 +278198,8 @@ 0 0 0 +1 +3 4 0xdcaf 0xafda5c9a @@ -222566,6 +278208,8 @@ 0 0 0 +1 +5 2 0x6007 0x472090da @@ -222574,6 +278218,8 @@ 1 0 0 +5 +1 1 0xa0aa 0xb7443f54 @@ -222583,6 +278229,8 @@ 0 0 4 +3 +4 0x9d7b 0xb424362b 256 @@ -222590,6 +278238,8 @@ 0 0 0 +5 +2 4 0x6b94 0x79e90725 @@ -222598,6 +278248,8 @@ 1 1 0 +1 +4 3 0xdb69 0xcfec6915 @@ -222606,6 +278258,8 @@ 0 0 0 +0 +0 2 0x81b8 0xd41d67a8 @@ -222614,6 +278268,8 @@ 0 0 0 +4 +3 1 0xa19f 0x932958bc @@ -222623,6 +278279,8 @@ 0 0 2 +3 +2 0x164f 0x448d6659 256 @@ -222630,6 +278288,8 @@ 1 0 0 +4 +3 2 0x5999 0x6a5b97ea @@ -222639,6 +278299,8 @@ 1 0 4 +1 +4 0x9ec4 0x6ef471c6 256 @@ -222646,6 +278308,8 @@ 1 1 0 +2 +5 1 0x1051 0x2a174a75 @@ -222654,6 +278318,8 @@ 1 1 0 +3 +3 4 0x8332 0x70a2ecae @@ -222662,6 +278328,8 @@ 1 0 0 +3 +4 4 0x12fd 0xa2dd9d6a @@ -222670,6 +278338,8 @@ 1 1 0 +2 +4 1 0xcce3 0x9a238387 @@ -222678,6 +278348,8 @@ 1 0 0 +2 +4 3 0x3421 0x44548eb2 @@ -222686,6 +278358,8 @@ 0 0 0 +5 +3 2 0x165d 0x4a63c1a3 @@ -222694,6 +278368,8 @@ 1 1 0 +3 +4 4 0xfda8 0x937a07f1 @@ -222702,6 +278378,8 @@ 1 0 0 +4 +2 3 0x6064 0x1f31ae8a @@ -222711,6 +278389,8 @@ 0 0 3 +2 +3 0x7407 0x16ed8865 256 @@ -222718,6 +278398,8 @@ 1 0 0 +5 +4 1 0xb2c9 0x74e3f44f @@ -222726,6 +278408,8 @@ 0 0 0 +5 +4 3 0x616 0x2cb5c7ed @@ -222734,6 +278418,8 @@ 0 0 0 +5 +2 1 0x57be 0x4bb34cbc @@ -222742,6 +278428,8 @@ 0 0 0 +5 +1 1 0x150a 0xd18cc648 @@ -222750,6 +278438,8 @@ 0 0 0 +1 +2 4 0x7d14 0x518d1054 @@ -222758,6 +278448,8 @@ 0 0 0 +4 +2 3 0x5fe9 0x42554257 @@ -222766,6 +278458,8 @@ 1 0 0 +0 +1 3 0xf619 0xaa5f9da @@ -222775,6 +278469,8 @@ 0 0 4 +5 +4 0xc6c0 0x420c9ddc 256 @@ -222782,6 +278478,8 @@ 1 1 0 +0 +5 3 0x4f72 0xa15acd3e @@ -222791,6 +278489,8 @@ 0 0 4 +2 +4 0x6c8f 0x325c59b4 256 @@ -222798,6 +278498,8 @@ 0 0 0 +2 +1 1 0xb275 0x6224ee63 @@ -222807,6 +278509,8 @@ 0 0 3 +5 +3 0xdfd8 0xc7e0f4b8 256 @@ -222815,6 +278519,8 @@ 0 0 4 +0 +4 0x98c0 0x59c787e0 256 @@ -222823,6 +278529,8 @@ 0 0 1 +2 +1 0xda6a 0xac0a0549 256 @@ -222830,6 +278538,8 @@ 1 0 0 +3 +2 2 0x4e11 0xf9da6464 @@ -222838,6 +278548,8 @@ 0 0 0 +4 +1 2 0x6d11 0x25c6f700 @@ -222846,6 +278558,8 @@ 0 0 0 +1 +3 4 0x9f47 0xb6cb144a @@ -222854,6 +278568,8 @@ 1 1 0 +0 +5 2 0x6224 0xaab698a0 @@ -222862,6 +278578,8 @@ 1 1 0 +4 +1 2 0x84fd 0xdab670ed @@ -222870,6 +278588,8 @@ 1 0 0 +5 +1 4 0x7a4c 0x57e3fce8 @@ -222878,6 +278598,8 @@ 0 0 0 +1 +5 3 0x5edd 0x71a4a25 @@ -222886,6 +278608,8 @@ 0 0 0 +1 +2 3 0x1b72 0x72acb824 @@ -222894,6 +278618,8 @@ 1 1 0 +2 +4 4 0x876 0x76c7899d @@ -222903,6 +278629,8 @@ 0 0 3 +4 +3 0xddd5 0xdb80ba9d 256 @@ -222911,6 +278639,8 @@ 1 0 1 +5 +1 0x90d2 0xf1ec81a 256 @@ -222918,6 +278648,8 @@ 0 0 0 +4 +4 1 0xeed2 0xf6319877 @@ -222926,6 +278658,8 @@ 1 1 0 +2 +3 4 0x35d 0x14074462 @@ -222934,6 +278668,8 @@ 1 1 0 +3 +5 1 0xb13b 0x5d764bb3 @@ -222942,6 +278678,8 @@ 1 1 0 +4 +4 2 0x895c 0xadd13aeb @@ -222950,6 +278688,8 @@ 1 1 0 +2 +0 3 0xda8d 0x52d31cae @@ -222958,6 +278698,8 @@ 0 0 0 +4 +1 2 0x7834 0x6d252c3f @@ -222966,6 +278708,8 @@ 0 0 0 +5 +3 3 0xd76 0x6e590000 @@ -222974,6 +278718,8 @@ 0 0 0 +0 +5 3 0xf354 0x11df7f93 @@ -222982,6 +278728,8 @@ 0 0 0 +1 +1 4 0x4431 0x51722461 @@ -222990,6 +278738,8 @@ 1 0 0 +3 +4 1 0xb732 0x90254563 @@ -222998,6 +278748,8 @@ 1 1 0 +5 +3 3 0x3a2a 0x67a76366 @@ -223006,6 +278758,8 @@ 0 0 0 +5 +4 3 0xbb2c 0xb3e1209e @@ -223014,6 +278768,8 @@ 1 0 0 +4 +3 3 0x6cce 0x2b598367 @@ -223022,6 +278778,8 @@ 0 0 0 +2 +5 1 0x4393 0x9f44b828 @@ -223030,6 +278788,8 @@ 1 0 0 +0 +2 1 0x1595 0x82051405 @@ -223038,6 +278798,8 @@ 1 0 0 +3 +3 1 0x92e0 0xc9886d5d @@ -223046,6 +278808,8 @@ 0 0 0 +4 +3 3 0x5861 0x3aead0e6 @@ -223054,6 +278818,8 @@ 1 0 0 +3 +4 1 0x623a 0x5cf6aca4 @@ -223063,6 +278829,8 @@ 0 0 3 +1 +3 0xe7aa 0xc00b48e8 256 @@ -223070,6 +278838,8 @@ 0 0 0 +1 +1 4 0x6e0d 0x6b3ea614 @@ -223078,6 +278848,8 @@ 0 0 0 +1 +1 4 0x593b 0x5d68816 @@ -223086,6 +278858,8 @@ 0 0 0 +2 +0 3 0x5789 0x8c592f98 @@ -223094,6 +278868,8 @@ 1 1 0 +0 +3 4 0xcd8e 0xead7d106 @@ -223102,6 +278878,8 @@ 1 0 0 +0 +4 4 0xba12 0xcf2807c4 @@ -223110,6 +278888,8 @@ 0 0 0 +4 +1 1 0xa3f6 0x94cd227 @@ -223118,6 +278898,8 @@ 0 0 0 +0 +0 1 0x6430 0xeabc92d4 @@ -223126,6 +278908,8 @@ 0 0 0 +0 +0 2 0x5595 0x71ab42dc @@ -223134,6 +278918,8 @@ 1 0 0 +4 +2 1 0x5986 0x1b81d1bc @@ -223142,6 +278928,8 @@ 1 0 0 +5 +0 4 0x7b05 0x7d36293a @@ -223151,6 +278939,8 @@ 1 0 2 +4 +2 0x9fd7 0x395366a6 256 @@ -223158,6 +278948,8 @@ 1 1 0 +0 +3 1 0x131a 0xf713f11c @@ -223166,6 +278958,8 @@ 1 1 0 +4 +4 3 0xc434 0x9526dd43 @@ -223174,6 +278968,8 @@ 1 1 0 +4 +4 1 0x605c 0xa1233e6 @@ -223182,6 +278978,8 @@ 1 0 0 +3 +2 2 0x560a 0x749978b5 @@ -223191,6 +278989,8 @@ 0 0 3 +3 +3 0xa354 0x8040edd2 256 @@ -223198,6 +278998,8 @@ 0 0 0 +4 +5 3 0x6795 0x33e16c1b @@ -223207,6 +279009,8 @@ 1 0 3 +2 +3 0x54cb 0x126d4925 256 @@ -223214,6 +279018,8 @@ 0 0 0 +1 +1 4 0x51f4 0x94ad586d @@ -223222,6 +279028,8 @@ 1 1 0 +3 +1 2 0x9bcf 0x6bb30f2a @@ -223230,6 +279038,8 @@ 0 0 0 +5 +0 3 0xeb78 0xa0c9bb84 @@ -223238,6 +279048,8 @@ 1 0 0 +4 +5 2 0xedaa 0xc205c282 @@ -223246,6 +279058,8 @@ 0 0 0 +4 +5 1 0x4284 0xdf6867c1 @@ -223254,6 +279068,8 @@ 1 1 0 +1 +3 4 0x462f 0xd1bdd450 @@ -223263,6 +279079,8 @@ 1 0 3 +2 +3 0xa712 0x1eee8597 256 @@ -223270,6 +279088,8 @@ 1 0 0 +2 +1 1 0x2b00 0x96fd2702 @@ -223278,6 +279098,8 @@ 1 1 0 +3 +4 2 0x1c2d 0x5483a732 @@ -223286,6 +279108,8 @@ 0 0 0 +4 +1 3 0x2363 0xa24400bb @@ -223294,6 +279118,8 @@ 0 0 0 +4 +0 1 0x6ce1 0x769fcb5b @@ -223302,6 +279128,8 @@ 0 0 0 +3 +0 2 0x33f8 0x3dc2e099 @@ -223311,6 +279139,8 @@ 0 0 3 +4 +3 0x5c07 0x9b3765cb 256 @@ -223318,6 +279148,8 @@ 1 1 0 +1 +4 3 0xad79 0x119bf5ac @@ -223326,6 +279158,8 @@ 1 0 0 +4 +0 2 0x587a 0x5217acf3 @@ -223335,6 +279169,8 @@ 1 0 1 +1 +1 0x6bf 0x17d190e0 256 @@ -223342,6 +279178,8 @@ 1 1 0 +0 +5 1 0xbdcc 0x7f51de24 @@ -223350,6 +279188,8 @@ 1 1 0 +5 +5 2 0x1780 0x38da2b93 @@ -223358,6 +279198,8 @@ 1 0 0 +5 +5 3 0xa233 0x198743b0 @@ -223366,6 +279208,8 @@ 1 1 0 +4 +0 3 0x4548 0x6ba6c73f @@ -223374,6 +279218,8 @@ 0 0 0 +5 +1 3 0xd9e5 0xe41130e3 @@ -223382,6 +279228,8 @@ 0 0 0 +5 +4 4 0x55d1 0xab5a276f @@ -223390,6 +279238,8 @@ 1 0 0 +1 +0 2 0x22b8 0x57b932ba @@ -223398,6 +279248,8 @@ 1 1 0 +1 +0 3 0xde77 0x21ec7285 @@ -223406,6 +279258,8 @@ 1 1 0 +1 +3 2 0xdf45 0x5cad8103 @@ -223414,6 +279268,8 @@ 1 1 0 +2 +4 4 0xc672 0xbc52c66c @@ -223422,6 +279278,8 @@ 0 0 0 +4 +5 1 0x9072 0xcdb50ed6 @@ -223430,6 +279288,8 @@ 0 0 0 +4 +0 1 0x5ecd 0x6c2c681b @@ -223439,6 +279299,8 @@ 1 0 1 +5 +1 0x5b32 0xcd6f762b 256 @@ -223446,6 +279308,8 @@ 1 1 0 +4 +5 3 0x2879 0x620b4cfe @@ -223454,6 +279318,8 @@ 1 1 0 +4 +0 3 0x4005 0x913dd9d3 @@ -223463,6 +279329,8 @@ 0 0 2 +3 +2 0xa15c 0xf89b4784 256 @@ -223470,6 +279338,8 @@ 0 0 0 +0 +1 3 0xc7c2 0x4db60803 @@ -223479,6 +279349,8 @@ 1 0 2 +5 +2 0x5419 0xecb3b192 256 @@ -223486,6 +279358,8 @@ 1 1 0 +3 +4 1 0x3eed 0x8d4a11c1 @@ -223495,6 +279369,8 @@ 0 0 2 +1 +2 0xc99e 0x5e1d059a 256 @@ -223502,6 +279378,8 @@ 0 0 0 +1 +3 3 0x92c1 0x51339cf @@ -223511,6 +279389,8 @@ 0 0 4 +2 +4 0xd455 0x7647d9af 256 @@ -223518,6 +279398,8 @@ 1 0 0 +5 +4 1 0x3d61 0xda0de9b1 @@ -223526,6 +279408,8 @@ 1 1 0 +0 +2 2 0x1bd6 0x98ccf1b0 @@ -223534,6 +279418,8 @@ 1 1 0 +2 +2 4 0x587a 0x9a45630a @@ -223542,6 +279428,8 @@ 1 1 0 +3 +5 4 0x1de1 0xd1e354b1 @@ -223550,6 +279438,8 @@ 1 0 0 +1 +2 3 0xeb29 0xa08b3326 @@ -223558,6 +279448,8 @@ 1 1 0 +2 +3 4 0x5f9a 0x505f41a9 @@ -223566,6 +279458,8 @@ 0 0 0 +3 +4 4 0x7f52 0x68008de @@ -223574,6 +279468,8 @@ 1 0 0 +4 +4 3 0xefc6 0x57faab33 @@ -223582,6 +279478,8 @@ 0 0 0 +1 +5 4 0x9086 0x23dd2c6 @@ -223590,6 +279488,8 @@ 0 0 0 +0 +4 2 0x6a4f 0x1f817669 @@ -223598,6 +279498,8 @@ 0 0 0 +0 +1 2 0x7a08 0xbd62b2d5 @@ -223606,6 +279508,8 @@ 0 0 0 +5 +3 1 0x11b4 0x6ebe8cc6 @@ -223614,6 +279518,8 @@ 0 0 0 +3 +3 2 0x2d51 0x35b7cb9b @@ -223622,6 +279528,8 @@ 1 1 0 +5 +1 2 0xe99f 0x6caeaea @@ -223631,6 +279539,8 @@ 1 0 4 +0 +4 0x3988 0xe93f5a9e 256 @@ -223638,6 +279548,8 @@ 0 0 0 +2 +3 1 0xacae 0xcde5820 @@ -223647,6 +279559,8 @@ 0 0 1 +3 +1 0x24c7 0xc2aa6f20 256 @@ -223655,6 +279569,8 @@ 0 0 2 +0 +2 0xfed4 0x77ae43ad 256 @@ -223662,6 +279578,8 @@ 0 0 0 +3 +5 2 0x3582 0xd61f54af @@ -223670,6 +279588,8 @@ 0 0 0 +2 +2 3 0xcd05 0x95a7b13e @@ -223678,6 +279598,8 @@ 0 0 0 +0 +2 4 0x89a 0x4c459470 @@ -223687,6 +279609,8 @@ 0 0 1 +5 +1 0x8550 0xb0062aee 256 @@ -223694,6 +279618,8 @@ 1 1 0 +4 +3 2 0x6dd5 0xdee0db86 @@ -223702,6 +279628,8 @@ 0 0 0 +5 +3 2 0xe596 0x82168ef2 @@ -223710,6 +279638,8 @@ 1 0 0 +0 +3 3 0xc530 0x55c52c60 @@ -223718,6 +279648,8 @@ 0 0 0 +5 +2 2 0xad5c 0xb4fe1c63 @@ -223726,6 +279658,8 @@ 0 0 0 +5 +0 3 0xaf1 0x2a86aba9 @@ -223734,6 +279668,8 @@ 0 0 0 +3 +3 1 0x88f8 0x3a6a732f @@ -223742,6 +279678,8 @@ 0 0 0 +3 +0 4 0x3c78 0x7b816e74 @@ -223750,6 +279688,8 @@ 0 0 0 +1 +0 2 0xe276 0x24f01449 @@ -223758,6 +279698,8 @@ 0 0 0 +1 +4 3 0x1e4c 0xc2acd22a @@ -223766,6 +279708,8 @@ 1 1 0 +1 +3 2 0xcf8f 0xe442398b @@ -223774,6 +279718,8 @@ 0 0 0 +5 +1 3 0xd6f1 0xae810fe7 @@ -223782,6 +279728,8 @@ 1 0 0 +2 +5 1 0xfc82 0x1dcc712e @@ -223790,6 +279738,8 @@ 1 0 0 +3 +1 1 0xb9d5 0x75122e17 @@ -223799,6 +279749,8 @@ 0 0 4 +1 +4 0xeddd 0x8f98793e 256 @@ -223806,6 +279758,8 @@ 1 1 0 +5 +1 4 0xf221 0xf99503e4 @@ -223814,6 +279768,8 @@ 0 0 0 +0 +4 2 0x6e9c 0x7b97cc9c @@ -223822,6 +279778,8 @@ 0 0 0 +3 +2 1 0x9d31 0x99c0c0c1 @@ -223830,6 +279788,8 @@ 1 0 0 +2 +3 4 0xce27 0xe78505e7 @@ -223838,6 +279798,8 @@ 1 1 0 +1 +0 2 0xb97e 0xbe0b97a9 @@ -223846,6 +279808,8 @@ 1 0 0 +0 +4 4 0xa387 0xb942ff0f @@ -223854,6 +279818,8 @@ 1 1 0 +1 +1 3 0x9cab 0x3de45f67 @@ -223863,6 +279829,8 @@ 0 0 1 +1 +1 0x58f7 0x3cb79d99 256 @@ -223870,6 +279838,8 @@ 0 0 0 +5 +5 4 0xcb56 0x3bbbcb14 @@ -223878,6 +279848,8 @@ 0 0 0 +5 +2 1 0x675e 0xab18b8b9 @@ -223886,6 +279858,8 @@ 0 0 0 +0 +1 4 0xb5a8 0xb279589c @@ -223894,6 +279868,8 @@ 1 0 0 +0 +4 4 0x853c 0x34bea087 @@ -223902,6 +279878,8 @@ 0 0 0 +4 +1 1 0x57f3 0x12fb6ff @@ -223910,6 +279888,8 @@ 1 0 0 +0 +4 2 0xdc69 0xf2d3f46c @@ -223918,6 +279898,8 @@ 0 0 0 +2 +2 1 0x13d8 0x2bec33db @@ -223926,6 +279908,8 @@ 0 0 0 +4 +2 3 0xe2e6 0xb2890cfc @@ -223934,6 +279918,8 @@ 1 0 0 +1 +2 2 0x4e26 0xade71fcc @@ -223942,6 +279928,8 @@ 1 1 0 +5 +2 1 0x70d8 0x68fedc32 @@ -223950,6 +279938,8 @@ 0 0 0 +3 +2 2 0x7e6c 0x2dfdc894 @@ -223958,6 +279948,8 @@ 1 1 0 +0 +3 3 0xac83 0x75604f1e @@ -223966,6 +279958,8 @@ 0 0 0 +3 +3 4 0x2863 0x13cdd448 @@ -223974,6 +279968,8 @@ 0 0 0 +5 +4 1 0x61ef 0x3a1824e8 @@ -223982,6 +279978,8 @@ 1 1 0 +4 +3 2 0xaeee 0x514a61c8 @@ -223990,6 +279988,8 @@ 1 1 0 +2 +0 1 0x9c83 0xfeb89961 @@ -223998,6 +279998,8 @@ 0 0 0 +0 +4 3 0x7d4c 0x7007e889 @@ -224006,6 +280008,8 @@ 1 0 0 +4 +4 1 0xb702 0x47c8ac40 @@ -224014,6 +280018,8 @@ 1 0 0 +5 +3 4 0x5a59 0x9f49119f @@ -224022,6 +280028,8 @@ 1 0 0 +5 +3 4 0xf2f3 0xfd01c7a3 @@ -224031,6 +280039,8 @@ 0 0 4 +5 +4 0xb7b 0x28194970 256 @@ -224038,6 +280048,8 @@ 0 0 0 +5 +4 4 0x2a2e 0xb4424432 @@ -224046,6 +280058,8 @@ 0 0 0 +0 +4 4 0x9187 0xe508ccab @@ -224054,6 +280068,8 @@ 1 0 0 +2 +5 1 0x3a6a 0x4e396dd2 @@ -224062,6 +280078,8 @@ 1 0 0 +4 +3 3 0x7aae 0x956854d6 @@ -224071,6 +280089,8 @@ 0 0 2 +4 +2 0x1ec8 0x68a9fe4c 256 @@ -224079,6 +280099,8 @@ 0 0 2 +0 +2 0xb4aa 0x6d9f03a7 256 @@ -224086,6 +280108,8 @@ 1 0 0 +5 +2 4 0x65dd 0x90be1e66 @@ -224094,6 +280118,8 @@ 0 0 0 +3 +1 4 0x66ff 0xb0dfa53d @@ -224102,6 +280128,8 @@ 1 0 0 +4 +3 1 0x2cde 0x3045372d @@ -224110,6 +280138,8 @@ 1 1 0 +0 +4 2 0x4e84 0x223c1f34 @@ -224118,6 +280148,8 @@ 0 0 0 +5 +3 2 0x4ffa 0xd2e72856 @@ -224126,6 +280158,8 @@ 1 0 0 +2 +2 3 0x7657 0xc21c7131 @@ -224134,6 +280168,8 @@ 0 0 0 +2 +4 3 0xe28d 0x969dcc16 @@ -224142,6 +280178,8 @@ 1 1 0 +4 +2 3 0x597b 0xe3ee1035 @@ -224150,6 +280188,8 @@ 0 0 0 +2 +1 3 0x9a42 0xdff6bef8 @@ -224158,6 +280198,8 @@ 0 0 0 +5 +5 4 0xfb2 0x1c1fd83a @@ -224166,6 +280208,8 @@ 0 0 0 +4 +3 2 0x92b8 0x73354bdc @@ -224174,6 +280218,8 @@ 1 1 0 +4 +5 1 0x2b04 0x910ad43e @@ -224183,6 +280229,8 @@ 0 0 2 +3 +2 0x4051 0x199a1461 256 @@ -224190,6 +280238,8 @@ 0 0 0 +1 +0 2 0x77a1 0x89e0329d @@ -224198,6 +280248,8 @@ 0 0 0 +2 +2 3 0xa69f 0x3b49887e @@ -224207,6 +280259,8 @@ 0 0 2 +4 +2 0x74db 0xf48ed48a 256 @@ -224214,6 +280268,8 @@ 1 0 0 +0 +0 3 0xf50e 0x46165ef8 @@ -224222,6 +280278,8 @@ 1 0 0 +2 +4 4 0xf974 0x3a3eefb3 @@ -224230,6 +280288,8 @@ 0 0 0 +1 +0 2 0xa621 0x27252608 @@ -224238,6 +280298,8 @@ 0 0 0 +0 +4 3 0x4fb5 0xdb15f757 @@ -224246,6 +280308,8 @@ 0 0 0 +5 +3 3 0xe47b 0xab4c99ea @@ -224254,6 +280318,8 @@ 0 0 0 +4 +5 1 0x81c7 0xd534a21c @@ -224262,6 +280328,8 @@ 1 0 0 +0 +5 1 0x8063 0x6ad22d31 @@ -224271,6 +280339,8 @@ 0 0 4 +5 +4 0x47d7 0xcbf3b911 256 @@ -224278,6 +280348,8 @@ 0 0 0 +5 +4 2 0xac1c 0xa1b62aa5 @@ -224286,6 +280358,8 @@ 1 0 0 +4 +0 3 0x8290 0x4c5f0788 @@ -224295,6 +280369,8 @@ 0 0 1 +2 +1 0x12aa 0x126d090e 256 @@ -224302,6 +280378,8 @@ 1 0 0 +0 +1 3 0x712e 0xb2d35379 @@ -224310,6 +280388,8 @@ 0 0 0 +5 +1 3 0x19e9 0x6e792ee @@ -224318,6 +280398,8 @@ 0 0 0 +3 +4 2 0x55b3 0x57b67d57 @@ -224326,6 +280408,8 @@ 1 0 0 +2 +4 3 0xb273 0xba276bd8 @@ -224334,6 +280418,8 @@ 1 1 0 +4 +5 2 0xf602 0xd4c8b2b @@ -224342,6 +280428,8 @@ 0 0 0 +1 +4 4 0x4e53 0x82f480d6 @@ -224350,6 +280438,8 @@ 1 1 0 +1 +2 4 0x901e 0x35e300db @@ -224358,6 +280448,8 @@ 0 0 0 +4 +5 3 0x9de6 0x4f538c09 @@ -224366,6 +280458,8 @@ 0 0 0 +0 +1 2 0x6f01 0x5f371bc3 @@ -224374,6 +280468,8 @@ 1 0 0 +4 +2 3 0xa435 0xf781abe0 @@ -224382,6 +280478,8 @@ 1 1 0 +4 +2 1 0xe02a 0x637f04a7 @@ -224390,6 +280488,8 @@ 0 0 0 +1 +3 3 0x2654 0xb44b43fe @@ -224398,6 +280498,8 @@ 0 0 0 +5 +0 4 0xa3bd 0x99d11674 @@ -224407,6 +280509,8 @@ 0 0 3 +5 +3 0x34c 0x4326b6a 256 @@ -224414,6 +280518,8 @@ 0 0 0 +5 +2 2 0x1b47 0x25f23bd6 @@ -224423,6 +280529,8 @@ 0 0 4 +2 +4 0xd927 0x9e5b6472 256 @@ -224430,6 +280538,8 @@ 1 1 0 +5 +3 3 0xfbba 0xe06e13bf @@ -224438,6 +280548,8 @@ 0 0 0 +2 +3 1 0xb42d 0x4ec2197f @@ -224446,6 +280558,8 @@ 1 1 0 +0 +4 3 0x4019 0x6785a119 @@ -224454,6 +280568,8 @@ 1 0 0 +2 +0 1 0x8d27 0x14cf85f6 @@ -224463,6 +280579,8 @@ 0 0 3 +3 +3 0xe171 0x49304718 256 @@ -224470,6 +280588,8 @@ 0 0 0 +5 +0 2 0xbb22 0x33dd27c1 @@ -224478,6 +280598,8 @@ 1 0 0 +3 +5 4 0xc498 0x5dd6007a @@ -224486,6 +280608,8 @@ 1 0 0 +4 +3 2 0x5778 0xb79e939b @@ -224494,6 +280618,8 @@ 1 0 0 +5 +3 2 0x3daf 0x62238b8e @@ -224502,6 +280628,8 @@ 1 1 0 +2 +0 3 0x521c 0x2d8e7b81 @@ -224510,6 +280638,8 @@ 1 0 0 +3 +1 4 0xbe9b 0xb37da1a @@ -224518,6 +280648,8 @@ 1 1 0 +5 +3 1 0x29d9 0x97ec9231 @@ -224526,6 +280658,8 @@ 0 0 0 +2 +0 4 0x16d4 0x9c7ed193 @@ -224535,6 +280669,8 @@ 0 0 2 +0 +2 0xfd9d 0x20aa0cfa 256 @@ -224542,6 +280678,8 @@ 1 0 0 +2 +1 1 0xc0fc 0xfb538be0 @@ -224550,6 +280688,8 @@ 0 0 0 +1 +2 2 0xc4ca 0x23a2e4f @@ -224558,6 +280698,8 @@ 1 1 0 +2 +3 3 0x7cb5 0x40eabd18 @@ -224566,6 +280708,8 @@ 0 0 0 +0 +5 2 0x91f6 0x90d6230b @@ -224574,6 +280718,8 @@ 0 0 0 +1 +0 2 0x44e5 0xa64d0b0b @@ -224582,6 +280728,8 @@ 1 0 0 +0 +5 3 0xda98 0x5d3c45a1 @@ -224590,6 +280738,8 @@ 0 0 0 +0 +0 2 0xa99e 0x1080d480 @@ -224598,6 +280748,8 @@ 1 0 0 +3 +4 4 0xdfe5 0x4734f25e @@ -224606,6 +280758,8 @@ 0 0 0 +1 +5 3 0x5e6f 0xc489ae37 @@ -224614,6 +280768,8 @@ 0 0 0 +5 +2 1 0xcb31 0xae2877ca @@ -224622,6 +280778,8 @@ 1 1 0 +3 +5 2 0xcbe5 0x79adcf07 @@ -224630,6 +280788,8 @@ 0 0 0 +1 +0 3 0xb22f 0x8ea619 @@ -224638,6 +280798,8 @@ 1 0 0 +2 +3 1 0xc4eb 0x66fd8945 @@ -224646,6 +280808,8 @@ 1 0 0 +3 +0 2 0xcb0c 0x29bb85e9 @@ -224655,6 +280819,8 @@ 0 0 1 +2 +1 0x1269 0x45264a33 256 @@ -224662,6 +280828,8 @@ 1 0 0 +0 +5 3 0x9f19 0x750bf941 @@ -224670,6 +280838,8 @@ 0 0 0 +0 +0 4 0xf32e 0x54f8c703 @@ -224679,6 +280849,8 @@ 1 0 4 +5 +4 0xec06 0xb7e699dc 256 @@ -224686,6 +280858,8 @@ 1 0 0 +5 +5 4 0xdc42 0x7d3b3292 @@ -224695,6 +280869,8 @@ 0 0 2 +2 +2 0x21ac 0x21ce75c2 256 @@ -224702,6 +280878,8 @@ 1 0 0 +0 +1 2 0x3c88 0x701d6000 @@ -224710,6 +280888,8 @@ 1 0 0 +3 +0 4 0xe0f1 0xb78c6a06 @@ -224718,6 +280898,8 @@ 1 1 0 +2 +2 4 0x381d 0xff04682a @@ -224726,6 +280908,8 @@ 1 1 0 +3 +4 2 0xd1f1 0x5b66efe8 @@ -224734,6 +280918,8 @@ 0 0 0 +0 +2 4 0x3edd 0x606edd4b @@ -224742,6 +280928,8 @@ 0 0 0 +4 +2 2 0xbe8 0xc21f3fed @@ -224750,6 +280938,8 @@ 1 1 0 +1 +1 2 0x34b 0x3f065b4a @@ -224758,6 +280948,8 @@ 0 0 0 +3 +2 4 0x822c 0x52aba3ca @@ -224766,6 +280958,8 @@ 1 1 0 +4 +5 3 0x3902 0x3397fc96 @@ -224774,6 +280968,8 @@ 0 0 0 +2 +4 3 0x763f 0xe0063d87 @@ -224783,6 +280979,8 @@ 0 0 3 +2 +3 0x98bc 0xdaee8612 256 @@ -224790,6 +280988,8 @@ 0 0 0 +5 +4 2 0x33bd 0x8fa1e573 @@ -224798,6 +280998,8 @@ 0 0 0 +1 +0 2 0xcc85 0x94218b38 @@ -224806,6 +281008,8 @@ 1 0 0 +4 +3 1 0xcca4 0xedd77c39 @@ -224815,6 +281019,8 @@ 0 0 2 +1 +2 0xabe 0xdafa0b5d 256 @@ -224822,6 +281028,8 @@ 0 0 0 +0 +0 4 0x33df 0xe5c1408a @@ -224830,6 +281038,8 @@ 0 0 0 +5 +5 1 0x7014 0x4b8aae15 @@ -224838,6 +281048,8 @@ 0 0 0 +1 +5 3 0x7a33 0xfbd55690 @@ -224847,6 +281059,8 @@ 0 0 4 +5 +4 0x74b2 0xb3068b90 256 @@ -224854,6 +281068,8 @@ 0 0 0 +4 +0 1 0xf0f0 0xa190923d @@ -224863,6 +281079,8 @@ 0 0 2 +3 +2 0x42cd 0xf0aef714 256 @@ -224870,6 +281088,8 @@ 1 1 0 +3 +1 1 0xb70 0xb7ac3cb @@ -224878,6 +281098,8 @@ 1 0 0 +5 +2 2 0x24a9 0x93c37ed6 @@ -224886,6 +281108,8 @@ 0 0 0 +5 +2 2 0x7e90 0xf7ed9736 @@ -224895,6 +281119,8 @@ 0 0 4 +5 +4 0x84e6 0xa2578d4f 256 @@ -224903,6 +281129,8 @@ 0 0 2 +4 +2 0x303 0x32b1b963 256 @@ -224910,6 +281138,8 @@ 1 0 0 +5 +2 1 0xe762 0x66f6474c @@ -224918,6 +281148,8 @@ 1 1 0 +1 +1 3 0xb67c 0xe0993062 @@ -224926,6 +281158,8 @@ 1 1 0 +5 +3 3 0x715b 0xbb60f85f @@ -224935,6 +281169,8 @@ 1 0 3 +3 +3 0x1ed0 0x81fc0a0c 256 @@ -224942,6 +281178,8 @@ 1 1 0 +3 +2 1 0x2e4f 0x20848777 @@ -224951,6 +281189,8 @@ 0 0 2 +1 +2 0xb1c8 0x298adc89 256 @@ -224959,6 +281199,8 @@ 1 0 4 +3 +4 0x53cd 0xcce4507b 256 @@ -224966,6 +281208,8 @@ 1 0 0 +5 +5 4 0xfdfa 0xc5914678 @@ -224974,6 +281218,8 @@ 0 0 0 +3 +4 4 0xdbdc 0xc561f11b @@ -224982,6 +281228,8 @@ 0 0 0 +4 +2 3 0x498 0x61817b30 @@ -224991,6 +281239,8 @@ 0 0 1 +0 +1 0xa88f 0xaa194e4d 256 @@ -224998,6 +281248,8 @@ 1 1 0 +2 +2 3 0x341 0x5f0efeb @@ -225006,6 +281258,8 @@ 0 0 0 +3 +4 2 0xb29b 0x50ac7592 @@ -225015,6 +281269,8 @@ 0 0 3 +0 +3 0xd49b 0xb9ea3c43 256 @@ -225022,6 +281278,8 @@ 0 0 0 +5 +1 4 0x71b 0x5f571fce @@ -225030,6 +281288,8 @@ 1 0 0 +4 +2 2 0x34aa 0xfd1debeb @@ -225038,6 +281298,8 @@ 1 0 0 +2 +0 3 0x979d 0x6d82e022 @@ -225046,6 +281308,8 @@ 1 0 0 +3 +4 4 0x66f1 0xa1564e1d @@ -225054,6 +281318,8 @@ 1 1 0 +4 +3 1 0x9be9 0xd060500 @@ -225062,6 +281328,8 @@ 0 0 0 +5 +5 1 0xf212 0xdaae54c0 @@ -225070,6 +281338,8 @@ 1 0 0 +2 +1 4 0x117f 0x813c0431 @@ -225078,6 +281348,8 @@ 1 1 0 +5 +5 3 0x3e77 0x20346768 @@ -225086,6 +281358,8 @@ 1 0 0 +3 +3 4 0xb775 0x94876b37 @@ -225094,6 +281368,8 @@ 0 0 0 +0 +5 2 0xdf96 0xfc94fbff @@ -225102,6 +281378,8 @@ 1 1 0 +3 +5 4 0x974f 0xd1b936bd @@ -225110,6 +281388,8 @@ 0 0 0 +5 +2 3 0x4772 0x1de9f819 @@ -225118,6 +281398,8 @@ 1 1 0 +3 +3 2 0x90a5 0xd4366829 @@ -225126,6 +281408,8 @@ 1 1 0 +0 +2 2 0x7310 0xd74dd25a @@ -225134,6 +281418,8 @@ 0 0 0 +5 +0 3 0xe982 0x55438bb9 @@ -225142,6 +281428,8 @@ 1 1 0 +1 +0 3 0xbc8b 0xdfce04c4 @@ -225150,6 +281438,8 @@ 0 0 0 +2 +2 4 0x43c7 0x4f29f0e8 @@ -225159,6 +281449,8 @@ 1 0 3 +2 +3 0x77ea 0x8f6b4cff 256 @@ -225166,6 +281458,8 @@ 1 1 0 +0 +5 3 0x3f22 0xb7463ccb @@ -225174,6 +281468,8 @@ 1 0 0 +0 +1 1 0xff08 0xd6dfaef3 @@ -225182,6 +281478,8 @@ 0 0 0 +3 +4 4 0x5cbe 0x20807566 @@ -225190,6 +281488,8 @@ 1 1 0 +3 +5 2 0x8750 0x141b4b22 @@ -225199,6 +281499,8 @@ 1 0 4 +2 +4 0x43a 0x10ae823f 256 @@ -225207,6 +281509,8 @@ 0 0 2 +5 +2 0xdd9b 0xe35d1f8d 256 @@ -225215,6 +281519,8 @@ 0 0 1 +4 +1 0x9fa8 0xca67bbc3 256 @@ -225222,6 +281528,8 @@ 0 0 0 +4 +1 2 0x804b 0x239bfe4b @@ -225230,6 +281538,8 @@ 0 0 0 +2 +3 3 0xaa5e 0xdd40fdbd @@ -225239,6 +281549,8 @@ 0 0 2 +4 +2 0x1073 0x92d3e1a6 256 @@ -225246,6 +281558,8 @@ 1 0 0 +5 +4 2 0x69cf 0x988bb525 @@ -225254,6 +281568,8 @@ 1 1 0 +2 +4 4 0xd318 0x6bb0ddbb @@ -225262,6 +281578,8 @@ 0 0 0 +4 +4 3 0xbf27 0x9bf8b0ca @@ -225270,6 +281588,8 @@ 0 0 0 +4 +1 2 0x668 0xbc27f944 @@ -225278,6 +281598,8 @@ 1 0 0 +3 +4 1 0x5180 0x585dd1da @@ -225286,6 +281608,8 @@ 0 0 0 +1 +3 3 0x9aaf 0xeecad107 @@ -225294,6 +281618,8 @@ 1 0 0 +3 +5 1 0xa89d 0xd124e25a @@ -225302,6 +281628,8 @@ 1 0 0 +5 +4 4 0x149 0xf8da43a3 @@ -225310,6 +281638,8 @@ 0 0 0 +4 +4 1 0x5e38 0xe81bed31 @@ -225318,6 +281648,8 @@ 1 1 0 +0 +2 1 0x4f02 0x56630d30 @@ -225326,6 +281658,8 @@ 0 0 0 +0 +4 2 0x57ff 0x88020d06 @@ -225334,6 +281668,8 @@ 0 0 0 +3 +5 1 0x4f12 0x3e940a56 @@ -225342,6 +281678,8 @@ 1 0 0 +0 +4 2 0xd025 0xdef58c01 @@ -225350,6 +281688,8 @@ 0 0 0 +1 +4 3 0x2890 0xb5ece74a @@ -225358,6 +281698,8 @@ 0 0 0 +5 +5 4 0xb5cd 0xd2afe93b @@ -225366,6 +281708,8 @@ 1 1 0 +4 +2 3 0x2802 0x73c2f665 @@ -225374,6 +281718,8 @@ 0 0 0 +4 +0 2 0x749 0x28e5264b @@ -225382,6 +281728,8 @@ 1 1 0 +3 +3 1 0xa27b 0x589317ac @@ -225390,6 +281738,8 @@ 1 0 0 +5 +2 3 0xae9 0x55ee82f9 @@ -225398,6 +281748,8 @@ 1 1 0 +4 +0 3 0x15db 0xa3491bc6 @@ -225406,6 +281758,8 @@ 1 1 0 +1 +5 2 0x870b 0x2d9a8517 @@ -225414,6 +281768,8 @@ 0 0 0 +0 +4 1 0x110e 0xe20938c @@ -225423,6 +281779,8 @@ 0 0 3 +4 +3 0x37a1 0xea8289a8 256 @@ -225430,6 +281788,8 @@ 1 0 0 +4 +3 2 0x566 0xab4e7c60 @@ -225438,6 +281798,8 @@ 1 0 0 +5 +1 3 0x9e55 0xb8f66939 @@ -225446,6 +281808,8 @@ 1 1 0 +0 +5 2 0x743a 0x7f98a5a6 @@ -225454,6 +281818,8 @@ 1 1 0 +2 +5 3 0x56ba 0x7fef5700 @@ -225462,6 +281828,8 @@ 1 1 0 +0 +5 1 0xc096 0xbde13f0 @@ -225471,6 +281839,8 @@ 1 0 3 +0 +3 0xa94d 0x468ce8a8 256 @@ -225478,6 +281848,8 @@ 0 0 0 +2 +5 1 0xc325 0xb26a1663 @@ -225487,6 +281859,8 @@ 0 0 1 +1 +1 0x866c 0x3669bef0 256 @@ -225494,6 +281868,8 @@ 1 0 0 +0 +5 2 0x6cf7 0x8081a810 @@ -225502,6 +281878,8 @@ 0 0 0 +3 +5 2 0x517e 0x44ab76e6 @@ -225510,6 +281888,8 @@ 1 1 0 +1 +3 4 0xf016 0x14e18fa8 @@ -225519,6 +281899,8 @@ 0 0 2 +3 +2 0x3422 0xf5b416c5 256 @@ -225526,6 +281908,8 @@ 1 1 0 +4 +0 3 0x36ee 0xb8a8af0e @@ -225534,6 +281918,8 @@ 0 0 0 +5 +0 3 0x6f52 0xdb403a0f @@ -225542,6 +281928,8 @@ 0 0 0 +2 +1 4 0xe83c 0x177fdb03 @@ -225550,6 +281938,8 @@ 0 0 0 +2 +3 3 0xd039 0x9125b474 @@ -225559,6 +281949,8 @@ 0 0 4 +0 +4 0x7449 0x1619c7a 256 @@ -225566,6 +281958,8 @@ 0 0 0 +3 +2 4 0x29ac 0x8dd25553 @@ -225574,6 +281968,8 @@ 1 0 0 +1 +1 3 0x7263 0xa9b8e85 @@ -225582,6 +281978,8 @@ 1 0 0 +0 +3 4 0xebf1 0x63e00b9b @@ -225591,6 +281989,8 @@ 0 0 4 +5 +4 0x69a5 0xb06f6719 256 @@ -225598,6 +281998,8 @@ 1 1 0 +3 +0 4 0xf0de 0xa5e7b158 @@ -225606,6 +282008,8 @@ 1 0 0 +1 +4 3 0xf644 0xe4d876db @@ -225614,6 +282018,8 @@ 1 1 0 +5 +1 2 0xbf19 0x8da60953 @@ -225623,6 +282029,8 @@ 0 0 2 +1 +2 0x366f 0xca9e8606 256 @@ -225630,6 +282038,8 @@ 0 0 0 +5 +1 1 0xbfb3 0xc9dae869 @@ -225638,6 +282048,8 @@ 1 0 0 +2 +2 4 0xb317 0x6d0a27e @@ -225647,6 +282059,8 @@ 0 0 3 +3 +3 0x5a0d 0x4695bc30 256 @@ -225654,6 +282068,8 @@ 1 0 0 +0 +3 3 0xc21c 0xb3332b6d @@ -225662,6 +282078,8 @@ 0 0 0 +0 +3 3 0xe9cf 0xbcb369f0 @@ -225671,6 +282089,8 @@ 1 0 4 +3 +4 0x1481 0x2af88b41 256 @@ -225678,6 +282098,8 @@ 1 0 0 +4 +3 3 0xc0ed 0x5bad8fcb @@ -225686,6 +282108,8 @@ 1 1 0 +4 +2 3 0xdada 0x89484d69 @@ -225694,6 +282118,8 @@ 0 0 0 +4 +2 1 0x6be3 0xf6af6541 @@ -225702,6 +282128,8 @@ 1 0 0 +1 +3 2 0x683e 0xa07cf538 @@ -225710,6 +282138,8 @@ 1 0 0 +3 +4 1 0x9ebc 0xe0f973e @@ -225718,6 +282148,8 @@ 1 0 0 +3 +4 4 0xb7c9 0xe4de5613 @@ -225726,6 +282158,8 @@ 0 0 0 +3 +5 1 0xb8be 0x1c5198ff @@ -225734,6 +282168,8 @@ 1 1 0 +3 +5 1 0x6c8a 0x7451bee3 @@ -225742,6 +282178,8 @@ 1 0 0 +4 +3 1 0x63bb 0xe9ce22fb @@ -225750,6 +282188,8 @@ 0 0 0 +4 +5 1 0x5bc8 0x48aa4848 @@ -225758,6 +282198,8 @@ 0 0 0 +0 +5 4 0xba42 0x57ee4d05 @@ -225766,6 +282208,8 @@ 1 0 0 +2 +1 3 0x9880 0xd455db00 @@ -225774,6 +282218,8 @@ 0 0 0 +0 +2 3 0x6977 0x2a489a94 @@ -225783,6 +282229,8 @@ 0 0 2 +1 +2 0x142 0x4aba2e3e 256 @@ -225790,6 +282238,8 @@ 0 0 0 +4 +1 3 0xa9 0x5332af @@ -225798,6 +282248,8 @@ 1 0 0 +0 +1 1 0x54a8 0xe1e6f51a @@ -225806,6 +282258,8 @@ 1 0 0 +2 +5 3 0xe99c 0x7e9683cd @@ -225814,6 +282268,8 @@ 0 0 0 +3 +0 2 0x3e00 0x8315817a @@ -225822,6 +282278,8 @@ 0 0 0 +1 +5 4 0x9fdf 0xb3f2fe7 @@ -225830,6 +282288,8 @@ 0 0 0 +5 +2 4 0x8d5d 0x227e2115 @@ -225838,6 +282298,8 @@ 0 0 0 +2 +0 1 0xf6f6 0x95446c12 @@ -225846,6 +282308,8 @@ 0 0 0 +3 +0 2 0x26a3 0x132dd9b5 @@ -225854,6 +282318,8 @@ 1 1 0 +3 +3 1 0x6c90 0x1dc1a40d @@ -225862,6 +282328,8 @@ 1 1 0 +0 +0 1 0x2b52 0x715a62a6 @@ -225870,6 +282338,8 @@ 0 0 0 +3 +0 2 0xa860 0xd9056558 @@ -225878,6 +282348,8 @@ 1 0 0 +4 +0 3 0x6c0 0xe97f8059 @@ -225887,6 +282359,8 @@ 1 0 2 +4 +2 0x791 0xa4bba7b8 256 @@ -225894,6 +282368,8 @@ 0 0 0 +5 +0 4 0xe152 0x6111c1b @@ -225902,6 +282378,8 @@ 0 0 0 +3 +5 4 0x218a 0x4d3a031a @@ -225910,6 +282388,8 @@ 1 0 0 +2 +3 4 0x52df 0x65e10334 @@ -225918,6 +282398,8 @@ 1 0 0 +5 +4 4 0xffa1 0xd1fc134c @@ -225926,6 +282408,8 @@ 0 0 0 +5 +0 4 0xa321 0x601c9ee9 @@ -225934,6 +282418,8 @@ 1 0 0 +1 +0 4 0x3178 0xd0fd45c9 @@ -225942,6 +282428,8 @@ 0 0 0 +3 +3 1 0xecd 0x67574046 @@ -225950,6 +282438,8 @@ 0 0 0 +0 +2 1 0x65b8 0x9df4273 @@ -225959,6 +282449,8 @@ 0 0 1 +1 +1 0xc038 0x6295f7b5 256 @@ -225966,6 +282458,8 @@ 1 1 0 +4 +3 3 0xa7a5 0xa2762664 @@ -225975,6 +282469,8 @@ 0 0 2 +0 +2 0xd9c4 0xdf746f63 256 @@ -225982,6 +282478,8 @@ 0 0 0 +1 +3 4 0xc5d9 0x1585bc24 @@ -225990,6 +282488,8 @@ 0 0 0 +4 +2 3 0x2e8c 0xfa11418f @@ -225999,6 +282499,8 @@ 1 0 4 +1 +4 0x3e28 0x1eb4be22 256 @@ -226006,6 +282508,8 @@ 1 0 0 +5 +3 4 0x992 0xc3e19646 @@ -226014,6 +282518,8 @@ 0 0 0 +2 +3 1 0x719d 0x975e2729 @@ -226022,6 +282528,8 @@ 0 0 0 +3 +3 1 0x87e6 0x97583f1c @@ -226030,6 +282538,8 @@ 0 0 0 +4 +0 1 0x4635 0xc2a0f97d @@ -226038,6 +282548,8 @@ 0 0 0 +4 +5 1 0x8f85 0x273eb9bb @@ -226046,6 +282558,8 @@ 0 0 0 +4 +3 2 0x6cb9 0x39d88b58 @@ -226054,6 +282568,8 @@ 1 0 0 +1 +3 4 0x65fa 0x133897d @@ -226062,6 +282578,8 @@ 0 0 0 +1 +0 3 0x37ac 0xcd48ca23 @@ -226070,6 +282588,8 @@ 1 1 0 +0 +5 2 0x2a9c 0x8eb33387 @@ -226079,6 +282599,8 @@ 0 0 1 +2 +1 0xa308 0xdfc44e44 256 @@ -226086,6 +282608,8 @@ 0 0 0 +3 +2 1 0x4a0b 0x7a731e @@ -226094,6 +282618,8 @@ 0 0 0 +4 +1 1 0xd02c 0x4f5b9d23 @@ -226102,6 +282628,8 @@ 1 1 0 +1 +2 2 0x80c8 0xc358050d @@ -226111,6 +282639,8 @@ 1 0 1 +1 +1 0x8738 0x1c692851 256 @@ -226118,6 +282648,8 @@ 0 0 0 +0 +5 3 0xb2f 0xe8b045d8 @@ -226126,6 +282658,8 @@ 1 1 0 +0 +3 1 0x2b7d 0xf02914b2 @@ -226134,6 +282668,8 @@ 1 1 0 +1 +2 3 0x1b9e 0xfa57e6fc @@ -226142,6 +282678,8 @@ 1 1 0 +2 +1 4 0x7fbb 0xff465c44 @@ -226150,6 +282688,8 @@ 1 0 0 +5 +0 1 0x481a 0xb95df12d @@ -226158,6 +282698,8 @@ 1 0 0 +5 +5 2 0x9ca 0xc83a3ad5 @@ -226166,6 +282708,8 @@ 1 0 0 +0 +4 3 0x3e36 0x259d2c97 @@ -226174,6 +282718,8 @@ 1 1 0 +5 +1 2 0x264f 0x3bc59567 @@ -226182,6 +282728,8 @@ 0 0 0 +5 +5 4 0x95ea 0xf4517230 @@ -226190,6 +282738,8 @@ 0 0 0 +0 +0 2 0x4a92 0xfaba9503 @@ -226198,6 +282748,8 @@ 0 0 0 +2 +3 3 0x73d6 0x87dd8b55 @@ -226206,6 +282758,8 @@ 1 1 0 +2 +0 3 0xd77b 0xac2c6a43 @@ -226214,6 +282768,8 @@ 0 0 0 +0 +5 1 0xbf98 0xbe7230a6 @@ -226223,6 +282779,8 @@ 1 0 2 +2 +2 0x1d77 0x50b1bf02 256 @@ -226230,6 +282788,8 @@ 0 0 0 +3 +3 1 0x8ca2 0xcce032b6 @@ -226238,6 +282798,8 @@ 1 0 0 +2 +2 1 0xb3d1 0xe878f78e @@ -226246,6 +282808,8 @@ 0 0 0 +1 +1 2 0x3f77 0x1141381 @@ -226255,6 +282819,8 @@ 0 0 2 +5 +2 0xf023 0x6bddffa7 256 @@ -226262,6 +282828,8 @@ 0 0 0 +3 +3 2 0xf03b 0x2e5639da @@ -226270,6 +282838,8 @@ 0 0 0 +2 +1 1 0x7c6a 0xaf9ccb29 @@ -226278,6 +282848,8 @@ 0 0 0 +0 +3 1 0x348 0x34e25ea6 @@ -226286,6 +282858,8 @@ 0 0 0 +4 +0 2 0xd222 0xc59ab928 @@ -226295,6 +282869,8 @@ 0 0 2 +1 +2 0x24bf 0xea712892 256 @@ -226302,6 +282878,8 @@ 0 0 0 +3 +3 2 0xaccd 0xd58779f7 @@ -226310,6 +282888,8 @@ 1 1 0 +4 +4 2 0xd725 0xc0fdf72f @@ -226318,6 +282898,8 @@ 0 0 0 +3 +1 4 0xfa0d 0xe1522090 @@ -226326,6 +282908,8 @@ 0 0 0 +1 +5 2 0x61fe 0x53227a26 @@ -226334,6 +282918,8 @@ 0 0 0 +4 +5 2 0x7fb0 0x6e34c5ce @@ -226342,6 +282928,8 @@ 0 0 0 +2 +1 1 0xc4a3 0x398d7fad @@ -226350,6 +282938,8 @@ 1 0 0 +4 +0 3 0x58b8 0x5939a2d9 @@ -226358,6 +282948,8 @@ 0 0 0 +1 +3 3 0xa8f1 0x3c320e1 @@ -226366,6 +282958,8 @@ 1 1 0 +2 +5 1 0xa1d1 0xfd0bec5a @@ -226374,6 +282968,8 @@ 0 0 0 +5 +4 3 0x6555 0xfca599b4 @@ -226382,6 +282978,8 @@ 0 0 0 +0 +3 2 0x1a26 0x857dec8f @@ -226390,6 +282988,8 @@ 0 0 0 +0 +0 1 0xd3a4 0x9bdb73b4 @@ -226398,6 +282998,8 @@ 0 0 0 +0 +2 2 0x6b31 0xe8e47638 @@ -226406,6 +283008,8 @@ 0 0 0 +3 +3 2 0x2ffa 0x7d10a92c @@ -226414,6 +283018,8 @@ 0 0 0 +5 +3 4 0xe9e1 0x936fe615 @@ -226422,6 +283028,8 @@ 1 1 0 +1 +2 3 0x7e27 0x64a3631c @@ -226430,6 +283038,8 @@ 0 0 0 +5 +0 1 0xbf2c 0xe8dfde90 @@ -226438,6 +283048,8 @@ 0 0 0 +1 +4 3 0xff0 0x6cd4baad @@ -226446,6 +283058,8 @@ 1 1 0 +2 +0 4 0x7a31 0x2efb102f @@ -226454,6 +283068,8 @@ 0 0 0 +2 +3 3 0x42dd 0xefa80ae7 @@ -226462,6 +283078,8 @@ 1 1 0 +1 +4 3 0x2a6a 0xa8c95973 @@ -226470,6 +283088,8 @@ 0 0 0 +4 +4 3 0x92f3 0xaf264a5b @@ -226478,6 +283098,8 @@ 0 0 0 +0 +4 1 0x70c0 0x8833a9d6 @@ -226486,6 +283108,8 @@ 1 0 0 +3 +4 1 0x463a 0x4a56021 @@ -226494,6 +283118,8 @@ 1 1 0 +5 +0 1 0x1848 0x30d3b5eb @@ -226503,6 +283129,8 @@ 0 0 2 +1 +2 0x57c5 0x627163e4 256 @@ -226510,6 +283138,8 @@ 0 0 0 +0 +1 3 0x289d 0xb72e7d14 @@ -226518,6 +283148,8 @@ 0 0 0 +2 +5 1 0x11b6 0xdac961d @@ -226526,6 +283158,8 @@ 0 0 0 +2 +1 4 0x8023 0x674a5c8a @@ -226534,6 +283168,8 @@ 1 0 0 +0 +0 1 0x226d 0xe44d7a0c @@ -226542,6 +283178,8 @@ 1 0 0 +3 +2 2 0x84f9 0x44661489 @@ -226550,6 +283188,8 @@ 0 0 0 +3 +2 4 0xd5e5 0xde01e370 @@ -226558,6 +283198,8 @@ 0 0 0 +2 +2 4 0x905b 0xe8cc78b3 @@ -226566,6 +283208,8 @@ 0 0 0 +5 +0 1 0x90a 0xc9cbbb25 @@ -226574,6 +283218,8 @@ 1 1 0 +0 +4 4 0x3075 0xb00cefe5 @@ -226582,6 +283228,8 @@ 0 0 0 +1 +5 3 0xbf18 0xa5721920 @@ -226590,6 +283238,8 @@ 0 0 0 +4 +4 2 0xeae0 0x9bede621 @@ -226599,6 +283249,8 @@ 0 0 3 +3 +3 0x4645 0x65917b34 256 @@ -226606,6 +283258,8 @@ 1 0 0 +0 +5 4 0xfddc 0xc585c936 @@ -226615,6 +283269,8 @@ 0 0 1 +0 +1 0x2fdf 0x533597ed 256 @@ -226622,6 +283278,8 @@ 1 0 0 +1 +2 3 0xf8f5 0x1de07264 @@ -226630,6 +283288,8 @@ 1 0 0 +4 +4 1 0x17ac 0xcd1be343 @@ -226639,6 +283299,8 @@ 1 0 1 +3 +1 0x3553 0x10de92e3 256 @@ -226646,6 +283308,8 @@ 1 1 0 +0 +2 2 0x4fcd 0x4fec0085 @@ -226654,6 +283318,8 @@ 1 0 0 +5 +3 4 0xe865 0x7ada2814 @@ -226662,6 +283328,8 @@ 0 0 0 +3 +1 4 0xcc75 0x7d75ff7b @@ -226670,6 +283338,8 @@ 1 1 0 +0 +1 2 0x3bc4 0x5be0d750 @@ -226678,6 +283348,8 @@ 0 0 0 +3 +0 4 0xc3ad 0x645f9bf1 @@ -226687,6 +283359,8 @@ 0 0 4 +3 +4 0xf39 0xef8eca9e 256 @@ -226694,6 +283368,8 @@ 1 1 0 +4 +2 3 0x982e 0x5a32fbdf @@ -226702,6 +283378,8 @@ 0 0 0 +1 +2 4 0x486f 0x45433dff @@ -226710,6 +283388,8 @@ 1 1 0 +0 +0 1 0x212 0xc5a760f5 @@ -226718,6 +283398,8 @@ 0 0 0 +2 +1 3 0xd493 0x8bac9e7c @@ -226726,6 +283408,8 @@ 0 0 0 +2 +5 1 0x7b0d 0xc47231c8 @@ -226734,6 +283418,8 @@ 1 0 0 +1 +3 4 0x1fee 0x454e22 @@ -226743,6 +283429,8 @@ 0 0 1 +1 +1 0xaeaf 0x8eeb912f 256 @@ -226750,6 +283438,8 @@ 1 1 0 +5 +5 4 0x40fa 0x10213982 @@ -226758,6 +283448,8 @@ 0 0 0 +2 +4 3 0x228 0xe14e5a11 @@ -226766,6 +283458,8 @@ 1 0 0 +5 +1 2 0x73b3 0xd4b94896 @@ -226774,6 +283468,8 @@ 0 0 0 +4 +1 1 0x3b23 0x98e469b1 @@ -226782,6 +283478,8 @@ 1 1 0 +5 +1 2 0x151d 0xbb8c39ef @@ -226790,6 +283488,8 @@ 0 0 0 +5 +1 1 0xb877 0x7db37e8b @@ -226798,6 +283498,8 @@ 1 1 0 +0 +1 3 0xfea9 0xc4802853 @@ -226806,6 +283508,8 @@ 0 0 0 +2 +3 3 0x3573 0x7311e0a9 @@ -226814,6 +283518,8 @@ 0 0 0 +2 +1 1 0x50ec 0x38f0013f @@ -226822,6 +283528,8 @@ 0 0 0 +4 +0 1 0xa7b9 0xe57f5c6 @@ -226830,6 +283538,8 @@ 0 0 0 +3 +3 1 0x4a9b 0x27c5d918 @@ -226838,6 +283548,8 @@ 1 1 0 +0 +2 1 0xa4c1 0xa8af370d @@ -226846,6 +283558,8 @@ 1 0 0 +2 +3 1 0x1fac 0x54f930c9 @@ -226854,6 +283568,8 @@ 0 0 0 +0 +4 2 0x6be4 0x675b8145 @@ -226862,6 +283578,8 @@ 1 1 0 +2 +1 3 0x5fb8 0xd3a0fa5 @@ -226870,6 +283588,8 @@ 1 1 0 +4 +5 3 0xc0e 0xd5b24e7c @@ -226878,6 +283598,8 @@ 1 1 0 +2 +3 4 0xc2aa 0xd119b44f @@ -226886,6 +283608,8 @@ 0 0 0 +1 +3 3 0x50db 0x57363cf9 @@ -226894,6 +283618,8 @@ 0 0 0 +3 +2 1 0xe7e8 0x95734ad9 @@ -226903,6 +283629,8 @@ 0 0 2 +4 +2 0xbb9 0x9d2709cd 256 @@ -226910,6 +283638,8 @@ 0 0 0 +2 +4 1 0xf86a 0x8f1554f7 @@ -226918,6 +283648,8 @@ 1 0 0 +1 +4 2 0x6455 0x77b8f43e @@ -226926,6 +283658,8 @@ 0 0 0 +5 +2 1 0x8a0b 0xfc7930bd @@ -226934,6 +283668,8 @@ 0 0 0 +5 +2 2 0x3f 0x84116078 @@ -226942,6 +283678,8 @@ 1 0 0 +3 +4 1 0x595c 0x204e2f2c @@ -226950,6 +283688,8 @@ 0 0 0 +3 +2 1 0x99d9 0xa89f1f81 @@ -226959,6 +283699,8 @@ 0 0 3 +5 +3 0x3f2c 0x5346145 256 @@ -226966,6 +283708,8 @@ 0 0 0 +0 +3 4 0xdcf0 0x8626d67d @@ -226974,6 +283718,8 @@ 0 0 0 +5 +1 4 0xd03f 0x615f1997 @@ -226982,6 +283728,8 @@ 0 0 0 +1 +2 4 0x61e5 0xa687a0fc @@ -226990,6 +283738,8 @@ 0 0 0 +2 +0 1 0xde9a 0x5eb5b082 @@ -226998,6 +283748,8 @@ 1 0 0 +4 +5 1 0x712d 0x2ec1ee39 @@ -227006,6 +283758,8 @@ 1 0 0 +3 +4 2 0x46c3 0x7530203f @@ -227014,6 +283768,8 @@ 1 1 0 +5 +3 4 0x3dbb 0xc716c436 @@ -227022,6 +283778,8 @@ 1 1 0 +5 +4 4 0x7353 0x5230fce4 @@ -227030,6 +283788,8 @@ 1 1 0 +4 +0 3 0x54b8 0x807efe59 @@ -227039,6 +283799,8 @@ 0 0 4 +3 +4 0xf951 0xef389935 256 @@ -227047,6 +283809,8 @@ 0 0 4 +3 +4 0x7323 0xcf31fdd9 256 @@ -227054,6 +283818,8 @@ 1 1 0 +5 +2 3 0xe821 0x91991f13 @@ -227062,6 +283828,8 @@ 1 0 0 +5 +5 1 0x689d 0x79199625 @@ -227070,6 +283838,8 @@ 1 1 0 +1 +5 4 0x90b7 0xcd3ab6a0 @@ -227078,6 +283848,8 @@ 1 1 0 +4 +5 1 0x18f3 0x31213f8f @@ -227086,6 +283858,8 @@ 1 0 0 +0 +1 3 0x59c6 0x3ac30606 @@ -227094,6 +283868,8 @@ 1 0 0 +0 +4 2 0x1724 0x24f5b209 @@ -227102,6 +283878,8 @@ 1 0 0 +0 +0 1 0x4042 0x8272f2f4 @@ -227110,6 +283888,8 @@ 0 0 0 +2 +5 4 0xfc4b 0xfda61047 @@ -227118,6 +283898,8 @@ 0 0 0 +0 +2 2 0x65b1 0xaf01c075 @@ -227126,6 +283908,8 @@ 0 0 0 +5 +5 2 0x4a65 0x36f11bdf @@ -227134,6 +283918,8 @@ 1 1 0 +1 +2 2 0x43a 0xca027c00 @@ -227143,6 +283929,8 @@ 0 0 1 +5 +1 0xfa7c 0x91ca5eaf 256 @@ -227150,6 +283938,8 @@ 0 0 0 +0 +2 1 0x9c0e 0x1fa4a053 @@ -227158,6 +283948,8 @@ 0 0 0 +5 +2 4 0x7239 0x8beeda6 @@ -227166,6 +283958,8 @@ 1 0 0 +3 +1 2 0x8e3a 0xe1a0ac54 @@ -227175,6 +283969,8 @@ 0 0 3 +2 +3 0x22d9 0xae707fb7 256 @@ -227182,6 +283978,8 @@ 1 0 0 +0 +3 2 0x93b 0x9287e209 @@ -227190,6 +283988,8 @@ 1 1 0 +0 +0 3 0xbce9 0xea7df644 @@ -227198,6 +283998,8 @@ 1 0 0 +0 +4 1 0xcb1d 0xcbfeb9c6 @@ -227206,6 +284008,8 @@ 1 0 0 +3 +2 4 0x9403 0xc6ad2285 @@ -227214,6 +284018,8 @@ 1 1 0 +0 +1 2 0xd123 0xcad337a0 @@ -227222,6 +284028,8 @@ 0 0 0 +3 +3 4 0x3128 0xc28eb07f @@ -227230,6 +284038,8 @@ 1 1 0 +5 +5 2 0x3cad 0x3f3b66a @@ -227238,6 +284048,8 @@ 1 0 0 +2 +3 1 0x7846 0x5eebacb3 @@ -227246,6 +284058,8 @@ 1 0 0 +0 +4 4 0xde84 0x22d0bf07 @@ -227255,6 +284069,8 @@ 0 0 4 +1 +4 0xebe5 0xfd483dee 256 @@ -227262,6 +284078,8 @@ 0 0 0 +4 +0 2 0xe78c 0x89e7ee3c @@ -227270,6 +284088,8 @@ 0 0 0 +5 +4 4 0x6e6f 0xc2959bf4 @@ -227278,6 +284098,8 @@ 0 0 0 +0 +2 3 0xaabc 0xe5658fe6 @@ -227286,6 +284108,8 @@ 0 0 0 +5 +3 1 0x4f27 0x43edce39 @@ -227294,6 +284118,8 @@ 0 0 0 +0 +0 2 0x2f36 0x5fe491df @@ -227302,6 +284128,8 @@ 0 0 0 +1 +2 2 0x883d 0xf22edd74 @@ -227310,6 +284138,8 @@ 0 0 0 +3 +3 1 0x750e 0xaf114c43 @@ -227318,6 +284148,8 @@ 0 0 0 +1 +3 3 0x668 0xb181b6b9 @@ -227327,6 +284159,8 @@ 0 0 4 +0 +4 0x3208 0xf44a9d54 256 @@ -227334,6 +284168,8 @@ 0 0 0 +0 +4 2 0xb959 0xa9312aa8 @@ -227342,6 +284178,8 @@ 0 0 0 +5 +1 3 0x7090 0x2ae21eb6 @@ -227350,6 +284188,8 @@ 1 1 0 +4 +4 3 0x254d 0x10037d05 @@ -227358,6 +284198,8 @@ 1 0 0 +5 +1 2 0x1c1d 0xe9811c88 @@ -227367,6 +284209,8 @@ 0 0 3 +0 +3 0x9021 0x5cf8045b 256 @@ -227374,6 +284218,8 @@ 0 0 0 +4 +0 3 0x6e88 0x6e7f71e5 @@ -227382,6 +284228,8 @@ 0 0 0 +4 +1 1 0x4131 0xb6c98b89 @@ -227391,6 +284239,8 @@ 0 0 2 +2 +2 0xe00e 0x4c1fada 256 @@ -227398,6 +284248,8 @@ 0 0 0 +5 +5 3 0x2752 0x7879672d @@ -227406,6 +284258,8 @@ 1 1 0 +4 +2 2 0x23a3 0x96c3e859 @@ -227414,6 +284268,8 @@ 1 0 0 +3 +2 1 0x43eb 0x39dd8f4f @@ -227422,6 +284278,8 @@ 0 0 0 +1 +4 2 0xe0b 0x71969b75 @@ -227430,6 +284288,8 @@ 0 0 0 +2 +4 1 0xa343 0xee7670d2 @@ -227438,6 +284298,8 @@ 1 1 0 +4 +4 1 0x264 0x4544c9b1 @@ -227446,6 +284308,8 @@ 1 0 0 +5 +3 2 0xe2cf 0xb9c51824 @@ -227454,6 +284318,8 @@ 0 0 0 +5 +2 1 0x20d9 0x2b053489 @@ -227462,6 +284328,8 @@ 0 0 0 +2 +1 1 0x975c 0x2067aee4 @@ -227470,6 +284338,8 @@ 1 1 0 +1 +0 4 0x83f9 0xe941fc0c @@ -227478,6 +284348,8 @@ 0 0 0 +3 +5 1 0xebd8 0xe161e5ff @@ -227486,6 +284358,8 @@ 1 1 0 +4 +3 3 0x11c2 0x28bd15df @@ -227494,6 +284368,8 @@ 0 0 0 +0 +0 4 0x98dc 0xcaa68f9 @@ -227502,6 +284378,8 @@ 0 0 0 +2 +2 1 0x42f9 0xfc67179c @@ -227510,6 +284388,8 @@ 1 1 0 +4 +0 3 0xb9b3 0x14bbfdb4 @@ -227518,6 +284398,8 @@ 1 1 0 +2 +1 1 0x384d 0x6ebca40 @@ -227526,6 +284408,8 @@ 0 0 0 +0 +3 4 0xeaed 0x9dedcfa5 @@ -227534,6 +284418,8 @@ 0 0 0 +1 +4 3 0xccf7 0x4a393a11 @@ -227542,6 +284428,8 @@ 0 0 0 +5 +1 1 0x6141 0x42c18146 @@ -227550,6 +284438,8 @@ 1 1 0 +5 +4 2 0xa395 0x7c4d5bec @@ -227558,6 +284448,8 @@ 1 1 0 +2 +2 4 0x9556 0xeef8505 @@ -227567,6 +284459,8 @@ 0 0 4 +3 +4 0xb13a 0x3e64c4a4 256 @@ -227574,6 +284468,8 @@ 0 0 0 +2 +1 4 0x3806 0xd859106d @@ -227582,6 +284478,8 @@ 1 0 0 +4 +0 3 0xcdc8 0x6c6b2476 @@ -227590,6 +284488,8 @@ 0 0 0 +2 +5 4 0xde73 0xc4a91ee4 @@ -227598,6 +284498,8 @@ 1 0 0 +2 +2 3 0x80c9 0x5dc9f1c9 @@ -227607,6 +284509,8 @@ 0 0 2 +0 +2 0xa1fb 0x65b07a1d 256 @@ -227614,6 +284518,8 @@ 0 0 0 +0 +5 1 0x5cd0 0xcf7d528e @@ -227622,6 +284528,8 @@ 1 0 0 +4 +2 3 0xb96d 0xa70e33cd @@ -227630,6 +284538,8 @@ 1 0 0 +1 +5 4 0xba79 0xec693a57 @@ -227638,6 +284548,8 @@ 1 1 0 +1 +1 3 0xacdb 0x497648dc @@ -227646,6 +284558,8 @@ 0 0 0 +1 +2 3 0x11ad 0xed805690 @@ -227655,6 +284569,8 @@ 0 0 2 +3 +2 0xd0ac 0x568339f 256 @@ -227662,6 +284578,8 @@ 0 0 0 +1 +1 2 0x3cbb 0x132ce9f6 @@ -227671,6 +284589,8 @@ 1 0 1 +4 +1 0xa32a 0x20b3d941 256 @@ -227679,6 +284599,8 @@ 0 0 2 +1 +2 0x793c 0x300aa588 256 @@ -227686,6 +284608,8 @@ 0 0 0 +0 +5 4 0x5c93 0x3e23d7b2 @@ -227695,6 +284619,8 @@ 0 0 4 +5 +4 0xedc5 0x2007b903 256 @@ -227702,6 +284628,8 @@ 0 0 0 +3 +0 2 0x741 0xf05f1715 @@ -227711,6 +284639,8 @@ 0 0 1 +4 +1 0x5711 0xeb9bfd90 256 @@ -227718,6 +284648,8 @@ 0 0 0 +0 +4 3 0xf798 0xdbb36e6d @@ -227726,6 +284658,8 @@ 0 0 0 +4 +1 2 0xd69 0xb3bdb89e @@ -227734,6 +284668,8 @@ 0 0 0 +2 +4 4 0x2460 0xddc6011f @@ -227742,6 +284678,8 @@ 1 1 0 +4 +0 1 0x6036 0x7c988538 @@ -227750,6 +284688,8 @@ 1 1 0 +4 +0 2 0xff93 0xe82a9267 @@ -227758,6 +284698,8 @@ 0 0 0 +1 +4 3 0x4a04 0x371cf2e7 @@ -227766,6 +284708,8 @@ 1 1 0 +3 +4 1 0x64b 0x5bdb988b @@ -227774,6 +284718,8 @@ 0 0 0 +0 +3 1 0xf9e4 0xa3d6b38f @@ -227782,6 +284728,8 @@ 1 0 0 +5 +2 3 0x966a 0x2a4dc191 @@ -227790,6 +284738,8 @@ 1 1 0 +5 +5 2 0x7b29 0x4bdab97 @@ -227798,6 +284748,8 @@ 1 1 0 +5 +3 1 0x7801 0x32571590 @@ -227806,6 +284758,8 @@ 0 0 0 +3 +1 4 0x23c7 0x40337886 @@ -227814,6 +284768,8 @@ 0 0 0 +0 +1 4 0xac84 0xfecf8c66 @@ -227822,6 +284778,8 @@ 0 0 0 +5 +5 3 0x4041 0xe25478f @@ -227830,6 +284788,8 @@ 1 0 0 +2 +5 4 0x711d 0x434584ee @@ -227838,6 +284798,8 @@ 1 1 0 +3 +5 1 0x2e82 0x64d16404 @@ -227847,6 +284809,8 @@ 0 0 2 +4 +2 0x3d79 0x680eb018 256 @@ -227854,6 +284818,8 @@ 0 0 0 +3 +1 1 0xe548 0x125eeec4 @@ -227863,6 +284829,8 @@ 0 0 1 +4 +1 0x1fa0 0x6219c6a8 256 @@ -227871,6 +284839,8 @@ 0 0 3 +2 +3 0xad25 0x20162f06 256 @@ -227878,6 +284848,8 @@ 0 0 0 +1 +5 3 0x90 0x1e5823fe @@ -227886,6 +284858,8 @@ 1 1 0 +2 +1 3 0x6e3 0xcca21fd1 @@ -227894,6 +284868,8 @@ 0 0 0 +2 +4 1 0xf480 0x579049fe @@ -227902,6 +284878,8 @@ 1 1 0 +0 +3 1 0x7227 0x6e265f1 @@ -227910,6 +284888,8 @@ 1 1 0 +0 +3 2 0x7ad3 0xa02675fd @@ -227919,6 +284899,8 @@ 1 0 2 +0 +2 0x26d1 0x5082afaa 256 @@ -227926,6 +284908,8 @@ 0 0 0 +1 +2 3 0x6f45 0xfba00b14 @@ -227934,6 +284918,8 @@ 0 0 0 +0 +2 2 0xcaa4 0x12d867c7 @@ -227942,6 +284928,8 @@ 0 0 0 +3 +4 4 0xf9db 0x3eae0978 @@ -227950,6 +284938,8 @@ 0 0 0 +1 +5 4 0x1e83 0xa0995019 @@ -227958,6 +284948,8 @@ 1 0 0 +2 +2 3 0x5215 0xe8b488af @@ -227966,6 +284958,8 @@ 0 0 0 +2 +0 4 0x28ef 0x50215d85 @@ -227974,6 +284968,8 @@ 0 0 0 +0 +5 4 0x745b 0x61ba68f @@ -227982,6 +284978,8 @@ 0 0 0 +4 +2 1 0xb572 0x36a0e4ed @@ -227990,6 +284988,8 @@ 0 0 0 +3 +2 1 0xf7f1 0x55a672f7 @@ -227998,6 +284998,8 @@ 0 0 0 +2 +4 1 0x88e4 0xe6f4f704 @@ -228006,6 +285008,8 @@ 1 1 0 +2 +1 4 0x919 0x4914b4e4 @@ -228014,6 +285018,8 @@ 0 0 0 +1 +3 2 0x2546 0x5f5b3801 @@ -228022,6 +285028,8 @@ 0 0 0 +5 +5 1 0x231d 0x800eb4b3 @@ -228031,6 +285039,8 @@ 0 0 1 +5 +1 0xaf7f 0x870549a4 256 @@ -228039,6 +285049,8 @@ 0 0 1 +4 +1 0x7b99 0x5ea5ced4 256 @@ -228046,6 +285058,8 @@ 0 0 0 +0 +4 2 0x4168 0x78728edf @@ -228054,6 +285068,8 @@ 0 0 0 +4 +2 1 0xeafe 0x82e0fee @@ -228062,6 +285078,8 @@ 1 1 0 +2 +0 1 0x76c0 0x96d310db @@ -228070,6 +285088,8 @@ 1 0 0 +2 +0 1 0xd4a9 0xb39b372c @@ -228078,6 +285098,8 @@ 1 0 0 +0 +3 3 0xec5a 0x8e736bfb @@ -228086,6 +285108,8 @@ 0 0 0 +2 +3 4 0x40ab 0x74f17fb5 @@ -228094,6 +285118,8 @@ 0 0 0 +5 +3 3 0x3964 0xfab46c78 @@ -228102,6 +285128,8 @@ 1 1 0 +3 +4 1 0x77cf 0x45d1c1da @@ -228110,6 +285138,8 @@ 1 0 0 +4 +5 2 0xd03e 0x4356945f @@ -228118,6 +285148,8 @@ 0 0 0 +1 +4 4 0x1ef5 0xfa18507b @@ -228126,6 +285158,8 @@ 0 0 0 +5 +3 3 0x673b 0xcb345e24 @@ -228134,6 +285168,8 @@ 0 0 0 +3 +2 4 0xb5af 0x19b47bcd @@ -228142,6 +285178,8 @@ 0 0 0 +3 +4 4 0x43c8 0x817a51be @@ -228150,6 +285188,8 @@ 0 0 0 +2 +0 1 0x3b74 0xd0bcafc9 @@ -228158,6 +285198,8 @@ 1 1 0 +0 +0 4 0xd053 0xb12f4658 @@ -228166,6 +285208,8 @@ 0 0 0 +3 +1 4 0xc715 0x29ac53ce @@ -228175,6 +285219,8 @@ 0 0 3 +0 +3 0x4d92 0x436f2481 256 @@ -228182,6 +285228,8 @@ 1 1 0 +2 +4 1 0x5ab3 0xc19888cd @@ -228190,6 +285238,8 @@ 1 0 0 +4 +3 1 0xa119 0x56a73f06 @@ -228198,6 +285248,8 @@ 0 0 0 +1 +5 2 0x993 0xc8874f8c @@ -228206,6 +285258,8 @@ 1 0 0 +4 +4 2 0x3777 0xf4294607 @@ -228214,6 +285268,8 @@ 1 0 0 +0 +3 3 0xd5c9 0xbc7325c3 @@ -228222,6 +285278,8 @@ 1 1 0 +1 +1 2 0x8a9 0xf20af800 @@ -228231,6 +285289,8 @@ 0 0 4 +2 +4 0x7079 0xff6b79bd 256 @@ -228238,6 +285298,8 @@ 1 0 0 +1 +4 2 0x67c6 0xbdf990d3 @@ -228246,6 +285308,8 @@ 0 0 0 +4 +3 2 0x5ac7 0x515b979c @@ -228255,6 +285319,8 @@ 0 0 4 +4 +4 0x65c4 0xf0cd44c2 256 @@ -228262,6 +285328,8 @@ 0 0 0 +0 +5 1 0x4450 0x5612f491 @@ -228270,6 +285338,8 @@ 0 0 0 +0 +2 4 0x72ce 0xbf74c711 @@ -228279,6 +285349,8 @@ 0 0 3 +4 +3 0x18c2 0x327ea85 256 @@ -228286,6 +285358,8 @@ 1 0 0 +1 +3 4 0xf8c7 0xc9c933eb @@ -228294,6 +285368,8 @@ 0 0 0 +5 +2 3 0xdc40 0xd5293d3a @@ -228302,6 +285378,8 @@ 1 1 0 +4 +2 3 0xe585 0xf8fae214 @@ -228310,6 +285388,8 @@ 0 0 0 +1 +2 3 0x5895 0xafb07ffb @@ -228318,6 +285398,8 @@ 0 0 0 +4 +4 3 0x5eae 0xf751acbf @@ -228326,6 +285408,8 @@ 1 1 0 +5 +4 4 0x6cea 0xb7182881 @@ -228334,6 +285418,8 @@ 0 0 0 +0 +0 2 0x74aa 0x39ef4798 @@ -228342,6 +285428,8 @@ 0 0 0 +1 +4 4 0x458 0xbec25cbe @@ -228350,6 +285438,8 @@ 0 0 0 +3 +1 2 0x243 0xfe7c5bbc @@ -228358,6 +285448,8 @@ 1 0 0 +4 +1 3 0x8ddd 0x7da5da51 @@ -228366,6 +285458,8 @@ 0 0 0 +1 +3 3 0x6668 0x4dfb9f00 @@ -228374,6 +285468,8 @@ 0 0 0 +5 +3 4 0x7856 0xf8ff6aac @@ -228382,6 +285478,8 @@ 1 1 0 +4 +0 3 0x271a 0xe15f2eb8 @@ -228390,6 +285488,8 @@ 0 0 0 +4 +3 3 0x57f5 0xc57d4931 @@ -228399,6 +285499,8 @@ 0 0 2 +1 +2 0x85a7 0x74de5a47 256 @@ -228406,6 +285508,8 @@ 0 0 0 +4 +5 3 0x57a3 0x475be756 @@ -228414,6 +285518,8 @@ 1 0 0 +1 +5 4 0x8dcc 0xd5f94bd9 @@ -228423,6 +285529,8 @@ 0 0 2 +3 +2 0x3db8 0x7529cacc 256 @@ -228430,6 +285538,8 @@ 0 0 0 +1 +4 2 0x7722 0x2b67558f @@ -228439,6 +285549,8 @@ 0 0 3 +5 +3 0xe6a 0x72501538 256 @@ -228447,6 +285559,8 @@ 0 0 3 +0 +3 0x5612 0xf75ea60 256 @@ -228454,6 +285568,8 @@ 1 0 0 +0 +1 2 0xbd98 0x6e01efa2 @@ -228462,6 +285578,8 @@ 1 1 0 +5 +5 1 0x3fe1 0x7986d5a8 @@ -228470,6 +285588,8 @@ 0 0 0 +2 +2 1 0x4f9 0x2d62a6fe @@ -228478,6 +285598,8 @@ 0 0 0 +2 +0 4 0x3d9e 0x1bb1d483 @@ -228487,6 +285609,8 @@ 1 0 4 +5 +4 0xa27d 0xb706773 256 @@ -228494,6 +285618,8 @@ 1 1 0 +1 +4 4 0x8086 0xe071f743 @@ -228502,6 +285628,8 @@ 1 1 0 +5 +2 3 0x758a 0x3f1315b4 @@ -228510,6 +285638,8 @@ 0 0 0 +0 +5 4 0x357f 0xddefc4b5 @@ -228518,6 +285648,8 @@ 1 0 0 +1 +1 2 0x664d 0xac75573b @@ -228526,6 +285658,8 @@ 0 0 0 +2 +4 3 0x32aa 0x19c29765 @@ -228534,6 +285668,8 @@ 0 0 0 +5 +0 1 0xe2f1 0x4af6750 @@ -228543,6 +285679,8 @@ 0 0 2 +0 +2 0x1d89 0x53559ef2 256 @@ -228550,6 +285688,8 @@ 0 0 0 +3 +5 1 0xd574 0x526dcae9 @@ -228559,6 +285699,8 @@ 1 0 3 +3 +3 0xdb60 0xd70b5e84 256 @@ -228566,6 +285708,8 @@ 0 0 0 +3 +1 2 0x2d4c 0x94df6c16 @@ -228574,6 +285718,8 @@ 0 0 0 +2 +3 3 0xc17 0xe239e8f0 @@ -228582,6 +285728,8 @@ 1 0 0 +0 +0 2 0xbb1 0xd2447eb4 @@ -228590,6 +285738,8 @@ 1 1 0 +3 +2 1 0x4768 0x6a32537d @@ -228598,6 +285748,8 @@ 0 0 0 +5 +2 2 0xcf99 0x701b549d @@ -228606,6 +285758,8 @@ 1 1 0 +1 +1 3 0xe0e 0x308a6b57 @@ -228614,6 +285768,8 @@ 1 0 0 +4 +2 3 0xbead 0xbeda67a7 @@ -228622,6 +285778,8 @@ 0 0 0 +3 +3 2 0x6004 0xbe3ef458 @@ -228630,6 +285788,8 @@ 0 0 0 +0 +4 3 0xf336 0x27d649a1 @@ -228638,6 +285798,8 @@ 0 0 0 +5 +5 2 0xa03 0x57a725e7 @@ -228646,6 +285808,8 @@ 0 0 0 +4 +3 3 0x8265 0xccab104b @@ -228654,6 +285818,8 @@ 0 0 0 +5 +3 4 0xa90c 0x65750e5b @@ -228662,6 +285828,8 @@ 0 0 0 +3 +4 2 0x5d2a 0x43b7ed55 @@ -228670,6 +285838,8 @@ 1 1 0 +2 +1 4 0xc8d5 0xb02bf6e7 @@ -228678,6 +285848,8 @@ 0 0 0 +0 +4 3 0xd956 0x26f31ccb @@ -228686,6 +285858,8 @@ 1 1 0 +4 +2 3 0x8eb2 0xc2f40c05 @@ -228694,6 +285868,8 @@ 0 0 0 +3 +3 4 0x5110 0x83a205cb @@ -228703,6 +285879,8 @@ 0 0 1 +0 +1 0x11ec 0xa44b42bf 256 @@ -228711,6 +285889,8 @@ 0 0 2 +2 +2 0xa000 0x495c9b4a 256 @@ -228718,6 +285898,8 @@ 0 0 0 +1 +1 3 0x6004 0x83ea6f7f @@ -228727,6 +285909,8 @@ 0 0 1 +2 +1 0x5b89 0x10b78736 256 @@ -228734,6 +285918,8 @@ 1 0 0 +1 +0 4 0x68e1 0x2904b2ed @@ -228742,6 +285928,8 @@ 1 1 0 +3 +2 1 0xcc46 0xda3d0a3a @@ -228750,6 +285938,8 @@ 1 1 0 +5 +3 1 0x6c4b 0x26b0ff78 @@ -228758,6 +285948,8 @@ 0 0 0 +2 +3 4 0x922f 0x2c21bc15 @@ -228766,6 +285958,8 @@ 0 0 0 +5 +2 1 0x5e48 0x11e0adab @@ -228774,6 +285968,8 @@ 1 0 0 +1 +5 3 0x81aa 0x14c58df7 @@ -228782,6 +285978,8 @@ 0 0 0 +3 +3 4 0x74d6 0xdf767eee @@ -228790,6 +285988,8 @@ 1 0 0 +0 +2 3 0x8044 0xdc3611be @@ -228798,6 +285998,8 @@ 1 1 0 +1 +1 4 0x4b21 0x1dee3dfa @@ -228806,6 +286008,8 @@ 0 0 0 +2 +1 3 0xfb3a 0xdb532e93 @@ -228814,6 +286018,8 @@ 0 0 0 +1 +5 2 0xbf88 0x8024e4b @@ -228822,6 +286028,8 @@ 1 1 0 +5 +5 1 0x796c 0x8f7ab1b3 @@ -228830,6 +286038,8 @@ 0 0 0 +5 +3 2 0xcab3 0x927aa2c0 @@ -228838,6 +286048,8 @@ 0 0 0 +0 +0 1 0x22fb 0x10128e1e @@ -228846,6 +286058,8 @@ 1 0 0 +0 +4 3 0xa414 0x74c48ea @@ -228854,6 +286068,8 @@ 0 0 0 +0 +0 2 0xe0a5 0xd7d58bef @@ -228862,6 +286078,8 @@ 1 1 0 +2 +0 1 0x49d6 0x852785ef @@ -228871,6 +286089,8 @@ 0 0 3 +4 +3 0xb28b 0x5be26df4 256 @@ -228878,6 +286098,8 @@ 0 0 0 +5 +4 1 0x7f59 0x34b335a7 @@ -228886,6 +286108,8 @@ 1 0 0 +0 +4 4 0x6441 0x446372ac @@ -228894,6 +286118,8 @@ 1 1 0 +0 +3 1 0x141c 0x9e84c397 @@ -228902,6 +286128,8 @@ 1 1 0 +4 +3 1 0x6a2a 0x1a221448 @@ -228910,6 +286138,8 @@ 1 0 0 +2 +4 1 0x5f61 0x7e2297d3 @@ -228918,6 +286148,8 @@ 0 0 0 +2 +1 4 0x2866 0x468f3bb6 @@ -228926,6 +286158,8 @@ 1 1 0 +4 +0 1 0xe4d8 0xf0d06106 @@ -228934,6 +286168,8 @@ 0 0 0 +2 +1 3 0xd4a5 0xd1205ff7 @@ -228942,6 +286178,8 @@ 0 0 0 +5 +0 4 0x1a58 0x9ed897c4 @@ -228950,6 +286188,8 @@ 1 1 0 +3 +0 2 0x8025 0xff617595 @@ -228958,6 +286198,8 @@ 1 1 0 +2 +0 3 0xf4a5 0x17848656 @@ -228966,6 +286208,8 @@ 1 1 0 +5 +5 2 0xb4e8 0x752126c0 @@ -228974,6 +286218,8 @@ 0 0 0 +0 +5 2 0xf607 0xf216fb3b @@ -228982,6 +286228,8 @@ 1 0 0 +4 +4 3 0x87a9 0x91494647 @@ -228990,6 +286238,8 @@ 0 0 0 +2 +4 3 0x6b6b 0xe5c1dab4 @@ -228998,6 +286248,8 @@ 1 1 0 +5 +1 1 0x6117 0x5d178ad4 @@ -229006,6 +286258,8 @@ 1 0 0 +5 +0 4 0xca76 0xdca7c58a @@ -229015,6 +286269,8 @@ 0 0 3 +0 +3 0x5f3 0x79d9649 256 @@ -229022,6 +286278,8 @@ 1 0 0 +4 +0 2 0x2a7b 0x8e28ca7f @@ -229030,6 +286288,8 @@ 0 0 0 +0 +1 4 0x8fe 0x20a64da8 @@ -229038,6 +286298,8 @@ 0 0 0 +4 +5 3 0x1bf9 0x4100ac0f @@ -229046,6 +286308,8 @@ 1 0 0 +4 +5 2 0x4f73 0x115c456 @@ -229055,6 +286319,8 @@ 0 0 3 +1 +3 0xf53 0x6fd9f2c7 256 @@ -229063,6 +286329,8 @@ 0 0 2 +1 +2 0xe639 0xf023447b 256 @@ -229070,6 +286338,8 @@ 0 0 0 +1 +1 2 0xa41c 0x3db9c33a @@ -229078,6 +286348,8 @@ 0 0 0 +5 +0 2 0x70a 0x759643c5 @@ -229086,6 +286358,8 @@ 1 0 0 +0 +4 4 0x4ab6 0xf20edcfc @@ -229094,6 +286368,8 @@ 1 1 0 +5 +5 4 0xd100 0xc7096bbf @@ -229103,6 +286379,8 @@ 0 0 4 +0 +4 0x50ee 0x6ee234c 256 @@ -229110,6 +286388,8 @@ 1 1 0 +2 +0 1 0x47be 0x185bd034 @@ -229118,6 +286398,8 @@ 0 0 0 +1 +4 2 0x91d3 0x498c4fd6 @@ -229126,6 +286408,8 @@ 1 1 0 +3 +4 4 0x20d2 0x152d9d45 @@ -229134,6 +286418,8 @@ 1 0 0 +1 +2 4 0x42a3 0x7340d109 @@ -229142,6 +286428,8 @@ 0 0 0 +2 +2 3 0x4ef8 0x7ead18ec @@ -229150,6 +286438,8 @@ 0 0 0 +2 +3 4 0x455a 0x52dbbef7 @@ -229158,6 +286448,8 @@ 0 0 0 +4 +2 3 0xd9e 0xf251139c @@ -229166,6 +286458,8 @@ 1 0 0 +0 +4 4 0x11a9 0xa615a06f @@ -229174,6 +286468,8 @@ 1 1 0 +4 +0 1 0x33cf 0x1119f446 @@ -229183,6 +286479,8 @@ 0 0 1 +0 +1 0xc2e0 0x5459fd10 256 @@ -229190,6 +286488,8 @@ 0 0 0 +3 +1 4 0x4639 0xc580726e @@ -229198,6 +286498,8 @@ 0 0 0 +0 +3 3 0x1964 0x993adc21 @@ -229206,6 +286508,8 @@ 0 0 0 +1 +3 4 0xbfd1 0xaf9a729a @@ -229214,6 +286518,8 @@ 0 0 0 +0 +1 1 0xf0fc 0xd768b115 @@ -229223,6 +286529,8 @@ 0 0 3 +3 +3 0x606c 0xa40a90f6 256 @@ -229230,6 +286538,8 @@ 1 1 0 +0 +0 2 0x9775 0xd845f718 @@ -229238,6 +286548,8 @@ 0 0 0 +2 +3 4 0x761 0x63dc54d4 @@ -229246,6 +286558,8 @@ 1 0 0 +5 +1 2 0x62cb 0x1a8ee337 @@ -229254,6 +286568,8 @@ 1 1 0 +1 +0 3 0x2857 0xebf00d12 @@ -229262,6 +286578,8 @@ 0 0 0 +4 +5 2 0x277 0x7c63c34b @@ -229270,6 +286588,8 @@ 1 1 0 +5 +2 4 0xb351 0xa78c3262 @@ -229278,6 +286598,8 @@ 1 1 0 +0 +1 1 0x894 0xf0355e9a @@ -229287,6 +286609,8 @@ 0 0 3 +4 +3 0x4afd 0x4f4a2af5 256 @@ -229294,6 +286618,8 @@ 0 0 0 +1 +5 2 0xa015 0x18fc93f0 @@ -229302,6 +286628,8 @@ 1 1 0 +5 +1 4 0x35e7 0xa60515bc @@ -229310,6 +286638,8 @@ 0 0 0 +0 +3 4 0x8621 0xca000951 @@ -229319,6 +286649,8 @@ 1 0 1 +0 +1 0x4bad 0xdab88082 256 @@ -229326,6 +286658,8 @@ 0 0 0 +3 +3 2 0x1623 0x442c98af @@ -229334,6 +286668,8 @@ 0 0 0 +1 +4 3 0x5459 0x96c191ff @@ -229342,6 +286678,8 @@ 0 0 0 +1 +0 3 0x34a2 0x5ff20ea9 @@ -229350,6 +286688,8 @@ 1 0 0 +0 +5 1 0xb680 0x612a198 @@ -229358,6 +286698,8 @@ 0 0 0 +1 +5 2 0xd444 0xe04db9fc @@ -229366,6 +286708,8 @@ 0 0 0 +3 +0 1 0xf735 0x128188ab @@ -229374,6 +286718,8 @@ 1 1 0 +1 +4 2 0x82df 0x1718034f @@ -229382,6 +286728,8 @@ 1 0 0 +2 +4 4 0x278e 0x53b43d68 @@ -229390,6 +286738,8 @@ 1 1 0 +4 +1 2 0x5247 0x3337b6e @@ -229398,6 +286748,8 @@ 0 0 0 +3 +3 2 0xa702 0xbce87d93 @@ -229406,6 +286758,8 @@ 0 0 0 +0 +3 1 0x5383 0x31def56b @@ -229414,6 +286768,8 @@ 0 0 0 +0 +3 2 0x9518 0x823ed58d @@ -229422,6 +286778,8 @@ 1 1 0 +3 +3 4 0xd4fb 0xcec4644e @@ -229431,6 +286789,8 @@ 1 0 4 +0 +4 0xc26e 0x360db118 256 @@ -229438,6 +286798,8 @@ 0 0 0 +0 +2 2 0x4f40 0xf35b606a @@ -229447,6 +286809,8 @@ 0 0 4 +5 +4 0x2a55 0xbe4fa369 256 @@ -229455,6 +286819,8 @@ 0 0 3 +4 +3 0x4097 0xa1c592e 256 @@ -229462,6 +286828,8 @@ 1 0 0 +5 +0 2 0xc399 0x1e4ad44f @@ -229470,6 +286838,8 @@ 0 0 0 +0 +1 1 0x1f54 0x5ab9ae8 @@ -229478,6 +286848,8 @@ 0 0 0 +0 +3 3 0x8ca2 0xf9b68efa @@ -229486,6 +286858,8 @@ 1 0 0 +5 +5 4 0x610e 0xf91f463f @@ -229494,6 +286868,8 @@ 0 0 0 +1 +2 4 0x2c15 0x3878f3f @@ -229502,6 +286878,8 @@ 0 0 0 +4 +2 2 0xf0ea 0xedfc98f @@ -229510,6 +286888,8 @@ 1 1 0 +2 +2 3 0x62cf 0xa49f481d @@ -229518,6 +286898,8 @@ 1 0 0 +2 +5 4 0xbcbe 0xfdb90947 @@ -229526,6 +286908,8 @@ 0 0 0 +4 +5 2 0xf946 0xe40c6d @@ -229534,6 +286918,8 @@ 0 0 0 +0 +2 1 0xca81 0x93ffe86d @@ -229542,6 +286928,8 @@ 1 0 0 +5 +5 4 0xca1a 0xee3df3bc @@ -229550,6 +286938,8 @@ 0 0 0 +3 +0 2 0x712a 0xb14eed75 @@ -229558,6 +286948,8 @@ 1 0 0 +5 +3 4 0x7213 0x173041a5 @@ -229567,6 +286959,8 @@ 1 0 2 +3 +2 0x49b4 0xaf9a76f1 256 @@ -229574,6 +286968,8 @@ 0 0 0 +3 +4 4 0x29dd 0x29c865dd @@ -229583,6 +286979,8 @@ 0 0 1 +4 +1 0x5d68 0x95b7df18 256 @@ -229590,6 +286988,8 @@ 1 0 0 +5 +5 4 0x97a3 0x1f0d8755 @@ -229598,6 +286998,8 @@ 0 0 0 +2 +2 1 0xb5d3 0x17a96e28 @@ -229607,6 +287009,8 @@ 0 0 1 +2 +1 0x2390 0x8c4d7aa9 256 @@ -229614,6 +287018,8 @@ 1 0 0 +0 +2 4 0xdb2e 0xc453c2f @@ -229622,6 +287028,8 @@ 1 1 0 +3 +3 2 0xcd52 0xd0aa00b5 @@ -229630,6 +287038,8 @@ 0 0 0 +0 +3 4 0xb213 0x15ae16ba @@ -229638,6 +287048,8 @@ 0 0 0 +3 +0 4 0x6bc5 0xa113a27f @@ -229646,6 +287058,8 @@ 0 0 0 +3 +1 1 0x7a5d 0x9122d9eb @@ -229654,6 +287068,8 @@ 1 1 0 +2 +1 4 0x9e33 0x98416450 @@ -229662,6 +287078,8 @@ 0 0 0 +1 +5 3 0x637 0xbc096cbf @@ -229670,6 +287088,8 @@ 0 0 0 +0 +2 2 0xcdcd 0x94822bf8 @@ -229678,6 +287098,8 @@ 0 0 0 +4 +1 1 0x4578 0x83074989 @@ -229686,6 +287108,8 @@ 0 0 0 +2 +0 1 0xac4e 0xb15bee0e @@ -229694,6 +287118,8 @@ 0 0 0 +0 +3 3 0xb637 0xd3f49791 @@ -229703,6 +287129,8 @@ 1 0 1 +2 +1 0x8e8d 0xf451e65b 256 @@ -229710,6 +287138,8 @@ 1 1 0 +2 +0 4 0xf01c 0x4ea01ccc @@ -229718,6 +287148,8 @@ 1 1 0 +5 +5 2 0x2326 0x214b5938 @@ -229726,6 +287158,8 @@ 0 0 0 +0 +3 2 0xa63c 0xa9fa2d15 @@ -229734,6 +287168,8 @@ 0 0 0 +1 +4 4 0xd9ea 0x2941e55c @@ -229743,6 +287179,8 @@ 0 0 2 +5 +2 0x1def 0x5c163366 256 @@ -229750,6 +287188,8 @@ 0 0 0 +5 +5 3 0x5ff8 0x573499b1 @@ -229758,6 +287198,8 @@ 0 0 0 +5 +5 2 0xfd25 0x67cae37e @@ -229766,6 +287208,8 @@ 0 0 0 +0 +3 1 0xa428 0x541a53be @@ -229774,6 +287218,8 @@ 0 0 0 +1 +5 2 0x7bcb 0xe91d379 @@ -229782,6 +287228,8 @@ 1 1 0 +1 +4 2 0x28e9 0xb1ff798b @@ -229790,6 +287238,8 @@ 0 0 0 +3 +3 2 0xa964 0x2d3c7fee @@ -229798,6 +287248,8 @@ 1 1 0 +0 +0 3 0x1c68 0x4a32b209 @@ -229806,6 +287258,8 @@ 0 0 0 +4 +4 3 0x3646 0x5ee16a1c @@ -229814,6 +287268,8 @@ 0 0 0 +1 +4 2 0x86ff 0x731229d5 @@ -229822,6 +287278,8 @@ 1 0 0 +4 +2 1 0xebe4 0xde6e0d9 @@ -229830,6 +287288,8 @@ 1 1 0 +5 +1 4 0xde7e 0x858b9703 @@ -229838,6 +287298,8 @@ 0 0 0 +4 +0 1 0xe693 0x4541797 @@ -229847,6 +287309,8 @@ 1 0 1 +3 +1 0x4 0xd516b7c2 256 @@ -229854,6 +287318,8 @@ 1 0 0 +5 +0 4 0xa7e5 0xc7cf38da @@ -229863,6 +287329,8 @@ 0 0 4 +4 +4 0x732b 0x612ca000 256 @@ -229870,6 +287338,8 @@ 1 1 0 +4 +0 3 0x8b9f 0x9b188fb0 @@ -229878,6 +287348,8 @@ 0 0 0 +5 +1 3 0x5aa4 0xafdbd38a @@ -229886,6 +287358,8 @@ 1 0 0 +4 +1 1 0x7b1d 0x1414f9c3 @@ -229895,6 +287369,8 @@ 0 0 1 +0 +1 0x42d3 0x6b397ea7 256 @@ -229902,6 +287378,8 @@ 1 0 0 +0 +5 4 0xf311 0x65795922 @@ -229910,6 +287388,8 @@ 1 1 0 +2 +5 1 0x7ef8 0xf68d92d7 @@ -229919,6 +287399,8 @@ 0 0 2 +1 +2 0xc8a7 0xcfe78b7e 256 @@ -229926,6 +287408,8 @@ 1 0 0 +1 +4 2 0x2286 0xc5266062 @@ -229934,6 +287418,8 @@ 0 0 0 +4 +5 3 0x782d 0x317bacf7 @@ -229942,6 +287428,8 @@ 0 0 0 +1 +5 2 0x36fb 0xed781549 @@ -229951,6 +287439,8 @@ 0 0 3 +2 +3 0x8da4 0xd42449a9 256 @@ -229958,6 +287448,8 @@ 1 1 0 +2 +1 4 0x1dee 0xd36c214f @@ -229966,6 +287458,8 @@ 0 0 0 +2 +4 4 0xf4f1 0x4dedd566 @@ -229975,6 +287469,8 @@ 0 0 1 +2 +1 0xda5e 0x849ec1f0 256 @@ -229982,6 +287478,8 @@ 1 0 0 +1 +3 2 0x98f3 0xb314df06 @@ -229991,6 +287489,8 @@ 0 0 2 +2 +2 0x3925 0xfc854f3 256 @@ -229998,6 +287498,8 @@ 1 1 0 +5 +2 2 0xdaf2 0x425fbb5d @@ -230006,6 +287508,8 @@ 0 0 0 +4 +5 1 0xb4e5 0xe762558 @@ -230014,6 +287518,8 @@ 0 0 0 +5 +4 1 0x340e 0xa3620849 @@ -230022,6 +287528,8 @@ 1 0 0 +5 +5 4 0xd9bf 0xdef869dc @@ -230030,6 +287538,8 @@ 1 1 0 +5 +1 2 0x1063 0xd90169bc @@ -230038,6 +287548,8 @@ 1 1 0 +0 +3 2 0x1be3 0x2917dad0 @@ -230046,6 +287558,8 @@ 1 0 0 +5 +3 2 0x9543 0xaa45804b @@ -230054,6 +287568,8 @@ 1 0 0 +4 +5 3 0x8b64 0x92beb301 @@ -230063,6 +287579,8 @@ 0 0 1 +0 +1 0xc197 0xa415f1e6 256 @@ -230070,6 +287588,8 @@ 0 0 0 +4 +3 3 0x73ad 0xcf860563 @@ -230078,6 +287598,8 @@ 0 0 0 +5 +5 3 0xf61a 0x35c39adf @@ -230087,6 +287609,8 @@ 0 0 1 +2 +1 0xdd3b 0x4ee45ae2 256 @@ -230094,6 +287618,8 @@ 1 1 0 +3 +3 4 0x5691 0x5eb3dccb @@ -230102,6 +287628,8 @@ 0 0 0 +5 +1 2 0xb87b 0x501651a2 @@ -230110,6 +287638,8 @@ 0 0 0 +5 +0 3 0xd832 0xdae2714d @@ -230119,6 +287649,8 @@ 0 0 3 +0 +3 0x8893 0xf8d8eeec 256 @@ -230126,6 +287658,8 @@ 1 1 0 +3 +0 2 0x2e20 0x414f198d @@ -230134,6 +287668,8 @@ 0 0 0 +5 +3 4 0x3b81 0xa7627f04 @@ -230143,6 +287679,8 @@ 0 0 3 +0 +3 0xca3f 0x8aa3eb99 256 @@ -230150,6 +287688,8 @@ 0 0 0 +3 +0 4 0xee4e 0xdd9c72f4 @@ -230158,6 +287698,8 @@ 1 0 0 +1 +4 2 0x9781 0xf7790b0f @@ -230166,6 +287708,8 @@ 1 0 0 +4 +5 1 0xc480 0x21e32798 @@ -230174,6 +287718,8 @@ 1 1 0 +0 +2 4 0xedb1 0x18c27f61 @@ -230182,6 +287728,8 @@ 1 0 0 +3 +1 2 0xc208 0x6a85e67c @@ -230191,6 +287739,8 @@ 0 0 2 +3 +2 0xbcf2 0xf9f572c0 256 @@ -230199,6 +287749,8 @@ 0 0 2 +4 +2 0xc1ce 0xb7911e81 256 @@ -230206,6 +287758,8 @@ 1 0 0 +1 +4 4 0xa75f 0x5ea2b45d @@ -230214,6 +287768,8 @@ 0 0 0 +5 +4 4 0xbb6d 0x8fb199a9 @@ -230222,6 +287778,8 @@ 0 0 0 +4 +5 1 0xb559 0x4866fa1e @@ -230230,6 +287788,8 @@ 1 0 0 +5 +4 4 0xf528 0xfe7735aa @@ -230238,6 +287798,8 @@ 0 0 0 +5 +2 2 0xa2c2 0x2e42311d @@ -230246,6 +287808,8 @@ 0 0 0 +2 +1 1 0x9af3 0x8b135915 @@ -230254,6 +287818,8 @@ 0 0 0 +0 +0 2 0x2408 0xc736432 @@ -230262,6 +287828,8 @@ 0 0 0 +0 +2 3 0x34d7 0xebad636 @@ -230271,6 +287839,8 @@ 0 0 1 +5 +1 0xf814 0x22752dec 256 @@ -230278,6 +287848,8 @@ 0 0 0 +1 +4 4 0xcd00 0x42509abb @@ -230286,6 +287858,8 @@ 0 0 0 +5 +4 3 0x2416 0xf339eb1b @@ -230294,6 +287868,8 @@ 0 0 0 +3 +2 2 0x58fd 0x99219cbb @@ -230302,6 +287878,8 @@ 0 0 0 +1 +1 3 0xa92d 0x90c90ce1 @@ -230310,6 +287888,8 @@ 0 0 0 +1 +3 3 0xaece 0x2628aa84 @@ -230318,6 +287898,8 @@ 1 0 0 +2 +0 3 0x3459 0xf5a4502f @@ -230326,6 +287908,8 @@ 0 0 0 +4 +1 3 0x81b4 0x99b8fb93 @@ -230334,6 +287918,8 @@ 0 0 0 +2 +0 4 0x2dd6 0xf0ca7b2c @@ -230343,6 +287929,8 @@ 1 0 4 +5 +4 0x424f 0xeb6c15ee 256 @@ -230350,6 +287938,8 @@ 1 1 0 +0 +5 4 0xbf51 0xca57b874 @@ -230358,6 +287948,8 @@ 0 0 0 +0 +1 2 0xd1dd 0x8a101921 @@ -230366,6 +287958,8 @@ 0 0 0 +1 +5 4 0x7d02 0x76caf409 @@ -230374,6 +287968,8 @@ 0 0 0 +0 +1 3 0xe2d5 0x309a1938 @@ -230382,6 +287978,8 @@ 0 0 0 +0 +5 1 0xc5a 0x6b28c121 @@ -230391,6 +287989,8 @@ 0 0 4 +4 +4 0xea49 0x3e001f81 256 @@ -230398,6 +287998,8 @@ 1 1 0 +1 +5 3 0xfd7b 0xfb3cd039 @@ -230406,6 +288008,8 @@ 1 1 0 +0 +4 1 0x86a4 0x10c97d41 @@ -230414,6 +288018,8 @@ 1 0 0 +3 +3 1 0x56f4 0x65c7a9bc @@ -230422,6 +288028,8 @@ 1 1 0 +3 +2 4 0x97f 0xf48447d4 @@ -230430,6 +288038,8 @@ 0 0 0 +1 +0 2 0x4b96 0x95e683a9 @@ -230439,6 +288049,8 @@ 0 0 2 +0 +2 0x254 0x7e10cd2e 256 @@ -230447,6 +288059,8 @@ 0 0 3 +3 +3 0x3a06 0xe7a8f653 256 @@ -230454,6 +288068,8 @@ 1 0 0 +0 +4 4 0x5c9a 0xf83ea926 @@ -230462,6 +288078,8 @@ 1 1 0 +0 +3 3 0x4275 0xb247306b @@ -230470,6 +288088,8 @@ 1 1 0 +2 +2 1 0x7745 0x467b1844 @@ -230478,6 +288098,8 @@ 0 0 0 +0 +0 4 0xd688 0xc81c7769 @@ -230486,6 +288108,8 @@ 1 0 0 +0 +5 2 0xda61 0x19bba556 @@ -230494,6 +288118,8 @@ 0 0 0 +5 +2 2 0xd4bf 0x3f736f52 @@ -230502,6 +288128,8 @@ 0 0 0 +3 +4 4 0xe9a0 0xb5c7e5ed @@ -230511,6 +288139,8 @@ 1 0 3 +5 +3 0xec77 0xa66e9217 256 @@ -230518,6 +288148,8 @@ 1 0 0 +5 +0 4 0x53ba 0x740c5e35 @@ -230527,6 +288159,8 @@ 0 0 3 +2 +3 0xf621 0x7dee3716 256 @@ -230534,6 +288168,8 @@ 0 0 0 +2 +3 3 0x10d5 0xe305963f @@ -230542,6 +288178,8 @@ 0 0 0 +2 +4 3 0xe42f 0x1bff21c9 @@ -230551,6 +288189,8 @@ 0 0 2 +3 +2 0xbe06 0x38fb1ed7 256 @@ -230558,6 +288198,8 @@ 0 0 0 +0 +2 4 0xead0 0xa0cab66f @@ -230566,6 +288208,8 @@ 0 0 0 +2 +5 4 0x790f 0xff538b69 @@ -230574,6 +288218,8 @@ 0 0 0 +4 +0 2 0xca6e 0xd7c90759 @@ -230582,6 +288228,8 @@ 0 0 0 +4 +4 1 0x9d3f 0xef8491fb @@ -230590,6 +288238,8 @@ 0 0 0 +1 +3 3 0xf2ba 0x73747f5c @@ -230598,6 +288248,8 @@ 1 1 0 +4 +3 3 0x38c5 0xba24dcd1 @@ -230606,6 +288258,8 @@ 1 0 0 +4 +3 3 0xf4b9 0x6669fd07 @@ -230614,6 +288268,8 @@ 0 0 0 +0 +2 2 0x1903 0x44f9eced @@ -230623,6 +288279,8 @@ 1 0 4 +5 +4 0x4892 0x87b4f62c 256 @@ -230630,6 +288288,8 @@ 1 0 0 +2 +2 3 0xa49c 0xe5847b15 @@ -230639,6 +288299,8 @@ 0 0 3 +0 +3 0xa61c 0x513a8c76 256 @@ -230646,6 +288308,8 @@ 1 0 0 +4 +1 1 0x28f9 0xfbf429b9 @@ -230654,6 +288318,8 @@ 1 0 0 +1 +0 2 0x5484 0xa155fb9 @@ -230662,6 +288328,8 @@ 1 1 0 +5 +2 3 0xa3cc 0x2c9cf6b9 @@ -230670,6 +288338,8 @@ 0 0 0 +3 +4 1 0x863b 0x51adb5b8 @@ -230679,6 +288349,8 @@ 0 0 3 +3 +3 0xb055 0x36c3567b 256 @@ -230686,6 +288358,8 @@ 1 1 0 +0 +4 2 0x59f0 0x5d3d3832 @@ -230694,6 +288368,8 @@ 1 1 0 +3 +1 1 0x5305 0x5a3b284d @@ -230702,6 +288378,8 @@ 0 0 0 +5 +4 2 0x2a81 0x4382d725 @@ -230710,6 +288388,8 @@ 0 0 0 +5 +5 2 0xfbbb 0xcfb53311 @@ -230719,6 +288399,8 @@ 0 0 3 +5 +3 0x6eed 0x94dbef50 256 @@ -230726,6 +288408,8 @@ 0 0 0 +4 +4 2 0xa3dc 0x74e4341a @@ -230734,6 +288418,8 @@ 0 0 0 +2 +3 3 0x1444 0x4fd3d701 @@ -230742,6 +288428,8 @@ 1 1 0 +5 +2 1 0x4b94 0xc30588ef @@ -230750,6 +288438,8 @@ 1 1 0 +5 +5 4 0xe026 0xafaf1c6c @@ -230758,6 +288448,8 @@ 1 1 0 +2 +5 4 0x663b 0x56967c92 @@ -230766,6 +288458,8 @@ 1 0 0 +2 +2 4 0xd4ac 0xb293ab71 @@ -230774,6 +288468,8 @@ 1 0 0 +3 +0 1 0x61ff 0x54f57be9 @@ -230782,6 +288478,8 @@ 0 0 0 +3 +2 4 0x2ff6 0x1f15ab00 @@ -230790,6 +288488,8 @@ 0 0 0 +3 +1 1 0x41b 0x5209ae5 @@ -230798,6 +288498,8 @@ 1 0 0 +4 +0 1 0x2b27 0xbc046333 @@ -230806,6 +288508,8 @@ 0 0 0 +0 +3 3 0xe87d 0xffef5c60 @@ -230814,6 +288518,8 @@ 0 0 0 +2 +2 4 0x62cb 0xdbafae6c @@ -230822,6 +288528,8 @@ 1 0 0 +5 +3 1 0x8c6f 0xba385660 @@ -230830,6 +288538,8 @@ 1 0 0 +4 +3 2 0xa883 0x6d69b467 @@ -230839,6 +288549,8 @@ 0 0 3 +5 +3 0x7ee5 0x7ff7457b 256 @@ -230846,6 +288558,8 @@ 1 0 0 +4 +4 2 0xd81 0x2e226e2e @@ -230855,6 +288569,8 @@ 1 0 1 +1 +1 0x1ed5 0x22b224d8 256 @@ -230862,6 +288578,8 @@ 0 0 0 +0 +0 3 0xe89b 0x19e5e9b5 @@ -230870,6 +288588,8 @@ 0 0 0 +4 +1 1 0xe8fd 0xaff34ce @@ -230879,6 +288599,8 @@ 0 0 1 +0 +1 0x676f 0xc758f9e6 256 @@ -230886,6 +288608,8 @@ 0 0 0 +1 +5 2 0x8e74 0x867517a @@ -230894,6 +288618,8 @@ 1 0 0 +5 +4 2 0x2060 0x195d0169 @@ -230903,6 +288629,8 @@ 0 0 1 +4 +1 0x611a 0xfbee16a0 256 @@ -230910,6 +288638,8 @@ 1 1 0 +3 +4 4 0xf44e 0x9c3fd3db @@ -230918,6 +288648,8 @@ 0 0 0 +0 +4 3 0x6263 0x8968fc6c @@ -230927,6 +288659,8 @@ 0 0 2 +0 +2 0xaa36 0x9b1b1ad2 256 @@ -230934,6 +288668,8 @@ 0 0 0 +0 +2 1 0x5b02 0x50876eb0 @@ -230942,6 +288678,8 @@ 1 0 0 +0 +1 3 0x98fc 0xea3f3bf6 @@ -230950,6 +288688,8 @@ 1 0 0 +3 +1 2 0xd524 0x877aaa52 @@ -230958,6 +288698,8 @@ 1 1 0 +0 +1 3 0xaddf 0xaebb497 @@ -230966,6 +288708,8 @@ 1 0 0 +5 +2 1 0x3764 0x757f2607 @@ -230975,6 +288719,8 @@ 0 0 3 +5 +3 0xd3c6 0xb31f93aa 256 @@ -230982,6 +288728,8 @@ 1 0 0 +5 +5 1 0xfa1a 0x3b1dc21b @@ -230990,6 +288738,8 @@ 0 0 0 +2 +1 4 0x53cf 0x8b863698 @@ -230998,6 +288748,8 @@ 0 0 0 +1 +4 2 0x310b 0xddff6622 @@ -231006,6 +288758,8 @@ 1 0 0 +5 +1 2 0x3a94 0x73840c96 @@ -231014,6 +288768,8 @@ 0 0 0 +5 +2 3 0xb753 0xbea1fe30 @@ -231023,6 +288779,8 @@ 0 0 4 +1 +4 0x5ea6 0x52aabcb 256 @@ -231030,6 +288788,8 @@ 0 0 0 +3 +5 4 0x29b 0x3c4c8f7f @@ -231038,6 +288798,8 @@ 0 0 0 +3 +2 4 0x429f 0x5b20c29d @@ -231046,6 +288808,8 @@ 0 0 0 +5 +1 4 0xe07 0xb4523193 @@ -231054,6 +288818,8 @@ 0 0 0 +5 +1 1 0xe959 0x655c0e43 @@ -231063,6 +288829,8 @@ 0 0 3 +2 +3 0xeccb 0xc9170d73 256 @@ -231070,6 +288838,8 @@ 0 0 0 +4 +3 1 0x122 0x866da5d7 @@ -231078,6 +288848,8 @@ 1 1 0 +3 +1 2 0xb0bd 0xee9c5e79 @@ -231086,6 +288858,8 @@ 1 0 0 +3 +0 2 0x4b1a 0x79f8ea9b @@ -231094,6 +288868,8 @@ 1 0 0 +4 +3 3 0x44d0 0x78d2f846 @@ -231103,6 +288879,8 @@ 0 0 1 +4 +1 0xd298 0xd9b7f915 256 @@ -231110,6 +288888,8 @@ 1 0 0 +3 +5 1 0x2b64 0xfaeb520e @@ -231119,6 +288899,8 @@ 0 0 2 +5 +2 0x5f35 0x62243ff 256 @@ -231126,6 +288908,8 @@ 0 0 0 +0 +0 1 0xeaca 0x7168fe5a @@ -231134,6 +288918,8 @@ 0 0 0 +2 +4 4 0x5aaf 0x5b62d87d @@ -231142,6 +288928,8 @@ 0 0 0 +5 +3 3 0x571a 0x40b101c @@ -231150,6 +288938,8 @@ 0 0 0 +1 +3 2 0x6d88 0x18556030 @@ -231158,6 +288948,8 @@ 0 0 0 +2 +5 1 0xa369 0xb3a84152 @@ -231167,6 +288959,8 @@ 0 0 1 +0 +1 0x7abf 0x571079bd 256 @@ -231174,6 +288968,8 @@ 1 0 0 +4 +4 3 0xbdd6 0xe19744c9 @@ -231182,6 +288978,8 @@ 1 1 0 +4 +3 3 0xfa54 0xeb97e7c1 @@ -231190,6 +288988,8 @@ 1 1 0 +3 +5 2 0xe629 0x30bce5f2 @@ -231198,6 +288998,8 @@ 0 0 0 +2 +1 3 0xf737 0x3a04b04d @@ -231206,6 +289008,8 @@ 1 0 0 +0 +4 3 0x18e3 0x79f3736b @@ -231214,6 +289018,8 @@ 0 0 0 +3 +1 4 0xd77f 0x49481bdb @@ -231222,6 +289028,8 @@ 0 0 0 +3 +0 2 0x2fa5 0x3d26da16 @@ -231230,6 +289038,8 @@ 0 0 0 +3 +5 1 0x1d64 0xc631befb @@ -231238,6 +289048,8 @@ 0 0 0 +5 +3 2 0xefd0 0x44f7b1f5 @@ -231246,6 +289058,8 @@ 0 0 0 +3 +0 1 0xd444 0xe9c36cd5 @@ -231254,6 +289068,8 @@ 0 0 0 +1 +3 2 0xbe01 0x3fe73f32 @@ -231262,6 +289078,8 @@ 0 0 0 +0 +3 4 0x536b 0x33b6a060 @@ -231270,6 +289088,8 @@ 1 0 0 +5 +4 2 0x4d5b 0x26cee9e4 @@ -231278,6 +289098,8 @@ 1 0 0 +4 +5 1 0xa1b9 0x45e98d1 @@ -231286,6 +289108,8 @@ 1 1 0 +5 +5 2 0xd84e 0xb5600ccf @@ -231294,6 +289118,8 @@ 1 0 0 +2 +4 3 0xbdd6 0xd9888618 @@ -231302,6 +289128,8 @@ 1 1 0 +0 +5 3 0xfa00 0xc9bb6bb3 @@ -231310,6 +289138,8 @@ 1 1 0 +5 +0 4 0x579a 0xe7a31ea5 @@ -231318,6 +289148,8 @@ 0 0 0 +4 +5 2 0x7066 0x1bb5296e @@ -231327,6 +289159,8 @@ 0 0 3 +1 +3 0xdcf0 0xbff7513e 256 @@ -231334,6 +289168,8 @@ 1 0 0 +2 +4 1 0x5e62 0xeef020fa @@ -231343,6 +289179,8 @@ 1 0 2 +3 +2 0x6478 0xdafb2415 256 @@ -231351,6 +289189,8 @@ 0 0 4 +0 +4 0xe60d 0x3c92af03 256 @@ -231358,6 +289198,8 @@ 1 0 0 +4 +5 1 0x409d 0x53fabc4e @@ -231366,6 +289208,8 @@ 1 0 0 +0 +5 3 0x86c6 0x57bd3ec @@ -231374,6 +289218,8 @@ 1 0 0 +2 +0 3 0xad04 0xc4bea509 @@ -231382,6 +289228,8 @@ 0 0 0 +5 +3 2 0xa644 0xfa5e7e9d @@ -231391,6 +289239,8 @@ 0 0 4 +3 +4 0xa5ae 0xb7341326 256 @@ -231398,6 +289248,8 @@ 1 1 0 +3 +4 4 0xf536 0x15b3091d @@ -231406,6 +289258,8 @@ 0 0 0 +0 +5 1 0x3ae0 0x2f79e712 @@ -231414,6 +289268,8 @@ 0 0 0 +4 +4 2 0xe896 0x77263157 @@ -231422,6 +289278,8 @@ 0 0 0 +3 +0 2 0x403c 0x2c933d71 @@ -231430,6 +289288,8 @@ 0 0 0 +2 +1 4 0x2591 0xb9ed8263 @@ -231438,6 +289298,8 @@ 0 0 0 +4 +1 2 0xa651 0xb821721e @@ -231446,6 +289308,8 @@ 1 1 0 +4 +2 2 0xea92 0xb713c39e @@ -231454,6 +289318,8 @@ 0 0 0 +5 +1 4 0x3c68 0xc925a836 @@ -231462,6 +289328,8 @@ 1 0 0 +5 +2 3 0xfb43 0xe8e28bf2 @@ -231470,6 +289338,8 @@ 0 0 0 +2 +4 4 0x380c 0xe9d816d1 @@ -231478,6 +289348,8 @@ 1 0 0 +2 +5 1 0xee4e 0xa6c25d25 @@ -231486,6 +289358,8 @@ 0 0 0 +0 +1 3 0x5a35 0x2903b306 @@ -231494,6 +289368,8 @@ 1 0 0 +0 +4 2 0x3410 0xec7c3f12 @@ -231502,6 +289378,8 @@ 1 0 0 +5 +0 3 0x94a3 0x9fa8b1f1 @@ -231510,6 +289388,8 @@ 0 0 0 +4 +2 2 0x368e 0xb6b17e1c @@ -231518,6 +289398,8 @@ 1 1 0 +5 +1 1 0xd23b 0x665a464 @@ -231526,6 +289408,8 @@ 1 0 0 +0 +3 4 0x4d58 0x541e960c @@ -231534,6 +289418,8 @@ 1 1 0 +2 +2 3 0x432a 0x462e5d41 @@ -231542,6 +289428,8 @@ 1 1 0 +4 +5 3 0xcac4 0x8b0a289c @@ -231550,6 +289438,8 @@ 1 1 0 +5 +1 3 0xff5b 0xb2d2390e @@ -231558,6 +289448,8 @@ 1 1 0 +3 +2 2 0xfdc0 0x201a6282 @@ -231566,6 +289458,8 @@ 1 1 0 +3 +5 1 0x52bb 0x70efbddf @@ -231575,6 +289469,8 @@ 1 0 2 +5 +2 0xe2fa 0x9a122059 256 @@ -231582,6 +289478,8 @@ 1 0 0 +3 +4 2 0xf3e4 0xed38584b @@ -231590,6 +289488,8 @@ 1 1 0 +4 +1 1 0xad04 0x117deb20 @@ -231598,6 +289498,8 @@ 0 0 0 +3 +5 2 0x295b 0x9579a387 @@ -231606,6 +289508,8 @@ 0 0 0 +3 +4 2 0x9dc2 0x5e235daa @@ -231614,6 +289518,8 @@ 0 0 0 +0 +1 2 0x6f8f 0x6b8b63e6 @@ -231622,6 +289528,8 @@ 0 0 0 +5 +3 2 0x87d4 0x4f947f88 @@ -231630,6 +289538,8 @@ 0 0 0 +2 +1 1 0x6203 0x9d79b9f2 @@ -231639,6 +289549,8 @@ 0 0 4 +5 +4 0x2832 0xe156b39d 256 @@ -231646,6 +289558,8 @@ 0 0 0 +0 +5 2 0x691b 0xab5bb97a @@ -231654,6 +289568,8 @@ 0 0 0 +5 +3 2 0x13f8 0x1cbf37cc @@ -231663,6 +289579,8 @@ 0 0 4 +3 +4 0xbb8f 0x248798ad 256 @@ -231670,6 +289588,8 @@ 1 0 0 +4 +2 3 0x86c8 0x722f75f1 @@ -231678,6 +289598,8 @@ 1 1 0 +3 +5 4 0x6c09 0xe2088375 @@ -231686,6 +289608,8 @@ 1 0 0 +0 +2 3 0x8190 0xdee18935 @@ -231694,6 +289618,8 @@ 0 0 0 +5 +3 4 0x73c2 0xb2195137 @@ -231703,6 +289629,8 @@ 0 0 2 +5 +2 0x1885 0xafc4737a 256 @@ -231711,6 +289639,8 @@ 0 0 4 +3 +4 0xa937 0xe65171fe 256 @@ -231718,6 +289648,8 @@ 1 0 0 +3 +5 2 0x3b41 0xa5855e15 @@ -231726,6 +289658,8 @@ 0 0 0 +0 +3 2 0xeded 0x2b587c44 @@ -231734,6 +289668,8 @@ 0 0 0 +1 +4 3 0x8f9 0x47746ee4 @@ -231742,6 +289678,8 @@ 1 1 0 +2 +2 3 0x465 0x5ce2915a @@ -231750,6 +289688,8 @@ 1 0 0 +5 +3 3 0xa63e 0x3949c426 @@ -231758,6 +289698,8 @@ 0 0 0 +3 +3 4 0xadce 0x79963db1 @@ -231766,6 +289708,8 @@ 0 0 0 +1 +0 3 0xe7c4 0x8f957ee6 @@ -231774,6 +289718,8 @@ 1 1 0 +0 +5 2 0xafeb 0x8d382728 @@ -231782,6 +289728,8 @@ 0 0 0 +3 +4 2 0xbcb6 0x67a9acee @@ -231791,6 +289739,8 @@ 0 0 2 +5 +2 0x7d09 0xfe5eb21 256 @@ -231798,6 +289748,8 @@ 0 0 0 +4 +3 1 0x30da 0xd14e96da @@ -231806,6 +289758,8 @@ 1 1 0 +5 +4 1 0x9dc5 0x41c9c98b @@ -231815,6 +289769,8 @@ 0 0 2 +2 +2 0xa4cb 0x21c9238b 256 @@ -231822,6 +289778,8 @@ 0 0 0 +5 +5 4 0xbc45 0xc13d55f @@ -231830,6 +289788,8 @@ 0 0 0 +0 +1 3 0xffb2 0x3d4c11c4 @@ -231838,6 +289798,8 @@ 0 0 0 +2 +3 4 0x44b0 0x5587cfb @@ -231846,6 +289808,8 @@ 1 1 0 +5 +1 3 0xabdb 0x5c2d8936 @@ -231854,6 +289818,8 @@ 0 0 0 +4 +1 2 0x626c 0x732114fb @@ -231862,6 +289828,8 @@ 0 0 0 +2 +5 3 0xc19d 0x41c41375 @@ -231870,6 +289838,8 @@ 1 0 0 +5 +2 4 0xbcde 0xb6cd72f5 @@ -231878,6 +289848,8 @@ 0 0 0 +5 +2 1 0xfdc9 0x75d0a33f @@ -231886,6 +289858,8 @@ 1 1 0 +0 +2 2 0xef9d 0x52c5096e @@ -231894,6 +289868,8 @@ 0 0 0 +3 +4 4 0x9d5a 0x7e007e11 @@ -231902,6 +289878,8 @@ 1 1 0 +3 +5 2 0xba91 0x50778e02 @@ -231910,6 +289888,8 @@ 1 0 0 +5 +0 2 0x848e 0xf9d209ce @@ -231918,6 +289898,8 @@ 0 0 0 +4 +1 3 0x8203 0x8ec806b5 @@ -231926,6 +289908,8 @@ 1 1 0 +5 +0 2 0xc43e 0x513d160f @@ -231934,6 +289918,8 @@ 1 1 0 +5 +1 2 0xcad0 0x6869ed9 @@ -231943,6 +289929,8 @@ 0 0 4 +1 +4 0x3422 0x977220fa 256 @@ -231950,6 +289938,8 @@ 1 0 0 +1 +3 2 0x979c 0xa65627d3 @@ -231958,6 +289948,8 @@ 0 0 0 +1 +0 4 0xaf04 0x6278f37b @@ -231966,6 +289958,8 @@ 1 1 0 +1 +5 3 0xded 0xbdafe04e @@ -231974,6 +289968,8 @@ 1 1 0 +5 +3 2 0x85ba 0x60ea4dca @@ -231982,6 +289978,8 @@ 0 0 0 +0 +2 2 0x1603 0x546af8a4 @@ -231990,6 +289988,8 @@ 1 1 0 +0 +1 4 0x70c4 0x46bd77f4 @@ -231998,6 +289998,8 @@ 0 0 0 +0 +3 1 0x64f6 0x2078f39 @@ -232006,6 +290008,8 @@ 0 0 0 +0 +4 2 0x7276 0x3b2dd9b2 @@ -232014,6 +290018,8 @@ 1 1 0 +0 +2 1 0x6111 0x62841ed @@ -232022,6 +290028,8 @@ 0 0 0 +0 +3 1 0xba80 0xc0cabf1c @@ -232030,6 +290038,8 @@ 0 0 0 +4 +2 2 0x5191 0x8877f473 @@ -232038,6 +290048,8 @@ 0 0 0 +2 +1 4 0x40af 0xb0c1534b @@ -232046,6 +290058,8 @@ 1 1 0 +2 +5 1 0x81c2 0x34db64b4 @@ -232054,6 +290068,8 @@ 1 0 0 +4 +4 3 0x1acb 0xe998aef7 @@ -232062,6 +290078,8 @@ 0 0 0 +5 +5 3 0xbb9f 0xc55009c8 @@ -232070,6 +290088,8 @@ 1 0 0 +0 +2 3 0x1b51 0xd7a8e12d @@ -232078,6 +290098,8 @@ 1 0 0 +3 +1 1 0xbf0a 0xd15cddc9 @@ -232086,6 +290108,8 @@ 0 0 0 +0 +1 1 0xa9c5 0xbfbdeb8c @@ -232094,6 +290118,8 @@ 0 0 0 +4 +3 3 0xfddf 0x3010a9d7 @@ -232102,6 +290128,8 @@ 1 1 0 +2 +0 1 0xa5dc 0xfe985d78 @@ -232110,6 +290138,8 @@ 0 0 0 +2 +4 4 0x69c4 0x34a28494 @@ -232118,6 +290148,8 @@ 1 0 0 +0 +1 3 0x691a 0x689d1a3f @@ -232126,6 +290158,8 @@ 0 0 0 +1 +4 4 0xaf81 0xfdc21419 @@ -232135,6 +290169,8 @@ 0 0 2 +4 +2 0x250d 0x14036db9 256 @@ -232142,6 +290178,8 @@ 1 1 0 +0 +1 2 0xbd7c 0x13b56ded @@ -232150,6 +290188,8 @@ 0 0 0 +0 +4 1 0x87e2 0xb4605d9f @@ -232158,6 +290198,8 @@ 0 0 0 +0 +2 1 0xdf8d 0x629cd377 @@ -232166,6 +290208,8 @@ 0 0 0 +4 +5 1 0x7187 0x26243e70 @@ -232174,6 +290218,8 @@ 1 1 0 +5 +0 1 0xd904 0x90af7c30 @@ -232182,6 +290228,8 @@ 1 0 0 +2 +0 1 0xd769 0x351bc6e9 @@ -232190,6 +290238,8 @@ 0 0 0 +0 +3 1 0x6f18 0x805ae5b1 @@ -232198,6 +290248,8 @@ 0 0 0 +3 +1 4 0x144 0x6c8e789d @@ -232207,6 +290259,8 @@ 0 0 1 +1 +1 0x3261 0xd693a280 256 @@ -232214,6 +290268,8 @@ 0 0 0 +0 +0 2 0x6e4a 0xf03a6806 @@ -232222,6 +290278,8 @@ 1 1 0 +1 +5 4 0x8156 0x204c058d @@ -232230,6 +290288,8 @@ 0 0 0 +2 +2 3 0x93d1 0xbd3851e @@ -232238,6 +290298,8 @@ 0 0 0 +2 +2 4 0xa17b 0x4334751d @@ -232246,6 +290308,8 @@ 1 0 0 +4 +1 2 0x8c85 0xc9aba7e3 @@ -232254,6 +290318,8 @@ 1 0 0 +4 +5 2 0xe78f 0xd606e20c @@ -232263,6 +290329,8 @@ 1 0 2 +4 +2 0xf992 0x635c4257 256 @@ -232270,6 +290338,8 @@ 0 0 0 +4 +2 2 0x7862 0x35f206b7 @@ -232278,6 +290348,8 @@ 0 0 0 +4 +5 1 0xb04f 0xbb19bd40 @@ -232286,6 +290358,8 @@ 0 0 0 +0 +0 2 0x65f7 0x43c40821 @@ -232294,6 +290368,8 @@ 0 0 0 +1 +1 3 0x8796 0x53ce5fa1 @@ -232302,6 +290378,8 @@ 1 0 0 +4 +2 1 0xbf37 0x3298e4ac @@ -232311,6 +290389,8 @@ 0 0 3 +1 +3 0x69f2 0x727a5699 256 @@ -232318,6 +290398,8 @@ 0 0 0 +0 +3 4 0x148a 0x8e025a3 @@ -232326,6 +290408,8 @@ 0 0 0 +0 +0 4 0x35f7 0x4b03b932 @@ -232335,6 +290419,8 @@ 0 0 1 +5 +1 0xb865 0x5b3114fa 256 @@ -232342,6 +290428,8 @@ 1 1 0 +2 +1 3 0x1f7f 0xb5bd4bef @@ -232350,6 +290438,8 @@ 0 0 0 +2 +5 3 0x72b9 0x5c209de0 @@ -232359,6 +290449,8 @@ 0 0 4 +0 +4 0x68df 0xd1296ba2 256 @@ -232367,6 +290459,8 @@ 0 0 2 +4 +2 0x7e89 0xda26a483 256 @@ -232374,6 +290468,8 @@ 0 0 0 +1 +2 3 0x7bad 0xa2edf950 @@ -232382,6 +290478,8 @@ 0 0 0 +4 +2 2 0xd344 0x5b1bfe07 @@ -232390,6 +290488,8 @@ 0 0 0 +5 +0 2 0x707f 0xee1b8701 @@ -232398,6 +290498,8 @@ 1 0 0 +3 +0 4 0x5d11 0x654dc425 @@ -232406,6 +290508,8 @@ 1 0 0 +1 +1 4 0xc1e4 0x5cf3f951 @@ -232415,6 +290519,8 @@ 1 0 4 +5 +4 0xfc2f 0x3c7bbcc0 256 @@ -232422,6 +290528,8 @@ 0 0 0 +5 +2 2 0x2cfb 0xcbc1a5fb @@ -232431,6 +290539,8 @@ 1 0 3 +5 +3 0xeac3 0x681ded31 256 @@ -232438,6 +290548,8 @@ 1 0 0 +2 +2 3 0x11e8 0x393351e @@ -232447,6 +290559,8 @@ 1 0 2 +1 +2 0x3994 0xad1da8d0 256 @@ -232454,6 +290568,8 @@ 1 1 0 +1 +3 2 0xe427 0x64a44aa6 @@ -232462,6 +290578,8 @@ 1 0 0 +0 +4 1 0xf902 0x56856ef @@ -232470,6 +290588,8 @@ 0 0 0 +1 +3 3 0x39b5 0x1cfe3ad8 @@ -232478,6 +290598,8 @@ 1 1 0 +2 +0 3 0x28b7 0xc273b3f7 @@ -232487,6 +290609,8 @@ 0 0 2 +5 +2 0xa7d3 0xaa06e915 256 @@ -232494,6 +290618,8 @@ 0 0 0 +5 +5 3 0x9397 0xa4fdf94c @@ -232502,6 +290628,8 @@ 1 1 0 +2 +5 3 0x376 0x19ea8442 @@ -232510,6 +290638,8 @@ 0 0 0 +3 +0 1 0x62c2 0xdc58f22e @@ -232518,6 +290648,8 @@ 0 0 0 +5 +3 4 0x86b7 0xaff2d981 @@ -232526,6 +290658,8 @@ 0 0 0 +3 +3 4 0xe338 0xccd56d0d @@ -232534,6 +290668,8 @@ 0 0 0 +3 +2 4 0x24dc 0x5b24a448 @@ -232542,6 +290678,8 @@ 0 0 0 +0 +0 4 0x2b05 0x1d4fa8be @@ -232550,6 +290688,8 @@ 0 0 0 +1 +1 3 0xbef3 0xc6b5c091 @@ -232558,6 +290698,8 @@ 0 0 0 +0 +3 3 0xb275 0x57edb3e @@ -232566,6 +290708,8 @@ 1 1 0 +3 +1 1 0x70c1 0x53a11564 @@ -232575,6 +290719,8 @@ 1 0 3 +1 +3 0x2e2e 0x22a0e9a0 256 @@ -232582,6 +290728,8 @@ 1 1 0 +3 +1 4 0x3c7f 0x61a09f7 @@ -232590,6 +290738,8 @@ 0 0 0 +1 +4 2 0x4adc 0x5d1c4748 @@ -232598,6 +290748,8 @@ 1 0 0 +4 +4 3 0x80c5 0x3e30f410 @@ -232606,6 +290758,8 @@ 0 0 0 +4 +2 1 0xaf7a 0x81de7cf6 @@ -232614,6 +290768,8 @@ 1 0 0 +2 +3 4 0xdac8 0xf9d828c8 @@ -232622,6 +290778,8 @@ 1 1 0 +3 +2 1 0x9853 0x460fd175 @@ -232630,6 +290788,8 @@ 0 0 0 +1 +0 3 0x7dcf 0x8148a2ed @@ -232638,6 +290798,8 @@ 1 0 0 +0 +4 2 0xbacf 0xe02d198b @@ -232646,6 +290808,8 @@ 1 0 0 +0 +4 2 0xabaf 0xe6e0dede @@ -232654,6 +290818,8 @@ 0 0 0 +3 +2 1 0x1943 0xb17be76a @@ -232662,6 +290828,8 @@ 0 0 0 +2 +3 1 0xda79 0x2e11b11a @@ -232670,6 +290838,8 @@ 0 0 0 +5 +2 3 0x21b4 0x4f927af4 @@ -232678,6 +290848,8 @@ 0 0 0 +2 +0 1 0xbf07 0xe73e10c7 @@ -232686,6 +290858,8 @@ 1 1 0 +3 +4 4 0x7b5d 0x8b9b1ebc @@ -232695,6 +290869,8 @@ 0 0 3 +1 +3 0x760f 0x1c5cc068 256 @@ -232702,6 +290878,8 @@ 0 0 0 +3 +2 2 0x6c2c 0x2aa8a3de @@ -232710,6 +290888,8 @@ 0 0 0 +5 +2 1 0x17d4 0xebfa8b5c @@ -232718,6 +290898,8 @@ 0 0 0 +3 +2 1 0x39e4 0x63be1b56 @@ -232726,6 +290908,8 @@ 1 0 0 +3 +5 1 0x294a 0x955b732b @@ -232735,6 +290919,8 @@ 0 0 2 +2 +2 0xf15c 0x1b487855 256 @@ -232742,6 +290928,8 @@ 0 0 0 +5 +4 1 0xaf1a 0x51c491c6 @@ -232750,6 +290938,8 @@ 0 0 0 +3 +1 1 0x57e5 0xe4222e8e @@ -232759,6 +290949,8 @@ 0 0 3 +5 +3 0xa60b 0x6d34de4b 256 @@ -232766,6 +290958,8 @@ 0 0 0 +5 +2 1 0x1d3e 0xd8248691 @@ -232774,6 +290968,8 @@ 1 1 0 +2 +5 1 0x2e0 0x8db08e76 @@ -232782,6 +290978,8 @@ 1 0 0 +4 +3 2 0x3bd6 0x57bc5e43 @@ -232790,6 +290988,8 @@ 0 0 0 +3 +2 1 0x7bbb 0xf1f77bac @@ -232799,6 +290999,8 @@ 0 0 3 +5 +3 0xa2e2 0x431b3b93 256 @@ -232806,6 +291008,8 @@ 0 0 0 +1 +0 3 0xd519 0xa1639a9d @@ -232814,6 +291018,8 @@ 0 0 0 +4 +1 1 0x4c2e 0x63171aed @@ -232822,6 +291028,8 @@ 1 0 0 +0 +3 1 0xd679 0x48668295 @@ -232830,6 +291038,8 @@ 0 0 0 +0 +0 4 0x8c6 0xc981a8cc @@ -232838,6 +291048,8 @@ 0 0 0 +4 +0 2 0x7834 0x14b04744 @@ -232846,6 +291058,8 @@ 1 0 0 +4 +0 3 0xb41a 0xfe2fc40b @@ -232854,6 +291068,8 @@ 0 0 0 +3 +1 4 0x6344 0x553c61e1 @@ -232862,6 +291078,8 @@ 0 0 0 +4 +2 3 0x5a74 0xe60cca68 @@ -232870,6 +291088,8 @@ 1 1 0 +4 +0 1 0xc036 0x3e51e20c @@ -232878,6 +291098,8 @@ 0 0 0 +1 +2 2 0x6ae2 0x2080f98d @@ -232887,6 +291109,8 @@ 0 0 2 +1 +2 0x54bc 0xbfd7f9a 256 @@ -232894,6 +291118,8 @@ 0 0 0 +1 +1 4 0xf238 0x3ac39d7c @@ -232902,6 +291128,8 @@ 0 0 0 +2 +5 4 0x5f67 0xb07a19da @@ -232910,6 +291138,8 @@ 0 0 0 +1 +2 2 0x7abd 0xcc830d47 @@ -232918,6 +291148,8 @@ 0 0 0 +4 +1 1 0x9370 0xb485eb3e @@ -232926,6 +291158,8 @@ 0 0 0 +2 +2 4 0x247d 0x24d67c0 @@ -232934,6 +291168,8 @@ 0 0 0 +2 +2 3 0xb07c 0xed688235 @@ -232943,6 +291179,8 @@ 0 0 1 +2 +1 0x86fe 0xc6b46276 256 @@ -232950,6 +291188,8 @@ 0 0 0 +5 +3 3 0xfe 0xaa72c8bb @@ -232959,6 +291199,8 @@ 0 0 4 +1 +4 0x42ee 0x18c6386b 256 @@ -232966,6 +291208,8 @@ 1 1 0 +5 +1 4 0x8e73 0xfca7cd5c @@ -232975,6 +291219,8 @@ 1 0 3 +3 +3 0x9f72 0xc21cb02d 256 @@ -232982,6 +291228,8 @@ 0 0 0 +1 +3 3 0x712a 0x52f9acb6 @@ -232991,6 +291239,8 @@ 0 0 1 +0 +1 0x56b 0x36ec233f 256 @@ -232998,6 +291248,8 @@ 0 0 0 +5 +3 1 0xb561 0xffd960d6 @@ -233006,6 +291258,8 @@ 1 0 0 +4 +4 2 0x3b4c 0xcb9d70f2 @@ -233014,6 +291268,8 @@ 1 0 0 +5 +3 4 0xaf0d 0x889242d9 @@ -233022,6 +291278,8 @@ 0 0 0 +2 +1 3 0x716e 0x7a5958ab @@ -233031,6 +291289,8 @@ 0 0 2 +2 +2 0xa77e 0xcb49f790 256 @@ -233038,6 +291298,8 @@ 0 0 0 +3 +5 2 0xe27b 0x61761c36 @@ -233046,6 +291308,8 @@ 0 0 0 +0 +0 3 0x75de 0x5c501402 @@ -233054,6 +291318,8 @@ 1 1 0 +3 +5 2 0xaf5b 0xef2f2e @@ -233062,6 +291328,8 @@ 1 0 0 +1 +0 2 0x5903 0x595076f9 @@ -233070,6 +291338,8 @@ 0 0 0 +3 +4 2 0x493 0x60f4f59c @@ -233078,6 +291348,8 @@ 1 0 0 +4 +1 1 0xa8a2 0x53670ed1 @@ -233087,6 +291359,8 @@ 0 0 4 +0 +4 0x7fcc 0xe33a9799 256 @@ -233094,6 +291368,8 @@ 0 0 0 +4 +3 3 0x83e1 0x97d10b14 @@ -233102,6 +291378,8 @@ 1 0 0 +0 +3 1 0x3742 0x3c061db2 @@ -233111,6 +291389,8 @@ 0 0 1 +4 +1 0xce81 0x36cc0716 256 @@ -233118,6 +291398,8 @@ 0 0 0 +1 +0 4 0xccbc 0x383f38b @@ -233126,6 +291408,8 @@ 0 0 0 +1 +4 3 0x619a 0xfb97384c @@ -233134,6 +291418,8 @@ 1 0 0 +0 +2 1 0x241b 0x48b9698c @@ -233142,6 +291428,8 @@ 1 1 0 +1 +0 2 0x5696 0x49e3e482 @@ -233150,6 +291438,8 @@ 0 0 0 +5 +2 3 0xcd7 0xb3d9f5bb @@ -233158,6 +291448,8 @@ 1 1 0 +0 +1 3 0xbbe9 0x2658be4a @@ -233166,6 +291458,8 @@ 0 0 0 +5 +0 3 0x9505 0x3d0d7a34 @@ -233174,6 +291468,8 @@ 1 0 0 +3 +4 4 0xfa9c 0x2c12d1a6 @@ -233182,6 +291478,8 @@ 0 0 0 +3 +3 2 0x6d70 0xe4df55cf @@ -233190,6 +291488,8 @@ 1 0 0 +0 +3 2 0xc29e 0xc3c482f9 @@ -233198,6 +291498,8 @@ 0 0 0 +4 +0 2 0xb1f4 0xfab17218 @@ -233207,6 +291509,8 @@ 1 0 1 +5 +1 0xf4f8 0x4b0af68f 256 @@ -233214,6 +291518,8 @@ 1 1 0 +4 +4 1 0xc707 0x3f771502 @@ -233222,6 +291528,8 @@ 1 0 0 +0 +4 3 0x2ab9 0xa3470dd6 @@ -233231,6 +291539,8 @@ 0 0 3 +2 +3 0x8b38 0xe7dc9aad 256 @@ -233239,6 +291549,8 @@ 0 0 4 +2 +4 0x8d5b 0x306a1217 256 @@ -233246,6 +291558,8 @@ 1 1 0 +1 +0 3 0x80cd 0x3911168a @@ -233254,6 +291568,8 @@ 0 0 0 +4 +3 2 0x1623 0x379af398 @@ -233262,6 +291578,8 @@ 1 1 0 +0 +0 1 0x4b15 0xe07cfee8 @@ -233270,6 +291588,8 @@ 0 0 0 +4 +2 1 0xf32e 0x2272fc65 @@ -233279,6 +291599,8 @@ 0 0 1 +1 +1 0x65c8 0x8054ff33 256 @@ -233286,6 +291608,8 @@ 0 0 0 +0 +5 2 0xfdbf 0xa03632f2 @@ -233294,6 +291618,8 @@ 0 0 0 +5 +5 1 0x8ab2 0xa4bf58b5 @@ -233302,6 +291628,8 @@ 0 0 0 +0 +2 2 0x24b1 0xa0fe3581 @@ -233311,6 +291639,8 @@ 0 0 2 +4 +2 0xb9a1 0x1160d9e9 256 @@ -233318,6 +291648,8 @@ 0 0 0 +5 +3 2 0x31c9 0x8180a8e0 @@ -233326,6 +291658,8 @@ 0 0 0 +5 +3 2 0xf293 0x8121c7f4 @@ -233334,6 +291668,8 @@ 1 0 0 +0 +1 3 0xc784 0x58dcc8f2 @@ -233342,6 +291678,8 @@ 0 0 0 +2 +0 3 0x46e1 0xb82287d4 @@ -233351,6 +291689,8 @@ 1 0 1 +0 +1 0x810f 0xdedb86a6 256 @@ -233358,6 +291698,8 @@ 0 0 0 +0 +3 3 0x8c9b 0x5d06dddd @@ -233366,6 +291708,8 @@ 1 1 0 +3 +2 4 0xee1f 0x7b0d735c @@ -233374,6 +291718,8 @@ 1 1 0 +0 +1 1 0x5726 0x97435dfa @@ -233382,6 +291728,8 @@ 0 0 0 +3 +1 2 0x20f9 0x72d04606 @@ -233390,6 +291738,8 @@ 0 0 0 +5 +2 4 0xe067 0xa7eb2a0d @@ -233398,6 +291748,8 @@ 1 1 0 +3 +5 2 0x89a4 0x423c7a4a @@ -233406,6 +291758,8 @@ 1 0 0 +1 +0 2 0x9317 0x2c6cf5f5 @@ -233414,6 +291768,8 @@ 1 1 0 +5 +4 3 0x9368 0xdc0ad972 @@ -233423,6 +291779,8 @@ 0 0 1 +3 +1 0xa282 0x8d49677b 256 @@ -233430,6 +291788,8 @@ 1 1 0 +0 +2 1 0xa255 0xc1f2e896 @@ -233439,6 +291799,8 @@ 0 0 3 +2 +3 0x5361 0x3d0b463 256 @@ -233446,6 +291808,8 @@ 1 1 0 +1 +1 3 0xc83 0xf91d1bd6 @@ -233455,6 +291819,8 @@ 0 0 3 +4 +3 0xccf3 0x6a79aa03 256 @@ -233462,6 +291828,8 @@ 1 0 0 +4 +4 2 0xbb35 0x987d55a3 @@ -233470,6 +291838,8 @@ 1 1 0 +4 +0 2 0x6827 0xaaa3e2e4 @@ -233478,6 +291848,8 @@ 0 0 0 +1 +4 2 0x6c93 0x30c3bf9e @@ -233487,6 +291859,8 @@ 1 0 2 +2 +2 0x640e 0x681ba965 256 @@ -233495,6 +291869,8 @@ 0 0 2 +1 +2 0xcb93 0x7f3f4f99 256 @@ -233503,6 +291879,8 @@ 0 0 3 +4 +3 0x8842 0xfe1d0511 256 @@ -233510,6 +291888,8 @@ 0 0 0 +5 +1 3 0xc21 0x8b2a2c51 @@ -233518,6 +291898,8 @@ 0 0 0 +3 +4 1 0x5866 0x5f4caf06 @@ -233526,6 +291908,8 @@ 1 1 0 +4 +3 3 0x3080 0xf02a67fe @@ -233534,6 +291918,8 @@ 0 0 0 +1 +4 3 0x3394 0x2f73bcdc @@ -233542,6 +291928,8 @@ 1 1 0 +2 +1 1 0x9ced 0xb2eae3bb @@ -233550,6 +291938,8 @@ 0 0 0 +2 +5 3 0xc1bb 0xda51673b @@ -233558,6 +291948,8 @@ 1 0 0 +5 +3 1 0xc098 0x13ed72b3 @@ -233566,6 +291958,8 @@ 1 0 0 +2 +1 1 0x5a1b 0xe937a5e8 @@ -233574,6 +291968,8 @@ 0 0 0 +0 +4 1 0x26c 0x36c06038 @@ -233582,6 +291978,8 @@ 0 0 0 +1 +5 4 0x7f15 0xd2e7fa6b @@ -233590,6 +291988,8 @@ 1 1 0 +0 +2 2 0x1821 0x6dec7910 @@ -233598,6 +291998,8 @@ 1 0 0 +4 +4 2 0x6af0 0xf342b6c9 @@ -233606,6 +292008,8 @@ 0 0 0 +2 +0 1 0x6c49 0xb4bfa927 @@ -233615,6 +292019,8 @@ 0 0 3 +1 +3 0x3d06 0x15ff37de 256 @@ -233622,6 +292028,8 @@ 1 1 0 +0 +0 1 0x3e25 0x8aa25685 @@ -233630,6 +292038,8 @@ 1 0 0 +2 +2 3 0x7c29 0xe12cd26f @@ -233638,6 +292048,8 @@ 0 0 0 +5 +0 4 0xb94f 0xdce221c2 @@ -233647,6 +292059,8 @@ 0 0 1 +0 +1 0xc05c 0x21fa49d4 256 @@ -233654,6 +292068,8 @@ 1 1 0 +0 +0 1 0xa245 0x2ca0679a @@ -233663,6 +292079,8 @@ 1 0 1 +5 +1 0xb22b 0x4e33c3fe 256 @@ -233671,6 +292089,8 @@ 0 0 3 +3 +3 0xd682 0x23b28c44 256 @@ -233679,6 +292099,8 @@ 0 0 2 +4 +2 0x7a30 0x23298f51 256 @@ -233686,6 +292108,8 @@ 0 0 0 +0 +2 3 0x46a5 0xb743579c @@ -233694,6 +292118,8 @@ 0 0 0 +4 +3 1 0xd57c 0xc06dbd15 @@ -233702,6 +292128,8 @@ 1 0 0 +1 +1 3 0xcf21 0x5e8896f @@ -233711,6 +292139,8 @@ 0 0 3 +0 +3 0xf509 0xbe8c6014 256 @@ -233718,6 +292148,8 @@ 0 0 0 +1 +5 2 0x2cec 0x11649f25 @@ -233726,6 +292158,8 @@ 0 0 0 +3 +2 4 0xceb0 0x29e95888 @@ -233734,6 +292168,8 @@ 0 0 0 +0 +3 1 0xd75 0x7185b727 @@ -233742,6 +292178,8 @@ 0 0 0 +4 +5 1 0xb403 0xd9a8ca4f @@ -233751,6 +292189,8 @@ 0 0 1 +1 +1 0x73fa 0x83b9ab97 256 @@ -233758,6 +292198,8 @@ 1 1 0 +2 +5 1 0x7fbd 0xd9bc2656 @@ -233766,6 +292208,8 @@ 1 0 0 +4 +3 2 0xd152 0xc67d2d8b @@ -233774,6 +292218,8 @@ 0 0 0 +1 +1 3 0xb4fd 0xa1786423 @@ -233783,6 +292229,8 @@ 0 0 2 +0 +2 0x7675 0xfe9330e7 256 @@ -233790,6 +292238,8 @@ 1 1 0 +0 +3 4 0xa08f 0x8345a3f0 @@ -233798,6 +292248,8 @@ 1 1 0 +0 +1 3 0x31ab 0xec7c5b8d @@ -233806,6 +292258,8 @@ 1 0 0 +1 +5 3 0x8c8f 0x7d9750bb @@ -233814,6 +292268,8 @@ 1 1 0 +5 +1 2 0xf2e3 0xd6e50ba9 @@ -233822,6 +292278,8 @@ 0 0 0 +5 +4 3 0x149e 0xd5989b99 @@ -233830,6 +292288,8 @@ 1 1 0 +1 +0 4 0x709e 0x7c6586c @@ -233838,6 +292298,8 @@ 1 0 0 +2 +5 4 0x356a 0xc5abf213 @@ -233846,6 +292308,8 @@ 0 0 0 +0 +5 4 0x8997 0xc6b7e183 @@ -233854,6 +292318,8 @@ 0 0 0 +1 +0 3 0x494d 0xfd2b79f3 @@ -233863,6 +292329,8 @@ 0 0 3 +2 +3 0xafa8 0x8be4cce8 256 @@ -233870,6 +292338,8 @@ 1 0 0 +0 +3 4 0x1282 0xb47ec74 @@ -233878,6 +292348,8 @@ 0 0 0 +0 +0 2 0x5669 0x6429ef12 @@ -233886,6 +292358,8 @@ 0 0 0 +2 +2 3 0x99c 0xe511e5c5 @@ -233895,6 +292369,8 @@ 0 0 1 +4 +1 0xa297 0x3a5a19b7 256 @@ -233902,6 +292378,8 @@ 0 0 0 +4 +2 2 0x174c 0x40c56b36 @@ -233910,6 +292388,8 @@ 1 1 0 +2 +4 4 0x31a6 0xa6a9f894 @@ -233918,6 +292398,8 @@ 0 0 0 +5 +0 4 0x8c4b 0x75a32b79 @@ -233926,6 +292408,8 @@ 0 0 0 +0 +4 1 0x97d 0x37d7d10c @@ -233934,6 +292418,8 @@ 0 0 0 +4 +5 2 0x2b65 0xa1e4a681 @@ -233942,6 +292428,8 @@ 0 0 0 +1 +2 4 0x11e0 0x796281b @@ -233950,6 +292438,8 @@ 1 0 0 +0 +3 3 0x1662 0x1e499e78 @@ -233959,6 +292449,8 @@ 0 0 2 +1 +2 0x7708 0xe0f26d7b 256 @@ -233966,6 +292458,8 @@ 0 0 0 +0 +4 4 0x9f19 0x96e6b452 @@ -233974,6 +292468,8 @@ 1 1 0 +2 +0 3 0xc929 0x80426207 @@ -233982,6 +292478,8 @@ 1 1 0 +0 +2 3 0x45ef 0xe330b5f9 @@ -233990,6 +292488,8 @@ 1 0 0 +5 +5 1 0x6b92 0xa979c0b7 @@ -233998,6 +292498,8 @@ 1 1 0 +3 +5 4 0xd546 0xcb049886 @@ -234006,6 +292508,8 @@ 0 0 0 +5 +3 2 0xeee9 0xc06e45cc @@ -234014,6 +292518,8 @@ 1 0 0 +5 +5 3 0xeeb9 0x22ee6ae7 @@ -234022,6 +292528,8 @@ 0 0 0 +4 +2 1 0x2b53 0xbbf6d922 @@ -234030,6 +292538,8 @@ 0 0 0 +1 +1 4 0x7d6 0xd52135c1 @@ -234038,6 +292548,8 @@ 0 0 0 +1 +5 3 0x5e14 0xed6c6774 @@ -234046,6 +292558,8 @@ 0 0 0 +5 +1 4 0x8145 0x9d00c137 @@ -234055,6 +292569,8 @@ 1 0 2 +3 +2 0xb932 0xd813d309 256 @@ -234062,6 +292578,8 @@ 0 0 0 +1 +4 4 0x88cd 0x8b476f23 @@ -234070,6 +292588,8 @@ 1 1 0 +4 +0 3 0x677b 0xfbd4765 @@ -234079,6 +292599,8 @@ 0 0 1 +4 +1 0x28e8 0xdf7b8745 256 @@ -234086,6 +292608,8 @@ 0 0 0 +2 +5 3 0x5850 0xdc796d77 @@ -234094,6 +292618,8 @@ 1 0 0 +5 +4 3 0xae9a 0x449f7afa @@ -234102,6 +292628,8 @@ 0 0 0 +3 +3 4 0xe0ec 0x595c2451 @@ -234110,6 +292638,8 @@ 0 0 0 +1 +4 4 0x6e0f 0xf6c3017d @@ -234118,6 +292648,8 @@ 1 0 0 +0 +5 2 0xf004 0xd0cd14a1 @@ -234126,6 +292658,8 @@ 1 0 0 +0 +4 4 0x36a9 0x3e223943 @@ -234134,6 +292668,8 @@ 0 0 0 +5 +5 1 0xa2dc 0xe8e2b94e @@ -234142,6 +292678,8 @@ 1 1 0 +1 +0 3 0xc69e 0x8e7177b5 @@ -234150,6 +292688,8 @@ 1 1 0 +0 +3 4 0x472c 0xebffb11c @@ -234158,6 +292698,8 @@ 0 0 0 +4 +0 1 0x5eae 0xb5db956a @@ -234166,6 +292708,8 @@ 0 0 0 +5 +3 3 0xa173 0xd286a83c @@ -234174,6 +292718,8 @@ 0 0 0 +5 +0 1 0xa144 0xb8b4cac6 @@ -234182,6 +292728,8 @@ 1 0 0 +5 +5 2 0x2987 0x1979e915 @@ -234190,6 +292738,8 @@ 0 0 0 +5 +1 1 0x70f9 0x3e3b2d77 @@ -234198,6 +292748,8 @@ 0 0 0 +5 +5 1 0x49d3 0xacdfb8c6 @@ -234206,6 +292758,8 @@ 1 1 0 +0 +3 3 0x1987 0xe5389eb9 @@ -234214,6 +292768,8 @@ 1 1 0 +3 +5 4 0x9fff 0xf7e544cb @@ -234223,6 +292779,8 @@ 0 0 1 +2 +1 0xed7d 0xaebfc3bc 256 @@ -234231,6 +292789,8 @@ 0 0 1 +3 +1 0x1dea 0x78d278d4 256 @@ -234238,6 +292798,8 @@ 0 0 0 +3 +4 4 0xb778 0x6a9b77e3 @@ -234246,6 +292808,8 @@ 1 1 0 +4 +3 3 0x1a1 0xfc8832fb @@ -234254,6 +292818,8 @@ 0 0 0 +2 +2 4 0xa08c 0xc34b975d @@ -234262,6 +292828,8 @@ 1 1 0 +4 +4 1 0x1f93 0xf1c6ecf1 @@ -234270,6 +292838,8 @@ 0 0 0 +1 +1 3 0xda12 0x1a97a281 @@ -234278,6 +292848,8 @@ 0 0 0 +3 +4 4 0x524d 0x257fd508 @@ -234286,6 +292858,8 @@ 1 1 0 +1 +5 2 0x1f7 0x60a2d80f @@ -234295,6 +292869,8 @@ 0 0 4 +3 +4 0xa261 0x14e8d024 256 @@ -234302,6 +292878,8 @@ 0 0 0 +4 +5 2 0xe8ca 0x4dcdfeb7 @@ -234310,6 +292888,8 @@ 0 0 0 +3 +1 4 0x5df5 0x9c7235c0 @@ -234318,6 +292898,8 @@ 0 0 0 +4 +5 1 0x4fe2 0x2639161c @@ -234326,6 +292908,8 @@ 1 1 0 +0 +5 2 0x7cf1 0xc1eceb0a @@ -234334,6 +292918,8 @@ 1 0 0 +5 +3 2 0xcafe 0x383b09ee @@ -234343,6 +292929,8 @@ 0 0 1 +0 +1 0x688e 0xcbcc68cf 256 @@ -234350,6 +292938,8 @@ 0 0 0 +0 +2 1 0x6c91 0xf51bae0e @@ -234358,6 +292948,8 @@ 0 0 0 +5 +5 3 0xd246 0x3f131540 @@ -234366,6 +292958,8 @@ 0 0 0 +2 +1 4 0xcca8 0x9f4bee79 @@ -234374,6 +292968,8 @@ 1 1 0 +1 +4 4 0xca1a 0x775a36db @@ -234382,6 +292978,8 @@ 1 0 0 +4 +0 3 0x7c7e 0x7a7087ce @@ -234391,6 +292989,8 @@ 0 0 1 +5 +1 0xc3e6 0x56a83d57 256 @@ -234398,6 +292998,8 @@ 0 0 0 +4 +5 2 0x91e1 0x2cd76113 @@ -234406,6 +293008,8 @@ 0 0 0 +3 +2 2 0xe235 0x4992f294 @@ -234414,6 +293018,8 @@ 0 0 0 +4 +5 3 0xe0af 0x265f02f4 @@ -234422,6 +293028,8 @@ 1 0 0 +0 +5 3 0x3c52 0x7bc42eae @@ -234431,6 +293039,8 @@ 1 0 3 +3 +3 0xc5c8 0x5226ae2c 256 @@ -234438,6 +293048,8 @@ 1 0 0 +3 +5 4 0xfbe2 0x1b1d6909 @@ -234446,6 +293058,8 @@ 0 0 0 +4 +0 3 0x41f4 0x50d21d54 @@ -234454,6 +293068,8 @@ 0 0 0 +0 +2 2 0xf678 0x28965961 @@ -234462,6 +293078,8 @@ 0 0 0 +4 +0 1 0x79b6 0xa4f53114 @@ -234470,6 +293088,8 @@ 0 0 0 +4 +5 2 0xfbd6 0xc93da70 @@ -234478,6 +293098,8 @@ 1 0 0 +0 +1 1 0x39eb 0x2dd22fea @@ -234486,6 +293108,8 @@ 0 0 0 +1 +2 2 0x3b49 0xd4ccc309 @@ -234494,6 +293118,8 @@ 0 0 0 +5 +2 3 0xc822 0x7279be41 @@ -234502,6 +293128,8 @@ 0 0 0 +3 +3 2 0xc083 0xb16f3d19 @@ -234510,6 +293138,8 @@ 1 1 0 +5 +1 3 0x8382 0xe038a92c @@ -234518,6 +293148,8 @@ 0 0 0 +3 +2 4 0x7721 0xa97c65ea @@ -234526,6 +293158,8 @@ 1 1 0 +1 +0 3 0xecc1 0xa0c0e25e @@ -234534,6 +293168,8 @@ 0 0 0 +2 +5 4 0x577e 0x7a1552f1 @@ -234542,6 +293178,8 @@ 1 1 0 +0 +5 1 0xbd3 0xa85c6191 @@ -234550,6 +293188,8 @@ 0 0 0 +3 +4 1 0x6377 0x6677d777 @@ -234559,6 +293199,8 @@ 0 0 2 +1 +2 0x4718 0x1de782b6 256 @@ -234566,6 +293208,8 @@ 0 0 0 +0 +5 2 0x2e7c 0xcba4f87f @@ -234574,6 +293218,8 @@ 0 0 0 +4 +1 3 0x4e3d 0xebbdd202 @@ -234582,6 +293228,8 @@ 0 0 0 +1 +4 3 0x398c 0x792be0a7 @@ -234590,6 +293238,8 @@ 1 1 0 +3 +4 4 0x486c 0xe9d440e7 @@ -234598,6 +293248,8 @@ 0 0 0 +4 +4 1 0xbd43 0xadf370f5 @@ -234606,6 +293258,8 @@ 1 1 0 +5 +5 1 0x7add 0x7efef393 @@ -234614,6 +293268,8 @@ 1 1 0 +1 +1 2 0x6d79 0xc394ea63 @@ -234622,6 +293278,8 @@ 1 0 0 +3 +4 1 0x18f1 0xa915df99 @@ -234630,6 +293288,8 @@ 1 1 0 +1 +5 4 0x9572 0x10fa1ce7 @@ -234638,6 +293298,8 @@ 0 0 0 +1 +0 3 0x7062 0xfbcc2067 @@ -234646,6 +293308,8 @@ 1 0 0 +0 +1 4 0x7fd8 0xbfdf3adb @@ -234654,6 +293318,8 @@ 1 0 0 +2 +2 1 0x98ea 0xb2a60c04 @@ -234662,6 +293328,8 @@ 0 0 0 +1 +2 2 0x930f 0xa88a9b6a @@ -234670,6 +293338,8 @@ 1 1 0 +4 +0 1 0xe8b1 0xdb7f8bd6 @@ -234678,6 +293348,8 @@ 1 0 0 +4 +3 1 0xbd73 0x7f001a9f @@ -234686,6 +293358,8 @@ 0 0 0 +5 +0 1 0x501f 0xa025ce3c @@ -234694,6 +293368,8 @@ 0 0 0 +2 +4 4 0x8556 0xf2f1de3e @@ -234702,6 +293378,8 @@ 0 0 0 +0 +4 3 0xce29 0x8161fcf3 @@ -234710,6 +293388,8 @@ 0 0 0 +2 +0 3 0xc0b2 0xe968eee5 @@ -234718,6 +293398,8 @@ 0 0 0 +3 +2 2 0xab79 0xcc72eb75 @@ -234727,7 +293409,9 @@ 1 0 3 -0x1c86 +2 +3 +0x1c86 0xbe7aee3a 256 256 @@ -234735,6 +293419,8 @@ 0 0 2 +3 +2 0x8e4a 0x96581b2c 256 @@ -234742,6 +293428,8 @@ 1 0 0 +0 +4 4 0xb3d 0xa73d74ef @@ -234750,6 +293438,8 @@ 1 0 0 +4 +5 3 0x2172 0x8c8311b8 @@ -234759,6 +293449,8 @@ 0 0 3 +2 +3 0x8597 0x4d9f5852 256 @@ -234766,6 +293458,8 @@ 0 0 0 +0 +3 4 0x7c1d 0xf2501276 @@ -234774,6 +293468,8 @@ 1 0 0 +5 +4 2 0x510e 0xaf3b37af @@ -234782,6 +293478,8 @@ 1 0 0 +5 +2 3 0x9019 0xb856947 @@ -234791,6 +293489,8 @@ 0 0 3 +2 +3 0x7b84 0xb8a91fd6 256 @@ -234798,6 +293498,8 @@ 1 0 0 +5 +5 4 0x9d21 0x849faf10 @@ -234806,6 +293508,8 @@ 0 0 0 +3 +4 2 0x6112 0x3b83be76 @@ -234815,6 +293519,8 @@ 0 0 2 +1 +2 0x2d86 0x8a32b7e9 256 @@ -234822,6 +293528,8 @@ 1 1 0 +1 +0 2 0x2eef 0xc6090247 @@ -234830,6 +293538,8 @@ 1 0 0 +0 +1 1 0x5282 0xb3e87df9 @@ -234839,6 +293549,8 @@ 0 0 4 +1 +4 0x575f 0xeb269e2e 256 @@ -234846,6 +293558,8 @@ 0 0 0 +2 +4 4 0x7690 0xd23872a8 @@ -234854,6 +293568,8 @@ 1 1 0 +5 +0 1 0x3f94 0x91e3f847 @@ -234862,6 +293578,8 @@ 1 1 0 +4 +0 1 0xf222 0xa19e2420 @@ -234871,6 +293589,8 @@ 0 0 2 +2 +2 0x7390 0xab102f0a 256 @@ -234878,6 +293598,8 @@ 1 1 0 +3 +5 2 0x22bd 0x76369cfc @@ -234886,6 +293608,8 @@ 1 0 0 +4 +2 3 0xcf4c 0x7f39dc25 @@ -234894,6 +293618,8 @@ 1 1 0 +4 +0 2 0x24f1 0x25ec6dd0 @@ -234902,6 +293628,8 @@ 1 0 0 +3 +1 4 0x5ebe 0x32d574fb @@ -234910,6 +293638,8 @@ 0 0 0 +5 +1 2 0xcfe4 0x6e4b349 @@ -234918,6 +293648,8 @@ 0 0 0 +1 +4 2 0xcb0 0x43b0f773 @@ -234926,6 +293658,8 @@ 1 1 0 +3 +0 2 0x81a1 0x5e608d79 @@ -234934,6 +293668,8 @@ 0 0 0 +2 +5 1 0x58ab 0xb2ec6078 @@ -234943,6 +293679,8 @@ 0 0 2 +4 +2 0xda00 0x710ea17e 256 @@ -234950,6 +293688,8 @@ 1 1 0 +0 +4 1 0x631b 0xf99e99e6 @@ -234959,6 +293699,8 @@ 1 0 3 +2 +3 0x3f1 0x8e6c11e8 256 @@ -234967,6 +293709,8 @@ 0 0 2 +1 +2 0xb14a 0x2155637b 256 @@ -234974,6 +293718,8 @@ 0 0 0 +1 +2 3 0x24d4 0xb8e18222 @@ -234982,6 +293728,8 @@ 1 0 0 +5 +5 4 0xe45a 0x6658b27 @@ -234990,6 +293738,8 @@ 0 0 0 +2 +3 3 0x3e1d 0x831fc07b @@ -234998,6 +293748,8 @@ 1 1 0 +2 +1 3 0x717c 0x7908dbfa @@ -235006,6 +293758,8 @@ 1 0 0 +2 +1 4 0x640f 0x51a9b107 @@ -235014,6 +293768,8 @@ 1 1 0 +0 +0 4 0x643a 0xb229b18 @@ -235023,6 +293779,8 @@ 0 0 2 +5 +2 0xf03b 0x3ac5f732 256 @@ -235030,6 +293788,8 @@ 0 0 0 +4 +1 2 0xb60a 0xea404041 @@ -235038,6 +293798,8 @@ 0 0 0 +2 +1 4 0x6809 0x7e660d8 @@ -235047,6 +293809,8 @@ 0 0 2 +2 +2 0xad77 0xb16efc70 256 @@ -235054,6 +293818,8 @@ 0 0 0 +4 +3 2 0xef7f 0x4cdc6eed @@ -235062,6 +293828,8 @@ 1 1 0 +5 +4 2 0xa7e6 0x5a81483f @@ -235070,6 +293838,8 @@ 1 0 0 +2 +4 3 0xc74d 0xd534b83a @@ -235078,6 +293848,8 @@ 0 0 0 +4 +0 2 0x52d6 0x22527a21 @@ -235086,6 +293858,8 @@ 0 0 0 +2 +4 1 0xb2f8 0x14075d5f @@ -235094,6 +293868,8 @@ 1 0 0 +5 +5 2 0xfc81 0x5dfdf027 @@ -235102,6 +293878,8 @@ 1 0 0 +5 +0 4 0xe0c9 0x205e8eb6 @@ -235110,6 +293888,8 @@ 1 0 0 +1 +2 2 0x154e 0xfcd2a001 @@ -235118,6 +293898,8 @@ 1 0 0 +3 +1 2 0x34e0 0x50ff42fe @@ -235126,6 +293908,8 @@ 0 0 0 +2 +5 4 0xb0d7 0xa289650d @@ -235135,6 +293919,8 @@ 0 0 2 +2 +2 0xafeb 0x100c5c12 256 @@ -235142,6 +293928,8 @@ 0 0 0 +5 +0 4 0xe5f3 0x88b277a @@ -235150,6 +293938,8 @@ 0 0 0 +0 +5 4 0xbc29 0xec8db844 @@ -235158,6 +293948,8 @@ 1 0 0 +4 +4 1 0x991e 0xafc5b2c5 @@ -235166,6 +293958,8 @@ 1 1 0 +5 +3 4 0x7d6a 0x69df98b6 @@ -235175,6 +293969,8 @@ 1 0 3 +4 +3 0x6721 0xe3e5b50a 256 @@ -235182,6 +293978,8 @@ 1 1 0 +4 +0 2 0x6588 0x3cc9f7b5 @@ -235191,6 +293989,8 @@ 0 0 2 +4 +2 0x5629 0xd3e6d5e0 256 @@ -235198,6 +293998,8 @@ 0 0 0 +1 +1 2 0xa0e2 0xd8f6aed5 @@ -235206,6 +294008,8 @@ 0 0 0 +4 +0 1 0xa350 0xfe4987ec @@ -235215,6 +294019,8 @@ 0 0 4 +0 +4 0x8f7e 0xcac70a52 256 @@ -235223,6 +294029,8 @@ 0 0 2 +5 +2 0x5a23 0x1f1f9d02 256 @@ -235230,6 +294038,8 @@ 0 0 0 +5 +3 1 0x5d41 0xee303c42 @@ -235238,6 +294048,8 @@ 1 1 0 +3 +3 4 0xaea0 0x5777fd1e @@ -235247,6 +294059,8 @@ 0 0 1 +0 +1 0x5783 0x46172acc 256 @@ -235254,6 +294068,8 @@ 0 0 0 +0 +4 1 0x3fe0 0xd2764015 @@ -235262,6 +294078,8 @@ 1 0 0 +0 +3 2 0x5358 0x807b04bc @@ -235271,6 +294089,8 @@ 0 0 2 +3 +2 0x9eb2 0x8cb4ea33 256 @@ -235278,6 +294098,8 @@ 1 0 0 +4 +4 3 0xe55c 0x17cf3248 @@ -235286,6 +294108,8 @@ 0 0 0 +4 +0 2 0x6b22 0x2eacb0 @@ -235294,6 +294118,8 @@ 0 0 0 +3 +1 1 0xc282 0xb82402dd @@ -235302,6 +294128,8 @@ 1 0 0 +5 +3 1 0x44d9 0xa556ff31 @@ -235310,6 +294138,8 @@ 1 1 0 +3 +1 2 0x9c55 0x8ff5c4f3 @@ -235318,6 +294148,8 @@ 1 1 0 +0 +5 2 0xdbf8 0xb954a7d8 @@ -235326,6 +294158,8 @@ 0 0 0 +5 +1 1 0xd3ac 0xb9454049 @@ -235335,6 +294169,8 @@ 1 0 2 +0 +2 0x2c60 0x32e66aaa 256 @@ -235342,6 +294178,8 @@ 0 0 0 +0 +2 2 0x8e98 0x445f22bc @@ -235350,6 +294188,8 @@ 0 0 0 +2 +0 1 0xee21 0x4873afc8 @@ -235358,6 +294198,8 @@ 0 0 0 +5 +5 3 0x10d 0xb2e8f229 @@ -235366,6 +294208,8 @@ 1 1 0 +3 +0 1 0x2016 0xd8796141 @@ -235374,6 +294218,8 @@ 1 0 0 +2 +2 1 0xd49d 0x472f225a @@ -235382,6 +294228,8 @@ 0 0 0 +5 +1 1 0x9031 0x6cb44344 @@ -235390,6 +294238,8 @@ 0 0 0 +4 +2 2 0x97a3 0x4a726050 @@ -235398,6 +294248,8 @@ 1 0 0 +4 +4 3 0x3037 0x40737598 @@ -235406,6 +294258,8 @@ 0 0 0 +2 +1 1 0x1793 0x13ff012d @@ -235414,6 +294268,8 @@ 1 1 0 +5 +0 1 0xfae2 0x56c3ed6 @@ -235422,6 +294278,8 @@ 0 0 0 +1 +3 3 0x6da4 0xe9590372 @@ -235430,6 +294288,8 @@ 0 0 0 +2 +1 1 0xb13c 0xdcaba7e8 @@ -235438,6 +294298,8 @@ 0 0 0 +5 +5 4 0xcc0a 0x1e77e44d @@ -235446,6 +294308,8 @@ 1 1 0 +5 +4 4 0xd417 0x3e831864 @@ -235454,6 +294318,8 @@ 1 1 0 +5 +5 1 0xf40d 0x2bb034af @@ -235463,6 +294329,8 @@ 0 0 2 +5 +2 0xf20c 0x7e94f04c 256 @@ -235470,6 +294338,8 @@ 0 0 0 +3 +1 1 0x2f97 0x1409e880 @@ -235479,6 +294349,8 @@ 0 0 2 +1 +2 0xa366 0x6f59b9e4 256 @@ -235487,6 +294359,8 @@ 0 0 3 +0 +3 0x8787 0x50550f59 256 @@ -235494,6 +294368,8 @@ 1 0 0 +5 +4 1 0x1f0 0x4e8f4f23 @@ -235502,6 +294378,8 @@ 1 1 0 +0 +0 1 0x5ef5 0x8ad4808d @@ -235510,6 +294388,8 @@ 1 1 0 +2 +0 1 0x2333 0x55c7e313 @@ -235518,6 +294398,8 @@ 0 0 0 +1 +4 2 0xabd7 0x24e81c02 @@ -235526,6 +294408,8 @@ 0 0 0 +5 +1 1 0xf6e9 0x73ffd96f @@ -235534,6 +294418,8 @@ 1 1 0 +1 +1 2 0xe060 0x66ebed69 @@ -235542,6 +294428,8 @@ 0 0 0 +5 +4 2 0x9bc 0x622875c @@ -235551,6 +294439,8 @@ 0 0 2 +5 +2 0xe4d3 0xf0920a9b 256 @@ -235558,6 +294448,8 @@ 1 1 0 +4 +2 1 0xbab6 0x643c77d2 @@ -235566,6 +294458,8 @@ 0 0 0 +4 +3 1 0xb6ca 0xd75bfad7 @@ -235574,6 +294468,8 @@ 0 0 0 +4 +1 3 0x9800 0xe73c04a6 @@ -235582,6 +294478,8 @@ 1 0 0 +3 +4 4 0x5113 0xece8cec2 @@ -235590,6 +294488,8 @@ 1 0 0 +0 +4 3 0x2a52 0xc520ba7b @@ -235598,6 +294498,8 @@ 0 0 0 +5 +5 4 0x9f2e 0xd1649a1d @@ -235606,6 +294508,8 @@ 0 0 0 +2 +4 4 0x634c 0x703a5266 @@ -235614,6 +294518,8 @@ 0 0 0 +3 +3 2 0x1c9a 0x360a9913 @@ -235622,6 +294528,8 @@ 0 0 0 +0 +5 4 0xf4f4 0x6946f196 @@ -235630,6 +294538,8 @@ 0 0 0 +3 +4 4 0x97af 0x9f30ddf9 @@ -235638,6 +294548,8 @@ 1 0 0 +4 +4 1 0x585e 0x7a67e5f @@ -235646,6 +294558,8 @@ 0 0 0 +2 +5 1 0x7579 0x30720105 @@ -235654,6 +294568,8 @@ 1 1 0 +5 +0 4 0xd6d4 0x9560c1d9 @@ -235662,6 +294578,8 @@ 1 1 0 +3 +3 2 0x8279 0x7041f8f1 @@ -235670,6 +294588,8 @@ 0 0 0 +2 +1 4 0xce9 0x63178b45 @@ -235678,6 +294598,8 @@ 1 1 0 +0 +1 3 0x1d63 0x7df3713b @@ -235686,6 +294608,8 @@ 0 0 0 +1 +4 2 0x7219 0x11739390 @@ -235694,6 +294618,8 @@ 0 0 0 +4 +4 3 0x1f50 0xa1ca18e3 @@ -235702,6 +294628,8 @@ 0 0 0 +3 +4 1 0x485d 0xaea626af @@ -235711,6 +294639,8 @@ 0 0 4 +2 +4 0x19f0 0x82ce1a7f 256 @@ -235718,6 +294648,8 @@ 1 1 0 +5 +4 2 0x27dc 0x738e6eed @@ -235726,6 +294658,8 @@ 0 0 0 +0 +3 3 0xbf63 0xad598988 @@ -235734,6 +294668,8 @@ 0 0 0 +0 +3 3 0x5dfb 0xeccf450d @@ -235742,6 +294678,8 @@ 0 0 0 +5 +0 4 0x4918 0x3bb2333f @@ -235750,6 +294688,8 @@ 0 0 0 +1 +3 4 0x3e9a 0x28bba558 @@ -235759,6 +294699,8 @@ 0 0 3 +0 +3 0xdf2 0x689d1802 256 @@ -235766,6 +294708,8 @@ 1 0 0 +5 +4 2 0xfb9c 0x823023d6 @@ -235774,6 +294718,8 @@ 0 0 0 +2 +0 4 0x96f 0xd36eafb9 @@ -235782,6 +294728,8 @@ 0 0 0 +2 +4 1 0x6051 0x5a394bf1 @@ -235790,6 +294738,8 @@ 1 0 0 +5 +3 1 0x54a0 0x4870859 @@ -235799,6 +294749,8 @@ 0 0 4 +0 +4 0xcfd1 0x9447f62e 256 @@ -235806,6 +294758,8 @@ 0 0 0 +5 +3 4 0x584b 0x3f706fb7 @@ -235814,6 +294768,8 @@ 0 0 0 +4 +2 1 0xf742 0x7cad0b6c @@ -235822,6 +294778,8 @@ 1 0 0 +4 +5 3 0x2b4 0xa825d259 @@ -235830,6 +294788,8 @@ 0 0 0 +0 +5 1 0xca9a 0xcc0a8690 @@ -235838,6 +294798,8 @@ 1 0 0 +0 +4 2 0xa3f 0x3366bb9 @@ -235847,6 +294809,8 @@ 0 0 3 +3 +3 0xb 0x95354b50 256 @@ -235854,6 +294818,8 @@ 1 1 0 +3 +4 4 0xf346 0x2aeb8005 @@ -235862,6 +294828,8 @@ 1 1 0 +5 +0 3 0xe18b 0x85a077f8 @@ -235870,6 +294838,8 @@ 0 0 0 +5 +3 4 0x70da 0x3c55df27 @@ -235878,6 +294848,8 @@ 1 1 0 +0 +3 1 0xfe6 0x7294bbb9 @@ -235887,6 +294859,8 @@ 0 0 2 +4 +2 0xa16c 0xd8e5c697 256 @@ -235894,6 +294868,8 @@ 0 0 0 +5 +3 4 0xd431 0x53c72125 @@ -235902,6 +294878,8 @@ 1 0 0 +0 +1 4 0x6a15 0xe6d636a0 @@ -235910,6 +294888,8 @@ 1 1 0 +4 +0 3 0xe400 0xdc211c54 @@ -235918,6 +294898,8 @@ 1 1 0 +3 +4 4 0xc9f6 0x67b43d3f @@ -235926,6 +294908,8 @@ 1 0 0 +1 +5 3 0xd95a 0xa4cb273c @@ -235934,6 +294918,8 @@ 0 0 0 +4 +4 2 0x37d8 0x811ba079 @@ -235942,6 +294928,8 @@ 0 0 0 +3 +0 2 0xc013 0xf330d7ed @@ -235950,6 +294938,8 @@ 1 1 0 +3 +5 4 0x61b1 0x25923413 @@ -235958,6 +294948,8 @@ 0 0 0 +0 +5 2 0xe6a9 0x96b2f140 @@ -235966,6 +294958,8 @@ 1 1 0 +5 +5 4 0xdf49 0x6c9554b5 @@ -235974,6 +294968,8 @@ 1 1 0 +3 +4 4 0x2bb2 0x9ce9eb37 @@ -235982,6 +294978,8 @@ 1 0 0 +3 +1 2 0xecd9 0x398636c4 @@ -235990,6 +294988,8 @@ 0 0 0 +3 +2 4 0x9d23 0x56763b52 @@ -235998,6 +294998,8 @@ 1 1 0 +5 +5 4 0xef5f 0xededf280 @@ -236006,6 +295008,8 @@ 0 0 0 +5 +0 3 0xb52b 0xce424cda @@ -236014,6 +295018,8 @@ 0 0 0 +0 +3 3 0x96ff 0x49f4f404 @@ -236022,6 +295028,8 @@ 1 0 0 +3 +4 2 0x7721 0xdd56ddbb @@ -236030,6 +295038,8 @@ 0 0 0 +3 +3 1 0x997f 0x6ef265de @@ -236039,6 +295049,8 @@ 0 0 1 +1 +1 0xdd74 0x9dfb2bf6 256 @@ -236046,6 +295058,8 @@ 0 0 0 +4 +2 3 0xaab9 0x5c57603a @@ -236054,6 +295068,8 @@ 0 0 0 +1 +2 3 0x470a 0x7d940056 @@ -236062,6 +295078,8 @@ 1 1 0 +3 +3 2 0x22ff 0x9087b758 @@ -236070,6 +295088,8 @@ 1 0 0 +4 +3 2 0x3110 0xfb721eec @@ -236078,6 +295098,8 @@ 0 0 0 +0 +3 1 0x6fe6 0x3f4d6035 @@ -236086,6 +295108,8 @@ 0 0 0 +5 +1 3 0x4fbc 0xca44fa16 @@ -236094,6 +295118,8 @@ 1 0 0 +0 +0 4 0x8b07 0xcaca9eb8 @@ -236102,6 +295128,8 @@ 0 0 0 +0 +0 1 0x8100 0x608a2a03 @@ -236110,6 +295138,8 @@ 0 0 0 +5 +4 1 0x8dae 0xb93e9a17 @@ -236118,6 +295148,8 @@ 0 0 0 +3 +5 4 0x69b9 0x63ac09fd @@ -236126,6 +295158,8 @@ 0 0 0 +4 +1 3 0xed99 0xa0256e30 @@ -236134,6 +295168,8 @@ 0 0 0 +5 +3 4 0x7fd1 0xc08ebd41 @@ -236142,6 +295178,8 @@ 0 0 0 +0 +2 3 0xd90b 0x752d1e87 @@ -236150,6 +295188,8 @@ 1 0 0 +4 +3 1 0x207b 0xff323874 @@ -236158,6 +295198,8 @@ 0 0 0 +4 +2 1 0x9218 0x105d4f80 @@ -236166,6 +295208,8 @@ 1 0 0 +3 +5 2 0x8c 0x335c617 @@ -236174,6 +295218,8 @@ 0 0 0 +4 +2 2 0xdf23 0xc0209c74 @@ -236182,6 +295228,8 @@ 0 0 0 +3 +2 4 0x72e5 0x5eaa82f1 @@ -236191,6 +295239,8 @@ 0 0 2 +0 +2 0xc3b7 0xf50f8ff5 256 @@ -236198,6 +295248,8 @@ 0 0 0 +3 +1 2 0x7e36 0x9f4792c6 @@ -236206,6 +295258,8 @@ 1 1 0 +3 +4 2 0x39a0 0xef623181 @@ -236214,6 +295268,8 @@ 0 0 0 +0 +4 1 0x3a3f 0x76daa265 @@ -236222,6 +295278,8 @@ 1 0 0 +2 +5 3 0x9ce2 0xe472a8e3 @@ -236230,6 +295288,8 @@ 1 0 0 +1 +5 3 0xcf01 0x6c26a314 @@ -236238,6 +295298,8 @@ 0 0 0 +0 +5 4 0xacd 0xb498d1c0 @@ -236247,6 +295309,8 @@ 1 0 1 +2 +1 0xf670 0xa7a84a98 256 @@ -236255,6 +295319,8 @@ 0 0 4 +1 +4 0x73b3 0x689e649b 256 @@ -236263,6 +295329,8 @@ 0 0 4 +4 +4 0xe841 0xe92a26f7 256 @@ -236270,6 +295338,8 @@ 0 0 0 +3 +3 4 0x7675 0xf2cf6779 @@ -236279,6 +295349,8 @@ 0 0 2 +1 +2 0xfb9d 0x88504279 256 @@ -236286,6 +295358,8 @@ 0 0 0 +2 +4 4 0xa409 0x47866734 @@ -236295,6 +295369,8 @@ 0 0 4 +5 +4 0xf2d9 0xfc1e7ed7 256 @@ -236302,6 +295378,8 @@ 0 0 0 +4 +0 1 0x9e0e 0x629eec68 @@ -236311,6 +295389,8 @@ 0 0 3 +3 +3 0x2d10 0x786110df 256 @@ -236318,6 +295398,8 @@ 1 1 0 +1 +1 3 0xc4e3 0x9ac737de @@ -236326,6 +295408,8 @@ 0 0 0 +3 +3 2 0x9f12 0xc099b33a @@ -236334,6 +295418,8 @@ 0 0 0 +4 +1 1 0x7641 0x401d133a @@ -236343,6 +295429,8 @@ 1 0 1 +3 +1 0x795d 0x870cd15 256 @@ -236350,6 +295438,8 @@ 0 0 0 +4 +0 2 0x2a12 0x67b3c46a @@ -236358,6 +295448,8 @@ 0 0 0 +5 +1 3 0xfa5e 0xe1830378 @@ -236366,6 +295458,8 @@ 0 0 0 +1 +0 2 0xbc9e 0x803660e5 @@ -236374,6 +295468,8 @@ 1 0 0 +5 +2 1 0x3095 0x39d012cf @@ -236382,6 +295478,8 @@ 1 0 0 +1 +5 3 0xfe9e 0x8bd8ca92 @@ -236390,6 +295488,8 @@ 1 1 0 +5 +1 1 0xfc4 0x950af134 @@ -236398,6 +295498,8 @@ 0 0 0 +0 +5 1 0xcca7 0xcb8f1fe6 @@ -236407,6 +295509,8 @@ 0 0 2 +0 +2 0x679d 0x95cb72e7 256 @@ -236414,6 +295518,8 @@ 0 0 0 +1 +5 3 0x13fc 0x66256219 @@ -236423,6 +295529,8 @@ 0 0 1 +1 +1 0xafe2 0xee3e6743 256 @@ -236430,6 +295538,8 @@ 0 0 0 +2 +2 4 0xbc17 0xf63e6d4e @@ -236439,6 +295549,8 @@ 0 0 1 +4 +1 0x6b41 0x34bae3b9 256 @@ -236446,6 +295558,8 @@ 0 0 0 +3 +4 4 0x24c4 0xe74ad4de @@ -236454,6 +295568,8 @@ 0 0 0 +0 +2 4 0x2c60 0xac3c34cb @@ -236462,6 +295578,8 @@ 1 1 0 +5 +3 3 0x86b1 0x62c6748 @@ -236471,6 +295589,8 @@ 1 0 2 +3 +2 0xa856 0x458f3034 256 @@ -236478,6 +295598,8 @@ 1 1 0 +2 +3 4 0x23fd 0xd476662b @@ -236486,6 +295608,8 @@ 0 0 0 +1 +0 2 0x3dae 0x774a9d21 @@ -236494,6 +295618,8 @@ 1 0 0 +1 +1 3 0x2b3d 0x382787e1 @@ -236502,6 +295628,8 @@ 1 0 0 +1 +2 3 0xa87b 0xc64e6639 @@ -236510,6 +295638,8 @@ 1 0 0 +3 +5 1 0xe3f9 0xc0289057 @@ -236518,6 +295648,8 @@ 0 0 0 +2 +2 1 0x6a9f 0x8e6fac58 @@ -236527,6 +295659,8 @@ 0 0 1 +0 +1 0x6ac 0x219cf328 256 @@ -236534,6 +295668,8 @@ 0 0 0 +2 +4 4 0x1007 0xa6f2cbb0 @@ -236542,6 +295678,8 @@ 1 0 0 +5 +2 3 0x860f 0x6c198f7b @@ -236550,6 +295688,8 @@ 0 0 0 +2 +0 3 0xd382 0xf46c95fa @@ -236558,6 +295698,8 @@ 1 0 0 +4 +0 1 0xe08e 0xa3792045 @@ -236566,6 +295708,8 @@ 1 1 0 +1 +1 3 0x9c74 0xc3baa0a @@ -236574,6 +295718,8 @@ 1 1 0 +0 +4 2 0xb33d 0xe5dad05e @@ -236582,6 +295728,8 @@ 0 0 0 +3 +0 1 0x913b 0x1ff137b3 @@ -236590,6 +295738,8 @@ 0 0 0 +5 +1 4 0x7244 0x55a05535 @@ -236599,6 +295749,8 @@ 0 0 3 +2 +3 0x3dd8 0x26131d59 256 @@ -236606,6 +295758,8 @@ 0 0 0 +5 +2 4 0x522b 0x520c4894 @@ -236615,6 +295769,8 @@ 1 0 3 +3 +3 0x7162 0xa5d15bda 256 @@ -236622,6 +295778,8 @@ 1 1 0 +5 +4 3 0x6b45 0xaff98c87 @@ -236631,6 +295789,8 @@ 1 0 4 +3 +4 0xf17 0x5298129c 256 @@ -236638,6 +295798,8 @@ 1 1 0 +2 +5 1 0x83e2 0xdf9cb73 @@ -236646,6 +295808,8 @@ 0 0 0 +1 +3 4 0x88ef 0xae7d2d9 @@ -236654,6 +295818,8 @@ 1 1 0 +2 +2 3 0x3ad3 0x220117cc @@ -236662,6 +295828,8 @@ 0 0 0 +0 +5 3 0xe81 0xff536d03 @@ -236670,6 +295838,8 @@ 1 1 0 +3 +5 4 0x52e3 0x58336157 @@ -236678,6 +295848,8 @@ 0 0 0 +5 +4 2 0x3441 0x5759a7fe @@ -236686,6 +295858,8 @@ 0 0 0 +4 +5 3 0xbfb7 0xa0efb9da @@ -236695,6 +295869,8 @@ 0 0 2 +2 +2 0x551e 0x8339f544 256 @@ -236702,6 +295878,8 @@ 1 1 0 +4 +5 3 0xaf45 0xc5dc637 @@ -236710,6 +295888,8 @@ 1 0 0 +4 +4 2 0x4320 0x6e7636be @@ -236718,6 +295898,8 @@ 1 1 0 +1 +5 4 0xd9d9 0x649f187c @@ -236726,6 +295908,8 @@ 1 0 0 +1 +3 3 0xd07 0xc4d34cf9 @@ -236735,6 +295919,8 @@ 1 0 3 +5 +3 0x43de 0x9320c6a3 256 @@ -236743,6 +295929,8 @@ 0 0 1 +2 +1 0xf9c8 0x40c5bf06 256 @@ -236750,6 +295938,8 @@ 0 0 0 +0 +3 2 0x1147 0x82d4e060 @@ -236758,6 +295948,8 @@ 0 0 0 +3 +5 2 0x8ff4 0x78c80e1f @@ -236766,6 +295958,8 @@ 0 0 0 +3 +0 2 0xe6ae 0xccaebbd9 @@ -236774,6 +295968,8 @@ 1 1 0 +1 +0 2 0xa852 0x6a24f104 @@ -236782,6 +295978,8 @@ 0 0 0 +2 +2 1 0xd233 0x8fb59609 @@ -236790,6 +295988,8 @@ 0 0 0 +4 +4 1 0xcfa7 0x499b323e @@ -236798,6 +295998,8 @@ 1 1 0 +5 +4 4 0xbc4e 0x34f4d2fb @@ -236807,6 +296009,8 @@ 0 0 3 +3 +3 0x2327 0xf4208e18 256 @@ -236814,6 +296018,8 @@ 0 0 0 +4 +3 2 0xbbfe 0x6f7ce7a8 @@ -236822,6 +296028,8 @@ 1 0 0 +2 +5 1 0x62bf 0x2f9ad43a @@ -236831,6 +296039,8 @@ 0 0 2 +2 +2 0xdb6f 0x431ea5de 256 @@ -236838,6 +296048,8 @@ 1 1 0 +0 +3 4 0x9315 0x27154d31 @@ -236846,6 +296058,8 @@ 0 0 0 +1 +0 3 0x738f 0x24ee9dd7 @@ -236854,6 +296068,8 @@ 1 1 0 +3 +3 2 0xc15d 0x75ce98a2 @@ -236862,6 +296078,8 @@ 0 0 0 +4 +4 1 0xa0d8 0xe7b2b382 @@ -236870,6 +296088,8 @@ 0 0 0 +2 +1 3 0xf977 0x902c7c84 @@ -236878,6 +296098,8 @@ 1 1 0 +2 +0 3 0x834c 0x6df623d5 @@ -236886,6 +296108,8 @@ 1 1 0 +0 +2 3 0xb4a3 0x770208 @@ -236895,6 +296119,8 @@ 1 0 2 +5 +2 0x74cb 0x46a06add 256 @@ -236902,6 +296128,8 @@ 0 0 0 +1 +5 4 0x843f 0x32602f69 @@ -236911,6 +296139,8 @@ 1 0 1 +4 +1 0x3bc9 0x98e17dc 256 @@ -236918,6 +296148,8 @@ 1 0 0 +0 +1 1 0xe09f 0xfd2b9dd1 @@ -236926,6 +296158,8 @@ 1 0 0 +5 +4 2 0x9788 0xf7eca6d1 @@ -236934,6 +296168,8 @@ 1 1 0 +4 +2 1 0x6161 0xae9daf2 @@ -236942,6 +296178,8 @@ 0 0 0 +5 +5 3 0x46c5 0x747d7f6 @@ -236950,6 +296188,8 @@ 0 0 0 +1 +5 2 0xc5fe 0x37e3713f @@ -236958,6 +296198,8 @@ 0 0 0 +1 +0 3 0x3a39 0xe52f0178 @@ -236966,6 +296208,8 @@ 1 1 0 +5 +4 4 0x239f 0xc704db33 @@ -236974,6 +296218,8 @@ 1 0 0 +4 +2 1 0xbff2 0xfd59e643 @@ -236982,6 +296228,8 @@ 0 0 0 +1 +5 4 0x4be7 0x99aab452 @@ -236990,6 +296238,8 @@ 1 1 0 +3 +2 2 0x6cee 0xe58bbab6 @@ -236998,6 +296248,8 @@ 0 0 0 +2 +2 3 0x4adf 0x58f3957c @@ -237006,6 +296258,8 @@ 0 0 0 +5 +0 3 0xac7a 0x1e1c1840 @@ -237014,6 +296268,8 @@ 0 0 0 +0 +4 4 0xedd5 0x2954981d @@ -237022,6 +296278,8 @@ 1 0 0 +0 +4 2 0xdb6 0xd2a3b696 @@ -237030,6 +296288,8 @@ 0 0 0 +3 +4 4 0x892e 0x8ee5c93f @@ -237038,6 +296298,8 @@ 0 0 0 +0 +3 4 0x5e5b 0xadce4f2a @@ -237046,6 +296308,8 @@ 1 0 0 +1 +5 3 0x9a45 0x1e93d7f5 @@ -237054,6 +296318,8 @@ 1 0 0 +0 +2 1 0xd865 0x66ec1364 @@ -237062,6 +296328,8 @@ 1 0 0 +0 +4 1 0x7fd0 0xe723b1cb @@ -237070,6 +296338,8 @@ 0 0 0 +0 +2 3 0xfec5 0xe89065a8 @@ -237078,6 +296348,8 @@ 0 0 0 +2 +3 3 0xa66b 0xed89c1d4 @@ -237086,6 +296358,8 @@ 1 1 0 +4 +1 3 0xf4d2 0x474a774c @@ -237094,6 +296368,8 @@ 0 0 0 +3 +1 2 0xc5f3 0x604e9d34 @@ -237102,6 +296378,8 @@ 1 1 0 +2 +0 3 0xf80e 0xd38427d1 @@ -237110,6 +296388,8 @@ 0 0 0 +3 +5 4 0xff71 0x5f24fb1e @@ -237118,6 +296398,8 @@ 1 1 0 +0 +3 4 0x2b1b 0xe8c79b4f @@ -237126,6 +296408,8 @@ 1 0 0 +4 +2 3 0x48fb 0xe733f680 @@ -237134,6 +296418,8 @@ 0 0 0 +0 +3 2 0x13bb 0x6b23b0c2 @@ -237142,6 +296428,8 @@ 0 0 0 +2 +4 3 0x34d2 0xa931ee8e @@ -237150,6 +296438,8 @@ 0 0 0 +4 +3 1 0x3db6 0xf1012728 @@ -237158,6 +296448,8 @@ 0 0 0 +3 +3 1 0xd7ad 0x62bfda86 @@ -237167,6 +296459,8 @@ 1 0 4 +5 +4 0x643b 0x2a0109f5 256 @@ -237174,6 +296468,8 @@ 1 0 0 +4 +2 1 0xfa3a 0x910c2646 @@ -237182,6 +296478,8 @@ 1 0 0 +3 +5 1 0xfd93 0xf128958e @@ -237190,6 +296488,8 @@ 0 0 0 +0 +3 2 0xf7eb 0x2d35c473 @@ -237198,6 +296498,8 @@ 0 0 0 +2 +2 1 0xedea 0xe0517abd @@ -237206,6 +296508,8 @@ 1 1 0 +5 +3 3 0x68f1 0x9b327870 @@ -237215,6 +296519,8 @@ 0 0 4 +0 +4 0xb7f4 0x3417c549 256 @@ -237222,6 +296528,8 @@ 0 0 0 +5 +1 4 0x3099 0xf2578959 @@ -237230,6 +296538,8 @@ 1 1 0 +0 +1 2 0xec56 0x6a785784 @@ -237238,6 +296548,8 @@ 1 0 0 +1 +4 4 0x712f 0xe9ec52a3 @@ -237246,6 +296558,8 @@ 1 1 0 +2 +4 4 0xac6 0x7863a7b9 @@ -237255,6 +296569,8 @@ 0 0 3 +1 +3 0x8484 0x1b831f62 256 @@ -237262,6 +296578,8 @@ 0 0 0 +0 +2 1 0x1f32 0x272b1603 @@ -237270,6 +296588,8 @@ 0 0 0 +2 +4 4 0xf142 0x93abaec0 @@ -237278,6 +296598,8 @@ 1 0 0 +1 +3 2 0xa2ac 0xd79d4f91 @@ -237286,6 +296608,8 @@ 1 0 0 +3 +4 2 0x88e3 0x334da6b0 @@ -237294,6 +296618,8 @@ 0 0 0 +0 +4 1 0x8048 0x525253f6 @@ -237302,6 +296628,8 @@ 1 0 0 +3 +4 1 0xfeb1 0xc6006677 @@ -237311,6 +296639,8 @@ 0 0 1 +4 +1 0xcec0 0xeb1750c 256 @@ -237318,6 +296648,8 @@ 1 0 0 +5 +1 1 0x7592 0x2309d785 @@ -237326,6 +296658,8 @@ 1 1 0 +3 +0 2 0x4d42 0x89e03134 @@ -237334,6 +296668,8 @@ 0 0 0 +2 +2 1 0x3f86 0xea873660 @@ -237342,6 +296678,8 @@ 1 1 0 +0 +4 4 0x4c1d 0x3031d562 @@ -237350,6 +296688,8 @@ 1 1 0 +5 +5 1 0x21de 0x3d7cdabf @@ -237358,6 +296698,8 @@ 1 0 0 +5 +2 3 0x335f 0x3c6e1732 @@ -237366,6 +296708,8 @@ 0 0 0 +2 +5 4 0xa433 0xc22d2029 @@ -237374,6 +296718,8 @@ 0 0 0 +5 +1 4 0xd769 0x646534da @@ -237382,6 +296728,8 @@ 1 1 0 +4 +2 1 0xdf49 0xf92f3a68 @@ -237390,6 +296738,8 @@ 1 1 0 +3 +5 2 0xf69a 0xe961a4f0 @@ -237399,6 +296749,8 @@ 0 0 1 +0 +1 0xaac5 0x65ac4b86 256 @@ -237406,6 +296758,8 @@ 0 0 0 +0 +1 4 0x832e 0x3595ca8f @@ -237414,6 +296768,8 @@ 0 0 0 +2 +3 3 0x15be 0x140d76eb @@ -237422,6 +296778,8 @@ 0 0 0 +3 +2 4 0xf1b8 0x82654fec @@ -237430,6 +296788,8 @@ 0 0 0 +2 +3 3 0xf520 0x2c94421d @@ -237438,6 +296798,8 @@ 1 0 0 +2 +1 4 0x14be 0x1b3a9a9c @@ -237447,6 +296809,8 @@ 0 0 1 +5 +1 0x7280 0x2c2dd4c4 256 @@ -237454,6 +296818,8 @@ 1 0 0 +0 +1 1 0x5d33 0x1acfd8d0 @@ -237463,6 +296829,8 @@ 0 0 3 +4 +3 0x23cb 0xa6317b9f 256 @@ -237470,6 +296838,8 @@ 0 0 0 +5 +2 2 0x7694 0xa253698c @@ -237478,6 +296848,8 @@ 1 0 0 +5 +5 4 0x9c6c 0x4ae28fb3 @@ -237486,6 +296858,8 @@ 0 0 0 +0 +1 1 0x9082 0x22851b84 @@ -237494,6 +296868,8 @@ 1 0 0 +1 +2 4 0x580 0xd4750c1c @@ -237502,6 +296878,8 @@ 0 0 0 +5 +0 1 0xd15e 0xd1920fdb @@ -237510,6 +296888,8 @@ 1 0 0 +0 +0 2 0xfded 0x1b20a0e0 @@ -237518,6 +296898,8 @@ 1 1 0 +1 +4 2 0x1c9c 0x5e47faf9 @@ -237526,6 +296908,8 @@ 0 0 0 +0 +4 3 0x6dd6 0xe6602cd9 @@ -237534,6 +296918,8 @@ 1 0 0 +3 +1 1 0x9e5d 0x1988f17b @@ -237542,6 +296928,8 @@ 1 0 0 +2 +4 4 0x9902 0xbd91f85f @@ -237550,6 +296938,8 @@ 0 0 0 +4 +2 1 0x84c1 0x9403107a @@ -237559,6 +296949,8 @@ 0 0 3 +2 +3 0x9d36 0xec3dbe2c 256 @@ -237566,6 +296958,8 @@ 0 0 0 +0 +3 2 0x2dcd 0x2576e51b @@ -237574,6 +296968,8 @@ 0 0 0 +1 +3 3 0x7141 0xefe3230 @@ -237582,6 +296978,8 @@ 1 0 0 +4 +3 3 0x730a 0xc0e9f149 @@ -237590,6 +296988,8 @@ 1 0 0 +0 +3 3 0xb5fe 0x9518ce0d @@ -237598,6 +296998,8 @@ 1 1 0 +0 +3 4 0x8147 0x1823844f @@ -237607,6 +297009,8 @@ 1 0 1 +4 +1 0xe394 0xff9c75ee 256 @@ -237614,6 +297018,8 @@ 0 0 0 +0 +2 2 0x366a 0x2fd5ecc @@ -237622,6 +297028,8 @@ 0 0 0 +5 +2 2 0x9054 0xc231009b @@ -237630,6 +297038,8 @@ 0 0 0 +5 +5 1 0x5ef7 0x41739885 @@ -237639,6 +297049,8 @@ 0 0 3 +0 +3 0x3a9f 0x4c4a4c43 256 @@ -237647,6 +297059,8 @@ 0 0 3 +3 +3 0x379f 0xbef6b59 256 @@ -237655,6 +297069,8 @@ 0 0 4 +4 +4 0x8b10 0x543f21fd 256 @@ -237662,6 +297078,8 @@ 0 0 0 +3 +5 2 0xfd51 0x55a7f4e7 @@ -237670,6 +297088,8 @@ 1 0 0 +3 +1 4 0x7fd3 0x2cca7bcc @@ -237678,6 +297098,8 @@ 0 0 0 +3 +4 2 0xa108 0x232f7a47 @@ -237686,6 +297108,8 @@ 1 0 0 +0 +1 1 0xbbf1 0x6d7da703 @@ -237695,6 +297119,8 @@ 0 0 4 +4 +4 0xf859 0x81bbe6b2 256 @@ -237702,6 +297128,8 @@ 1 0 0 +3 +0 2 0xa508 0xf66c15d0 @@ -237710,6 +297138,8 @@ 1 0 0 +0 +3 4 0x9feb 0xc439a3dd @@ -237718,6 +297148,8 @@ 0 0 0 +2 +3 4 0x3dbf 0x423c92a0 @@ -237726,6 +297158,8 @@ 0 0 0 +3 +0 2 0x681a 0x840cd7d6 @@ -237734,6 +297168,8 @@ 1 0 0 +3 +2 4 0xc477 0x68ae237f @@ -237742,6 +297178,8 @@ 1 1 0 +4 +2 2 0xa432 0x37737a97 @@ -237750,6 +297188,8 @@ 0 0 0 +0 +3 3 0x7d5e 0x1a3aa456 @@ -237759,6 +297199,8 @@ 1 0 1 +0 +1 0x960e 0x781932dd 256 @@ -237766,6 +297208,8 @@ 1 1 0 +4 +3 1 0x6815 0x80a8a06e @@ -237774,6 +297218,8 @@ 1 0 0 +5 +4 1 0x6b 0x37d5e61d @@ -237782,6 +297228,8 @@ 0 0 0 +5 +1 2 0x8be7 0x6417899b @@ -237790,6 +297238,8 @@ 0 0 0 +0 +3 3 0xfaff 0xfaf5736e @@ -237798,6 +297248,8 @@ 0 0 0 +1 +4 4 0xd2 0x7fd54757 @@ -237806,6 +297258,8 @@ 1 1 0 +0 +0 2 0xc5c9 0xe54eb3a7 @@ -237815,6 +297269,8 @@ 0 0 3 +5 +3 0x45b8 0x690da59b 256 @@ -237822,6 +297278,8 @@ 1 1 0 +5 +5 4 0x7536 0x74b5fda2 @@ -237830,6 +297288,8 @@ 0 0 0 +5 +3 4 0xa0eb 0xef9c477c @@ -237838,6 +297298,8 @@ 0 0 0 +4 +1 1 0xfc96 0x15cacd6f @@ -237846,6 +297308,8 @@ 0 0 0 +3 +2 1 0x87d2 0x4ca27db4 @@ -237854,6 +297318,8 @@ 0 0 0 +2 +1 3 0xf4f9 0x27e5d5f7 @@ -237862,6 +297328,8 @@ 0 0 0 +0 +0 3 0xe084 0xb26398b1 @@ -237870,6 +297338,8 @@ 0 0 0 +2 +3 4 0x7be4 0x1722695d @@ -237879,6 +297349,8 @@ 1 0 4 +1 +4 0x7dec 0xe6ef1bc6 256 @@ -237886,6 +297358,8 @@ 0 0 0 +2 +4 3 0x173f 0xc6f63226 @@ -237894,6 +297368,8 @@ 1 1 0 +2 +1 3 0x8d17 0x4a8d7296 @@ -237902,6 +297378,8 @@ 0 0 0 +4 +5 3 0x693e 0x58f3809 @@ -237910,6 +297388,8 @@ 0 0 0 +1 +3 3 0x3352 0xe987b901 @@ -237918,6 +297398,8 @@ 0 0 0 +4 +5 2 0x953 0x9a2adfae @@ -237926,6 +297408,8 @@ 0 0 0 +1 +0 4 0x96f8 0x41f49463 @@ -237934,6 +297418,8 @@ 1 1 0 +4 +1 2 0x3cc1 0xee8c3a24 @@ -237942,6 +297428,8 @@ 1 1 0 +3 +3 1 0xe642 0x35f5877e @@ -237950,6 +297438,8 @@ 1 1 0 +0 +1 3 0x7f7c 0xf3bcf0b8 @@ -237958,6 +297448,8 @@ 0 0 0 +0 +2 4 0xa3f6 0x5431aa2e @@ -237966,6 +297458,8 @@ 1 0 0 +2 +0 4 0x4f08 0xe5130b7 @@ -237975,6 +297469,8 @@ 0 0 4 +0 +4 0x3eec 0x12b08945 256 @@ -237982,6 +297478,8 @@ 1 1 0 +4 +4 1 0xd3b8 0xbb10f726 @@ -237991,6 +297489,8 @@ 0 0 2 +3 +2 0xd999 0xc18e2f0 256 @@ -237998,6 +297498,8 @@ 0 0 0 +2 +4 1 0xac87 0xeb4d89e2 @@ -238006,6 +297508,8 @@ 0 0 0 +2 +4 1 0x51e2 0xf9a81fc1 @@ -238014,6 +297518,8 @@ 0 0 0 +1 +0 3 0xe578 0xa135e6ab @@ -238022,6 +297528,8 @@ 0 0 0 +3 +3 1 0xefe7 0xb5f5269a @@ -238030,6 +297538,8 @@ 1 1 0 +5 +0 1 0x4158 0x5e5fbc3e @@ -238038,6 +297548,8 @@ 1 0 0 +5 +3 3 0x11bc 0xe9e7eba6 @@ -238046,6 +297558,8 @@ 0 0 0 +1 +5 2 0xa157 0x568b9553 @@ -238054,6 +297568,8 @@ 0 0 0 +4 +0 2 0xa0de 0x4497fb46 @@ -238062,6 +297578,8 @@ 0 0 0 +5 +5 4 0xf21d 0x4edd869f @@ -238071,6 +297589,8 @@ 0 0 3 +0 +3 0xa5b0 0xf07b7d2b 256 @@ -238078,6 +297598,8 @@ 1 0 0 +4 +5 1 0x8ff7 0x271766c7 @@ -238087,6 +297609,8 @@ 0 0 3 +3 +3 0xd3f 0x951bf90a 256 @@ -238094,6 +297618,8 @@ 0 0 0 +2 +5 4 0x59f4 0x8620ac75 @@ -238102,6 +297628,8 @@ 0 0 0 +0 +2 1 0xef4a 0xfd352496 @@ -238110,6 +297638,8 @@ 1 0 0 +2 +1 1 0xb8ec 0xdd6ff247 @@ -238118,6 +297648,8 @@ 1 1 0 +4 +0 2 0x3894 0xef911fdf @@ -238126,6 +297658,8 @@ 0 0 0 +0 +0 4 0x553b 0x1c4c6777 @@ -238135,6 +297669,8 @@ 0 0 4 +1 +4 0x7c70 0x16f3a8d5 256 @@ -238142,6 +297678,8 @@ 1 0 0 +3 +4 2 0xcc86 0xe4019b45 @@ -238150,6 +297688,8 @@ 1 0 0 +3 +3 1 0xff45 0x8fdf0ec @@ -238158,6 +297698,8 @@ 0 0 0 +4 +2 3 0x82ba 0x40894d5a @@ -238166,6 +297708,8 @@ 1 0 0 +4 +4 1 0x82ce 0x39bcf457 @@ -238174,6 +297718,8 @@ 1 1 0 +5 +4 1 0x902 0xf8af7072 @@ -238182,6 +297728,8 @@ 1 0 0 +0 +4 3 0xada6 0x82730fc0 @@ -238190,6 +297738,8 @@ 1 1 0 +2 +5 3 0x4f7d 0x3c0fd5fd @@ -238199,6 +297749,8 @@ 0 0 4 +3 +4 0xc27a 0xb07963f9 256 @@ -238206,6 +297758,8 @@ 1 1 0 +0 +1 3 0x471b 0x66d9153f @@ -238214,6 +297768,8 @@ 0 0 0 +4 +2 3 0x31a6 0xe8869cdd @@ -238223,6 +297779,8 @@ 0 0 1 +3 +1 0xdbe5 0xa66ac954 256 @@ -238230,6 +297788,8 @@ 0 0 0 +5 +0 2 0xc03f 0xdb3a4dc6 @@ -238238,6 +297798,8 @@ 0 0 0 +3 +3 1 0xc92a 0xf308d58e @@ -238246,6 +297808,8 @@ 0 0 0 +5 +4 4 0x8741 0x620a1d52 @@ -238255,6 +297819,8 @@ 0 0 3 +0 +3 0xf556 0x9d490087 256 @@ -238262,6 +297828,8 @@ 0 0 0 +4 +3 2 0x4e4e 0xe75ee3eb @@ -238270,6 +297838,8 @@ 0 0 0 +0 +0 3 0x26f 0xe8000f31 @@ -238278,6 +297848,8 @@ 1 1 0 +0 +3 3 0x7e98 0xfbe51c1f @@ -238286,6 +297858,8 @@ 1 1 0 +4 +2 3 0xd6b 0xb4aba2b1 @@ -238294,6 +297868,8 @@ 0 0 0 +2 +0 1 0x7960 0x68c1f357 @@ -238302,6 +297878,8 @@ 0 0 0 +5 +4 4 0x592c 0x366a7f28 @@ -238310,6 +297888,8 @@ 1 1 0 +3 +1 4 0xa1f2 0xe3a4099e @@ -238319,6 +297899,8 @@ 0 0 2 +2 +2 0xd943 0xaf2a1e26 256 @@ -238326,6 +297908,8 @@ 1 0 0 +1 +5 3 0x77d4 0xa22b4c1c @@ -238334,6 +297918,8 @@ 1 1 0 +2 +2 1 0x3481 0x5b33134e @@ -238342,6 +297928,8 @@ 0 0 0 +0 +1 4 0xf3c6 0xe1af1598 @@ -238350,6 +297938,8 @@ 1 1 0 +2 +0 1 0x1ceb 0x6f3c584d @@ -238358,6 +297948,8 @@ 0 0 0 +2 +1 3 0xbaed 0x126f40f8 @@ -238366,6 +297958,8 @@ 0 0 0 +3 +0 4 0xb427 0x69acec6 @@ -238374,6 +297968,8 @@ 1 1 0 +2 +2 4 0x1e9b 0xdef3bdb1 @@ -238382,6 +297978,8 @@ 1 1 0 +0 +3 1 0xb881 0x1c53e6df @@ -238390,6 +297988,8 @@ 0 0 0 +4 +1 2 0xe206 0x515f652b @@ -238398,6 +297998,8 @@ 1 1 0 +2 +3 1 0x692a 0xfdc7a677 @@ -238406,6 +298008,8 @@ 1 1 0 +3 +5 2 0xb4e9 0x17baf301 @@ -238415,6 +298019,8 @@ 0 0 4 +2 +4 0x19e6 0x280bddc6 256 @@ -238422,6 +298028,8 @@ 1 1 0 +0 +1 3 0x76d4 0xb57e31f5 @@ -238431,6 +298039,8 @@ 0 0 3 +1 +3 0xa685 0x210076cb 256 @@ -238439,6 +298049,8 @@ 0 0 1 +1 +1 0x19f9 0x3fe2fced 256 @@ -238446,6 +298058,8 @@ 0 0 0 +2 +3 4 0x78dd 0x8d200264 @@ -238454,6 +298068,8 @@ 0 0 0 +1 +3 2 0xc71a 0x5719a8bf @@ -238462,6 +298078,8 @@ 0 0 0 +2 +4 1 0xb4c3 0x27a1e077 @@ -238470,6 +298088,8 @@ 1 1 0 +3 +5 4 0x25e3 0xbbb7c6b5 @@ -238478,6 +298098,8 @@ 1 0 0 +2 +1 4 0xab3d 0x7023b8fe @@ -238486,6 +298108,8 @@ 1 1 0 +1 +4 4 0x27c0 0x315189e5 @@ -238495,6 +298119,8 @@ 0 0 1 +1 +1 0xdc31 0xf0f3ae5d 256 @@ -238502,6 +298128,8 @@ 1 0 0 +0 +5 2 0xbbf2 0x493e8c2c @@ -238510,6 +298138,8 @@ 1 1 0 +2 +4 3 0xfcfb 0xb1f6c27f @@ -238518,6 +298148,8 @@ 1 0 0 +3 +0 2 0x2b9 0xe5c5a579 @@ -238526,6 +298158,8 @@ 0 0 0 +3 +5 1 0xd10a 0xeb49ef28 @@ -238534,6 +298168,8 @@ 1 0 0 +4 +3 3 0x977 0xa10eaef6 @@ -238542,6 +298178,8 @@ 1 0 0 +4 +5 2 0x4220 0x6e666440 @@ -238551,6 +298189,8 @@ 0 0 3 +5 +3 0xb341 0x4df14645 256 @@ -238559,6 +298199,8 @@ 1 0 4 +2 +4 0xbb94 0xb1a3adcc 256 @@ -238567,6 +298209,8 @@ 0 0 4 +0 +4 0x4181 0x14939a7c 256 @@ -238574,6 +298218,8 @@ 0 0 0 +0 +4 4 0xa42a 0x483fcf33 @@ -238583,6 +298229,8 @@ 0 0 2 +4 +2 0x8ef2 0xb606a6eb 256 @@ -238590,6 +298238,8 @@ 0 0 0 +5 +4 1 0x694b 0xb5cc3e2e @@ -238598,6 +298248,8 @@ 1 1 0 +0 +0 4 0x6f53 0xb9746d74 @@ -238606,6 +298258,8 @@ 0 0 0 +3 +4 4 0xbf52 0xab85e32d @@ -238614,6 +298268,8 @@ 1 0 0 +3 +2 4 0xc079 0x22bceaab @@ -238622,6 +298278,8 @@ 1 1 0 +0 +3 3 0xeb5f 0x56216c8d @@ -238630,6 +298288,8 @@ 1 1 0 +3 +5 2 0xcfa6 0x140d74bd @@ -238639,6 +298299,8 @@ 0 0 2 +5 +2 0x5421 0x5be7fb74 256 @@ -238646,6 +298308,8 @@ 1 0 0 +5 +1 3 0x154d 0x215ae5 @@ -238654,6 +298318,8 @@ 0 0 0 +1 +3 2 0x2360 0xda2764e2 @@ -238662,6 +298328,8 @@ 1 1 0 +4 +5 3 0xae46 0xa7f60ec6 @@ -238670,6 +298338,8 @@ 0 0 0 +5 +5 4 0x9cfd 0x3666d0e @@ -238678,6 +298348,8 @@ 1 1 0 +5 +2 4 0xe1d3 0x19f02776 @@ -238686,6 +298358,8 @@ 0 0 0 +2 +0 3 0x3ad8 0x5deaf7f1 @@ -238694,6 +298368,8 @@ 1 0 0 +3 +2 4 0xd85a 0x6876994a @@ -238702,6 +298378,8 @@ 0 0 0 +5 +3 2 0x4e51 0x152d39bc @@ -238710,6 +298388,8 @@ 0 0 0 +4 +4 2 0x2873 0x74159b07 @@ -238718,6 +298398,8 @@ 1 0 0 +3 +1 2 0xf3 0xa571cae @@ -238726,6 +298408,8 @@ 0 0 0 +5 +4 3 0xef7f 0x27e55cfd @@ -238735,6 +298419,8 @@ 1 0 2 +3 +2 0xdcf1 0x5a58ab88 256 @@ -238742,6 +298428,8 @@ 1 0 0 +1 +3 3 0xcb09 0xce73ec64 @@ -238750,6 +298438,8 @@ 0 0 0 +4 +3 3 0x77b7 0x1a111150 @@ -238759,6 +298449,8 @@ 0 0 3 +3 +3 0x8477 0xf7bfb252 256 @@ -238766,6 +298458,8 @@ 0 0 0 +2 +2 1 0x4e76 0xde8f8e32 @@ -238774,6 +298468,8 @@ 1 0 0 +2 +4 4 0x8266 0x442b4a7e @@ -238782,6 +298478,8 @@ 0 0 0 +3 +3 1 0xb9e8 0xd4fbbf90 @@ -238790,6 +298488,8 @@ 1 0 0 +4 +3 2 0xd698 0x20f0c888 @@ -238798,6 +298498,8 @@ 0 0 0 +4 +1 3 0xe0c3 0xdd19de31 @@ -238806,6 +298508,8 @@ 1 0 0 +2 +4 3 0xeff0 0xbef52c7f @@ -238814,6 +298518,8 @@ 0 0 0 +0 +0 1 0x55e0 0x213e4349 @@ -238822,6 +298528,8 @@ 1 1 0 +5 +5 2 0x8ec 0xb4d2d8e4 @@ -238830,6 +298538,8 @@ 1 0 0 +5 +5 4 0xae98 0xfd48940a @@ -238839,6 +298549,8 @@ 0 0 3 +3 +3 0x45c8 0xf440f818 256 @@ -238846,6 +298558,8 @@ 0 0 0 +3 +4 4 0xd41 0xd76bc871 @@ -238854,6 +298568,8 @@ 1 0 0 +4 +3 3 0x6341 0x8cf19538 @@ -238862,6 +298578,8 @@ 0 0 0 +0 +2 2 0xe4ec 0x84bbaf21 @@ -238870,6 +298588,8 @@ 1 0 0 +1 +5 2 0x8c05 0xba2ff1a0 @@ -238879,6 +298599,8 @@ 0 0 1 +3 +1 0xd736 0x847dab29 256 @@ -238886,6 +298608,8 @@ 1 0 0 +4 +3 3 0x5ba 0x32d7cf2a @@ -238894,6 +298618,8 @@ 1 1 0 +0 +5 2 0xa6b6 0x37f32a00 @@ -238903,6 +298629,8 @@ 0 0 4 +3 +4 0x20ae 0x9be6964a 256 @@ -238910,6 +298638,8 @@ 1 1 0 +3 +1 4 0x3ad2 0xdded3e6b @@ -238918,6 +298648,8 @@ 1 0 0 +0 +5 4 0xdce7 0x999a13fa @@ -238926,6 +298658,8 @@ 1 1 0 +1 +2 3 0xda1e 0x9fd650fb @@ -238935,6 +298669,8 @@ 0 0 2 +3 +2 0xf56f 0x2f1330c3 256 @@ -238942,6 +298678,8 @@ 0 0 0 +0 +0 4 0x743 0xe708c76f @@ -238950,6 +298688,8 @@ 1 1 0 +5 +1 2 0x6442 0x4cbe3026 @@ -238958,6 +298698,8 @@ 0 0 0 +1 +2 3 0xb584 0x5f6ade6a @@ -238966,6 +298708,8 @@ 1 1 0 +3 +0 2 0xe705 0x846726c3 @@ -238975,6 +298719,8 @@ 1 0 2 +1 +2 0x4120 0xed107d85 256 @@ -238982,6 +298728,8 @@ 1 0 0 +3 +0 4 0x35c3 0xaf9ec25b @@ -238990,6 +298738,8 @@ 0 0 0 +4 +2 1 0x4584 0x5b2e9fb1 @@ -238998,6 +298748,8 @@ 1 1 0 +0 +0 1 0xf23c 0xd428b269 @@ -239006,6 +298758,8 @@ 1 0 0 +1 +2 4 0x3d92 0x70504b56 @@ -239014,6 +298768,8 @@ 1 0 0 +1 +5 2 0xa20c 0x83a39d55 @@ -239022,6 +298778,8 @@ 1 0 0 +5 +1 4 0x5c98 0xe4a0bb4b @@ -239031,6 +298789,8 @@ 0 0 4 +4 +4 0x2803 0xa4179b3b 256 @@ -239038,6 +298798,8 @@ 1 0 0 +1 +0 2 0x5626 0x4451eb3 @@ -239046,6 +298808,8 @@ 0 0 0 +1 +4 4 0xcae6 0x60d32f8a @@ -239054,6 +298818,8 @@ 0 0 0 +1 +1 3 0xc6de 0x1a8b7aa4 @@ -239062,6 +298828,8 @@ 1 1 0 +2 +1 3 0x97c2 0x6db65e78 @@ -239070,6 +298838,8 @@ 1 0 0 +3 +1 4 0x3673 0xdbff01e @@ -239078,6 +298848,8 @@ 0 0 0 +5 +3 3 0x434d 0xa7e0395c @@ -239086,6 +298858,8 @@ 1 1 0 +5 +0 3 0x599 0xae72d61d @@ -239094,6 +298868,8 @@ 1 0 0 +0 +5 2 0xa1c7 0xd4f3afa6 @@ -239103,6 +298879,8 @@ 0 0 2 +1 +2 0xce33 0x42447761 256 @@ -239110,6 +298888,8 @@ 0 0 0 +2 +0 1 0x555c 0xb8899518 @@ -239118,6 +298898,8 @@ 1 0 0 +3 +2 1 0x6078 0xac54b194 @@ -239126,6 +298908,8 @@ 0 0 0 +5 +1 1 0x606e 0x68a41f17 @@ -239134,6 +298918,8 @@ 1 0 0 +5 +1 2 0x87ea 0xd22b4a4c @@ -239142,6 +298928,8 @@ 1 1 0 +4 +5 1 0xc416 0x5862534a @@ -239150,6 +298938,8 @@ 0 0 0 +1 +4 2 0x1e40 0xc44ad497 @@ -239158,6 +298948,8 @@ 0 0 0 +4 +5 1 0x8ae 0x564b173c @@ -239166,6 +298958,8 @@ 0 0 0 +4 +0 3 0x5657 0x3ebe63f7 @@ -239174,6 +298968,8 @@ 1 1 0 +2 +5 3 0xd905 0x2bcb022d @@ -239182,6 +298978,8 @@ 0 0 0 +5 +2 3 0x6125 0x8c10b5f2 @@ -239190,6 +298988,8 @@ 1 0 0 +2 +2 3 0x76ae 0xcefa8a1a @@ -239198,6 +298998,8 @@ 0 0 0 +0 +0 3 0x20bc 0x74ce7f34 @@ -239206,6 +299008,8 @@ 0 0 0 +5 +4 2 0x9bba 0xc1b32ef1 @@ -239214,6 +299018,8 @@ 1 0 0 +3 +5 4 0x736 0xb6826b8d @@ -239222,6 +299028,8 @@ 0 0 0 +2 +1 4 0xdefa 0xd641d7da @@ -239230,6 +299038,8 @@ 1 0 0 +1 +1 3 0x7323 0xbd0ef3a4 @@ -239238,6 +299048,8 @@ 0 0 0 +4 +2 2 0xdbb2 0x10756889 @@ -239246,6 +299058,8 @@ 1 0 0 +2 +0 1 0xfb81 0xc67ca5b9 @@ -239255,6 +299069,8 @@ 1 0 3 +1 +3 0x2664 0xcf6eed67 256 @@ -239262,6 +299078,8 @@ 0 0 0 +5 +3 2 0xde2e 0x82246965 @@ -239270,6 +299088,8 @@ 1 0 0 +1 +2 2 0x2e6 0x3490f1df @@ -239278,6 +299098,8 @@ 0 0 0 +3 +4 1 0x650e 0x6a12bc3b @@ -239286,6 +299108,8 @@ 1 0 0 +2 +3 3 0xc31d 0x99c1d7ce @@ -239294,6 +299118,8 @@ 0 0 0 +1 +0 2 0x3df9 0x814288f1 @@ -239302,6 +299128,8 @@ 1 1 0 +5 +1 1 0x69a8 0x4d0a7b19 @@ -239310,6 +299138,8 @@ 1 0 0 +5 +5 1 0x5c9 0xb0fdccb7 @@ -239319,6 +299149,8 @@ 0 0 3 +2 +3 0x696e 0x4073caf9 256 @@ -239326,6 +299158,8 @@ 1 0 0 +1 +2 3 0xeb15 0xfdd50207 @@ -239334,6 +299168,8 @@ 1 1 0 +2 +1 4 0x3a35 0xa2da03bc @@ -239342,6 +299178,8 @@ 0 0 0 +1 +2 4 0xadc9 0xd4ee0164 @@ -239350,6 +299188,8 @@ 1 0 0 +4 +1 3 0xf997 0xe04ec7d3 @@ -239359,6 +299199,8 @@ 0 0 3 +3 +3 0xfdcf 0xc067ce7a 256 @@ -239366,6 +299208,8 @@ 0 0 0 +1 +3 3 0xcfb2 0x3d853fd0 @@ -239374,6 +299218,8 @@ 0 0 0 +2 +1 4 0xbaad 0xa093e2c4 @@ -239382,6 +299228,8 @@ 0 0 0 +2 +1 3 0xefdb 0x690fffa2 @@ -239390,6 +299238,8 @@ 0 0 0 +3 +1 2 0xf062 0xb05b2fb8 @@ -239399,6 +299249,8 @@ 0 0 2 +4 +2 0xcc49 0x5e47bcb8 256 @@ -239407,6 +299259,8 @@ 0 0 1 +0 +1 0x7d1 0x6c822096 256 @@ -239414,6 +299268,8 @@ 1 0 0 +3 +3 2 0xf961 0x3c6274ad @@ -239422,6 +299278,8 @@ 0 0 0 +3 +4 2 0x90ca 0x95733229 @@ -239430,6 +299288,8 @@ 1 0 0 +2 +2 1 0xe556 0x862ca972 @@ -239438,6 +299298,8 @@ 1 1 0 +2 +1 1 0xd579 0xac7a1181 @@ -239446,6 +299308,8 @@ 1 0 0 +1 +1 2 0x1602 0xc2f91ff2 @@ -239454,6 +299318,8 @@ 1 0 0 +5 +1 1 0xd6d7 0x2abcb3b0 @@ -239462,6 +299328,8 @@ 1 0 0 +4 +4 1 0xf72e 0x89b780be @@ -239470,6 +299338,8 @@ 1 0 0 +3 +3 4 0x836 0xa4a9b7ce @@ -239478,6 +299348,8 @@ 0 0 0 +0 +1 2 0xbf79 0xe7b040c @@ -239487,6 +299359,8 @@ 1 0 4 +5 +4 0xc16a 0xe3a48951 256 @@ -239494,6 +299368,8 @@ 0 0 0 +5 +2 4 0xfc62 0xf7865d65 @@ -239502,6 +299378,8 @@ 1 1 0 +5 +0 1 0x1a05 0x5fa1cd12 @@ -239510,6 +299388,8 @@ 0 0 0 +4 +1 3 0xe371 0x1d539ef4 @@ -239518,6 +299398,8 @@ 1 0 0 +2 +0 4 0xe3c 0x32ace810 @@ -239527,6 +299409,8 @@ 0 0 1 +0 +1 0xd4dd 0xb35570fe 256 @@ -239534,6 +299418,8 @@ 1 1 0 +5 +0 4 0x5ad6 0xc693589e @@ -239542,6 +299428,8 @@ 0 0 0 +0 +5 3 0xf47d 0xb736ffdb @@ -239551,6 +299439,8 @@ 0 0 1 +4 +1 0x8f5 0xb852e183 256 @@ -239558,6 +299448,8 @@ 1 1 0 +5 +4 3 0xd043 0x9f961e50 @@ -239566,6 +299458,8 @@ 1 1 0 +4 +5 1 0xb18f 0x5319d902 @@ -239574,6 +299468,8 @@ 1 0 0 +2 +4 3 0xc934 0xf9b0d3d3 @@ -239582,6 +299478,8 @@ 1 1 0 +2 +2 3 0x40b4 0x90a1e8bf @@ -239590,6 +299488,8 @@ 1 1 0 +0 +2 1 0xdbe1 0x4fb27cbb @@ -239598,6 +299498,8 @@ 0 0 0 +2 +2 4 0x2b1f 0xd46e7793 @@ -239606,6 +299508,8 @@ 0 0 0 +3 +3 2 0x7530 0xf7378ac7 @@ -239614,6 +299518,8 @@ 1 0 0 +1 +5 2 0xc7db 0x56b5b090 @@ -239622,6 +299528,8 @@ 1 1 0 +0 +0 2 0xae54 0x3f87062d @@ -239630,6 +299538,8 @@ 0 0 0 +5 +1 2 0x344d 0x4ab941c3 @@ -239638,6 +299548,8 @@ 0 0 0 +4 +0 1 0xbce6 0x4925ec6b @@ -239646,6 +299558,8 @@ 1 1 0 +0 +3 4 0x8e3b 0xc2a7612b @@ -239654,6 +299568,8 @@ 0 0 0 +5 +2 1 0xa2cc 0x30112591 @@ -239662,6 +299578,8 @@ 1 1 0 +3 +5 1 0x3688 0x7570600d @@ -239670,6 +299588,8 @@ 1 0 0 +1 +2 3 0x55e4 0x927023a @@ -239678,6 +299598,8 @@ 1 1 0 +4 +1 2 0xefa4 0x74cfd617 @@ -239686,6 +299608,8 @@ 1 0 0 +5 +3 2 0xcc96 0xc31def42 @@ -239694,6 +299618,8 @@ 1 0 0 +2 +2 3 0xd25d 0x92b8b5c4 @@ -239703,6 +299629,8 @@ 1 0 2 +4 +2 0x1b97 0xabc37be4 256 @@ -239710,6 +299638,8 @@ 1 1 0 +0 +3 4 0x4633 0x83911f7 @@ -239718,6 +299648,8 @@ 0 0 0 +3 +1 2 0xae16 0x13402cd @@ -239726,6 +299658,8 @@ 0 0 0 +2 +4 4 0xd4d8 0xf69c7d7f @@ -239734,6 +299668,8 @@ 0 0 0 +5 +3 2 0x6b04 0xec24fc75 @@ -239742,6 +299678,8 @@ 1 1 0 +1 +5 2 0xb9ec 0xec7285db @@ -239750,6 +299688,8 @@ 0 0 0 +4 +2 3 0x74f5 0xed9a3a18 @@ -239758,6 +299698,8 @@ 1 0 0 +5 +1 3 0x4cd9 0x23b9e3d3 @@ -239766,6 +299708,8 @@ 0 0 0 +5 +4 1 0x5d7 0x7cc66d1b @@ -239775,6 +299719,8 @@ 0 0 2 +0 +2 0xfef4 0xd4a85bb7 256 @@ -239782,6 +299728,8 @@ 1 0 0 +4 +0 1 0xb4f 0x7f7ed792 @@ -239790,6 +299738,8 @@ 0 0 0 +2 +0 1 0x4181 0x86411f01 @@ -239798,6 +299748,8 @@ 0 0 0 +4 +4 3 0x723 0x816ce05c @@ -239806,6 +299758,8 @@ 0 0 0 +2 +3 4 0xd080 0xd9c055fa @@ -239814,6 +299768,8 @@ 1 0 0 +1 +0 3 0x1f50 0xf23ed131 @@ -239822,6 +299778,8 @@ 1 1 0 +3 +3 2 0x9bec 0x798b6fb1 @@ -239830,6 +299788,8 @@ 0 0 0 +1 +5 3 0x5c0f 0xbe59e543 @@ -239838,6 +299798,8 @@ 0 0 0 +5 +5 3 0x52f7 0x999ad808 @@ -239846,6 +299808,8 @@ 0 0 0 +3 +5 4 0x9f72 0xcc3edaa8 @@ -239854,6 +299818,8 @@ 1 1 0 +5 +2 4 0x1fca 0x3e5bdd91 @@ -239862,6 +299828,8 @@ 1 1 0 +5 +2 4 0xece5 0x7d4dc393 @@ -239870,6 +299838,8 @@ 1 0 0 +4 +3 1 0xd5c 0x15d85b1b @@ -239878,6 +299848,8 @@ 1 1 0 +4 +1 1 0xc7ee 0x8f30e9c2 @@ -239886,6 +299858,8 @@ 0 0 0 +0 +1 3 0x346e 0x4c3a2abc @@ -239894,6 +299868,8 @@ 1 0 0 +1 +2 4 0x73eb 0xc233f258 @@ -239902,6 +299878,8 @@ 0 0 0 +2 +0 4 0x8b6c 0xd0806e07 @@ -239910,6 +299888,8 @@ 1 1 0 +4 +5 3 0x808 0xeeb23c93 @@ -239918,6 +299898,8 @@ 0 0 0 +2 +5 1 0xbc89 0xb4b12dfc @@ -239927,6 +299909,8 @@ 0 0 3 +5 +3 0x7839 0xabae2e84 256 @@ -239934,6 +299918,8 @@ 0 0 0 +0 +3 1 0x52fe 0x83d758e9 @@ -239942,6 +299928,8 @@ 1 0 0 +4 +1 3 0x2824 0xf55d9bde @@ -239950,6 +299938,8 @@ 1 1 0 +5 +0 3 0xa6ef 0xde8873b8 @@ -239958,6 +299948,8 @@ 1 1 0 +2 +4 1 0x522 0xa17bc659 @@ -239966,6 +299958,8 @@ 0 0 0 +2 +0 1 0xd2b8 0x848bb7f1 @@ -239974,6 +299968,8 @@ 1 1 0 +4 +4 2 0x9744 0x724d8fb9 @@ -239982,6 +299978,8 @@ 1 0 0 +3 +0 4 0xd2e4 0x575aa484 @@ -239990,6 +299988,8 @@ 0 0 0 +5 +2 1 0x1e45 0xc737100f @@ -239998,6 +299998,8 @@ 0 0 0 +2 +0 4 0x8f4f 0xc0b90cb4 @@ -240007,6 +300009,8 @@ 0 0 2 +0 +2 0x251 0x4c7f8dc5 256 @@ -240015,6 +300019,8 @@ 0 0 3 +0 +3 0xd655 0x66ed17a8 256 @@ -240022,6 +300028,8 @@ 0 0 0 +3 +4 4 0xec7e 0x2fccdd63 @@ -240030,6 +300038,8 @@ 0 0 0 +1 +4 4 0x8ad1 0x4ff5c11b @@ -240038,6 +300048,8 @@ 0 0 0 +5 +4 1 0x7178 0xdb0d3e34 @@ -240046,6 +300058,8 @@ 1 0 0 +3 +0 4 0x5dbd 0x6ad785c8 @@ -240054,6 +300068,8 @@ 0 0 0 +5 +5 1 0xf552 0x5237b3db @@ -240062,6 +300078,8 @@ 0 0 0 +5 +4 1 0x6c26 0xb7909713 @@ -240070,6 +300088,8 @@ 0 0 0 +5 +4 4 0xd4a9 0x63620142 @@ -240078,6 +300098,8 @@ 0 0 0 +5 +0 1 0xf36c 0xa93c6f0 @@ -240086,6 +300108,8 @@ 1 1 0 +0 +4 1 0xe6d4 0x60a3f756 @@ -240094,6 +300118,8 @@ 1 1 0 +5 +0 3 0xacad 0x14abc0 @@ -240102,6 +300128,8 @@ 0 0 0 +1 +1 2 0x9d3f 0xb0323fea @@ -240110,6 +300138,8 @@ 1 0 0 +3 +1 4 0x8bb5 0xacbaade6 @@ -240118,6 +300148,8 @@ 0 0 0 +0 +5 2 0xc9e 0x1cd27dc4 @@ -240126,6 +300158,8 @@ 1 0 0 +2 +5 4 0xed26 0xc1b64d55 @@ -240134,6 +300168,8 @@ 1 0 0 +2 +2 1 0x6678 0xee3e9f8e @@ -240143,6 +300179,8 @@ 1 0 2 +4 +2 0x2173 0xba5e1d74 256 @@ -240150,6 +300188,8 @@ 1 1 0 +2 +1 1 0xe978 0x6222c0fc @@ -240158,6 +300198,8 @@ 0 0 0 +1 +3 2 0xdd19 0x6bd26020 @@ -240166,6 +300208,8 @@ 1 1 0 +0 +0 1 0xa988 0xc67403d6 @@ -240174,6 +300218,8 @@ 1 0 0 +1 +0 2 0xd4f 0x1b644806 @@ -240182,6 +300228,8 @@ 1 1 0 +0 +3 3 0x3d68 0x8de2bd8d @@ -240190,6 +300238,8 @@ 1 1 0 +3 +2 4 0x7f97 0xd45200d2 @@ -240199,6 +300249,8 @@ 0 0 4 +5 +4 0x5d 0x43cc51de 256 @@ -240206,6 +300258,8 @@ 1 1 0 +2 +1 3 0xfb1b 0x35ae3afa @@ -240214,6 +300268,8 @@ 0 0 0 +5 +4 1 0xde1d 0xa6b0b3b0 @@ -240222,6 +300278,8 @@ 0 0 0 +1 +0 4 0xf15 0xe0c11b35 @@ -240230,6 +300288,8 @@ 1 0 0 +5 +4 2 0x897b 0x9e214894 @@ -240238,6 +300298,8 @@ 1 0 0 +0 +5 3 0x4c92 0x61f18444 @@ -240246,6 +300308,8 @@ 0 0 0 +0 +5 3 0x2f92 0xcf63f37f @@ -240254,6 +300318,8 @@ 1 0 0 +4 +4 2 0x3b9e 0xa1fcfefd @@ -240262,6 +300328,8 @@ 0 0 0 +5 +5 4 0x1865 0xc895f733 @@ -240270,6 +300338,8 @@ 1 1 0 +4 +0 2 0x281e 0x1707d20f @@ -240278,6 +300348,8 @@ 1 0 0 +2 +3 1 0x89ad 0x40c56c96 @@ -240287,6 +300359,8 @@ 0 0 1 +5 +1 0x6b7a 0xdf42f4f2 256 @@ -240295,6 +300369,8 @@ 0 0 2 +2 +2 0x7283 0xa59f82ba 256 @@ -240302,6 +300378,8 @@ 1 0 0 +1 +1 2 0x3f6e 0xf66a5500 @@ -240310,6 +300388,8 @@ 0 0 0 +4 +0 1 0x58d7 0x83f42193 @@ -240318,6 +300398,8 @@ 1 0 0 +3 +3 4 0x39a3 0x28a57fb2 @@ -240326,6 +300408,8 @@ 1 0 0 +3 +0 2 0x6d44 0xe6400e1d @@ -240334,6 +300418,8 @@ 0 0 0 +2 +1 4 0x6711 0xb748479c @@ -240342,6 +300428,8 @@ 1 1 0 +5 +2 1 0xa217 0xca106444 @@ -240350,6 +300438,8 @@ 1 1 0 +3 +3 1 0xc18a 0x1794a0e5 @@ -240358,6 +300448,8 @@ 0 0 0 +5 +3 2 0x8250 0x81096074 @@ -240366,6 +300458,8 @@ 1 1 0 +3 +0 2 0x4607 0x834d7f61 @@ -240374,6 +300468,8 @@ 1 0 0 +0 +2 2 0xd28e 0xf5af4cfc @@ -240382,6 +300478,8 @@ 0 0 0 +5 +4 1 0x2286 0x82920c08 @@ -240390,6 +300488,8 @@ 0 0 0 +2 +0 3 0xf8e7 0x1545169 @@ -240399,6 +300499,8 @@ 1 0 2 +1 +2 0x6d55 0xb1053643 256 @@ -240406,6 +300508,8 @@ 1 1 0 +1 +3 3 0x9ac6 0xc31266fd @@ -240414,6 +300518,8 @@ 1 0 0 +5 +1 1 0x523d 0xc051a7d3 @@ -240422,6 +300528,8 @@ 0 0 0 +2 +2 4 0x23eb 0x2f2c201c @@ -240431,6 +300539,8 @@ 0 0 3 +4 +3 0x26cf 0xf57fa93b 256 @@ -240439,6 +300549,8 @@ 0 0 1 +5 +1 0x99e4 0x1dfa58b 256 @@ -240446,6 +300558,8 @@ 0 0 0 +0 +2 4 0x9128 0x5421642a @@ -240454,6 +300568,8 @@ 1 0 0 +3 +5 1 0xbad9 0xa6577be2 @@ -240462,6 +300578,8 @@ 1 0 0 +0 +0 2 0x8da 0x1d620b11 @@ -240470,6 +300588,8 @@ 1 0 0 +1 +2 4 0xc790 0xefc1a5cd @@ -240478,6 +300598,8 @@ 0 0 0 +2 +0 4 0x927 0xc3c00b21 @@ -240486,6 +300608,8 @@ 1 1 0 +3 +0 1 0x9347 0x888469e7 @@ -240494,6 +300618,8 @@ 0 0 0 +5 +4 3 0x6f02 0xea78e06e @@ -240502,6 +300628,8 @@ 0 0 0 +4 +2 1 0xd406 0x85c9b031 @@ -240511,6 +300639,8 @@ 0 0 2 +3 +2 0xb620 0x3afc874f 256 @@ -240518,6 +300648,8 @@ 1 0 0 +5 +0 1 0x510a 0x7bd0288d @@ -240526,6 +300658,8 @@ 0 0 0 +5 +0 3 0x14c5 0x470ca4e0 @@ -240534,6 +300668,8 @@ 1 0 0 +1 +2 3 0xdd85 0x8f1bb79c @@ -240542,6 +300678,8 @@ 0 0 0 +5 +5 4 0xf9b2 0x230b581c @@ -240550,6 +300688,8 @@ 1 1 0 +4 +0 3 0xfd58 0xab1e9dc3 @@ -240558,6 +300698,8 @@ 1 0 0 +0 +4 3 0xb094 0x379c2fd8 @@ -240566,6 +300708,8 @@ 0 0 0 +0 +3 4 0xc04d 0x906ca005 @@ -240575,6 +300719,8 @@ 1 0 2 +2 +2 0xc194 0x58792e99 256 @@ -240582,6 +300728,8 @@ 0 0 0 +2 +5 3 0xf8a1 0x111518ee @@ -240591,6 +300739,8 @@ 0 0 4 +1 +4 0xed14 0xb7a44583 256 @@ -240598,6 +300748,8 @@ 1 1 0 +4 +0 3 0x4197 0xc1c2fcc8 @@ -240606,6 +300758,8 @@ 0 0 0 +5 +0 3 0x68c7 0x93db8d30 @@ -240614,6 +300768,8 @@ 0 0 0 +0 +2 3 0x96ba 0xc61b4585 @@ -240622,6 +300778,8 @@ 1 1 0 +5 +5 3 0x6c0e 0x2e5d274b @@ -240630,6 +300788,8 @@ 1 0 0 +3 +1 4 0x250c 0xd4646280 @@ -240638,6 +300798,8 @@ 0 0 0 +0 +0 4 0x959a 0x12b18594 @@ -240646,6 +300808,8 @@ 0 0 0 +0 +5 2 0x4f0 0x455aeccd @@ -240654,6 +300818,8 @@ 1 0 0 +2 +0 4 0xdda6 0x40d04b55 @@ -240663,6 +300829,8 @@ 0 0 3 +3 +3 0xc6dd 0xf518f8e 256 @@ -240670,6 +300838,8 @@ 1 1 0 +0 +0 2 0xc034 0x6e422b57 @@ -240678,6 +300848,8 @@ 0 0 0 +2 +0 3 0xe325 0xa13d13a6 @@ -240686,6 +300858,8 @@ 1 0 0 +4 +1 2 0x435f 0xbe3d9f90 @@ -240695,6 +300869,8 @@ 1 0 3 +1 +3 0x4db1 0x62e48cb2 256 @@ -240702,6 +300878,8 @@ 1 0 0 +0 +0 3 0xbd1 0x9caa441c @@ -240710,6 +300888,8 @@ 0 0 0 +1 +1 4 0x9724 0x6b29c970 @@ -240718,6 +300898,8 @@ 0 0 0 +0 +1 3 0xfa19 0xe26a23b5 @@ -240727,6 +300909,8 @@ 0 0 1 +2 +1 0x1a3b 0x52c9ccd3 256 @@ -240734,6 +300918,8 @@ 0 0 0 +3 +3 2 0x89af 0x411dbf1a @@ -240742,6 +300928,8 @@ 1 0 0 +2 +1 4 0xd970 0x2dec4b31 @@ -240750,6 +300938,8 @@ 0 0 0 +3 +1 4 0x56cf 0x904560a9 @@ -240758,6 +300948,8 @@ 0 0 0 +3 +3 1 0x412 0x58132de4 @@ -240766,6 +300958,8 @@ 1 0 0 +4 +5 1 0xdc1 0xf13f1af5 @@ -240774,6 +300968,8 @@ 0 0 0 +4 +5 2 0xa8d3 0xeecc6e50 @@ -240782,6 +300978,8 @@ 1 0 0 +2 +1 3 0xdd1f 0x4ecf83cd @@ -240790,6 +300988,8 @@ 1 0 0 +2 +0 1 0xccc4 0xd49569be @@ -240798,6 +300998,8 @@ 0 0 0 +5 +1 1 0x4fe2 0x88e6f77e @@ -240806,6 +301008,8 @@ 0 0 0 +4 +3 2 0x13d9 0x31ff624d @@ -240814,6 +301018,8 @@ 0 0 0 +0 +1 1 0x3a77 0x604b373a @@ -240822,6 +301028,8 @@ 1 0 0 +0 +0 4 0xc988 0x83497dff @@ -240830,6 +301038,8 @@ 0 0 0 +1 +4 4 0xdd10 0xca5ff8b @@ -240838,6 +301048,8 @@ 1 0 0 +2 +0 3 0x26fa 0xef2b390f @@ -240846,6 +301058,8 @@ 1 1 0 +4 +5 3 0xf59d 0x90682faf @@ -240854,6 +301068,8 @@ 1 1 0 +0 +0 3 0x299d 0x47e8476f @@ -240863,6 +301079,8 @@ 0 0 1 +5 +1 0x8fa 0x4b939051 256 @@ -240871,6 +301089,8 @@ 1 0 1 +2 +1 0xafd6 0x20b91f57 256 @@ -240879,6 +301099,8 @@ 0 0 1 +1 +1 0xf837 0x50e787da 256 @@ -240887,6 +301109,8 @@ 1 0 2 +5 +2 0x650d 0x4eaa0d5b 256 @@ -240895,6 +301119,8 @@ 0 0 2 +1 +2 0x1b91 0x2418e2b6 256 @@ -240902,6 +301128,8 @@ 1 0 0 +3 +3 4 0xd8be 0x5ec22b14 @@ -240910,6 +301138,8 @@ 1 0 0 +1 +1 4 0x5d89 0xfb4d073 @@ -240919,6 +301149,8 @@ 0 0 4 +3 +4 0xebe6 0x2712430 256 @@ -240926,6 +301158,8 @@ 1 1 0 +0 +2 4 0x3302 0xbcb1b565 @@ -240934,6 +301168,8 @@ 1 1 0 +1 +3 4 0x615b 0x7061f1da @@ -240942,6 +301178,8 @@ 1 1 0 +2 +2 3 0xe23 0x2d377bec @@ -240950,6 +301188,8 @@ 0 0 0 +2 +2 3 0xad6c 0xc11b12ab @@ -240958,6 +301198,8 @@ 0 0 0 +0 +0 3 0x2ae0 0xf56656de @@ -240966,6 +301208,8 @@ 1 1 0 +5 +2 2 0xcc62 0x131fe05e @@ -240974,6 +301218,8 @@ 0 0 0 +5 +0 1 0x78c6 0x157bf4f1 @@ -240983,6 +301229,8 @@ 0 0 2 +1 +2 0x8750 0xc8253e15 256 @@ -240990,6 +301238,8 @@ 1 0 0 +3 +1 1 0x44c3 0x963f70a3 @@ -240998,6 +301248,8 @@ 1 1 0 +3 +0 4 0x2289 0xd311b9df @@ -241006,6 +301258,8 @@ 1 1 0 +2 +2 4 0xb0cc 0xe2d8b887 @@ -241014,6 +301268,8 @@ 0 0 0 +5 +1 1 0x1ae3 0x9db2360c @@ -241022,6 +301278,8 @@ 0 0 0 +5 +1 2 0x194b 0xa4d69c7b @@ -241030,6 +301288,8 @@ 0 0 0 +2 +5 3 0x872f 0x26ba43e5 @@ -241039,6 +301299,8 @@ 1 0 1 +0 +1 0xb31 0x29f3cfb1 256 @@ -241046,6 +301308,8 @@ 1 1 0 +1 +1 2 0x9e8e 0xdbee3ac3 @@ -241054,6 +301318,8 @@ 1 0 0 +2 +1 1 0xaca4 0xfbc1723f @@ -241063,6 +301329,8 @@ 0 0 3 +2 +3 0x27f0 0xae27b8d0 256 @@ -241071,6 +301339,8 @@ 0 0 3 +1 +3 0x5b9d 0xae425c1f 256 @@ -241079,6 +301349,8 @@ 0 0 2 +2 +2 0xab9 0xd6a3126 256 @@ -241086,6 +301358,8 @@ 0 0 0 +1 +1 4 0x119d 0x6909a093 @@ -241094,6 +301368,8 @@ 1 1 0 +1 +3 4 0x6143 0x41191cdc @@ -241102,6 +301378,8 @@ 0 0 0 +5 +4 2 0xfe35 0xea5d8759 @@ -241111,6 +301389,8 @@ 0 0 2 +4 +2 0xf9d1 0x72d1182c 256 @@ -241118,6 +301398,8 @@ 1 1 0 +5 +3 2 0x853 0xe465608a @@ -241126,6 +301408,8 @@ 1 1 0 +5 +2 1 0x1641 0xfcba5186 @@ -241134,6 +301418,8 @@ 0 0 0 +1 +4 3 0xd1e3 0xc27114ef @@ -241143,6 +301429,8 @@ 0 0 3 +0 +3 0xd247 0xdde0848e 256 @@ -241150,6 +301438,8 @@ 0 0 0 +4 +2 3 0x8b5 0x82bf97af @@ -241158,6 +301448,8 @@ 0 0 0 +5 +0 2 0x94da 0x2366839c @@ -241166,6 +301458,8 @@ 1 0 0 +0 +1 3 0x129f 0xf3598bf1 @@ -241174,6 +301468,8 @@ 0 0 0 +4 +4 3 0xc718 0x45af4fc7 @@ -241183,6 +301479,8 @@ 0 0 3 +2 +3 0x3dbf 0x5c2939aa 256 @@ -241191,6 +301489,8 @@ 0 0 4 +2 +4 0x1ad9 0xa523ec72 256 @@ -241198,6 +301498,8 @@ 0 0 0 +3 +5 1 0x163d 0xc032cc66 @@ -241206,6 +301508,8 @@ 0 0 0 +0 +0 1 0x36d4 0x45c95102 @@ -241214,6 +301518,8 @@ 1 1 0 +5 +3 1 0xd38b 0xcddc49e @@ -241222,6 +301528,8 @@ 0 0 0 +0 +0 2 0x146e 0xcfa4b05b @@ -241230,6 +301538,8 @@ 1 0 0 +2 +4 3 0x7b81 0x80100859 @@ -241238,6 +301548,8 @@ 0 0 0 +3 +4 1 0xa50e 0x32049074 @@ -241246,6 +301558,8 @@ 0 0 0 +5 +3 3 0x9fc6 0x98c9ffb7 @@ -241255,6 +301569,8 @@ 0 0 4 +4 +4 0xad94 0x871cb2d1 256 @@ -241262,6 +301578,8 @@ 0 0 0 +2 +4 3 0x139f 0x3a4c1dbf @@ -241270,6 +301588,8 @@ 1 0 0 +0 +4 3 0x9db9 0xcb1255ef @@ -241278,6 +301598,8 @@ 1 1 0 +2 +0 3 0x923e 0x46a30620 @@ -241286,6 +301608,8 @@ 0 0 0 +0 +5 2 0x43f 0xe424677d @@ -241294,6 +301618,8 @@ 1 1 0 +1 +5 3 0x6372 0x81eac63c @@ -241302,6 +301628,8 @@ 1 1 0 +5 +4 1 0xb5e9 0xb0d8b38a @@ -241310,6 +301638,8 @@ 1 0 0 +1 +3 3 0x8c7e 0x9ce19361 @@ -241319,6 +301649,8 @@ 0 0 2 +2 +2 0x71f8 0x93b98dc5 256 @@ -241326,6 +301658,8 @@ 0 0 0 +3 +3 4 0x6c83 0x3dda1a57 @@ -241334,6 +301668,8 @@ 0 0 0 +5 +3 4 0x40e4 0xfb46f5b8 @@ -241342,6 +301678,8 @@ 0 0 0 +2 +5 4 0x306f 0xd48b5eb3 @@ -241350,6 +301688,8 @@ 0 0 0 +2 +2 1 0x4427 0x86cdf276 @@ -241358,6 +301698,8 @@ 1 0 0 +1 +5 4 0x8aa9 0xb0369f50 @@ -241366,6 +301708,8 @@ 0 0 0 +3 +2 2 0xb1c6 0xde8ab60b @@ -241374,6 +301718,8 @@ 1 1 0 +5 +2 2 0xcd9d 0x4efed57e @@ -241383,6 +301729,8 @@ 0 0 2 +2 +2 0x6452 0xf81e3310 256 @@ -241390,6 +301738,8 @@ 0 0 0 +5 +1 4 0xe373 0xef9c1e16 @@ -241398,6 +301748,8 @@ 0 0 0 +4 +2 1 0xa54c 0x52eb0a97 @@ -241406,6 +301758,8 @@ 1 1 0 +2 +3 1 0x88a3 0xc4af3893 @@ -241414,6 +301768,8 @@ 1 0 0 +4 +5 3 0xc7c8 0xf88f2b84 @@ -241422,6 +301778,8 @@ 0 0 0 +1 +3 2 0x57cf 0xf44a8f30 @@ -241430,6 +301788,8 @@ 0 0 0 +4 +4 2 0xccfc 0xa92c5d85 @@ -241438,6 +301798,8 @@ 1 1 0 +2 +2 1 0x71fe 0xb8f8c977 @@ -241447,6 +301809,8 @@ 0 0 1 +2 +1 0x3c58 0x43c1339f 256 @@ -241454,6 +301818,8 @@ 1 0 0 +0 +4 4 0xc939 0x9524a6c5 @@ -241462,6 +301828,8 @@ 0 0 0 +2 +3 3 0x8221 0x8a639eee @@ -241470,6 +301838,8 @@ 1 1 0 +3 +4 4 0x7da0 0xfb832612 @@ -241478,6 +301848,8 @@ 1 0 0 +4 +3 1 0x6e34 0x3406daf4 @@ -241486,6 +301858,8 @@ 0 0 0 +2 +1 3 0xa83e 0x86f79c45 @@ -241494,6 +301868,8 @@ 1 1 0 +1 +5 2 0xf86a 0x6e42c713 @@ -241502,6 +301878,8 @@ 1 0 0 +4 +5 1 0x2bf2 0xb1a877dc @@ -241510,6 +301888,8 @@ 0 0 0 +0 +2 3 0xea99 0xa6dfcedd @@ -241518,6 +301898,8 @@ 1 0 0 +3 +4 1 0xe85e 0xf19f4205 @@ -241526,6 +301908,8 @@ 0 0 0 +5 +3 2 0x96a4 0x355dc367 @@ -241534,6 +301918,8 @@ 0 0 0 +0 +1 1 0x4292 0x759b77fa @@ -241542,6 +301928,8 @@ 1 1 0 +5 +5 1 0xfbac 0x6a022d8d @@ -241550,6 +301938,8 @@ 1 1 0 +4 +1 3 0xd974 0x79ba874c @@ -241558,6 +301948,8 @@ 0 0 0 +2 +3 3 0x754c 0xf32deec @@ -241566,6 +301958,8 @@ 1 0 0 +2 +2 1 0x4af4 0x81da92a8 @@ -241574,6 +301968,8 @@ 0 0 0 +1 +4 2 0x5b91 0x7ec2372f @@ -241582,6 +301978,8 @@ 1 1 0 +3 +5 4 0x4e98 0xbba3e9c2 @@ -241591,6 +301989,8 @@ 0 0 1 +1 +1 0xf83 0x76bafe76 256 @@ -241598,6 +301998,8 @@ 0 0 0 +2 +1 4 0x10ad 0x110f9f8b @@ -241606,6 +302008,8 @@ 0 0 0 +2 +3 4 0xb06a 0x7d7564a5 @@ -241614,6 +302018,8 @@ 0 0 0 +4 +5 3 0xcb39 0xbe5fe259 @@ -241622,6 +302028,8 @@ 0 0 0 +4 +1 1 0x9faf 0x4a13ab97 @@ -241631,6 +302039,8 @@ 0 0 1 +2 +1 0x25e 0x9b5398ff 256 @@ -241638,6 +302048,8 @@ 0 0 0 +4 +0 1 0x6f8c 0x8a47106c @@ -241646,6 +302058,8 @@ 1 0 0 +4 +0 3 0x2b6a 0x877450e1 @@ -241654,6 +302068,8 @@ 0 0 0 +4 +0 2 0xaf6c 0x42d1b @@ -241662,6 +302078,8 @@ 0 0 0 +3 +3 2 0x9d1d 0x33ebb090 @@ -241670,6 +302088,8 @@ 0 0 0 +0 +3 4 0x1d4f 0x2ff76f70 @@ -241678,6 +302098,8 @@ 1 1 0 +5 +2 1 0x8aea 0x3d65aa40 @@ -241686,6 +302108,8 @@ 0 0 0 +4 +4 3 0xc629 0x1bebc07f @@ -241695,6 +302119,8 @@ 0 0 4 +2 +4 0x332f 0x4e79894f 256 @@ -241703,6 +302129,8 @@ 1 0 1 +1 +1 0x66c7 0xabd3c399 256 @@ -241710,6 +302138,8 @@ 1 1 0 +2 +3 3 0x1ef 0x7a0d1894 @@ -241718,6 +302148,8 @@ 0 0 0 +1 +5 3 0x2a33 0xd09efef3 @@ -241726,6 +302158,8 @@ 1 1 0 +1 +5 3 0x94a4 0x26bda265 @@ -241734,6 +302168,8 @@ 0 0 0 +5 +0 4 0xfc89 0xb19a78e5 @@ -241742,6 +302178,8 @@ 1 0 0 +4 +5 3 0x4db0 0x880a51b5 @@ -241750,6 +302188,8 @@ 1 0 0 +2 +5 1 0xed2f 0x8026e480 @@ -241758,6 +302198,8 @@ 0 0 0 +5 +4 1 0x5186 0x6f489770 @@ -241767,6 +302209,8 @@ 0 0 2 +1 +2 0x48a2 0xd2f07d3b 256 @@ -241774,6 +302218,8 @@ 0 0 0 +5 +3 4 0xf7a5 0x3d9f3b1d @@ -241782,6 +302228,8 @@ 1 0 0 +2 +5 4 0xb204 0xbf0ffba8 @@ -241790,6 +302238,8 @@ 0 0 0 +4 +1 1 0x8552 0xd6caa2d3 @@ -241799,6 +302249,8 @@ 0 0 1 +5 +1 0x7c5 0x7ae10941 256 @@ -241806,6 +302258,8 @@ 0 0 0 +2 +3 1 0x5960 0x308c0898 @@ -241814,6 +302268,8 @@ 0 0 0 +2 +4 1 0x8a38 0x95f4c46c @@ -241822,6 +302278,8 @@ 1 1 0 +2 +1 4 0x2ec2 0x2fdd7ce5 @@ -241831,6 +302289,8 @@ 1 0 1 +1 +1 0x1226 0x7fb651eb 256 @@ -241838,6 +302298,8 @@ 1 1 0 +2 +4 3 0xaa99 0x4f4355dc @@ -241847,6 +302309,8 @@ 0 0 1 +1 +1 0x1950 0x7ef5ab90 256 @@ -241854,6 +302318,8 @@ 0 0 0 +0 +1 1 0x3c99 0xc5922d54 @@ -241862,6 +302328,8 @@ 0 0 0 +4 +2 3 0x9f0d 0x4dbb33ae @@ -241870,6 +302338,8 @@ 1 0 0 +4 +3 3 0x7d74 0x7763d820 @@ -241878,6 +302348,8 @@ 1 1 0 +1 +0 2 0xf2a8 0xa3a72b24 @@ -241886,6 +302358,8 @@ 0 0 0 +5 +3 1 0xeeec 0x30b813ec @@ -241894,6 +302368,8 @@ 1 1 0 +4 +0 2 0xfc34 0xf018d6f3 @@ -241902,6 +302378,8 @@ 0 0 0 +2 +3 1 0xda90 0xcfa03919 @@ -241911,6 +302389,8 @@ 1 0 4 +2 +4 0x94fc 0xa78506c3 256 @@ -241918,6 +302398,8 @@ 1 0 0 +1 +0 3 0xc5d2 0xdae80ff9 @@ -241927,6 +302409,8 @@ 1 0 4 +1 +4 0x2b6f 0xa6f354a4 256 @@ -241934,6 +302418,8 @@ 0 0 0 +5 +0 2 0xea94 0xa7897acc @@ -241942,6 +302428,8 @@ 1 1 0 +3 +3 1 0x341b 0xd2f438a6 @@ -241950,6 +302438,8 @@ 0 0 0 +1 +2 2 0x8a81 0x96f0c88a @@ -241958,6 +302448,8 @@ 1 0 0 +4 +4 2 0xe021 0x7e2bc507 @@ -241966,6 +302458,8 @@ 1 0 0 +2 +0 1 0x7801 0xe90d1090 @@ -241975,6 +302469,8 @@ 1 0 2 +2 +2 0xc2ff 0x42bd0cce 256 @@ -241983,6 +302479,8 @@ 0 0 2 +2 +2 0x4097 0x317c3433 256 @@ -241990,6 +302488,8 @@ 0 0 0 +1 +1 3 0x7b9b 0x5f1514ea @@ -241998,6 +302498,8 @@ 1 0 0 +3 +5 4 0xed38 0xcec94cbc @@ -242006,6 +302508,8 @@ 0 0 0 +5 +1 3 0xd232 0x22dc5169 @@ -242014,6 +302518,8 @@ 0 0 0 +5 +3 2 0xa98 0x1d4448cf @@ -242023,6 +302529,8 @@ 0 0 3 +0 +3 0x1ed3 0xd63feea 256 @@ -242030,6 +302538,8 @@ 1 0 0 +5 +0 4 0x319c 0x69b4d1ea @@ -242039,6 +302549,8 @@ 0 0 2 +0 +2 0xb1c7 0x71c9a704 256 @@ -242046,6 +302558,8 @@ 0 0 0 +4 +4 3 0x471a 0xa1da0a60 @@ -242054,6 +302568,8 @@ 0 0 0 +1 +1 3 0xb1fa 0xa193127c @@ -242063,6 +302579,8 @@ 0 0 1 +0 +1 0x9736 0xc7846605 256 @@ -242070,6 +302588,8 @@ 0 0 0 +0 +5 2 0x72be 0xf168530d @@ -242078,6 +302598,8 @@ 0 0 0 +0 +5 4 0x47c 0x69e14a52 @@ -242086,6 +302608,8 @@ 0 0 0 +5 +4 4 0xe938 0x781a893a @@ -242094,6 +302618,8 @@ 1 0 0 +5 +1 1 0xbf44 0xf0bf163 @@ -242103,6 +302629,8 @@ 0 0 2 +5 +2 0x9bf6 0xb4fb7673 256 @@ -242110,6 +302638,8 @@ 0 0 0 +1 +2 2 0xf53 0x894e973d @@ -242118,6 +302648,8 @@ 0 0 0 +4 +1 2 0x8862 0x7df820f1 @@ -242126,6 +302658,8 @@ 0 0 0 +4 +2 3 0xca07 0xeffc4edf @@ -242134,6 +302668,8 @@ 1 1 0 +0 +0 2 0xdaba 0x55432886 @@ -242142,6 +302678,8 @@ 1 0 0 +2 +1 3 0xf1fa 0xfd008d97 @@ -242150,6 +302688,8 @@ 0 0 0 +5 +3 1 0xb5fd 0xbf08d9dd @@ -242158,6 +302698,8 @@ 0 0 0 +4 +3 3 0x1b6b 0x86af609a @@ -242166,6 +302708,8 @@ 1 0 0 +0 +4 4 0x350b 0x793c1f81 @@ -242174,6 +302718,8 @@ 1 1 0 +1 +3 4 0x643e 0xd31b5ca5 @@ -242182,6 +302728,8 @@ 1 0 0 +1 +3 4 0x5503 0x2c41144a @@ -242190,6 +302738,8 @@ 1 1 0 +1 +1 2 0x1898 0xdabe1425 @@ -242198,6 +302748,8 @@ 0 0 0 +3 +2 1 0x3065 0x7c21ce64 @@ -242207,6 +302759,8 @@ 0 0 2 +3 +2 0x25f8 0xf49afac9 256 @@ -242214,6 +302768,8 @@ 1 1 0 +1 +2 2 0xe93a 0xbb3a0b8a @@ -242222,6 +302778,8 @@ 0 0 0 +5 +2 2 0x980c 0x9a306f10 @@ -242230,6 +302788,8 @@ 1 1 0 +1 +0 4 0x3f 0xe162e47b @@ -242238,6 +302798,8 @@ 0 0 0 +1 +4 4 0x60cc 0xddacf2d3 @@ -242246,6 +302808,8 @@ 0 0 0 +2 +1 1 0x10c9 0xab19fd07 @@ -242254,6 +302818,8 @@ 1 1 0 +0 +2 1 0x3d1a 0x697b6679 @@ -242262,6 +302828,8 @@ 0 0 0 +3 +5 1 0x9518 0xb92c6802 @@ -242270,6 +302838,8 @@ 0 0 0 +4 +5 2 0x8391 0xb9d8e538 @@ -242278,6 +302848,8 @@ 1 1 0 +0 +3 1 0x7a8c 0x73781e96 @@ -242286,6 +302858,8 @@ 0 0 0 +2 +1 1 0x1cfa 0x3094bf9a @@ -242295,6 +302869,8 @@ 1 0 1 +2 +1 0xc71f 0xea0c2b87 256 @@ -242302,6 +302878,8 @@ 0 0 0 +2 +4 4 0x19f3 0x3decf521 @@ -242310,6 +302888,8 @@ 0 0 0 +5 +4 1 0xce73 0xf3dc6343 @@ -242319,6 +302899,8 @@ 0 0 4 +0 +4 0x205e 0xa04fca38 256 @@ -242326,6 +302908,8 @@ 0 0 0 +3 +0 2 0x96b5 0xe7ea06a3 @@ -242334,6 +302918,8 @@ 0 0 0 +0 +0 3 0xb69a 0x6ae0c0bb @@ -242342,6 +302928,8 @@ 1 0 0 +0 +2 3 0x810f 0x4c35b81c @@ -242350,6 +302938,8 @@ 0 0 0 +0 +5 2 0xeb36 0x8e016a98 @@ -242358,6 +302948,8 @@ 0 0 0 +4 +5 1 0x4235 0xb28837a6 @@ -242366,6 +302958,8 @@ 1 0 0 +1 +3 2 0x73ca 0x56af9781 @@ -242374,6 +302968,8 @@ 0 0 0 +4 +0 3 0xfa96 0x6fc35d44 @@ -242383,6 +302979,8 @@ 0 0 3 +2 +3 0xb54c 0x3872f065 256 @@ -242390,6 +302988,8 @@ 0 0 0 +3 +4 2 0x7003 0x3a001cc5 @@ -242398,6 +302998,8 @@ 1 1 0 +3 +3 1 0xef9b 0xdc2fe11c @@ -242407,6 +303009,8 @@ 1 0 2 +3 +2 0x9063 0xeb294ef2 256 @@ -242414,6 +303018,8 @@ 0 0 0 +5 +0 4 0x9839 0x5e5c694d @@ -242422,6 +303028,8 @@ 1 0 0 +0 +3 3 0x401 0x237db9e2 @@ -242430,6 +303038,8 @@ 0 0 0 +2 +2 4 0xba5f 0xf393a028 @@ -242438,6 +303048,8 @@ 0 0 0 +0 +2 2 0xf57f 0xd0742456 @@ -242446,6 +303058,8 @@ 1 1 0 +4 +4 1 0x610f 0x6cf4f445 @@ -242454,6 +303068,8 @@ 0 0 0 +1 +1 4 0xae5f 0x3b30b829 @@ -242462,6 +303078,8 @@ 0 0 0 +2 +2 3 0xe84b 0x5ee20d04 @@ -242470,6 +303088,8 @@ 0 0 0 +1 +4 4 0xf386 0xc7549c66 @@ -242478,6 +303098,8 @@ 1 1 0 +3 +4 2 0x7eee 0x8db36e38 @@ -242486,6 +303108,8 @@ 0 0 0 +5 +4 3 0xfeff 0x93766041 @@ -242494,6 +303118,8 @@ 0 0 0 +4 +0 1 0x8365 0xdf5a71c4 @@ -242502,6 +303128,8 @@ 1 1 0 +1 +4 3 0x9c61 0x7f9327d5 @@ -242510,6 +303138,8 @@ 1 0 0 +5 +1 3 0xbd0e 0x5f226277 @@ -242518,6 +303148,8 @@ 0 0 0 +4 +1 3 0xedea 0xff87e3d @@ -242526,6 +303158,8 @@ 0 0 0 +5 +2 3 0x3c91 0xfbb92292 @@ -242534,6 +303168,8 @@ 0 0 0 +2 +5 3 0xd691 0x4f73cabd @@ -242542,6 +303178,8 @@ 1 0 0 +4 +4 3 0x3b92 0x9ca8362c @@ -242550,6 +303188,8 @@ 0 0 0 +0 +3 1 0xcb02 0x29c4dc24 @@ -242559,6 +303199,8 @@ 0 0 3 +2 +3 0x72ac 0x85201483 256 @@ -242566,6 +303208,8 @@ 1 0 0 +5 +1 4 0x56a5 0xe63412a @@ -242574,6 +303218,8 @@ 1 0 0 +5 +1 2 0x2966 0x546e134c @@ -242583,6 +303229,8 @@ 0 0 3 +1 +3 0x69fb 0x6d517e09 256 @@ -242590,6 +303238,8 @@ 0 0 0 +3 +3 1 0x5054 0xaf2d1ca5 @@ -242598,6 +303248,8 @@ 1 1 0 +1 +2 2 0xc8ae 0x3798db47 @@ -242606,6 +303258,8 @@ 1 1 0 +1 +5 4 0xcd02 0xf8b51a20 @@ -242614,6 +303268,8 @@ 1 1 0 +2 +2 1 0x72f 0x753acec3 @@ -242622,6 +303278,8 @@ 0 0 0 +1 +0 2 0x2fea 0xa2e11178 @@ -242630,6 +303288,8 @@ 0 0 0 +1 +0 2 0x42f2 0xdde2543d @@ -242638,6 +303298,8 @@ 0 0 0 +1 +0 4 0x2c1d 0xac6dad51 @@ -242646,6 +303308,8 @@ 0 0 0 +0 +1 1 0x468d 0x27fbd480 @@ -242654,6 +303318,8 @@ 1 0 0 +4 +5 1 0xb4b5 0xca12bdf2 @@ -242663,6 +303329,8 @@ 0 0 2 +4 +2 0x51c7 0xdb7cd335 256 @@ -242671,6 +303339,8 @@ 1 0 2 +0 +2 0xb26a 0x3946ec48 256 @@ -242678,6 +303348,8 @@ 0 0 0 +4 +2 1 0xd280 0x5b787536 @@ -242687,6 +303359,8 @@ 0 0 2 +2 +2 0x7d1f 0xb78c57c5 256 @@ -242694,6 +303368,8 @@ 1 1 0 +4 +2 2 0x86de 0x7b5659a5 @@ -242702,6 +303378,8 @@ 1 1 0 +3 +1 4 0x4473 0xc4f46b0d @@ -242710,6 +303388,8 @@ 0 0 0 +5 +5 3 0x6c63 0x510645c8 @@ -242718,6 +303398,8 @@ 1 0 0 +3 +1 2 0xeac0 0x728453e9 @@ -242726,6 +303408,8 @@ 1 0 0 +1 +3 2 0x7774 0x38386a5b @@ -242734,6 +303418,8 @@ 0 0 0 +5 +0 4 0xda11 0x6026ba76 @@ -242743,6 +303429,8 @@ 1 0 4 +3 +4 0x2dd2 0xb5174489 256 @@ -242750,6 +303438,8 @@ 0 0 0 +0 +1 2 0xbf03 0xc5076d51 @@ -242758,6 +303448,8 @@ 1 1 0 +5 +1 3 0xa707 0xffed0f65 @@ -242766,6 +303458,8 @@ 0 0 0 +0 +1 2 0xde34 0x703eebef @@ -242774,6 +303468,8 @@ 1 0 0 +0 +5 3 0xda4d 0x6d276d84 @@ -242783,6 +303479,8 @@ 0 0 1 +1 +1 0x21fa 0x38cca7fb 256 @@ -242790,6 +303488,8 @@ 1 1 0 +2 +4 3 0x1faa 0x42fec280 @@ -242798,6 +303498,8 @@ 1 1 0 +2 +5 4 0x112b 0x1f29068f @@ -242807,6 +303509,8 @@ 0 0 1 +5 +1 0x9e73 0x3db67bd9 256 @@ -242814,6 +303518,8 @@ 1 1 0 +1 +4 3 0xa24c 0x70f1c25f @@ -242822,6 +303528,8 @@ 0 0 0 +5 +4 4 0x94f0 0x8bfa22a2 @@ -242830,6 +303538,8 @@ 1 1 0 +5 +4 4 0x3e19 0xfe4f8746 @@ -242839,6 +303549,8 @@ 0 0 4 +5 +4 0x3cde 0xcffb8966 256 @@ -242846,6 +303558,8 @@ 0 0 0 +4 +4 3 0x1b0 0xbccb4b19 @@ -242854,6 +303568,8 @@ 1 1 0 +2 +3 4 0x179b 0xcce0e78d @@ -242862,6 +303578,8 @@ 0 0 0 +5 +2 1 0x83af 0x3b92b769 @@ -242870,6 +303588,8 @@ 0 0 0 +0 +2 1 0xb756 0xcc76df0c @@ -242879,6 +303599,8 @@ 0 0 3 +4 +3 0x13ab 0x32992b3f 256 @@ -242887,6 +303609,8 @@ 0 0 2 +0 +2 0xb792 0xa5cd1330 256 @@ -242894,6 +303618,8 @@ 1 1 0 +3 +1 1 0xa94b 0x188f2e0d @@ -242902,6 +303628,8 @@ 0 0 0 +4 +3 1 0xba9b 0x7c529a07 @@ -242910,6 +303638,8 @@ 1 1 0 +3 +2 1 0xd55b 0x907365a7 @@ -242918,6 +303648,8 @@ 0 0 0 +2 +5 4 0x60f1 0x1ae848 @@ -242926,6 +303658,8 @@ 0 0 0 +1 +0 4 0x49e4 0xff1d04c1 @@ -242934,6 +303668,8 @@ 0 0 0 +4 +2 1 0xae4d 0x1a0e00dc @@ -242942,6 +303678,8 @@ 1 1 0 +5 +5 1 0x2bf2 0xc6ab08d1 @@ -242951,6 +303689,8 @@ 0 0 2 +1 +2 0x5e4a 0xef415fb3 256 @@ -242959,6 +303699,8 @@ 0 0 1 +3 +1 0x519d 0x536efd5a 256 @@ -242966,6 +303708,8 @@ 1 0 0 +0 +2 4 0xf84a 0xd855b7f9 @@ -242974,6 +303718,8 @@ 1 0 0 +2 +2 1 0x6a24 0xb4263fe6 @@ -242982,6 +303728,8 @@ 1 1 0 +0 +0 4 0x36a 0xa2453456 @@ -242990,6 +303738,8 @@ 0 0 0 +2 +2 3 0x9636 0x4d1ba357 @@ -242998,6 +303748,8 @@ 0 0 0 +2 +4 3 0x8359 0x4a43ea67 @@ -243006,6 +303758,8 @@ 0 0 0 +0 +0 4 0xf35e 0x73766949 @@ -243015,6 +303769,8 @@ 0 0 4 +3 +4 0xff8c 0xb9f57503 256 @@ -243022,6 +303778,8 @@ 0 0 0 +4 +4 1 0x9caa 0x110b5ed @@ -243031,6 +303789,8 @@ 0 0 3 +2 +3 0xfdcc 0x398b4d43 256 @@ -243038,6 +303798,8 @@ 1 1 0 +3 +4 4 0x81ca 0x64da0918 @@ -243046,6 +303808,8 @@ 1 1 0 +5 +5 1 0xeb85 0x18538345 @@ -243054,6 +303818,8 @@ 0 0 0 +1 +5 4 0xf0de 0x1c62a847 @@ -243062,6 +303828,8 @@ 0 0 0 +3 +4 1 0x6075 0xa4e673c3 @@ -243070,6 +303838,8 @@ 1 0 0 +2 +5 3 0x4433 0x10cb8b9c @@ -243078,6 +303848,8 @@ 0 0 0 +0 +0 3 0x662a 0x57835a06 @@ -243087,6 +303859,8 @@ 0 0 1 +3 +1 0xedd7 0x72b47c70 256 @@ -243094,6 +303868,8 @@ 1 0 0 +0 +5 2 0x4b1f 0x811e5679 @@ -243102,6 +303878,8 @@ 0 0 0 +0 +1 2 0x5eb2 0xf90fffe2 @@ -243111,6 +303889,8 @@ 0 0 3 +1 +3 0xea8 0xd2f3be9b 256 @@ -243118,6 +303898,8 @@ 1 0 0 +5 +2 2 0xbd38 0x8eb762dd @@ -243126,6 +303908,8 @@ 1 0 0 +0 +5 2 0xfbaa 0x7f387157 @@ -243134,6 +303918,8 @@ 1 0 0 +0 +4 2 0xd5f6 0xeec3c5b5 @@ -243142,6 +303928,8 @@ 0 0 0 +3 +1 1 0x6221 0x10995d94 @@ -243150,6 +303938,8 @@ 0 0 0 +1 +0 3 0xf739 0x5edb4f35 @@ -243158,6 +303948,8 @@ 1 1 0 +1 +4 4 0xd892 0x36fba954 @@ -243167,6 +303959,8 @@ 0 0 2 +0 +2 0x3b1b 0x30cd0d53 256 @@ -243174,6 +303968,8 @@ 1 0 0 +4 +5 2 0xde37 0x17fd34d2 @@ -243182,6 +303978,8 @@ 0 0 0 +4 +4 1 0x4663 0xd026d351 @@ -243190,6 +303988,8 @@ 1 1 0 +0 +1 1 0xc144 0xe49b72af @@ -243199,6 +303999,8 @@ 1 0 3 +3 +3 0x4150 0x6bc6adfa 256 @@ -243206,6 +304008,8 @@ 1 1 0 +1 +0 4 0x98a0 0x5ca11d1e @@ -243215,6 +304019,8 @@ 0 0 3 +3 +3 0x8510 0x7aa289db 256 @@ -243223,6 +304029,8 @@ 1 0 2 +1 +2 0x91ec 0x517b14c1 256 @@ -243230,6 +304038,8 @@ 0 0 0 +2 +2 1 0x761b 0x34f85afb @@ -243238,6 +304048,8 @@ 0 0 0 +0 +2 4 0xe0f8 0x3b67a1cb @@ -243246,6 +304058,8 @@ 1 0 0 +3 +1 1 0xeaff 0xfff7b9c1 @@ -243254,6 +304068,8 @@ 1 1 0 +2 +3 4 0xc06a 0xa608962 @@ -243262,6 +304078,8 @@ 0 0 0 +3 +3 1 0xa659 0x740fbb54 @@ -243270,6 +304088,8 @@ 1 1 0 +0 +4 1 0x8107 0x7de4eb05 @@ -243278,6 +304098,8 @@ 1 0 0 +3 +1 2 0x86ec 0x3b1dbdad @@ -243286,6 +304108,8 @@ 1 0 0 +2 +1 1 0xfc09 0xd5acea31 @@ -243294,6 +304118,8 @@ 1 0 0 +2 +3 4 0xc626 0x9c5ed6dc @@ -243302,6 +304128,8 @@ 1 1 0 +3 +0 2 0xf252 0x6ec59bf @@ -243310,6 +304138,8 @@ 1 0 0 +4 +1 2 0x8f02 0x9d05c3c2 @@ -243318,6 +304148,8 @@ 1 0 0 +5 +2 3 0xa2ef 0x9a0dad5e @@ -243326,6 +304158,8 @@ 1 0 0 +4 +0 1 0xfe8c 0xc4af815e @@ -243334,6 +304168,8 @@ 0 0 0 +2 +3 3 0x257d 0x7eee2d01 @@ -243342,6 +304178,8 @@ 1 0 0 +5 +3 2 0xb938 0xee5ad5f7 @@ -243350,6 +304188,8 @@ 0 0 0 +2 +4 3 0xc9bb 0x14f9f167 @@ -243359,6 +304199,8 @@ 0 0 4 +4 +4 0x13b5 0xfbb8934f 256 @@ -243366,6 +304208,8 @@ 1 0 0 +1 +5 3 0xe94a 0x284e910b @@ -243375,6 +304219,8 @@ 0 0 1 +0 +1 0x693c 0xa466dab 256 @@ -243382,6 +304228,8 @@ 1 0 0 +2 +5 3 0xdb5a 0xafbd9d20 @@ -243391,6 +304239,8 @@ 1 0 4 +4 +4 0xe070 0x9a75fb0f 256 @@ -243398,6 +304248,8 @@ 1 1 0 +5 +4 2 0xac2 0xb8cfc57a @@ -243406,6 +304258,8 @@ 1 1 0 +2 +4 3 0xbe06 0xae2bae0d @@ -243414,6 +304268,8 @@ 0 0 0 +4 +5 1 0xc8cd 0xcce218ee @@ -243422,6 +304278,8 @@ 0 0 0 +1 +5 2 0xc786 0xe7ca1577 @@ -243431,6 +304289,8 @@ 1 0 2 +4 +2 0xd403 0xb48e2bd3 256 @@ -243438,6 +304298,8 @@ 1 0 0 +3 +2 1 0x7aea 0x5ff2622e @@ -243446,6 +304308,8 @@ 1 0 0 +4 +3 3 0xac87 0x40902436 @@ -243454,6 +304318,8 @@ 1 0 0 +5 +4 1 0xd48d 0xb37a312a @@ -243462,6 +304328,8 @@ 0 0 0 +0 +5 2 0x1fb5 0x9e08eada @@ -243470,6 +304338,8 @@ 1 0 0 +2 +3 3 0xa5be 0xe3860652 @@ -243478,6 +304348,8 @@ 0 0 0 +3 +5 2 0xb901 0xc4b021f7 @@ -243486,6 +304358,8 @@ 1 1 0 +5 +0 3 0xae8c 0xddec19fb @@ -243494,6 +304368,8 @@ 1 0 0 +1 +1 3 0xc715 0x47b3a48f @@ -243502,6 +304378,8 @@ 1 1 0 +4 +4 3 0x6769 0x74f3e7d7 @@ -243511,6 +304389,8 @@ 0 0 4 +3 +4 0x90d4 0xa24d6319 256 @@ -243518,6 +304398,8 @@ 0 0 0 +3 +1 1 0x3326 0x59ecdf52 @@ -243526,6 +304408,8 @@ 0 0 0 +0 +2 1 0x15fd 0x41243677 @@ -243534,6 +304418,8 @@ 1 0 0 +4 +0 1 0x99a4 0x9b0f5696 @@ -243542,6 +304428,8 @@ 1 1 0 +4 +2 2 0x840c 0x236d2b26 @@ -243550,6 +304438,8 @@ 1 0 0 +0 +3 2 0x5404 0xbfbcdf2b @@ -243558,6 +304448,8 @@ 0 0 0 +3 +1 1 0x908f 0xf34b6ff2 @@ -243566,6 +304458,8 @@ 0 0 0 +2 +0 4 0x26a4 0x2a44e951 @@ -243574,6 +304468,8 @@ 0 0 0 +5 +1 3 0xcb71 0x27e7bb5 @@ -243582,6 +304478,8 @@ 1 1 0 +4 +1 1 0x22ee 0x244a4502 @@ -243591,6 +304489,8 @@ 0 0 3 +1 +3 0x1328 0xa96440c9 256 @@ -243598,6 +304498,8 @@ 0 0 0 +1 +4 3 0x9edb 0xe52554fc @@ -243607,6 +304509,8 @@ 0 0 4 +2 +4 0xbdaa 0x176df302 256 @@ -243614,6 +304518,8 @@ 0 0 0 +4 +4 3 0xe5c6 0x286ae1d1 @@ -243622,6 +304528,8 @@ 0 0 0 +2 +2 4 0xfd16 0x37bc6045 @@ -243630,6 +304538,8 @@ 0 0 0 +0 +3 2 0x9040 0x6eaaf3e7 @@ -243638,6 +304548,8 @@ 0 0 0 +4 +1 2 0x4b00 0x31e3f499 @@ -243646,6 +304558,8 @@ 0 0 0 +1 +3 2 0x7b0e 0x726c0fa3 @@ -243654,6 +304568,8 @@ 0 0 0 +2 +4 1 0x1460 0x4a6f7aaa @@ -243662,6 +304578,8 @@ 0 0 0 +5 +3 4 0x9a1e 0x482bc462 @@ -243670,6 +304588,8 @@ 0 0 0 +2 +0 3 0xbc25 0x99e7d20e @@ -243679,6 +304599,8 @@ 0 0 4 +2 +4 0x30e6 0x75aba67a 256 @@ -243686,6 +304608,8 @@ 1 1 0 +3 +0 4 0x7277 0xce09dd8a @@ -243694,6 +304618,8 @@ 1 1 0 +3 +1 2 0xcb3e 0x5fa2e4cb @@ -243702,6 +304628,8 @@ 0 0 0 +0 +4 4 0xdb68 0xacaf710e @@ -243710,6 +304638,8 @@ 0 0 0 +0 +0 2 0xca9b 0x25c948e1 @@ -243718,6 +304648,8 @@ 1 1 0 +5 +5 3 0xe83b 0x94c47a3 @@ -243726,6 +304658,8 @@ 1 0 0 +3 +1 4 0xc332 0x69741814 @@ -243734,6 +304668,8 @@ 0 0 0 +4 +0 1 0xdae2 0x17bffe0d @@ -243742,6 +304678,8 @@ 0 0 0 +0 +2 4 0xee68 0xe9fb10bb @@ -243751,6 +304689,8 @@ 0 0 1 +5 +1 0xa19a 0x588252af 256 @@ -243758,6 +304698,8 @@ 1 0 0 +1 +2 4 0x3f2e 0x9800e344 @@ -243767,6 +304709,8 @@ 0 0 4 +0 +4 0xbb01 0xdb527cb7 256 @@ -243775,6 +304719,8 @@ 0 0 2 +2 +2 0x8669 0xcbcb4a5 256 @@ -243782,6 +304728,8 @@ 1 0 0 +3 +0 1 0x984f 0xd7e147b8 @@ -243790,6 +304738,8 @@ 1 0 0 +2 +2 3 0x7784 0x6f90e9c7 @@ -243798,6 +304748,8 @@ 1 0 0 +2 +2 3 0x620f 0x4cacd307 @@ -243807,6 +304759,8 @@ 0 0 4 +3 +4 0x76d0 0xd4c21795 256 @@ -243814,6 +304768,8 @@ 0 0 0 +0 +0 1 0x364f 0x3503fa32 @@ -243822,6 +304778,8 @@ 0 0 0 +5 +3 2 0x5cd7 0x43ccdb2b @@ -243830,6 +304788,8 @@ 1 0 0 +5 +4 2 0xfba5 0x900b80ed @@ -243838,6 +304798,8 @@ 1 0 0 +0 +4 1 0x50fe 0x1e628735 @@ -243846,6 +304808,8 @@ 1 0 0 +3 +1 4 0xae41 0x8124c76e @@ -243854,6 +304818,8 @@ 0 0 0 +5 +1 2 0x7742 0x60d31c68 @@ -243862,6 +304828,8 @@ 1 1 0 +3 +1 2 0x4e2 0xe86fad39 @@ -243870,6 +304838,8 @@ 1 0 0 +4 +0 3 0xdda9 0xc3979863 @@ -243878,6 +304848,8 @@ 1 1 0 +4 +2 1 0xa536 0xee923ed2 @@ -243886,6 +304858,8 @@ 1 1 0 +2 +3 4 0x7ded 0xc062e74a @@ -243894,6 +304868,8 @@ 1 0 0 +3 +4 4 0x8aff 0x94484fad @@ -243902,6 +304878,8 @@ 1 0 0 +0 +5 1 0x8103 0x44d7a2ce @@ -243910,6 +304888,8 @@ 1 0 0 +5 +5 1 0x829f 0xab10740d @@ -243919,6 +304899,8 @@ 1 0 1 +0 +1 0xba86 0xeaf6757c 256 @@ -243927,6 +304909,8 @@ 0 0 1 +3 +1 0x3fad 0x80625264 256 @@ -243934,6 +304918,8 @@ 1 0 0 +3 +5 2 0xff53 0xa5ec9032 @@ -243942,6 +304928,8 @@ 0 0 0 +4 +5 3 0xeee8 0x9c0ed981 @@ -243950,6 +304938,8 @@ 1 1 0 +4 +3 1 0xb9dd 0x1c70af45 @@ -243958,6 +304948,8 @@ 1 0 0 +2 +4 1 0xdbf4 0x5702d943 @@ -243966,6 +304958,8 @@ 0 0 0 +5 +0 4 0x7560 0x2d5d24fe @@ -243974,6 +304968,8 @@ 0 0 0 +2 +0 1 0x6ef6 0xe66191f6 @@ -243982,6 +304978,8 @@ 1 0 0 +5 +1 3 0xf7eb 0xee700e47 @@ -243990,6 +304988,8 @@ 0 0 0 +3 +4 1 0x4fc8 0x25c0d5ee @@ -243998,6 +304998,8 @@ 1 1 0 +0 +4 2 0x1f1b 0xc91fd6e4 @@ -244006,6 +305008,8 @@ 0 0 0 +4 +5 1 0xcf42 0xd3af6a73 @@ -244014,6 +305018,8 @@ 1 1 0 +1 +2 4 0x6a5c 0xf2781656 @@ -244023,6 +305029,8 @@ 0 0 3 +4 +3 0x37ee 0x27d588 256 @@ -244030,6 +305038,8 @@ 1 1 0 +1 +0 2 0xae1b 0x83c583a1 @@ -244038,6 +305048,8 @@ 1 0 0 +0 +2 1 0xc7f7 0xaf370968 @@ -244046,6 +305058,8 @@ 0 0 0 +0 +3 4 0x606 0xaceb62d6 @@ -244054,6 +305068,8 @@ 1 1 0 +0 +5 4 0x69b2 0x5545b82a @@ -244062,6 +305078,8 @@ 1 1 0 +1 +4 2 0xcd51 0x3a9f1d58 @@ -244070,6 +305088,8 @@ 1 0 0 +4 +0 3 0xf78 0x39d9090e @@ -244078,6 +305098,8 @@ 0 0 0 +2 +4 1 0x9c08 0x1c2063c5 @@ -244087,6 +305109,8 @@ 0 0 4 +2 +4 0xa131 0x87e2780a 256 @@ -244094,6 +305118,8 @@ 0 0 0 +3 +2 1 0x2e8f 0x6653a108 @@ -244102,6 +305128,8 @@ 1 1 0 +1 +2 4 0x2c2a 0x648aa7a9 @@ -244110,6 +305138,8 @@ 0 0 0 +2 +0 4 0x9d5b 0x2e5fa3fc @@ -244118,6 +305148,8 @@ 0 0 0 +2 +4 4 0x50c7 0x16146a0b @@ -244127,6 +305159,8 @@ 0 0 4 +0 +4 0xeef5 0x5c71fc7d 256 @@ -244135,6 +305169,8 @@ 0 0 1 +0 +1 0xa8a8 0x2675caae 256 @@ -244142,6 +305178,8 @@ 0 0 0 +5 +4 4 0xc37a 0x427468cf @@ -244150,6 +305188,8 @@ 1 1 0 +0 +0 4 0x7f54 0xfc1abd51 @@ -244158,6 +305198,8 @@ 0 0 0 +4 +1 1 0xb171 0x43cb5bf0 @@ -244166,6 +305208,8 @@ 1 1 0 +3 +1 4 0xc5cc 0x76f787d6 @@ -244174,6 +305218,8 @@ 0 0 0 +2 +1 4 0x67fa 0xe76b8f4 @@ -244182,6 +305228,8 @@ 0 0 0 +3 +5 1 0xf2cd 0xa40e9d5f @@ -244191,6 +305239,8 @@ 0 0 1 +5 +1 0x3c2c 0x341ea7aa 256 @@ -244198,6 +305248,8 @@ 1 1 0 +1 +5 4 0x53b1 0xf8d7c0d1 @@ -244206,6 +305258,8 @@ 1 1 0 +3 +1 1 0xcfee 0xbd83ca60 @@ -244214,6 +305268,8 @@ 1 1 0 +4 +3 1 0xd0fb 0x118c041a @@ -244222,6 +305278,8 @@ 0 0 0 +4 +2 2 0x2153 0x7c51d582 @@ -244231,6 +305289,8 @@ 0 0 1 +2 +1 0xd9f7 0x7d43bf5 256 @@ -244238,6 +305298,8 @@ 0 0 0 +1 +4 3 0xe0b5 0x5e2f2be0 @@ -244246,6 +305308,8 @@ 0 0 0 +4 +5 2 0x753b 0x74893418 @@ -244254,6 +305318,8 @@ 0 0 0 +2 +4 4 0x5fde 0x2e3373f4 @@ -244262,6 +305328,8 @@ 1 1 0 +2 +5 3 0x47e1 0xd5b262a2 @@ -244270,6 +305338,8 @@ 1 1 0 +3 +0 4 0x96dd 0x7b3307a5 @@ -244278,6 +305348,8 @@ 0 0 0 +3 +4 1 0x823a 0x140409fc @@ -244286,6 +305358,8 @@ 0 0 0 +5 +0 3 0x3605 0xf7d92387 @@ -244295,6 +305369,8 @@ 0 0 4 +0 +4 0x440c 0xbc64d8ee 256 @@ -244302,6 +305378,8 @@ 1 0 0 +2 +3 4 0xe566 0x8d97cafb @@ -244310,6 +305388,8 @@ 1 0 0 +1 +1 4 0x2387 0x1e40367a @@ -244318,6 +305398,8 @@ 1 1 0 +1 +1 2 0x2f8f 0x25d45884 @@ -244326,6 +305408,8 @@ 1 0 0 +5 +4 4 0x9549 0x1d2350c @@ -244334,6 +305418,8 @@ 0 0 0 +1 +1 4 0xf39b 0x321b79ae @@ -244342,6 +305428,8 @@ 0 0 0 +4 +0 2 0xfd14 0xef6a28ef @@ -244350,6 +305438,8 @@ 0 0 0 +3 +5 4 0xe4b5 0xc880fce5 @@ -244358,6 +305448,8 @@ 0 0 0 +0 +3 4 0xc155 0xf2412689 @@ -244366,6 +305458,8 @@ 1 0 0 +1 +4 3 0xf0d1 0x5f3160f9 @@ -244374,6 +305468,8 @@ 1 1 0 +2 +4 4 0x8afa 0x576d31d6 @@ -244382,6 +305478,8 @@ 0 0 0 +3 +1 4 0xa68 0xd525eea6 @@ -244390,6 +305488,8 @@ 0 0 0 +0 +5 3 0x3b8 0xdf711641 @@ -244398,6 +305498,8 @@ 0 0 0 +4 +0 3 0x13b1 0x9ebbe7e9 @@ -244406,6 +305508,8 @@ 1 0 0 +4 +3 2 0x3f59 0xfb3d4a97 @@ -244415,6 +305519,8 @@ 0 0 1 +3 +1 0xc927 0x489f8767 256 @@ -244422,6 +305528,8 @@ 0 0 0 +1 +3 2 0xdcdd 0x85338850 @@ -244430,6 +305538,8 @@ 1 0 0 +4 +3 3 0x9350 0x29bbacdc @@ -244438,6 +305548,8 @@ 1 1 0 +2 +1 4 0x8b48 0xacd042ae @@ -244446,6 +305558,8 @@ 0 0 0 +5 +4 2 0x6071 0xa7d4d532 @@ -244454,6 +305568,8 @@ 0 0 0 +3 +5 2 0xabdb 0x8cc7503c @@ -244462,6 +305578,8 @@ 1 1 0 +2 +1 1 0x3509 0x9727077 @@ -244470,6 +305588,8 @@ 1 1 0 +2 +4 3 0xbc2e 0xf9b07f5e @@ -244478,6 +305598,8 @@ 1 0 0 +5 +5 2 0xdbad 0xc4a3771f @@ -244486,6 +305608,8 @@ 0 0 0 +0 +0 1 0xd7fa 0x4526da79 @@ -244494,6 +305618,8 @@ 1 0 0 +5 +1 3 0xd9f7 0x9e533470 @@ -244503,6 +305629,8 @@ 0 0 2 +4 +2 0x5bff 0xad664aa2 256 @@ -244510,6 +305638,8 @@ 1 0 0 +0 +3 4 0x32f 0x9a19552f @@ -244518,6 +305648,8 @@ 0 0 0 +5 +2 2 0x8d6c 0xb746b2d3 @@ -244527,6 +305659,8 @@ 0 0 3 +5 +3 0xef94 0xee3c8ec8 256 @@ -244534,6 +305668,8 @@ 1 1 0 +5 +1 3 0xf0f 0x9c8b424f @@ -244542,6 +305678,8 @@ 1 0 0 +3 +2 1 0xd79f 0x524783e5 @@ -244550,6 +305688,8 @@ 0 0 0 +4 +2 1 0xe6f3 0x357196e7 @@ -244558,6 +305698,8 @@ 0 0 0 +5 +1 1 0x5a7 0xcd5621b8 @@ -244567,6 +305709,8 @@ 0 0 1 +3 +1 0x3e95 0xdc850f81 256 @@ -244574,6 +305718,8 @@ 1 1 0 +2 +2 3 0xbf9c 0x3250dd8e @@ -244582,6 +305728,8 @@ 1 0 0 +3 +2 1 0x925b 0xe5906ae7 @@ -244590,6 +305738,8 @@ 0 0 0 +2 +2 1 0x13ba 0x3e96c31e @@ -244599,6 +305749,8 @@ 0 0 2 +1 +2 0xacc 0x6606a068 256 @@ -244606,6 +305758,8 @@ 0 0 0 +5 +2 1 0x4ca5 0xabef428b @@ -244614,6 +305768,8 @@ 1 0 0 +4 +3 2 0x6edd 0x5a1f77eb @@ -244622,6 +305778,8 @@ 1 0 0 +1 +5 2 0x3a50 0x48f1c4a0 @@ -244630,6 +305788,8 @@ 1 1 0 +1 +0 4 0x4bc3 0x6b4a28b @@ -244639,6 +305799,8 @@ 1 0 3 +4 +3 0x3764 0x81db0ceb 256 @@ -244646,6 +305808,8 @@ 1 1 0 +5 +0 4 0x9cfa 0x8a8d4d87 @@ -244654,6 +305818,8 @@ 1 1 0 +0 +0 3 0x9471 0xc50a7bc6 @@ -244662,6 +305828,8 @@ 1 0 0 +1 +3 4 0xf0b4 0xf75ab0d6 @@ -244670,6 +305838,8 @@ 0 0 0 +0 +0 1 0x9bc2 0x18a7b991 @@ -244679,6 +305849,8 @@ 0 0 1 +1 +1 0x3e62 0x3f2d4f5d 256 @@ -244686,6 +305858,8 @@ 0 0 0 +2 +2 3 0xb111 0xc0a47163 @@ -244694,6 +305868,8 @@ 0 0 0 +2 +4 4 0x16f4 0x5958868a @@ -244702,6 +305878,8 @@ 1 1 0 +0 +1 2 0x2c36 0xa4f5ced @@ -244710,6 +305888,8 @@ 0 0 0 +2 +4 1 0x9e31 0x44ce7fa2 @@ -244719,6 +305899,8 @@ 1 0 1 +5 +1 0x6b48 0x7c9358ca 256 @@ -244726,6 +305908,8 @@ 1 1 0 +5 +2 4 0x8b29 0xe2624a47 @@ -244734,6 +305918,8 @@ 0 0 0 +0 +2 3 0xbf02 0x4e718d30 @@ -244742,6 +305928,8 @@ 0 0 0 +2 +5 1 0x7b1b 0x9d15d0ab @@ -244750,6 +305938,8 @@ 0 0 0 +0 +5 2 0x954c 0x1c975ed2 @@ -244758,6 +305948,8 @@ 1 1 0 +0 +5 3 0xedac 0xd8ef8023 @@ -244766,6 +305958,8 @@ 1 0 0 +0 +3 2 0x4b3 0x37d3af03 @@ -244774,6 +305968,8 @@ 1 0 0 +4 +3 1 0x9f94 0xfa0a1f60 @@ -244782,6 +305978,8 @@ 0 0 0 +4 +3 1 0xd86c 0x95ac695c @@ -244790,6 +305988,8 @@ 0 0 0 +5 +2 1 0xc5b5 0x5573771d @@ -244798,6 +305998,8 @@ 0 0 0 +3 +5 4 0x5946 0x3302aff0 @@ -244806,6 +306008,8 @@ 1 1 0 +4 +3 2 0x37d6 0x558e2318 @@ -244814,6 +306018,8 @@ 1 0 0 +1 +2 2 0x83be 0x570902fe @@ -244822,6 +306028,8 @@ 1 0 0 +3 +2 2 0x423e 0x849975b8 @@ -244830,6 +306038,8 @@ 1 1 0 +5 +3 2 0xf73b 0x3d907581 @@ -244838,6 +306048,8 @@ 1 1 0 +0 +3 1 0x47e7 0xdc5e79bc @@ -244846,6 +306058,8 @@ 0 0 0 +5 +5 2 0xa875 0xe4b80c45 @@ -244854,6 +306068,8 @@ 0 0 0 +3 +3 2 0x8dac 0x12add513 @@ -244862,6 +306078,8 @@ 0 0 0 +0 +1 2 0x45e2 0x5b43085f @@ -244870,6 +306088,8 @@ 1 0 0 +4 +5 2 0xa7d2 0xb1c108b3 @@ -244878,6 +306098,8 @@ 0 0 0 +3 +0 2 0xe2b7 0x55ae530a @@ -244886,6 +306108,8 @@ 0 0 0 +2 +3 1 0xe834 0xcbb1489b @@ -244895,6 +306119,8 @@ 1 0 4 +1 +4 0x6faa 0xe365d956 256 @@ -244903,6 +306129,8 @@ 1 0 2 +0 +2 0x166c 0x3d4d6a7c 256 @@ -244910,6 +306138,8 @@ 0 0 0 +0 +4 2 0x1541 0xb3fcd3fd @@ -244918,6 +306148,8 @@ 0 0 0 +5 +5 3 0xedce 0xd8e50cc1 @@ -244926,6 +306158,8 @@ 0 0 0 +4 +4 1 0x3bff 0x7d7c13e @@ -244934,6 +306168,8 @@ 1 0 0 +5 +4 4 0xac45 0x508f7fd1 @@ -244942,6 +306178,8 @@ 1 1 0 +0 +5 1 0x241c 0x4065c95f @@ -244951,6 +306189,8 @@ 1 0 4 +5 +4 0xa97b 0x67e5b0bf 256 @@ -244958,6 +306198,8 @@ 1 0 0 +5 +1 3 0x744 0xcdb51207 @@ -244966,6 +306208,8 @@ 1 1 0 +5 +1 1 0xe323 0xf47a451f @@ -244974,6 +306218,8 @@ 0 0 0 +4 +0 3 0xbe92 0xdbac517b @@ -244982,6 +306228,8 @@ 0 0 0 +3 +4 2 0x13e7 0x46e8efde @@ -244991,6 +306239,8 @@ 1 0 2 +2 +2 0x12b5 0xc6227cd6 256 @@ -244998,6 +306248,8 @@ 1 1 0 +3 +3 1 0x710f 0x86ce5c0e @@ -245006,6 +306258,8 @@ 0 0 0 +2 +2 4 0xd964 0x352fcdca @@ -245014,6 +306268,8 @@ 1 1 0 +1 +2 2 0x637c 0x66b27c35 @@ -245022,6 +306278,8 @@ 0 0 0 +3 +4 4 0x8aca 0xecc4638 @@ -245030,6 +306288,8 @@ 0 0 0 +2 +3 1 0xf3f3 0xcb15f53c @@ -245038,6 +306298,8 @@ 0 0 0 +2 +2 3 0x7ef0 0x15b35bcd @@ -245046,6 +306308,8 @@ 1 1 0 +1 +5 4 0xf78c 0xf7324da @@ -245054,6 +306318,8 @@ 1 1 0 +5 +1 1 0x4fdd 0xd2175b3b @@ -245062,6 +306328,8 @@ 1 0 0 +0 +3 4 0x4e92 0xbed87066 @@ -245070,6 +306338,8 @@ 1 1 0 +0 +5 1 0xd0e8 0xcaf57312 @@ -245078,6 +306348,8 @@ 1 1 0 +1 +4 4 0x9692 0xd693e474 @@ -245086,6 +306358,8 @@ 1 1 0 +3 +3 2 0xe1e0 0x2345390a @@ -245094,6 +306368,8 @@ 0 0 0 +4 +5 2 0xdacf 0x9686711a @@ -245102,6 +306378,8 @@ 0 0 0 +4 +5 2 0x6c33 0xf5797ced @@ -245110,6 +306388,8 @@ 0 0 0 +0 +5 2 0xf90b 0x56faea0b @@ -245118,6 +306398,8 @@ 1 1 0 +3 +5 2 0xa8fa 0xe0c8bbf4 @@ -245126,6 +306408,8 @@ 1 1 0 +0 +5 2 0x7a37 0x7231bdc @@ -245134,6 +306418,8 @@ 0 0 0 +5 +0 1 0x2e70 0x3f2c623a @@ -245142,6 +306428,8 @@ 0 0 0 +2 +4 1 0x6f69 0x53c6aad4 @@ -245150,6 +306438,8 @@ 1 1 0 +4 +2 2 0xd199 0x3c66b9af @@ -245158,6 +306448,8 @@ 1 1 0 +1 +3 2 0xd256 0x75db0f4f @@ -245166,6 +306458,8 @@ 1 1 0 +3 +0 4 0xb662 0x4afa1666 @@ -245174,6 +306468,8 @@ 0 0 0 +0 +2 4 0xdcbc 0x5b58612f @@ -245182,6 +306478,8 @@ 0 0 0 +4 +0 1 0x2b36 0xf8058685 @@ -245190,6 +306488,8 @@ 0 0 0 +0 +3 3 0x6932 0x4d16a4b4 @@ -245198,6 +306498,8 @@ 0 0 0 +2 +5 1 0xfa36 0x629558a @@ -245206,6 +306508,8 @@ 1 0 0 +4 +1 2 0x3c1e 0xecc8dce4 @@ -245215,6 +306519,8 @@ 0 0 3 +2 +3 0x4386 0x18a22506 256 @@ -245223,6 +306529,8 @@ 0 0 3 +5 +3 0x2fff 0x10e623ae 256 @@ -245230,6 +306538,8 @@ 1 1 0 +4 +1 3 0x739e 0x3c2b149d @@ -245238,6 +306548,8 @@ 0 0 0 +2 +5 3 0xfa87 0xfde27a2 @@ -245246,6 +306558,8 @@ 1 1 0 +2 +5 4 0x47e7 0xb4dceb4f @@ -245254,6 +306568,8 @@ 1 1 0 +3 +4 2 0x2d25 0xc92471e4 @@ -245262,6 +306578,8 @@ 1 0 0 +0 +0 4 0x2cd4 0x924280c8 @@ -245270,6 +306588,8 @@ 0 0 0 +3 +5 4 0x1cbc 0xe88e38b8 @@ -245278,6 +306598,8 @@ 0 0 0 +3 +4 1 0x128 0xb6716ecb @@ -245287,6 +306609,8 @@ 1 0 2 +1 +2 0xcbaf 0x2d704fa1 256 @@ -245294,6 +306618,8 @@ 1 1 0 +4 +0 2 0xeb9f 0x74a0055 @@ -245302,6 +306628,8 @@ 0 0 0 +4 +0 1 0x3d2b 0xbcd3557 @@ -245310,6 +306638,8 @@ 1 0 0 +2 +4 1 0xd99b 0x71647f9b @@ -245319,6 +306649,8 @@ 0 0 1 +2 +1 0xaf35 0xd8d0de93 256 @@ -245326,6 +306658,8 @@ 1 0 0 +2 +0 3 0x7773 0xa3db66e7 @@ -245334,6 +306668,8 @@ 1 1 0 +1 +3 3 0x7fd7 0xc4cdbf8e @@ -245343,6 +306679,8 @@ 0 0 4 +5 +4 0x723a 0x77a0bf11 256 @@ -245351,6 +306689,8 @@ 0 0 3 +0 +3 0xa8c8 0x5bc91ad8 256 @@ -245358,6 +306698,8 @@ 1 1 0 +5 +5 2 0xa105 0xb5cc35ff @@ -245366,6 +306708,8 @@ 0 0 0 +1 +2 3 0x836b 0x1934c47f @@ -245374,6 +306718,8 @@ 1 0 0 +5 +1 1 0x2ac8 0xda4a2fc6 @@ -245382,6 +306728,8 @@ 1 1 0 +3 +5 4 0xd9d6 0xd5f16cf6 @@ -245391,6 +306739,8 @@ 0 0 2 +2 +2 0xc208 0x875130f3 256 @@ -245398,6 +306748,8 @@ 0 0 0 +5 +2 4 0xa196 0x31678802 @@ -245406,6 +306758,8 @@ 1 1 0 +5 +3 2 0x773e 0xbf62dc8 @@ -245414,6 +306768,8 @@ 0 0 0 +0 +5 3 0xa64e 0xe5f7c596 @@ -245423,6 +306779,8 @@ 0 0 3 +2 +3 0xf6a4 0x8f624069 256 @@ -245430,6 +306788,8 @@ 0 0 0 +5 +2 2 0xc638 0xffbf3433 @@ -245438,6 +306798,8 @@ 0 0 0 +2 +3 1 0xf9d5 0xf9907198 @@ -245446,6 +306808,8 @@ 0 0 0 +0 +3 2 0xd0c0 0x54a72364 @@ -245455,6 +306819,8 @@ 1 0 4 +3 +4 0x60ca 0xadfaeb9e 256 @@ -245462,6 +306828,8 @@ 0 0 0 +4 +1 2 0x3a96 0x9ff819b2 @@ -245470,6 +306838,8 @@ 0 0 0 +1 +5 4 0xe30a 0x9e31ef67 @@ -245478,6 +306848,8 @@ 1 1 0 +2 +0 1 0xb76 0x4f5afe07 @@ -245487,6 +306859,8 @@ 0 0 4 +5 +4 0x6234 0xf803feba 256 @@ -245494,6 +306868,8 @@ 0 0 0 +0 +1 1 0x4cdf 0x4b44c684 @@ -245502,6 +306878,8 @@ 1 1 0 +5 +5 1 0xd8a4 0x6ae044de @@ -245510,6 +306888,8 @@ 1 1 0 +5 +5 1 0x75d3 0x90583f1f @@ -245518,6 +306898,8 @@ 1 1 0 +5 +2 3 0x63c1 0x48812fd0 @@ -245526,6 +306908,8 @@ 0 0 0 +2 +2 4 0x2c20 0xc0ccca9b @@ -245534,6 +306918,8 @@ 0 0 0 +3 +2 1 0x8787 0xce8bbd1 @@ -245542,6 +306928,8 @@ 0 0 0 +5 +4 2 0xd840 0x673457bd @@ -245550,6 +306938,8 @@ 1 0 0 +0 +0 4 0x9196 0xa4d6dcdf @@ -245558,6 +306948,8 @@ 1 1 0 +2 +1 4 0x371b 0x679fa101 @@ -245566,6 +306958,8 @@ 1 1 0 +3 +5 4 0xc044 0xe58316b7 @@ -245574,6 +306968,8 @@ 1 1 0 +2 +1 4 0xc027 0x1ccfc30a @@ -245582,6 +306978,8 @@ 0 0 0 +3 +2 1 0x71b0 0xa91f3d2 @@ -245591,6 +306989,8 @@ 0 0 4 +0 +4 0x9222 0x78f190b2 256 @@ -245598,6 +306998,8 @@ 0 0 0 +2 +1 1 0x316c 0x34e9a337 @@ -245606,6 +307008,8 @@ 0 0 0 +1 +1 2 0x23de 0x8cb817ad @@ -245615,6 +307019,8 @@ 0 0 1 +5 +1 0xf634 0xfba6416c 256 @@ -245622,6 +307028,8 @@ 1 0 0 +1 +4 4 0x80d0 0x4b25d24e @@ -245630,6 +307038,8 @@ 0 0 0 +1 +0 2 0x4cb4 0xe32e79fc @@ -245638,6 +307048,8 @@ 0 0 0 +4 +5 2 0xf314 0x8e616971 @@ -245646,6 +307058,8 @@ 0 0 0 +0 +0 2 0xb043 0x6753b5f5 @@ -245654,6 +307068,8 @@ 0 0 0 +3 +3 2 0x7cc6 0x1b34f7d5 @@ -245662,6 +307078,8 @@ 0 0 0 +0 +2 1 0xcde5 0xb8384325 @@ -245670,6 +307088,8 @@ 1 0 0 +0 +1 1 0xf050 0xdb8ac6f0 @@ -245679,6 +307099,8 @@ 0 0 4 +3 +4 0x65ef 0xc8cf6648 256 @@ -245686,6 +307108,8 @@ 0 0 0 +3 +5 2 0x8bae 0x76a00d32 @@ -245694,6 +307118,8 @@ 0 0 0 +0 +4 4 0xfc63 0x54113585 @@ -245702,6 +307128,8 @@ 1 0 0 +1 +1 4 0x3baa 0xc17ca14a @@ -245710,6 +307138,8 @@ 1 1 0 +4 +4 1 0xd010 0xa660dc0a @@ -245718,6 +307148,8 @@ 1 1 0 +4 +4 2 0x6127 0xb8122e53 @@ -245726,6 +307158,8 @@ 0 0 0 +4 +4 3 0x2c73 0x377fad65 @@ -245735,6 +307169,8 @@ 0 0 4 +5 +4 0xe0ec 0xde49bd23 256 @@ -245742,6 +307178,8 @@ 0 0 0 +0 +1 4 0x965e 0x180c8de7 @@ -245751,6 +307189,8 @@ 0 0 2 +3 +2 0x10dc 0x51d019a6 256 @@ -245759,6 +307199,8 @@ 0 0 4 +5 +4 0x65d9 0x4e006a6b 256 @@ -245766,6 +307208,8 @@ 0 0 0 +4 +0 3 0x3a4c 0x3efcb0a9 @@ -245774,6 +307218,8 @@ 1 0 0 +4 +0 1 0x8732 0x4d3632bd @@ -245782,6 +307228,8 @@ 0 0 0 +0 +4 1 0x1b76 0xb3415a52 @@ -245790,6 +307238,8 @@ 1 1 0 +5 +2 2 0x4bd9 0xe96d11aa @@ -245798,6 +307248,8 @@ 0 0 0 +2 +5 3 0x2c48 0x462865c9 @@ -245806,6 +307258,8 @@ 0 0 0 +0 +2 1 0x1b4d 0x2e28ef44 @@ -245815,6 +307269,8 @@ 1 0 1 +4 +1 0xfaf9 0x27e26b6 256 @@ -245822,6 +307278,8 @@ 1 0 0 +5 +0 4 0x36c3 0xf360b107 @@ -245830,6 +307288,8 @@ 0 0 0 +5 +1 4 0x179a 0x26d0fd2e @@ -245838,6 +307298,8 @@ 1 1 0 +3 +3 2 0x3a49 0x57b880c6 @@ -245846,6 +307308,8 @@ 1 1 0 +3 +5 4 0x77aa 0x1d5a2e86 @@ -245854,6 +307318,8 @@ 1 1 0 +5 +5 4 0xad52 0x90140a53 @@ -245862,6 +307328,8 @@ 0 0 0 +3 +0 1 0xc849 0xd6ef4076 @@ -245870,6 +307338,8 @@ 1 0 0 +0 +4 1 0xe6c2 0x84503f03 @@ -245878,6 +307348,8 @@ 0 0 0 +1 +2 3 0x3dd9 0x80489738 @@ -245886,6 +307358,8 @@ 1 1 0 +1 +0 2 0x4a9c 0x95454601 @@ -245894,6 +307368,8 @@ 1 0 0 +2 +4 4 0x121e 0x6611f45 @@ -245903,6 +307379,8 @@ 0 0 2 +0 +2 0xdd85 0xde329944 256 @@ -245910,6 +307388,8 @@ 0 0 0 +2 +1 1 0xcf95 0xa698b12a @@ -245918,6 +307398,8 @@ 0 0 0 +0 +4 3 0x9b98 0xd0e61236 @@ -245926,6 +307408,8 @@ 1 1 0 +4 +3 2 0x834e 0x91fcb733 @@ -245934,6 +307418,8 @@ 0 0 0 +0 +1 3 0x21c7 0x7ec3acd4 @@ -245942,6 +307428,8 @@ 1 0 0 +0 +5 1 0x1c10 0xde5abd19 @@ -245950,6 +307438,8 @@ 1 1 0 +2 +2 4 0xa66c 0xe5eb245b @@ -245959,6 +307449,8 @@ 0 0 2 +2 +2 0x3aa8 0x8c9ddea1 256 @@ -245966,6 +307458,8 @@ 0 0 0 +1 +1 3 0x385f 0x1673b43e @@ -245974,6 +307468,8 @@ 1 1 0 +0 +4 3 0xce96 0x7eacb2fd @@ -245982,6 +307478,8 @@ 0 0 0 +4 +5 2 0x23ea 0x5ce966f7 @@ -245990,6 +307488,8 @@ 0 0 0 +5 +4 2 0x9f98 0xa74fdea3 @@ -245999,6 +307499,8 @@ 1 0 1 +3 +1 0xa2d7 0x6d32370b 256 @@ -246007,6 +307509,8 @@ 0 0 2 +3 +2 0x9eb4 0x4c40dfd7 256 @@ -246014,6 +307518,8 @@ 0 0 0 +3 +1 1 0xe6cc 0xaaaea262 @@ -246022,6 +307528,8 @@ 1 0 0 +0 +1 4 0xc67e 0x2f809f4e @@ -246030,6 +307538,8 @@ 1 1 0 +3 +5 1 0x756e 0x214ae124 @@ -246038,6 +307548,8 @@ 1 1 0 +4 +1 1 0xba88 0xbbf97013 @@ -246046,6 +307558,8 @@ 0 0 0 +4 +0 1 0x287e 0x77b68734 @@ -246055,6 +307569,8 @@ 0 0 3 +4 +3 0xc86e 0x36b88b6 256 @@ -246063,6 +307579,8 @@ 0 0 3 +1 +3 0x7d78 0x6a224549 256 @@ -246070,6 +307588,8 @@ 1 1 0 +4 +0 2 0x592f 0xd22004b1 @@ -246079,6 +307599,8 @@ 0 0 3 +4 +3 0x8d46 0x1338952b 256 @@ -246086,6 +307608,8 @@ 0 0 0 +0 +5 4 0x7271 0x1a373e93 @@ -246094,6 +307618,8 @@ 1 0 0 +5 +2 3 0xcc91 0x765eabcb @@ -246102,6 +307628,8 @@ 1 1 0 +4 +1 2 0xc655 0x7a7951e5 @@ -246110,6 +307638,8 @@ 0 0 0 +1 +0 2 0xa7f7 0x73227dd7 @@ -246118,6 +307648,8 @@ 1 0 0 +0 +2 4 0x15f9 0x2341b5c3 @@ -246126,6 +307658,8 @@ 0 0 0 +4 +3 2 0x2845 0xedb28a8a @@ -246134,6 +307668,8 @@ 0 0 0 +1 +5 4 0xbc97 0x98bb2f2a @@ -246142,6 +307678,8 @@ 1 1 0 +2 +5 3 0xea54 0x5cf1cf3c @@ -246151,6 +307689,8 @@ 1 0 1 +2 +1 0xce7d 0x599ef99b 256 @@ -246158,6 +307698,8 @@ 1 1 0 +0 +1 2 0xe761 0xa6a4bc7c @@ -246166,6 +307708,8 @@ 0 0 0 +2 +0 4 0x74e8 0x98633ebb @@ -246174,6 +307718,8 @@ 0 0 0 +5 +1 2 0x40b6 0xe6d706a9 @@ -246182,6 +307728,8 @@ 1 1 0 +4 +5 3 0xba23 0xbdbe28ff @@ -246190,6 +307738,8 @@ 1 1 0 +0 +0 4 0x8bf8 0x71b1afac @@ -246198,6 +307748,8 @@ 0 0 0 +0 +2 1 0x9e9b 0x4ee9d172 @@ -246206,6 +307758,8 @@ 0 0 0 +4 +0 2 0xed5 0x8ff4b179 @@ -246214,6 +307768,8 @@ 0 0 0 +1 +2 2 0xc338 0xf621ffa4 @@ -246222,6 +307778,8 @@ 0 0 0 +2 +1 1 0x910d 0x68c1b35d @@ -246230,6 +307788,8 @@ 1 0 0 +0 +0 4 0xb0af 0x8386d7e0 @@ -246238,6 +307798,8 @@ 0 0 0 +1 +4 3 0x2119 0x1fd40cd4 @@ -246246,6 +307808,8 @@ 0 0 0 +5 +5 3 0x4a75 0x8ecd835b @@ -246254,6 +307818,8 @@ 1 1 0 +5 +5 3 0x44dc 0xa70395d8 @@ -246262,6 +307828,8 @@ 0 0 0 +0 +2 2 0xdccf 0x77a82ee0 @@ -246270,6 +307838,8 @@ 1 0 0 +1 +1 3 0x946d 0xd83b2094 @@ -246278,6 +307848,8 @@ 1 1 0 +2 +4 1 0x5457 0x3dea349f @@ -246286,6 +307858,8 @@ 0 0 0 +5 +1 2 0x9b1b 0x559f703a @@ -246294,6 +307868,8 @@ 1 0 0 +1 +5 4 0x286f 0xe6b8eda2 @@ -246302,6 +307878,8 @@ 0 0 0 +4 +3 2 0xa68f 0x17867681 @@ -246310,6 +307888,8 @@ 0 0 0 +1 +5 3 0xee76 0xcef641ae @@ -246318,6 +307898,8 @@ 0 0 0 +1 +5 4 0xa8ef 0xb81834b0 @@ -246326,6 +307908,8 @@ 0 0 0 +5 +1 4 0x5c1d 0xa51c7566 @@ -246334,6 +307918,8 @@ 1 0 0 +0 +2 3 0xe594 0xc9cd68ab @@ -246342,6 +307928,8 @@ 0 0 0 +1 +3 2 0x50f3 0x258cc525 @@ -246350,6 +307938,8 @@ 1 0 0 +4 +1 3 0x91ad 0x3df2a829 @@ -246358,6 +307948,8 @@ 0 0 0 +2 +1 3 0x7830 0x3a9a12a7 @@ -246366,6 +307958,8 @@ 1 0 0 +5 +5 1 0x2d78 0x7d0088ce @@ -246375,6 +307969,8 @@ 0 0 4 +1 +4 0x9b34 0xcfe38cac 256 @@ -246383,6 +307979,8 @@ 0 0 4 +2 +4 0x3fb0 0xc20103ff 256 @@ -246390,6 +307988,8 @@ 1 0 0 +5 +0 1 0x9ccd 0xd4e6585f @@ -246398,6 +307998,8 @@ 1 0 0 +0 +0 1 0x1b02 0xe75e947a @@ -246406,6 +308008,8 @@ 0 0 0 +5 +4 2 0x9058 0x3f8380e9 @@ -246414,6 +308018,8 @@ 1 0 0 +1 +1 4 0x4cff 0x9af7b9f4 @@ -246422,6 +308028,8 @@ 0 0 0 +2 +3 1 0xaf2b 0x965efb87 @@ -246430,6 +308038,8 @@ 1 0 0 +2 +0 1 0x8b5c 0x325d8bde @@ -246438,6 +308048,8 @@ 0 0 0 +1 +0 2 0xce14 0x18b75dcf @@ -246447,6 +308059,8 @@ 1 0 1 +0 +1 0xd9a5 0x65f9d075 256 @@ -246454,6 +308068,8 @@ 0 0 0 +0 +3 2 0x2d0a 0x2f46aa8b @@ -246462,6 +308078,8 @@ 0 0 0 +2 +4 4 0xf9be 0x4d2f79c0 @@ -246470,6 +308088,8 @@ 1 0 0 +0 +1 4 0x330a 0x9fa50977 @@ -246478,6 +308098,8 @@ 0 0 0 +1 +1 3 0x5267 0x2b32e04a @@ -246486,6 +308108,8 @@ 1 0 0 +4 +1 1 0xd843 0x7594d666 @@ -246494,6 +308118,8 @@ 0 0 0 +2 +0 3 0xdb13 0xd2489292 @@ -246502,6 +308128,8 @@ 0 0 0 +0 +3 4 0x8eb9 0xd7154519 @@ -246510,6 +308138,8 @@ 0 0 0 +4 +2 1 0xa38d 0xe3610b08 @@ -246519,6 +308149,8 @@ 0 0 4 +3 +4 0x3433 0xab46c146 256 @@ -246526,6 +308158,8 @@ 1 0 0 +1 +4 4 0x4ed3 0x71d57cc1 @@ -246534,6 +308168,8 @@ 1 1 0 +3 +2 4 0x18d6 0x7c5958be @@ -246543,6 +308179,8 @@ 1 0 3 +5 +3 0xdd1b 0x9e764475 256 @@ -246550,6 +308188,8 @@ 0 0 0 +1 +4 3 0x5755 0x1ee93fd1 @@ -246558,6 +308198,8 @@ 1 0 0 +2 +5 3 0x5a3c 0x19ddc803 @@ -246566,6 +308208,8 @@ 0 0 0 +5 +3 4 0xbb66 0x81733a52 @@ -246575,6 +308219,8 @@ 0 0 2 +5 +2 0x59dd 0xd3e3a65a 256 @@ -246582,6 +308228,8 @@ 0 0 0 +2 +5 4 0xc335 0xbe470bf5 @@ -246591,6 +308239,8 @@ 0 0 4 +1 +4 0x717 0xcedd29da 256 @@ -246598,6 +308248,8 @@ 0 0 0 +1 +2 2 0xad0e 0xcfe2aebc @@ -246607,6 +308259,8 @@ 0 0 4 +4 +4 0x71f1 0xfec84779 256 @@ -246614,6 +308268,8 @@ 1 0 0 +1 +5 2 0x31d9 0x3bcee76b @@ -246622,6 +308278,8 @@ 1 0 0 +0 +5 3 0x958 0xe1d6187a @@ -246630,6 +308288,8 @@ 0 0 0 +2 +1 1 0x3e19 0x90cdd8d1 @@ -246638,6 +308298,8 @@ 0 0 0 +3 +0 1 0x2d82 0xcb34a20 @@ -246646,6 +308308,8 @@ 1 0 0 +2 +0 1 0x89c5 0xdf6e266f @@ -246654,6 +308318,8 @@ 1 0 0 +4 +1 1 0xd4b0 0xe6565148 @@ -246663,6 +308329,8 @@ 1 0 1 +5 +1 0xe191 0xb67d7722 256 @@ -246670,6 +308338,8 @@ 0 0 0 +0 +5 2 0x2328 0x30febc22 @@ -246679,6 +308349,8 @@ 0 0 2 +1 +2 0x8184 0x2ea3b7bf 256 @@ -246686,6 +308358,8 @@ 1 0 0 +1 +3 3 0x4750 0x80632e17 @@ -246694,6 +308368,8 @@ 1 0 0 +2 +0 1 0x20fc 0x2f8ff29b @@ -246702,6 +308378,8 @@ 1 1 0 +5 +4 1 0xf65 0x9892bfd9 @@ -246710,6 +308388,8 @@ 0 0 0 +3 +2 2 0xce94 0x7c6190f8 @@ -246718,6 +308398,8 @@ 1 0 0 +1 +1 2 0x72ca 0x24546ea4 @@ -246727,6 +308409,8 @@ 0 0 2 +3 +2 0x7580 0x672be8fb 256 @@ -246734,6 +308418,8 @@ 1 1 0 +0 +2 2 0x7d2f 0x7ac1c4d4 @@ -246743,6 +308429,8 @@ 1 0 1 +4 +1 0x381f 0x2f562d1f 256 @@ -246750,6 +308438,8 @@ 1 1 0 +4 +0 2 0xf80f 0x6860766e @@ -246758,6 +308448,8 @@ 0 0 0 +5 +2 1 0x3e9e 0x6f18bc29 @@ -246766,6 +308458,8 @@ 1 0 0 +2 +4 3 0x38d4 0xf94a54d1 @@ -246774,6 +308468,8 @@ 0 0 0 +3 +4 1 0xc3c3 0xf5ca2957 @@ -246782,6 +308478,8 @@ 0 0 0 +2 +0 1 0xbc08 0xbc7c4166 @@ -246790,6 +308488,8 @@ 0 0 0 +2 +0 1 0xe17b 0x5783e74a @@ -246798,6 +308498,8 @@ 1 0 0 +0 +3 3 0xac85 0xd462d1f5 @@ -246806,6 +308508,8 @@ 0 0 0 +5 +2 2 0xc889 0xb2c8340a @@ -246814,6 +308518,8 @@ 1 1 0 +2 +3 4 0x7c3 0x299755be @@ -246822,6 +308528,8 @@ 1 1 0 +2 +0 3 0x65e5 0xea4d0f18 @@ -246830,6 +308538,8 @@ 1 0 0 +3 +1 4 0x577e 0x583b10ef @@ -246838,6 +308548,8 @@ 1 1 0 +5 +2 1 0x4865 0xc511c52 @@ -246846,6 +308558,8 @@ 1 0 0 +2 +4 1 0x4662 0xf22fee36 @@ -246854,6 +308568,8 @@ 1 1 0 +2 +2 3 0xfd0e 0x957de220 @@ -246862,6 +308578,8 @@ 0 0 0 +0 +3 1 0x28a5 0xdc830b8b @@ -246870,6 +308588,8 @@ 1 1 0 +1 +5 2 0xefd5 0xa28b2cd8 @@ -246878,6 +308598,8 @@ 0 0 0 +3 +2 4 0xb076 0x28dd42ee @@ -246886,6 +308608,8 @@ 0 0 0 +3 +0 4 0xbf18 0xe6046f9b @@ -246894,6 +308618,8 @@ 0 0 0 +0 +5 1 0xd48a 0x264027d2 @@ -246902,6 +308628,8 @@ 0 0 0 +4 +0 2 0xab7d 0xdfcb0d54 @@ -246910,6 +308638,8 @@ 1 1 0 +0 +4 1 0xe2c4 0xd3b4e7a3 @@ -246918,6 +308648,8 @@ 1 0 0 +0 +0 2 0x443b 0xd1e58620 @@ -246926,6 +308658,8 @@ 1 1 0 +2 +4 4 0x9c98 0xbb41fae4 @@ -246935,6 +308669,8 @@ 0 0 3 +4 +3 0x328 0xb771733f 256 @@ -246942,6 +308678,8 @@ 1 0 0 +0 +4 1 0x403f 0x7c567407 @@ -246950,6 +308688,8 @@ 0 0 0 +1 +2 3 0xcf8e 0xf6adedbb @@ -246958,6 +308698,8 @@ 0 0 0 +5 +5 2 0x5f6e 0x16365a2c @@ -246966,6 +308708,8 @@ 0 0 0 +3 +2 4 0xe7d3 0x682ce5b3 @@ -246974,6 +308718,8 @@ 0 0 0 +1 +0 3 0xc33a 0x55aaba4a @@ -246982,6 +308728,8 @@ 0 0 0 +1 +0 3 0x6c07 0x84cf9d36 @@ -246990,6 +308738,8 @@ 0 0 0 +1 +2 4 0x9473 0xb8e8c02f @@ -246998,6 +308748,8 @@ 1 0 0 +2 +0 4 0xae80 0x4f2f7f8e @@ -247006,6 +308758,8 @@ 0 0 0 +1 +0 2 0x1ca3 0x8017c63d @@ -247015,6 +308769,8 @@ 1 0 3 +1 +3 0xdcaa 0xda6e2936 256 @@ -247022,6 +308778,8 @@ 1 1 0 +0 +4 3 0x2c92 0x7cad23f3 @@ -247031,6 +308789,8 @@ 0 0 2 +0 +2 0xbd16 0x7ceccb3d 256 @@ -247039,6 +308799,8 @@ 0 0 1 +5 +1 0xc22 0x5301dcc4 256 @@ -247046,6 +308808,8 @@ 0 0 0 +2 +1 3 0xe53e 0x7aa89f41 @@ -247054,6 +308818,8 @@ 1 0 0 +4 +2 2 0xe173 0x25b0d1ab @@ -247063,6 +308829,8 @@ 0 0 4 +3 +4 0xeffb 0x69eadd0e 256 @@ -247070,6 +308838,8 @@ 0 0 0 +2 +0 4 0x584f 0xb73162cf @@ -247078,6 +308848,8 @@ 0 0 0 +4 +5 3 0x7ea5 0x86f08276 @@ -247086,6 +308858,8 @@ 1 1 0 +0 +2 2 0x408b 0xc725c631 @@ -247094,6 +308868,8 @@ 1 0 0 +2 +1 1 0x2421 0x20341b1a @@ -247102,6 +308878,8 @@ 1 0 0 +0 +2 4 0x11ff 0xe692b8fa @@ -247110,6 +308888,8 @@ 0 0 0 +0 +1 2 0x9270 0x1f63a865 @@ -247118,6 +308898,8 @@ 0 0 0 +4 +1 2 0xcece 0x5432d7a5 @@ -247126,6 +308908,8 @@ 1 0 0 +0 +1 2 0x5b67 0x76deddbb @@ -247134,6 +308918,8 @@ 1 0 0 +2 +4 1 0x57af 0xa345efa9 @@ -247142,6 +308928,8 @@ 0 0 0 +2 +1 1 0x2387 0xe1ffd842 @@ -247150,6 +308938,8 @@ 0 0 0 +0 +1 3 0xa67e 0x900bd5f5 @@ -247158,6 +308948,8 @@ 1 0 0 +1 +5 3 0x915a 0x9f8113e8 @@ -247166,6 +308958,8 @@ 1 0 0 +4 +4 2 0x5343 0xc44463b1 @@ -247174,6 +308968,8 @@ 0 0 0 +1 +4 3 0x7746 0x837257be @@ -247182,6 +308978,8 @@ 1 0 0 +3 +3 4 0xb838 0x29900996 @@ -247190,6 +308988,8 @@ 1 1 0 +0 +3 4 0xa1e5 0xe7bf1808 @@ -247198,6 +308998,8 @@ 0 0 0 +5 +0 1 0x3713 0xb106cc11 @@ -247206,6 +309008,8 @@ 1 1 0 +0 +4 2 0x8676 0xaf11b9b3 @@ -247214,6 +309018,8 @@ 0 0 0 +3 +5 2 0x5864 0xefc87bb8 @@ -247222,6 +309028,8 @@ 0 0 0 +0 +4 1 0xf60c 0xb815af3a @@ -247230,6 +309038,8 @@ 0 0 0 +5 +5 1 0x2c4a 0x6c3578e7 @@ -247238,6 +309048,8 @@ 1 1 0 +2 +3 4 0xb655 0x1f491378 @@ -247246,6 +309058,8 @@ 0 0 0 +5 +1 1 0x5a90 0x18726c55 @@ -247255,6 +309069,8 @@ 0 0 3 +3 +3 0x6cfe 0xc8c404fb 256 @@ -247262,6 +309078,8 @@ 1 1 0 +1 +3 3 0xeca8 0x2a06bc78 @@ -247270,6 +309088,8 @@ 1 1 0 +0 +5 2 0x2844 0x4ed48d46 @@ -247279,6 +309099,8 @@ 0 0 3 +1 +3 0x8dc8 0x6c333ec5 256 @@ -247286,6 +309108,8 @@ 0 0 0 +4 +3 2 0xc65a 0xfb01ebde @@ -247294,6 +309118,8 @@ 1 1 0 +3 +2 2 0xddda 0xdc3adf81 @@ -247302,6 +309128,8 @@ 1 0 0 +2 +1 3 0x7772 0xa3c38dd @@ -247310,6 +309138,8 @@ 0 0 0 +3 +4 2 0x1758 0x229ffde5 @@ -247318,6 +309148,8 @@ 0 0 0 +1 +3 2 0x4caf 0x9ac364b4 @@ -247326,6 +309158,8 @@ 1 0 0 +5 +1 4 0x9e2f 0xa1d94836 @@ -247334,6 +309168,8 @@ 0 0 0 +4 +3 2 0xef87 0x95f6cfe0 @@ -247343,6 +309179,8 @@ 0 0 1 +3 +1 0xcaf5 0xa911a942 256 @@ -247351,6 +309189,8 @@ 1 0 2 +3 +2 0x7a8f 0x4d921e14 256 @@ -247358,6 +309198,8 @@ 1 1 0 +3 +3 2 0xe99f 0x92c0b583 @@ -247367,6 +309209,8 @@ 0 0 4 +2 +4 0x90dc 0xdd5674e8 256 @@ -247374,6 +309218,8 @@ 1 0 0 +4 +5 2 0x17f0 0x410cde08 @@ -247382,6 +309228,8 @@ 0 0 0 +0 +4 3 0xcce1 0x2b0b3e52 @@ -247390,6 +309238,8 @@ 0 0 0 +5 +3 2 0x7d74 0x9e4c38fa @@ -247398,6 +309248,8 @@ 0 0 0 +1 +0 3 0xe093 0xc72e744 @@ -247406,6 +309258,8 @@ 1 1 0 +1 +3 4 0xad1c 0xd5d95e25 @@ -247414,6 +309268,8 @@ 0 0 0 +2 +3 1 0xd943 0x3e7004c @@ -247422,6 +309278,8 @@ 0 0 0 +5 +1 3 0x3180 0xee32025d @@ -247430,6 +309288,8 @@ 1 0 0 +5 +4 2 0xeb57 0xd3bece5 @@ -247439,6 +309299,8 @@ 0 0 2 +5 +2 0x2294 0x292717a8 256 @@ -247446,6 +309308,8 @@ 1 1 0 +0 +3 1 0xb9e0 0x26da4c9a @@ -247454,6 +309318,8 @@ 0 0 0 +4 +3 1 0x779b 0x50c8dd47 @@ -247462,6 +309328,8 @@ 0 0 0 +3 +1 4 0x8703 0xe6af6fa0 @@ -247470,6 +309338,8 @@ 1 1 0 +2 +2 3 0xd804 0x1bfa1b03 @@ -247478,6 +309348,8 @@ 0 0 0 +2 +3 1 0x293a 0x5a467e59 @@ -247487,6 +309359,8 @@ 0 0 3 +1 +3 0x7bd4 0x4c5568ff 256 @@ -247494,6 +309368,8 @@ 0 0 0 +3 +3 4 0x6db4 0x1d7a8a3 @@ -247502,6 +309378,8 @@ 1 1 0 +1 +1 3 0x4ed7 0xd228cda4 @@ -247510,6 +309388,8 @@ 0 0 0 +2 +5 4 0x53f8 0xd3223dd6 @@ -247518,6 +309398,8 @@ 1 1 0 +5 +0 3 0x4c35 0xd35e432f @@ -247526,6 +309408,8 @@ 1 0 0 +2 +2 4 0xdde9 0xb1a4cc93 @@ -247534,6 +309418,8 @@ 0 0 0 +4 +4 3 0xc94e 0xc3140dcb @@ -247543,6 +309429,8 @@ 0 0 3 +3 +3 0xfde1 0xd506f28a 256 @@ -247550,6 +309438,8 @@ 1 0 0 +3 +2 4 0x8044 0x91946eef @@ -247558,6 +309448,8 @@ 1 0 0 +5 +3 1 0xd8ac 0xd30ca645 @@ -247566,6 +309458,8 @@ 1 1 0 +3 +2 4 0x9277 0xbd7ec0d1 @@ -247574,6 +309468,8 @@ 0 0 0 +2 +0 3 0x857d 0x1a8a611 @@ -247582,6 +309478,8 @@ 0 0 0 +0 +4 4 0x3031 0xfced3fc @@ -247590,6 +309488,8 @@ 1 0 0 +3 +5 2 0x4cee 0x48ac7868 @@ -247598,6 +309498,8 @@ 1 1 0 +0 +1 4 0xf612 0x850a7fbe @@ -247606,6 +309508,8 @@ 1 1 0 +5 +3 1 0xb0c 0x1837423b @@ -247614,6 +309518,8 @@ 0 0 0 +5 +4 4 0xccec 0x1261670a @@ -247622,6 +309528,8 @@ 1 0 0 +3 +4 4 0xec0e 0x727b0284 @@ -247630,6 +309538,8 @@ 1 0 0 +4 +3 3 0x4534 0x98facece @@ -247638,6 +309548,8 @@ 1 0 0 +4 +3 1 0xb80e 0xa9f0af22 @@ -247647,6 +309559,8 @@ 0 0 3 +2 +3 0x6d05 0x35f38e07 256 @@ -247654,6 +309568,8 @@ 1 1 0 +2 +2 1 0x69bc 0x4cb707b5 @@ -247662,6 +309578,8 @@ 1 1 0 +5 +1 3 0x7190 0xc3c672dd @@ -247670,6 +309588,8 @@ 0 0 0 +3 +4 1 0x66a5 0x2fd7c33a @@ -247678,6 +309598,8 @@ 1 1 0 +0 +2 3 0x5211 0xad63639b @@ -247686,6 +309608,8 @@ 0 0 0 +2 +3 3 0xaa8c 0xfbde4fdd @@ -247694,6 +309618,8 @@ 1 1 0 +5 +0 1 0x70e5 0x88903e3 @@ -247702,6 +309628,8 @@ 1 1 0 +4 +2 2 0xdca1 0xb7258fac @@ -247710,6 +309638,8 @@ 0 0 0 +3 +1 1 0xca18 0x898d079f @@ -247718,6 +309648,8 @@ 1 1 0 +1 +5 3 0xb53d 0xd783434 @@ -247726,6 +309658,8 @@ 1 0 0 +5 +5 3 0xa617 0x4847ccc4 @@ -247734,6 +309668,8 @@ 0 0 0 +5 +3 1 0x97c 0xd8c28044 @@ -247742,6 +309678,8 @@ 0 0 0 +2 +0 1 0xcae0 0x5e87c235 @@ -247751,6 +309689,8 @@ 1 0 4 +4 +4 0x1c 0xa1ca1ac8 256 @@ -247758,6 +309698,8 @@ 1 0 0 +4 +2 2 0xf287 0xd66f3245 @@ -247766,6 +309708,8 @@ 0 0 0 +1 +1 2 0x83f 0x6e0d4ed2 @@ -247775,6 +309719,8 @@ 0 0 4 +3 +4 0x27cb 0x8c1cdc17 256 @@ -247783,6 +309729,8 @@ 0 0 4 +3 +4 0x1c6 0xe53670d0 256 @@ -247790,6 +309738,8 @@ 1 1 0 +0 +5 4 0x72da 0x67acd24a @@ -247798,6 +309748,8 @@ 1 0 0 +5 +0 3 0x62ad 0x969710fd @@ -247806,6 +309758,8 @@ 1 1 0 +2 +4 3 0xc1e 0xd27e47dd @@ -247814,6 +309768,8 @@ 0 0 0 +5 +5 2 0x428d 0x66d32051 @@ -247822,6 +309778,8 @@ 1 0 0 +2 +1 4 0x70be 0x540051d5 @@ -247830,6 +309788,8 @@ 0 0 0 +0 +3 1 0x3154 0x456118c4 @@ -247838,6 +309798,8 @@ 0 0 0 +0 +4 4 0x9f41 0x470b6baa @@ -247846,6 +309808,8 @@ 1 1 0 +3 +0 4 0xdf71 0xc13e2cd3 @@ -247854,6 +309818,8 @@ 1 1 0 +0 +0 4 0xc03b 0x9aff50a4 @@ -247862,6 +309828,8 @@ 1 0 0 +1 +5 2 0xce34 0xdbca8746 @@ -247870,6 +309838,8 @@ 0 0 0 +4 +1 1 0x3f30 0x9eeaa340 @@ -247878,6 +309848,8 @@ 0 0 0 +3 +0 1 0x417 0x52dd49de @@ -247887,6 +309859,8 @@ 0 0 2 +2 +2 0x647c 0xb65312d3 256 @@ -247894,6 +309868,8 @@ 1 0 0 +4 +5 2 0x53c1 0x1fac2614 @@ -247902,6 +309878,8 @@ 1 1 0 +2 +1 4 0xa56f 0xb5586a92 @@ -247910,6 +309888,8 @@ 0 0 0 +3 +2 4 0x533f 0x919edb3d @@ -247918,6 +309898,8 @@ 1 0 0 +5 +2 1 0x3dc6 0xb42e220e @@ -247926,6 +309908,8 @@ 1 0 0 +0 +1 2 0x1b43 0xa02f1a35 @@ -247934,6 +309918,8 @@ 1 0 0 +3 +4 4 0x730e 0xd910479d @@ -247942,6 +309928,8 @@ 1 1 0 +2 +1 4 0xe1 0x5e68d408 @@ -247950,6 +309938,8 @@ 0 0 0 +1 +5 4 0xceee 0x813216a7 @@ -247958,6 +309948,8 @@ 0 0 0 +4 +0 3 0xd2ef 0xa5044b2c @@ -247966,6 +309958,8 @@ 1 0 0 +0 +4 3 0x85b1 0x97b6fdd6 @@ -247974,6 +309968,8 @@ 0 0 0 +4 +3 2 0x630 0x2b74dec9 @@ -247983,6 +309979,8 @@ 0 0 4 +3 +4 0xbe5d 0x498f1a7c 256 @@ -247990,6 +309988,8 @@ 1 1 0 +1 +3 3 0x72e2 0x84b299fd @@ -247998,6 +309998,8 @@ 1 0 0 +4 +2 3 0xa0e6 0x472984d1 @@ -248006,6 +310008,8 @@ 1 0 0 +5 +2 1 0x4988 0x8cd56c20 @@ -248014,6 +310018,8 @@ 1 0 0 +2 +3 3 0x1112 0x75b2bcc0 @@ -248022,6 +310028,8 @@ 0 0 0 +3 +4 4 0x4767 0xaff4c0a4 @@ -248030,6 +310038,8 @@ 0 0 0 +1 +2 2 0xaf2 0x2bab8189 @@ -248038,6 +310048,8 @@ 0 0 0 +4 +2 1 0x42ac 0x3811580f @@ -248047,6 +310059,8 @@ 0 0 4 +1 +4 0xee2c 0xd1f19200 256 @@ -248054,6 +310068,8 @@ 1 1 0 +3 +4 1 0xfbf9 0x48fef472 @@ -248062,6 +310078,8 @@ 1 1 0 +3 +3 2 0x9e95 0xbb20d9cb @@ -248071,6 +310089,8 @@ 1 0 1 +0 +1 0x3b8c 0xa0580279 256 @@ -248078,6 +310098,8 @@ 1 1 0 +5 +5 3 0xebac 0x1a6a8f12 @@ -248086,6 +310108,8 @@ 1 1 0 +0 +0 4 0xc99a 0xc5d74b81 @@ -248094,6 +310118,8 @@ 0 0 0 +5 +5 4 0xe6c5 0x8ba83b9 @@ -248102,6 +310128,8 @@ 0 0 0 +5 +1 1 0x5b2b 0xf689511b @@ -248110,6 +310138,8 @@ 1 1 0 +2 +2 3 0x3f71 0xccde5f24 @@ -248118,6 +310148,8 @@ 1 1 0 +2 +4 4 0xe536 0x866fada8 @@ -248127,6 +310159,8 @@ 0 0 3 +5 +3 0xf69 0x77a09401 256 @@ -248134,6 +310168,8 @@ 0 0 0 +5 +4 3 0x7336 0x4b2bce03 @@ -248142,6 +310178,8 @@ 0 0 0 +4 +1 3 0x3404 0xc038e9af @@ -248150,6 +310188,8 @@ 1 1 0 +4 +2 3 0x1e99 0xb4fd9cf1 @@ -248158,6 +310198,8 @@ 0 0 0 +2 +5 1 0x9282 0xf398876a @@ -248166,6 +310208,8 @@ 1 0 0 +2 +4 4 0x8777 0x3b0b247d @@ -248174,6 +310218,8 @@ 1 1 0 +2 +2 1 0xb329 0xb1e8d16 @@ -248182,6 +310228,8 @@ 0 0 0 +5 +1 2 0xdd7c 0xd1c011b7 @@ -248190,6 +310238,8 @@ 1 1 0 +3 +5 1 0x1dd5 0xeb936f08 @@ -248198,6 +310248,8 @@ 1 0 0 +0 +1 3 0x6120 0x648df82a @@ -248206,6 +310258,8 @@ 0 0 0 +2 +2 4 0x3c23 0x59fc5b1a @@ -248215,6 +310269,8 @@ 0 0 3 +2 +3 0xe7dc 0x1a692416 256 @@ -248222,6 +310278,8 @@ 1 0 0 +3 +2 1 0x4a76 0x864656ff @@ -248230,6 +310288,8 @@ 1 0 0 +1 +0 4 0x406 0x9157657 @@ -248238,6 +310298,8 @@ 1 0 0 +0 +5 3 0xb4fe 0xffa95915 @@ -248246,6 +310308,8 @@ 0 0 0 +4 +2 3 0x458f 0x73ab4cb3 @@ -248254,6 +310318,8 @@ 0 0 0 +4 +4 1 0x8a23 0x69660f15 @@ -248262,6 +310328,8 @@ 1 1 0 +5 +3 1 0x8c 0x59d36bfe @@ -248270,6 +310338,8 @@ 0 0 0 +2 +1 4 0xc1da 0x8f5cc571 @@ -248278,6 +310348,8 @@ 0 0 0 +0 +5 4 0x7733 0xf4a444e4 @@ -248287,6 +310359,8 @@ 1 0 2 +1 +2 0xd4c7 0x3dd158 256 @@ -248294,6 +310368,8 @@ 1 0 0 +0 +3 3 0xeec7 0xb1699839 @@ -248303,6 +310379,8 @@ 1 0 3 +4 +3 0x1bea 0x1db8326b 256 @@ -248310,6 +310388,8 @@ 1 1 0 +0 +0 1 0xbfb6 0x681c8140 @@ -248318,6 +310398,8 @@ 0 0 0 +5 +3 2 0xa923 0x68006b7 @@ -248326,6 +310408,8 @@ 0 0 0 +1 +3 3 0xd377 0xf60693a @@ -248334,6 +310418,8 @@ 1 0 0 +4 +2 2 0x5890 0xb7ff1712 @@ -248342,6 +310428,8 @@ 0 0 0 +5 +2 3 0x435a 0x5a8d35d1 @@ -248350,6 +310438,8 @@ 0 0 0 +4 +5 1 0xd724 0xe85cf606 @@ -248358,6 +310448,8 @@ 1 1 0 +1 +5 4 0x9a9f 0xad2fb31d @@ -248366,6 +310458,8 @@ 0 0 0 +4 +1 2 0xbcf8 0x101b817a @@ -248374,6 +310468,8 @@ 0 0 0 +4 +3 1 0xa34c 0x1b1ff505 @@ -248383,6 +310479,8 @@ 0 0 3 +0 +3 0x2f67 0xa026c0f2 256 @@ -248390,6 +310488,8 @@ 1 0 0 +1 +5 4 0x9592 0x6c482abf @@ -248398,6 +310498,8 @@ 1 1 0 +4 +3 3 0x86a2 0x79e40580 @@ -248406,6 +310508,8 @@ 1 0 0 +0 +5 3 0x6166 0x9712d7d9 @@ -248414,6 +310518,8 @@ 0 0 0 +5 +3 2 0x5394 0x862616a2 @@ -248422,6 +310528,8 @@ 0 0 0 +2 +5 4 0xb715 0xaad207ad @@ -248430,6 +310538,8 @@ 0 0 0 +4 +1 3 0xa629 0x41a0e40c @@ -248439,6 +310549,8 @@ 0 0 3 +4 +3 0x6e56 0x43f721fb 256 @@ -248446,6 +310558,8 @@ 0 0 0 +0 +2 3 0xbfb4 0x92d0da83 @@ -248454,6 +310568,8 @@ 1 1 0 +0 +1 1 0xa549 0x68dc5d00 @@ -248462,6 +310578,8 @@ 1 0 0 +4 +2 1 0x6667 0x8b8d75b9 @@ -248471,6 +310589,8 @@ 0 0 4 +3 +4 0xc07e 0x4b9c13e6 256 @@ -248479,6 +310599,8 @@ 0 0 3 +2 +3 0xf117 0xe775001a 256 @@ -248486,6 +310608,8 @@ 1 0 0 +1 +5 4 0x8878 0x7ba095fe @@ -248494,6 +310618,8 @@ 0 0 0 +3 +5 4 0xd73a 0x4ddff8fe @@ -248502,6 +310628,8 @@ 0 0 0 +3 +5 4 0x8a7b 0xf9b3f4e1 @@ -248510,6 +310638,8 @@ 1 1 0 +4 +0 2 0xc2a3 0xb6d1910c @@ -248518,6 +310648,8 @@ 1 1 0 +5 +5 2 0x230b 0xb84e62a2 @@ -248526,6 +310658,8 @@ 0 0 0 +0 +3 2 0xb7d2 0x1f23a468 @@ -248534,6 +310668,8 @@ 0 0 0 +5 +5 2 0xf7da 0xf6b17280 @@ -248543,6 +310679,8 @@ 0 0 1 +4 +1 0xecfd 0x2487b5b8 256 @@ -248550,6 +310688,8 @@ 0 0 0 +5 +0 4 0x4515 0x365fd193 @@ -248558,6 +310698,8 @@ 1 1 0 +5 +1 4 0xa856 0xfce1f114 @@ -248566,6 +310708,8 @@ 0 0 0 +4 +5 2 0xf2e2 0xcae661ea @@ -248574,6 +310718,8 @@ 0 0 0 +3 +0 4 0x58c0 0xd954e229 @@ -248582,6 +310728,8 @@ 0 0 0 +4 +4 1 0x5397 0x5eb18c9 @@ -248590,6 +310738,8 @@ 0 0 0 +5 +2 1 0x5811 0xca4615cf @@ -248598,6 +310748,8 @@ 1 0 0 +3 +5 1 0x1687 0xfab626a7 @@ -248607,6 +310759,8 @@ 1 0 1 +2 +1 0x5d84 0xc846f791 256 @@ -248614,6 +310768,8 @@ 0 0 0 +1 +2 2 0x3cb7 0x87d11810 @@ -248622,6 +310778,8 @@ 0 0 0 +0 +0 4 0xffe8 0x166f9b6f @@ -248630,6 +310788,8 @@ 1 1 0 +0 +4 4 0x48af 0xe0a8412a @@ -248639,6 +310799,8 @@ 0 0 2 +3 +2 0xfe75 0x4f683238 256 @@ -248646,6 +310808,8 @@ 0 0 0 +5 +5 2 0x529e 0xc1ed1001 @@ -248654,6 +310818,8 @@ 1 0 0 +1 +3 2 0x4ed2 0xdc1b3d31 @@ -248663,6 +310829,8 @@ 0 0 3 +1 +3 0xb340 0xb257f389 256 @@ -248670,6 +310838,8 @@ 0 0 0 +0 +4 4 0xe116 0x32b0ed9b @@ -248678,6 +310848,8 @@ 1 1 0 +4 +5 1 0x7a93 0x4f8fb658 @@ -248686,6 +310858,8 @@ 1 1 0 +5 +4 3 0x6ddd 0xe9b3177a @@ -248695,6 +310869,8 @@ 0 0 2 +2 +2 0x24ab 0xc01f2666 256 @@ -248702,6 +310878,8 @@ 1 1 0 +1 +2 3 0xff20 0xa5135c72 @@ -248710,6 +310888,8 @@ 0 0 0 +0 +1 1 0xf875 0xacd51fe8 @@ -248718,6 +310898,8 @@ 1 1 0 +0 +5 2 0xf3c7 0x84815579 @@ -248726,6 +310908,8 @@ 0 0 0 +3 +3 4 0xcb05 0x4ec5b3b5 @@ -248734,6 +310918,8 @@ 0 0 0 +5 +2 2 0x6904 0x5ce5eb65 @@ -248743,6 +310929,8 @@ 0 0 4 +5 +4 0xbe06 0x2f916748 256 @@ -248751,6 +310939,8 @@ 1 0 1 +5 +1 0x273 0xc6e90d1f 256 @@ -248758,6 +310948,8 @@ 1 0 0 +0 +4 4 0x52f 0x5dc2e748 @@ -248766,6 +310958,8 @@ 0 0 0 +2 +5 4 0xf03 0xde6d74f1 @@ -248775,6 +310969,8 @@ 0 0 1 +2 +1 0x405b 0xd921358a 256 @@ -248782,6 +310978,8 @@ 0 0 0 +5 +2 2 0x2a20 0xee83e3b9 @@ -248790,6 +310988,8 @@ 1 0 0 +3 +4 4 0x756c 0x3f84084e @@ -248799,6 +310999,8 @@ 0 0 4 +3 +4 0xf082 0x42383116 256 @@ -248807,6 +311009,8 @@ 1 0 1 +0 +1 0xedc5 0xc25e30fc 256 @@ -248814,6 +311018,8 @@ 1 1 0 +5 +4 1 0x8e06 0xdae05a5a @@ -248822,6 +311028,8 @@ 1 0 0 +2 +3 4 0x5dc 0x3aa42406 @@ -248830,6 +311038,8 @@ 1 0 0 +0 +4 2 0x30f2 0xbc8d04f4 @@ -248838,6 +311048,8 @@ 0 0 0 +0 +4 3 0x8682 0xd3bba944 @@ -248846,6 +311058,8 @@ 1 0 0 +2 +4 1 0xe203 0x77c49495 @@ -248854,6 +311068,8 @@ 0 0 0 +5 +0 3 0x9113 0x81d50a8e @@ -248862,6 +311078,8 @@ 0 0 0 +0 +5 3 0x974f 0x426896b4 @@ -248870,6 +311088,8 @@ 0 0 0 +3 +5 4 0xa9b9 0x342a98f1 @@ -248878,6 +311098,8 @@ 0 0 0 +4 +0 3 0x46f6 0x3c0a1744 @@ -248886,6 +311108,8 @@ 0 0 0 +5 +1 1 0x51f2 0x4027d637 @@ -248895,6 +311119,8 @@ 1 0 4 +0 +4 0x6f35 0xe199a7d4 256 @@ -248902,6 +311128,8 @@ 1 0 0 +3 +4 1 0xffd1 0x82a28cf5 @@ -248910,6 +311138,8 @@ 0 0 0 +3 +5 4 0xdaea 0x9d4146c3 @@ -248918,6 +311148,8 @@ 0 0 0 +1 +2 2 0x2a2d 0x7e98de5f @@ -248926,6 +311158,8 @@ 1 1 0 +5 +5 1 0x1da3 0x1c51ff42 @@ -248934,6 +311168,8 @@ 0 0 0 +0 +1 4 0xcb32 0x74c03935 @@ -248942,6 +311178,8 @@ 0 0 0 +5 +4 2 0x7a08 0xcb10e2f6 @@ -248950,6 +311188,8 @@ 1 1 0 +2 +3 4 0x4366 0x20209f9d @@ -248958,6 +311198,8 @@ 0 0 0 +2 +3 4 0xf51c 0x53b61620 @@ -248967,6 +311209,8 @@ 0 0 3 +5 +3 0xc63b 0x6a89200c 256 @@ -248974,6 +311218,8 @@ 0 0 0 +1 +3 3 0xc426 0x8a489c4 @@ -248983,6 +311229,8 @@ 1 0 3 +0 +3 0x9843 0xdc511300 256 @@ -248990,6 +311238,8 @@ 0 0 0 +5 +4 3 0x9808 0x62d18743 @@ -248998,6 +311248,8 @@ 0 0 0 +0 +0 4 0x8bb6 0xd4f155eb @@ -249006,6 +311258,8 @@ 1 1 0 +0 +0 1 0xc174 0x64eb3089 @@ -249014,6 +311268,8 @@ 0 0 0 +2 +2 3 0xac08 0x984c7ff6 @@ -249022,6 +311278,8 @@ 0 0 0 +0 +1 3 0x3afa 0xdbc14fd6 @@ -249030,6 +311288,8 @@ 0 0 0 +2 +3 4 0x544f 0x7b1e5eaf @@ -249038,6 +311298,8 @@ 0 0 0 +3 +5 1 0xa32e 0x702d615 @@ -249047,6 +311309,8 @@ 0 0 1 +4 +1 0x49cf 0x91417f47 256 @@ -249054,6 +311318,8 @@ 1 0 0 +5 +1 4 0xc5ff 0x3f6166b @@ -249062,6 +311328,8 @@ 1 0 0 +4 +0 3 0xcad2 0xaeaddd70 @@ -249070,6 +311338,8 @@ 0 0 0 +3 +4 1 0xcdf9 0x39674e42 @@ -249078,6 +311348,8 @@ 0 0 0 +5 +4 1 0x33d9 0x150397a6 @@ -249086,6 +311358,8 @@ 0 0 0 +4 +3 1 0x8f0e 0x5d183fef @@ -249094,6 +311368,8 @@ 0 0 0 +1 +4 2 0x6f77 0x87bbe72d @@ -249102,6 +311378,8 @@ 1 0 0 +1 +1 3 0x51b1 0xe2776f75 @@ -249110,6 +311388,8 @@ 1 0 0 +3 +1 4 0x852a 0xe1bba4c7 @@ -249118,6 +311398,8 @@ 0 0 0 +0 +2 4 0xf832 0x3598ce11 @@ -249127,6 +311409,8 @@ 0 0 1 +2 +1 0x70de 0x5486f528 256 @@ -249134,6 +311418,8 @@ 1 0 0 +0 +4 2 0x10d9 0xdbebe440 @@ -249142,6 +311428,8 @@ 0 0 0 +0 +4 3 0x4a94 0x8379167b @@ -249151,6 +311439,8 @@ 1 0 2 +3 +2 0xdd1f 0x16cc7f44 256 @@ -249158,6 +311448,8 @@ 0 0 0 +0 +0 4 0x3c46 0xdd555ad3 @@ -249166,6 +311458,8 @@ 1 1 0 +2 +5 3 0xd590 0x6e9886ac @@ -249174,6 +311468,8 @@ 1 1 0 +5 +3 3 0x6d0c 0x682ad7ee @@ -249182,6 +311478,8 @@ 0 0 0 +1 +5 2 0x19f6 0x2f07a289 @@ -249190,6 +311488,8 @@ 0 0 0 +5 +1 3 0xe72f 0xa96a112e @@ -249198,6 +311498,8 @@ 0 0 0 +3 +4 1 0x48a4 0xb1b6cd52 @@ -249206,6 +311508,8 @@ 1 0 0 +5 +5 4 0x3da8 0x3530f31f @@ -249214,6 +311518,8 @@ 0 0 0 +4 +4 3 0x7bab 0x9be1e67c @@ -249222,6 +311528,8 @@ 0 0 0 +2 +1 3 0xfb 0x13442157 @@ -249230,6 +311538,8 @@ 0 0 0 +4 +2 3 0xd45f 0x96de6d2e @@ -249238,6 +311548,8 @@ 1 1 0 +0 +4 3 0x3e62 0xc2926ab0 @@ -249247,6 +311559,8 @@ 0 0 1 +1 +1 0xaad0 0x778ab9a 256 @@ -249254,6 +311568,8 @@ 0 0 0 +1 +0 2 0xebc9 0x47f304c8 @@ -249262,6 +311578,8 @@ 0 0 0 +2 +3 4 0xb818 0x392e9c9c @@ -249271,6 +311589,8 @@ 0 0 2 +5 +2 0xed3 0xdefbe9bc 256 @@ -249278,6 +311598,8 @@ 1 1 0 +2 +0 3 0x86bd 0x360f02ad @@ -249286,6 +311608,8 @@ 1 1 0 +4 +3 1 0xf4aa 0x65948823 @@ -249295,6 +311619,8 @@ 1 0 1 +4 +1 0x7258 0xeeb18188 256 @@ -249302,6 +311628,8 @@ 1 0 0 +2 +0 3 0xeb53 0xca8414ea @@ -249310,6 +311638,8 @@ 1 0 0 +5 +4 2 0x3d1e 0x1043ae43 @@ -249318,6 +311648,8 @@ 0 0 0 +1 +5 4 0x3ee2 0x4f89211b @@ -249326,6 +311658,8 @@ 0 0 0 +0 +5 2 0x28b4 0x3bbdc458 @@ -249334,6 +311668,8 @@ 0 0 0 +0 +0 1 0xe1d0 0xa037b5a4 @@ -249343,6 +311679,8 @@ 1 0 1 +1 +1 0x2226 0xdfdf566e 256 @@ -249350,6 +311688,8 @@ 0 0 0 +1 +0 2 0x52e0 0x2d023d5a @@ -249358,6 +311698,8 @@ 0 0 0 +0 +0 1 0x33cd 0x81866d21 @@ -249366,6 +311708,8 @@ 0 0 0 +0 +5 2 0xfb10 0x5d771e5b @@ -249374,6 +311718,8 @@ 0 0 0 +1 +5 4 0x27e5 0x60a598fb @@ -249382,6 +311728,8 @@ 1 1 0 +0 +3 4 0x97d4 0x7b7b9061 @@ -249390,6 +311738,8 @@ 1 0 0 +4 +2 1 0x8d87 0x4c5693fa @@ -249398,6 +311748,8 @@ 1 1 0 +0 +5 1 0x12da 0x20b106a1 @@ -249406,6 +311758,8 @@ 1 1 0 +5 +0 1 0x2e20 0x43d62465 @@ -249414,6 +311768,8 @@ 0 0 0 +5 +1 2 0x7ae 0x1356fa74 @@ -249422,6 +311778,8 @@ 1 0 0 +2 +4 1 0xaf10 0x9919fa63 @@ -249430,6 +311788,8 @@ 0 0 0 +3 +4 2 0xf3e2 0x3bafe3 @@ -249438,6 +311798,8 @@ 0 0 0 +0 +2 2 0xabcd 0xc8a4ff13 @@ -249446,6 +311808,8 @@ 0 0 0 +2 +1 3 0xe5d7 0x2e31fe69 @@ -249454,6 +311818,8 @@ 1 0 0 +0 +0 2 0xc0a1 0x32645098 @@ -249462,6 +311828,8 @@ 1 0 0 +0 +4 1 0x1c60 0x35b3f7e0 @@ -249470,6 +311838,8 @@ 0 0 0 +4 +4 1 0xe38a 0x7423b2a2 @@ -249479,6 +311849,8 @@ 0 0 3 +3 +3 0x6db9 0x755509ac 256 @@ -249486,6 +311858,8 @@ 1 0 0 +4 +4 2 0x282d 0xaa9f94c2 @@ -249494,6 +311868,8 @@ 1 1 0 +0 +5 4 0x6b50 0x5b63de45 @@ -249502,6 +311878,8 @@ 1 1 0 +3 +2 1 0x844b 0x48ad2b1b @@ -249510,6 +311888,8 @@ 1 0 0 +5 +2 3 0xbbe3 0x64ad6936 @@ -249518,6 +311898,8 @@ 1 0 0 +5 +1 3 0x68c5 0xde588d5a @@ -249526,6 +311908,8 @@ 1 1 0 +4 +0 3 0x6b22 0xac2fb04a @@ -249534,6 +311918,8 @@ 1 1 0 +2 +3 1 0xd336 0xf096bf7d @@ -249542,6 +311928,8 @@ 1 0 0 +2 +5 1 0x9dc7 0x570f0e4f @@ -249551,6 +311939,8 @@ 0 0 2 +0 +2 0x54ab 0xd0869d59 256 @@ -249558,6 +311948,8 @@ 1 1 0 +4 +2 3 0x840d 0x99f43819 @@ -249566,6 +311958,8 @@ 1 1 0 +2 +3 1 0x90ee 0x25e1e40b @@ -249574,6 +311968,8 @@ 0 0 0 +4 +0 1 0xf896 0x5180260f @@ -249582,6 +311978,8 @@ 0 0 0 +1 +3 2 0x8789 0xe2d4d328 @@ -249590,6 +311988,8 @@ 1 0 0 +0 +3 1 0x4dd1 0x8df35913 @@ -249598,6 +311998,8 @@ 1 0 0 +0 +4 2 0x79be 0xe331b3cc @@ -249606,6 +312008,8 @@ 1 0 0 +5 +3 3 0xfc9e 0x3e39b128 @@ -249614,6 +312018,8 @@ 0 0 0 +1 +4 2 0x2677 0xcbc5b16a @@ -249622,6 +312028,8 @@ 1 1 0 +1 +1 4 0x3e54 0x770a86c2 @@ -249630,6 +312038,8 @@ 1 1 0 +0 +1 4 0x2b6f 0x48c8848a @@ -249638,6 +312048,8 @@ 0 0 0 +5 +3 2 0xe5f2 0xb0debb72 @@ -249646,6 +312058,8 @@ 0 0 0 +0 +5 2 0x37ca 0x9b4e18a9 @@ -249654,6 +312068,8 @@ 1 1 0 +4 +1 2 0x261b 0x30599e62 @@ -249663,6 +312079,8 @@ 0 0 3 +4 +3 0x7bf0 0x49d59ac1 256 @@ -249670,6 +312088,8 @@ 0 0 0 +0 +0 2 0xe32c 0x606fd2e2 @@ -249678,6 +312098,8 @@ 0 0 0 +0 +2 4 0x3f0c 0xac3a157b @@ -249686,6 +312108,8 @@ 0 0 0 +5 +1 1 0x19b7 0x52f4e2d8 @@ -249695,6 +312119,8 @@ 0 0 3 +1 +3 0x42c3 0x13268a3f 256 @@ -249702,6 +312128,8 @@ 1 1 0 +3 +1 4 0xd715 0x1f2d35b @@ -249710,6 +312138,8 @@ 1 1 0 +4 +1 2 0x3b08 0xebd2a0ea @@ -249718,6 +312148,8 @@ 0 0 0 +5 +0 3 0xa173 0xa3f4d6a8 @@ -249727,6 +312159,8 @@ 1 0 2 +5 +2 0x4d 0xe00b842d 256 @@ -249734,6 +312168,8 @@ 0 0 0 +4 +1 3 0xdc85 0x205b23af @@ -249742,6 +312178,8 @@ 0 0 0 +2 +2 4 0x281b 0xdab39376 @@ -249750,6 +312188,8 @@ 0 0 0 +1 +5 4 0x9efb 0xf5df3cec @@ -249759,6 +312199,8 @@ 1 0 3 +3 +3 0x4fab 0x7d5c0932 256 @@ -249766,6 +312208,8 @@ 0 0 0 +3 +1 4 0x57c9 0x5c4748cc @@ -249774,6 +312218,8 @@ 0 0 0 +0 +5 3 0x6e5f 0x80efb2af @@ -249782,6 +312228,8 @@ 0 0 0 +2 +0 4 0x672d 0x3679a76f @@ -249790,6 +312238,8 @@ 0 0 0 +0 +4 4 0x4698 0xd006785b @@ -249799,6 +312249,8 @@ 0 0 1 +3 +1 0x6315 0x96ae3142 256 @@ -249807,6 +312259,8 @@ 0 0 4 +3 +4 0xada1 0x24b76f59 256 @@ -249814,6 +312268,8 @@ 1 0 0 +2 +4 3 0x2732 0xb4615bea @@ -249822,6 +312278,8 @@ 1 0 0 +2 +1 3 0x208c 0xfbb4c5bb @@ -249830,6 +312288,8 @@ 1 0 0 +1 +3 3 0x1656 0xbc1c48d0 @@ -249838,6 +312298,8 @@ 1 1 0 +2 +5 4 0xd6ce 0x9e60781 @@ -249846,6 +312308,8 @@ 0 0 0 +5 +5 3 0xce25 0x8cc57481 @@ -249854,6 +312318,8 @@ 1 1 0 +3 +4 4 0xd110 0x57d36411 @@ -249862,6 +312328,8 @@ 0 0 0 +5 +4 2 0xb30a 0xa70dc2c5 @@ -249870,6 +312338,8 @@ 1 0 0 +0 +1 2 0x4beb 0x1e5c86d3 @@ -249878,6 +312348,8 @@ 1 1 0 +0 +4 3 0x6165 0xe53a03e @@ -249886,6 +312358,8 @@ 0 0 0 +3 +2 1 0x8ed2 0xf4f70d53 @@ -249894,6 +312368,8 @@ 0 0 0 +3 +0 1 0x721d 0x7424775 @@ -249902,6 +312378,8 @@ 0 0 0 +5 +2 2 0x1670 0x3190fca0 @@ -249910,6 +312388,8 @@ 1 1 0 +1 +5 2 0xa715 0x312779bc @@ -249918,6 +312398,8 @@ 1 0 0 +2 +0 1 0x70f5 0x3e7d36cf @@ -249926,6 +312408,8 @@ 1 1 0 +5 +2 3 0xe0c9 0xfcdcf9a3 @@ -249934,6 +312418,8 @@ 0 0 0 +0 +3 1 0x9a73 0xc9e31b96 @@ -249942,6 +312428,8 @@ 0 0 0 +1 +2 4 0x9400 0x8d52f65b @@ -249950,6 +312438,8 @@ 1 0 0 +4 +5 2 0xf27a 0x4476cacd @@ -249959,6 +312449,8 @@ 1 0 3 +3 +3 0x78e6 0x9a6ca248 256 @@ -249966,6 +312458,8 @@ 1 1 0 +5 +3 4 0xf2b7 0x253613fe @@ -249974,6 +312468,8 @@ 1 1 0 +3 +3 1 0x6c28 0x22ab4995 @@ -249982,6 +312478,8 @@ 0 0 0 +3 +5 4 0x463a 0xc2f2e2cf @@ -249990,6 +312488,8 @@ 1 1 0 +0 +2 1 0xb70 0xa342a669 @@ -249998,6 +312498,8 @@ 1 1 0 +4 +3 1 0xc008 0x36f4b660 @@ -250007,6 +312509,8 @@ 0 0 2 +2 +2 0x7615 0xedf0ac12 256 @@ -250014,6 +312518,8 @@ 1 1 0 +4 +2 1 0x7f28 0xa3989903 @@ -250022,6 +312528,8 @@ 0 0 0 +5 +4 2 0xfd85 0x9f900d68 @@ -250030,6 +312538,8 @@ 1 1 0 +4 +3 1 0xeb19 0xd2f73bb @@ -250038,6 +312548,8 @@ 0 0 0 +2 +4 3 0x97b1 0x72cdbef9 @@ -250047,6 +312559,8 @@ 0 0 1 +3 +1 0x7f32 0xa33a4802 256 @@ -250054,6 +312568,8 @@ 1 1 0 +2 +2 1 0x56cd 0x861f7743 @@ -250062,6 +312578,8 @@ 1 1 0 +4 +5 1 0xbb1a 0xdc8b1f2f @@ -250070,6 +312588,8 @@ 1 1 0 +1 +5 2 0xb6a3 0x4eb7f5d9 @@ -250078,6 +312598,8 @@ 0 0 0 +1 +2 3 0x51b8 0xf7bd5801 @@ -250086,6 +312608,8 @@ 1 0 0 +0 +0 1 0x2c0f 0xa4090982 @@ -250094,6 +312618,8 @@ 0 0 0 +5 +0 4 0xd7d3 0x4726e3b5 @@ -250102,6 +312628,8 @@ 0 0 0 +4 +5 3 0x7b17 0x60c403f2 @@ -250110,6 +312638,8 @@ 1 1 0 +3 +1 1 0x2948 0xcf9ea333 @@ -250118,6 +312648,8 @@ 0 0 0 +5 +1 3 0x41f2 0xc5bbdb49 @@ -250127,6 +312659,8 @@ 0 0 1 +3 +1 0xeff2 0x1b3f02d3 256 @@ -250134,6 +312668,8 @@ 0 0 0 +2 +1 1 0x3ee9 0xd1f733b6 @@ -250142,6 +312678,8 @@ 1 1 0 +2 +5 3 0xa772 0xc369bd16 @@ -250151,6 +312689,8 @@ 0 0 4 +1 +4 0x662c 0xed5f3204 256 @@ -250158,6 +312698,8 @@ 0 0 0 +1 +4 4 0x1c96 0x91a67ffa @@ -250166,6 +312708,8 @@ 1 1 0 +4 +3 3 0x7f0f 0x8607593c @@ -250174,6 +312718,8 @@ 1 0 0 +5 +1 2 0xd149 0x9b06c14c @@ -250182,6 +312728,8 @@ 0 0 0 +5 +2 2 0x8f1b 0x75814beb @@ -250190,6 +312738,8 @@ 0 0 0 +1 +0 3 0x8912 0x965b23c0 @@ -250198,6 +312748,8 @@ 0 0 0 +3 +3 4 0x6218 0x926ba5bf @@ -250206,6 +312758,8 @@ 0 0 0 +0 +3 3 0x7004 0x16a979a7 @@ -250215,6 +312769,8 @@ 0 0 3 +0 +3 0x61e7 0xac62510a 256 @@ -250222,6 +312778,8 @@ 1 0 0 +2 +0 1 0xb1b9 0x6e67e49b @@ -250230,6 +312788,8 @@ 0 0 0 +5 +4 3 0x7a83 0x2fa5b506 @@ -250239,6 +312799,8 @@ 0 0 2 +3 +2 0x4f31 0x84a29c87 256 @@ -250246,6 +312808,8 @@ 0 0 0 +2 +5 4 0xf521 0x94627905 @@ -250254,6 +312818,8 @@ 1 1 0 +0 +5 3 0x6940 0xbf3f9a04 @@ -250262,6 +312828,8 @@ 0 0 0 +3 +4 2 0x4bb 0xc4ae565d @@ -250270,6 +312838,8 @@ 0 0 0 +5 +4 1 0x9916 0xd7847c86 @@ -250278,6 +312848,8 @@ 1 0 0 +2 +1 3 0xb029 0x1f4f0fe @@ -250286,6 +312858,8 @@ 0 0 0 +4 +4 2 0x22 0x24b82 @@ -250295,6 +312869,8 @@ 0 0 4 +0 +4 0x3415 0xf98aa4eb 256 @@ -250302,6 +312878,8 @@ 1 1 0 +5 +2 1 0xfd3e 0x7149d207 @@ -250310,6 +312888,8 @@ 0 0 0 +5 +5 1 0x93ac 0x5efd3b91 @@ -250318,6 +312898,8 @@ 1 0 0 +0 +3 4 0xb84c 0xffa14087 @@ -250326,6 +312908,8 @@ 0 0 0 +5 +0 4 0xf458 0x3bdbbc0c @@ -250334,6 +312918,8 @@ 1 0 0 +5 +0 4 0x7d33 0xdfe379fe @@ -250342,6 +312928,8 @@ 1 1 0 +4 +4 1 0xd691 0x1c6719a0 @@ -250350,6 +312938,8 @@ 0 0 0 +0 +2 1 0xe410 0x5d003abf @@ -250359,6 +312949,8 @@ 0 0 2 +1 +2 0x45d 0xfd0eb1e0 256 @@ -250366,6 +312958,8 @@ 1 1 0 +3 +1 2 0xe79d 0x2adc53de @@ -250374,6 +312968,8 @@ 1 1 0 +1 +1 3 0x3eff 0x62574d48 @@ -250382,6 +312978,8 @@ 1 0 0 +2 +4 3 0x13a6 0x299b460d @@ -250390,6 +312988,8 @@ 0 0 0 +3 +0 4 0xc259 0x3f01ffa2 @@ -250398,6 +312998,8 @@ 0 0 0 +5 +4 1 0xa5cb 0xf52d7fea @@ -250406,6 +313008,8 @@ 0 0 0 +0 +5 1 0xc2e4 0x34e66ded @@ -250414,6 +313018,8 @@ 0 0 0 +0 +4 1 0x68ff 0x79f2ad7d @@ -250423,6 +313029,8 @@ 0 0 4 +3 +4 0x97e8 0xb8b60f61 256 @@ -250430,7 +313038,9 @@ 0 0 0 -4 +1 +2 +4 0x364b 0x615d4e4f 256 @@ -250438,6 +313048,8 @@ 0 0 0 +5 +3 1 0x297e 0x1722cece @@ -250446,6 +313058,8 @@ 1 1 0 +4 +2 3 0x4081 0xf3dd62b8 @@ -250454,6 +313068,8 @@ 1 1 0 +2 +2 1 0xb2c8 0x15c0c257 @@ -250463,6 +313079,8 @@ 0 0 3 +1 +3 0x26a7 0xed2d3d89 256 @@ -250470,6 +313088,8 @@ 0 0 0 +4 +3 3 0x86f9 0xe26092fc @@ -250478,6 +313098,8 @@ 1 1 0 +3 +3 2 0xfffd 0xb4f209bb @@ -250486,6 +313108,8 @@ 1 1 0 +5 +0 2 0x1693 0x7a7fc88 @@ -250494,6 +313118,8 @@ 0 0 0 +2 +0 1 0xb294 0x2c60e6c7 @@ -250502,6 +313128,8 @@ 0 0 0 +2 +0 1 0x4e48 0x3b780b76 @@ -250510,6 +313138,8 @@ 1 0 0 +1 +2 4 0xbc9e 0xbdbb1fc2 @@ -250518,6 +313148,8 @@ 1 1 0 +1 +4 4 0x3b0a 0x9cd00106 @@ -250526,6 +313158,8 @@ 1 0 0 +3 +4 4 0xe9fa 0x9fb7f445 @@ -250534,6 +313168,8 @@ 0 0 0 +3 +1 2 0xd617 0x83cc5029 @@ -250542,6 +313178,8 @@ 0 0 0 +3 +5 2 0x1710 0x29cb4da9 @@ -250550,6 +313188,8 @@ 0 0 0 +5 +0 2 0xa25a 0x3a92be7e @@ -250558,6 +313198,8 @@ 0 0 0 +1 +2 4 0x9e5c 0xc567af5d @@ -250566,6 +313208,8 @@ 0 0 0 +2 +3 4 0x71d3 0x38ca440d @@ -250574,6 +313218,8 @@ 1 0 0 +2 +4 4 0x7681 0xeb86a8a1 @@ -250582,6 +313228,8 @@ 0 0 0 +0 +2 4 0x8267 0x84da53f9 @@ -250590,6 +313238,8 @@ 1 0 0 +4 +0 2 0xdf74 0xe2048e5e @@ -250598,6 +313248,8 @@ 0 0 0 +2 +3 1 0xc29 0x44d4b34a @@ -250606,6 +313258,8 @@ 0 0 0 +5 +4 2 0x15d5 0x2ed6d5d @@ -250614,6 +313268,8 @@ 0 0 0 +2 +5 1 0x7980 0x7c5ec5c7 @@ -250622,6 +313278,8 @@ 1 0 0 +1 +0 2 0xef4b 0x9bdeada1 @@ -250630,6 +313288,8 @@ 1 1 0 +0 +0 4 0x6645 0x42f4864 @@ -250638,6 +313298,8 @@ 1 0 0 +4 +0 2 0x6433 0xe39f6356 @@ -250646,6 +313308,8 @@ 0 0 0 +4 +5 2 0xce40 0xcbc52b6f @@ -250655,6 +313319,8 @@ 0 0 2 +1 +2 0xcfd5 0xacef8823 256 @@ -250663,6 +313329,8 @@ 0 0 2 +2 +2 0xba70 0xe34c46c2 256 @@ -250671,6 +313339,8 @@ 0 0 4 +3 +4 0xf0f6 0x50ecf2b0 256 @@ -250678,6 +313348,8 @@ 0 0 0 +1 +5 3 0x8e6d 0x176c68c2 @@ -250687,6 +313359,8 @@ 0 0 3 +3 +3 0xd9c 0xdeafac1 256 @@ -250694,6 +313368,8 @@ 1 0 0 +3 +1 1 0xdc38 0x2ca2803c @@ -250702,6 +313378,8 @@ 1 1 0 +0 +1 2 0x493f 0x2705a45f @@ -250710,6 +313388,8 @@ 0 0 0 +3 +4 4 0x5ea6 0x99189cbd @@ -250718,6 +313398,8 @@ 1 1 0 +0 +4 1 0xc7eb 0x175e3412 @@ -250727,6 +313409,8 @@ 0 0 3 +3 +3 0x28b4 0x6038be7d 256 @@ -250734,6 +313418,8 @@ 1 0 0 +1 +4 4 0xc1bb 0x256ce933 @@ -250743,6 +313429,8 @@ 0 0 3 +5 +3 0xfc1b 0xc6578ad6 256 @@ -250751,6 +313439,8 @@ 0 0 1 +5 +1 0xb0c2 0x2ede9a0e 256 @@ -250758,6 +313448,8 @@ 1 1 0 +5 +3 1 0xaf25 0xe9352170 @@ -250766,6 +313458,8 @@ 1 0 0 +1 +1 3 0x93f6 0xb2bd2ace @@ -250774,6 +313468,8 @@ 0 0 0 +0 +1 4 0x6c09 0x5ffc4771 @@ -250782,6 +313478,8 @@ 0 0 0 +3 +1 1 0x8055 0xea7094d0 @@ -250790,6 +313488,8 @@ 1 1 0 +0 +3 4 0xe5d4 0x132cf29d @@ -250798,6 +313498,8 @@ 1 0 0 +0 +0 4 0x5b78 0xa6ff676 @@ -250807,6 +313509,8 @@ 0 0 4 +5 +4 0x478c 0x4970ebe4 256 @@ -250814,6 +313518,8 @@ 1 1 0 +4 +4 2 0xc509 0x32854df2 @@ -250822,6 +313528,8 @@ 0 0 0 +0 +1 3 0x53a8 0xd34ebf9 @@ -250830,6 +313538,8 @@ 1 1 0 +1 +1 4 0x8e3 0x131d38d5 @@ -250838,6 +313548,8 @@ 0 0 0 +4 +4 1 0xbf16 0xb644a8c9 @@ -250846,6 +313558,8 @@ 0 0 0 +0 +1 3 0x23f4 0x9c0f2a91 @@ -250854,6 +313568,8 @@ 0 0 0 +1 +5 3 0x7fe3 0x8baf746e @@ -250862,6 +313578,8 @@ 0 0 0 +1 +3 2 0xa47 0x1e505c2e @@ -250870,6 +313588,8 @@ 1 1 0 +5 +3 4 0xb8b1 0x88566541 @@ -250878,6 +313598,8 @@ 0 0 0 +0 +4 3 0xce99 0x2e79ae68 @@ -250887,6 +313609,8 @@ 0 0 2 +4 +2 0x6ce1 0x8c9b2756 256 @@ -250894,6 +313618,8 @@ 0 0 0 +5 +2 4 0xe7ef 0xfc10faa4 @@ -250902,6 +313628,8 @@ 1 1 0 +1 +4 4 0x3f0f 0x6b6805e4 @@ -250910,6 +313638,8 @@ 1 0 0 +0 +5 2 0xc799 0xfe54500f @@ -250918,6 +313648,8 @@ 1 0 0 +0 +2 4 0xa227 0x956200ca @@ -250927,6 +313659,8 @@ 0 0 2 +5 +2 0x7e4f 0x3a93a823 256 @@ -250934,6 +313668,8 @@ 0 0 0 +3 +0 2 0x1c9d 0x519e5b59 @@ -250942,6 +313678,8 @@ 1 1 0 +5 +2 4 0x15ab 0xa62c0e75 @@ -250951,6 +313689,8 @@ 0 0 3 +1 +3 0xebf3 0x21b53c19 256 @@ -250958,6 +313698,8 @@ 1 1 0 +2 +3 3 0xca14 0xb08a3bba @@ -250966,6 +313708,8 @@ 0 0 0 +0 +0 2 0xc334 0xb3e1f685 @@ -250974,6 +313718,8 @@ 1 0 0 +2 +2 3 0x683c 0x1c877148 @@ -250982,6 +313728,8 @@ 1 1 0 +4 +0 1 0x6dab 0xa30fd431 @@ -250990,6 +313738,8 @@ 0 0 0 +5 +5 1 0xac9 0x63a29e29 @@ -250998,6 +313748,8 @@ 1 1 0 +4 +0 3 0x405c 0x45abe257 @@ -251006,6 +313758,8 @@ 0 0 0 +1 +0 4 0x1ffc 0x5aa995ab @@ -251014,6 +313768,8 @@ 0 0 0 +0 +3 3 0x12fd 0xec1542e3 @@ -251022,6 +313778,8 @@ 1 0 0 +5 +0 4 0x93fe 0x515f4181 @@ -251030,6 +313788,8 @@ 0 0 0 +0 +2 2 0x74b7 0x354c1181 @@ -251038,6 +313798,8 @@ 1 1 0 +3 +2 4 0x1270 0x71f0557f @@ -251047,6 +313809,8 @@ 0 0 4 +2 +4 0x3ebe 0x855696a0 256 @@ -251054,6 +313818,8 @@ 1 0 0 +3 +2 4 0xbf47 0xf541dfd8 @@ -251062,6 +313828,8 @@ 1 0 0 +4 +4 3 0x3705 0xf3014bdc @@ -251070,6 +313838,8 @@ 0 0 0 +4 +2 2 0xacef 0x87b42874 @@ -251079,6 +313849,8 @@ 0 0 2 +4 +2 0x3b6d 0x943bce8c 256 @@ -251086,6 +313858,8 @@ 1 1 0 +5 +1 1 0x1a12 0x6ed30c8f @@ -251094,6 +313868,8 @@ 0 0 0 +0 +3 4 0x7ad 0x93fd911c @@ -251102,6 +313878,8 @@ 0 0 0 +0 +0 1 0x3a8e 0x2562691a @@ -251110,6 +313888,8 @@ 1 1 0 +0 +0 1 0x3899 0xcab5c67f @@ -251118,6 +313898,8 @@ 1 0 0 +0 +0 4 0x3f30 0x1546458d @@ -251127,6 +313909,8 @@ 0 0 2 +1 +2 0x71db 0xd7fd648a 256 @@ -251134,6 +313918,8 @@ 0 0 0 +2 +2 1 0xaeb7 0xb74e90d6 @@ -251142,6 +313928,8 @@ 0 0 0 +3 +0 2 0x1476 0x896b041c @@ -251150,6 +313938,8 @@ 0 0 0 +1 +0 3 0x97c2 0x13cc5079 @@ -251159,6 +313949,8 @@ 0 0 1 +4 +1 0xba7d 0x35fe102 256 @@ -251166,6 +313958,8 @@ 1 1 0 +4 +1 3 0x6764 0x1b5409e1 @@ -251174,6 +313968,8 @@ 1 1 0 +4 +0 2 0x895b 0x5ba66432 @@ -251182,6 +313978,8 @@ 0 0 0 +0 +5 4 0x1eed 0x9f0bbc84 @@ -251191,6 +313989,8 @@ 0 0 3 +1 +3 0x7b5f 0x40753244 256 @@ -251198,6 +313998,8 @@ 0 0 0 +5 +1 2 0x64b8 0x681e12f9 @@ -251206,6 +314008,8 @@ 1 0 0 +0 +5 4 0x4f1d 0xf322dad9 @@ -251214,6 +314018,8 @@ 1 1 0 +0 +0 2 0xc171 0x8fb1f9b4 @@ -251222,6 +314028,8 @@ 0 0 0 +0 +1 4 0xb3f8 0xc1ce64bf @@ -251231,6 +314039,8 @@ 0 0 2 +0 +2 0xc963 0x874ad8a1 256 @@ -251239,6 +314049,8 @@ 0 0 2 +3 +2 0xcb30 0xbb38b9c7 256 @@ -251246,6 +314058,8 @@ 1 0 0 +2 +3 3 0xffb4 0xa864f12 @@ -251255,6 +314069,8 @@ 0 0 1 +4 +1 0x4d5b 0x4a8ba794 256 @@ -251262,6 +314078,8 @@ 1 1 0 +1 +3 3 0x9c7e 0x14caf186 @@ -251270,6 +314088,8 @@ 1 1 0 +0 +0 4 0xb001 0x496f0c68 @@ -251278,6 +314098,8 @@ 1 1 0 +1 +2 4 0xd8e3 0xc84ed563 @@ -251286,6 +314108,8 @@ 0 0 0 +3 +4 2 0xd37a 0xe9ca6dd1 @@ -251294,6 +314118,8 @@ 0 0 0 +3 +4 2 0x7346 0xe194ede6 @@ -251303,6 +314129,8 @@ 1 0 2 +3 +2 0xc7b6 0xb2d45f5a 256 @@ -251311,6 +314139,8 @@ 0 0 2 +0 +2 0xa472 0xbc9ba71d 256 @@ -251318,6 +314148,8 @@ 1 1 0 +5 +0 1 0xc89f 0xeadd6fac @@ -251326,6 +314158,8 @@ 0 0 0 +4 +4 1 0xdb82 0xf8285390 @@ -251334,6 +314168,8 @@ 1 1 0 +0 +3 2 0xcac8 0xf4a3a619 @@ -251343,6 +314179,8 @@ 0 0 2 +2 +2 0x93d1 0xd695866e 256 @@ -251350,6 +314188,8 @@ 0 0 0 +2 +3 4 0x2caf 0x3a9e4d1d @@ -251358,6 +314198,8 @@ 1 1 0 +5 +5 4 0xd9d 0xd95e2bc8 @@ -251367,6 +314209,8 @@ 0 0 2 +2 +2 0x6730 0xa76a29b4 256 @@ -251374,6 +314218,8 @@ 1 1 0 +0 +5 2 0xad8f 0xa8126c60 @@ -251382,6 +314228,8 @@ 0 0 0 +0 +2 3 0xc1e4 0x421d8f3f @@ -251390,6 +314238,8 @@ 0 0 0 +2 +4 4 0x5a0f 0x1c229e5c @@ -251398,6 +314248,8 @@ 0 0 0 +1 +5 4 0xfee9 0x7caac549 @@ -251406,6 +314258,8 @@ 1 0 0 +5 +4 2 0x4b99 0x89c7e684 @@ -251415,6 +314269,8 @@ 0 0 3 +1 +3 0x4d24 0x630814ae 256 @@ -251422,6 +314278,8 @@ 1 0 0 +0 +4 4 0xfcab 0xe94ed561 @@ -251430,6 +314288,8 @@ 0 0 0 +0 +1 1 0x239 0x2f1664b3 @@ -251438,6 +314298,8 @@ 1 1 0 +2 +5 3 0xde94 0x101488bb @@ -251446,6 +314308,8 @@ 1 0 0 +4 +1 1 0x95f3 0x1cc77da9 @@ -251454,6 +314318,8 @@ 0 0 0 +1 +3 4 0xc87f 0x2ceb9dbb @@ -251463,6 +314329,8 @@ 1 0 1 +3 +1 0x4822 0xed32c5af 256 @@ -251470,6 +314338,8 @@ 0 0 0 +0 +0 2 0x36f7 0x3ac46633 @@ -251478,6 +314348,8 @@ 0 0 0 +5 +2 3 0xb3ac 0xc442bc01 @@ -251486,6 +314358,8 @@ 0 0 0 +0 +3 2 0xc621 0x3383acc @@ -251494,6 +314368,8 @@ 1 0 0 +5 +4 1 0xc72e 0xa8d5ad50 @@ -251502,6 +314378,8 @@ 1 1 0 +0 +5 3 0xf6d8 0xe8898d28 @@ -251510,6 +314388,8 @@ 0 0 0 +1 +3 2 0x4fba 0x8e9f20f7 @@ -251518,6 +314398,8 @@ 0 0 0 +0 +0 1 0x39a5 0xbd0d296a @@ -251526,6 +314408,8 @@ 0 0 0 +4 +2 1 0x8cbd 0x3c7aedd7 @@ -251534,6 +314418,8 @@ 1 0 0 +5 +4 3 0xda52 0xf9dfbc89 @@ -251542,6 +314428,8 @@ 0 0 0 +5 +3 1 0x5c69 0x9015de33 @@ -251550,6 +314438,8 @@ 0 0 0 +2 +2 3 0x84da 0xcb523d54 @@ -251558,6 +314448,8 @@ 1 0 0 +4 +5 3 0x2e29 0x87604327 @@ -251566,6 +314458,8 @@ 1 1 0 +2 +3 3 0xceea 0x8a0363d1 @@ -251574,6 +314468,8 @@ 1 1 0 +5 +2 2 0x79f8 0xe9fc334b @@ -251582,6 +314478,8 @@ 1 1 0 +5 +2 3 0x22c4 0x5cb6c5fb @@ -251590,6 +314488,8 @@ 0 0 0 +1 +2 4 0xbadb 0xcf22fec9 @@ -251599,6 +314499,8 @@ 1 0 1 +1 +1 0xdc42 0x1e45e621 256 @@ -251606,6 +314508,8 @@ 1 1 0 +5 +4 3 0x8e29 0x910fc7e6 @@ -251614,6 +314518,8 @@ 1 0 0 +4 +5 3 0xce90 0xf02e5be0 @@ -251622,6 +314528,8 @@ 0 0 0 +3 +0 1 0x1ff9 0x83e6f363 @@ -251630,6 +314538,8 @@ 0 0 0 +0 +4 2 0xad48 0xca241af4 @@ -251638,6 +314548,8 @@ 0 0 0 +5 +0 4 0x49bc 0x57659cbb @@ -251647,6 +314559,8 @@ 0 0 3 +4 +3 0xcff8 0x10e97d9b 256 @@ -251654,6 +314568,8 @@ 1 0 0 +2 +1 4 0x5cb4 0x9d9220b2 @@ -251662,6 +314578,8 @@ 0 0 0 +4 +4 2 0x9ea6 0x28663ec2 @@ -251670,6 +314588,8 @@ 1 1 0 +1 +1 2 0x3100 0x5184de8e @@ -251678,6 +314598,8 @@ 0 0 0 +5 +4 4 0xdf0b 0xead4cfe6 @@ -251687,6 +314609,8 @@ 0 0 3 +4 +3 0x1484 0xc9fd1246 256 @@ -251694,6 +314618,8 @@ 0 0 0 +0 +2 2 0xb7e6 0x3472911d @@ -251702,6 +314628,8 @@ 1 1 0 +1 +5 2 0x8c97 0xf046bec8 @@ -251710,6 +314638,8 @@ 1 0 0 +2 +2 3 0xfe23 0x95ee77a1 @@ -251718,6 +314648,8 @@ 0 0 0 +1 +4 4 0x1094 0x196a1ff1 @@ -251726,6 +314658,8 @@ 1 1 0 +1 +1 4 0x6a5c 0x9e734551 @@ -251734,6 +314668,8 @@ 1 0 0 +3 +4 2 0xeb07 0xcc7d39ad @@ -251743,6 +314679,8 @@ 0 0 1 +5 +1 0x5dce 0x554b6cc1 256 @@ -251750,6 +314688,8 @@ 0 0 0 +2 +1 1 0x88a8 0x9255d554 @@ -251758,6 +314698,8 @@ 1 1 0 +1 +3 2 0xa1c9 0x530884bd @@ -251766,6 +314708,8 @@ 1 1 0 +5 +4 2 0x7a86 0x2fab524b @@ -251774,6 +314718,8 @@ 0 0 0 +1 +3 3 0x60d0 0xac65a1d7 @@ -251782,6 +314728,8 @@ 0 0 0 +2 +3 4 0x8ae3 0xac98ed09 @@ -251790,6 +314738,8 @@ 0 0 0 +3 +4 2 0x23b8 0x841230a @@ -251798,6 +314748,8 @@ 0 0 0 +0 +4 3 0x37b0 0x4c2b30fa @@ -251806,6 +314758,8 @@ 0 0 0 +0 +1 2 0x6ad0 0x21a3326d @@ -251814,6 +314768,8 @@ 0 0 0 +0 +3 1 0xd6ca 0xb04331b6 @@ -251822,6 +314778,8 @@ 1 0 0 +5 +3 2 0x91e9 0x12427f73 @@ -251830,6 +314788,8 @@ 1 1 0 +0 +1 3 0x8cb9 0xedd8002d @@ -251839,6 +314799,8 @@ 0 0 1 +2 +1 0x62c0 0x550752f 256 @@ -251846,6 +314808,8 @@ 0 0 0 +2 +2 3 0x1d43 0x8a238cde @@ -251854,6 +314818,8 @@ 0 0 0 +4 +3 1 0x52d2 0xc7d22f61 @@ -251862,6 +314828,8 @@ 0 0 0 +5 +3 3 0x736e 0xcd577760 @@ -251870,6 +314838,8 @@ 0 0 0 +1 +1 2 0x743e 0x213079d9 @@ -251878,6 +314848,8 @@ 0 0 0 +3 +2 4 0x4217 0x92be23e5 @@ -251886,6 +314858,8 @@ 0 0 0 +0 +0 2 0xaa1f 0x97d465fc @@ -251894,6 +314868,8 @@ 0 0 0 +2 +4 1 0x10db 0x7beb9f91 @@ -251903,6 +314879,8 @@ 1 0 4 +4 +4 0x87c6 0x8c4288e0 256 @@ -251910,6 +314888,8 @@ 1 0 0 +2 +1 1 0x37be 0x87f45e91 @@ -251918,6 +314898,8 @@ 0 0 0 +3 +3 2 0xd419 0x7f3cae86 @@ -251926,6 +314908,8 @@ 0 0 0 +4 +2 1 0xf8fa 0xdd5a5765 @@ -251934,6 +314918,8 @@ 1 0 0 +1 +0 4 0x1722 0xa4eb08eb @@ -251942,6 +314928,8 @@ 0 0 0 +1 +2 4 0xd532 0x73e3a664 @@ -251950,6 +314938,8 @@ 1 1 0 +2 +3 4 0x6219 0xa84b6f94 @@ -251958,6 +314948,8 @@ 1 0 0 +3 +0 1 0xfdd4 0xfbb65126 @@ -251966,6 +314958,8 @@ 0 0 0 +0 +1 3 0x762a 0x79687cd5 @@ -251975,6 +314969,8 @@ 1 0 3 +5 +3 0x6991 0x990d8bd9 256 @@ -251982,6 +314978,8 @@ 1 0 0 +5 +0 4 0x4487 0x7ee7e29c @@ -251990,6 +314988,8 @@ 0 0 0 +5 +1 3 0xe3ee 0xd8930201 @@ -251998,6 +314998,8 @@ 0 0 0 +4 +2 2 0x6592 0x314195e3 @@ -252006,6 +315008,8 @@ 1 1 0 +5 +2 4 0x878c 0x6ce728f1 @@ -252014,6 +315018,8 @@ 1 0 0 +1 +0 2 0x8e02 0xafd763af @@ -252023,6 +315029,8 @@ 0 0 4 +1 +4 0x7325 0x80abcd90 256 @@ -252031,6 +315039,8 @@ 1 0 3 +1 +3 0x4803 0xab361ced 256 @@ -252038,6 +315048,8 @@ 0 0 0 +0 +0 1 0x479d 0x41ca8d5e @@ -252046,6 +315058,8 @@ 0 0 0 +2 +3 3 0x9be2 0x9cb30ed @@ -252054,6 +315068,8 @@ 0 0 0 +1 +0 4 0xfcbd 0x86ec8248 @@ -252062,6 +315078,8 @@ 1 0 0 +0 +1 4 0x874 0x517ec375 @@ -252070,6 +315088,8 @@ 0 0 0 +5 +1 2 0xa391 0x51bbfb1 @@ -252078,6 +315098,8 @@ 1 1 0 +3 +0 4 0x8ea9 0x7d40b593 @@ -252086,6 +315108,8 @@ 1 1 0 +4 +2 1 0xbb87 0x66126e27 @@ -252094,6 +315118,8 @@ 1 0 0 +5 +0 3 0x1471 0xe25c7138 @@ -252102,6 +315128,8 @@ 0 0 0 +3 +5 1 0x523f 0x7299294f @@ -252110,6 +315138,8 @@ 0 0 0 +5 +2 4 0xd153 0x2c389982 @@ -252118,6 +315148,8 @@ 1 0 0 +0 +3 2 0xc54 0xae86e6f4 @@ -252126,6 +315158,8 @@ 1 1 0 +5 +2 1 0x8c94 0x9a3ec783 @@ -252134,6 +315168,8 @@ 0 0 0 +0 +2 3 0x2a93 0x8cdede86 @@ -252142,6 +315178,8 @@ 1 1 0 +5 +0 2 0xc335 0x98cef67 @@ -252150,6 +315188,8 @@ 1 0 0 +0 +2 4 0x1976 0x3fd3f66 @@ -252158,6 +315198,8 @@ 0 0 0 +4 +1 1 0x318a 0x4d0870c @@ -252166,6 +315208,8 @@ 1 1 0 +4 +1 2 0xa003 0x6d2dda3 @@ -252174,6 +315218,8 @@ 0 0 0 +0 +4 2 0xcf86 0x3684dcc2 @@ -252183,6 +315229,8 @@ 0 0 4 +1 +4 0x4f9 0xc01bdb0c 256 @@ -252190,6 +315238,8 @@ 0 0 0 +0 +5 2 0x7508 0x7d65c487 @@ -252199,6 +315249,8 @@ 0 0 3 +4 +3 0x58cd 0xe4034b73 256 @@ -252206,6 +315258,8 @@ 0 0 0 +0 +1 3 0x58c0 0xd9d55a40 @@ -252214,6 +315268,8 @@ 1 0 0 +1 +1 3 0xcb8a 0x35b88a00 @@ -252222,6 +315278,8 @@ 1 1 0 +2 +4 1 0xd98d 0x11bbc2e5 @@ -252230,6 +315288,8 @@ 1 0 0 +3 +3 1 0x13dd 0x8ac511cc @@ -252238,6 +315298,8 @@ 1 0 0 +0 +3 2 0x4cab 0x3966f6e4 @@ -252246,6 +315308,8 @@ 1 0 0 +3 +2 2 0xe817 0x2438fdae @@ -252254,6 +315318,8 @@ 0 0 0 +3 +0 4 0xd2de 0x87898a21 @@ -252263,6 +315329,8 @@ 1 0 2 +4 +2 0x8ccc 0x922b29fe 256 @@ -252271,6 +315339,8 @@ 0 0 1 +0 +1 0x7ca5 0x8421fbb6 256 @@ -252278,6 +315348,8 @@ 1 1 0 +0 +1 4 0xcfe6 0x880a4f9e @@ -252286,6 +315358,8 @@ 0 0 0 +5 +4 4 0x930f 0xd857fdc0 @@ -252294,6 +315368,8 @@ 1 0 0 +0 +5 2 0x6787 0xc2913335 @@ -252302,6 +315378,8 @@ 1 1 0 +2 +3 4 0x30ce 0x89a2a483 @@ -252310,6 +315388,8 @@ 0 0 0 +3 +4 4 0xcd0a 0xb4fe0022 @@ -252319,6 +315399,8 @@ 0 0 1 +0 +1 0xfcd0 0xb0093e89 256 @@ -252326,6 +315408,8 @@ 0 0 0 +5 +4 2 0xf4a8 0xc278ca36 @@ -252334,6 +315418,8 @@ 0 0 0 +0 +4 3 0xe70c 0x476582ef @@ -252342,6 +315428,8 @@ 0 0 0 +2 +3 1 0xc706 0x48d37d82 @@ -252350,6 +315438,8 @@ 0 0 0 +1 +1 3 0xecb7 0x86c1a3db @@ -252358,6 +315448,8 @@ 1 0 0 +0 +4 2 0xbae0 0x465cd524 @@ -252367,6 +315459,8 @@ 0 0 2 +3 +2 0x12db 0x21518768 256 @@ -252375,6 +315469,8 @@ 1 0 2 +2 +2 0x1388 0xabfb25cb 256 @@ -252383,6 +315479,8 @@ 0 0 2 +4 +2 0xd35b 0xff7c0537 256 @@ -252390,6 +315488,8 @@ 1 1 0 +5 +0 2 0x25c 0xe85976e0 @@ -252398,6 +315498,8 @@ 0 0 0 +4 +2 2 0x5c7f 0x8af14978 @@ -252406,6 +315508,8 @@ 0 0 0 +2 +0 1 0xe5bc 0x8057aeb8 @@ -252414,6 +315518,8 @@ 1 1 0 +4 +3 3 0xb4ad 0x41639ad7 @@ -252422,6 +315528,8 @@ 0 0 0 +1 +5 2 0x99ff 0x8c5b5061 @@ -252430,6 +315538,8 @@ 0 0 0 +2 +3 1 0x806d 0xa5e92e0e @@ -252438,6 +315548,8 @@ 1 0 0 +4 +1 3 0x9333 0xd738f9a0 @@ -252447,6 +315559,8 @@ 0 0 3 +0 +3 0x809 0xa43c2670 256 @@ -252455,6 +315569,8 @@ 0 0 4 +4 +4 0x1336 0x6b42c21f 256 @@ -252462,6 +315578,8 @@ 1 1 0 +5 +5 4 0x8f7b 0x5b4142f9 @@ -252470,6 +315588,8 @@ 1 1 0 +1 +5 2 0xae6 0x5fed2a02 @@ -252478,6 +315598,8 @@ 1 0 0 +1 +5 3 0xec1f 0x4dc01d36 @@ -252486,6 +315608,8 @@ 1 1 0 +5 +4 4 0x5c0a 0xe6004b36 @@ -252494,6 +315618,8 @@ 0 0 0 +5 +5 1 0x5b62 0x9bb1cf53 @@ -252502,6 +315628,8 @@ 1 1 0 +3 +4 1 0x550d 0xf8272ea0 @@ -252510,6 +315638,8 @@ 1 1 0 +2 +2 1 0xbcdf 0x3083265e @@ -252518,6 +315648,8 @@ 0 0 0 +5 +1 3 0xb412 0x91abcf99 @@ -252526,6 +315658,8 @@ 0 0 0 +1 +2 3 0x5394 0x2ca14e14 @@ -252534,6 +315668,8 @@ 1 1 0 +4 +4 1 0x800d 0xc9612998 @@ -252543,6 +315679,8 @@ 0 0 4 +0 +4 0x61aa 0x484af992 256 @@ -252550,6 +315688,8 @@ 0 0 0 +2 +0 4 0x1678 0xe2db3ff9 @@ -252558,6 +315698,8 @@ 0 0 0 +1 +5 4 0xa00e 0x3d17b37 @@ -252566,6 +315708,8 @@ 1 1 0 +3 +1 1 0xf8f3 0xae4d24f0 @@ -252574,6 +315718,8 @@ 0 0 0 +4 +1 1 0xe196 0xd69b71d4 @@ -252582,6 +315728,8 @@ 0 0 0 +4 +0 1 0x668d 0xbe4921a5 @@ -252590,6 +315738,8 @@ 1 0 0 +5 +1 3 0x5b2f 0xb6839ad5 @@ -252598,6 +315748,8 @@ 1 1 0 +0 +4 2 0x571 0xee8a2f6e @@ -252607,6 +315759,8 @@ 0 0 1 +3 +1 0x47dc 0x6f17111c 256 @@ -252614,6 +315768,8 @@ 1 1 0 +5 +0 1 0xc3b7 0x2f1c1672 @@ -252622,6 +315778,8 @@ 1 0 0 +4 +4 3 0x137f 0x617b81ca @@ -252631,6 +315789,8 @@ 0 0 1 +4 +1 0xe33b 0x1fd4f521 256 @@ -252638,6 +315798,8 @@ 1 0 0 +1 +2 2 0x194c 0x16edfa38 @@ -252647,6 +315809,8 @@ 0 0 4 +2 +4 0x8976 0x2c3c2ae5 256 @@ -252655,6 +315819,8 @@ 0 0 2 +3 +2 0xb84a 0xa9bbd57e 256 @@ -252662,6 +315828,8 @@ 1 0 0 +5 +3 4 0x828 0x2cfb2af9 @@ -252670,6 +315838,8 @@ 1 1 0 +3 +5 4 0x50dd 0xfbd2a0d7 @@ -252678,6 +315848,8 @@ 1 0 0 +4 +0 1 0xc951 0xdb9e0d77 @@ -252686,6 +315858,8 @@ 1 0 0 +5 +4 2 0x6baa 0xa9d7465e @@ -252694,6 +315868,8 @@ 0 0 0 +1 +0 4 0xe2c4 0xd1f212b4 @@ -252702,6 +315878,8 @@ 0 0 0 +4 +0 2 0x2701 0xc2af8229 @@ -252710,6 +315888,8 @@ 1 0 0 +3 +3 2 0x2ec9 0x91aabac @@ -252718,6 +315898,8 @@ 1 1 0 +3 +2 1 0xe554 0xd3b3c58b @@ -252726,6 +315908,8 @@ 1 1 0 +3 +0 2 0x1d1d 0xdcf01c86 @@ -252734,6 +315918,8 @@ 0 0 0 +2 +2 1 0x83ba 0xd4fccd08 @@ -252742,6 +315928,8 @@ 1 1 0 +4 +3 3 0x9faa 0x7a9a41a7 @@ -252750,6 +315938,8 @@ 0 0 0 +2 +3 3 0x475b 0x5356f1fd @@ -252758,6 +315948,8 @@ 1 1 0 +3 +5 2 0x79a6 0x9d8a526c @@ -252766,6 +315958,8 @@ 1 0 0 +2 +1 3 0x98fd 0x97f2bcb4 @@ -252774,6 +315968,8 @@ 1 0 0 +4 +1 1 0x1147 0xa79a1e46 @@ -252782,6 +315978,8 @@ 0 0 0 +4 +2 1 0x307 0x5eaf6287 @@ -252790,6 +315988,8 @@ 0 0 0 +0 +4 2 0xa5e9 0xd9862fd @@ -252798,6 +315998,8 @@ 1 1 0 +2 +1 3 0xfbf5 0xfd621e2e @@ -252806,6 +316008,8 @@ 0 0 0 +1 +1 3 0xf18e 0x25131338 @@ -252815,6 +316019,8 @@ 0 0 2 +3 +2 0xe81f 0x22b53699 256 @@ -252822,6 +316028,8 @@ 0 0 0 +3 +2 1 0x8fae 0x42d5962f @@ -252830,6 +316038,8 @@ 1 0 0 +5 +4 2 0xa01 0xc0abb687 @@ -252838,6 +316048,8 @@ 1 1 0 +0 +3 4 0xee7a 0xef30677e @@ -252847,6 +316059,8 @@ 1 0 4 +5 +4 0x9286 0xd0d5ee00 256 @@ -252854,6 +316068,8 @@ 1 0 0 +5 +2 3 0xd977 0x645e21dc @@ -252862,6 +316078,8 @@ 1 0 0 +4 +3 3 0x407c 0xaab8189e @@ -252870,6 +316088,8 @@ 1 1 0 +1 +3 2 0x76bc 0xa05b3f7a @@ -252878,6 +316098,8 @@ 0 0 0 +3 +1 4 0x6513 0x7451aa23 @@ -252886,6 +316108,8 @@ 0 0 0 +4 +2 3 0x6d4a 0x880b214b @@ -252894,6 +316118,8 @@ 0 0 0 +3 +0 2 0xaafe 0xdf03ca48 @@ -252902,6 +316128,8 @@ 0 0 0 +2 +2 4 0x1e82 0x50c96185 @@ -252910,6 +316138,8 @@ 0 0 0 +4 +4 2 0xe83d 0xafa3e330 @@ -252918,6 +316148,8 @@ 0 0 0 +2 +4 1 0x5517 0x4dfe38b8 @@ -252926,6 +316158,8 @@ 1 1 0 +0 +1 3 0x4a21 0x62a79e19 @@ -252934,6 +316168,8 @@ 1 0 0 +1 +2 2 0x85d9 0x774bb229 @@ -252942,6 +316178,8 @@ 1 1 0 +1 +0 4 0xf61b 0xfcd90a52 @@ -252950,6 +316188,8 @@ 1 1 0 +5 +3 2 0x9c6a 0xc3ec03d8 @@ -252958,6 +316198,8 @@ 0 0 0 +3 +5 2 0x97c8 0xabe9136 @@ -252967,6 +316209,8 @@ 0 0 3 +5 +3 0x62c3 0xa231a564 256 @@ -252975,6 +316219,8 @@ 0 0 1 +1 +1 0xc339 0x5825636d 256 @@ -252982,6 +316228,8 @@ 1 0 0 +0 +5 2 0xb8d9 0x81009d6c @@ -252991,6 +316239,8 @@ 0 0 4 +4 +4 0x496d 0x2d25cbe 256 @@ -252998,6 +316248,8 @@ 1 1 0 +4 +2 3 0xd79e 0x5073ea12 @@ -253006,6 +316258,8 @@ 1 1 0 +1 +1 3 0x93ed 0x6859a210 @@ -253014,6 +316268,8 @@ 1 1 0 +5 +1 1 0x8c8c 0x999bee1b @@ -253023,6 +316279,8 @@ 0 0 4 +1 +4 0xb502 0x9c3327a8 256 @@ -253031,6 +316289,8 @@ 0 0 4 +0 +4 0xbb6d 0x40aca495 256 @@ -253038,6 +316298,8 @@ 0 0 0 +0 +3 3 0xca2a 0xf8224552 @@ -253046,6 +316308,8 @@ 1 0 0 +3 +5 1 0x2183 0x8c2b94ac @@ -253054,6 +316318,8 @@ 0 0 0 +0 +3 3 0x8902 0x6068601a @@ -253062,6 +316328,8 @@ 0 0 0 +4 +3 3 0x4fa6 0xd6a91ced @@ -253070,6 +316338,8 @@ 0 0 0 +4 +1 2 0x851 0xd23dc65a @@ -253079,6 +316349,8 @@ 0 0 4 +4 +4 0xe1e8 0x5f0abc1a 256 @@ -253087,6 +316359,8 @@ 0 0 2 +2 +2 0xa7ae 0xdf5c90c2 256 @@ -253094,6 +316368,8 @@ 1 0 0 +2 +0 1 0xdf25 0xa3b8bf80 @@ -253102,6 +316378,8 @@ 0 0 0 +2 +3 1 0x7fce 0x37fe24e9 @@ -253110,6 +316388,8 @@ 0 0 0 +0 +0 1 0x7320 0xb1876f86 @@ -253119,6 +316399,8 @@ 0 0 3 +5 +3 0x2fc7 0xe26988ff 256 @@ -253126,6 +316408,8 @@ 1 0 0 +5 +5 3 0xdd6b 0x56110dd @@ -253134,6 +316418,8 @@ 1 1 0 +1 +0 3 0x3125 0x8a24cdc0 @@ -253142,6 +316428,8 @@ 0 0 0 +5 +1 3 0x956a 0xc4ec4267 @@ -253150,6 +316438,8 @@ 1 1 0 +1 +5 3 0x824d 0x45c38fc4 @@ -253158,6 +316448,8 @@ 1 1 0 +4 +2 1 0x4b5d 0x986e3a0b @@ -253166,6 +316458,8 @@ 1 1 0 +2 +0 4 0xf495 0x242f1f91 @@ -253174,6 +316468,8 @@ 0 0 0 +0 +4 1 0x7083 0x3c4f5eb0 @@ -253182,6 +316478,8 @@ 0 0 0 +2 +5 4 0x5384 0x121ebcce @@ -253191,6 +316489,8 @@ 0 0 4 +1 +4 0xe6f 0xc502d59a 256 @@ -253199,6 +316499,8 @@ 0 0 2 +5 +2 0xe2c9 0xa74419b9 256 @@ -253206,6 +316508,8 @@ 0 0 0 +4 +2 3 0xca20 0x2a4f4857 @@ -253214,6 +316518,8 @@ 1 0 0 +0 +0 4 0xf382 0x8bbc72a3 @@ -253222,6 +316528,8 @@ 0 0 0 +4 +4 2 0x568d 0xf35a4319 @@ -253230,6 +316538,8 @@ 0 0 0 +0 +5 1 0x82a1 0x2e14feb7 @@ -253238,6 +316548,8 @@ 1 0 0 +2 +4 4 0x4a0b 0xaaa9b886 @@ -253246,6 +316558,8 @@ 0 0 0 +3 +1 1 0xc9dd 0x976f8e8d @@ -253254,6 +316568,8 @@ 0 0 0 +3 +4 4 0x95b2 0xa7e4390f @@ -253262,6 +316578,8 @@ 1 0 0 +4 +3 3 0xaa35 0x462fa6fd @@ -253271,6 +316589,8 @@ 0 0 2 +1 +2 0x9f48 0xa430a0c5 256 @@ -253278,6 +316598,8 @@ 1 1 0 +5 +5 4 0x4035 0x13b32567 @@ -253286,6 +316608,8 @@ 0 0 0 +4 +3 2 0xbc18 0xefcf7ff1 @@ -253294,6 +316618,8 @@ 0 0 0 +4 +3 3 0x1c53 0x3317461b @@ -253302,6 +316628,8 @@ 0 0 0 +5 +0 2 0x4e0f 0x33c9e6dd @@ -253310,6 +316638,8 @@ 0 0 0 +1 +2 2 0x2a98 0x6ce6b8a2 @@ -253318,6 +316648,8 @@ 1 0 0 +1 +3 2 0x97c8 0xa97f6150 @@ -253326,6 +316658,8 @@ 1 0 0 +0 +3 4 0x96e8 0x8a5305d5 @@ -253334,6 +316668,8 @@ 0 0 0 +0 +1 4 0x6e7a 0x90ddb4d1 @@ -253342,6 +316678,8 @@ 1 0 0 +2 +5 1 0xf32b 0xd246d4a5 @@ -253350,6 +316688,8 @@ 1 1 0 +0 +3 3 0xa04c 0xaf99e25b @@ -253358,6 +316698,8 @@ 1 0 0 +4 +0 1 0xa649 0xb015e2fb @@ -253366,6 +316708,8 @@ 0 0 0 +3 +1 2 0xa2ee 0x4bdb9e4a @@ -253374,6 +316718,8 @@ 1 0 0 +2 +3 1 0xe9e6 0x2fe55967 @@ -253383,6 +316729,8 @@ 0 0 4 +0 +4 0xb8a0 0x7a8278e5 256 @@ -253390,6 +316738,8 @@ 0 0 0 +0 +5 3 0x7efa 0x13bf5c5e @@ -253398,6 +316748,8 @@ 0 0 0 +4 +1 1 0xacc2 0xf60ad4a0 @@ -253407,6 +316759,8 @@ 1 0 4 +1 +4 0xf199 0x1212b2f 256 @@ -253414,6 +316768,8 @@ 1 0 0 +4 +4 1 0x7223 0x9967014d @@ -253422,6 +316778,8 @@ 1 1 0 +2 +4 3 0x7d41 0x42ffbc46 @@ -253430,6 +316788,8 @@ 0 0 0 +3 +5 1 0xaf1c 0x3c023a80 @@ -253438,6 +316798,8 @@ 0 0 0 +2 +4 4 0x3bb4 0xd9302a46 @@ -253446,6 +316808,8 @@ 0 0 0 +5 +5 1 0x25e6 0x7a1d90a2 @@ -253454,6 +316818,8 @@ 1 0 0 +5 +0 4 0x5ded 0x87e2e0ef @@ -253462,6 +316828,8 @@ 1 1 0 +2 +2 3 0x154c 0x414d4fb2 @@ -253470,6 +316838,8 @@ 0 0 0 +1 +1 3 0xccdb 0x4820501f @@ -253478,6 +316848,8 @@ 1 0 0 +0 +4 4 0x83f9 0xd46818d5 @@ -253486,6 +316858,8 @@ 0 0 0 +5 +0 2 0x2f23 0x34de7742 @@ -253494,6 +316868,8 @@ 1 1 0 +3 +5 4 0x32d2 0x1d398736 @@ -253502,6 +316878,8 @@ 0 0 0 +0 +3 1 0xaa27 0xfa4d325d @@ -253510,6 +316888,8 @@ 0 0 0 +2 +1 3 0x81fb 0x19d72d1b @@ -253518,6 +316898,8 @@ 0 0 0 +5 +0 2 0x245f 0x13d8880e @@ -253527,6 +316909,8 @@ 1 0 1 +3 +1 0xd8d8 0x4b11e97e 256 @@ -253534,6 +316918,8 @@ 1 0 0 +0 +5 4 0x24dc 0x744ba799 @@ -253542,6 +316928,8 @@ 0 0 0 +4 +0 3 0xc9df 0x751ae352 @@ -253550,6 +316938,8 @@ 0 0 0 +0 +1 4 0x13d9 0x959e4fc9 @@ -253558,6 +316948,8 @@ 1 1 0 +5 +4 3 0x169 0xba867f75 @@ -253566,6 +316958,8 @@ 1 0 0 +1 +4 4 0x57b4 0xef47ca7b @@ -253574,6 +316968,8 @@ 0 0 0 +1 +3 4 0x642d 0x58631d69 @@ -253582,6 +316978,8 @@ 1 1 0 +0 +5 2 0x769e 0xb85b0a16 @@ -253590,6 +316988,8 @@ 0 0 0 +4 +4 2 0xccc0 0xc8531bd5 @@ -253598,6 +316998,8 @@ 1 1 0 +0 +5 3 0x780f 0xfd9f309d @@ -253606,6 +317008,8 @@ 1 1 0 +3 +3 2 0xca21 0x13e2562c @@ -253615,6 +317019,8 @@ 1 0 1 +1 +1 0x13a4 0x1d723d84 256 @@ -253622,6 +317028,8 @@ 1 0 0 +4 +3 2 0xef0f 0xd54abf19 @@ -253630,6 +317038,8 @@ 1 0 0 +4 +0 2 0xff7d 0xd8efed9d @@ -253639,6 +317049,8 @@ 0 0 4 +0 +4 0x2106 0xc4bbabe1 256 @@ -253646,6 +317058,8 @@ 0 0 0 +3 +4 2 0x6164 0xafb9138d @@ -253655,6 +317069,8 @@ 0 0 2 +5 +2 0x7a9e 0x17211521 256 @@ -253662,6 +317078,8 @@ 1 1 0 +1 +0 4 0x42fb 0x7089aabd @@ -253670,6 +317088,8 @@ 0 0 0 +5 +2 2 0x32f0 0x75d626f3 @@ -253678,6 +317098,8 @@ 0 0 0 +3 +1 4 0xff82 0xc84ba819 @@ -253686,6 +317108,8 @@ 1 0 0 +5 +5 3 0x77ad 0x728134b1 @@ -253694,6 +317118,8 @@ 1 0 0 +0 +1 4 0xade9 0xf45f59a0 @@ -253702,6 +317128,8 @@ 0 0 0 +5 +3 4 0x89ad 0xd4d965fe @@ -253710,6 +317138,8 @@ 1 0 0 +4 +3 3 0x338d 0x83d91010 @@ -253718,6 +317148,8 @@ 0 0 0 +1 +1 4 0x6baa 0xb345bd4b @@ -253727,6 +317159,8 @@ 0 0 1 +4 +1 0xf632 0xbbd20a00 256 @@ -253734,6 +317168,8 @@ 0 0 0 +0 +3 3 0x14ae 0x90161838 @@ -253742,6 +317178,8 @@ 1 1 0 +2 +3 4 0x80b 0xbf9e3d8b @@ -253751,6 +317189,8 @@ 0 0 3 +0 +3 0xb097 0x6d474eed 256 @@ -253758,6 +317198,8 @@ 1 1 0 +3 +3 2 0x4442 0x92637a10 @@ -253766,6 +317208,8 @@ 0 0 0 +2 +4 1 0x8d85 0x46a0dc2d @@ -253774,6 +317218,8 @@ 1 1 0 +4 +1 2 0x2e8f 0x506c550a @@ -253783,6 +317229,8 @@ 0 0 2 +4 +2 0xa255 0x6335dbce 256 @@ -253790,6 +317238,8 @@ 1 1 0 +2 +5 1 0x1c5f 0x3ee3346f @@ -253798,6 +317248,8 @@ 1 0 0 +3 +0 1 0x3cfa 0x74a44098 @@ -253806,6 +317258,8 @@ 1 1 0 +5 +1 4 0x1794 0x8daf1bed @@ -253814,6 +317268,8 @@ 0 0 0 +3 +4 1 0xd988 0x4cf2238c @@ -253822,6 +317278,8 @@ 1 1 0 +3 +5 1 0x8738 0xac1e074a @@ -253830,6 +317288,8 @@ 0 0 0 +2 +3 1 0x60a9 0xa34144d9 @@ -253839,6 +317299,8 @@ 0 0 2 +4 +2 0xf37d 0x27abbad5 256 @@ -253846,6 +317308,8 @@ 1 1 0 +5 +1 3 0xb378 0x364ee00d @@ -253854,6 +317318,8 @@ 1 1 0 +5 +1 2 0x67c5 0xcd6fe455 @@ -253862,6 +317328,8 @@ 0 0 0 +4 +4 1 0xd64b 0x6af4ca45 @@ -253870,6 +317338,8 @@ 0 0 0 +2 +2 3 0xc87 0xab8d40a7 @@ -253878,6 +317348,8 @@ 0 0 0 +0 +4 2 0x897f 0x480aedf @@ -253886,6 +317358,8 @@ 0 0 0 +2 +5 1 0x7802 0xabcb8731 @@ -253894,6 +317368,8 @@ 0 0 0 +1 +0 2 0xa998 0x1b502c7e @@ -253903,6 +317379,8 @@ 0 0 3 +2 +3 0x2533 0x458534d3 256 @@ -253910,6 +317388,8 @@ 0 0 0 +2 +0 3 0xe614 0xddf9b32d @@ -253918,6 +317398,8 @@ 0 0 0 +3 +1 4 0x2332 0x81d5b683 @@ -253927,6 +317409,8 @@ 1 0 1 +5 +1 0x9991 0xa5df1152 256 @@ -253934,6 +317418,8 @@ 1 1 0 +5 +0 4 0x5516 0xbf746802 @@ -253942,6 +317428,8 @@ 1 1 0 +3 +2 2 0x754b 0xdfc966c5 @@ -253950,6 +317438,8 @@ 0 0 0 +3 +0 1 0xdddc 0x49ba05b8 @@ -253958,6 +317448,8 @@ 1 1 0 +3 +1 1 0x37d2 0x7110a019 @@ -253966,6 +317458,8 @@ 1 1 0 +3 +5 2 0x309d 0xceeb2479 @@ -253974,6 +317468,8 @@ 0 0 0 +1 +3 3 0x4b7a 0xa7ed964 @@ -253982,6 +317478,8 @@ 0 0 0 +2 +3 4 0x2d9d 0x4dd8aa44 @@ -253990,6 +317488,8 @@ 1 0 0 +0 +0 1 0x8c94 0x252f0dfb @@ -253998,6 +317498,8 @@ 1 0 0 +1 +3 4 0x2d1c 0xc929f314 @@ -254006,6 +317508,8 @@ 1 1 0 +5 +2 4 0xf03f 0x2a98808b @@ -254014,6 +317518,8 @@ 1 1 0 +3 +2 4 0xd553 0xa25ba476 @@ -254022,6 +317528,8 @@ 1 0 0 +5 +1 2 0x4380 0x57e82848 @@ -254030,6 +317538,8 @@ 0 0 0 +3 +3 2 0xb915 0xc5e54b33 @@ -254039,6 +317549,8 @@ 0 0 2 +5 +2 0xed48 0x7d881ec8 256 @@ -254046,6 +317558,8 @@ 1 1 0 +1 +5 3 0xce38 0xb41bba8a @@ -254055,6 +317569,8 @@ 0 0 2 +4 +2 0x596c 0x3e3faeac 256 @@ -254063,6 +317579,8 @@ 0 0 1 +4 +1 0x3a34 0x7eeeed6 256 @@ -254071,6 +317589,8 @@ 0 0 4 +3 +4 0x7952 0x57660a50 256 @@ -254079,6 +317599,8 @@ 1 0 1 +2 +1 0xbaa6 0xab56a5ac 256 @@ -254087,6 +317609,8 @@ 0 0 1 +3 +1 0xb168 0xebc338c1 256 @@ -254094,6 +317618,8 @@ 0 0 0 +1 +3 4 0x6e0a 0xcff77c2c @@ -254102,6 +317628,8 @@ 1 1 0 +1 +5 2 0x679f 0x2c8e414e @@ -254110,6 +317638,8 @@ 1 0 0 +1 +0 2 0x5d0 0x36142bd4 @@ -254118,6 +317648,8 @@ 0 0 0 +0 +4 2 0x7b8f 0x3f72832c @@ -254127,6 +317659,8 @@ 0 0 4 +3 +4 0x4d51 0x5507923b 256 @@ -254134,6 +317668,8 @@ 0 0 0 +3 +0 1 0x4888 0xb1220e82 @@ -254142,6 +317678,8 @@ 1 1 0 +0 +5 2 0xec8f 0x4b177f7 @@ -254150,6 +317688,8 @@ 0 0 0 +3 +0 2 0x3a86 0xcd6e8427 @@ -254158,6 +317698,8 @@ 1 0 0 +5 +5 4 0xa43b 0x5cc823c8 @@ -254166,6 +317708,8 @@ 0 0 0 +0 +0 3 0xda8d 0x2d2513b @@ -254174,6 +317718,8 @@ 1 1 0 +4 +5 1 0x1c95 0x668d2b61 @@ -254182,6 +317728,8 @@ 1 0 0 +5 +3 1 0xb3 0x8d5b9481 @@ -254190,6 +317738,8 @@ 1 1 0 +4 +3 1 0xa46d 0x9dc54b2e @@ -254199,6 +317749,8 @@ 1 0 2 +4 +2 0xd887 0xd6555871 256 @@ -254206,6 +317758,8 @@ 1 0 0 +4 +1 1 0x9a89 0x534f04a2 @@ -254214,6 +317768,8 @@ 0 0 0 +1 +2 3 0x7cca 0x134ed6b5 @@ -254222,6 +317778,8 @@ 1 1 0 +0 +1 1 0x48b5 0xeb97d4a @@ -254230,6 +317788,8 @@ 1 0 0 +2 +5 3 0xc348 0x147c8c05 @@ -254238,6 +317798,8 @@ 0 0 0 +2 +0 4 0xbb66 0x611164e3 @@ -254247,6 +317809,8 @@ 0 0 1 +5 +1 0xf982 0xd30e3bad 256 @@ -254254,6 +317818,8 @@ 0 0 0 +0 +1 3 0xf0d7 0xfab5ae9e @@ -254262,6 +317828,8 @@ 0 0 0 +5 +3 4 0xd78a 0xa1d35f58 @@ -254271,6 +317839,8 @@ 0 0 2 +1 +2 0x2e0d 0x753da32e 256 @@ -254278,6 +317848,8 @@ 0 0 0 +0 +3 4 0xca2a 0xe0639695 @@ -254287,6 +317859,8 @@ 0 0 2 +4 +2 0x6af 0x420a9a8f 256 @@ -254294,6 +317868,8 @@ 0 0 0 +0 +4 2 0xf91 0x67a6fc1e @@ -254302,6 +317878,8 @@ 1 0 0 +2 +0 1 0x2706 0x72a603e3 @@ -254310,6 +317888,8 @@ 0 0 0 +0 +0 2 0x482b 0xcfa6df8e @@ -254318,6 +317898,8 @@ 0 0 0 +5 +1 1 0x8d3e 0x217de663 @@ -254326,6 +317908,8 @@ 0 0 0 +5 +4 4 0xcf12 0x33682dc9 @@ -254334,6 +317918,8 @@ 0 0 0 +4 +0 2 0xd1d0 0x7ed26972 @@ -254342,6 +317928,8 @@ 1 1 0 +1 +1 3 0x62a6 0x925eefdd @@ -254350,6 +317938,8 @@ 1 1 0 +3 +4 1 0x6e69 0x6464e5a1 @@ -254358,6 +317948,8 @@ 1 1 0 +5 +4 2 0x5c2d 0x531853a9 @@ -254366,6 +317958,8 @@ 1 0 0 +1 +0 4 0x7a50 0xb92fb89b @@ -254374,6 +317968,8 @@ 0 0 0 +4 +4 3 0x6e30 0xa96027f9 @@ -254382,6 +317978,8 @@ 1 1 0 +0 +0 1 0xdaed 0x3b0e7b35 @@ -254390,6 +317988,8 @@ 1 0 0 +0 +3 1 0x764b 0x87b058d1 @@ -254398,6 +317998,8 @@ 0 0 0 +5 +1 3 0x6b8f 0x35728dc4 @@ -254406,6 +318008,8 @@ 0 0 0 +4 +5 3 0x747e 0x9729ff16 @@ -254415,6 +318019,8 @@ 1 0 3 +2 +3 0x86d3 0xe0fdafe9 256 @@ -254423,6 +318029,8 @@ 0 0 3 +4 +3 0xc11b 0x15b92a6f 256 @@ -254430,6 +318038,8 @@ 1 0 0 +0 +1 2 0x1bb 0xad661aaf @@ -254439,6 +318049,8 @@ 0 0 3 +5 +3 0x6c25 0xaeae3756 256 @@ -254446,6 +318058,8 @@ 1 1 0 +5 +5 3 0x153d 0x97d2860f @@ -254454,6 +318068,8 @@ 1 0 0 +4 +3 1 0x8770 0xc77e1e4b @@ -254462,6 +318078,8 @@ 0 0 0 +5 +0 1 0xbc54 0x6e48c536 @@ -254470,6 +318088,8 @@ 0 0 0 +3 +2 2 0xfdf8 0x99367d4f @@ -254478,6 +318098,8 @@ 1 0 0 +0 +0 2 0x64d2 0x4b7b6c39 @@ -254486,6 +318108,8 @@ 0 0 0 +0 +1 2 0x3e93 0x86ba3c94 @@ -254495,6 +318119,8 @@ 0 0 2 +5 +2 0x16af 0xe0164a31 256 @@ -254502,6 +318128,8 @@ 0 0 0 +1 +1 4 0xd4d0 0x20fe9a7 @@ -254510,6 +318138,8 @@ 0 0 0 +4 +0 3 0x5171 0xd5520e6a @@ -254518,6 +318148,8 @@ 1 1 0 +5 +0 1 0x994b 0xf078c0fc @@ -254526,6 +318158,8 @@ 0 0 0 +1 +4 4 0xc051 0x5be35ed8 @@ -254534,6 +318168,8 @@ 0 0 0 +5 +5 4 0x18f4 0xdcbe1aab @@ -254542,6 +318178,8 @@ 1 0 0 +1 +4 3 0x48c7 0xc120797b @@ -254551,6 +318189,8 @@ 0 0 1 +0 +1 0xad81 0xe7760e39 256 @@ -254558,6 +318198,8 @@ 0 0 0 +5 +1 1 0x6d89 0x87c5679b @@ -254566,6 +318208,8 @@ 1 0 0 +0 +0 2 0x6273 0x1056c1e9 @@ -254575,6 +318219,8 @@ 1 0 2 +5 +2 0xd1a8 0xa5ff4919 256 @@ -254582,6 +318228,8 @@ 1 0 0 +2 +0 1 0x4558 0x53c47872 @@ -254590,6 +318238,8 @@ 1 1 0 +3 +4 4 0xd492 0x3ff43bb7 @@ -254598,6 +318248,8 @@ 1 0 0 +0 +2 3 0x7776 0xc6dbccf0 @@ -254606,6 +318258,8 @@ 1 1 0 +0 +2 4 0xe96a 0xdb65982c @@ -254614,6 +318268,8 @@ 1 0 0 +3 +1 2 0xa3f5 0xa8f3a64 @@ -254622,6 +318278,8 @@ 0 0 0 +1 +3 2 0xdca 0xddf931d8 @@ -254630,6 +318288,8 @@ 1 0 0 +5 +5 3 0xb076 0xe5d7ea68 @@ -254639,6 +318299,8 @@ 0 0 1 +0 +1 0x28f9 0x3aea161b 256 @@ -254646,6 +318308,8 @@ 1 0 0 +5 +1 1 0xd97a 0xccb225ef @@ -254654,6 +318318,8 @@ 1 1 0 +5 +5 3 0x3b2d 0x8856bb45 @@ -254662,6 +318328,8 @@ 0 0 0 +3 +4 4 0x48c4 0xefcd61cd @@ -254670,6 +318338,8 @@ 0 0 0 +4 +0 2 0x734 0xa0da7751 @@ -254678,6 +318348,8 @@ 0 0 0 +4 +3 1 0x7005 0x2b83d1a @@ -254686,6 +318358,8 @@ 0 0 0 +4 +3 3 0x8daf 0x70322028 @@ -254694,6 +318368,8 @@ 1 0 0 +5 +4 4 0xa7f2 0xa3f1fb1c @@ -254702,6 +318378,8 @@ 1 1 0 +2 +4 4 0xc56c 0x8b4213c9 @@ -254711,6 +318389,8 @@ 0 0 3 +0 +3 0x7d2d 0xb415da84 256 @@ -254718,6 +318398,8 @@ 1 0 0 +0 +1 1 0xc895 0x3c4491df @@ -254726,6 +318408,8 @@ 1 1 0 +4 +3 1 0xb849 0x5717df92 @@ -254734,6 +318418,8 @@ 0 0 0 +5 +4 2 0x6bd8 0x980aa18 @@ -254742,6 +318428,8 @@ 0 0 0 +1 +5 3 0x7fff 0x1f1d5c38 @@ -254750,6 +318438,8 @@ 0 0 0 +5 +4 2 0xefaf 0xc7026b88 @@ -254758,6 +318448,8 @@ 1 0 0 +3 +4 1 0xa9bd 0xd846270c @@ -254766,6 +318458,8 @@ 0 0 0 +3 +0 4 0x6181 0x7521a56d @@ -254774,6 +318468,8 @@ 1 1 0 +3 +4 2 0xc172 0xfe021364 @@ -254782,6 +318478,8 @@ 0 0 0 +3 +0 4 0xc3aa 0x65b48386 @@ -254791,6 +318489,8 @@ 0 0 2 +5 +2 0x434c 0x71e8fa00 256 @@ -254798,6 +318498,8 @@ 1 1 0 +2 +5 3 0xed2b 0xd77c1d06 @@ -254806,6 +318508,8 @@ 0 0 0 +5 +0 4 0x15d1 0x62ba46c5 @@ -254814,6 +318518,8 @@ 0 0 0 +3 +3 1 0x3c56 0xcc26bc28 @@ -254823,6 +318529,8 @@ 0 0 2 +5 +2 0xc02 0xbef9cb5 256 @@ -254831,6 +318539,8 @@ 0 0 1 +1 +1 0x774c 0x66a9a53 256 @@ -254838,6 +318548,8 @@ 1 0 0 +5 +5 3 0x94a5 0x2c6eb4a3 @@ -254846,6 +318558,8 @@ 0 0 0 +1 +1 3 0x41ca 0xd347fed @@ -254854,6 +318568,8 @@ 1 1 0 +5 +4 3 0xadc5 0xe7e1d16a @@ -254862,6 +318578,8 @@ 0 0 0 +2 +4 4 0xb2bc 0xcd4ae3c6 @@ -254870,6 +318588,8 @@ 0 0 0 +3 +4 1 0x1bd0 0xfee52636 @@ -254878,6 +318598,8 @@ 0 0 0 +5 +4 4 0x9a26 0xbd8b6bf @@ -254886,6 +318608,8 @@ 1 0 0 +5 +3 4 0xe0e7 0xf37215e8 @@ -254894,6 +318618,8 @@ 1 0 0 +0 +2 1 0xeb94 0x508686f0 @@ -254902,6 +318628,8 @@ 1 1 0 +0 +3 4 0xeedc 0x865f623f @@ -254910,6 +318638,8 @@ 0 0 0 +2 +5 1 0x8348 0x4c60b56f @@ -254918,6 +318648,8 @@ 1 0 0 +2 +5 3 0x7aee 0xa82ce1f5 @@ -254926,6 +318658,8 @@ 1 0 0 +0 +2 1 0xa43e 0xa7d9bc44 @@ -254935,6 +318669,8 @@ 0 0 1 +4 +1 0x42eb 0x5c12e1a4 256 @@ -254942,6 +318678,8 @@ 0 0 0 +4 +5 3 0x42e6 0xaeb7a834 @@ -254950,6 +318688,8 @@ 0 0 0 +4 +3 2 0xd550 0x238b0789 @@ -254958,6 +318698,8 @@ 1 0 0 +3 +1 4 0xe49e 0x696978ab @@ -254966,6 +318708,8 @@ 0 0 0 +4 +5 3 0x520a 0x7c982c0a @@ -254974,6 +318718,8 @@ 1 0 0 +3 +4 1 0x45c0 0x37a9c8f0 @@ -254982,6 +318728,8 @@ 0 0 0 +0 +4 3 0xb7b5 0x8b02a086 @@ -254990,6 +318738,8 @@ 0 0 0 +3 +5 2 0x2088 0x4b500bd8 @@ -254998,6 +318748,8 @@ 0 0 0 +5 +0 3 0x53eb 0xe2a6c1bf @@ -255006,6 +318758,8 @@ 1 0 0 +1 +4 2 0xb9b2 0x48277569 @@ -255015,6 +318769,8 @@ 0 0 3 +2 +3 0x95b6 0x92bdc0b6 256 @@ -255022,6 +318778,8 @@ 0 0 0 +5 +2 4 0x326d 0xcaf19992 @@ -255030,6 +318788,8 @@ 0 0 0 +4 +2 2 0xbc49 0x97b21f1f @@ -255038,6 +318798,8 @@ 1 1 0 +2 +2 4 0x6620 0x53280d1e @@ -255046,6 +318808,8 @@ 0 0 0 +5 +1 3 0xc7c2 0x9ebdb62b @@ -255054,6 +318818,8 @@ 0 0 0 +5 +5 1 0x46b1 0x980fb048 @@ -255062,6 +318828,8 @@ 1 0 0 +0 +0 4 0xf0c3 0x65cfa310 @@ -255070,6 +318838,8 @@ 0 0 0 +4 +3 3 0x40ad 0xcd1770e2 @@ -255078,6 +318848,8 @@ 1 1 0 +5 +4 3 0xdaab 0x9311910c @@ -255086,6 +318858,8 @@ 0 0 0 +4 +3 2 0x4d13 0x196e4e2c @@ -255094,6 +318868,8 @@ 1 0 0 +5 +5 4 0x10a9 0xc1c774d3 @@ -255102,6 +318878,8 @@ 0 0 0 +5 +4 1 0x1c7c 0x8b9de6b @@ -255110,6 +318888,8 @@ 1 0 0 +3 +5 2 0xf331 0xfc262abb @@ -255118,6 +318898,8 @@ 0 0 0 +4 +4 3 0x9824 0x95358009 @@ -255126,6 +318908,8 @@ 1 1 0 +5 +1 3 0x4c31 0xb9fd8e3 @@ -255134,6 +318918,8 @@ 1 1 0 +5 +0 1 0xb996 0x46a558e8 @@ -255142,6 +318928,8 @@ 0 0 0 +1 +1 3 0x5597 0xc4042ef2 @@ -255150,6 +318938,8 @@ 0 0 0 +3 +1 2 0x274f 0xc5ad46c9 @@ -255158,6 +318948,8 @@ 0 0 0 +0 +0 1 0x1711 0x790af7c2 @@ -255166,6 +318958,8 @@ 0 0 0 +3 +1 1 0x5f0e 0x3d4758ce @@ -255174,6 +318968,8 @@ 1 1 0 +0 +5 1 0x925f 0xbb8689c1 @@ -255182,6 +318978,8 @@ 0 0 0 +1 +0 3 0xfddb 0xcbb19390 @@ -255190,6 +318988,8 @@ 0 0 0 +2 +2 4 0xa9be 0x6b1d5db9 @@ -255198,6 +318998,8 @@ 0 0 0 +5 +1 4 0x7780 0xa82d5cd3 @@ -255206,6 +319008,8 @@ 0 0 0 +1 +2 4 0x83e2 0x2ebe6d1a @@ -255214,6 +319018,8 @@ 1 1 0 +0 +0 2 0x3724 0x636425d3 @@ -255222,6 +319028,8 @@ 0 0 0 +3 +2 1 0x19db 0x75b80464 @@ -255231,6 +319039,8 @@ 0 0 1 +1 +1 0x7a3 0xf09bcf13 256 @@ -255238,6 +319048,8 @@ 1 1 0 +2 +3 3 0xbbc9 0xbd7dac4d @@ -255246,6 +319058,8 @@ 0 0 0 +5 +0 1 0x5c53 0x5b255f8c @@ -255255,6 +319069,8 @@ 1 0 2 +5 +2 0xdb5c 0x944c7eb2 256 @@ -255262,6 +319078,8 @@ 1 1 0 +3 +3 4 0x18ad 0xd68a4ba2 @@ -255271,6 +319089,8 @@ 1 0 1 +4 +1 0x6c0e 0x5c6a9b07 256 @@ -255278,6 +319098,8 @@ 1 0 0 +2 +4 4 0x8872 0x9aaf197 @@ -255286,6 +319108,8 @@ 0 0 0 +1 +3 2 0x58be 0x9b905bdd @@ -255295,13 +319119,17 @@ 1 0 3 -0x7167 +4 +3 +0x7167 0x29c6e888 256 256 0 0 0 +1 +5 4 0x40c 0xc759efd8 @@ -255310,6 +319138,8 @@ 0 0 0 +2 +3 4 0x5aad 0x7b3de2f8 @@ -255319,6 +319149,8 @@ 0 0 3 +1 +3 0x722c 0xad9f5f78 256 @@ -255327,6 +319159,8 @@ 0 0 1 +0 +1 0x9bbd 0x694de8b0 256 @@ -255334,6 +319168,8 @@ 1 1 0 +5 +0 1 0x4284 0xd9cb2803 @@ -255342,6 +319178,8 @@ 0 0 0 +2 +1 4 0xd1fe 0xad9f8128 @@ -255350,6 +319188,8 @@ 1 1 0 +4 +2 1 0x2757 0xa11e0a41 @@ -255358,6 +319198,8 @@ 1 0 0 +4 +1 1 0x6d26 0x5fbe609a @@ -255366,6 +319208,8 @@ 0 0 0 +5 +5 4 0xf776 0x54168e9a @@ -255374,6 +319218,8 @@ 0 0 0 +0 +1 2 0xda5d 0x34d8fe2e @@ -255383,6 +319229,8 @@ 0 0 2 +3 +2 0xfbcf 0x884ede99 256 @@ -255390,6 +319238,8 @@ 1 0 0 +5 +2 4 0x96f 0xd8dfed69 @@ -255398,6 +319248,8 @@ 0 0 0 +1 +2 3 0xcce0 0xc19fb063 @@ -255406,6 +319258,8 @@ 0 0 0 +5 +5 1 0xabcd 0x81f585fb @@ -255414,6 +319268,8 @@ 1 1 0 +2 +5 3 0x2241 0xeb1c3a8f @@ -255422,6 +319278,8 @@ 1 1 0 +5 +4 3 0x9876 0x370ca99d @@ -255430,6 +319288,8 @@ 0 0 0 +5 +4 4 0xcc53 0x4b254406 @@ -255438,6 +319298,8 @@ 0 0 0 +4 +2 3 0xb6b1 0xc13b7610 @@ -255446,6 +319308,8 @@ 0 0 0 +0 +1 2 0x7582 0x3b66db84 @@ -255454,6 +319318,8 @@ 0 0 0 +3 +0 1 0x2db 0x9a4460d5 @@ -255462,6 +319328,8 @@ 1 1 0 +3 +3 4 0x7c50 0x8e55abc9 @@ -255470,6 +319338,8 @@ 1 1 0 +1 +1 2 0xcd88 0x4330a433 @@ -255478,6 +319348,8 @@ 1 0 0 +4 +5 3 0x51ba 0x4f677b98 @@ -255486,6 +319358,8 @@ 1 0 0 +5 +2 3 0x404a 0x5711c76c @@ -255494,6 +319368,8 @@ 1 0 0 +5 +1 3 0xe3a1 0x254c809 @@ -255502,6 +319378,8 @@ 1 0 0 +1 +1 2 0x5316 0x81030275 @@ -255510,6 +319388,8 @@ 0 0 0 +0 +1 2 0x3afa 0x3caeebc8 @@ -255518,6 +319398,8 @@ 0 0 0 +3 +5 2 0x7d3e 0xac2469e8 @@ -255526,6 +319408,8 @@ 1 0 0 +0 +2 3 0x9ad 0xd6b60537 @@ -255534,6 +319418,8 @@ 0 0 0 +4 +4 3 0xa573 0x245e43bb @@ -255542,6 +319428,8 @@ 0 0 0 +0 +0 2 0xd6d9 0x3bd9916d @@ -255550,6 +319438,8 @@ 0 0 0 +5 +3 1 0x3e49 0xb194ff26 @@ -255558,6 +319448,8 @@ 1 1 0 +0 +3 1 0x67eb 0x5fb714bb @@ -255567,6 +319459,8 @@ 0 0 4 +1 +4 0xad11 0x5ca38922 256 @@ -255574,6 +319468,8 @@ 0 0 0 +0 +1 2 0xc7ed 0x7785f63b @@ -255582,6 +319478,8 @@ 0 0 0 +2 +3 4 0x9298 0xfa541589 @@ -255590,6 +319488,8 @@ 1 0 0 +1 +0 2 0xf995 0xd26f82b4 @@ -255598,6 +319498,8 @@ 1 1 0 +3 +3 1 0xea41 0xff5e0537 @@ -255606,6 +319508,8 @@ 0 0 0 +1 +3 2 0xeb02 0xc537bd29 @@ -255615,6 +319519,8 @@ 0 0 1 +3 +1 0x6526 0x5fda544a 256 @@ -255622,6 +319528,8 @@ 1 0 0 +5 +3 3 0xf07 0xacf3dd94 @@ -255630,6 +319538,8 @@ 0 0 0 +0 +3 4 0x3b31 0x2d360b21 @@ -255638,6 +319548,8 @@ 0 0 0 +1 +0 2 0x80d9 0xb36a3f12 @@ -255646,6 +319558,8 @@ 1 0 0 +4 +0 1 0xaa 0xd4d246ad @@ -255654,6 +319568,8 @@ 0 0 0 +5 +5 3 0xa86c 0xb8aac62b @@ -255662,6 +319578,8 @@ 0 0 0 +0 +5 1 0x2c21 0x68cae00c @@ -255670,6 +319588,8 @@ 0 0 0 +5 +3 3 0x945f 0x13121d6c @@ -255678,6 +319598,8 @@ 0 0 0 +2 +0 3 0xd1e9 0xf87517c6 @@ -255686,6 +319608,8 @@ 1 0 0 +3 +5 1 0xbfa5 0x1ee5df44 @@ -255694,6 +319618,8 @@ 1 1 0 +5 +3 2 0xafb9 0xb6d853c0 @@ -255703,6 +319629,8 @@ 1 0 3 +3 +3 0x470f 0x957d48fb 256 @@ -255710,6 +319638,8 @@ 0 0 0 +5 +1 3 0x932a 0x1e6b4ace @@ -255719,6 +319649,8 @@ 1 0 2 +0 +2 0xe82b 0x8d3f0564 256 @@ -255726,6 +319658,8 @@ 1 0 0 +5 +1 4 0xb2bb 0x3b8e9b5a @@ -255735,6 +319669,8 @@ 0 0 2 +1 +2 0xa5f7 0x15c5191e 256 @@ -255742,6 +319678,8 @@ 0 0 0 +5 +1 4 0xfcf8 0x5000a4ca @@ -255750,6 +319688,8 @@ 0 0 0 +4 +4 1 0xd372 0x85321d96 @@ -255759,6 +319699,8 @@ 0 0 1 +3 +1 0xad6 0x88722402 256 @@ -255766,6 +319708,8 @@ 1 1 0 +5 +1 4 0xafb8 0xa7f99cd7 @@ -255774,6 +319718,8 @@ 0 0 0 +2 +1 4 0x30ab 0xcceecad @@ -255782,6 +319728,8 @@ 1 1 0 +3 +4 2 0xfa07 0x77024e42 @@ -255791,6 +319739,8 @@ 1 0 3 +1 +3 0xa913 0x6ec3008e 256 @@ -255799,6 +319749,8 @@ 1 0 2 +1 +2 0xb7b1 0x868db560 256 @@ -255806,6 +319758,8 @@ 1 0 0 +2 +1 1 0x3085 0x935fb1ca @@ -255814,6 +319768,8 @@ 0 0 0 +4 +1 1 0xc047 0xc663decb @@ -255822,6 +319778,8 @@ 1 1 0 +0 +3 2 0xa12d 0xea32eca6 @@ -255830,6 +319788,8 @@ 0 0 0 +4 +0 1 0xfd1d 0x55777f97 @@ -255838,6 +319798,8 @@ 1 1 0 +4 +0 3 0x8e22 0xf63c442 @@ -255846,6 +319808,8 @@ 1 0 0 +5 +0 1 0x582 0x9b9d8d5f @@ -255854,6 +319818,8 @@ 1 0 0 +0 +3 1 0x371c 0x2c3767d @@ -255862,6 +319828,8 @@ 1 1 0 +5 +4 1 0xff48 0xa440ad45 @@ -255870,6 +319838,8 @@ 1 0 0 +0 +0 1 0xb41 0x43599afe @@ -255878,6 +319848,8 @@ 0 0 0 +4 +0 2 0x8a31 0xf6fc053 @@ -255886,6 +319858,8 @@ 0 0 0 +1 +4 2 0x565a 0x85528bfb @@ -255894,6 +319868,8 @@ 0 0 0 +2 +1 3 0xe44 0xd2a2bca6 @@ -255902,6 +319878,8 @@ 1 0 0 +2 +1 1 0xacf3 0xec4d3eb5 @@ -255910,6 +319888,8 @@ 0 0 0 +1 +2 4 0x212a 0x30536d44 @@ -255919,6 +319899,8 @@ 0 0 2 +4 +2 0x60b 0x94d898f1 256 @@ -255926,6 +319908,8 @@ 0 0 0 +5 +0 1 0xe46e 0x20b47699 @@ -255934,6 +319918,8 @@ 0 0 0 +4 +3 2 0x333b 0x5f2dbbe3 @@ -255943,6 +319929,8 @@ 1 0 3 +2 +3 0x4c47 0xe4638ba3 256 @@ -255950,6 +319938,8 @@ 1 1 0 +4 +3 2 0x22a5 0x957c058c @@ -255959,6 +319949,8 @@ 0 0 3 +4 +3 0xcf46 0x3997a911 256 @@ -255966,6 +319958,8 @@ 1 1 0 +1 +0 3 0xaf69 0xb016c947 @@ -255974,6 +319968,8 @@ 1 1 0 +0 +0 3 0xc5be 0x1da201b9 @@ -255982,6 +319978,8 @@ 1 1 0 +5 +1 1 0xd583 0x86c18dc3 @@ -255990,6 +319988,8 @@ 1 0 0 +2 +1 1 0x2634 0xd5ebb30b @@ -255999,6 +319999,8 @@ 0 0 3 +5 +3 0x7af3 0x886527fc 256 @@ -256006,6 +320008,8 @@ 1 0 0 +0 +4 3 0xa2ad 0xab2157b1 @@ -256015,6 +320019,8 @@ 0 0 3 +0 +3 0xf05b 0x3c7994e0 256 @@ -256022,6 +320028,8 @@ 0 0 0 +5 +5 4 0xfdde 0x154047e5 @@ -256030,6 +320038,8 @@ 1 0 0 +2 +3 3 0x8663 0xb4e6100a @@ -256039,6 +320049,8 @@ 0 0 2 +5 +2 0x6f14 0x6291c817 256 @@ -256046,6 +320058,8 @@ 1 0 0 +1 +2 4 0x307f 0x3f2ccb96 @@ -256054,6 +320068,8 @@ 1 0 0 +1 +5 2 0xd2ed 0xb073ad19 @@ -256062,6 +320078,8 @@ 1 0 0 +0 +3 1 0xe8c3 0x5822b5b0 @@ -256070,6 +320088,8 @@ 1 1 0 +4 +0 1 0x4ff1 0xadd03334 @@ -256078,6 +320098,8 @@ 0 0 0 +5 +5 2 0xe9af 0xa63768c4 @@ -256086,6 +320108,8 @@ 1 0 0 +2 +3 4 0xacc1 0xc3f3a246 @@ -256095,6 +320119,8 @@ 1 0 3 +5 +3 0x4c0a 0xd10e8d2e 256 @@ -256102,6 +320128,8 @@ 1 0 0 +5 +2 1 0xe92f 0x5002fbf6 @@ -256110,6 +320138,8 @@ 1 1 0 +0 +5 3 0x7d2b 0x5bb52622 @@ -256118,6 +320148,8 @@ 1 0 0 +5 +0 2 0x50ab 0x63dc3ec @@ -256126,6 +320158,8 @@ 1 0 0 +4 +1 3 0x8c85 0xba6c272 @@ -256134,6 +320168,8 @@ 0 0 0 +1 +4 4 0xf1d 0x1020f990 @@ -256142,6 +320178,8 @@ 0 0 0 +5 +1 4 0x5b3a 0xd4f482aa @@ -256150,6 +320188,8 @@ 1 0 0 +3 +3 2 0xec53 0x300c3cdd @@ -256158,6 +320198,8 @@ 0 0 0 +1 +3 4 0xccbb 0xd82b3268 @@ -256167,6 +320209,8 @@ 0 0 4 +0 +4 0xf40f 0x1b98098 256 @@ -256174,6 +320218,8 @@ 0 0 0 +0 +4 2 0x285e 0xb7538dcb @@ -256182,6 +320228,8 @@ 0 0 0 +5 +4 2 0xd708 0xcf430127 @@ -256191,6 +320239,8 @@ 0 0 2 +4 +2 0xcdc5 0xb92b763f 256 @@ -256198,6 +320248,8 @@ 0 0 0 +0 +4 3 0x7cf7 0x7188f4d2 @@ -256206,6 +320258,8 @@ 0 0 0 +0 +0 3 0xef6c 0x6e3e6450 @@ -256214,6 +320268,8 @@ 1 1 0 +0 +2 3 0x626d 0xb432b1f9 @@ -256222,6 +320278,8 @@ 1 1 0 +5 +4 1 0xda59 0x56cccb9c @@ -256231,6 +320289,8 @@ 1 0 2 +0 +2 0x98eb 0xd532a88e 256 @@ -256238,6 +320298,8 @@ 1 0 0 +3 +2 4 0x15b2 0xb9803b5e @@ -256246,6 +320308,8 @@ 0 0 0 +0 +0 2 0x872a 0xcfec8c9 @@ -256254,6 +320318,8 @@ 1 1 0 +0 +5 2 0xff5b 0x61873aff @@ -256262,6 +320328,8 @@ 0 0 0 +5 +4 1 0x51b5 0xb7aeb641 @@ -256270,6 +320338,8 @@ 0 0 0 +2 +5 3 0xc9af 0x27deb497 @@ -256278,6 +320348,8 @@ 1 1 0 +0 +5 4 0xa1fb 0xcbb2a4e2 @@ -256286,6 +320358,8 @@ 0 0 0 +4 +4 3 0x952e 0x702f1c6c @@ -256294,6 +320368,8 @@ 1 0 0 +3 +3 2 0xe0c9 0xded58f38 @@ -256302,6 +320378,8 @@ 0 0 0 +1 +0 3 0x3c74 0xeeca77a9 @@ -256310,6 +320388,8 @@ 0 0 0 +0 +5 2 0x8996 0x6661b4e1 @@ -256319,6 +320399,8 @@ 1 0 2 +1 +2 0xa1df 0x9f530fdb 256 @@ -256327,6 +320409,8 @@ 0 0 4 +4 +4 0x412f 0x7d0eb099 256 @@ -256334,6 +320418,8 @@ 1 0 0 +1 +2 3 0x39fe 0x866f345b @@ -256343,6 +320429,8 @@ 0 0 1 +2 +1 0x1387 0xce9d19b2 256 @@ -256350,6 +320438,8 @@ 1 0 0 +3 +4 4 0x5f64 0x8beb5aeb @@ -256359,6 +320449,8 @@ 1 0 2 +1 +2 0x18eb 0xf2c78d79 256 @@ -256366,6 +320458,8 @@ 0 0 0 +3 +4 4 0x3e5c 0xb2269966 @@ -256375,6 +320469,8 @@ 0 0 4 +0 +4 0x4391 0xb222b3b1 256 @@ -256382,6 +320478,8 @@ 1 0 0 +3 +4 2 0x9ae5 0x22683757 @@ -256390,6 +320488,8 @@ 0 0 0 +5 +5 4 0x2e9a 0x4230471a @@ -256398,6 +320498,8 @@ 1 0 0 +0 +5 2 0xc724 0xf4c9a15f @@ -256406,6 +320508,8 @@ 0 0 0 +0 +3 2 0xf3c8 0x267215e9 @@ -256414,6 +320518,8 @@ 1 0 0 +2 +1 3 0x9099 0xf0c1aab8 @@ -256422,6 +320528,8 @@ 0 0 0 +0 +4 4 0xc5de 0x522af16c @@ -256430,6 +320538,8 @@ 1 1 0 +0 +1 1 0x9e5 0xce305d29 @@ -256438,6 +320548,8 @@ 1 0 0 +4 +4 2 0x60de 0xb3c6767d @@ -256446,6 +320558,8 @@ 1 0 0 +4 +5 3 0x4e62 0x712bb2ef @@ -256454,6 +320568,8 @@ 0 0 0 +2 +5 3 0x1906 0x7eadfb24 @@ -256462,6 +320578,8 @@ 0 0 0 +1 +3 2 0x2271 0x4c35faa0 @@ -256470,6 +320588,8 @@ 1 1 0 +0 +0 3 0x6e4 0xfe4ab991 @@ -256478,6 +320598,8 @@ 0 0 0 +2 +4 1 0xa970 0xb123d52d @@ -256486,6 +320608,8 @@ 0 0 0 +2 +1 3 0xfd32 0xc19a6944 @@ -256494,6 +320618,8 @@ 0 0 0 +1 +5 3 0x1c3a 0xaca3befc @@ -256502,6 +320628,8 @@ 0 0 0 +3 +2 1 0xac6f 0x497cf7c @@ -256510,6 +320638,8 @@ 0 0 0 +5 +0 3 0xc615 0xce6cd1ef @@ -256518,6 +320648,8 @@ 0 0 0 +0 +3 3 0xb9df 0xa7f9c855 @@ -256526,6 +320658,8 @@ 1 1 0 +4 +2 2 0xc8e2 0x95f3604e @@ -256534,6 +320668,8 @@ 0 0 0 +3 +1 2 0x7ba9 0xf7a2dbb @@ -256542,6 +320678,8 @@ 1 1 0 +4 +5 3 0xc311 0x10c2e198 @@ -256550,6 +320688,8 @@ 0 0 0 +5 +5 1 0x8bf3 0xb0616fea @@ -256558,6 +320698,8 @@ 0 0 0 +2 +0 1 0xb002 0xd6c35103 @@ -256566,6 +320708,8 @@ 0 0 0 +0 +0 3 0x7880 0x28753dc0 @@ -256574,6 +320718,8 @@ 0 0 0 +3 +2 1 0xfae 0xbf7928bd @@ -256582,6 +320728,8 @@ 0 0 0 +3 +4 4 0x1019 0xd9595ab1 @@ -256591,6 +320739,8 @@ 0 0 2 +3 +2 0x8f10 0xb54e0c45 256 @@ -256599,6 +320749,8 @@ 0 0 4 +2 +4 0x869b 0xc4c83de9 256 @@ -256606,6 +320758,8 @@ 0 0 0 +5 +1 1 0x866b 0x905856b3 @@ -256614,6 +320768,8 @@ 1 1 0 +4 +0 2 0xa04f 0x9e6ef3bc @@ -256622,6 +320778,8 @@ 0 0 0 +0 +0 3 0x68c0 0xde1886d1 @@ -256630,6 +320788,8 @@ 0 0 0 +4 +0 1 0xaa5f 0xcd780fdc @@ -256638,6 +320798,8 @@ 0 0 0 +1 +3 2 0xea34 0xf04be635 @@ -256646,6 +320808,8 @@ 0 0 0 +5 +1 2 0x11f2 0xe2e138bb @@ -256655,6 +320819,8 @@ 0 0 1 +3 +1 0xb555 0x66963933 256 @@ -256662,6 +320828,8 @@ 1 1 0 +0 +4 2 0xb162 0xcfbcebad @@ -256670,6 +320838,8 @@ 0 0 0 +3 +1 1 0xc4b2 0xc8782b95 @@ -256678,6 +320848,8 @@ 0 0 0 +2 +4 1 0x6343 0xd15f420d @@ -256686,6 +320858,8 @@ 1 0 0 +2 +1 1 0x5819 0x8b13cb00 @@ -256694,6 +320868,8 @@ 0 0 0 +2 +4 3 0x9787 0x6f39d829 @@ -256702,6 +320878,8 @@ 0 0 0 +4 +4 2 0xfa54 0x7ffff5b4 @@ -256710,6 +320888,8 @@ 1 0 0 +0 +2 2 0x9142 0x94a92ea7 @@ -256718,6 +320898,8 @@ 0 0 0 +1 +2 3 0x9f07 0xca752963 @@ -256726,6 +320908,8 @@ 0 0 0 +3 +2 4 0xc8bb 0xc63ff68d @@ -256734,6 +320918,8 @@ 0 0 0 +5 +5 4 0x4cb 0xee47888a @@ -256742,6 +320928,8 @@ 1 1 0 +5 +0 4 0xddc 0xf64e2189 @@ -256750,6 +320938,8 @@ 0 0 0 +5 +0 1 0x6c03 0x1192cca4 @@ -256758,6 +320948,8 @@ 0 0 0 +2 +4 4 0x988 0xefb0245d @@ -256766,6 +320958,8 @@ 1 1 0 +3 +3 4 0x47b1 0xf6d45439 @@ -256775,6 +320969,8 @@ 0 0 2 +4 +2 0x9dc2 0x4fbc7017 256 @@ -256782,6 +320978,8 @@ 1 1 0 +0 +1 1 0x884d 0xe0698cad @@ -256790,6 +320988,8 @@ 1 1 0 +4 +0 1 0x2f73 0x33056dfa @@ -256798,6 +320998,8 @@ 0 0 0 +3 +2 2 0xdb69 0x65219c1d @@ -256806,6 +321008,8 @@ 1 0 0 +3 +1 4 0x8cee 0x1a05a82 @@ -256814,6 +321018,8 @@ 0 0 0 +1 +4 2 0x1935 0xc61ea830 @@ -256822,6 +321028,8 @@ 0 0 0 +1 +0 2 0x9fe9 0x4ec5fd7a @@ -256830,6 +321038,8 @@ 1 0 0 +5 +0 3 0x7a0 0xe902012c @@ -256838,6 +321048,8 @@ 0 0 0 +5 +2 4 0x5c12 0x85c4556b @@ -256846,6 +321058,8 @@ 1 0 0 +5 +0 1 0xd26d 0x17eac6ff @@ -256855,6 +321069,8 @@ 0 0 1 +4 +1 0xcec4 0x52654525 256 @@ -256862,6 +321078,8 @@ 0 0 0 +3 +2 2 0x1868 0x67d37e8f @@ -256870,6 +321088,8 @@ 0 0 0 +5 +1 4 0x1d0f 0xc9bb2938 @@ -256879,6 +321099,8 @@ 0 0 2 +0 +2 0xa865 0x4e315c4a 256 @@ -256886,6 +321108,8 @@ 1 0 0 +2 +3 4 0x372d 0xc8845873 @@ -256894,6 +321118,8 @@ 0 0 0 +1 +2 2 0xb91c 0xda900f4 @@ -256903,6 +321129,8 @@ 1 0 4 +2 +4 0x1fd 0x1c715854 256 @@ -256910,6 +321138,8 @@ 1 1 0 +0 +2 4 0x12d0 0xbb882187 @@ -256918,6 +321148,8 @@ 1 0 0 +4 +0 2 0x5405 0x657cbd1b @@ -256926,6 +321158,8 @@ 0 0 0 +2 +5 1 0x7906 0xa5f5851c @@ -256934,6 +321168,8 @@ 1 1 0 +4 +1 2 0xbf03 0xe54e421f @@ -256942,6 +321178,8 @@ 1 1 0 +5 +2 4 0x4b92 0xaf6fac5d @@ -256950,6 +321188,8 @@ 1 1 0 +5 +1 4 0x57b4 0xdddf258c @@ -256958,6 +321198,8 @@ 0 0 0 +0 +1 4 0xbac9 0x93097d39 @@ -256966,6 +321208,8 @@ 1 1 0 +5 +5 2 0xcb 0x530f6b9f @@ -256974,6 +321218,8 @@ 0 0 0 +2 +3 3 0xd693 0xc85507ad @@ -256983,6 +321229,8 @@ 1 0 3 +2 +3 0xa8fd 0xf88d7e77 256 @@ -256990,6 +321238,8 @@ 0 0 0 +2 +4 3 0xf947 0x376385c @@ -256999,6 +321249,8 @@ 0 0 2 +2 +2 0x2f46 0x9b5af021 256 @@ -257006,6 +321258,8 @@ 0 0 0 +3 +1 2 0x119c 0x826e2638 @@ -257014,6 +321268,8 @@ 1 0 0 +2 +2 3 0xd5ca 0xfe7b0aee @@ -257022,6 +321278,8 @@ 0 0 0 +4 +2 2 0x9556 0x663c63f7 @@ -257030,6 +321288,8 @@ 0 0 0 +3 +3 2 0x898e 0x2c503401 @@ -257038,6 +321298,8 @@ 0 0 0 +0 +1 3 0xf720 0x8f315e5e @@ -257047,6 +321309,8 @@ 0 0 1 +0 +1 0x5de5 0x13dba014 256 @@ -257054,6 +321318,8 @@ 1 0 0 +2 +1 3 0xba1d 0xdd2f03fa @@ -257062,6 +321328,8 @@ 1 1 0 +2 +4 4 0xf70 0xb501fb73 @@ -257070,6 +321338,8 @@ 1 1 0 +0 +1 2 0x96f4 0xf8eb0ab8 @@ -257078,6 +321348,8 @@ 1 1 0 +2 +4 3 0x9492 0x74cf9818 @@ -257086,6 +321358,8 @@ 1 1 0 +5 +5 1 0x5ced 0xefe534f8 @@ -257095,6 +321369,8 @@ 0 0 2 +0 +2 0xc0f5 0x981a711a 256 @@ -257102,6 +321378,8 @@ 0 0 0 +4 +3 2 0xc6a9 0x88a56082 @@ -257110,6 +321388,8 @@ 1 1 0 +3 +0 4 0x4daf 0xbf79e3ba @@ -257118,6 +321398,8 @@ 1 1 0 +0 +2 2 0x4afb 0x25f28e1a @@ -257126,6 +321408,8 @@ 0 0 0 +5 +0 2 0x3585 0x196ba95d @@ -257134,6 +321418,8 @@ 0 0 0 +1 +0 4 0xb7e7 0x30aa5e02 @@ -257143,6 +321429,8 @@ 1 0 4 +3 +4 0x8e4f 0x7507d5bd 256 @@ -257150,6 +321438,8 @@ 1 0 0 +3 +1 1 0x152 0x383038df @@ -257158,6 +321448,8 @@ 0 0 0 +4 +5 1 0xf5ca 0x3c05e7b @@ -257166,6 +321458,8 @@ 0 0 0 +4 +4 2 0xb346 0xa14aef21 @@ -257174,6 +321468,8 @@ 0 0 0 +1 +3 4 0x8e3e 0xee2cc762 @@ -257182,6 +321478,8 @@ 0 0 0 +0 +4 4 0xb7e 0xa88fef3 @@ -257190,6 +321488,8 @@ 0 0 0 +5 +3 4 0xadd4 0xe17236d4 @@ -257198,6 +321498,8 @@ 0 0 0 +0 +3 3 0xa4c3 0x8ddefaf @@ -257206,6 +321508,8 @@ 1 0 0 +1 +4 3 0x7a4b 0x7e0eb4df @@ -257214,6 +321518,8 @@ 0 0 0 +0 +3 1 0xf628 0xbb980276 @@ -257222,6 +321528,8 @@ 0 0 0 +0 +4 4 0x480a 0x81cac01b @@ -257230,6 +321538,8 @@ 1 0 0 +0 +2 3 0x5830 0xd791ff9 @@ -257239,6 +321549,8 @@ 0 0 3 +3 +3 0xc382 0x61bbf4ea 256 @@ -257246,6 +321558,8 @@ 1 0 0 +3 +4 1 0x5351 0xb2bef74e @@ -257254,6 +321568,8 @@ 0 0 0 +5 +3 3 0xac17 0x68ea32db @@ -257262,6 +321578,8 @@ 0 0 0 +1 +2 3 0xe348 0x3c3b6e1c @@ -257270,6 +321588,8 @@ 0 0 0 +1 +1 3 0x9cb7 0xbafb6e25 @@ -257278,6 +321598,8 @@ 0 0 0 +5 +2 3 0x7517 0xf3dc4ddb @@ -257286,6 +321608,8 @@ 1 1 0 +2 +2 4 0x855e 0x745f2330 @@ -257294,6 +321618,8 @@ 1 1 0 +2 +3 1 0x1e58 0x17d328c0 @@ -257302,6 +321628,8 @@ 0 0 0 +5 +4 4 0x5ddf 0x809180a9 @@ -257310,6 +321638,8 @@ 1 1 0 +2 +2 4 0x9623 0x6941b80e @@ -257318,6 +321648,8 @@ 1 0 0 +0 +3 3 0x27ea 0x81da7e1b @@ -257326,6 +321658,8 @@ 1 1 0 +5 +4 3 0xab2f 0x32f8624d @@ -257335,6 +321669,8 @@ 0 0 4 +5 +4 0x2a47 0x2f16f986 256 @@ -257342,6 +321678,8 @@ 1 0 0 +4 +4 3 0x29e6 0x3213bea3 @@ -257350,6 +321688,8 @@ 1 0 0 +1 +2 4 0xae75 0x9cd7fe3 @@ -257358,6 +321698,8 @@ 0 0 0 +0 +4 4 0xb9f9 0xed8a6bae @@ -257367,6 +321709,8 @@ 1 0 2 +1 +2 0x31ef 0xc97444ae 256 @@ -257374,6 +321718,8 @@ 0 0 0 +3 +5 1 0xc985 0x90a9b132 @@ -257382,6 +321728,8 @@ 0 0 0 +3 +4 4 0x7907 0xff92584c @@ -257390,6 +321738,8 @@ 1 1 0 +2 +4 4 0x4255 0xc1e7173c @@ -257398,6 +321748,8 @@ 1 1 0 +5 +0 1 0x67f8 0x54f674de @@ -257406,6 +321758,8 @@ 0 0 0 +2 +0 4 0x6deb 0x97ece82b @@ -257414,6 +321768,8 @@ 1 0 0 +0 +5 4 0x7e95 0xc6bec56c @@ -257422,6 +321778,8 @@ 0 0 0 +0 +0 2 0x6070 0xc9c2464c @@ -257431,6 +321789,8 @@ 0 0 2 +0 +2 0x8e0a 0x3ed36916 256 @@ -257438,6 +321798,8 @@ 0 0 0 +3 +3 1 0x10c0 0xdcb94ae7 @@ -257446,6 +321808,8 @@ 1 1 0 +0 +4 4 0x4716 0xa2fef6e @@ -257455,6 +321819,8 @@ 0 0 1 +4 +1 0x555 0xc100ad96 256 @@ -257462,6 +321828,8 @@ 1 0 0 +5 +4 2 0xfa8b 0x4a769103 @@ -257470,6 +321838,8 @@ 0 0 0 +5 +1 1 0x6337 0x5e6150a8 @@ -257479,6 +321849,8 @@ 0 0 4 +2 +4 0xfb75 0xc708bddb 256 @@ -257486,6 +321858,8 @@ 1 0 0 +5 +1 4 0x2c95 0xed997893 @@ -257494,6 +321868,8 @@ 1 0 0 +4 +4 3 0xe7c3 0x7e172a1c @@ -257502,6 +321878,8 @@ 1 0 0 +0 +5 4 0x6c03 0x25f183f6 @@ -257510,6 +321888,8 @@ 1 1 0 +5 +3 1 0xcb1f 0xfa440cb0 @@ -257518,6 +321898,8 @@ 0 0 0 +2 +5 4 0x9327 0xe9dd7109 @@ -257526,6 +321908,8 @@ 0 0 0 +1 +0 3 0x5373 0x809c6dca @@ -257534,6 +321918,8 @@ 0 0 0 +0 +0 1 0xfd87 0xe1f9ee2d @@ -257542,6 +321928,8 @@ 0 0 0 +2 +0 3 0x9a0a 0x80cfc0f1 @@ -257550,6 +321938,8 @@ 1 0 0 +1 +4 3 0x3fdb 0xe78dba7b @@ -257559,6 +321949,8 @@ 0 0 4 +3 +4 0x9173 0xa0b21ac1 256 @@ -257566,6 +321958,8 @@ 0 0 0 +2 +1 3 0x9038 0x10e46398 @@ -257575,6 +321969,8 @@ 0 0 3 +5 +3 0xb5a2 0xc860d1be 256 @@ -257582,6 +321978,8 @@ 1 0 0 +2 +0 4 0x965e 0x458105cb @@ -257591,6 +321989,8 @@ 0 0 3 +3 +3 0x12d5 0xc2e108b5 256 @@ -257598,6 +321998,8 @@ 1 0 0 +1 +1 4 0x23df 0x2f3ee4a7 @@ -257606,6 +322008,8 @@ 0 0 0 +2 +4 4 0x543c 0x7dddcc0b @@ -257615,6 +322019,8 @@ 1 0 2 +1 +2 0xfa08 0xb2d528c3 256 @@ -257623,6 +322029,8 @@ 1 0 2 +2 +2 0x258e 0x93622579 256 @@ -257630,6 +322038,8 @@ 0 0 0 +1 +3 3 0x841b 0x792c0351 @@ -257638,6 +322048,8 @@ 1 1 0 +5 +4 3 0xf8dc 0xa9bc9734 @@ -257646,6 +322058,8 @@ 0 0 0 +1 +2 4 0x61b0 0xc4b00454 @@ -257655,6 +322069,8 @@ 0 0 4 +5 +4 0x4805 0x63503b57 256 @@ -257662,6 +322078,8 @@ 0 0 0 +2 +3 3 0x2c43 0xb0dfbb0 @@ -257670,6 +322088,8 @@ 1 0 0 +0 +3 4 0x7231 0xf9967e42 @@ -257678,6 +322098,8 @@ 1 1 0 +2 +4 4 0xe9b9 0xc827cebb @@ -257686,6 +322108,8 @@ 0 0 0 +4 +1 3 0xd618 0xa66fba97 @@ -257694,6 +322118,8 @@ 1 1 0 +2 +2 1 0xa1d3 0x1cf19dfb @@ -257702,6 +322128,8 @@ 1 1 0 +4 +0 2 0x2571 0xab1ac260 @@ -257710,6 +322138,8 @@ 1 0 0 +5 +2 2 0x2ceb 0xef5dfa74 @@ -257718,6 +322148,8 @@ 0 0 0 +0 +0 1 0xcfc4 0x468e0d88 @@ -257726,6 +322158,8 @@ 1 1 0 +4 +0 3 0xb461 0x8ca9da45 @@ -257734,6 +322168,8 @@ 0 0 0 +3 +3 2 0xd21d 0x8b5dc7b1 @@ -257742,6 +322178,8 @@ 0 0 0 +1 +5 3 0xc40b 0x5abbb29c @@ -257751,6 +322189,8 @@ 0 0 4 +0 +4 0x666e 0x576fa65c 256 @@ -257758,6 +322198,8 @@ 0 0 0 +2 +4 1 0x4f3 0x68a41d90 @@ -257767,6 +322209,8 @@ 0 0 2 +3 +2 0x47e9 0x28a1485b 256 @@ -257774,6 +322218,8 @@ 0 0 0 +3 +2 2 0x7911 0xc9bd795 @@ -257782,6 +322228,8 @@ 1 1 0 +5 +1 3 0x64e7 0x4503d194 @@ -257790,6 +322238,8 @@ 1 1 0 +2 +5 1 0x4241 0xabd22e0a @@ -257798,6 +322248,8 @@ 0 0 0 +1 +1 4 0xe362 0x714380b3 @@ -257806,6 +322258,8 @@ 1 1 0 +0 +2 3 0xc65d 0x5ba8af72 @@ -257814,6 +322268,8 @@ 1 0 0 +4 +2 1 0xb2cb 0xdc39e97b @@ -257822,6 +322278,8 @@ 1 0 0 +3 +4 2 0xbb9c 0xe02b94ce @@ -257830,6 +322288,8 @@ 1 1 0 +2 +3 1 0x237e 0x5c63c235 @@ -257838,6 +322298,8 @@ 0 0 0 +1 +1 3 0x5081 0x6dddd834 @@ -257847,6 +322309,8 @@ 0 0 4 +0 +4 0x24fe 0x9596db6b 256 @@ -257854,6 +322318,8 @@ 1 0 0 +2 +2 1 0x13d6 0x6231b95e @@ -257862,6 +322328,8 @@ 0 0 0 +0 +5 1 0x9b6d 0x9cf7d8cf @@ -257870,6 +322338,8 @@ 1 1 0 +0 +1 4 0xf1f 0xe864fe7b @@ -257878,6 +322348,8 @@ 0 0 0 +4 +1 3 0x8bac 0xacff2c87 @@ -257886,6 +322358,8 @@ 0 0 0 +3 +0 2 0x2fe 0x113ea53a @@ -257894,6 +322368,8 @@ 1 1 0 +0 +4 3 0x786 0x614fb571 @@ -257902,6 +322378,8 @@ 0 0 0 +1 +3 4 0x2486 0x1434dd54 @@ -257910,6 +322388,8 @@ 0 0 0 +1 +5 3 0x9071 0xa8d196d6 @@ -257918,6 +322398,8 @@ 0 0 0 +3 +4 2 0x87 0xc2e59b9a @@ -257927,6 +322409,8 @@ 0 0 3 +2 +3 0x2e31 0x991f3d1e 256 @@ -257934,6 +322418,8 @@ 0 0 0 +1 +5 2 0x74be 0xadaec3ad @@ -257942,6 +322428,8 @@ 1 0 0 +3 +3 4 0x1a92 0x169e086a @@ -257950,6 +322438,8 @@ 0 0 0 +2 +5 3 0xe72f 0xde64b239 @@ -257958,6 +322448,8 @@ 0 0 0 +0 +3 3 0x92d1 0x73aba18e @@ -257966,6 +322458,8 @@ 1 1 0 +1 +2 3 0x66b9 0x1ed08f95 @@ -257974,6 +322468,8 @@ 1 1 0 +1 +3 3 0xb69b 0x337bb2cc @@ -257982,6 +322478,8 @@ 1 1 0 +1 +4 2 0x888e 0x1898d858 @@ -257990,6 +322488,8 @@ 0 0 0 +2 +0 3 0xbeaa 0xac4b63a0 @@ -257999,6 +322499,8 @@ 0 0 4 +4 +4 0xc794 0x83aad564 256 @@ -258006,6 +322508,8 @@ 1 0 0 +0 +2 1 0xab1b 0xd2a65bac @@ -258014,6 +322518,8 @@ 1 0 0 +4 +4 2 0x6310 0xbece6d56 @@ -258022,6 +322528,8 @@ 1 0 0 +2 +5 3 0xa4d0 0x1185746f @@ -258031,6 +322539,8 @@ 1 0 4 +1 +4 0xe05d 0x9688710f 256 @@ -258038,6 +322548,8 @@ 0 0 0 +1 +4 3 0xb766 0xb271a520 @@ -258046,6 +322558,8 @@ 1 1 0 +2 +2 4 0x3de9 0xb577130b @@ -258054,6 +322568,8 @@ 0 0 0 +5 +5 4 0x7520 0x52d9a01c @@ -258063,6 +322579,8 @@ 0 0 2 +5 +2 0x9b6 0xa5deddc3 256 @@ -258070,6 +322588,8 @@ 0 0 0 +2 +2 4 0x48a3 0x4ce46880 @@ -258078,6 +322598,8 @@ 0 0 0 +1 +3 2 0xe03a 0xce5f5009 @@ -258086,6 +322608,8 @@ 1 0 0 +1 +0 2 0xa41b 0xd3f14e83 @@ -258094,6 +322618,8 @@ 1 1 0 +2 +3 4 0x6a62 0x74ebdd69 @@ -258102,6 +322628,8 @@ 1 0 0 +3 +1 2 0xc317 0xb184b055 @@ -258110,6 +322638,8 @@ 0 0 0 +4 +1 1 0x5c13 0xe9c8da8c @@ -258118,6 +322648,8 @@ 0 0 0 +4 +1 2 0x5bbc 0xce16124c @@ -258126,6 +322658,8 @@ 0 0 0 +0 +5 2 0x2648 0xb735bbd6 @@ -258134,6 +322668,8 @@ 1 0 0 +2 +5 3 0x1479 0x711734b4 @@ -258142,6 +322678,8 @@ 1 0 0 +5 +5 2 0x318a 0xdb083db1 @@ -258150,6 +322688,8 @@ 1 1 0 +2 +0 4 0x5a90 0x2c471fc9 @@ -258158,6 +322698,8 @@ 1 0 0 +4 +4 3 0xf439 0x6cc0eb2f @@ -258166,6 +322708,8 @@ 0 0 0 +5 +4 4 0xce7f 0x1d890d97 @@ -258174,6 +322718,8 @@ 0 0 0 +3 +0 4 0xc060 0xb8fc27a7 @@ -258182,6 +322728,8 @@ 0 0 0 +3 +0 1 0x2152 0x1428eb37 @@ -258190,6 +322738,8 @@ 1 1 0 +4 +3 3 0x6f7d 0x607c1712 @@ -258198,6 +322748,8 @@ 1 0 0 +5 +5 1 0x5758 0xa33545da @@ -258206,6 +322758,8 @@ 0 0 0 +5 +4 3 0xcad4 0xcb3586b2 @@ -258214,6 +322768,8 @@ 1 1 0 +0 +0 1 0xadf3 0x6ca98769 @@ -258223,6 +322779,8 @@ 0 0 3 +2 +3 0x1e3b 0x3d7b1ef9 256 @@ -258230,6 +322788,8 @@ 1 0 0 +2 +1 1 0x797e 0xde2c25d2 @@ -258238,6 +322798,8 @@ 1 1 0 +0 +5 2 0x9f35 0x9e4c679c @@ -258246,6 +322808,8 @@ 0 0 0 +2 +2 1 0xbadb 0x6eaa0f9d @@ -258254,6 +322818,8 @@ 1 0 0 +2 +2 1 0xa391 0xe22896d7 @@ -258262,6 +322828,8 @@ 1 0 0 +4 +4 2 0x6ba7 0x339fbd08 @@ -258270,6 +322838,8 @@ 0 0 0 +4 +1 3 0x9ca2 0xd8ecf204 @@ -258278,6 +322848,8 @@ 1 1 0 +1 +0 3 0xf671 0x759cf017 @@ -258286,6 +322858,8 @@ 1 1 0 +5 +5 3 0xb582 0x1e60cd05 @@ -258294,6 +322868,8 @@ 0 0 0 +1 +1 2 0x1a09 0xc4f699eb @@ -258302,6 +322878,8 @@ 1 0 0 +3 +3 4 0x3d91 0x2721f30b @@ -258311,6 +322889,8 @@ 1 0 2 +0 +2 0x7c15 0xec134c95 256 @@ -258318,6 +322898,8 @@ 0 0 0 +2 +3 1 0x2d1c 0x5f17ba6e @@ -258326,6 +322908,8 @@ 0 0 0 +0 +2 1 0x6274 0x34741fdf @@ -258335,6 +322919,8 @@ 1 0 4 +5 +4 0x9647 0xcb3154e 256 @@ -258342,6 +322928,8 @@ 1 0 0 +0 +2 3 0xa82f 0xa619ace0 @@ -258350,6 +322938,8 @@ 0 0 0 +0 +3 3 0xc1e4 0xc3bb2917 @@ -258358,6 +322948,8 @@ 1 1 0 +0 +3 4 0x1f26 0x63ee4461 @@ -258366,6 +322958,8 @@ 0 0 0 +1 +3 2 0xcf6b 0xe65367ca @@ -258374,6 +322968,8 @@ 1 1 0 +4 +1 2 0x8e2d 0xb2694ca3 @@ -258382,6 +322978,8 @@ 1 1 0 +5 +2 2 0xf3e9 0x6ef08df6 @@ -258390,6 +322988,8 @@ 0 0 0 +1 +4 2 0xe16f 0xd56350d5 @@ -258398,6 +322998,8 @@ 0 0 0 +4 +1 3 0x31e8 0xda546097 @@ -258406,6 +323008,8 @@ 0 0 0 +2 +0 4 0xda2d 0xef98e6cb @@ -258415,6 +323019,8 @@ 1 0 4 +0 +4 0xaabe 0x7ae62988 256 @@ -258423,6 +323029,8 @@ 1 0 4 +4 +4 0x9d31 0xbe20d45e 256 @@ -258431,6 +323039,8 @@ 0 0 2 +5 +2 0x2f57 0x8007801c 256 @@ -258438,6 +323048,8 @@ 0 0 0 +0 +5 3 0x1263 0x76df0a7c @@ -258446,6 +323058,8 @@ 1 0 0 +0 +2 3 0xbdf3 0xb0d20a55 @@ -258454,6 +323068,8 @@ 1 1 0 +5 +3 2 0xc90f 0x2b773115 @@ -258462,6 +323078,8 @@ 0 0 0 +4 +5 1 0x16e 0x55fb9d7e @@ -258470,6 +323088,8 @@ 1 1 0 +5 +0 2 0xc816 0x29019525 @@ -258478,6 +323098,8 @@ 1 1 0 +5 +0 2 0xb18b 0x89868a8c @@ -258486,6 +323108,8 @@ 1 1 0 +1 +0 2 0xebbc 0x7e0e25d2 @@ -258494,6 +323118,8 @@ 1 0 0 +1 +2 2 0x2d5d 0x63b16ec9 @@ -258503,6 +323129,8 @@ 1 0 1 +5 +1 0xb339 0x86b70eab 256 @@ -258511,6 +323139,8 @@ 0 0 2 +2 +2 0x2c43 0x19edbc08 256 @@ -258518,6 +323148,8 @@ 0 0 0 +5 +0 3 0x244c 0x6c1c57ca @@ -258527,6 +323159,8 @@ 0 0 4 +2 +4 0xef47 0x55223b60 256 @@ -258534,6 +323168,8 @@ 1 1 0 +1 +1 3 0x67ea 0xc7072a91 @@ -258543,6 +323179,8 @@ 0 0 3 +1 +3 0xc214 0x3426e41 256 @@ -258550,6 +323188,8 @@ 1 0 0 +2 +1 4 0xf12 0x8eb6740f @@ -258559,6 +323199,8 @@ 0 0 2 +3 +2 0x793c 0xab4c2c65 256 @@ -258567,6 +323209,8 @@ 0 0 2 +4 +2 0x25e9 0x4af83d3f 256 @@ -258574,6 +323218,8 @@ 1 0 0 +5 +0 4 0xdad2 0xd6e4cfa5 @@ -258582,6 +323228,8 @@ 1 0 0 +3 +5 4 0x9ba4 0xa18cce4 @@ -258590,6 +323238,8 @@ 1 1 0 +5 +2 1 0x5dfe 0x9a8eac7d @@ -258598,6 +323248,8 @@ 0 0 0 +3 +1 1 0x7e82 0xf502a70b @@ -258606,6 +323258,8 @@ 1 1 0 +5 +1 4 0x75fd 0xa9c7799d @@ -258615,6 +323269,8 @@ 0 0 2 +1 +2 0x5d20 0x8acc5ef0 256 @@ -258622,6 +323278,8 @@ 0 0 0 +4 +5 3 0x5b10 0x1a7a74fa @@ -258630,6 +323288,8 @@ 1 1 0 +3 +0 4 0xb910 0x87a9b911 @@ -258638,6 +323298,8 @@ 1 0 0 +5 +2 3 0x83a8 0x2eccd23f @@ -258646,6 +323308,8 @@ 0 0 0 +0 +2 4 0xc171 0xa5f9ac5d @@ -258654,6 +323318,8 @@ 0 0 0 +5 +4 4 0xe083 0x30f9440d @@ -258662,6 +323328,8 @@ 0 0 0 +2 +2 3 0x1664 0xd0e99be7 @@ -258670,6 +323338,8 @@ 0 0 0 +2 +2 3 0xfd9c 0x7e3cd8e5 @@ -258679,6 +323349,8 @@ 0 0 4 +3 +4 0xc08f 0x38343844 256 @@ -258686,6 +323358,8 @@ 1 1 0 +2 +5 4 0x7eb9 0xfabbc93e @@ -258695,6 +323369,8 @@ 0 0 2 +5 +2 0x6b96 0x9976437 256 @@ -258703,6 +323379,8 @@ 0 0 2 +0 +2 0x631a 0x6da915cd 256 @@ -258710,6 +323388,8 @@ 1 1 0 +2 +5 4 0x909e 0x4a53e9a0 @@ -258719,6 +323399,8 @@ 0 0 4 +5 +4 0xaf8e 0x4b44e54f 256 @@ -258726,6 +323408,8 @@ 0 0 0 +0 +0 3 0x99cf 0x1ca5489d @@ -258734,6 +323418,8 @@ 1 1 0 +4 +4 2 0x8940 0x91bb0924 @@ -258742,6 +323428,8 @@ 0 0 0 +0 +1 2 0xd1c8 0x3ffb075a @@ -258750,6 +323438,8 @@ 1 1 0 +3 +3 4 0xc2f2 0x2a7eed85 @@ -258758,6 +323448,8 @@ 0 0 0 +4 +2 2 0xda3d 0xde48fe77 @@ -258766,6 +323458,8 @@ 0 0 0 +5 +0 3 0x537 0x75682926 @@ -258774,6 +323468,8 @@ 1 1 0 +5 +1 3 0x1d78 0xf542759d @@ -258782,6 +323478,8 @@ 1 0 0 +5 +4 3 0xbd5d 0xb9aa3b1c @@ -258790,6 +323488,8 @@ 1 0 0 +0 +5 1 0xb40b 0x86d92fc4 @@ -258798,6 +323498,8 @@ 0 0 0 +4 +2 2 0x586a 0xdee1d455 @@ -258806,6 +323508,8 @@ 0 0 0 +5 +3 2 0x2bc7 0x53faaac8 @@ -258814,6 +323518,8 @@ 0 0 0 +4 +4 3 0x6f4a 0xe698db44 @@ -258823,6 +323529,8 @@ 0 0 2 +3 +2 0xd354 0x67685d06 256 @@ -258830,6 +323538,8 @@ 0 0 0 +0 +1 3 0x2735 0xba0a679 @@ -258838,6 +323548,8 @@ 0 0 0 +1 +3 4 0x9eb 0xbbb97f1 @@ -258846,6 +323558,8 @@ 1 0 0 +1 +2 4 0xa94d 0x463ddc2b @@ -258854,6 +323568,8 @@ 1 1 0 +0 +3 3 0xe57f 0x5ffcf701 @@ -258862,6 +323578,8 @@ 1 0 0 +1 +0 2 0x5039 0xa8da06a @@ -258871,6 +323589,8 @@ 0 0 3 +3 +3 0xa605 0xb3100925 256 @@ -258878,6 +323598,8 @@ 0 0 0 +0 +0 2 0x22a5 0x91c51f43 @@ -258886,6 +323608,8 @@ 0 0 0 +0 +1 1 0x41e2 0x14b90aec @@ -258894,6 +323618,8 @@ 0 0 0 +4 +1 1 0x4581 0xbc99563c @@ -258902,6 +323628,8 @@ 1 1 0 +3 +3 4 0x84f8 0xbee0f855 @@ -258910,6 +323638,8 @@ 1 0 0 +2 +2 1 0xff33 0x9e784cd8 @@ -258918,6 +323648,8 @@ 1 1 0 +1 +1 2 0x735e 0x891c8229 @@ -258927,6 +323659,8 @@ 0 0 1 +1 +1 0x7cfd 0x7825ffe5 256 @@ -258934,6 +323668,8 @@ 1 1 0 +3 +5 2 0xa3ca 0xf4575925 @@ -258942,6 +323678,8 @@ 1 1 0 +1 +1 2 0xff8b 0x14517c70 @@ -258951,6 +323689,8 @@ 0 0 1 +4 +1 0x2269 0x8bdd0842 256 @@ -258958,6 +323698,8 @@ 1 1 0 +5 +0 3 0x2616 0x7d91bbd9 @@ -258967,6 +323709,8 @@ 0 0 1 +3 +1 0xad53 0xd48e0e21 256 @@ -258975,6 +323719,8 @@ 0 0 1 +2 +1 0x9115 0xbfa32ca0 256 @@ -258982,6 +323728,8 @@ 1 0 0 +5 +5 4 0x61aa 0xc8609d37 @@ -258990,6 +323738,8 @@ 1 1 0 +3 +1 2 0xac26 0xe19366ff @@ -258998,6 +323748,8 @@ 1 1 0 +1 +1 3 0x21a9 0xa10a6667 @@ -259006,6 +323758,8 @@ 1 0 0 +0 +3 1 0xf1d7 0x6e63f120 @@ -259015,6 +323769,8 @@ 1 0 1 +1 +1 0x93a9 0x4fefc99e 256 @@ -259022,6 +323778,8 @@ 1 1 0 +5 +5 4 0x4927 0xe5639299 @@ -259031,6 +323789,8 @@ 0 0 1 +5 +1 0xd7e7 0xfff35ea1 256 @@ -259038,6 +323798,8 @@ 0 0 0 +2 +5 1 0xa0e3 0x9ac341e9 @@ -259046,6 +323808,8 @@ 0 0 0 +3 +4 1 0x3f81 0x9c96adc8 @@ -259054,6 +323818,8 @@ 1 0 0 +5 +5 3 0xa7de 0xfc33f6c6 @@ -259062,6 +323828,8 @@ 0 0 0 +2 +1 1 0x46e1 0xd1baef14 @@ -259071,6 +323839,8 @@ 0 0 3 +4 +3 0x3325 0x71fa1cf1 256 @@ -259079,6 +323849,8 @@ 0 0 3 +5 +3 0x6aca 0x5882ed62 256 @@ -259087,6 +323859,8 @@ 0 0 2 +5 +2 0x5857 0x428132a0 256 @@ -259094,6 +323868,8 @@ 1 1 0 +3 +1 2 0xd987 0x89d661dc @@ -259102,6 +323878,8 @@ 0 0 0 +0 +5 2 0x5495 0x11c140ea @@ -259110,6 +323888,8 @@ 1 0 0 +4 +3 1 0xe46b 0x1945390b @@ -259118,6 +323898,8 @@ 0 0 0 +0 +1 4 0x33fa 0x56ed1376 @@ -259126,6 +323908,8 @@ 1 1 0 +4 +5 2 0xdaa6 0xd823ebe4 @@ -259134,6 +323918,8 @@ 0 0 0 +0 +4 3 0x44fa 0x62096c6c @@ -259142,6 +323928,8 @@ 1 0 0 +0 +5 4 0x5617 0x99744d3e @@ -259150,6 +323938,8 @@ 0 0 0 +0 +0 2 0x516e 0x30912433 @@ -259158,6 +323948,8 @@ 1 0 0 +5 +1 1 0xe72b 0x846642f4 @@ -259166,6 +323958,8 @@ 1 1 0 +0 +5 4 0x3ea4 0x52c721f5 @@ -259174,6 +323968,8 @@ 0 0 0 +5 +3 4 0xcb58 0x1c765b69 @@ -259182,6 +323978,8 @@ 0 0 0 +4 +2 1 0x4475 0x3e7928e4 @@ -259190,6 +323988,8 @@ 0 0 0 +3 +2 2 0x8205 0xa3d06aa3 @@ -259198,6 +323998,8 @@ 0 0 0 +1 +2 3 0x5e58 0xfea0d9e9 @@ -259206,6 +324008,8 @@ 1 1 0 +5 +4 2 0xc19c 0xffa59bd8 @@ -259214,6 +324018,8 @@ 1 0 0 +4 +5 3 0x2d09 0xbae4c761 @@ -259222,6 +324028,8 @@ 0 0 0 +3 +5 1 0xb75e 0x381f9308 @@ -259231,6 +324039,8 @@ 0 0 4 +3 +4 0xabcd 0x6637342f 256 @@ -259239,6 +324049,8 @@ 0 0 1 +4 +1 0x587c 0xfb70b5f9 256 @@ -259246,6 +324058,8 @@ 1 0 0 +2 +3 3 0x12c6 0x5cc2d896 @@ -259254,6 +324068,8 @@ 0 0 0 +0 +0 1 0x924b 0xcdc75a1c @@ -259262,6 +324078,8 @@ 0 0 0 +3 +5 1 0xce80 0xb8e16223 @@ -259271,6 +324089,8 @@ 0 0 3 +4 +3 0x43c7 0xef15c6b3 256 @@ -259278,6 +324098,8 @@ 1 0 0 +0 +3 3 0x1f34 0xb52e8166 @@ -259287,6 +324109,8 @@ 1 0 2 +5 +2 0x8450 0x6787d588 256 @@ -259295,6 +324119,8 @@ 0 0 1 +5 +1 0x1a24 0xfcefa17d 256 @@ -259302,6 +324128,8 @@ 1 0 0 +5 +4 1 0x3762 0x9321ac0d @@ -259310,6 +324138,8 @@ 0 0 0 +4 +1 3 0x2c3f 0x6b606e33 @@ -259318,6 +324148,8 @@ 1 0 0 +3 +5 1 0x5201 0xc55ba077 @@ -259326,6 +324158,8 @@ 1 0 0 +2 +4 3 0xd7fe 0x5842ce17 @@ -259334,6 +324168,8 @@ 1 0 0 +2 +4 1 0xe3e0 0xe597ea99 @@ -259343,6 +324179,8 @@ 0 0 4 +1 +4 0x48b2 0xed836c0b 256 @@ -259350,6 +324188,8 @@ 1 0 0 +4 +0 1 0xfd42 0x9592a56b @@ -259358,6 +324198,8 @@ 0 0 0 +2 +4 3 0xfc29 0xaf6f5001 @@ -259366,6 +324208,8 @@ 1 0 0 +0 +1 4 0xe276 0x9a6765e8 @@ -259374,6 +324218,8 @@ 0 0 0 +1 +3 4 0x6624 0xfb1f0af6 @@ -259382,6 +324228,8 @@ 0 0 0 +4 +1 1 0xeeb 0x83d99abb @@ -259391,6 +324239,8 @@ 0 0 1 +3 +1 0x401d 0xf4cff51a 256 @@ -259398,6 +324248,8 @@ 1 0 0 +0 +3 2 0x1b92 0x8e5949c @@ -259406,6 +324258,8 @@ 0 0 0 +1 +2 3 0x8714 0x868dfdbb @@ -259414,6 +324268,8 @@ 1 1 0 +0 +4 1 0x23a0 0xc3fa6523 @@ -259423,6 +324279,8 @@ 0 0 3 +0 +3 0xb9bd 0x21f34355 256 @@ -259431,6 +324289,8 @@ 0 0 1 +0 +1 0xd9f3 0x591d6aba 256 @@ -259438,6 +324298,8 @@ 0 0 0 +5 +3 1 0x1ea0 0x50ac5b32 @@ -259446,6 +324308,8 @@ 0 0 0 +0 +1 2 0xb08a 0xd55f1496 @@ -259454,6 +324318,8 @@ 1 1 0 +1 +1 3 0xe10c 0xf6c821dd @@ -259462,6 +324328,8 @@ 0 0 0 +0 +2 3 0xf29c 0xb9419580 @@ -259470,6 +324338,8 @@ 1 0 0 +2 +4 4 0xf0a2 0xa1d56cd9 @@ -259478,6 +324348,8 @@ 1 1 0 +2 +0 4 0x907 0xddd3a24 @@ -259486,6 +324358,8 @@ 0 0 0 +3 +5 4 0x27c 0x169e1998 @@ -259494,6 +324368,8 @@ 0 0 0 +5 +0 2 0x474f 0xa69f5aa @@ -259502,6 +324378,8 @@ 1 1 0 +3 +2 1 0xdbb8 0x9824d6b @@ -259510,6 +324388,8 @@ 0 0 0 +4 +0 1 0x34e2 0x143feeb6 @@ -259519,6 +324399,8 @@ 1 0 4 +0 +4 0xf193 0xed1d6c06 256 @@ -259526,6 +324408,8 @@ 1 0 0 +4 +3 3 0xd3c9 0xe0447c44 @@ -259534,6 +324418,8 @@ 1 1 0 +4 +4 1 0x7b11 0x1aa2eab5 @@ -259542,6 +324428,8 @@ 0 0 0 +0 +1 2 0x67b3 0xa5b55cef @@ -259550,6 +324438,8 @@ 0 0 0 +0 +1 4 0x9961 0x5eba54b6 @@ -259558,6 +324448,8 @@ 1 0 0 +3 +1 2 0x166a 0x38a2acfb @@ -259566,6 +324458,8 @@ 1 1 0 +4 +5 1 0x2471 0x2d20423e @@ -259575,6 +324469,8 @@ 0 0 1 +0 +1 0xede3 0x9c01ca38 256 @@ -259582,6 +324478,8 @@ 1 0 0 +5 +0 4 0x4716 0x6c6f6e5f @@ -259590,6 +324488,8 @@ 1 1 0 +2 +4 4 0x2d77 0xc4a9ed87 @@ -259598,6 +324498,8 @@ 1 1 0 +3 +4 4 0x6e33 0x3f97b4d9 @@ -259606,6 +324508,8 @@ 0 0 0 +2 +2 3 0xec67 0x24961c53 @@ -259614,6 +324518,8 @@ 1 0 0 +3 +3 1 0xd4c2 0x70cdf24c @@ -259622,6 +324528,8 @@ 0 0 0 +4 +0 3 0x1b70 0x5e4bb334 @@ -259630,6 +324538,8 @@ 1 0 0 +5 +2 2 0x41e6 0x3eeaefed @@ -259638,6 +324548,8 @@ 0 0 0 +1 +4 2 0x4b75 0x3545f8ac @@ -259646,6 +324558,8 @@ 1 1 0 +1 +4 3 0xe239 0x6e50125b @@ -259654,6 +324568,8 @@ 1 1 0 +1 +0 3 0x2bc0 0xa3930bb2 @@ -259662,6 +324578,8 @@ 0 0 0 +2 +2 3 0xd50b 0x419b8c28 @@ -259670,6 +324588,8 @@ 1 1 0 +2 +4 4 0xe3e9 0x1ae9b277 @@ -259678,6 +324598,8 @@ 1 0 0 +5 +0 1 0xc4a9 0x211942c9 @@ -259686,6 +324608,8 @@ 0 0 0 +0 +1 1 0x39da 0x64fa7380 @@ -259694,6 +324618,8 @@ 0 0 0 +0 +2 4 0x42a3 0x765c0d0c @@ -259702,6 +324628,8 @@ 0 0 0 +5 +3 3 0xb8e8 0xa9a81fbf @@ -259711,6 +324639,8 @@ 1 0 1 +1 +1 0xc907 0xf33cb987 256 @@ -259719,6 +324649,8 @@ 1 0 1 +2 +1 0xf08f 0x414726a2 256 @@ -259727,6 +324659,8 @@ 0 0 2 +4 +2 0xf173 0x5bc72f16 256 @@ -259734,6 +324668,8 @@ 1 0 0 +3 +0 2 0x97b1 0x32f816e7 @@ -259743,6 +324679,8 @@ 0 0 2 +0 +2 0x6639 0xd9171fd2 256 @@ -259750,6 +324688,8 @@ 0 0 0 +4 +2 3 0x8d90 0xc9810309 @@ -259759,6 +324699,8 @@ 0 0 4 +1 +4 0xe6d8 0xf10aebeb 256 @@ -259766,6 +324708,8 @@ 0 0 0 +4 +5 3 0xdfc9 0xdfe5d05b @@ -259775,6 +324719,8 @@ 0 0 2 +4 +2 0x85e2 0xfca4a21b 256 @@ -259782,6 +324728,8 @@ 0 0 0 +5 +5 4 0xd8d6 0x1cf48049 @@ -259790,6 +324738,8 @@ 0 0 0 +2 +3 1 0x6c39 0x901714ea @@ -259798,6 +324748,8 @@ 1 0 0 +5 +4 3 0x7aaf 0xf4e547a0 @@ -259806,6 +324758,8 @@ 1 1 0 +2 +5 1 0x7779 0x595cd088 @@ -259814,6 +324768,8 @@ 1 1 0 +1 +4 4 0x16a6 0xdae3ad38 @@ -259822,6 +324778,8 @@ 0 0 0 +0 +4 3 0x8b10 0xd9915edd @@ -259831,6 +324789,8 @@ 1 0 2 +2 +2 0xcc95 0xce82644 256 @@ -259838,6 +324798,8 @@ 0 0 0 +1 +5 2 0x7cb8 0x25870bd3 @@ -259847,6 +324809,8 @@ 0 0 3 +5 +3 0xac56 0x474350df 256 @@ -259854,6 +324818,8 @@ 1 0 0 +3 +1 1 0x87b2 0xe6f85cc8 @@ -259862,6 +324828,8 @@ 0 0 0 +3 +2 1 0x822 0xa89b677a @@ -259870,6 +324838,8 @@ 0 0 0 +3 +1 1 0xa623 0x55dff1f5 @@ -259878,6 +324848,8 @@ 0 0 0 +0 +4 2 0xabfe 0x7bef9947 @@ -259886,6 +324858,8 @@ 0 0 0 +0 +0 2 0x8233 0xeed69b6b @@ -259895,6 +324869,8 @@ 1 0 2 +2 +2 0x8840 0xdad69607 256 @@ -259902,6 +324878,8 @@ 0 0 0 +0 +1 3 0x7f84 0xf2966e4a @@ -259911,6 +324889,8 @@ 0 0 2 +5 +2 0x4425 0xd1f8fbaa 256 @@ -259918,6 +324898,8 @@ 1 1 0 +4 +3 3 0x999d 0xcff5c3d9 @@ -259927,6 +324909,8 @@ 0 0 3 +4 +3 0x5507 0xcb251cf9 256 @@ -259934,6 +324918,8 @@ 1 1 0 +0 +1 2 0x5fb5 0x7ab6220f @@ -259942,6 +324928,8 @@ 1 0 0 +0 +1 1 0x1ad 0xe75ab8a9 @@ -259951,6 +324939,8 @@ 0 0 2 +4 +2 0x613c 0x1f7ed054 256 @@ -259958,6 +324948,8 @@ 0 0 0 +1 +3 2 0xf3da 0x492e1223 @@ -259966,6 +324958,8 @@ 0 0 0 +0 +2 1 0x910f 0xa2fb7736 @@ -259974,6 +324968,8 @@ 0 0 0 +0 +4 3 0x1688 0xf300e569 @@ -259982,6 +324978,8 @@ 0 0 0 +3 +3 2 0x1178 0x81a68ee8 @@ -259990,6 +324988,8 @@ 1 0 0 +3 +2 4 0x3177 0x23c0e175 @@ -259998,6 +324998,8 @@ 1 1 0 +4 +3 2 0x482e 0x8b424398 @@ -260006,6 +325008,8 @@ 0 0 0 +4 +1 1 0xe2c7 0xe94f88b8 @@ -260014,6 +325018,8 @@ 0 0 0 +3 +3 1 0x63a0 0xd1b8186d @@ -260022,6 +325028,8 @@ 0 0 0 +1 +5 4 0x88c7 0x15af5d67 @@ -260030,6 +325038,8 @@ 0 0 0 +4 +0 1 0x3a67 0x393f86ad @@ -260038,6 +325048,8 @@ 1 1 0 +1 +2 3 0x1005 0xb8bd879b @@ -260046,6 +325058,8 @@ 1 1 0 +3 +2 2 0x8484 0x1642f542 @@ -260055,6 +325069,8 @@ 0 0 3 +2 +3 0x7824 0x1510d5e8 256 @@ -260062,6 +325078,8 @@ 0 0 0 +4 +0 3 0xf04d 0xe7a87935 @@ -260070,6 +325088,8 @@ 0 0 0 +3 +1 1 0x405c 0x7f22b3a5 @@ -260078,6 +325098,8 @@ 0 0 0 +0 +2 2 0x32ef 0x7e24b6c0 @@ -260086,6 +325108,8 @@ 1 0 0 +5 +0 3 0x25c6 0x814b578d @@ -260094,6 +325118,8 @@ 0 0 0 +4 +5 1 0x3fdb 0xe8b07ec4 @@ -260102,6 +325128,8 @@ 1 1 0 +0 +3 3 0x2ea4 0x74f2ce9b @@ -260110,6 +325138,8 @@ 1 1 0 +1 +0 2 0xf83e 0xb534c2cb @@ -260118,6 +325148,8 @@ 0 0 0 +3 +0 4 0xbc94 0xfab41015 @@ -260127,6 +325159,8 @@ 0 0 1 +0 +1 0x3b10 0x2ad58639 256 @@ -260134,6 +325168,8 @@ 0 0 0 +3 +1 2 0xb900 0xcf10107b @@ -260142,7 +325178,9 @@ 0 0 0 -2 +0 +4 +2 0xbb16 0x72164acb 256 @@ -260150,6 +325188,8 @@ 0 0 0 +0 +3 2 0x908e 0x5b37b31c @@ -260158,6 +325198,8 @@ 0 0 0 +2 +2 1 0xd7a 0x845df96d @@ -260166,6 +325208,8 @@ 0 0 0 +0 +4 3 0xfcf4 0xef48d478 @@ -260174,6 +325218,8 @@ 0 0 0 +1 +4 4 0xe555 0x4ece7cc8 @@ -260182,6 +325228,8 @@ 0 0 0 +4 +3 3 0x8780 0x5a9b1209 @@ -260190,6 +325238,8 @@ 1 0 0 +1 +5 2 0x474 0x71e235cb @@ -260198,6 +325248,8 @@ 1 1 0 +5 +2 2 0xf2 0x19fae7e6 @@ -260206,6 +325258,8 @@ 0 0 0 +3 +3 2 0x7ff5 0xd595da5 @@ -260214,6 +325268,8 @@ 1 0 0 +5 +4 1 0x7482 0xbf1366ed @@ -260222,6 +325278,8 @@ 1 1 0 +1 +4 3 0x1fd7 0xec06b60d @@ -260230,6 +325288,8 @@ 0 0 0 +5 +0 4 0x3743 0x3eda7c4e @@ -260238,6 +325298,8 @@ 1 0 0 +1 +4 4 0x89e3 0xb1a86a72 @@ -260247,6 +325309,8 @@ 0 0 4 +3 +4 0xc7da 0x422b04e8 256 @@ -260254,6 +325318,8 @@ 1 0 0 +0 +5 3 0xd15e 0xbfacbf1b @@ -260263,6 +325329,8 @@ 1 0 2 +1 +2 0x7a70 0x130363dc 256 @@ -260270,6 +325338,8 @@ 0 0 0 +5 +1 3 0xc7b1 0xe2833408 @@ -260278,6 +325348,8 @@ 0 0 0 +5 +1 3 0x7062 0x6fa2b7b4 @@ -260287,6 +325359,8 @@ 0 0 1 +2 +1 0x78e6 0xba806fa0 256 @@ -260294,6 +325368,8 @@ 0 0 0 +0 +5 2 0x126c 0x91f9a678 @@ -260302,6 +325378,8 @@ 1 0 0 +2 +3 1 0xee10 0x9b430167 @@ -260310,6 +325388,8 @@ 0 0 0 +4 +1 2 0xb9f4 0x749bd86d @@ -260318,6 +325398,8 @@ 1 0 0 +3 +1 1 0xbcf1 0x895d39e2 @@ -260326,6 +325408,8 @@ 1 1 0 +2 +5 3 0x4fbb 0x45d3dafa @@ -260334,6 +325418,8 @@ 0 0 0 +1 +4 2 0x81dd 0x1a60c167 @@ -260342,6 +325428,8 @@ 0 0 0 +0 +0 1 0x6386 0xe9bd9b7 @@ -260350,6 +325438,8 @@ 0 0 0 +5 +0 1 0x49e1 0x378029a @@ -260358,6 +325448,8 @@ 1 0 0 +3 +0 4 0x3a0c 0xb5544797 @@ -260366,6 +325458,8 @@ 0 0 0 +4 +5 3 0x348c 0x15da5bb2 @@ -260374,6 +325468,8 @@ 1 1 0 +2 +4 4 0x3c90 0x18765bd1 @@ -260382,6 +325478,8 @@ 1 1 0 +2 +2 1 0x86ab 0x21c2b311 @@ -260390,6 +325488,8 @@ 1 0 0 +0 +3 1 0xafd2 0xa99eff72 @@ -260398,6 +325498,8 @@ 1 0 0 +3 +5 2 0xe05 0x8b7cd20c @@ -260407,6 +325509,8 @@ 0 0 3 +3 +3 0x5dea 0x6927a830 256 @@ -260414,6 +325518,8 @@ 0 0 0 +5 +5 1 0x5dbd 0xc67d8f83 @@ -260422,6 +325528,8 @@ 0 0 0 +2 +1 4 0x461f 0x827f3579 @@ -260430,6 +325538,8 @@ 1 0 0 +5 +5 4 0x1230 0xaeb81331 @@ -260438,6 +325548,8 @@ 1 0 0 +4 +0 3 0xe05c 0x66e91ca6 @@ -260447,6 +325559,8 @@ 0 0 1 +5 +1 0xb528 0xe7faa5f9 256 @@ -260455,6 +325569,8 @@ 0 0 1 +1 +1 0x3a0f 0x6c2633d7 256 @@ -260462,6 +325578,8 @@ 0 0 0 +1 +1 2 0x4c77 0xb253e66a @@ -260470,6 +325588,8 @@ 0 0 0 +0 +4 1 0xe0f0 0x6ab0c7b8 @@ -260478,6 +325598,8 @@ 1 1 0 +5 +3 4 0xffa8 0x175dfd23 @@ -260486,6 +325608,8 @@ 1 1 0 +0 +1 2 0xcf39 0xca9de650 @@ -260494,6 +325618,8 @@ 0 0 0 +2 +0 4 0x81dc 0x34c14979 @@ -260502,6 +325628,8 @@ 1 0 0 +1 +5 4 0xd97c 0xf8fa29b1 @@ -260510,6 +325638,8 @@ 0 0 0 +0 +1 1 0xb407 0x99fa6aff @@ -260518,6 +325648,8 @@ 1 1 0 +4 +3 2 0xa02a 0x5f35323a @@ -260526,6 +325658,8 @@ 1 1 0 +2 +5 1 0xdb3 0xf29628ae @@ -260534,6 +325668,8 @@ 0 0 0 +5 +4 4 0x5f9f 0xade24e92 @@ -260542,6 +325678,8 @@ 0 0 0 +5 +2 1 0x4eca 0xf1680e20 @@ -260550,6 +325688,8 @@ 1 0 0 +1 +1 2 0x49a4 0xb6d7cc66 @@ -260558,6 +325698,8 @@ 0 0 0 +0 +3 4 0xd583 0xb00a752c @@ -260566,6 +325708,8 @@ 1 0 0 +5 +3 4 0x58a5 0x65b44616 @@ -260575,6 +325719,8 @@ 1 0 3 +1 +3 0xb179 0x13607018 256 @@ -260582,6 +325728,8 @@ 0 0 0 +2 +4 1 0xaffe 0x7695d050 @@ -260590,6 +325738,8 @@ 1 0 0 +3 +0 2 0xc686 0x15cbcc68 @@ -260598,6 +325748,8 @@ 1 0 0 +3 +3 2 0xcb9 0x3a362872 @@ -260607,6 +325759,8 @@ 0 0 1 +4 +1 0xe373 0x6c44cc5e 256 @@ -260614,6 +325768,8 @@ 1 1 0 +2 +3 4 0x50f7 0x22f76028 @@ -260622,6 +325778,8 @@ 1 1 0 +5 +1 1 0x1998 0xbb2eec4a @@ -260630,6 +325788,8 @@ 1 1 0 +1 +5 4 0x5a1f 0x28652606 @@ -260638,6 +325798,8 @@ 1 1 0 +5 +2 2 0x8759 0xb5a9d7d5 @@ -260646,6 +325808,8 @@ 0 0 0 +0 +3 1 0x75b6 0x194e2bf5 @@ -260655,6 +325819,8 @@ 0 0 3 +0 +3 0x66bd 0x6d17a5e2 256 @@ -260662,6 +325828,8 @@ 1 1 0 +5 +1 4 0x1ffc 0xf70b85b5 @@ -260670,6 +325838,8 @@ 0 0 0 +4 +0 3 0xb8f5 0x7d5a2be5 @@ -260678,6 +325848,8 @@ 1 0 0 +5 +3 4 0xb1e2 0xb98b4019 @@ -260687,6 +325859,8 @@ 0 0 3 +5 +3 0xa396 0xe359d3ef 256 @@ -260694,6 +325868,8 @@ 0 0 0 +1 +1 3 0x2b14 0xe243a1ed @@ -260702,6 +325878,8 @@ 0 0 0 +2 +5 1 0x9f5f 0xa1bbe9ca @@ -260710,6 +325888,8 @@ 0 0 0 +4 +1 1 0xa066 0x67cdcabf @@ -260718,6 +325898,8 @@ 0 0 0 +5 +3 2 0xf746 0xb8f144d2 @@ -260726,6 +325908,8 @@ 1 0 0 +2 +5 3 0x6565 0x7770e4e3 @@ -260734,6 +325918,8 @@ 1 1 0 +3 +4 2 0x3d62 0xccb44f19 @@ -260742,6 +325928,8 @@ 1 0 0 +0 +0 4 0x66bf 0xefb607bd @@ -260750,6 +325938,8 @@ 0 0 0 +2 +3 4 0xdfcd 0x9a9cbfa8 @@ -260759,6 +325949,8 @@ 0 0 4 +0 +4 0x722f 0x6f4b72fa 256 @@ -260766,6 +325958,8 @@ 0 0 0 +3 +2 4 0x4c68 0x3ea518ed @@ -260774,6 +325968,8 @@ 1 1 0 +0 +1 1 0x1d42 0x4d67f0bf @@ -260782,6 +325978,8 @@ 0 0 0 +3 +4 4 0xf9bc 0x50ee032b @@ -260790,6 +325988,8 @@ 0 0 0 +0 +3 4 0xb70a 0xc2d9f4b8 @@ -260798,6 +325998,8 @@ 0 0 0 +1 +4 3 0xcc09 0x42c7e5fe @@ -260806,6 +326008,8 @@ 1 0 0 +0 +4 4 0xf81f 0x6e614b81 @@ -260815,6 +326019,8 @@ 0 0 3 +5 +3 0x671e 0xfabb07ad 256 @@ -260822,6 +326028,8 @@ 1 1 0 +1 +4 2 0x6c26 0xc9168513 @@ -260830,6 +326038,8 @@ 0 0 0 +2 +2 3 0x51be 0xa378e713 @@ -260838,6 +326048,8 @@ 0 0 0 +2 +5 4 0x26c4 0x350e1eb6 @@ -260846,6 +326058,8 @@ 0 0 0 +3 +5 1 0x5a26 0x2cd0b974 @@ -260854,6 +326068,8 @@ 1 0 0 +1 +4 4 0x7aaa 0xa33cfb2d @@ -260863,6 +326079,8 @@ 0 0 4 +4 +4 0xabe9 0x2c9ed767 256 @@ -260870,6 +326088,8 @@ 0 0 0 +4 +0 1 0x1de2 0xd455b65f @@ -260878,6 +326098,8 @@ 1 1 0 +0 +4 4 0xcda5 0xb27cf0dc @@ -260887,6 +326109,8 @@ 0 0 2 +1 +2 0xd343 0xf7fd7466 256 @@ -260894,6 +326118,8 @@ 1 1 0 +3 +1 1 0x7e30 0x34da54d3 @@ -260903,6 +326129,8 @@ 0 0 1 +1 +1 0xab8a 0x1944e170 256 @@ -260911,6 +326139,8 @@ 1 0 1 +5 +1 0x534b 0x9d4d9434 256 @@ -260918,6 +326148,8 @@ 1 1 0 +1 +4 4 0x8dd8 0xaa229daa @@ -260926,6 +326158,8 @@ 0 0 0 +0 +0 1 0x92cd 0x22c451c9 @@ -260934,6 +326168,8 @@ 0 0 0 +1 +3 2 0x33f2 0xad4bf1e8 @@ -260943,6 +326179,8 @@ 0 0 4 +3 +4 0x7af6 0xf6c0cc6c 256 @@ -260950,6 +326188,8 @@ 0 0 0 +3 +4 2 0xb745 0x6c9bdd3e @@ -260958,6 +326198,8 @@ 1 0 0 +4 +2 3 0x4496 0x7f898a03 @@ -260967,6 +326209,8 @@ 1 0 2 +1 +2 0x5dcf 0xaf81b780 256 @@ -260974,6 +326218,8 @@ 1 1 0 +3 +5 4 0xc4d8 0xc37914e7 @@ -260982,6 +326228,8 @@ 1 0 0 +3 +0 1 0x95e 0x83831e33 @@ -260990,6 +326238,8 @@ 1 0 0 +1 +4 2 0xece0 0xe63ebfc8 @@ -260998,6 +326248,8 @@ 0 0 0 +2 +0 4 0xc801 0x1d182b32 @@ -261006,6 +326258,8 @@ 0 0 0 +5 +2 3 0x9c8 0x52d7d2de @@ -261014,6 +326268,8 @@ 1 1 0 +5 +4 3 0x5366 0x40b04b10 @@ -261022,6 +326278,8 @@ 0 0 0 +0 +3 2 0xc2fe 0x2c092afc @@ -261030,6 +326288,8 @@ 1 0 0 +0 +4 1 0x2932 0x9fc9cafd @@ -261038,6 +326298,8 @@ 1 1 0 +4 +5 1 0x2a63 0x33e4e6aa @@ -261046,6 +326308,8 @@ 0 0 0 +0 +5 3 0x4bdc 0xab35ab44 @@ -261054,6 +326318,8 @@ 0 0 0 +5 +0 2 0xe723 0xbc6efd88 @@ -261062,6 +326328,8 @@ 0 0 0 +3 +4 1 0xe4a 0x82fdc3af @@ -261070,6 +326338,8 @@ 1 0 0 +3 +2 2 0x4901 0x278947e5 @@ -261078,6 +326348,8 @@ 1 0 0 +0 +2 3 0x3091 0xab1760c0 @@ -261086,6 +326358,8 @@ 1 1 0 +4 +1 1 0xcfaa 0x47306b59 @@ -261094,6 +326368,8 @@ 1 1 0 +1 +5 4 0xb2b7 0x6560fda8 @@ -261103,6 +326379,8 @@ 0 0 3 +5 +3 0xd60e 0x7ee76dd 256 @@ -261110,6 +326388,8 @@ 0 0 0 +3 +5 1 0x2b47 0xe38b6122 @@ -261118,6 +326398,8 @@ 0 0 0 +3 +5 1 0x55cd 0x47e694f4 @@ -261126,6 +326408,8 @@ 0 0 0 +3 +3 4 0x4477 0xc041cf40 @@ -261134,6 +326418,8 @@ 0 0 0 +5 +0 2 0xf320 0xe3501e2 @@ -261142,6 +326428,8 @@ 0 0 0 +4 +5 3 0x2736 0xc68e65e1 @@ -261150,6 +326438,8 @@ 1 1 0 +4 +4 1 0x5c56 0xe4209f19 @@ -261158,6 +326448,8 @@ 0 0 0 +5 +0 1 0xf34f 0x69910035 @@ -261166,6 +326458,8 @@ 1 0 0 +3 +4 2 0xe3c5 0xc4a439e @@ -261175,6 +326469,8 @@ 0 0 1 +4 +1 0x63f3 0x611ed1d7 256 @@ -261182,6 +326478,8 @@ 0 0 0 +2 +0 1 0x98cd 0xdde16fc5 @@ -261191,6 +326489,8 @@ 0 0 4 +4 +4 0x21d5 0xe06b55c1 256 @@ -261198,6 +326498,8 @@ 1 0 0 +3 +1 2 0x43be 0x5344d54c @@ -261206,6 +326508,8 @@ 0 0 0 +1 +0 3 0xf571 0xd3cdf566 @@ -261214,6 +326518,8 @@ 0 0 0 +0 +1 3 0x93cb 0x43f5ee81 @@ -261222,6 +326528,8 @@ 1 1 0 +0 +2 1 0x4292 0x2fae8d3a @@ -261230,6 +326538,8 @@ 1 0 0 +5 +3 4 0xba82 0xcaa8cc85 @@ -261238,6 +326548,8 @@ 0 0 0 +4 +4 2 0x4b3a 0xb97d70ee @@ -261246,6 +326558,8 @@ 1 1 0 +2 +1 3 0xa71f 0x772536e0 @@ -261254,6 +326568,8 @@ 1 0 0 +5 +3 3 0x6c4f 0x7cfcba90 @@ -261262,6 +326578,8 @@ 0 0 0 +5 +3 1 0xa7e7 0xa826f210 @@ -261270,6 +326588,8 @@ 1 0 0 +3 +0 4 0x7930 0x66e3a426 @@ -261278,6 +326598,8 @@ 0 0 0 +2 +3 1 0x9f32 0x793126d5 @@ -261286,6 +326608,8 @@ 1 0 0 +1 +5 2 0x8012 0xdec478e1 @@ -261295,6 +326619,8 @@ 1 0 3 +2 +3 0x9a10 0x5b31ddf1 256 @@ -261302,6 +326628,8 @@ 0 0 0 +3 +2 4 0x3aa5 0xf813b98c @@ -261310,6 +326638,8 @@ 0 0 0 +2 +1 3 0xb88a 0xf8fc9e03 @@ -261318,6 +326648,8 @@ 1 0 0 +2 +4 1 0x59e4 0xd9ca5508 @@ -261327,6 +326659,8 @@ 0 0 4 +3 +4 0xd9e7 0x1c32b936 256 @@ -261334,6 +326668,8 @@ 1 1 0 +1 +0 2 0x5fa1 0xe6b7bcbd @@ -261342,6 +326678,8 @@ 1 0 0 +3 +0 1 0x45e 0x3495025f @@ -261350,6 +326688,8 @@ 0 0 0 +4 +1 1 0xd128 0x730c05eb @@ -261358,6 +326698,8 @@ 0 0 0 +4 +2 1 0xfe71 0xbe8b6201 @@ -261366,6 +326708,8 @@ 0 0 0 +3 +3 2 0x759e 0x6fafaeca @@ -261374,6 +326718,8 @@ 1 1 0 +5 +3 1 0xbed9 0x24df43b8 @@ -261382,6 +326728,8 @@ 1 0 0 +2 +3 1 0x81a0 0xbcbfc1ea @@ -261390,6 +326738,8 @@ 1 1 0 +0 +5 2 0x28d4 0x647a8a4f @@ -261398,6 +326748,8 @@ 1 1 0 +4 +5 1 0x3ca9 0x28e22f55 @@ -261406,6 +326758,8 @@ 1 1 0 +0 +1 2 0xa059 0x6cae0307 @@ -261414,6 +326768,8 @@ 0 0 0 +5 +2 1 0x4a00 0x3454e5b4 @@ -261423,6 +326779,8 @@ 0 0 1 +2 +1 0x936 0xd07753dc 256 @@ -261430,6 +326788,8 @@ 1 0 0 +2 +0 1 0x1c58 0x490f37a6 @@ -261439,6 +326799,8 @@ 1 0 1 +0 +1 0x7fc 0x98ac6a66 256 @@ -261446,6 +326808,8 @@ 1 1 0 +2 +4 4 0x6378 0xe04c08f9 @@ -261454,6 +326818,8 @@ 1 0 0 +0 +1 4 0x744e 0x1eac090d @@ -261462,6 +326828,8 @@ 1 1 0 +5 +4 3 0xf300 0x81c0c094 @@ -261470,6 +326838,8 @@ 1 1 0 +2 +0 4 0xe8a1 0xc6faab15 @@ -261478,6 +326848,8 @@ 1 0 0 +3 +1 4 0xca73 0xd7c8496 @@ -261486,6 +326858,8 @@ 1 0 0 +0 +5 3 0x7d6b 0x886487ba @@ -261495,6 +326869,8 @@ 0 0 1 +1 +1 0xccc4 0x90229fcd 256 @@ -261502,6 +326878,8 @@ 0 0 0 +1 +2 2 0xb3c7 0x2a8ddd1a @@ -261510,6 +326888,8 @@ 1 1 0 +3 +4 2 0x1fae 0xd2413709 @@ -261518,6 +326898,8 @@ 1 0 0 +4 +3 2 0xa953 0xeffb434c @@ -261526,6 +326908,8 @@ 1 0 0 +1 +5 3 0x98b3 0xfded37c7 @@ -261535,6 +326919,8 @@ 1 0 4 +5 +4 0xaed3 0x1d361624 256 @@ -261542,6 +326928,8 @@ 0 0 0 +2 +2 3 0x3c54 0x1ced9bd6 @@ -261551,6 +326939,8 @@ 0 0 1 +4 +1 0x8a32 0x907fb40e 256 @@ -261558,6 +326948,8 @@ 0 0 0 +1 +5 3 0x9988 0x7a6e7563 @@ -261566,6 +326958,8 @@ 0 0 0 +5 +0 4 0x37c5 0xa20242d0 @@ -261574,6 +326968,8 @@ 0 0 0 +0 +4 2 0xa7 0xfdffeacd @@ -261582,6 +326978,8 @@ 0 0 0 +1 +4 2 0xa245 0xbc4d3c40 @@ -261590,6 +326988,8 @@ 1 1 0 +1 +3 4 0xb417 0x26feb8e5 @@ -261599,6 +326999,8 @@ 0 0 3 +2 +3 0x823e 0x7cf5f417 256 @@ -261606,6 +327008,8 @@ 1 1 0 +4 +5 3 0x66b5 0x163e02ad @@ -261615,6 +327019,8 @@ 0 0 3 +5 +3 0x866f 0xb2f2efca 256 @@ -261623,6 +327029,8 @@ 0 0 4 +5 +4 0x39f8 0x59e70902 256 @@ -261630,6 +327038,8 @@ 0 0 0 +1 +0 3 0x95a9 0x94d43037 @@ -261638,6 +327048,8 @@ 0 0 0 +2 +1 1 0x74ae 0x425c8fb0 @@ -261646,6 +327058,8 @@ 1 1 0 +0 +3 3 0xad70 0xc335eb43 @@ -261654,6 +327068,8 @@ 0 0 0 +5 +2 2 0xa1f8 0xdde438e7 @@ -261662,6 +327078,8 @@ 0 0 0 +5 +1 3 0x3989 0x86019690 @@ -261670,6 +327088,8 @@ 1 1 0 +0 +5 2 0x2b91 0x9e91773b @@ -261679,6 +327099,8 @@ 0 0 2 +1 +2 0xc540 0xd4ba4ed2 256 @@ -261686,6 +327108,8 @@ 1 0 0 +5 +5 3 0xb044 0x97ea6e5f @@ -261695,6 +327119,8 @@ 0 0 4 +4 +4 0xfa45 0xbe049227 256 @@ -261702,6 +327128,8 @@ 1 0 0 +0 +5 2 0xf1fd 0x8496878b @@ -261710,6 +327138,8 @@ 1 1 0 +5 +4 1 0x96a3 0x53da8fd9 @@ -261718,6 +327148,8 @@ 1 1 0 +3 +4 2 0x3072 0x6381e829 @@ -261726,6 +327158,8 @@ 1 1 0 +2 +1 1 0x81d 0x2f44aaee @@ -261734,6 +327168,8 @@ 0 0 0 +5 +5 1 0x17f9 0xcd7473ea @@ -261743,6 +327179,8 @@ 1 0 1 +0 +1 0xf8d7 0x509831c 256 @@ -261750,6 +327188,8 @@ 0 0 0 +5 +5 1 0x41ed 0x16ff6610 @@ -261758,6 +327198,8 @@ 0 0 0 +3 +4 1 0x531a 0xad5e6bf0 @@ -261766,6 +327208,8 @@ 1 1 0 +0 +4 1 0xeef7 0x5c2830b3 @@ -261774,6 +327218,8 @@ 0 0 0 +0 +1 2 0xce04 0x8605c13 @@ -261782,6 +327228,8 @@ 1 1 0 +0 +3 1 0x8436 0xda99c099 @@ -261790,6 +327238,8 @@ 0 0 0 +0 +3 4 0x76db 0x44def136 @@ -261798,6 +327248,8 @@ 0 0 0 +5 +3 3 0xde38 0x429684f3 @@ -261806,6 +327258,8 @@ 1 0 0 +3 +4 2 0xe4df 0xfd102efb @@ -261814,6 +327268,8 @@ 1 1 0 +1 +3 2 0x7fbc 0x706349ec @@ -261823,6 +327279,8 @@ 1 0 2 +5 +2 0x63bb 0x6df65411 256 @@ -261830,6 +327288,8 @@ 1 1 0 +0 +3 4 0xa941 0x5079bd73 @@ -261838,6 +327298,8 @@ 0 0 0 +3 +3 4 0xcd82 0xabb717ae @@ -261846,6 +327308,8 @@ 0 0 0 +1 +1 2 0x6fd3 0xf214c510 @@ -261854,6 +327318,8 @@ 0 0 0 +5 +5 1 0xe268 0x770e526f @@ -261862,6 +327328,8 @@ 1 1 0 +4 +4 3 0x643f 0x635b9e03 @@ -261870,6 +327338,8 @@ 0 0 0 +5 +4 4 0x2a86 0x5dd9de43 @@ -261878,6 +327348,8 @@ 1 0 0 +1 +0 4 0x5a50 0x7a9ce14e @@ -261887,6 +327359,8 @@ 0 0 2 +4 +2 0x48dd 0xf0d1e5bd 256 @@ -261894,6 +327368,8 @@ 0 0 0 +1 +1 2 0x5d2e 0xb5aada7c @@ -261902,6 +327378,8 @@ 0 0 0 +2 +0 4 0xf14b 0x3eb1103 @@ -261910,6 +327388,8 @@ 0 0 0 +0 +5 3 0x8fea 0xa4115e42 @@ -261918,6 +327398,8 @@ 1 1 0 +4 +3 3 0x12c6 0xe3c49b11 @@ -261926,6 +327408,8 @@ 1 1 0 +0 +1 2 0xa300 0x75d3f008 @@ -261935,6 +327419,8 @@ 0 0 4 +4 +4 0xc19c 0xad458194 256 @@ -261942,6 +327428,8 @@ 1 0 0 +2 +2 4 0xa54a 0x720e5d11 @@ -261950,6 +327438,8 @@ 0 0 0 +0 +1 4 0xa048 0x1b3b2a35 @@ -261958,6 +327448,8 @@ 0 0 0 +4 +4 2 0x37e8 0xcb64b6a2 @@ -261966,6 +327458,8 @@ 1 1 0 +2 +0 4 0x87a4 0x2d2b730e @@ -261974,6 +327468,8 @@ 0 0 0 +5 +0 1 0x85a0 0x9e89da73 @@ -261982,6 +327478,8 @@ 1 0 0 +5 +2 4 0x596a 0xd1823f32 @@ -261990,6 +327488,8 @@ 0 0 0 +4 +1 3 0xb23 0x6084231e @@ -261998,6 +327498,8 @@ 1 0 0 +3 +5 1 0x1105 0x632c5dcb @@ -262006,6 +327508,8 @@ 0 0 0 +5 +3 2 0xb172 0x6803938 @@ -262014,6 +327518,8 @@ 1 0 0 +4 +4 2 0x35bf 0xcb145020 @@ -262022,6 +327528,8 @@ 0 0 0 +5 +2 4 0xe5de 0xc900f666 @@ -262030,6 +327538,8 @@ 1 1 0 +2 +3 3 0x8c0e 0xf399d1db @@ -262038,6 +327548,8 @@ 0 0 0 +1 +0 2 0xe0ab 0x879dad3a @@ -262046,6 +327558,8 @@ 1 1 0 +3 +3 2 0xd9f2 0x5c7d73da @@ -262054,6 +327568,8 @@ 1 0 0 +0 +0 3 0xac1 0xfc4c8245 @@ -262062,6 +327578,8 @@ 0 0 0 +5 +0 3 0x29fb 0x99ef2aad @@ -262070,6 +327588,8 @@ 0 0 0 +5 +1 1 0x7c40 0x3944a2a1 @@ -262078,6 +327598,8 @@ 0 0 0 +5 +2 2 0x29ca 0xb2a39632 @@ -262086,6 +327608,8 @@ 1 1 0 +4 +1 1 0x2527 0xe57ec7f6 @@ -262094,6 +327618,8 @@ 0 0 0 +5 +2 4 0x831d 0x21d6c261 @@ -262102,6 +327628,8 @@ 1 1 0 +1 +4 3 0x9ff1 0xb526a830 @@ -262110,6 +327638,8 @@ 0 0 0 +3 +1 2 0xb8ae 0x26149afe @@ -262119,6 +327649,8 @@ 1 0 1 +5 +1 0x9b43 0x3437020d 256 @@ -262127,6 +327659,8 @@ 1 0 3 +0 +3 0xbfc4 0x7a1b5866 256 @@ -262134,6 +327668,8 @@ 1 1 0 +3 +5 1 0x219e 0x177e251d @@ -262142,6 +327678,8 @@ 1 0 0 +4 +5 1 0x5bb9 0x8c444746 @@ -262150,6 +327688,8 @@ 0 0 0 +0 +0 3 0xaf2 0x32c52aa4 @@ -262158,6 +327698,8 @@ 0 0 0 +4 +5 1 0xe2b0 0x89de3162 @@ -262166,6 +327708,8 @@ 0 0 0 +5 +3 2 0xa72c 0xe9d79bb3 @@ -262174,6 +327718,8 @@ 1 1 0 +0 +2 3 0x6821 0x7caecf9c @@ -262182,6 +327728,8 @@ 1 1 0 +5 +3 2 0x2580 0x49dc9547 @@ -262190,6 +327738,8 @@ 0 0 0 +0 +4 1 0x18dc 0x5804d397 @@ -262199,6 +327749,8 @@ 0 0 2 +0 +2 0x41e1 0x58c95f9c 256 @@ -262206,6 +327758,8 @@ 1 1 0 +1 +1 2 0x4a5 0xecabea3d @@ -262214,6 +327768,8 @@ 1 0 0 +0 +0 1 0x1628 0xd027c5a7 @@ -262223,6 +327779,8 @@ 1 0 1 +3 +1 0x1335 0x2b06c005 256 @@ -262230,6 +327788,8 @@ 0 0 0 +4 +1 3 0xe2b5 0x7df8e03 @@ -262239,6 +327799,8 @@ 0 0 4 +5 +4 0xd05d 0x846cbba3 256 @@ -262246,6 +327808,8 @@ 0 0 0 +1 +0 3 0x871a 0x146d50b8 @@ -262255,6 +327819,8 @@ 0 0 1 +0 +1 0xaade 0x6966de3a 256 @@ -262262,6 +327828,8 @@ 0 0 0 +5 +2 3 0x50c0 0xef9a3042 @@ -262270,6 +327838,8 @@ 0 0 0 +0 +3 4 0xcdf5 0xad94afde @@ -262278,6 +327848,8 @@ 1 1 0 +2 +2 4 0xe58 0x663e6e27 @@ -262286,6 +327858,8 @@ 0 0 0 +1 +3 3 0x95b2 0x2101f780 @@ -262294,6 +327868,8 @@ 1 0 0 +3 +4 2 0x66cc 0xfe3c607b @@ -262302,6 +327878,8 @@ 0 0 0 +5 +3 1 0xdec3 0x614fc677 @@ -262311,6 +327889,8 @@ 0 0 3 +3 +3 0xf8d3 0xf281da3b 256 @@ -262318,6 +327898,8 @@ 1 1 0 +0 +4 3 0x2ec7 0x4c5ddb8d @@ -262326,6 +327908,8 @@ 1 1 0 +3 +0 2 0xf44 0xc72c2ae6 @@ -262334,6 +327918,8 @@ 1 0 0 +5 +0 1 0x3a04 0x6da3fcfc @@ -262342,6 +327928,8 @@ 1 1 0 +3 +4 1 0xfe86 0x102df6e @@ -262350,6 +327938,8 @@ 1 1 0 +4 +4 2 0x54d1 0xa13dea45 @@ -262358,6 +327948,8 @@ 1 1 0 +2 +5 4 0x7bec 0x6f70c2c @@ -262366,6 +327958,8 @@ 0 0 0 +4 +1 2 0xb097 0x432fb43f @@ -262375,6 +327969,8 @@ 0 0 1 +4 +1 0x30d2 0x9dbacf1 256 @@ -262382,6 +327978,8 @@ 0 0 0 +5 +4 4 0xa089 0x49169c04 @@ -262390,6 +327988,8 @@ 1 0 0 +2 +2 3 0x44c9 0x8aaa3918 @@ -262398,6 +327998,8 @@ 1 1 0 +4 +4 2 0x174 0x1ef0e61 @@ -262406,6 +328008,8 @@ 0 0 0 +5 +5 2 0xfa6a 0xca0941a9 @@ -262414,6 +328018,8 @@ 0 0 0 +3 +4 4 0x4c4f 0x915f5943 @@ -262422,6 +328028,8 @@ 0 0 0 +2 +4 4 0xc0cc 0x32e0aad4 @@ -262430,6 +328038,8 @@ 1 0 0 +2 +4 4 0xc6c3 0x864bd01 @@ -262438,6 +328048,8 @@ 1 1 0 +0 +5 3 0x884 0x6edb8bbc @@ -262446,6 +328058,8 @@ 1 0 0 +3 +2 2 0x2265 0xd54869eb @@ -262454,6 +328068,8 @@ 0 0 0 +2 +3 3 0x8d9e 0x3bb15913 @@ -262462,6 +328078,8 @@ 0 0 0 +5 +0 3 0xe1a8 0x81e56641 @@ -262470,6 +328088,8 @@ 0 0 0 +4 +0 3 0x1fff 0xc1467b93 @@ -262479,6 +328099,8 @@ 0 0 3 +2 +3 0x92b0 0xd3e642e9 256 @@ -262486,6 +328108,8 @@ 0 0 0 +0 +2 3 0xb80a 0x886cbb75 @@ -262494,6 +328118,8 @@ 1 1 0 +5 +0 1 0xbe96 0x3461308a @@ -262502,6 +328128,8 @@ 0 0 0 +2 +2 1 0x3a6e 0x44327ae7 @@ -262510,6 +328138,8 @@ 0 0 0 +2 +2 1 0xd7f6 0x4040d355 @@ -262519,6 +328149,8 @@ 0 0 3 +1 +3 0x2b79 0xdd0c6aca 256 @@ -262526,6 +328158,8 @@ 0 0 0 +3 +1 1 0xc276 0x1eb1d538 @@ -262534,6 +328168,8 @@ 0 0 0 +0 +1 2 0x694 0xaf08eea4 @@ -262542,6 +328178,8 @@ 1 1 0 +5 +4 3 0xe542 0x93b3c17 @@ -262550,6 +328188,8 @@ 1 0 0 +4 +2 1 0x6436 0x45b7ce0c @@ -262558,6 +328198,8 @@ 1 1 0 +0 +2 1 0xcd9d 0xef267bc8 @@ -262566,6 +328208,8 @@ 1 1 0 +1 +5 4 0xc769 0x5ffc3ef1 @@ -262574,6 +328218,8 @@ 0 0 0 +3 +3 1 0x5884 0xf20a8fab @@ -262582,6 +328228,8 @@ 1 1 0 +5 +4 1 0x77d9 0x5ed81077 @@ -262590,6 +328238,8 @@ 1 1 0 +5 +0 4 0xd60e 0x9f4b8859 @@ -262598,6 +328248,8 @@ 1 1 0 +2 +0 3 0xcea0 0x99e3f330 @@ -262606,6 +328258,8 @@ 0 0 0 +4 +3 3 0x65cf 0x7fe7bc80 @@ -262614,6 +328268,8 @@ 1 1 0 +5 +5 1 0xf8cc 0x85d8c2fd @@ -262622,6 +328278,8 @@ 1 1 0 +3 +4 4 0xdc0d 0x7744cb49 @@ -262630,6 +328288,8 @@ 1 1 0 +3 +3 1 0x38db 0xebde84f4 @@ -262639,6 +328299,8 @@ 0 0 3 +1 +3 0xaf39 0x46adc3c6 256 @@ -262646,6 +328308,8 @@ 0 0 0 +5 +0 4 0xc420 0x355b081e @@ -262654,6 +328318,8 @@ 1 1 0 +3 +2 4 0xffa8 0x94546f61 @@ -262663,6 +328329,8 @@ 0 0 4 +4 +4 0x5318 0x6e9fd7d2 256 @@ -262670,6 +328338,8 @@ 1 0 0 +5 +1 3 0x6318 0xb5e391d5 @@ -262678,6 +328348,8 @@ 0 0 0 +4 +3 1 0xad03 0x202ae183 @@ -262686,6 +328358,8 @@ 1 1 0 +1 +1 3 0x171f 0x8058d75f @@ -262694,6 +328368,8 @@ 0 0 0 +1 +3 4 0x7ee3 0x7554b918 @@ -262702,6 +328378,8 @@ 1 0 0 +1 +5 3 0x3c97 0x866a3056 @@ -262710,6 +328388,8 @@ 0 0 0 +3 +0 2 0xf0c6 0x6113e2dd @@ -262719,6 +328399,8 @@ 0 0 1 +0 +1 0x2aaf 0x9d3fb6a0 256 @@ -262726,6 +328408,8 @@ 1 0 0 +2 +5 4 0x4f4c 0x33b47799 @@ -262734,6 +328418,8 @@ 0 0 0 +3 +4 2 0x6260 0xefdee095 @@ -262742,6 +328428,8 @@ 0 0 0 +4 +4 3 0xde8f 0x87dff6ee @@ -262750,6 +328438,8 @@ 0 0 0 +4 +4 2 0xa8c1 0xe224e7ef @@ -262758,6 +328448,8 @@ 0 0 0 +4 +2 1 0x4545 0x1e15dc2d @@ -262766,6 +328458,8 @@ 1 1 0 +0 +2 3 0xa9e7 0x81a7fb9c @@ -262775,6 +328469,8 @@ 0 0 2 +2 +2 0x4683 0x3a18e3cf 256 @@ -262782,6 +328478,8 @@ 1 1 0 +5 +4 1 0xa200 0x18815453 @@ -262790,6 +328488,8 @@ 0 0 0 +5 +2 1 0x2249 0x63d1529c @@ -262798,6 +328498,8 @@ 0 0 0 +2 +2 3 0x73c1 0x9492244f @@ -262807,6 +328509,8 @@ 0 0 2 +5 +2 0xc31b 0x11ec47ba 256 @@ -262814,6 +328518,8 @@ 0 0 0 +4 +0 3 0xc4ad 0xd028e4f4 @@ -262822,6 +328528,8 @@ 0 0 0 +1 +4 3 0x1328 0x2fefbb7d @@ -262830,6 +328538,8 @@ 1 1 0 +2 +0 1 0x101b 0xfe55ec84 @@ -262838,6 +328548,8 @@ 0 0 0 +5 +1 2 0xec89 0x9fd52e83 @@ -262846,6 +328558,8 @@ 1 1 0 +0 +5 3 0x1ba9 0x8999e47c @@ -262854,6 +328568,8 @@ 1 1 0 +1 +2 3 0xe5ed 0x95972181 @@ -262862,6 +328578,8 @@ 0 0 0 +3 +0 2 0x2aa3 0xbbb4997a @@ -262870,6 +328588,8 @@ 1 1 0 +4 +2 3 0x714 0x509bd594 @@ -262878,6 +328598,8 @@ 1 1 0 +0 +1 3 0x2c7f 0xd64b9883 @@ -262886,6 +328608,8 @@ 0 0 0 +2 +4 1 0x8fd3 0x91ed0621 @@ -262894,6 +328618,8 @@ 1 1 0 +5 +0 1 0x61a4 0x11e20ad @@ -262902,6 +328628,8 @@ 1 0 0 +0 +0 4 0xb16f 0xbee2b8d1 @@ -262910,6 +328638,8 @@ 0 0 0 +0 +0 4 0x234f 0xe9275651 @@ -262919,6 +328649,8 @@ 0 0 4 +0 +4 0x91e2 0x17310c43 256 @@ -262926,6 +328658,8 @@ 1 1 0 +2 +4 3 0x22d5 0x23da7be0 @@ -262934,6 +328668,8 @@ 1 1 0 +4 +2 1 0xc033 0x6fcd8663 @@ -262942,6 +328678,8 @@ 0 0 0 +3 +0 4 0xff9a 0x960d0e06 @@ -262950,6 +328688,8 @@ 0 0 0 +4 +1 3 0x96ab 0x480099e1 @@ -262958,6 +328698,8 @@ 0 0 0 +0 +3 3 0x2fb1 0x10210cda @@ -262966,6 +328708,8 @@ 1 1 0 +3 +5 4 0x5a7e 0x65f73247 @@ -262974,6 +328718,8 @@ 1 0 0 +4 +3 2 0x21ed 0x50873190 @@ -262982,6 +328728,8 @@ 0 0 0 +3 +5 2 0x7961 0xb6f8f7e9 @@ -262991,6 +328739,8 @@ 0 0 2 +0 +2 0xf61b 0x6eb83f4 256 @@ -262998,6 +328748,8 @@ 1 0 0 +5 +4 2 0xf333 0x959dac1e @@ -263006,6 +328758,8 @@ 0 0 0 +3 +0 4 0x3f0a 0x193aa288 @@ -263014,6 +328768,8 @@ 1 1 0 +0 +4 4 0x10ef 0x169c3f0e @@ -263022,6 +328778,8 @@ 0 0 0 +1 +0 3 0x7aa9 0x1fc78124 @@ -263030,6 +328788,8 @@ 0 0 0 +2 +5 3 0x91ec 0xd0a121c0 @@ -263038,6 +328798,8 @@ 0 0 0 +0 +2 3 0x38da 0x66c9da36 @@ -263046,6 +328808,8 @@ 0 0 0 +4 +2 1 0xd698 0x39287694 @@ -263054,6 +328818,8 @@ 1 0 0 +5 +3 1 0xff0f 0xba0ef4a0 @@ -263062,6 +328828,8 @@ 0 0 0 +2 +3 4 0xae76 0xb44c649f @@ -263070,6 +328838,8 @@ 0 0 0 +3 +4 2 0xa1b4 0xf524d877 @@ -263078,6 +328848,8 @@ 1 1 0 +3 +5 1 0x2064 0xb52137c7 @@ -263086,6 +328858,8 @@ 1 1 0 +1 +2 3 0x8bb6 0xe53e5624 @@ -263094,6 +328868,8 @@ 1 1 0 +1 +5 3 0x8bca 0x6b4a80bc @@ -263102,6 +328878,8 @@ 1 0 0 +4 +0 1 0x738d 0x652621cf @@ -263110,6 +328888,8 @@ 1 1 0 +2 +0 3 0x31c5 0xc198631c @@ -263118,6 +328898,8 @@ 0 0 0 +5 +5 1 0xa08f 0x8932fd73 @@ -263126,6 +328908,8 @@ 1 0 0 +0 +0 3 0xb539 0x8b7e4f4e @@ -263134,6 +328918,8 @@ 1 0 0 +0 +1 2 0x5e39 0xdb9780ce @@ -263142,6 +328928,8 @@ 0 0 0 +2 +4 3 0x24af 0x44db2af7 @@ -263150,6 +328938,8 @@ 1 1 0 +3 +3 2 0x2d82 0x1789ca5c @@ -263158,6 +328948,8 @@ 0 0 0 +1 +2 2 0x62cf 0x230096ba @@ -263166,6 +328958,8 @@ 0 0 0 +4 +2 1 0x573e 0x9d604802 @@ -263174,6 +328968,8 @@ 0 0 0 +1 +5 4 0xd4d8 0x7d3dda6e @@ -263182,6 +328978,8 @@ 1 1 0 +4 +4 1 0xc599 0x8f232573 @@ -263190,6 +328988,8 @@ 1 0 0 +3 +4 4 0x866c 0xeddacf33 @@ -263198,6 +328998,8 @@ 1 1 0 +5 +0 2 0x3c12 0x20dc7bea @@ -263206,6 +329008,8 @@ 0 0 0 +4 +0 3 0xeb61 0xf5dd5b86 @@ -263214,6 +329018,8 @@ 0 0 0 +5 +1 3 0xb534 0x667a642 @@ -263222,6 +329028,8 @@ 1 0 0 +1 +4 2 0x930a 0x2fd52ef @@ -263230,6 +329038,8 @@ 1 1 0 +0 +0 2 0xa6ec 0x18341cf3 @@ -263238,6 +329048,8 @@ 0 0 0 +5 +3 4 0x711 0x53fb009f @@ -263246,6 +329058,8 @@ 0 0 0 +0 +1 4 0xa6e1 0xe01b0313 @@ -263255,6 +329069,8 @@ 0 0 1 +0 +1 0xc812 0xca1a8b9b 256 @@ -263262,6 +329078,8 @@ 0 0 0 +4 +3 1 0x38dc 0xed4057db @@ -263271,6 +329089,8 @@ 0 0 2 +5 +2 0xe5a 0x923d3c05 256 @@ -263278,6 +329098,8 @@ 0 0 0 +5 +5 2 0x8458 0xbb1e8faa @@ -263286,6 +329108,8 @@ 0 0 0 +3 +4 4 0xfc79 0xddd4fae0 @@ -263294,6 +329118,8 @@ 0 0 0 +5 +1 1 0x1fbe 0x4eed2e7a @@ -263302,6 +329128,8 @@ 0 0 0 +5 +0 3 0x980e 0xb6d54f97 @@ -263310,6 +329138,8 @@ 1 1 0 +5 +3 1 0xfe20 0xe2ef212a @@ -263318,6 +329148,8 @@ 1 1 0 +4 +5 3 0xed87 0x5f41359c @@ -263326,6 +329158,8 @@ 0 0 0 +2 +2 1 0xe2a7 0x57978161 @@ -263335,6 +329169,8 @@ 0 0 1 +1 +1 0xab77 0xbf9d3d1 256 @@ -263343,6 +329179,8 @@ 0 0 2 +0 +2 0xd7ac 0xf882d79f 256 @@ -263350,6 +329188,8 @@ 0 0 0 +5 +3 2 0xad57 0xf49709f4 @@ -263358,6 +329198,8 @@ 1 1 0 +5 +2 2 0x8dc 0xe564b8ab @@ -263366,6 +329208,8 @@ 1 1 0 +1 +3 3 0x1496 0xe30c256 @@ -263375,6 +329219,8 @@ 0 0 4 +3 +4 0x897 0xd6070e93 256 @@ -263382,6 +329228,8 @@ 0 0 0 +4 +5 1 0xb66c 0x31b2695 @@ -263390,6 +329238,8 @@ 0 0 0 +5 +1 4 0x38b0 0x737260f6 @@ -263399,6 +329249,8 @@ 0 0 4 +0 +4 0xe9e1 0xcfa8d1f5 256 @@ -263406,6 +329258,8 @@ 1 1 0 +5 +3 3 0xd1af 0x91252259 @@ -263414,6 +329268,8 @@ 0 0 0 +5 +5 4 0xb414 0x7be8ea5c @@ -263422,6 +329278,8 @@ 0 0 0 +3 +3 2 0x5b5a 0x570ee1f4 @@ -263430,6 +329288,8 @@ 1 0 0 +1 +5 2 0xdee5 0xef06055d @@ -263438,6 +329298,8 @@ 1 0 0 +5 +2 4 0xe5a5 0x833fffb9 @@ -263446,6 +329308,8 @@ 0 0 0 +3 +1 4 0x5544 0x7ee73d7f @@ -263454,6 +329318,8 @@ 1 1 0 +1 +1 2 0x8934 0x36a573c0 @@ -263462,6 +329328,8 @@ 0 0 0 +3 +0 4 0x43a0 0x9c9bebb2 @@ -263470,6 +329338,8 @@ 1 1 0 +4 +3 3 0xec8b 0xe74a164d @@ -263478,6 +329348,8 @@ 0 0 0 +4 +5 3 0x98b1 0x3d2a2f81 @@ -263487,6 +329359,8 @@ 0 0 3 +2 +3 0x5159 0x52afa52a 256 @@ -263494,6 +329368,8 @@ 0 0 0 +1 +4 4 0x391f 0x25236596 @@ -263502,6 +329378,8 @@ 0 0 0 +2 +4 1 0xe33 0x1a37f5f6 @@ -263510,6 +329388,8 @@ 1 0 0 +4 +1 3 0xec4c 0x567b7084 @@ -263518,6 +329398,8 @@ 1 1 0 +0 +3 3 0xbb0 0x51f5b0a3 @@ -263527,6 +329409,8 @@ 1 0 1 +3 +1 0x31b5 0x72fa9d20 256 @@ -263534,6 +329418,8 @@ 0 0 0 +2 +0 3 0x52a0 0x7e2efcb2 @@ -263542,6 +329428,8 @@ 0 0 0 +1 +1 4 0xa219 0x129ad893 @@ -263550,6 +329438,8 @@ 0 0 0 +1 +2 2 0xffe0 0x98f5696d @@ -263558,6 +329448,8 @@ 1 1 0 +5 +5 4 0xe431 0x6fd9581d @@ -263567,6 +329459,8 @@ 1 0 1 +2 +1 0x5f35 0x81752777 256 @@ -263574,6 +329468,8 @@ 1 0 0 +1 +5 2 0x6d83 0xfd3398fe @@ -263582,6 +329478,8 @@ 1 0 0 +3 +1 1 0xf52b 0x62415ce8 @@ -263590,6 +329488,8 @@ 1 0 0 +4 +3 1 0x48b 0x448dad44 @@ -263598,6 +329498,8 @@ 0 0 0 +2 +1 1 0x731d 0xcb0e5667 @@ -263606,6 +329508,8 @@ 0 0 0 +5 +3 4 0xbfbf 0xd5e3973e @@ -263614,6 +329518,8 @@ 0 0 0 +3 +2 4 0x5830 0x67036a3f @@ -263623,6 +329529,8 @@ 0 0 4 +0 +4 0xcda 0x9867939f 256 @@ -263630,6 +329538,8 @@ 0 0 0 +1 +4 3 0x379f 0xabb6029 @@ -263638,6 +329548,8 @@ 0 0 0 +2 +5 4 0x8256 0x792de984 @@ -263646,6 +329558,8 @@ 0 0 0 +1 +2 3 0xc3e4 0x349e4d2f @@ -263655,6 +329569,8 @@ 0 0 3 +0 +3 0x6d0b 0x1d7b8477 256 @@ -263662,6 +329578,8 @@ 1 1 0 +1 +1 2 0x9835 0xfc4f9e23 @@ -263670,6 +329588,8 @@ 1 1 0 +4 +2 1 0xe10 0xe957ceec @@ -263678,6 +329598,8 @@ 0 0 0 +0 +5 3 0x7f28 0xc2acc15 @@ -263686,6 +329608,8 @@ 1 0 0 +5 +4 3 0x8b6e 0xb1afcef5 @@ -263694,6 +329618,8 @@ 0 0 0 +0 +1 3 0x336a 0xdb5298d5 @@ -263702,6 +329628,8 @@ 0 0 0 +1 +0 4 0xa3bb 0x5ae34193 @@ -263710,6 +329638,8 @@ 1 0 0 +5 +3 3 0xc996 0xdcb0e752 @@ -263718,6 +329648,8 @@ 1 0 0 +0 +2 2 0x4980 0x1fc1ef47 @@ -263726,6 +329658,8 @@ 0 0 0 +3 +1 2 0x96e8 0x50b73a9f @@ -263734,6 +329668,8 @@ 0 0 0 +2 +2 1 0x3945 0x53b236ac @@ -263742,6 +329678,8 @@ 1 0 0 +4 +3 3 0x7f3a 0xdc6fb0e4 @@ -263750,6 +329688,8 @@ 1 1 0 +4 +1 3 0x3b7c 0xb406fadf @@ -263759,6 +329699,8 @@ 0 0 1 +4 +1 0x1245 0x80e1a65b 256 @@ -263766,6 +329708,8 @@ 1 0 0 +5 +5 3 0xeed9 0x6a9f8acc @@ -263775,6 +329719,8 @@ 1 0 1 +3 +1 0xc96 0x6735419 256 @@ -263782,6 +329728,8 @@ 0 0 0 +2 +1 3 0x60f4 0x3f99619 @@ -263790,6 +329738,8 @@ 1 0 0 +3 +3 1 0x306c 0xc4736bd7 @@ -263798,6 +329748,8 @@ 0 0 0 +3 +5 1 0x8cdb 0xa4aba617 @@ -263806,6 +329758,8 @@ 1 1 0 +5 +3 1 0x81be 0xc7841960 @@ -263814,6 +329768,8 @@ 1 0 0 +2 +0 1 0x7c42 0x4f1ffad9 @@ -263822,6 +329778,8 @@ 0 0 0 +1 +3 2 0x21fa 0x30475196 @@ -263830,6 +329788,8 @@ 1 0 0 +5 +4 3 0x4f9e 0x56cca1f @@ -263838,6 +329798,8 @@ 1 0 0 +2 +4 3 0x8a3a 0xbf575170 @@ -263846,6 +329808,8 @@ 0 0 0 +5 +2 1 0x80dc 0xfd85280a @@ -263854,6 +329818,8 @@ 1 0 0 +1 +5 4 0x5161 0x78b2b8e1 @@ -263862,6 +329828,8 @@ 0 0 0 +0 +1 1 0x8a38 0x3bb8e233 @@ -263870,6 +329838,8 @@ 1 0 0 +1 +0 4 0x64d6 0xdfb36a92 @@ -263878,6 +329848,8 @@ 0 0 0 +4 +0 1 0xf8af 0xd9d3fdaf @@ -263886,6 +329858,8 @@ 1 0 0 +0 +3 4 0x5782 0x8d49086e @@ -263894,6 +329868,8 @@ 0 0 0 +3 +4 2 0x2fe0 0xb0f50a1d @@ -263902,6 +329878,8 @@ 1 1 0 +1 +2 2 0x6eed 0x140a031b @@ -263910,6 +329888,8 @@ 1 1 0 +3 +2 2 0x849a 0x80ee1de8 @@ -263918,6 +329898,8 @@ 0 0 0 +4 +4 3 0xe9b8 0x40196180 @@ -263926,6 +329908,8 @@ 0 0 0 +2 +2 4 0x12d5 0x71fb120d @@ -263934,6 +329918,8 @@ 1 1 0 +0 +2 2 0x2ed2 0x452d8246 @@ -263942,6 +329928,8 @@ 0 0 0 +4 +3 3 0x370d 0x21459879 @@ -263950,6 +329938,8 @@ 1 0 0 +5 +0 4 0xeb72 0xcf246307 @@ -263958,6 +329948,8 @@ 0 0 0 +4 +1 1 0xd271 0xc524d36d @@ -263966,6 +329958,8 @@ 1 1 0 +3 +1 4 0xc719 0xd103943 @@ -263974,6 +329968,8 @@ 1 0 0 +3 +4 1 0x3d64 0x9c7dca76 @@ -263982,6 +329978,8 @@ 1 1 0 +1 +2 2 0x7980 0xe6dd0508 @@ -263990,6 +329988,8 @@ 0 0 0 +2 +2 1 0xf995 0x714693aa @@ -263998,6 +329998,8 @@ 0 0 0 +0 +4 2 0x83c 0x427396c4 @@ -264006,6 +330008,8 @@ 1 1 0 +0 +5 4 0x308d 0xe484118a @@ -264014,6 +330018,8 @@ 1 0 0 +1 +3 2 0x6966 0xe25801b @@ -264022,6 +330028,8 @@ 1 1 0 +0 +5 2 0xafd7 0xe9c552b3 @@ -264030,6 +330038,8 @@ 0 0 0 +0 +4 3 0x2e3b 0x83d0b504 @@ -264038,6 +330048,8 @@ 0 0 0 +2 +1 3 0x964e 0xfa03eb92 @@ -264046,6 +330058,8 @@ 1 1 0 +0 +5 1 0x85c7 0x2455aaae @@ -264054,6 +330068,8 @@ 1 1 0 +0 +3 4 0xe6d8 0x9da3de15 @@ -264063,6 +330079,8 @@ 0 0 1 +5 +1 0x87cf 0x349dc003 256 @@ -264070,6 +330088,8 @@ 0 0 0 +4 +1 1 0x2959 0xdb0ae3cf @@ -264078,6 +330098,8 @@ 1 0 0 +3 +0 4 0x9ff3 0x650de0da @@ -264086,6 +330108,8 @@ 0 0 0 +3 +4 1 0x3fa1 0x435e0de7 @@ -264094,6 +330118,8 @@ 0 0 0 +3 +3 4 0x960e 0xff67a4f4 @@ -264102,6 +330128,8 @@ 0 0 0 +3 +2 1 0xe199 0x3305e5c1 @@ -264110,6 +330138,8 @@ 1 1 0 +2 +0 4 0xa570 0x8db416ed @@ -264118,6 +330148,8 @@ 0 0 0 +5 +3 3 0xdf7c 0x44ec680e @@ -264126,6 +330158,8 @@ 0 0 0 +0 +5 2 0xb593 0xcb3e82f5 @@ -264134,6 +330168,8 @@ 1 0 0 +5 +2 2 0x1077 0x6189d547 @@ -264142,6 +330178,8 @@ 0 0 0 +0 +1 2 0xde08 0xf67184f @@ -264150,6 +330188,8 @@ 0 0 0 +1 +1 4 0x9872 0xc4000187 @@ -264158,6 +330198,8 @@ 1 1 0 +3 +5 1 0x9b42 0x220e3a25 @@ -264167,6 +330209,8 @@ 1 0 4 +5 +4 0x8d81 0xfb8bb553 256 @@ -264175,6 +330219,8 @@ 0 0 2 +5 +2 0x44dc 0x22c522a0 256 @@ -264182,6 +330228,8 @@ 1 1 0 +0 +5 3 0x128b 0x3225f5e1 @@ -264190,6 +330238,8 @@ 1 1 0 +4 +2 1 0xd270 0xc8a0b1c6 @@ -264198,6 +330248,8 @@ 1 1 0 +4 +0 3 0x9f41 0x19f5f62d @@ -264206,6 +330258,8 @@ 1 0 0 +4 +5 3 0xd4e9 0xdc624415 @@ -264214,6 +330268,8 @@ 0 0 0 +2 +1 3 0x2d2b 0xa3362e80 @@ -264223,6 +330279,8 @@ 1 0 3 +4 +3 0xb385 0x55ebc165 256 @@ -264230,6 +330288,8 @@ 1 1 0 +5 +5 1 0x6542 0x74766cdf @@ -264239,6 +330299,8 @@ 0 0 1 +1 +1 0x4432 0xaae8ac8 256 @@ -264247,6 +330309,8 @@ 0 0 1 +5 +1 0x6e3d 0x1ae7eb23 256 @@ -264254,6 +330318,8 @@ 1 0 0 +3 +0 2 0xe346 0x9842e48a @@ -264262,6 +330328,8 @@ 1 0 0 +0 +3 4 0x853 0x43037228 @@ -264271,6 +330339,8 @@ 0 0 1 +5 +1 0x1490 0x65278f52 256 @@ -264278,6 +330348,8 @@ 0 0 0 +0 +2 4 0x67ef 0x2ee4f4a2 @@ -264287,6 +330359,8 @@ 0 0 3 +5 +3 0xc046 0x64e865a6 256 @@ -264294,6 +330368,8 @@ 0 0 0 +5 +0 4 0x8467 0x55971d18 @@ -264302,6 +330378,8 @@ 0 0 0 +2 +5 4 0xe016 0x13ad5285 @@ -264311,6 +330389,8 @@ 0 0 1 +1 +1 0x1dab 0xa07380e1 256 @@ -264319,6 +330399,8 @@ 0 0 3 +0 +3 0x2031 0x51828b29 256 @@ -264326,6 +330408,8 @@ 1 1 0 +0 +1 3 0x4c90 0x45234a63 @@ -264334,6 +330418,8 @@ 1 1 0 +3 +4 1 0x6c99 0xc7579950 @@ -264342,6 +330428,8 @@ 1 1 0 +3 +3 1 0x18d8 0xbab3f9b5 @@ -264350,6 +330438,8 @@ 0 0 0 +2 +0 3 0x59a0 0x9ef2862 @@ -264359,6 +330449,8 @@ 0 0 3 +0 +3 0x76f4 0x64cfb009 256 @@ -264367,6 +330459,8 @@ 0 0 1 +0 +1 0xbf3 0xe22da5e8 256 @@ -264374,6 +330468,8 @@ 0 0 0 +1 +0 4 0x7f36 0x3bc66e62 @@ -264383,6 +330479,8 @@ 0 0 3 +4 +3 0xe771 0xecc32b30 256 @@ -264390,6 +330488,8 @@ 1 0 0 +3 +4 1 0x6347 0xbeb57ed0 @@ -264398,6 +330498,8 @@ 1 0 0 +3 +2 4 0x46df 0xb9fec24 @@ -264406,6 +330508,8 @@ 0 0 0 +3 +4 4 0x38b7 0x253d2647 @@ -264414,6 +330518,8 @@ 0 0 0 +1 +2 3 0xc4b 0xc17f49c2 @@ -264422,6 +330528,8 @@ 1 1 0 +3 +2 2 0x3632 0x765b7636 @@ -264430,6 +330538,8 @@ 1 0 0 +4 +2 3 0x2be0 0x2541f4d3 @@ -264438,6 +330548,8 @@ 1 0 0 +3 +0 2 0x8173 0x39b7ef3c @@ -264446,6 +330558,8 @@ 1 0 0 +3 +3 2 0xa252 0xe5d907e4 @@ -264454,6 +330568,8 @@ 0 0 0 +4 +3 1 0xcd72 0xa328723f @@ -264462,6 +330578,8 @@ 0 0 0 +1 +5 4 0xa9d 0xc51e33b0 @@ -264470,6 +330588,8 @@ 0 0 0 +0 +2 4 0x8d9f 0xccd73937 @@ -264478,6 +330598,8 @@ 0 0 0 +5 +0 3 0x729a 0x7fd8c21 @@ -264486,6 +330608,8 @@ 1 0 0 +3 +4 2 0x47e2 0x39e72a93 @@ -264494,6 +330618,8 @@ 0 0 0 +4 +5 3 0x74d6 0xf2083de7 @@ -264502,6 +330628,8 @@ 1 0 0 +1 +1 2 0x6e87 0xf5091876 @@ -264510,6 +330638,8 @@ 0 0 0 +5 +0 2 0xba32 0x41515eb4 @@ -264518,6 +330648,8 @@ 0 0 0 +1 +1 3 0x45cb 0xaeadcee6 @@ -264526,6 +330658,8 @@ 1 1 0 +0 +3 2 0xe2ff 0x52618104 @@ -264534,6 +330668,8 @@ 1 0 0 +5 +1 4 0xff2c 0x1bc802c2 @@ -264542,6 +330678,8 @@ 1 1 0 +2 +3 4 0xe94d 0x51f351d2 @@ -264550,6 +330688,8 @@ 1 0 0 +1 +2 2 0x2e49 0xc3683 @@ -264558,6 +330698,8 @@ 0 0 0 +5 +5 1 0xd763 0x921e87a3 @@ -264566,6 +330708,8 @@ 1 0 0 +4 +5 2 0xba38 0x76902db3 @@ -264574,6 +330718,8 @@ 0 0 0 +3 +1 1 0xab6c 0xf0a2087f @@ -264582,6 +330728,8 @@ 1 1 0 +0 +3 2 0x95ab 0xac8de052 @@ -264590,6 +330738,8 @@ 0 0 0 +2 +3 3 0x9944 0x976293da @@ -264598,6 +330748,8 @@ 1 0 0 +0 +4 2 0x497f 0x845271e5 @@ -264606,6 +330758,8 @@ 1 1 0 +3 +5 1 0x26f1 0x8a130619 @@ -264614,6 +330768,8 @@ 0 0 0 +4 +1 2 0xc53f 0xd61c7542 @@ -264622,6 +330778,8 @@ 0 0 0 +5 +4 3 0xc8fc 0xb456b96b @@ -264630,6 +330788,8 @@ 0 0 0 +0 +5 3 0x48d4 0xdf71774b @@ -264638,6 +330798,8 @@ 0 0 0 +4 +0 3 0xacae 0xbfeb4fe7 @@ -264646,6 +330808,8 @@ 0 0 0 +2 +1 4 0xeb99 0x46667d62 @@ -264654,6 +330818,8 @@ 1 1 0 +1 +2 2 0x7d84 0x944d5948 @@ -264662,6 +330828,8 @@ 1 1 0 +3 +1 1 0xdfa9 0x60783d2b @@ -264670,6 +330838,8 @@ 1 0 0 +2 +2 3 0x50ae 0xe10320d5 @@ -264678,6 +330848,8 @@ 0 0 0 +5 +2 4 0xad26 0xca566fb0 @@ -264686,6 +330858,8 @@ 0 0 0 +2 +3 4 0xbb89 0x1244004f @@ -264694,6 +330868,8 @@ 1 1 0 +0 +0 2 0x25f7 0x1824236c @@ -264702,6 +330878,8 @@ 1 0 0 +0 +3 4 0x21e7 0x2fd2e5b6 @@ -264711,6 +330889,8 @@ 0 0 3 +1 +3 0x866f 0xa20fc090 256 @@ -264718,6 +330898,8 @@ 0 0 0 +5 +0 1 0xc098 0xa422b807 @@ -264726,6 +330908,8 @@ 1 0 0 +2 +1 4 0xfa3a 0x633eea7c @@ -264734,6 +330918,8 @@ 1 1 0 +2 +4 3 0x22da 0x6cd70e2b @@ -264742,6 +330928,8 @@ 1 0 0 +1 +5 3 0xdfe2 0xa25ecc8a @@ -264750,6 +330938,8 @@ 1 1 0 +2 +0 4 0x4c6 0x528f9eb7 @@ -264758,6 +330948,8 @@ 1 0 0 +3 +4 4 0xcc7e 0x963602c0 @@ -264766,6 +330958,8 @@ 1 1 0 +5 +3 3 0x52d6 0x1d28380f @@ -264774,6 +330968,8 @@ 0 0 0 +1 +0 3 0xc67c 0x49681e5a @@ -264782,6 +330978,8 @@ 1 0 0 +5 +1 3 0x5361 0x4bb98e36 @@ -264790,6 +330988,8 @@ 1 1 0 +4 +1 2 0xa540 0xda436e8a @@ -264798,6 +330998,8 @@ 0 0 0 +5 +2 2 0xab42 0xe70214ff @@ -264806,6 +331008,8 @@ 0 0 0 +3 +4 2 0x3235 0x178d88a1 @@ -264814,6 +331018,8 @@ 0 0 0 +5 +1 4 0x5c1d 0x366652f7 @@ -264822,6 +331028,8 @@ 0 0 0 +4 +4 2 0xec6c 0xdc125b5f @@ -264831,6 +331039,8 @@ 0 0 2 +0 +2 0x4775 0xab3ba858 256 @@ -264839,6 +331049,8 @@ 1 0 3 +4 +3 0x4f9a 0x429e1c39 256 @@ -264846,6 +331058,8 @@ 1 0 0 +1 +1 4 0xf551 0xa9ff8f90 @@ -264854,6 +331068,8 @@ 1 1 0 +0 +0 4 0xa96b 0x65b49c8a @@ -264862,6 +331078,8 @@ 1 0 0 +5 +4 4 0xd6b3 0x202a7c8b @@ -264870,6 +331088,8 @@ 0 0 0 +2 +0 1 0xb051 0xdd8faa46 @@ -264878,6 +331098,8 @@ 0 0 0 +0 +2 2 0xda57 0x462bb033 @@ -264886,6 +331108,8 @@ 0 0 0 +4 +1 3 0xe9a3 0x94fe402a @@ -264894,6 +331118,8 @@ 0 0 0 +1 +2 4 0xd3a5 0xd1982a3 @@ -264902,6 +331128,8 @@ 1 1 0 +4 +5 2 0x4022 0xc2fc5ab3 @@ -264910,6 +331138,8 @@ 0 0 0 +2 +0 3 0x4055 0xeabdaa47 @@ -264918,6 +331148,8 @@ 1 0 0 +1 +4 4 0x4697 0xf97ad107 @@ -264927,6 +331159,8 @@ 0 0 1 +1 +1 0xb984 0x71bdb025 256 @@ -264934,6 +331168,8 @@ 0 0 0 +0 +5 2 0x9f2b 0x2100746f @@ -264942,6 +331178,8 @@ 0 0 0 +0 +4 4 0x256a 0x7707ec3a @@ -264950,6 +331188,8 @@ 1 1 0 +3 +5 2 0xeb9b 0x51820559 @@ -264958,6 +331198,8 @@ 1 0 0 +0 +3 1 0x615d 0xbe9c3460 @@ -264966,6 +331208,8 @@ 1 1 0 +0 +5 3 0x3ee7 0xd32ae967 @@ -264974,6 +331218,8 @@ 1 0 0 +1 +0 4 0x43d0 0x603b5181 @@ -264982,6 +331228,8 @@ 1 0 0 +5 +3 1 0x1d65 0x5ac26e8e @@ -264990,6 +331238,8 @@ 1 1 0 +2 +0 3 0xa915 0x55a0859 @@ -264998,6 +331248,8 @@ 0 0 0 +4 +1 3 0x4f4e 0xfb75f40 @@ -265006,6 +331258,8 @@ 0 0 0 +0 +2 4 0x89cc 0x37f9d2ba @@ -265014,6 +331268,8 @@ 1 1 0 +1 +2 3 0xb36a 0x9d456d8e @@ -265023,6 +331279,8 @@ 0 0 3 +5 +3 0xeb34 0x87e37f5a 256 @@ -265030,6 +331288,8 @@ 1 0 0 +4 +2 3 0x58f3 0xb834e438 @@ -265038,6 +331298,8 @@ 0 0 0 +2 +3 3 0xdabf 0x7754ec3a @@ -265046,6 +331308,8 @@ 1 0 0 +5 +5 2 0xbfec 0xca582ace @@ -265054,6 +331318,8 @@ 1 1 0 +2 +2 1 0x5088 0x362860c7 @@ -265063,6 +331329,8 @@ 0 0 1 +4 +1 0x1298 0x22c4e0b1 256 @@ -265070,6 +331338,8 @@ 1 1 0 +5 +5 2 0x5a8c 0x722488b8 @@ -265079,6 +331349,8 @@ 1 0 2 +3 +2 0xe37a 0xa344595d 256 @@ -265086,6 +331358,8 @@ 1 0 0 +3 +2 1 0x143a 0x85595137 @@ -265095,6 +331369,8 @@ 1 0 4 +4 +4 0x8d15 0x9464ef99 256 @@ -265102,6 +331378,8 @@ 1 0 0 +1 +3 3 0x9283 0xbde29730 @@ -265110,6 +331388,8 @@ 1 1 0 +1 +2 2 0x8913 0x2f06cdaf @@ -265118,6 +331398,8 @@ 1 0 0 +1 +3 4 0xadf0 0x1b828851 @@ -265126,6 +331408,8 @@ 0 0 0 +3 +4 1 0x186b 0x5f670e32 @@ -265134,6 +331418,8 @@ 1 1 0 +1 +3 4 0xe035 0x6dd50fad @@ -265142,6 +331428,8 @@ 0 0 0 +3 +5 4 0x5271 0xb853155b @@ -265151,6 +331439,8 @@ 0 0 4 +3 +4 0xc49e 0xe9d67193 256 @@ -265158,6 +331448,8 @@ 0 0 0 +5 +5 2 0x5385 0x98224a0f @@ -265166,6 +331458,8 @@ 1 1 0 +4 +2 1 0xe3a1 0x7ffe511a @@ -265175,6 +331469,8 @@ 0 0 4 +4 +4 0xf9de 0x49152c08 256 @@ -265182,6 +331478,8 @@ 1 0 0 +1 +3 2 0xbabc 0x9e64d5ba @@ -265190,6 +331488,8 @@ 0 0 0 +4 +0 1 0x6811 0xbaff1a7a @@ -265199,6 +331499,8 @@ 0 0 1 +0 +1 0x13bc 0x8f33986d 256 @@ -265206,6 +331508,8 @@ 1 1 0 +3 +3 1 0x66a9 0x2271bd6a @@ -265214,6 +331518,8 @@ 1 0 0 +3 +2 2 0xdb10 0x5465ac2f @@ -265222,6 +331528,8 @@ 1 0 0 +2 +3 3 0x2519 0xfd665384 @@ -265231,6 +331539,8 @@ 0 0 4 +0 +4 0xc62b 0x3a94e639 256 @@ -265238,6 +331548,8 @@ 0 0 0 +5 +2 2 0x59ec 0x92e8a507 @@ -265246,6 +331558,8 @@ 0 0 0 +0 +3 3 0x1fd0 0xfd40b58a @@ -265254,6 +331568,8 @@ 1 0 0 +1 +2 3 0x3dde 0x1c0cf502 @@ -265262,6 +331578,8 @@ 1 0 0 +0 +1 4 0x5372 0x68c4c034 @@ -265270,6 +331588,8 @@ 1 1 0 +0 +2 3 0xb00a 0x4972a9da @@ -265279,6 +331599,8 @@ 0 0 3 +2 +3 0x9f8 0xede01f75 256 @@ -265287,6 +331609,8 @@ 1 0 1 +1 +1 0x71f3 0xf8f275fb 256 @@ -265294,6 +331618,8 @@ 0 0 0 +4 +4 2 0x831f 0xe6aabab8 @@ -265302,6 +331628,8 @@ 0 0 0 +5 +1 2 0xe589 0x3d370f30 @@ -265310,6 +331638,8 @@ 0 0 0 +1 +3 2 0xbafd 0xcca70582 @@ -265319,6 +331649,8 @@ 0 0 2 +0 +2 0xc260 0xb26333ca 256 @@ -265326,6 +331658,8 @@ 0 0 0 +2 +1 4 0xd120 0x677451df @@ -265334,6 +331668,8 @@ 0 0 0 +3 +3 4 0x8876 0xef2a6ba0 @@ -265342,6 +331678,8 @@ 1 0 0 +3 +0 4 0x319a 0x89aba179 @@ -265351,6 +331689,8 @@ 1 0 1 +3 +1 0xc7bc 0x6b724a47 256 @@ -265358,6 +331698,8 @@ 1 0 0 +0 +4 1 0xeb67 0x6a4af5f8 @@ -265366,6 +331708,8 @@ 1 1 0 +5 +1 1 0xd839 0xf83bd545 @@ -265374,6 +331718,8 @@ 0 0 0 +2 +4 1 0x3576 0x91f6aca3 @@ -265382,6 +331728,8 @@ 1 1 0 +4 +3 2 0x622f 0xd8e70487 @@ -265390,6 +331738,8 @@ 0 0 0 +3 +4 2 0xbf91 0x9b593b17 @@ -265398,6 +331748,8 @@ 1 1 0 +2 +4 3 0xd94e 0xfd54a442 @@ -265407,6 +331759,8 @@ 0 0 3 +1 +3 0x45be 0x5ab630e4 256 @@ -265414,6 +331768,8 @@ 1 1 0 +0 +2 3 0x2ec5 0x229d627b @@ -265422,6 +331778,8 @@ 1 0 0 +2 +4 3 0x566d 0x8de721aa @@ -265430,6 +331788,8 @@ 1 1 0 +0 +5 4 0xda49 0x94d30ac4 @@ -265439,6 +331799,8 @@ 0 0 2 +5 +2 0x5655 0x4878f8ed 256 @@ -265446,6 +331808,8 @@ 1 1 0 +2 +3 3 0x57be 0x7ad4d06f @@ -265454,6 +331818,8 @@ 1 0 0 +4 +3 3 0x811b 0x53b00284 @@ -265463,6 +331829,8 @@ 1 0 4 +2 +4 0x310d 0x66ac9633 256 @@ -265470,6 +331838,8 @@ 1 0 0 +1 +3 4 0x5285 0x34a582ee @@ -265478,6 +331848,8 @@ 0 0 0 +2 +0 3 0xdded 0xbf4aaa6c @@ -265486,6 +331858,8 @@ 0 0 0 +5 +5 3 0x6b7f 0xaa439858 @@ -265494,6 +331868,8 @@ 0 0 0 +0 +5 4 0x1e2f 0x776b930d @@ -265502,6 +331878,8 @@ 0 0 0 +1 +4 4 0x90af 0x513854a8 @@ -265510,6 +331888,8 @@ 0 0 0 +2 +0 4 0xf18b 0x4d89594f @@ -265518,6 +331898,8 @@ 0 0 0 +4 +1 3 0x9bce 0x457d6dba @@ -265527,6 +331909,8 @@ 0 0 4 +4 +4 0xf03e 0x427930eb 256 @@ -265534,6 +331918,8 @@ 0 0 0 +3 +0 2 0x5dac 0xbf12bd92 @@ -265542,6 +331928,8 @@ 0 0 0 +5 +0 3 0x3b10 0x1663dde6 @@ -265550,6 +331938,8 @@ 1 1 0 +3 +3 4 0x42b8 0xbafc7afa @@ -265558,6 +331948,8 @@ 0 0 0 +5 +2 4 0x1875 0xc2204117 @@ -265567,6 +331959,8 @@ 0 0 4 +4 +4 0xbabb 0x1d26f3e8 256 @@ -265575,6 +331969,8 @@ 0 0 1 +5 +1 0x5a76 0x6c5e33c2 256 @@ -265582,6 +331978,8 @@ 0 0 0 +5 +3 4 0xe2d5 0xd4514dca @@ -265590,6 +331988,8 @@ 0 0 0 +4 +4 2 0x2363 0xee462eeb @@ -265598,6 +331998,8 @@ 0 0 0 +3 +3 1 0x2eb3 0xd396adde @@ -265606,6 +332008,8 @@ 0 0 0 +5 +4 1 0x3fe7 0x484929a0 @@ -265614,6 +332018,8 @@ 0 0 0 +3 +3 4 0xf8d 0x879e5f9a @@ -265622,6 +332028,8 @@ 1 0 0 +0 +0 2 0x1156 0xec5ef44b @@ -265630,6 +332038,8 @@ 0 0 0 +5 +5 2 0xdabc 0xd00dc6fc @@ -265638,6 +332048,8 @@ 1 1 0 +0 +5 2 0x916d 0x890bc7a4 @@ -265646,6 +332058,8 @@ 0 0 0 +5 +2 1 0x5a67 0x7441bd5f @@ -265654,6 +332068,8 @@ 0 0 0 +5 +2 4 0x2548 0xa6262db1 @@ -265662,6 +332078,8 @@ 0 0 0 +1 +2 3 0xbe01 0x8c035488 @@ -265671,6 +332089,8 @@ 1 0 2 +3 +2 0x36aa 0xc40900fb 256 @@ -265679,6 +332099,8 @@ 0 0 4 +0 +4 0x92e1 0x3469036e 256 @@ -265686,6 +332108,8 @@ 0 0 0 +0 +4 2 0x731 0xb2ac11e9 @@ -265694,6 +332118,8 @@ 0 0 0 +3 +3 2 0x6a45 0x354fff1f @@ -265702,6 +332128,8 @@ 0 0 0 +1 +3 2 0xc42a 0x4f6069e1 @@ -265710,6 +332138,8 @@ 0 0 0 +3 +5 1 0x5ea 0x47e20b57 @@ -265718,6 +332148,8 @@ 1 0 0 +4 +1 2 0xabbc 0xb80963c @@ -265726,6 +332158,8 @@ 0 0 0 +5 +2 3 0x8d98 0x298ffbaf @@ -265734,6 +332168,8 @@ 0 0 0 +0 +1 4 0xaebc 0x54cba68 @@ -265742,6 +332178,8 @@ 0 0 0 +5 +4 3 0xb613 0x92511949 @@ -265750,6 +332188,8 @@ 1 1 0 +0 +2 1 0x5240 0x78e6e515 @@ -265758,6 +332198,8 @@ 0 0 0 +3 +3 2 0x151f 0x39ea1cf9 @@ -265766,6 +332208,8 @@ 0 0 0 +3 +1 4 0xd206 0xbea2f38c @@ -265774,6 +332218,8 @@ 0 0 0 +5 +2 2 0xe815 0xd39ffec0 @@ -265782,6 +332228,8 @@ 0 0 0 +1 +2 2 0x44d 0x38539614 @@ -265790,6 +332238,8 @@ 1 1 0 +2 +0 3 0x1169 0x5355b0d9 @@ -265798,6 +332248,8 @@ 1 1 0 +0 +5 4 0xad99 0x948a3da9 @@ -265807,6 +332259,8 @@ 0 0 4 +2 +4 0x1b10 0x5637f93e 256 @@ -265814,6 +332268,8 @@ 0 0 0 +0 +4 3 0x391f 0x29214b6b @@ -265822,6 +332278,8 @@ 0 0 0 +0 +2 2 0xeace 0x16e2d703 @@ -265830,6 +332288,8 @@ 0 0 0 +3 +4 2 0x5375 0xf239ea86 @@ -265838,6 +332298,8 @@ 1 0 0 +3 +5 2 0x2112 0xc606a48c @@ -265846,6 +332308,8 @@ 1 0 0 +3 +5 1 0xd279 0xe8495e6c @@ -265855,6 +332319,8 @@ 0 0 3 +0 +3 0x8b93 0xc06626f5 256 @@ -265862,6 +332328,8 @@ 1 0 0 +5 +2 1 0xbb85 0x9839ee8c @@ -265870,6 +332338,8 @@ 1 1 0 +5 +1 1 0x91a3 0x2e24e15e @@ -265878,6 +332348,8 @@ 0 0 0 +3 +0 2 0x48d2 0x9b8135fd @@ -265886,6 +332358,8 @@ 1 1 0 +3 +1 2 0xf0d8 0x293d004e @@ -265894,6 +332368,8 @@ 1 0 0 +5 +1 2 0xd19c 0xbf39ac52 @@ -265902,6 +332378,8 @@ 0 0 0 +5 +3 3 0xaa19 0x829222f5 @@ -265910,6 +332388,8 @@ 1 0 0 +0 +2 2 0xf6d8 0x7b4bfddc @@ -265918,6 +332398,8 @@ 0 0 0 +4 +5 1 0xc355 0x902110f9 @@ -265926,6 +332408,8 @@ 0 0 0 +2 +4 3 0xc83 0x59611d9e @@ -265934,6 +332418,8 @@ 0 0 0 +5 +4 3 0x848a 0x112b0047 @@ -265942,6 +332428,8 @@ 1 1 0 +2 +5 1 0x1d72 0x39623dfd @@ -265950,6 +332438,8 @@ 1 1 0 +3 +0 4 0xdc07 0x241030a9 @@ -265958,6 +332448,8 @@ 1 1 0 +5 +4 1 0xfa0 0xe9c9b568 @@ -265966,6 +332458,8 @@ 1 0 0 +5 +1 1 0xaa75 0xfbe557ae @@ -265975,6 +332469,8 @@ 0 0 4 +5 +4 0x5b4a 0x41080ef3 256 @@ -265982,6 +332478,8 @@ 1 0 0 +0 +0 2 0x74c6 0xa2303128 @@ -265990,6 +332488,8 @@ 1 1 0 +4 +1 3 0x8963 0xc62afaeb @@ -265999,6 +332499,8 @@ 0 0 1 +0 +1 0xee38 0x36241a6f 256 @@ -266006,6 +332508,8 @@ 0 0 0 +5 +4 2 0x180c 0xcf0c2dcd @@ -266014,6 +332518,8 @@ 1 1 0 +3 +1 4 0x13ae 0xc424241a @@ -266022,6 +332528,8 @@ 0 0 0 +1 +0 3 0xe451 0x74db1f38 @@ -266030,6 +332538,8 @@ 1 1 0 +4 +0 3 0xf285 0xbd7a8903 @@ -266038,6 +332548,8 @@ 1 0 0 +3 +2 1 0xe5db 0x392169a6 @@ -266046,6 +332558,8 @@ 1 1 0 +5 +3 2 0xe5ef 0x92e07fb5 @@ -266054,6 +332568,8 @@ 0 0 0 +2 +3 1 0x45d2 0xa92f08fc @@ -266062,6 +332578,8 @@ 1 0 0 +4 +5 2 0xa72b 0x7fd665cc @@ -266070,6 +332588,8 @@ 1 1 0 +0 +0 3 0x231f 0xf36ee4cc @@ -266078,6 +332598,8 @@ 1 1 0 +0 +0 3 0x8672 0xe83ff033 @@ -266086,6 +332608,8 @@ 0 0 0 +0 +4 4 0x4b1d 0x451a4967 @@ -266094,6 +332618,8 @@ 0 0 0 +3 +4 2 0xedbf 0xe466bf3b @@ -266102,6 +332628,8 @@ 1 0 0 +3 +3 4 0x9725 0xc46d8ad8 @@ -266111,6 +332639,8 @@ 0 0 4 +5 +4 0x5c3d 0x855b7b0b 256 @@ -266118,6 +332648,8 @@ 0 0 0 +4 +5 3 0xee48 0xca80540f @@ -266126,6 +332658,8 @@ 0 0 0 +5 +4 3 0x141f 0x924d55cf @@ -266135,6 +332669,8 @@ 0 0 2 +5 +2 0x5c90 0x97926131 256 @@ -266142,6 +332678,8 @@ 0 0 0 +1 +5 3 0x929 0xd62d1ca3 @@ -266150,6 +332688,8 @@ 0 0 0 +0 +5 1 0x4c1c 0xc1cf9c11 @@ -266158,6 +332698,8 @@ 0 0 0 +3 +0 4 0x1e8 0x48742c22 @@ -266166,6 +332708,8 @@ 1 0 0 +4 +2 1 0xca16 0xe7da5027 @@ -266174,6 +332718,8 @@ 0 0 0 +2 +1 1 0xad10 0x3ae681a8 @@ -266182,6 +332728,8 @@ 0 0 0 +5 +1 4 0x6d3a 0x65ce81bb @@ -266190,6 +332738,8 @@ 0 0 0 +0 +0 4 0xa78a 0x3f778e74 @@ -266199,6 +332749,8 @@ 0 0 1 +1 +1 0xbc02 0x30b6532d 256 @@ -266206,6 +332758,8 @@ 1 0 0 +5 +5 3 0x9f2f 0xe5d4213a @@ -266214,6 +332768,8 @@ 0 0 0 +4 +2 1 0x866f 0xae1333a1 @@ -266222,6 +332778,8 @@ 1 0 0 +2 +5 3 0x557e 0x82019147 @@ -266230,6 +332788,8 @@ 0 0 0 +5 +5 4 0x8466 0x423cd8f9 @@ -266238,6 +332798,8 @@ 1 0 0 +1 +5 4 0xc41f 0xd2d369fe @@ -266246,6 +332808,8 @@ 0 0 0 +3 +2 4 0xce01 0x9314ece @@ -266254,6 +332818,8 @@ 0 0 0 +0 +2 3 0x962b 0xaa9fb781 @@ -266262,6 +332828,8 @@ 0 0 0 +0 +0 1 0x9557 0x8a1e48af @@ -266270,6 +332838,8 @@ 1 1 0 +0 +2 2 0x2114 0xaf94b54c @@ -266278,6 +332848,8 @@ 1 0 0 +0 +4 3 0x1e80 0xa4dfdbd8 @@ -266286,6 +332858,8 @@ 0 0 0 +0 +5 4 0x7ca2 0xd2bb04ad @@ -266294,6 +332868,8 @@ 1 1 0 +5 +3 3 0x51ca 0x14119969 @@ -266302,6 +332878,8 @@ 0 0 0 +0 +4 3 0x12a0 0x9683e1c2 @@ -266310,6 +332888,8 @@ 0 0 0 +0 +3 3 0x7d5b 0xd0f598e9 @@ -266318,6 +332898,8 @@ 0 0 0 +3 +3 2 0xd28a 0xc9a9a17a @@ -266326,6 +332908,8 @@ 0 0 0 +2 +5 4 0x566 0xb681c440 @@ -266334,6 +332918,8 @@ 0 0 0 +5 +4 3 0xa420 0x2dd89ec7 @@ -266342,6 +332928,8 @@ 0 0 0 +4 +4 3 0x1a85 0xc3b193f4 @@ -266350,6 +332938,8 @@ 0 0 0 +5 +2 3 0xa326 0x65ed8d16 @@ -266358,6 +332948,8 @@ 0 0 0 +1 +1 3 0x3d82 0xbeabcefa @@ -266366,6 +332958,8 @@ 1 1 0 +5 +0 2 0x13cf 0xf97571d8 @@ -266374,6 +332968,8 @@ 1 0 0 +4 +3 2 0xd5fa 0x75b5db9f @@ -266382,6 +332978,8 @@ 1 1 0 +0 +0 3 0xefca 0x9d4e457a @@ -266390,6 +332988,8 @@ 1 0 0 +5 +4 3 0x1b70 0x245377b9 @@ -266398,6 +332998,8 @@ 1 1 0 +4 +3 3 0x3c17 0xbbdb2d06 @@ -266406,6 +333008,8 @@ 0 0 0 +1 +1 4 0x135f 0xf86915f3 @@ -266414,6 +333018,8 @@ 0 0 0 +4 +2 1 0x6fcc 0x20302146 @@ -266422,6 +333028,8 @@ 1 0 0 +0 +4 4 0xc2c4 0x1a9622b1 @@ -266430,6 +333038,8 @@ 1 0 0 +4 +3 3 0x2dd9 0x1a2beee3 @@ -266438,6 +333048,8 @@ 0 0 0 +1 +2 2 0x4bae 0x680ec221 @@ -266446,6 +333058,8 @@ 1 0 0 +1 +4 2 0xdd92 0x195cb4ba @@ -266454,6 +333068,8 @@ 0 0 0 +2 +2 1 0x8e44 0x356bae55 @@ -266462,6 +333078,8 @@ 1 1 0 +0 +4 2 0x579 0x602e71eb @@ -266470,6 +333088,8 @@ 1 0 0 +1 +4 4 0xf229 0x9dfde1f5 @@ -266478,6 +333098,8 @@ 1 1 0 +0 +3 4 0xbf43 0x8976ea2a @@ -266486,6 +333108,8 @@ 0 0 0 +2 +3 3 0x5c9a 0xcbebfaad @@ -266494,6 +333118,8 @@ 1 1 0 +5 +4 3 0x8b9 0x233d920d @@ -266502,6 +333128,8 @@ 0 0 0 +3 +2 4 0x2cb 0xf6f24130 @@ -266510,6 +333138,8 @@ 0 0 0 +0 +1 4 0xd819 0x50acddc5 @@ -266518,6 +333148,8 @@ 1 0 0 +4 +0 2 0x4f03 0x3ae78393 @@ -266527,6 +333159,8 @@ 0 0 1 +2 +1 0x9510 0x4df311eb 256 @@ -266534,6 +333168,8 @@ 0 0 0 +0 +0 3 0x222e 0x1bb7db13 @@ -266543,6 +333179,8 @@ 1 0 1 +0 +1 0xa41 0x65af929c 256 @@ -266550,6 +333188,8 @@ 1 1 0 +3 +5 4 0x918a 0x4e843a01 @@ -266558,6 +333198,8 @@ 0 0 0 +0 +0 1 0x2f54 0x9fd96bb9 @@ -266566,6 +333208,8 @@ 0 0 0 +4 +1 3 0xbcf7 0xa55a9e1b @@ -266575,6 +333219,8 @@ 0 0 3 +2 +3 0xbc46 0x6fd8e603 256 @@ -266582,6 +333228,8 @@ 0 0 0 +5 +2 1 0x4f83 0xf5e2c07c @@ -266590,6 +333238,8 @@ 1 0 0 +4 +4 2 0x7ad4 0xf4a4ef01 @@ -266598,6 +333248,8 @@ 1 1 0 +5 +5 1 0xaaae 0x4a25f627 @@ -266606,6 +333258,8 @@ 1 0 0 +0 +2 1 0x85c4 0xf0f10e44 @@ -266614,6 +333268,8 @@ 1 0 0 +3 +1 1 0xaeb5 0x3013ca92 @@ -266622,6 +333278,8 @@ 1 1 0 +1 +3 4 0xd7a3 0xb3791553 @@ -266630,6 +333288,8 @@ 0 0 0 +3 +2 4 0xb4cc 0x7e2bcb2d @@ -266639,6 +333299,8 @@ 0 0 2 +0 +2 0xfd62 0x2feb2bcf 256 @@ -266646,6 +333308,8 @@ 1 0 0 +3 +0 2 0x57d9 0xd7d42ea4 @@ -266654,6 +333318,8 @@ 0 0 0 +4 +1 1 0xa01c 0xcbf78810 @@ -266662,6 +333328,8 @@ 1 1 0 +4 +2 1 0xbfbc 0x3730c00e @@ -266670,6 +333338,8 @@ 0 0 0 +5 +4 2 0x9962 0xaea7a4c4 @@ -266678,6 +333348,8 @@ 1 1 0 +2 +3 4 0x569 0xb154f5d3 @@ -266686,6 +333358,8 @@ 0 0 0 +5 +1 2 0xc04a 0x4dcee161 @@ -266694,6 +333368,8 @@ 1 1 0 +4 +3 3 0xcf2 0x89661f41 @@ -266702,6 +333378,8 @@ 1 0 0 +4 +0 2 0x2794 0x7adb35f8 @@ -266710,6 +333388,8 @@ 0 0 0 +3 +2 4 0xecef 0x761bb917 @@ -266718,6 +333398,8 @@ 0 0 0 +4 +0 3 0xe4b 0xbd1dcec4 @@ -266726,6 +333408,8 @@ 1 1 0 +4 +1 2 0xa077 0x689d6323 @@ -266734,6 +333418,8 @@ 0 0 0 +3 +2 2 0x7d31 0x11f4588e @@ -266742,6 +333428,8 @@ 0 0 0 +3 +1 4 0xa2ea 0x7b8f7a7 @@ -266750,6 +333438,8 @@ 0 0 0 +2 +5 1 0x60ee 0x39e1fb2 @@ -266758,6 +333448,8 @@ 1 1 0 +4 +4 3 0x8bc7 0x635f7da3 @@ -266766,6 +333458,8 @@ 0 0 0 +4 +1 1 0xe3f6 0x46847152 @@ -266774,6 +333468,8 @@ 0 0 0 +4 +4 1 0x72bd 0x1a12f6f @@ -266782,6 +333478,8 @@ 0 0 0 +0 +3 4 0xc7bd 0xc5943540 @@ -266790,6 +333488,8 @@ 1 1 0 +3 +4 4 0x80c2 0x8e721c22 @@ -266798,6 +333498,8 @@ 0 0 0 +3 +3 1 0x4564 0xcb305e31 @@ -266806,6 +333508,8 @@ 1 1 0 +4 +3 1 0x5de7 0x1afc6a2 @@ -266814,6 +333518,8 @@ 1 1 0 +5 +5 2 0x2490 0xd3ccfeb6 @@ -266822,6 +333528,8 @@ 1 0 0 +4 +1 1 0x5c1e 0x9444d627 @@ -266830,6 +333538,8 @@ 1 1 0 +4 +5 2 0x4063 0xb93a3828 @@ -266838,6 +333548,8 @@ 1 0 0 +4 +2 1 0x205c 0xd8bca3bc @@ -266846,6 +333558,8 @@ 0 0 0 +0 +0 2 0xf75f 0x74643696 @@ -266854,6 +333568,8 @@ 1 1 0 +1 +3 2 0x3c22 0xbe4137ef @@ -266862,6 +333578,8 @@ 1 1 0 +4 +0 1 0x4251 0x4679e964 @@ -266870,6 +333588,8 @@ 0 0 0 +2 +0 3 0x6ad8 0x784eef0d @@ -266878,6 +333598,8 @@ 1 1 0 +2 +0 1 0xbbed 0xb3bfa499 @@ -266886,6 +333608,8 @@ 1 0 0 +2 +4 3 0x9fd0 0x40205ceb @@ -266894,6 +333618,8 @@ 1 0 0 +2 +3 3 0xbfed 0xb4208e54 @@ -266902,6 +333628,8 @@ 1 1 0 +1 +4 2 0xd881 0x2067205f @@ -266910,6 +333638,8 @@ 1 1 0 +0 +0 2 0x4e1 0xc5e88717 @@ -266918,6 +333648,8 @@ 1 0 0 +2 +1 4 0xaeac 0x627f5ff @@ -266926,6 +333658,8 @@ 0 0 0 +0 +1 1 0x33c8 0x9f65bc37 @@ -266934,6 +333668,8 @@ 0 0 0 +4 +5 2 0xcef6 0xea0d809e @@ -266942,6 +333678,8 @@ 1 0 0 +3 +4 4 0x2da 0xeaae4578 @@ -266950,6 +333688,8 @@ 1 0 0 +0 +5 1 0xbba6 0x43ee64e9 @@ -266958,6 +333698,8 @@ 0 0 0 +2 +5 1 0x7649 0xac4c4e65 @@ -266966,6 +333708,8 @@ 1 1 0 +0 +4 1 0xd5d4 0x1ff6310f @@ -266974,6 +333718,8 @@ 0 0 0 +3 +0 1 0xaaac 0x3ccfb6d3 @@ -266983,6 +333729,8 @@ 0 0 1 +2 +1 0xad76 0x2454b70f 256 @@ -266990,6 +333738,8 @@ 1 0 0 +3 +2 4 0x3f1c 0x1c350137 @@ -266998,6 +333748,8 @@ 1 1 0 +5 +5 4 0xb6e5 0x7de0012e @@ -267006,6 +333758,8 @@ 0 0 0 +0 +1 4 0x2cbb 0x40bc582e @@ -267014,6 +333768,8 @@ 0 0 0 +1 +0 2 0x23b3 0x3cbdda27 @@ -267022,6 +333778,8 @@ 0 0 0 +4 +5 2 0xca0f 0x4cdf2a92 @@ -267030,6 +333788,8 @@ 1 0 0 +4 +0 1 0xea8b 0x7dbe4fde @@ -267038,6 +333798,8 @@ 1 1 0 +1 +4 2 0xcc7 0x843e187e @@ -267046,6 +333808,8 @@ 0 0 0 +3 +4 2 0x5031 0xe08d9b4d @@ -267054,6 +333818,8 @@ 1 0 0 +5 +1 3 0xa668 0xc618005d @@ -267062,6 +333828,8 @@ 0 0 0 +0 +4 1 0x31d0 0x9ead2679 @@ -267070,6 +333838,8 @@ 0 0 0 +0 +0 3 0x97df 0x98ac4a67 @@ -267078,6 +333848,8 @@ 0 0 0 +5 +2 1 0x2579 0x5294fa82 @@ -267086,6 +333858,8 @@ 1 0 0 +1 +1 2 0xd45c 0xd9a42703 @@ -267094,6 +333868,8 @@ 1 0 0 +4 +0 1 0x1fed 0xf99d1434 @@ -267102,6 +333878,8 @@ 0 0 0 +4 +4 2 0xa961 0x1b01f61a @@ -267110,6 +333888,8 @@ 1 0 0 +1 +5 4 0x6da 0x47ac3f97 @@ -267118,6 +333898,8 @@ 1 0 0 +4 +4 3 0xa813 0xf44116c2 @@ -267126,6 +333908,8 @@ 0 0 0 +5 +4 1 0x74e8 0x8fa7bd3d @@ -267134,6 +333918,8 @@ 0 0 0 +1 +4 2 0x6af9 0x5c7d7e0d @@ -267142,6 +333928,8 @@ 0 0 0 +2 +5 4 0xcea9 0x8b7a1ccf @@ -267150,6 +333938,8 @@ 1 1 0 +3 +5 1 0x1bba 0xbf0e4159 @@ -267158,6 +333948,8 @@ 0 0 0 +1 +2 4 0x6b12 0x1f1b856b @@ -267166,6 +333958,8 @@ 1 0 0 +5 +4 2 0x10b7 0xc92b3b1b @@ -267174,6 +333968,8 @@ 0 0 0 +1 +2 2 0x1467 0x66ee541e @@ -267182,6 +333978,8 @@ 1 0 0 +0 +0 3 0xb671 0xbe4ae673 @@ -267190,6 +333988,8 @@ 1 0 0 +1 +4 4 0x69e8 0xa3d2089d @@ -267198,6 +333998,8 @@ 0 0 0 +0 +4 1 0x75e4 0xbbac01a0 @@ -267206,6 +334008,8 @@ 0 0 0 +1 +5 4 0xfab4 0x39bcb5a0 @@ -267214,6 +334018,8 @@ 1 1 0 +4 +0 3 0xdf7a 0x7145fa7b @@ -267222,6 +334028,8 @@ 0 0 0 +4 +3 2 0x6289 0xe4d1e581 @@ -267230,6 +334038,8 @@ 0 0 0 +0 +5 4 0xa0b0 0x4929d7e5 @@ -267238,6 +334048,8 @@ 0 0 0 +2 +4 1 0x86b0 0x99330792 @@ -267247,6 +334059,8 @@ 0 0 1 +5 +1 0x75cb 0x38278733 256 @@ -267255,6 +334069,8 @@ 0 0 2 +1 +2 0x2be9 0x386154dd 256 @@ -267262,6 +334078,8 @@ 0 0 0 +3 +3 1 0xf9c8 0xf3a19ff2 @@ -267270,6 +334088,8 @@ 0 0 0 +5 +1 2 0x6dd1 0x748f7dbc @@ -267279,6 +334099,8 @@ 0 0 4 +3 +4 0xca58 0x1712b6ef 256 @@ -267286,6 +334108,8 @@ 1 1 0 +2 +0 3 0x21d0 0x571febb3 @@ -267295,6 +334119,8 @@ 1 0 4 +1 +4 0xd566 0x26772383 256 @@ -267302,6 +334128,8 @@ 0 0 0 +4 +5 1 0x1974 0x8c44fb0f @@ -267310,6 +334138,8 @@ 1 1 0 +0 +4 4 0xda5f 0x5d3844d3 @@ -267318,6 +334148,8 @@ 0 0 0 +2 +0 1 0xb02b 0x96945019 @@ -267326,6 +334158,8 @@ 0 0 0 +5 +4 2 0xb603 0xc70b229f @@ -267334,6 +334168,8 @@ 1 0 0 +2 +3 1 0xffb1 0xe49732c9 @@ -267342,6 +334178,8 @@ 1 0 0 +5 +0 1 0x9000 0xf705aa65 @@ -267350,6 +334188,8 @@ 1 1 0 +0 +1 3 0x82e5 0x45935a6d @@ -267358,6 +334198,8 @@ 1 0 0 +0 +5 2 0xc4c6 0x1ac45d55 @@ -267366,6 +334208,8 @@ 0 0 0 +0 +0 1 0x432f 0x6ad2274a @@ -267374,6 +334218,8 @@ 0 0 0 +2 +1 1 0xde23 0x35e4698d @@ -267382,6 +334228,8 @@ 0 0 0 +0 +0 2 0x1b69 0xb5934927 @@ -267391,6 +334239,8 @@ 0 0 4 +2 +4 0xfbf7 0xa376f783 256 @@ -267398,6 +334248,8 @@ 0 0 0 +0 +1 1 0x60fd 0xcc2a201c @@ -267406,6 +334258,8 @@ 1 0 0 +2 +1 1 0xf34c 0xd7c1d70e @@ -267414,6 +334268,8 @@ 1 1 0 +4 +5 3 0x11f8 0x88c7b50e @@ -267422,6 +334278,8 @@ 0 0 0 +1 +0 3 0x6ed0 0xae6022a7 @@ -267430,6 +334288,8 @@ 1 1 0 +0 +0 1 0xfdd3 0x51e871c3 @@ -267438,6 +334298,8 @@ 1 0 0 +2 +3 3 0x125f 0xb47b3662 @@ -267446,6 +334308,8 @@ 1 0 0 +2 +0 4 0x93b6 0x188886dd @@ -267454,6 +334318,8 @@ 0 0 0 +5 +1 2 0x632f 0xce7a856f @@ -267463,6 +334329,8 @@ 0 0 2 +4 +2 0x290f 0x3fced90b 256 @@ -267470,6 +334338,8 @@ 0 0 0 +0 +3 4 0xaeb0 0xa1c4f922 @@ -267478,6 +334348,8 @@ 0 0 0 +0 +2 4 0x7691 0x5cf1df11 @@ -267486,6 +334358,8 @@ 0 0 0 +0 +5 3 0x58f4 0x7fbf6b66 @@ -267494,6 +334368,8 @@ 1 1 0 +0 +1 4 0xf6cc 0x9a7374c @@ -267502,6 +334378,8 @@ 1 0 0 +5 +2 2 0x8921 0xb7510f5 @@ -267510,6 +334388,8 @@ 0 0 0 +5 +5 3 0x9dd5 0x6cf3022c @@ -267518,6 +334398,8 @@ 1 1 0 +3 +5 4 0x4614 0xeb026e7a @@ -267526,6 +334408,8 @@ 1 0 0 +3 +3 4 0x67ec 0x4de6e9ae @@ -267534,6 +334418,8 @@ 0 0 0 +5 +2 2 0xd437 0x76acbecc @@ -267542,6 +334428,8 @@ 0 0 0 +2 +3 1 0xd0d3 0xa3b82082 @@ -267550,6 +334438,8 @@ 0 0 0 +3 +0 2 0xc8d8 0xddd14925 @@ -267558,6 +334448,8 @@ 1 1 0 +1 +4 2 0x4166 0xca4fabae @@ -267566,6 +334458,8 @@ 1 1 0 +4 +5 3 0x2061 0x73b48d04 @@ -267574,6 +334468,8 @@ 0 0 0 +3 +5 1 0x3383 0x4c5cafd0 @@ -267582,6 +334478,8 @@ 0 0 0 +3 +2 2 0x1ea 0x7d41124a @@ -267590,6 +334488,8 @@ 1 0 0 +2 +3 1 0x198f 0xbc8f8865 @@ -267598,6 +334498,8 @@ 0 0 0 +4 +1 2 0x2f6 0x9883998e @@ -267607,6 +334509,8 @@ 0 0 2 +0 +2 0x2d9 0x8d24db07 256 @@ -267615,6 +334519,8 @@ 1 0 1 +5 +1 0xaab6 0xefd3515d 256 @@ -267623,6 +334529,8 @@ 1 0 3 +5 +3 0x96f1 0x9b5b2c87 256 @@ -267631,6 +334539,8 @@ 0 0 1 +1 +1 0x67db 0x52a52a54 256 @@ -267638,6 +334548,8 @@ 0 0 0 +0 +2 1 0xbcbd 0xe7357327 @@ -267646,6 +334558,8 @@ 0 0 0 +3 +1 1 0xf06d 0x7601bdc8 @@ -267654,6 +334568,8 @@ 0 0 0 +1 +2 4 0x7716 0x5aedd997 @@ -267662,6 +334578,8 @@ 1 1 0 +1 +2 2 0x3aab 0xc21e9343 @@ -267670,6 +334588,8 @@ 0 0 0 +1 +1 3 0xa49a 0xd5745c74 @@ -267678,6 +334598,8 @@ 0 0 0 +3 +5 4 0xc742 0xd23ba1ba @@ -267687,6 +334609,8 @@ 0 0 3 +1 +3 0x65ec 0x4b04a8c6 256 @@ -267694,6 +334618,8 @@ 1 1 0 +0 +2 3 0xdc86 0x3905759d @@ -267702,6 +334628,8 @@ 0 0 0 +5 +1 3 0x60d7 0xf8b9f786 @@ -267710,6 +334638,8 @@ 0 0 0 +3 +4 1 0xd44e 0x7d7b09f1 @@ -267718,6 +334648,8 @@ 0 0 0 +3 +4 1 0xf2d4 0x8f17e326 @@ -267727,6 +334659,8 @@ 1 0 4 +5 +4 0xf854 0x6fe1aea1 256 @@ -267734,6 +334668,8 @@ 1 0 0 +5 +4 3 0x3165 0x6346199e @@ -267742,6 +334678,8 @@ 0 0 0 +2 +4 3 0x2c07 0x6f276c71 @@ -267751,6 +334689,8 @@ 1 0 4 +0 +4 0x897d 0xedbf1c22 256 @@ -267758,6 +334698,8 @@ 0 0 0 +0 +0 4 0xbe3e 0x5bc16e4d @@ -267766,6 +334708,8 @@ 0 0 0 +4 +3 1 0xf666 0xa6045b71 @@ -267774,6 +334718,8 @@ 1 0 0 +2 +5 3 0xd6b9 0xb87ca990 @@ -267782,6 +334728,8 @@ 0 0 0 +5 +3 3 0xac90 0x70521e3 @@ -267790,6 +334738,8 @@ 0 0 0 +4 +4 3 0x9119 0x17958a70 @@ -267798,6 +334748,8 @@ 0 0 0 +1 +3 2 0x430f 0xe975d27f @@ -267807,6 +334759,8 @@ 0 0 4 +3 +4 0x7dc6 0xa2edb325 256 @@ -267814,6 +334768,8 @@ 1 0 0 +5 +5 3 0xf892 0x42a4d3c0 @@ -267822,6 +334778,8 @@ 1 1 0 +4 +2 3 0xbefc 0xaca0b98c @@ -267830,6 +334788,8 @@ 0 0 0 +0 +5 1 0x57e9 0x3fb1712e @@ -267838,6 +334798,8 @@ 0 0 0 +3 +5 2 0xc8c9 0xd1657a68 @@ -267847,6 +334809,8 @@ 1 0 1 +3 +1 0xefd5 0x4656516c 256 @@ -267854,6 +334818,8 @@ 1 0 0 +0 +1 2 0x9540 0x1e66bc81 @@ -267862,6 +334828,8 @@ 1 0 0 +3 +3 4 0x2c6f 0xfc01268b @@ -267870,6 +334838,8 @@ 0 0 0 +4 +0 1 0x4cf7 0x3a40e395 @@ -267879,6 +334849,8 @@ 1 0 1 +1 +1 0x2518 0x92e75a73 256 @@ -267886,6 +334858,8 @@ 1 1 0 +0 +0 4 0xf689 0xa67fe179 @@ -267895,6 +334869,8 @@ 1 0 4 +4 +4 0xc579 0x8a091660 256 @@ -267902,6 +334878,8 @@ 1 1 0 +1 +3 3 0xf77b 0xc3b8862 @@ -267911,6 +334889,8 @@ 0 0 4 +5 +4 0xacd7 0xf402a119 256 @@ -267919,6 +334899,8 @@ 0 0 1 +0 +1 0x80fc 0x1a9de81f 256 @@ -267926,6 +334908,8 @@ 1 0 0 +4 +1 2 0x44ae 0x2864be63 @@ -267934,6 +334918,8 @@ 0 0 0 +0 +2 2 0xd9fd 0xc38f5d38 @@ -267942,6 +334928,8 @@ 0 0 0 +3 +5 2 0x9fe3 0x2f6829bd @@ -267950,6 +334938,8 @@ 1 0 0 +1 +3 4 0x355b 0x63282e42 @@ -267958,6 +334948,8 @@ 1 1 0 +0 +5 3 0x1564 0xa7335922 @@ -267966,6 +334958,8 @@ 0 0 0 +3 +0 4 0xebed 0xc8db6ba3 @@ -267974,6 +334968,8 @@ 1 0 0 +2 +4 4 0x8d4e 0x92d903f @@ -267983,6 +334979,8 @@ 0 0 2 +5 +2 0x7985 0xb5940084 256 @@ -267990,6 +334988,8 @@ 0 0 0 +5 +0 3 0x9f2d 0xe693674d @@ -267999,6 +334999,8 @@ 0 0 2 +4 +2 0xf30c 0xeb4f263f 256 @@ -268006,6 +335008,8 @@ 0 0 0 +3 +1 1 0xa81a 0x4e613365 @@ -268014,6 +335018,8 @@ 0 0 0 +1 +4 2 0x51d 0xa67f0ea6 @@ -268022,6 +335028,8 @@ 1 1 0 +0 +4 4 0x8d85 0xa170631f @@ -268030,6 +335038,8 @@ 1 0 0 +0 +1 4 0xf285 0x25db581c @@ -268038,6 +335048,8 @@ 0 0 0 +3 +4 2 0x514d 0xb5bb6b60 @@ -268046,6 +335058,8 @@ 1 1 0 +0 +0 4 0x76e5 0x1ff75e0 @@ -268054,6 +335068,8 @@ 0 0 0 +5 +1 3 0xf537 0x682931c8 @@ -268062,6 +335078,8 @@ 1 1 0 +3 +3 4 0x683f 0xf3439b67 @@ -268071,6 +335089,8 @@ 0 0 3 +0 +3 0x6143 0x310ccac4 256 @@ -268079,6 +335099,8 @@ 0 0 4 +0 +4 0xc67f 0x82dc213d 256 @@ -268086,6 +335108,8 @@ 1 0 0 +0 +4 4 0x759a 0x7bf27044 @@ -268094,6 +335118,8 @@ 1 1 0 +1 +3 3 0x1bf6 0x528b9698 @@ -268102,6 +335128,8 @@ 0 0 0 +3 +5 2 0xec3a 0x10eb1158 @@ -268110,6 +335138,8 @@ 0 0 0 +2 +0 3 0xfdc2 0x2cdde624 @@ -268118,6 +335148,8 @@ 1 0 0 +1 +1 3 0x50d7 0x615f223 @@ -268126,6 +335158,8 @@ 1 0 0 +1 +0 2 0x3f13 0xdc98e443 @@ -268134,6 +335168,8 @@ 0 0 0 +1 +1 3 0xe759 0x662b9b36 @@ -268142,6 +335178,8 @@ 1 1 0 +5 +1 2 0x2481 0x840b0df7 @@ -268150,6 +335188,8 @@ 1 1 0 +1 +1 4 0xb0bd 0x535c95ad @@ -268158,6 +335198,8 @@ 1 0 0 +4 +3 2 0x8558 0x9e1a4d7 @@ -268166,6 +335208,8 @@ 0 0 0 +4 +4 2 0xbe 0x22017096 @@ -268174,6 +335218,8 @@ 1 0 0 +0 +5 1 0xd208 0xa0089537 @@ -268182,6 +335228,8 @@ 1 0 0 +4 +4 3 0xe6e8 0x3d5e251b @@ -268190,6 +335238,8 @@ 1 0 0 +0 +4 4 0x6c0e 0xb5ecc1f1 @@ -268198,6 +335248,8 @@ 1 1 0 +3 +4 4 0xb455 0xa4c86ae8 @@ -268206,6 +335258,8 @@ 0 0 0 +5 +5 1 0xf5eb 0x76ea06c3 @@ -268214,6 +335268,8 @@ 1 0 0 +5 +3 4 0xf845 0x91aedf85 @@ -268222,6 +335278,8 @@ 1 0 0 +5 +4 4 0x904a 0xb0ad420a @@ -268230,6 +335288,8 @@ 0 0 0 +4 +0 2 0x3810 0x1ecece7b @@ -268238,6 +335298,8 @@ 1 0 0 +5 +0 3 0x4a94 0x6cfe4672 @@ -268246,6 +335308,8 @@ 1 1 0 +4 +3 1 0x4493 0x665580bc @@ -268255,6 +335319,8 @@ 0 0 1 +4 +1 0x87d3 0xf219f28 256 @@ -268262,6 +335328,8 @@ 0 0 0 +5 +4 1 0x16a2 0xe32b6b70 @@ -268270,6 +335338,8 @@ 1 0 0 +4 +3 3 0x8a3a 0x444998b5 @@ -268278,6 +335348,8 @@ 0 0 0 +0 +5 2 0x1de4 0x480d44fc @@ -268287,6 +335359,8 @@ 0 0 2 +5 +2 0x427c 0x381a7600 256 @@ -268295,6 +335369,8 @@ 0 0 1 +5 +1 0xa289 0xedbe7a84 256 @@ -268303,6 +335379,8 @@ 0 0 1 +1 +1 0xbd00 0xf9f9f143 256 @@ -268310,6 +335388,8 @@ 0 0 0 +0 +1 4 0xc305 0xc1ff416a @@ -268318,6 +335398,8 @@ 0 0 0 +2 +5 3 0x9f87 0xbb4c4fe9 @@ -268326,6 +335408,8 @@ 1 0 0 +4 +5 3 0x416f 0x95006429 @@ -268334,6 +335418,8 @@ 1 0 0 +0 +2 3 0xaa63 0x2b634ad6 @@ -268342,6 +335428,8 @@ 1 1 0 +0 +2 2 0xbdab 0x680b56d5 @@ -268350,6 +335438,8 @@ 0 0 0 +0 +4 4 0x8d28 0x2bda9dbf @@ -268358,6 +335448,8 @@ 0 0 0 +1 +3 4 0xce13 0x9ff55232 @@ -268366,6 +335458,8 @@ 0 0 0 +2 +3 4 0x9070 0xe26dc06e @@ -268374,6 +335468,8 @@ 1 0 0 +5 +4 4 0xf810 0xacb315f6 @@ -268382,6 +335478,8 @@ 0 0 0 +0 +3 2 0x6cec 0xd2e82d61 @@ -268391,6 +335489,8 @@ 0 0 4 +5 +4 0x3761 0x175b4c04 256 @@ -268398,6 +335498,8 @@ 0 0 0 +1 +5 4 0x9f13 0x758da485 @@ -268407,6 +335509,8 @@ 0 0 1 +2 +1 0x877f 0x10a756d2 256 @@ -268414,6 +335518,8 @@ 0 0 0 +3 +5 4 0xa703 0xdef577db @@ -268422,6 +335528,8 @@ 1 0 0 +1 +1 4 0xb6ff 0x268625a5 @@ -268431,6 +335539,8 @@ 1 0 1 +0 +1 0xa5ec 0x5f4db34e 256 @@ -268438,6 +335548,8 @@ 1 1 0 +5 +3 3 0x4c0a 0x8c1b2462 @@ -268446,6 +335558,8 @@ 1 0 0 +1 +4 3 0x54bc 0xf783d336 @@ -268454,6 +335568,8 @@ 0 0 0 +5 +2 3 0xe30e 0xd0651a01 @@ -268463,6 +335579,8 @@ 0 0 2 +1 +2 0xba03 0x95af1c42 256 @@ -268470,6 +335588,8 @@ 1 1 0 +5 +4 3 0xf132 0xf9d6ab5a @@ -268478,6 +335598,8 @@ 1 1 0 +3 +5 2 0xa4ec 0x92d5663 @@ -268486,6 +335608,8 @@ 0 0 0 +0 +5 4 0xbbfe 0xdea7982c @@ -268494,6 +335618,8 @@ 0 0 0 +0 +1 3 0x8621 0x47c6966f @@ -268502,6 +335628,8 @@ 0 0 0 +3 +5 4 0x501b 0x17cda9c9 @@ -268510,6 +335638,8 @@ 1 1 0 +2 +4 3 0x4646 0x86a5c89 @@ -268518,6 +335648,8 @@ 1 1 0 +0 +1 1 0xc26 0x6c066d3e @@ -268527,6 +335659,8 @@ 0 0 4 +1 +4 0x7122 0x5760ee03 256 @@ -268534,6 +335668,8 @@ 0 0 0 +1 +3 4 0xaab0 0xb218d38c @@ -268542,6 +335678,8 @@ 0 0 0 +0 +2 4 0xd325 0x28a442d3 @@ -268550,6 +335688,8 @@ 0 0 0 +3 +4 1 0xf512 0x8d333b5b @@ -268558,6 +335698,8 @@ 0 0 0 +3 +5 2 0x28 0x1a917f4d @@ -268566,6 +335708,8 @@ 1 1 0 +0 +5 2 0xaf36 0xad7b6a43 @@ -268574,6 +335718,8 @@ 0 0 0 +4 +5 3 0x4063 0x146167cc @@ -268583,6 +335729,8 @@ 1 0 3 +1 +3 0xbe7a 0x462e101f 256 @@ -268590,6 +335738,8 @@ 1 1 0 +5 +3 3 0x6fb8 0xc68e2575 @@ -268598,6 +335748,8 @@ 0 0 0 +0 +0 2 0x4c6f 0x4b8c5c10 @@ -268607,6 +335759,8 @@ 0 0 2 +5 +2 0x1f39 0xf4f5830e 256 @@ -268614,6 +335768,8 @@ 1 1 0 +1 +2 2 0x1202 0xcbcae30 @@ -268622,6 +335778,8 @@ 1 1 0 +4 +5 2 0xda79 0x2a9c6f17 @@ -268630,6 +335788,8 @@ 0 0 0 +5 +3 2 0xe3c2 0xf05f5d78 @@ -268638,6 +335798,8 @@ 0 0 0 +3 +2 2 0xf2a 0x91c5b546 @@ -268646,6 +335808,8 @@ 0 0 0 +1 +5 3 0x9ed6 0xfdd40759 @@ -268654,6 +335818,8 @@ 1 0 0 +5 +2 4 0x716a 0x5aef4b01 @@ -268662,6 +335828,8 @@ 0 0 0 +5 +1 1 0xc1d2 0x5a1fb609 @@ -268670,6 +335838,8 @@ 1 0 0 +0 +4 3 0x79f7 0xb9190b10 @@ -268678,6 +335848,8 @@ 0 0 0 +4 +2 1 0xdb6d 0x742176ef @@ -268686,6 +335858,8 @@ 1 1 0 +5 +1 2 0xc935 0xa80d4651 @@ -268694,6 +335868,8 @@ 1 1 0 +0 +4 2 0x67aa 0xe8c85530 @@ -268702,6 +335878,8 @@ 0 0 0 +0 +1 2 0x6771 0xf4b88bc5 @@ -268710,6 +335888,8 @@ 0 0 0 +5 +0 3 0x6dcd 0x67c7417c @@ -268718,6 +335898,8 @@ 1 0 0 +3 +2 4 0xab2c 0x417f765e @@ -268726,6 +335908,8 @@ 1 1 0 +3 +3 2 0x7c42 0xd9dea8cb @@ -268734,6 +335918,8 @@ 0 0 0 +2 +0 4 0x171f 0x41aeb52c @@ -268743,6 +335929,8 @@ 0 0 1 +3 +1 0xd22 0x90aa25f4 256 @@ -268750,6 +335938,8 @@ 1 0 0 +3 +2 1 0x1eb1 0x410a6d3 @@ -268758,6 +335948,8 @@ 0 0 0 +3 +4 4 0x1f47 0xfa5d7331 @@ -268766,6 +335958,8 @@ 0 0 0 +5 +3 2 0xd3d4 0xb2064f19 @@ -268775,6 +335969,8 @@ 0 0 3 +0 +3 0xf278 0x9d95b296 256 @@ -268782,6 +335978,8 @@ 0 0 0 +5 +3 1 0x8a80 0xc785178b @@ -268790,6 +335988,8 @@ 1 0 0 +3 +0 1 0xe4d 0x1608ecb @@ -268799,6 +335999,8 @@ 1 0 1 +0 +1 0xbb42 0xc6f6acca 256 @@ -268806,6 +336008,8 @@ 0 0 0 +2 +5 4 0x2d63 0xaf35abde @@ -268814,6 +336018,8 @@ 0 0 0 +0 +5 3 0xcc72 0xc6129a97 @@ -268822,6 +336028,8 @@ 1 0 0 +4 +1 2 0x34a6 0x143c40a2 @@ -268830,6 +336038,8 @@ 0 0 0 +0 +4 3 0xb20c 0x100a8bb2 @@ -268838,6 +336048,8 @@ 0 0 0 +2 +3 3 0xdaf6 0x6857c7cd @@ -268846,6 +336058,8 @@ 1 1 0 +5 +4 2 0xd50c 0xc233d02e @@ -268855,6 +336069,8 @@ 0 0 1 +0 +1 0x44be 0x121b36eb 256 @@ -268862,6 +336078,8 @@ 0 0 0 +2 +3 4 0xc7d9 0xeb42ef9d @@ -268871,6 +336089,8 @@ 1 0 4 +1 +4 0x5bd2 0x4d7d9da8 256 @@ -268878,6 +336098,8 @@ 0 0 0 +0 +1 4 0xc0d3 0x6230c58d @@ -268887,6 +336109,8 @@ 0 0 1 +0 +1 0x68c8 0xa6827a4d 256 @@ -268894,6 +336118,8 @@ 1 0 0 +1 +3 4 0xa42e 0x40c9c5cf @@ -268903,6 +336129,8 @@ 0 0 1 +2 +1 0x8a6d 0xec67daf0 256 @@ -268910,6 +336138,8 @@ 1 0 0 +4 +4 1 0xe23a 0x1332ee68 @@ -268919,6 +336149,8 @@ 0 0 3 +0 +3 0xcde6 0xc1de28b1 256 @@ -268926,6 +336158,8 @@ 0 0 0 +4 +3 1 0x3729 0x7ea1d5bd @@ -268934,6 +336168,8 @@ 0 0 0 +0 +4 2 0x3b38 0xbf8d7f87 @@ -268942,6 +336178,8 @@ 0 0 0 +0 +1 1 0x1bc1 0xb11f7516 @@ -268951,6 +336189,8 @@ 0 0 2 +5 +2 0xa146 0xb7cd61f6 256 @@ -268958,6 +336198,8 @@ 1 0 0 +5 +1 4 0xd1ee 0x43ffcf31 @@ -268966,6 +336208,8 @@ 0 0 0 +5 +0 3 0xef4d 0xe63f5ab1 @@ -268975,6 +336219,8 @@ 0 0 1 +4 +1 0xb494 0x79299166 256 @@ -268982,6 +336228,8 @@ 0 0 0 +1 +0 4 0xf25c 0x75a1e1bc @@ -268991,6 +336239,8 @@ 1 0 3 +5 +3 0xb5a 0x218f903 256 @@ -268998,6 +336248,8 @@ 1 1 0 +5 +4 3 0x1241 0x32979f8b @@ -269007,6 +336259,8 @@ 0 0 4 +5 +4 0xd8db 0x7e63eda 256 @@ -269014,6 +336268,8 @@ 1 1 0 +2 +3 4 0x7614 0xb9f44730 @@ -269022,6 +336278,8 @@ 0 0 0 +3 +4 1 0xe673 0x295b4788 @@ -269030,6 +336288,8 @@ 0 0 0 +4 +0 1 0x67f7 0x42d673f7 @@ -269038,6 +336298,8 @@ 0 0 0 +4 +4 2 0xe24b 0x773c0254 @@ -269046,6 +336308,8 @@ 0 0 0 +3 +5 1 0x201 0xe0995297 @@ -269054,6 +336318,8 @@ 0 0 0 +5 +3 3 0x5d82 0xdb33a311 @@ -269062,6 +336328,8 @@ 1 0 0 +5 +5 4 0x7dd5 0x55faf48e @@ -269070,6 +336338,8 @@ 1 1 0 +5 +1 3 0xfe74 0x78f5f7a @@ -269078,6 +336348,8 @@ 0 0 0 +3 +0 4 0x28f2 0xfb769935 @@ -269086,6 +336358,8 @@ 0 0 0 +2 +1 4 0x8cf3 0xd770e382 @@ -269095,6 +336369,8 @@ 0 0 1 +3 +1 0xb237 0x7bccf7fc 256 @@ -269102,6 +336378,8 @@ 0 0 0 +0 +5 4 0xb611 0xcec967f6 @@ -269110,6 +336388,8 @@ 1 0 0 +1 +1 2 0x2b2f 0xcd55d807 @@ -269118,6 +336398,8 @@ 1 1 0 +0 +1 4 0xd3ca 0xd293e1c5 @@ -269126,6 +336408,8 @@ 1 1 0 +5 +5 4 0xb794 0x25ac3036 @@ -269135,6 +336419,8 @@ 0 0 1 +2 +1 0xf414 0x62fc7f1d 256 @@ -269142,6 +336428,8 @@ 1 0 0 +0 +2 4 0x4c7 0xc0e36bf9 @@ -269150,6 +336438,8 @@ 0 0 0 +5 +4 2 0x3bf6 0xab559cdf @@ -269158,6 +336448,8 @@ 0 0 0 +0 +4 1 0x6206 0xffda82a4 @@ -269166,6 +336458,8 @@ 1 1 0 +5 +1 1 0x1543 0x2dc9c025 @@ -269174,6 +336468,8 @@ 1 0 0 +2 +5 1 0x1577 0x9a4d166b @@ -269182,6 +336478,8 @@ 1 1 0 +5 +1 4 0xffe0 0x4d48a310 @@ -269190,6 +336488,8 @@ 0 0 0 +2 +0 3 0xa75c 0x3b05d26b @@ -269199,6 +336499,8 @@ 0 0 1 +5 +1 0xc4b8 0xfc3fd19d 256 @@ -269207,6 +336509,8 @@ 0 0 1 +3 +1 0x2ca6 0x360f9e6e 256 @@ -269214,6 +336518,8 @@ 1 0 0 +2 +5 4 0x7b19 0x2dc6d6f6 @@ -269223,6 +336529,8 @@ 0 0 1 +2 +1 0x6f5a 0x2c66666f 256 @@ -269230,6 +336538,8 @@ 1 0 0 +3 +3 1 0x4402 0xc65f5ac1 @@ -269238,6 +336548,8 @@ 0 0 0 +0 +2 1 0x7e9f 0xc13b8aab @@ -269246,6 +336558,8 @@ 0 0 0 +4 +4 3 0xe05b 0x43959c62 @@ -269254,6 +336568,8 @@ 0 0 0 +0 +1 2 0x2778 0xb46dc945 @@ -269262,6 +336578,8 @@ 1 1 0 +5 +4 1 0xe84e 0x5460ab69 @@ -269270,6 +336588,8 @@ 1 1 0 +5 +4 1 0xe96 0xce5ad2d2 @@ -269278,6 +336598,8 @@ 1 0 0 +1 +3 4 0x2c43 0x39c22771 @@ -269286,6 +336608,8 @@ 0 0 0 +4 +1 1 0x621d 0x3a580106 @@ -269295,6 +336619,8 @@ 1 0 1 +3 +1 0x3876 0x42798358 256 @@ -269302,6 +336628,8 @@ 0 0 0 +0 +3 4 0xd7c2 0xdbe5efe @@ -269310,6 +336638,8 @@ 0 0 0 +5 +3 4 0x3817 0xd448a09b @@ -269318,6 +336648,8 @@ 1 0 0 +0 +1 2 0xf8f5 0xf892cb40 @@ -269326,6 +336658,8 @@ 1 0 0 +5 +0 4 0x9c1e 0xd3c658fd @@ -269335,6 +336669,8 @@ 0 0 3 +3 +3 0xadb7 0x4b062630 256 @@ -269342,6 +336678,8 @@ 0 0 0 +0 +1 1 0x9a85 0xdf8ccb2a @@ -269350,6 +336688,8 @@ 0 0 0 +3 +4 1 0xcccc 0x89fbdf4 @@ -269358,6 +336698,8 @@ 1 0 0 +1 +1 3 0x9e50 0x616d3b01 @@ -269366,6 +336708,8 @@ 0 0 0 +0 +5 1 0x1fc5 0x990550ca @@ -269374,6 +336718,8 @@ 0 0 0 +3 +2 2 0xb4c4 0x278894dc @@ -269382,6 +336728,8 @@ 1 1 0 +3 +5 1 0x4fab 0x9dc04cf6 @@ -269390,6 +336738,8 @@ 0 0 0 +2 +4 4 0x4a1c 0x184a3dd8 @@ -269398,6 +336748,8 @@ 0 0 0 +0 +3 3 0x37a9 0xc5fa67f2 @@ -269406,6 +336758,8 @@ 1 1 0 +4 +5 3 0x539e 0xa03c183f @@ -269414,6 +336768,8 @@ 0 0 0 +0 +4 4 0xade3 0xf04952a9 @@ -269422,6 +336778,8 @@ 1 0 0 +0 +0 4 0xabe7 0x3a3af3e1 @@ -269431,6 +336789,8 @@ 1 0 4 +3 +4 0xaec2 0x329fc09d 256 @@ -269439,6 +336799,8 @@ 0 0 2 +5 +2 0x3e56 0x9f45e102 256 @@ -269446,6 +336808,8 @@ 0 0 0 +5 +0 1 0xb955 0x12c1d622 @@ -269454,6 +336818,8 @@ 1 0 0 +2 +1 1 0xbb6b 0xcf5ce9a4 @@ -269462,6 +336828,8 @@ 0 0 0 +5 +3 3 0x76a9 0xe48abf58 @@ -269470,6 +336838,8 @@ 1 0 0 +4 +5 2 0x6bc 0x5d282d05 @@ -269478,6 +336848,8 @@ 0 0 0 +4 +5 1 0xface 0xaec3383e @@ -269486,6 +336858,8 @@ 0 0 0 +2 +3 4 0x2861 0x6999566e @@ -269494,6 +336868,8 @@ 1 1 0 +5 +4 1 0x1ed 0x3e7c79cc @@ -269502,6 +336878,8 @@ 0 0 0 +1 +0 2 0x80a8 0x601be6b0 @@ -269511,6 +336889,8 @@ 0 0 1 +1 +1 0x8669 0x3e71d3ff 256 @@ -269518,6 +336898,8 @@ 0 0 0 +0 +0 2 0xf201 0x53d44c08 @@ -269526,6 +336908,8 @@ 0 0 0 +5 +4 4 0x37de 0xc52c5f89 @@ -269534,6 +336918,8 @@ 0 0 0 +0 +1 4 0x259b 0x837b888 @@ -269542,6 +336928,8 @@ 1 0 0 +0 +1 1 0x3908 0x939849b0 @@ -269550,6 +336938,8 @@ 1 0 0 +0 +2 2 0xfb43 0x347750d9 @@ -269558,6 +336948,8 @@ 1 1 0 +2 +4 1 0x8529 0xa9e3974b @@ -269566,6 +336958,8 @@ 0 0 0 +0 +4 4 0x79fa 0x91468747 @@ -269574,6 +336968,8 @@ 0 0 0 +0 +2 4 0x5c05 0x95e24fb @@ -269582,6 +336978,8 @@ 0 0 0 +2 +2 4 0x383a 0xa6d5550 @@ -269590,6 +336988,8 @@ 1 0 0 +4 +3 2 0x78cd 0xe3104379 @@ -269599,6 +336999,8 @@ 0 0 2 +0 +2 0x20f0 0xb4634603 256 @@ -269606,6 +337008,8 @@ 1 1 0 +2 +3 1 0xaf9a 0x2c59f28f @@ -269614,6 +337018,8 @@ 1 1 0 +3 +5 1 0xd00b 0x62be015f @@ -269622,6 +337028,8 @@ 1 1 0 +0 +0 1 0xd563 0x95557f53 @@ -269630,6 +337038,8 @@ 1 1 0 +5 +2 2 0x15b4 0xb48e2025 @@ -269639,6 +337049,8 @@ 0 0 3 +2 +3 0x3152 0x181af08b 256 @@ -269646,6 +337058,8 @@ 0 0 0 +5 +4 4 0x70b3 0xdfa97065 @@ -269654,6 +337068,8 @@ 0 0 0 +2 +0 4 0xf3d1 0xd9800f92 @@ -269662,6 +337078,8 @@ 1 1 0 +5 +0 1 0xd4e3 0x9f4aae2b @@ -269671,6 +337089,8 @@ 0 0 3 +0 +3 0x7c75 0x8426fd45 256 @@ -269678,6 +337098,8 @@ 1 0 0 +4 +1 2 0x4cf 0xa9b95cdd @@ -269686,6 +337108,8 @@ 0 0 0 +5 +5 4 0xa55b 0xeead97a5 @@ -269694,6 +337118,8 @@ 0 0 0 +5 +5 2 0xa68a 0xf6b62248 @@ -269702,6 +337128,8 @@ 0 0 0 +4 +3 3 0x933c 0x59ec0117 @@ -269710,6 +337138,8 @@ 1 0 0 +3 +0 1 0x16c8 0xa7cd2eb @@ -269718,6 +337148,8 @@ 0 0 0 +1 +2 4 0x5268 0xfc28b7c7 @@ -269727,6 +337159,8 @@ 1 0 1 +2 +1 0x1961 0xe7ef297d 256 @@ -269734,6 +337168,8 @@ 0 0 0 +4 +1 2 0x4011 0xb69bfd50 @@ -269743,6 +337179,8 @@ 0 0 2 +1 +2 0xa58c 0x3c506ffe 256 @@ -269750,6 +337188,8 @@ 1 0 0 +5 +2 2 0xf857 0x95530531 @@ -269759,6 +337199,8 @@ 0 0 2 +1 +2 0x18c8 0xa966075a 256 @@ -269766,6 +337208,8 @@ 1 1 0 +1 +2 4 0xd529 0x9b7ea577 @@ -269774,6 +337218,8 @@ 1 0 0 +1 +3 3 0xa333 0x4b3977b5 @@ -269782,6 +337228,8 @@ 0 0 0 +0 +2 4 0x9dd3 0x84fafe7d @@ -269790,6 +337238,8 @@ 0 0 0 +4 +1 1 0xdc9d 0xe48be2ea @@ -269798,6 +337248,8 @@ 1 0 0 +5 +1 4 0x2fdd 0x4e683eb2 @@ -269806,6 +337258,8 @@ 1 0 0 +2 +0 3 0x9f39 0x7cf95001 @@ -269814,6 +337268,8 @@ 0 0 0 +5 +2 1 0xb035 0xe783dfec @@ -269822,6 +337278,8 @@ 1 0 0 +5 +3 2 0x57b 0x501c0c @@ -269830,6 +337288,8 @@ 0 0 0 +2 +3 3 0x71fa 0x5a3cd0a2 @@ -269839,6 +337299,8 @@ 0 0 3 +5 +3 0x1a44 0x4244cff4 256 @@ -269846,6 +337308,8 @@ 0 0 0 +1 +4 3 0x6fb8 0x78a113d1 @@ -269854,6 +337318,8 @@ 0 0 0 +0 +4 3 0x87be 0xa3d88c76 @@ -269862,6 +337328,8 @@ 0 0 0 +3 +5 2 0x4350 0x8bac67da @@ -269870,6 +337338,8 @@ 0 0 0 +0 +0 2 0x9ce 0x3f88c4b @@ -269878,6 +337348,8 @@ 1 0 0 +0 +2 4 0x4ae0 0x2887af81 @@ -269887,6 +337359,8 @@ 0 0 3 +3 +3 0x3838 0xb1a8368e 256 @@ -269894,6 +337368,8 @@ 0 0 0 +5 +1 2 0x9be1 0xedf9f235 @@ -269902,6 +337378,8 @@ 0 0 0 +4 +3 1 0xdcfc 0x7da183c6 @@ -269910,6 +337388,8 @@ 0 0 0 +4 +5 1 0xc630 0x2245879b @@ -269918,6 +337398,8 @@ 1 0 0 +5 +3 2 0xe184 0xfc1641c0 @@ -269926,6 +337408,8 @@ 0 0 0 +3 +5 1 0x51d5 0x81c49f35 @@ -269934,6 +337418,8 @@ 0 0 0 +0 +1 4 0x1a0a 0x43ccd2dd @@ -269942,6 +337428,8 @@ 1 1 0 +1 +1 3 0x9bab 0x70155bf5 @@ -269950,6 +337438,8 @@ 0 0 0 +3 +4 2 0xa646 0xc0e18e21 @@ -269958,6 +337448,8 @@ 1 1 0 +0 +4 3 0x569a 0x1749e3a2 @@ -269966,6 +337458,8 @@ 1 0 0 +0 +3 4 0x2e2f 0x940773af @@ -269974,6 +337468,8 @@ 1 1 0 +5 +0 3 0x152f 0xdbf16abf @@ -269982,6 +337478,8 @@ 0 0 0 +0 +3 4 0xb47 0x38f1d929 @@ -269991,6 +337489,8 @@ 0 0 4 +4 +4 0x9b1e 0xebf03d46 256 @@ -269998,6 +337498,8 @@ 0 0 0 +2 +1 1 0x782c 0xdfdaeecd @@ -270006,6 +337508,8 @@ 0 0 0 +1 +1 4 0x3cb5 0x76c74083 @@ -270014,6 +337518,8 @@ 1 0 0 +0 +0 1 0x5ff9 0xd93ba9ca @@ -270022,6 +337528,8 @@ 1 0 0 +5 +1 1 0x2823 0xdd60b14f @@ -270031,6 +337539,8 @@ 0 0 3 +2 +3 0x266 0xbc007449 256 @@ -270038,6 +337548,8 @@ 1 0 0 +4 +3 2 0xf0a7 0xcb75a730 @@ -270046,6 +337558,8 @@ 0 0 0 +1 +3 4 0x347d 0x571e6d0 @@ -270055,6 +337569,8 @@ 0 0 2 +2 +2 0x2e13 0x3030bfa4 256 @@ -270062,6 +337578,8 @@ 1 0 0 +5 +1 3 0xca38 0xb4a5c71c @@ -270070,6 +337588,8 @@ 1 0 0 +4 +0 2 0x8c80 0x42ea6346 @@ -270078,6 +337598,8 @@ 1 1 0 +5 +3 2 0x1ace 0xd79a014f @@ -270086,6 +337608,8 @@ 0 0 0 +4 +0 2 0x10c6 0x3eeeee05 @@ -270095,6 +337619,8 @@ 0 0 3 +0 +3 0x4d1b 0x21baeaac 256 @@ -270102,6 +337628,8 @@ 0 0 0 +3 +4 2 0x7935 0xdac524f4 @@ -270110,6 +337638,8 @@ 1 0 0 +0 +1 2 0xa3f4 0x922c12db @@ -270118,6 +337648,8 @@ 1 0 0 +2 +1 4 0xedf0 0x88255c15 @@ -270126,6 +337658,8 @@ 1 0 0 +3 +0 1 0x222b 0x99732fdb @@ -270134,6 +337668,8 @@ 0 0 0 +0 +1 1 0xe701 0x1226c228 @@ -270143,6 +337679,8 @@ 0 0 3 +3 +3 0xaddb 0xb27651a2 256 @@ -270150,6 +337688,8 @@ 1 0 0 +3 +1 1 0xac68 0xfe0747fa @@ -270159,6 +337699,8 @@ 0 0 1 +3 +1 0xdf39 0xed41a892 256 @@ -270166,6 +337708,8 @@ 1 1 0 +0 +0 4 0x5f2d 0xb8eeb3e0 @@ -270175,6 +337719,8 @@ 0 0 1 +0 +1 0x9e8a 0xd6e3f43 256 @@ -270182,6 +337728,8 @@ 1 1 0 +0 +2 1 0x98cb 0x83b64119 @@ -270190,6 +337738,8 @@ 1 1 0 +1 +1 2 0xbd80 0x440bd3f0 @@ -270198,6 +337748,8 @@ 1 1 0 +0 +1 4 0x403d 0x170d622 @@ -270206,6 +337758,8 @@ 1 0 0 +4 +5 1 0xe523 0x450ceb1a @@ -270214,6 +337768,8 @@ 1 0 0 +4 +4 3 0xcc78 0xa13dd243 @@ -270222,6 +337778,8 @@ 1 0 0 +5 +4 1 0x717c 0x177c215a @@ -270230,6 +337788,8 @@ 1 0 0 +5 +2 4 0xec70 0xb058a6b3 @@ -270239,6 +337799,8 @@ 1 0 2 +1 +2 0xdf08 0x3bb2c050 256 @@ -270246,6 +337808,8 @@ 0 0 0 +3 +4 1 0x4516 0xfcb9d19a @@ -270255,6 +337819,8 @@ 0 0 3 +3 +3 0xbc8c 0x9c637ef5 256 @@ -270262,6 +337828,8 @@ 1 1 0 +5 +1 4 0x61ed 0xfd75df94 @@ -270270,6 +337838,8 @@ 1 1 0 +4 +1 2 0x2692 0x1be09e95 @@ -270278,6 +337848,8 @@ 1 1 0 +0 +0 1 0x58e9 0xb1748124 @@ -270286,6 +337858,8 @@ 0 0 0 +3 +0 1 0x7726 0x5994ec49 @@ -270294,6 +337868,8 @@ 1 1 0 +5 +4 4 0x8e8 0x25e662b6 @@ -270302,6 +337878,8 @@ 0 0 0 +5 +1 2 0xa03e 0x56463c5b @@ -270310,6 +337888,8 @@ 0 0 0 +4 +1 3 0x8eaa 0x41686104 @@ -270318,6 +337898,8 @@ 0 0 0 +2 +3 4 0xaf51 0x4d9a157 @@ -270326,6 +337908,8 @@ 0 0 0 +2 +1 4 0xda31 0x8e7fa96d @@ -270334,6 +337918,8 @@ 1 1 0 +5 +3 3 0x79bb 0x701c8f82 @@ -270342,6 +337928,8 @@ 0 0 0 +0 +2 2 0xc96c 0x56922440 @@ -270350,6 +337938,8 @@ 0 0 0 +5 +4 2 0x46c2 0xef679f9a @@ -270358,6 +337948,8 @@ 0 0 0 +0 +1 1 0xbcc9 0x378c3e9e @@ -270366,6 +337958,8 @@ 1 1 0 +1 +0 2 0xd08f 0x84d5ce43 @@ -270374,6 +337968,8 @@ 0 0 0 +4 +4 3 0x5fc3 0xf8c025df @@ -270382,6 +337978,8 @@ 0 0 0 +2 +2 4 0xcaf9 0x6c889bd0 @@ -270390,6 +337988,8 @@ 1 0 0 +4 +1 3 0xd3bd 0x19ee41fe @@ -270398,6 +337998,8 @@ 1 1 0 +0 +1 3 0x898d 0xe73b940a @@ -270406,6 +338008,8 @@ 1 0 0 +4 +5 1 0x47e0 0x55771ab1 @@ -270414,6 +338018,8 @@ 0 0 0 +5 +5 4 0xb643 0x835daa97 @@ -270422,6 +338028,8 @@ 0 0 0 +5 +3 2 0xa5c6 0x5a89078e @@ -270430,6 +338038,8 @@ 0 0 0 +2 +3 3 0x411 0x855aedee @@ -270438,6 +338048,8 @@ 0 0 0 +4 +1 2 0x3f89 0x31d35b40 @@ -270446,6 +338058,8 @@ 0 0 0 +4 +0 2 0x3ae2 0xdb8da2b8 @@ -270454,6 +338068,8 @@ 0 0 0 +3 +1 2 0x2fcc 0x7b408b66 @@ -270462,6 +338078,8 @@ 1 0 0 +5 +3 4 0xb219 0x332e9c44 @@ -270470,6 +338088,8 @@ 0 0 0 +0 +4 3 0xd54f 0x7c1f1527 @@ -270478,6 +338098,8 @@ 0 0 0 +4 +3 2 0x3c50 0x84d30c49 @@ -270486,6 +338108,8 @@ 1 0 0 +4 +4 3 0x6d5 0x74a35093 @@ -270494,6 +338118,8 @@ 0 0 0 +4 +0 2 0xa157 0xbe34d1c5 @@ -270502,6 +338128,8 @@ 0 0 0 +5 +4 2 0x1c94 0xf97c8cf @@ -270511,6 +338139,8 @@ 0 0 1 +2 +1 0xfb2 0x187b1c8 256 @@ -270518,6 +338148,8 @@ 1 0 0 +0 +1 3 0xb393 0x72aec83c @@ -270526,6 +338158,8 @@ 1 1 0 +0 +3 2 0x49a2 0x794d55ee @@ -270534,6 +338168,8 @@ 1 1 0 +4 +4 3 0xc56a 0x3089d4ce @@ -270542,6 +338178,8 @@ 1 1 0 +4 +1 3 0xa3c5 0xc8d27689 @@ -270550,6 +338188,8 @@ 0 0 0 +0 +1 2 0xcfbd 0x5de93cbf @@ -270558,6 +338198,8 @@ 0 0 0 +3 +3 4 0x600d 0xa76676b1 @@ -270566,6 +338208,8 @@ 0 0 0 +0 +0 3 0xa92b 0xc258af96 @@ -270574,6 +338218,8 @@ 0 0 0 +5 +4 2 0xe15d 0xecf40b9d @@ -270582,6 +338228,8 @@ 1 0 0 +5 +2 1 0xcd46 0xf61a1543 @@ -270590,6 +338238,8 @@ 1 1 0 +3 +4 4 0x357a 0x32990175 @@ -270598,6 +338248,8 @@ 1 1 0 +1 +0 2 0x3cfa 0xae1aa4ad @@ -270606,6 +338258,8 @@ 0 0 0 +1 +4 2 0x1286 0x185e2f8e @@ -270615,6 +338269,8 @@ 0 0 2 +1 +2 0x9c83 0x29873f82 256 @@ -270622,6 +338278,8 @@ 0 0 0 +2 +5 1 0xede3 0x38792a59 @@ -270630,6 +338288,8 @@ 1 0 0 +3 +1 4 0x9b59 0xb6b15a8 @@ -270638,6 +338298,8 @@ 1 0 0 +0 +1 1 0x61f1 0x4d17d201 @@ -270646,6 +338308,8 @@ 1 1 0 +4 +3 1 0x9be 0xe5afdd14 @@ -270654,6 +338318,8 @@ 1 1 0 +5 +0 3 0x7a31 0x6806bcc5 @@ -270662,6 +338328,8 @@ 1 1 0 +1 +4 3 0xca7c 0x1da09f33 @@ -270670,6 +338338,8 @@ 0 0 0 +0 +5 3 0x398e 0xb3302985 @@ -270678,6 +338348,8 @@ 1 1 0 +3 +4 2 0x1c4f 0x14561e41 @@ -270686,6 +338358,8 @@ 1 1 0 +5 +4 2 0xf077 0x7589305a @@ -270694,6 +338368,8 @@ 1 1 0 +0 +1 3 0x6854 0x9a046698 @@ -270702,6 +338378,8 @@ 0 0 0 +1 +0 3 0x2f2 0x3bae25ca @@ -270710,6 +338388,8 @@ 0 0 0 +5 +5 1 0xdeab 0xe3c97daf @@ -270718,6 +338398,8 @@ 1 1 0 +1 +4 3 0xf202 0xcd26edc0 @@ -270726,6 +338408,8 @@ 0 0 0 +3 +2 1 0xcd41 0x1018e1f8 @@ -270734,6 +338418,8 @@ 1 0 0 +5 +2 3 0x22b 0xa860912a @@ -270742,6 +338428,8 @@ 1 0 0 +0 +2 1 0x1f32 0xe8950d75 @@ -270750,6 +338438,8 @@ 1 1 0 +1 +1 3 0x6b04 0x21faf9be @@ -270758,6 +338448,8 @@ 0 0 0 +5 +3 1 0x835a 0x894adc98 @@ -270766,6 +338458,8 @@ 0 0 0 +5 +1 4 0x82cb 0x3efdc6f @@ -270774,6 +338468,8 @@ 1 1 0 +2 +3 4 0xec46 0x3009895e @@ -270782,6 +338478,8 @@ 0 0 0 +5 +5 4 0x33c1 0x820cc05c @@ -270790,6 +338488,8 @@ 0 0 0 +2 +0 3 0xb388 0x434483e2 @@ -270799,6 +338499,8 @@ 0 0 4 +1 +4 0x452d 0x5e18a2eb 256 @@ -270806,6 +338508,8 @@ 1 0 0 +0 +2 1 0xd79f 0x6256c916 @@ -270814,6 +338518,8 @@ 1 0 0 +1 +0 3 0xe2d2 0xc5670aa @@ -270822,6 +338528,8 @@ 1 1 0 +1 +0 3 0x5b6e 0xa4b0a26 @@ -270830,6 +338538,8 @@ 0 0 0 +0 +3 3 0xb2aa 0xdec2859a @@ -270838,6 +338548,8 @@ 1 1 0 +1 +4 4 0x4526 0xd66daf98 @@ -270846,6 +338558,8 @@ 0 0 0 +3 +4 1 0x6dc6 0x36d55c27 @@ -270855,6 +338569,8 @@ 0 0 1 +3 +1 0x89fe 0x3291d97 256 @@ -270862,6 +338578,8 @@ 1 0 0 +0 +3 3 0x7f9b 0xc1936ecf @@ -270871,6 +338589,8 @@ 0 0 4 +3 +4 0xdbc4 0xa4f85dfb 256 @@ -270878,6 +338598,8 @@ 0 0 0 +3 +4 4 0x667a 0x728bd15d @@ -270886,6 +338608,8 @@ 1 0 0 +2 +2 4 0xf44f 0xecc7108b @@ -270894,6 +338618,8 @@ 0 0 0 +0 +0 2 0xbe4f 0x72fe1a19 @@ -270903,6 +338629,8 @@ 0 0 1 +1 +1 0x7976 0xe68064d5 256 @@ -270910,6 +338638,8 @@ 1 0 0 +5 +5 1 0xdd9e 0x2b357ac7 @@ -270918,6 +338648,8 @@ 0 0 0 +0 +2 2 0xf0a5 0x21a3a03d @@ -270926,6 +338658,8 @@ 0 0 0 +3 +0 2 0x2fd 0x823eadc6 @@ -270934,6 +338668,8 @@ 0 0 0 +2 +5 4 0xbeaa 0xe1cf3de @@ -270942,6 +338678,8 @@ 1 0 0 +2 +0 1 0x773e 0xdec90c49 @@ -270951,6 +338689,8 @@ 1 0 3 +3 +3 0x9d0a 0x3d054f60 256 @@ -270958,6 +338698,8 @@ 1 1 0 +0 +4 4 0x853d 0xf38d9a40 @@ -270966,6 +338708,8 @@ 0 0 0 +1 +1 2 0x55e9 0x3ad144 @@ -270974,6 +338718,8 @@ 1 0 0 +0 +1 2 0xaf3a 0x3ac81cf9 @@ -270982,6 +338728,8 @@ 1 0 0 +5 +4 4 0xc086 0xfb73006e @@ -270991,6 +338739,8 @@ 0 0 4 +5 +4 0x4133 0x740cf85f 256 @@ -270998,6 +338748,8 @@ 1 0 0 +2 +3 3 0x6aef 0x46cbad10 @@ -271006,6 +338758,8 @@ 1 1 0 +1 +3 2 0x2b16 0x1bb7caf6 @@ -271014,6 +338768,8 @@ 0 0 0 +4 +1 2 0x828a 0xa11eabbf @@ -271022,6 +338778,8 @@ 1 0 0 +5 +1 2 0x3f12 0x50df235d @@ -271030,6 +338788,8 @@ 1 1 0 +2 +2 4 0xe20f 0xca0540df @@ -271038,6 +338798,8 @@ 1 0 0 +2 +2 1 0x2130 0xb504733a @@ -271046,6 +338808,8 @@ 1 1 0 +5 +1 4 0x77b2 0x277b1ea8 @@ -271054,6 +338818,8 @@ 0 0 0 +0 +3 1 0xa8be 0x4c33a6a8 @@ -271062,6 +338828,8 @@ 0 0 0 +2 +2 3 0x25f0 0x1fb2ae15 @@ -271071,6 +338839,8 @@ 0 0 4 +1 +4 0x8b74 0xcb59b0a9 256 @@ -271079,6 +338849,8 @@ 0 0 3 +3 +3 0xdc3a 0x6b7631ac 256 @@ -271086,6 +338858,8 @@ 0 0 0 +5 +2 3 0xccda 0x467b7bfa @@ -271094,6 +338868,8 @@ 0 0 0 +0 +3 3 0xca4d 0xf1756a9f @@ -271102,6 +338878,8 @@ 0 0 0 +2 +5 3 0xb4b2 0x94bf489f @@ -271110,6 +338888,8 @@ 0 0 0 +0 +0 3 0x991f 0x61b3f5b9 @@ -271119,6 +338899,8 @@ 0 0 1 +0 +1 0xb048 0x64d4e2c9 256 @@ -271127,6 +338909,8 @@ 0 0 2 +2 +2 0xbe66 0xdf8b352c 256 @@ -271134,6 +338918,8 @@ 1 1 0 +5 +0 2 0x2cf0 0xc104f578 @@ -271142,6 +338928,8 @@ 1 0 0 +5 +0 3 0xef74 0x3fc2e268 @@ -271150,6 +338938,8 @@ 1 0 0 +5 +0 2 0xa71d 0xa25433dc @@ -271158,6 +338948,8 @@ 0 0 0 +1 +3 3 0xcd0e 0xe7e563cc @@ -271166,6 +338958,8 @@ 0 0 0 +5 +0 4 0xa39d 0x7a809dd4 @@ -271175,6 +338969,8 @@ 0 0 1 +3 +1 0x8280 0x6b0bbc6b 256 @@ -271182,6 +338978,8 @@ 0 0 0 +3 +5 4 0x5dc7 0xa854677e @@ -271191,6 +338989,8 @@ 0 0 4 +2 +4 0xa709 0xd97f13e1 256 @@ -271199,6 +338999,8 @@ 0 0 4 +0 +4 0x7de5 0x662cbec1 256 @@ -271206,6 +339008,8 @@ 1 0 0 +3 +4 2 0x882 0x8f1b51f4 @@ -271215,6 +339019,8 @@ 0 0 1 +1 +1 0x73ee 0x7f53aeb8 256 @@ -271222,6 +339028,8 @@ 1 1 0 +2 +4 1 0x6613 0xc3165d1c @@ -271230,6 +339038,8 @@ 1 1 0 +0 +0 1 0x60ab 0x4a09535a @@ -271238,6 +339048,8 @@ 1 1 0 +0 +3 1 0x7ef3 0x90776f8d @@ -271246,6 +339058,8 @@ 0 0 0 +4 +1 2 0x95d8 0x8d616119 @@ -271254,6 +339068,8 @@ 0 0 0 +3 +5 1 0x2b42 0x3dc0c22f @@ -271262,6 +339078,8 @@ 1 1 0 +0 +5 3 0xc387 0xb32d45a3 @@ -271271,6 +339089,8 @@ 1 0 1 +2 +1 0xa8f9 0x1d963841 256 @@ -271278,6 +339098,8 @@ 1 0 0 +3 +0 1 0x1725 0x52f66e8e @@ -271286,6 +339108,8 @@ 1 1 0 +5 +3 4 0xa213 0x8af81559 @@ -271294,6 +339118,8 @@ 0 0 0 +3 +0 4 0xe89b 0xe47673bf @@ -271302,6 +339128,8 @@ 1 0 0 +0 +3 4 0x9856 0x1a3875ff @@ -271310,6 +339138,8 @@ 1 1 0 +3 +1 2 0x4095 0x7efce6ac @@ -271318,6 +339148,8 @@ 0 0 0 +1 +1 3 0xa444 0x416647ea @@ -271327,6 +339159,8 @@ 1 0 1 +4 +1 0x4b81 0x83ed5653 256 @@ -271334,6 +339168,8 @@ 1 0 0 +5 +0 4 0x73a9 0x9a07eb8a @@ -271342,6 +339178,8 @@ 0 0 0 +0 +4 3 0xfa4b 0xdc6dab9 @@ -271350,6 +339188,8 @@ 0 0 0 +5 +2 4 0xf1 0x52ff8cb5 @@ -271358,6 +339198,8 @@ 1 0 0 +1 +2 3 0xbc1a 0xdf051d23 @@ -271367,6 +339209,8 @@ 0 0 3 +2 +3 0xbb00 0x325a1df7 256 @@ -271375,6 +339219,8 @@ 0 0 2 +2 +2 0x7e0f 0x9db06873 256 @@ -271382,6 +339228,8 @@ 1 1 0 +1 +3 4 0x4d5f 0x782e86e @@ -271390,6 +339238,8 @@ 1 1 0 +0 +2 1 0xac61 0xf0f3cf35 @@ -271398,6 +339248,8 @@ 1 0 0 +5 +3 3 0x8e9c 0x3ecdff41 @@ -271406,6 +339258,8 @@ 0 0 0 +2 +5 1 0x6cd 0xefdb8d00 @@ -271414,6 +339268,8 @@ 1 1 0 +5 +0 4 0xfa39 0xb5361857 @@ -271422,6 +339278,8 @@ 0 0 0 +3 +0 4 0xa0a2 0x283777e6 @@ -271430,6 +339288,8 @@ 0 0 0 +0 +3 3 0x46db 0x43df4b40 @@ -271438,6 +339298,8 @@ 1 0 0 +2 +2 4 0x43ab 0x9317f1a9 @@ -271446,6 +339308,8 @@ 0 0 0 +0 +2 2 0x1fd3 0x2475e140 @@ -271454,6 +339318,8 @@ 0 0 0 +0 +4 2 0x442c 0x54ed7153 @@ -271462,6 +339328,8 @@ 1 1 0 +1 +3 3 0xa882 0xcbafe056 @@ -271470,6 +339338,8 @@ 0 0 0 +4 +4 1 0x6dbc 0xac48e30e @@ -271478,6 +339348,8 @@ 0 0 0 +5 +1 4 0xe4fe 0x47b68d76 @@ -271486,6 +339358,8 @@ 1 0 0 +1 +4 4 0xed96 0x9146e801 @@ -271494,6 +339368,8 @@ 1 1 0 +2 +0 1 0x5d77 0x40c8b1f @@ -271502,6 +339378,8 @@ 0 0 0 +0 +4 2 0xcddd 0x5202fd03 @@ -271511,6 +339389,8 @@ 0 0 1 +3 +1 0x4ad 0x8a989aa6 256 @@ -271518,6 +339398,8 @@ 0 0 0 +0 +0 1 0x2199 0x2316b0d7 @@ -271526,6 +339408,8 @@ 0 0 0 +5 +2 1 0x511a 0x504ba700 @@ -271534,6 +339418,8 @@ 0 0 0 +5 +4 3 0x8a26 0x575ac8f1 @@ -271542,6 +339428,8 @@ 0 0 0 +4 +4 2 0x2f19 0x7e2c6111 @@ -271551,6 +339439,8 @@ 0 0 2 +0 +2 0x589b 0xf2c455c0 256 @@ -271558,6 +339448,8 @@ 1 1 0 +5 +4 4 0xff65 0xba6aa087 @@ -271566,6 +339458,8 @@ 1 1 0 +3 +0 2 0x160a 0xa5d6541a @@ -271574,6 +339468,8 @@ 0 0 0 +5 +3 1 0x88ce 0x52321ac0 @@ -271582,6 +339478,8 @@ 1 1 0 +2 +4 4 0xd6b1 0x54519940 @@ -271590,6 +339488,8 @@ 1 0 0 +5 +4 3 0xff02 0x29b8e764 @@ -271598,6 +339498,8 @@ 0 0 0 +5 +1 2 0x1c67 0x889b893c @@ -271606,6 +339508,8 @@ 0 0 0 +1 +4 4 0x903b 0x22625263 @@ -271614,6 +339518,8 @@ 0 0 0 +0 +3 4 0xae6b 0xd8892107 @@ -271623,6 +339529,8 @@ 0 0 1 +2 +1 0x3133 0xef0a6eba 256 @@ -271630,6 +339538,8 @@ 1 0 0 +1 +0 2 0x46f 0xc5d13810 @@ -271639,6 +339549,8 @@ 0 0 1 +3 +1 0xd476 0x9bb5cc14 256 @@ -271646,6 +339558,8 @@ 1 0 0 +3 +4 4 0xf5e 0xd204fdf4 @@ -271654,6 +339568,8 @@ 1 0 0 +0 +4 4 0x688d 0x95f0b001 @@ -271662,6 +339578,8 @@ 1 0 0 +2 +0 4 0x9909 0x46a727cf @@ -271670,6 +339588,8 @@ 1 1 0 +3 +2 4 0xfb90 0xa9332585 @@ -271678,6 +339598,8 @@ 0 0 0 +2 +3 1 0xd97d 0x84482548 @@ -271686,6 +339608,8 @@ 1 0 0 +1 +2 4 0xc32a 0xae6b039c @@ -271694,6 +339618,8 @@ 1 0 0 +5 +4 4 0xdac3 0x91de8a3b @@ -271702,6 +339628,8 @@ 0 0 0 +3 +2 1 0x2940 0x30b863ab @@ -271710,6 +339638,8 @@ 0 0 0 +2 +5 4 0x8c23 0xaaf7d964 @@ -271718,6 +339648,8 @@ 0 0 0 +5 +3 1 0x911d 0xb43714c6 @@ -271726,6 +339658,8 @@ 0 0 0 +2 +0 3 0xc72d 0x8cd1507c @@ -271734,6 +339668,8 @@ 1 1 0 +3 +0 4 0xe0aa 0x300e64aa @@ -271742,6 +339678,8 @@ 1 1 0 +1 +3 2 0xa6d2 0xc193b957 @@ -271750,6 +339688,8 @@ 1 1 0 +3 +0 4 0x22d0 0xa2473362 @@ -271759,6 +339699,8 @@ 0 0 1 +2 +1 0xc764 0xc0465253 256 @@ -271766,6 +339708,8 @@ 0 0 0 +1 +2 2 0xe4f9 0x20965589 @@ -271774,6 +339718,8 @@ 0 0 0 +3 +5 4 0xe67e 0xb7e765e4 @@ -271782,6 +339728,8 @@ 1 0 0 +4 +0 1 0x31fa 0x2d96a0b8 @@ -271790,6 +339738,8 @@ 0 0 0 +3 +5 4 0x95cf 0x13bc54b4 @@ -271799,6 +339749,8 @@ 0 0 4 +0 +4 0x81e1 0x45e222d 256 @@ -271806,6 +339758,8 @@ 0 0 0 +5 +4 2 0x9b29 0xc0d342d @@ -271814,6 +339768,8 @@ 1 0 0 +1 +5 3 0xc315 0x4be9cd53 @@ -271822,6 +339778,8 @@ 1 1 0 +4 +5 2 0xa2cf 0x7e6133b5 @@ -271830,6 +339788,8 @@ 0 0 0 +5 +1 4 0x7fdd 0x6a92bffa @@ -271838,6 +339798,8 @@ 0 0 0 +3 +2 1 0x696d 0x9b283b21 @@ -271846,6 +339808,8 @@ 1 0 0 +4 +4 2 0x71ad 0x2af5f31e @@ -271854,6 +339818,8 @@ 0 0 0 +5 +0 3 0xb7e9 0x83b3626a @@ -271862,6 +339828,8 @@ 0 0 0 +2 +3 3 0x248f 0xe5e85cf4 @@ -271870,6 +339838,8 @@ 0 0 0 +2 +2 3 0xa0bc 0x82b598e4 @@ -271878,6 +339848,8 @@ 0 0 0 +0 +0 4 0x7e5 0x3659a4e9 @@ -271886,6 +339858,8 @@ 1 0 0 +2 +5 1 0x6c49 0xfcba3a7f @@ -271894,6 +339868,8 @@ 0 0 0 +4 +1 1 0xe466 0x4c93e4f4 @@ -271902,6 +339878,8 @@ 1 1 0 +4 +4 2 0x4b4b 0x682eb8d1 @@ -271911,6 +339889,8 @@ 0 0 4 +4 +4 0xf2e1 0xd1a88d2e 256 @@ -271918,6 +339898,8 @@ 0 0 0 +4 +3 3 0xd283 0x3bfccdc8 @@ -271926,6 +339908,8 @@ 0 0 0 +1 +4 4 0x423e 0xb982266c @@ -271934,6 +339918,8 @@ 0 0 0 +4 +3 3 0x19a1 0xfc500d88 @@ -271942,6 +339928,8 @@ 1 0 0 +0 +5 3 0x4413 0xd3dc8b96 @@ -271950,6 +339938,8 @@ 0 0 0 +2 +3 1 0x7457 0x89343934 @@ -271959,6 +339949,8 @@ 0 0 3 +5 +3 0xb9d 0x2e147e06 256 @@ -271966,6 +339958,8 @@ 1 0 0 +3 +4 4 0x69c1 0xa573a29e @@ -271974,6 +339968,8 @@ 1 0 0 +0 +2 1 0x1212 0xb8287762 @@ -271982,6 +339978,8 @@ 0 0 0 +0 +1 2 0xf889 0x449eac5c @@ -271991,6 +339989,8 @@ 0 0 2 +4 +2 0x88f3 0x4ecd1814 256 @@ -271998,6 +339998,8 @@ 1 0 0 +1 +5 2 0x553c 0x9066bd0c @@ -272007,6 +340009,8 @@ 1 0 1 +4 +1 0xa094 0xc1c48f3e 256 @@ -272015,6 +340019,8 @@ 0 0 4 +3 +4 0x352d 0xbaf46cdf 256 @@ -272022,6 +340028,8 @@ 0 0 0 +5 +4 3 0xe6a1 0x2c43c3a8 @@ -272030,6 +340038,8 @@ 1 1 0 +0 +1 3 0xea27 0x109da369 @@ -272038,6 +340048,8 @@ 1 0 0 +3 +5 2 0x4f35 0xeeb9ab7d @@ -272046,6 +340058,8 @@ 0 0 0 +0 +4 4 0xecf8 0x4b835549 @@ -272054,6 +340068,8 @@ 0 0 0 +5 +5 4 0x8f73 0x3ce42cb @@ -272062,6 +340078,8 @@ 0 0 0 +0 +3 4 0x76ba 0x9c43243f @@ -272070,6 +340088,8 @@ 0 0 0 +0 +5 3 0xe028 0xd36d1ba4 @@ -272078,6 +340098,8 @@ 1 0 0 +4 +5 1 0xf7e1 0xce469770 @@ -272086,6 +340108,8 @@ 1 1 0 +2 +4 4 0xece8 0x75f3d54b @@ -272094,6 +340118,8 @@ 0 0 0 +4 +0 1 0xc611 0xfde11415 @@ -272102,6 +340128,8 @@ 1 1 0 +2 +2 1 0x8960 0xece5f910 @@ -272110,6 +340138,8 @@ 0 0 0 +5 +1 2 0x1795 0xe71f3772 @@ -272118,6 +340148,8 @@ 0 0 0 +1 +5 4 0x83f4 0xfe5d5778 @@ -272126,6 +340158,8 @@ 0 0 0 +0 +1 1 0x8deb 0x4c61ec4 @@ -272134,6 +340168,8 @@ 1 1 0 +4 +5 3 0x33c0 0x8979bbe2 @@ -272142,6 +340178,8 @@ 0 0 0 +5 +2 2 0x35f9 0x5fe0ba38 @@ -272150,6 +340188,8 @@ 0 0 0 +0 +0 1 0x23e0 0xa5556b55 @@ -272158,6 +340198,8 @@ 0 0 0 +0 +4 1 0x6bc 0xa2b483c8 @@ -272166,6 +340208,8 @@ 0 0 0 +4 +3 1 0xa6a6 0xa6a44c79 @@ -272174,6 +340218,8 @@ 0 0 0 +5 +1 4 0x9410 0xea0fb403 @@ -272182,6 +340228,8 @@ 0 0 0 +1 +4 3 0x57f3 0xd032c346 @@ -272190,6 +340238,8 @@ 0 0 0 +0 +2 4 0x15c7 0x44152ce0 @@ -272198,6 +340248,8 @@ 0 0 0 +5 +4 2 0x68c8 0xf632926a @@ -272206,6 +340258,8 @@ 0 0 0 +2 +1 4 0x5aa0 0x243b6f26 @@ -272214,6 +340268,8 @@ 1 0 0 +0 +1 2 0xf745 0x7cd974b4 @@ -272222,6 +340278,8 @@ 0 0 0 +2 +5 4 0xdb2c 0x90183df5 @@ -272230,6 +340288,8 @@ 0 0 0 +1 +5 2 0x974d 0x8b561db @@ -272238,6 +340298,8 @@ 0 0 0 +1 +0 3 0x803f 0xfb6b5b41 @@ -272246,6 +340308,8 @@ 1 1 0 +4 +4 3 0xce9b 0xdc75133 @@ -272254,6 +340318,8 @@ 1 1 0 +4 +5 3 0xe621 0xd7e87d78 @@ -272262,6 +340328,8 @@ 0 0 0 +1 +1 2 0xc724 0x900712f7 @@ -272270,6 +340338,8 @@ 1 0 0 +0 +5 2 0x9bbb 0xe9e97c40 @@ -272279,6 +340349,8 @@ 0 0 4 +5 +4 0xc6b2 0x5c548a1c 256 @@ -272287,6 +340359,8 @@ 0 0 4 +2 +4 0x3cac 0x606f8d6 256 @@ -272294,6 +340368,8 @@ 1 1 0 +2 +2 3 0x5426 0x40274641 @@ -272302,6 +340378,8 @@ 0 0 0 +4 +1 1 0x68d3 0xa2b3ec33 @@ -272310,6 +340388,8 @@ 0 0 0 +3 +5 1 0x36c4 0x660a9da4 @@ -272318,6 +340398,8 @@ 0 0 0 +1 +0 4 0xeed1 0x1d24e3dd @@ -272326,6 +340408,8 @@ 1 1 0 +3 +3 4 0xa598 0xa5c8b489 @@ -272334,6 +340418,8 @@ 0 0 0 +5 +0 2 0x1264 0x8daaf26 @@ -272342,6 +340428,8 @@ 1 1 0 +3 +0 2 0x2ef 0x980b5bce @@ -272350,6 +340438,8 @@ 1 0 0 +2 +5 1 0xc1e0 0xd361a62c @@ -272358,6 +340448,8 @@ 0 0 0 +2 +1 3 0xa6f5 0x4bffe7f0 @@ -272366,6 +340458,8 @@ 1 1 0 +5 +4 3 0x2178 0x745bcfcb @@ -272374,6 +340468,8 @@ 1 0 0 +4 +5 2 0x93e6 0x804f816f @@ -272382,6 +340478,8 @@ 0 0 0 +4 +5 2 0xc127 0x8762ccd8 @@ -272390,6 +340488,8 @@ 1 0 0 +3 +3 4 0x95b6 0x991fef02 @@ -272398,6 +340498,8 @@ 1 0 0 +0 +1 1 0x8719 0xa8e0548d @@ -272406,6 +340508,8 @@ 0 0 0 +2 +4 1 0x87d 0x725407e5 @@ -272414,6 +340518,8 @@ 1 1 0 +5 +0 1 0x8140 0x8df9f5cc @@ -272422,6 +340528,8 @@ 1 0 0 +0 +1 1 0x519a 0x841a2282 @@ -272430,6 +340538,8 @@ 1 1 0 +3 +4 1 0xfa8d 0x6a6d62a @@ -272438,6 +340548,8 @@ 0 0 0 +1 +5 2 0xa7b 0xa6ab8f24 @@ -272446,6 +340558,8 @@ 0 0 0 +1 +0 4 0x3897 0x61379a6b @@ -272454,6 +340568,8 @@ 1 0 0 +5 +1 3 0x54bc 0x58012e4 @@ -272462,6 +340578,8 @@ 1 0 0 +4 +5 3 0x1612 0xd431c61b @@ -272470,6 +340588,8 @@ 0 0 0 +3 +2 4 0x9097 0x2c146159 @@ -272478,6 +340598,8 @@ 1 0 0 +4 +1 3 0xa473 0x33e0fc90 @@ -272486,6 +340608,8 @@ 1 1 0 +2 +5 3 0x5751 0x4063ce08 @@ -272494,6 +340618,8 @@ 0 0 0 +4 +0 2 0x169c 0x1770583 @@ -272502,6 +340628,8 @@ 1 1 0 +1 +2 4 0x4ce7 0x530dd204 @@ -272510,6 +340638,8 @@ 0 0 0 +3 +3 4 0x68f0 0x43f6a2c6 @@ -272518,6 +340648,8 @@ 1 0 0 +0 +2 1 0xd97e 0x709cdcad @@ -272526,6 +340658,8 @@ 0 0 0 +1 +3 4 0xef01 0xd3fa0be5 @@ -272534,6 +340668,8 @@ 1 0 0 +1 +3 4 0x57c9 0xf671595 @@ -272543,6 +340679,8 @@ 0 0 1 +2 +1 0x5478 0x8968d580 256 @@ -272550,6 +340688,8 @@ 1 0 0 +3 +3 2 0x2250 0x4e767406 @@ -272558,6 +340698,8 @@ 1 1 0 +1 +2 3 0x4cc7 0x3d6df39d @@ -272566,6 +340708,8 @@ 0 0 0 +2 +3 1 0xe238 0xce3cae58 @@ -272574,6 +340718,8 @@ 0 0 0 +4 +1 2 0xdac5 0x6a18da0c @@ -272583,6 +340729,8 @@ 0 0 1 +3 +1 0x8275 0xaa304377 256 @@ -272590,6 +340738,8 @@ 1 1 0 +3 +3 1 0x244a 0x55e1962c @@ -272598,6 +340748,8 @@ 1 0 0 +5 +2 2 0xabee 0x2dad6cc2 @@ -272606,6 +340758,8 @@ 1 1 0 +1 +4 4 0xcd0e 0x1df4ede4 @@ -272614,6 +340768,8 @@ 1 0 0 +1 +4 4 0x863e 0xd06a1a34 @@ -272622,6 +340778,8 @@ 1 1 0 +1 +5 4 0x29b6 0xcfc53234 @@ -272630,6 +340788,8 @@ 0 0 0 +1 +4 2 0x5e90 0xfeeb3a87 @@ -272638,6 +340798,8 @@ 0 0 0 +1 +0 2 0x669c 0x9e81a270 @@ -272646,6 +340808,8 @@ 0 0 0 +2 +1 4 0x3764 0x1da9b5e7 @@ -272654,6 +340818,8 @@ 0 0 0 +2 +2 3 0x9689 0x706a4b70 @@ -272662,6 +340828,8 @@ 0 0 0 +4 +1 3 0xa121 0xa4192e32 @@ -272670,6 +340838,8 @@ 1 0 0 +4 +3 2 0xbf36 0x33115a1e @@ -272679,6 +340849,8 @@ 0 0 3 +0 +3 0x23b4 0xfe86c6e7 256 @@ -272686,6 +340858,8 @@ 0 0 0 +2 +0 3 0xb5c3 0x2d10dc9b @@ -272694,6 +340868,8 @@ 1 0 0 +0 +5 1 0x45c7 0x766ad01f @@ -272703,6 +340879,8 @@ 0 0 4 +2 +4 0x2ef3 0x67be537 256 @@ -272710,6 +340888,8 @@ 1 0 0 +1 +1 2 0x8c4d 0xa7ebd5be @@ -272718,6 +340898,8 @@ 0 0 0 +2 +2 4 0x3451 0xc0f7ec6a @@ -272726,6 +340908,8 @@ 1 1 0 +3 +5 1 0xc29c 0x6f804a8 @@ -272734,6 +340918,8 @@ 0 0 0 +1 +3 3 0x2e61 0x7ef7779a @@ -272742,6 +340928,8 @@ 1 0 0 +1 +5 3 0xba6a 0xb443e6b @@ -272750,6 +340938,8 @@ 1 0 0 +4 +0 2 0x646f 0x9d740d86 @@ -272758,6 +340948,8 @@ 0 0 0 +3 +2 2 0x6098 0x2782f68c @@ -272766,6 +340958,8 @@ 0 0 0 +0 +5 3 0x3fa6 0xcd4ee512 @@ -272774,6 +340968,8 @@ 0 0 0 +3 +4 2 0xa94 0x508068e8 @@ -272782,6 +340978,8 @@ 1 0 0 +0 +2 1 0xf48c 0x33b2b660 @@ -272790,6 +340988,8 @@ 1 1 0 +0 +5 1 0x56aa 0x71ef1e32 @@ -272798,6 +340998,8 @@ 0 0 0 +3 +0 1 0x82aa 0x7063a1cd @@ -272807,6 +341009,8 @@ 0 0 4 +1 +4 0xbca7 0xc91bad28 256 @@ -272814,6 +341018,8 @@ 1 1 0 +5 +1 2 0x42ef 0xc4fb05ab @@ -272822,6 +341028,8 @@ 1 0 0 +2 +5 4 0xcd04 0xc39a678d @@ -272830,6 +341038,8 @@ 0 0 0 +0 +0 4 0xdb65 0x506b7874 @@ -272838,6 +341048,8 @@ 0 0 0 +0 +1 3 0x2430 0xaa9feef3 @@ -272846,6 +341058,8 @@ 0 0 0 +1 +4 2 0xefb6 0x87b87889 @@ -272854,6 +341068,8 @@ 1 0 0 +3 +5 2 0x3523 0x8849b2d4 @@ -272862,6 +341078,8 @@ 1 1 0 +0 +2 4 0x363c 0x36882ea0 @@ -272870,6 +341088,8 @@ 0 0 0 +3 +4 4 0xd225 0xe82213aa @@ -272878,6 +341098,8 @@ 0 0 0 +1 +2 2 0xeb6f 0x15108ebb @@ -272886,6 +341108,8 @@ 1 0 0 +2 +5 3 0xfcf4 0xe343586d @@ -272894,6 +341118,8 @@ 0 0 0 +4 +3 2 0xfc0a 0xe4ff477 @@ -272902,6 +341128,8 @@ 1 0 0 +3 +3 4 0x28d0 0xa21e6201 @@ -272910,6 +341138,8 @@ 1 0 0 +5 +4 3 0xe225 0x6f97ab63 @@ -272918,6 +341148,8 @@ 1 0 0 +0 +4 4 0xab0f 0xe986ea29 @@ -272926,6 +341158,8 @@ 0 0 0 +5 +2 3 0x8752 0x26aa71e @@ -272934,6 +341168,8 @@ 0 0 0 +5 +5 1 0x4c27 0xd43fb53d @@ -272942,6 +341178,8 @@ 1 0 0 +2 +0 4 0x43cd 0x55d1ffed @@ -272950,6 +341188,8 @@ 0 0 0 +4 +0 3 0xc3c 0xa4fe12f9 @@ -272959,6 +341199,8 @@ 0 0 3 +4 +3 0xe9ee 0x98dc6f15 256 @@ -272967,6 +341209,8 @@ 1 0 3 +5 +3 0x24ce 0xc8b81839 256 @@ -272974,6 +341218,8 @@ 1 0 0 +5 +2 1 0xf0fb 0xcaf4c407 @@ -272982,6 +341228,8 @@ 1 1 0 +4 +2 2 0x2a20 0x4858d242 @@ -272990,6 +341238,8 @@ 0 0 0 +4 +0 1 0xd452 0xa0aa85dd @@ -272998,6 +341248,8 @@ 0 0 0 +4 +3 1 0x4009 0xc258faeb @@ -273006,6 +341258,8 @@ 0 0 0 +4 +0 2 0xd87c 0x384e601f @@ -273015,6 +341269,8 @@ 1 0 2 +1 +2 0xe513 0xd597d87e 256 @@ -273022,6 +341278,8 @@ 1 1 0 +1 +1 3 0x4fc0 0x223560d6 @@ -273031,6 +341289,8 @@ 0 0 1 +4 +1 0x3fae 0x9e11ccdb 256 @@ -273038,6 +341298,8 @@ 1 1 0 +4 +4 2 0x15d3 0x3b8e804b @@ -273046,6 +341308,8 @@ 0 0 0 +4 +0 3 0x10a6 0x4d397bb5 @@ -273054,6 +341318,8 @@ 1 1 0 +1 +2 3 0x706e 0x397df47d @@ -273062,6 +341328,8 @@ 0 0 0 +4 +1 1 0x87e8 0x1ab808ad @@ -273070,6 +341338,8 @@ 1 0 0 +0 +4 4 0x7674 0x2a15269a @@ -273078,6 +341348,8 @@ 1 0 0 +0 +1 4 0x8cb4 0xf26ace4f @@ -273086,6 +341358,8 @@ 1 0 0 +5 +4 3 0x6b06 0x7d6ad1f @@ -273094,6 +341368,8 @@ 0 0 0 +1 +2 3 0x6ffe 0x37160e94 @@ -273102,6 +341378,8 @@ 0 0 0 +0 +4 1 0xbd3a 0xca9b5217 @@ -273111,6 +341389,8 @@ 1 0 4 +4 +4 0xcaa8 0x69dc13dd 256 @@ -273118,6 +341398,8 @@ 0 0 0 +3 +1 2 0xbe78 0x6fdcdef2 @@ -273126,6 +341408,8 @@ 1 0 0 +0 +0 4 0x732e 0x291b368 @@ -273134,6 +341418,8 @@ 0 0 0 +3 +5 2 0x8917 0xa78ee93e @@ -273142,6 +341428,8 @@ 0 0 0 +5 +2 1 0x870d 0xc30e868e @@ -273150,6 +341438,8 @@ 0 0 0 +2 +5 4 0x2f4c 0x95fff1bd @@ -273158,6 +341448,8 @@ 1 1 0 +4 +2 2 0x4648 0x2b7a7e5e @@ -273167,6 +341459,8 @@ 1 0 1 +5 +1 0xe69d 0x90122f0d 256 @@ -273174,6 +341468,8 @@ 0 0 0 +4 +2 1 0x8fe8 0x5af9be75 @@ -273183,6 +341479,8 @@ 0 0 1 +5 +1 0x3873 0x8412b9c3 256 @@ -273190,6 +341488,8 @@ 0 0 0 +5 +5 3 0x5149 0xbc18ceef @@ -273198,6 +341498,8 @@ 1 0 0 +4 +1 1 0x4b7d 0xd111a7ff @@ -273206,6 +341508,8 @@ 0 0 0 +2 +5 1 0xadb 0x598075cd @@ -273214,6 +341518,8 @@ 0 0 0 +1 +3 4 0x3d24 0xf4a22c02 @@ -273222,6 +341528,8 @@ 0 0 0 +2 +4 3 0x88c1 0xa5439333 @@ -273230,6 +341538,8 @@ 0 0 0 +1 +3 4 0x58f1 0x697da29d @@ -273238,6 +341548,8 @@ 0 0 0 +2 +2 4 0x8c1d 0xf125a993 @@ -273246,6 +341558,8 @@ 1 1 0 +4 +4 3 0x8bce 0x54c34c6e @@ -273254,6 +341568,8 @@ 1 1 0 +0 +2 4 0xcc26 0x528dff3f @@ -273262,6 +341578,8 @@ 1 1 0 +3 +4 4 0xd649 0x4097632 @@ -273270,6 +341588,8 @@ 1 0 0 +4 +2 1 0x9c28 0xfc77da57 @@ -273278,6 +341598,8 @@ 1 1 0 +0 +4 4 0x5b75 0xefb88d5d @@ -273286,6 +341608,8 @@ 1 1 0 +2 +2 1 0x7f2d 0x6a4d525d @@ -273294,6 +341618,8 @@ 0 0 0 +3 +4 2 0x4dd8 0x23f5e0f4 @@ -273302,6 +341628,8 @@ 1 1 0 +3 +1 2 0xa7c1 0x4e3a21a4 @@ -273310,6 +341638,8 @@ 0 0 0 +5 +2 4 0x299c 0x8dd8a3f0 @@ -273318,6 +341648,8 @@ 0 0 0 +0 +2 4 0x934e 0xd0eb0470 @@ -273327,6 +341659,8 @@ 0 0 3 +3 +3 0xd7e0 0x2ca5a232 256 @@ -273335,6 +341669,8 @@ 0 0 3 +2 +3 0x18ea 0x11cbd2f2 256 @@ -273342,6 +341678,8 @@ 0 0 0 +5 +5 4 0x3f9b 0xf68456f7 @@ -273350,6 +341688,8 @@ 0 0 0 +0 +0 4 0x7260 0x29e91042 @@ -273358,6 +341698,8 @@ 1 0 0 +0 +0 2 0xdc8f 0x7169ac67 @@ -273366,6 +341708,8 @@ 0 0 0 +0 +3 4 0xd05c 0x536a8c95 @@ -273374,6 +341718,8 @@ 1 0 0 +1 +5 4 0x2b9e 0x4e5b578c @@ -273382,6 +341728,8 @@ 0 0 0 +2 +5 3 0xc12b 0x9e826b14 @@ -273390,6 +341738,8 @@ 0 0 0 +2 +0 1 0x2bf9 0xc174fe61 @@ -273398,6 +341748,8 @@ 1 0 0 +4 +4 3 0x4b2 0x489cce94 @@ -273406,6 +341758,8 @@ 1 1 0 +4 +0 3 0x467f 0xef282152 @@ -273415,6 +341769,8 @@ 0 0 3 +0 +3 0xd0a8 0xeba54726 256 @@ -273422,6 +341778,8 @@ 1 0 0 +5 +0 2 0x11ab 0xe4522186 @@ -273430,6 +341788,8 @@ 1 0 0 +0 +5 4 0x8fb6 0x312c1dcf @@ -273438,6 +341798,8 @@ 0 0 0 +2 +2 3 0xbc7c 0xe6fe1eba @@ -273446,6 +341808,8 @@ 0 0 0 +0 +0 2 0x63b7 0x59661927 @@ -273454,6 +341818,8 @@ 1 1 0 +4 +0 1 0xc94b 0xecf544af @@ -273462,6 +341828,8 @@ 1 0 0 +0 +4 3 0xf669 0x938ed4e2 @@ -273470,6 +341838,8 @@ 1 0 0 +0 +2 2 0xd4be 0xa74aa45c @@ -273478,6 +341848,8 @@ 0 0 0 +5 +4 2 0xbe56 0x59204023 @@ -273486,6 +341858,8 @@ 1 1 0 +5 +4 3 0xb4d6 0x4facdce4 @@ -273494,6 +341868,8 @@ 0 0 0 +5 +0 1 0x16e8 0x92d589cc @@ -273502,6 +341878,8 @@ 0 0 0 +1 +4 2 0xd919 0x5e6b6e6e @@ -273510,6 +341888,8 @@ 0 0 0 +5 +4 2 0xc31 0x36cbf2a4 @@ -273518,6 +341898,8 @@ 1 1 0 +0 +0 4 0x4dab 0xad919b16 @@ -273526,6 +341908,8 @@ 1 0 0 +5 +0 2 0x5cdc 0x7bc8f729 @@ -273534,6 +341918,8 @@ 0 0 0 +1 +0 4 0x30ff 0x9aac340e @@ -273542,6 +341928,8 @@ 0 0 0 +1 +0 2 0xe13b 0xbd682a20 @@ -273550,6 +341938,8 @@ 1 0 0 +2 +2 3 0xc8df 0x24a0f7ff @@ -273558,6 +341948,8 @@ 0 0 0 +5 +3 4 0x35bf 0xf5e0c9ef @@ -273566,6 +341958,8 @@ 1 0 0 +2 +4 1 0x5fdf 0x3bc79c55 @@ -273574,6 +341968,8 @@ 0 0 0 +3 +0 1 0x474d 0xfcbecfe5 @@ -273583,6 +341979,8 @@ 0 0 1 +5 +1 0xfa9f 0xa9a1e256 256 @@ -273590,6 +341988,8 @@ 0 0 0 +1 +1 4 0xd986 0xa29f553a @@ -273598,6 +341998,8 @@ 0 0 0 +0 +1 4 0x4869 0x76f9bf06 @@ -273606,6 +342008,8 @@ 0 0 0 +5 +5 4 0x2d27 0x8bb95649 @@ -273614,6 +342018,8 @@ 0 0 0 +0 +3 1 0x42ce 0xb9c989bb @@ -273622,6 +342028,8 @@ 1 1 0 +4 +3 2 0x7bea 0xfb224437 @@ -273630,6 +342038,8 @@ 1 0 0 +4 +5 2 0xe135 0x682b7ad2 @@ -273638,6 +342048,8 @@ 0 0 0 +4 +4 1 0xfa26 0x4d436ede @@ -273646,6 +342058,8 @@ 0 0 0 +2 +1 4 0x4b45 0x976bc5e @@ -273654,6 +342068,8 @@ 1 1 0 +0 +2 3 0xf927 0x31673d1e @@ -273662,6 +342078,8 @@ 0 0 0 +3 +5 4 0x2dcb 0x6decea56 @@ -273671,6 +342089,8 @@ 0 0 2 +3 +2 0x71a4 0x13223183 256 @@ -273679,6 +342099,8 @@ 0 0 4 +1 +4 0x7fbc 0x200b94f0 256 @@ -273686,6 +342108,8 @@ 0 0 0 +0 +0 4 0xd8a1 0xa45a81fe @@ -273694,6 +342118,8 @@ 1 1 0 +1 +5 2 0x304e 0x2ae74699 @@ -273702,6 +342128,8 @@ 1 0 0 +5 +1 3 0x1a1d 0x1bd44c6b @@ -273710,6 +342138,8 @@ 0 0 0 +0 +0 3 0x2e69 0x81202ebd @@ -273719,6 +342149,8 @@ 1 0 4 +5 +4 0xf563 0x754461b6 256 @@ -273726,6 +342158,8 @@ 0 0 0 +2 +5 1 0xac6a 0xe893f0aa @@ -273734,6 +342168,8 @@ 0 0 0 +5 +2 3 0x1af5 0xa9c2a391 @@ -273742,6 +342178,8 @@ 1 0 0 +2 +4 1 0xbeed 0xc1fdac09 @@ -273750,6 +342188,8 @@ 1 0 0 +4 +2 2 0x20b3 0x252e3a04 @@ -273758,6 +342198,8 @@ 0 0 0 +2 +0 4 0x7b92 0x9e67b7e4 @@ -273766,6 +342208,8 @@ 1 1 0 +4 +5 3 0xaae6 0x9f11aa43 @@ -273775,6 +342219,8 @@ 0 0 3 +2 +3 0x8d3f 0xe397709a 256 @@ -273782,6 +342228,8 @@ 1 1 0 +0 +1 2 0x1d14 0xdda4914a @@ -273790,6 +342238,8 @@ 0 0 0 +5 +1 2 0x7d9 0x4c911216 @@ -273798,6 +342248,8 @@ 0 0 0 +0 +4 2 0x303d 0x1460ba51 @@ -273806,6 +342258,8 @@ 1 0 0 +4 +2 1 0x394 0x67b24d50 @@ -273814,6 +342268,8 @@ 1 0 0 +5 +0 4 0x5496 0xf840accf @@ -273823,6 +342279,8 @@ 0 0 2 +0 +2 0x1078 0x7871701c 256 @@ -273830,6 +342288,8 @@ 0 0 0 +3 +5 4 0x2d5c 0xaacc235f @@ -273838,6 +342298,8 @@ 0 0 0 +0 +2 4 0x173e 0xb9f7dadc @@ -273846,6 +342308,8 @@ 1 0 0 +4 +5 2 0x83fb 0x96f372d2 @@ -273854,6 +342318,8 @@ 1 1 0 +4 +2 3 0x6d98 0x290b1d9e @@ -273863,6 +342329,8 @@ 1 0 1 +0 +1 0xe339 0xc59fc6b4 256 @@ -273871,6 +342339,8 @@ 1 0 3 +4 +3 0xd561 0xf2757f6e 256 @@ -273878,6 +342348,8 @@ 0 0 0 +5 +1 1 0xd204 0x946d59ea @@ -273887,6 +342359,8 @@ 0 0 3 +5 +3 0xf118 0x13b975b4 256 @@ -273894,6 +342368,8 @@ 0 0 0 +5 +1 2 0x777e 0x4b7ca24c @@ -273902,6 +342378,8 @@ 1 1 0 +4 +2 3 0x9bc6 0x2b5c9c31 @@ -273910,6 +342388,8 @@ 0 0 0 +0 +2 4 0xe53c 0xc9614821 @@ -273918,6 +342398,8 @@ 0 0 0 +1 +3 2 0x391e 0x88f39152 @@ -273926,6 +342408,8 @@ 1 1 0 +0 +4 3 0x9562 0xe87d71df @@ -273934,6 +342418,8 @@ 0 0 0 +0 +4 2 0x478 0xf858d204 @@ -273943,6 +342429,8 @@ 0 0 2 +1 +2 0x8641 0xe082366 256 @@ -273951,6 +342439,8 @@ 1 0 2 +1 +2 0xded3 0xd1204383 256 @@ -273958,6 +342448,8 @@ 1 1 0 +4 +4 3 0x2b3c 0xe53b7f22 @@ -273967,6 +342459,8 @@ 0 0 4 +3 +4 0x7dd9 0xfa102e0b 256 @@ -273974,6 +342468,8 @@ 0 0 0 +3 +3 4 0x7d28 0x45e7efe @@ -273982,6 +342478,8 @@ 0 0 0 +5 +3 4 0x936a 0xb705aaa6 @@ -273990,6 +342488,8 @@ 0 0 0 +2 +4 3 0x5062 0xc02c0801 @@ -273998,6 +342498,8 @@ 0 0 0 +1 +5 3 0xb2e6 0xfb711c34 @@ -274006,6 +342508,8 @@ 0 0 0 +1 +1 3 0x451 0x61580442 @@ -274014,6 +342518,8 @@ 1 1 0 +2 +2 3 0x1f6b 0x468da24b @@ -274022,6 +342528,8 @@ 1 0 0 +2 +2 3 0x4845 0x896a2163 @@ -274030,6 +342538,8 @@ 1 0 0 +3 +4 1 0xa257 0x45a1ddaa @@ -274038,6 +342548,8 @@ 1 0 0 +2 +2 3 0x296b 0xa65b5452 @@ -274046,6 +342558,8 @@ 0 0 0 +5 +5 4 0x50b3 0xd56a1ae7 @@ -274054,6 +342568,8 @@ 1 1 0 +2 +3 1 0xb8a8 0x4127ba15 @@ -274062,6 +342578,8 @@ 1 1 0 +0 +2 4 0x6a9c 0x34d93902 @@ -274070,6 +342588,8 @@ 0 0 0 +1 +2 2 0x17ff 0xebccf68b @@ -274078,6 +342598,8 @@ 1 0 0 +0 +0 1 0x81a8 0x8f54f0eb @@ -274086,6 +342608,8 @@ 1 1 0 +3 +3 4 0xcbbc 0x4d173778 @@ -274095,6 +342619,8 @@ 1 0 2 +1 +2 0x720b 0x537e3b8c 256 @@ -274102,6 +342628,8 @@ 0 0 0 +3 +1 4 0x5b8c 0xc261f32b @@ -274110,6 +342638,8 @@ 1 0 0 +5 +5 4 0x9cc2 0x24da0d7d @@ -274119,6 +342649,8 @@ 0 0 3 +1 +3 0x208f 0x97f10e11 256 @@ -274126,6 +342658,8 @@ 0 0 0 +3 +3 4 0xb9df 0x2300b260 @@ -274134,6 +342668,8 @@ 1 0 0 +2 +4 3 0x1cb9 0x82bb14a7 @@ -274142,6 +342678,8 @@ 0 0 0 +1 +1 2 0x4937 0xf976ca67 @@ -274150,6 +342688,8 @@ 0 0 0 +3 +3 4 0x8e2b 0x96b96bcf @@ -274159,6 +342699,8 @@ 0 0 3 +2 +3 0x3255 0xfe94d332 256 @@ -274166,6 +342708,8 @@ 1 0 0 +5 +0 2 0xe9b7 0x2275327a @@ -274174,6 +342718,8 @@ 0 0 0 +5 +4 1 0x4d8c 0x1c8d4114 @@ -274182,6 +342728,8 @@ 1 1 0 +4 +1 1 0x112c 0xd6ce0035 @@ -274191,6 +342739,8 @@ 1 0 3 +5 +3 0x9e94 0x72d5afe9 256 @@ -274199,6 +342749,8 @@ 0 0 4 +2 +4 0xa76e 0xa78ea533 256 @@ -274206,6 +342758,8 @@ 1 0 0 +1 +2 4 0x5a02 0x7d54ce88 @@ -274214,6 +342768,8 @@ 1 1 0 +0 +0 1 0x982c 0x7349b173 @@ -274222,6 +342778,8 @@ 0 0 0 +0 +5 1 0xfba8 0xb0a32588 @@ -274231,6 +342789,8 @@ 0 0 1 +2 +1 0x75d1 0x236dc08 256 @@ -274238,6 +342798,8 @@ 0 0 0 +1 +4 3 0xd386 0xef28969c @@ -274246,6 +342808,8 @@ 0 0 0 +3 +0 1 0xceb 0x76ffb44f @@ -274254,6 +342818,8 @@ 0 0 0 +3 +2 2 0x374e 0x42773de1 @@ -274262,6 +342828,8 @@ 1 1 0 +2 +5 4 0x4b9d 0x59efff8 @@ -274270,6 +342838,8 @@ 0 0 0 +5 +4 4 0xa7a0 0xaf237daf @@ -274278,6 +342848,8 @@ 1 1 0 +3 +1 1 0x4d9e 0x4be4664 @@ -274286,6 +342858,8 @@ 1 1 0 +1 +4 2 0xa9a4 0x2602a504 @@ -274294,6 +342868,8 @@ 0 0 0 +1 +0 2 0x7952 0x71407f1c @@ -274302,6 +342878,8 @@ 0 0 0 +0 +2 4 0x3424 0x2a014ec3 @@ -274310,6 +342888,8 @@ 1 1 0 +1 +3 3 0x592e 0x1d71e90e @@ -274319,6 +342899,8 @@ 0 0 3 +2 +3 0x6a85 0xe4e33f71 256 @@ -274326,6 +342908,8 @@ 0 0 0 +1 +3 3 0x9690 0x845e594b @@ -274334,6 +342918,8 @@ 0 0 0 +2 +2 3 0x4376 0x32b233d2 @@ -274342,6 +342928,8 @@ 1 0 0 +5 +0 4 0xdd99 0xf0ad5c62 @@ -274350,6 +342938,8 @@ 0 0 0 +0 +5 3 0xeac6 0x1494e5c3 @@ -274358,6 +342948,8 @@ 0 0 0 +4 +2 2 0x67d3 0x10d504c4 @@ -274366,6 +342958,8 @@ 0 0 0 +5 +2 3 0x210f 0xe9e0fcce @@ -274374,6 +342968,8 @@ 0 0 0 +0 +3 3 0xf8d6 0xf02d10d4 @@ -274382,6 +342978,8 @@ 0 0 0 +2 +2 1 0x3946 0x4e6b7d80 @@ -274390,6 +342988,8 @@ 0 0 0 +4 +0 1 0x5b48 0xd4da5048 @@ -274398,6 +342998,8 @@ 0 0 0 +4 +0 1 0x8fde 0x7792e035 @@ -274406,6 +343008,8 @@ 0 0 0 +4 +3 2 0x6d24 0x9815c50d @@ -274414,6 +343018,8 @@ 0 0 0 +5 +1 1 0x95c2 0x65e4fbd @@ -274422,6 +343028,8 @@ 1 0 0 +0 +2 1 0xff03 0x3d04bdad @@ -274430,6 +343038,8 @@ 1 1 0 +0 +4 1 0x6e27 0x19192523 @@ -274438,6 +343048,8 @@ 1 1 0 +3 +2 4 0x1ca8 0x21eaa18a @@ -274446,6 +343058,8 @@ 1 0 0 +2 +4 1 0xe7da 0x3cf76922 @@ -274455,6 +343069,8 @@ 0 0 3 +2 +3 0xb08 0x8b3153ba 256 @@ -274463,6 +343079,8 @@ 1 0 4 +1 +4 0xb865 0xa62b048d 256 @@ -274470,6 +343088,8 @@ 0 0 0 +0 +2 4 0xba66 0x42b22c60 @@ -274478,6 +343098,8 @@ 0 0 0 +0 +4 4 0xcac3 0x43c02b28 @@ -274486,6 +343108,8 @@ 1 1 0 +5 +3 1 0xac48 0x250fbd71 @@ -274495,6 +343119,8 @@ 1 0 1 +0 +1 0x4fe 0x6a72ea2e 256 @@ -274502,6 +343128,8 @@ 0 0 0 +4 +2 3 0x2319 0x219142a @@ -274510,6 +343138,8 @@ 1 0 0 +4 +5 3 0xcf3b 0x46398e9d @@ -274519,6 +343149,8 @@ 0 0 3 +5 +3 0x3a7c 0xb22ee58 256 @@ -274526,6 +343158,8 @@ 1 0 0 +5 +0 4 0x7ab2 0x3a8a1518 @@ -274534,6 +343168,8 @@ 1 0 0 +2 +2 1 0x740d 0xd3883a6d @@ -274542,6 +343178,8 @@ 1 0 0 +2 +0 4 0xd3fd 0xd763fc4 @@ -274550,6 +343188,8 @@ 0 0 0 +2 +3 3 0x1238 0x361ff940 @@ -274558,6 +343198,8 @@ 0 0 0 +1 +3 3 0x1228 0x806ab71c @@ -274566,6 +343208,8 @@ 1 1 0 +2 +2 4 0x170c 0x6a0f673 @@ -274574,6 +343218,8 @@ 1 1 0 +0 +4 4 0x934c 0xbeafea19 @@ -274583,6 +343229,8 @@ 0 0 4 +3 +4 0xe0ce 0x825ac414 256 @@ -274591,6 +343239,8 @@ 1 0 3 +5 +3 0xf66f 0x4afbdb5d 256 @@ -274598,6 +343248,8 @@ 0 0 0 +0 +4 4 0xe6ca 0x2a98488e @@ -274606,6 +343258,8 @@ 0 0 0 +5 +3 2 0x7dac 0xe6799ef0 @@ -274614,6 +343268,8 @@ 0 0 0 +5 +0 3 0xf451 0x831096fd @@ -274623,6 +343279,8 @@ 0 0 3 +4 +3 0x26db 0x2a4f6ce0 256 @@ -274630,6 +343288,8 @@ 0 0 0 +4 +5 2 0xe89f 0x713c46b2 @@ -274638,6 +343298,8 @@ 1 1 0 +2 +0 3 0x42f9 0xec2a8505 @@ -274646,6 +343308,8 @@ 0 0 0 +0 +5 2 0x8fe6 0x7d914e89 @@ -274654,6 +343318,8 @@ 1 0 0 +3 +3 2 0xba0f 0xd22efad @@ -274662,6 +343328,8 @@ 0 0 0 +0 +1 4 0xd1e5 0x4137118e @@ -274670,6 +343338,8 @@ 0 0 0 +0 +2 4 0x8855 0x16f88e46 @@ -274678,6 +343348,8 @@ 0 0 0 +2 +4 3 0x5f58 0x225b0b8 @@ -274686,6 +343358,8 @@ 1 1 0 +2 +5 3 0xad27 0x50fdd12c @@ -274694,6 +343368,8 @@ 0 0 0 +4 +2 3 0x5a75 0xb7b05040 @@ -274702,6 +343378,8 @@ 1 0 0 +4 +2 1 0x7293 0x73955067 @@ -274710,6 +343388,8 @@ 1 1 0 +3 +0 4 0x92d2 0xf61f09da @@ -274719,6 +343399,8 @@ 0 0 4 +5 +4 0xf3a6 0xa3201c93 256 @@ -274726,6 +343408,8 @@ 1 1 0 +0 +2 3 0x3ef6 0xdf851919 @@ -274734,6 +343418,8 @@ 1 0 0 +5 +2 1 0x88d8 0xff1ffe22 @@ -274742,6 +343428,8 @@ 0 0 0 +5 +4 4 0xa367 0x198bc6d4 @@ -274750,6 +343438,8 @@ 0 0 0 +3 +3 2 0x5c28 0x8e97361d @@ -274758,6 +343448,8 @@ 0 0 0 +0 +4 2 0x9fd0 0xbe5c180f @@ -274766,6 +343458,8 @@ 1 0 0 +4 +5 3 0xcafb 0x75a6fbb5 @@ -274774,6 +343468,8 @@ 1 1 0 +3 +2 2 0x206f 0xf7e12b9b @@ -274782,6 +343478,8 @@ 0 0 0 +2 +5 4 0xa57a 0x2f8c13a8 @@ -274790,6 +343488,8 @@ 0 0 0 +0 +0 1 0x4d7 0xff00ae27 @@ -274799,6 +343499,8 @@ 0 0 4 +1 +4 0x91c 0xe3df1c04 256 @@ -274806,6 +343508,8 @@ 1 0 0 +4 +1 1 0xc444 0x1205c241 @@ -274814,6 +343518,8 @@ 1 0 0 +4 +0 2 0xf1c8 0x839b919c @@ -274822,6 +343528,8 @@ 0 0 0 +3 +3 2 0x866 0x7ec536e4 @@ -274830,6 +343538,8 @@ 1 0 0 +2 +4 4 0xf259 0x84005e59 @@ -274839,6 +343549,8 @@ 0 0 3 +3 +3 0xffd7 0xe8af34fa 256 @@ -274846,6 +343558,8 @@ 1 0 0 +0 +1 1 0xd49a 0x38d6c4d5 @@ -274854,6 +343568,8 @@ 1 0 0 +4 +1 2 0x4026 0xb4abb44b @@ -274862,6 +343578,8 @@ 0 0 0 +1 +0 2 0x7a98 0x18788b3d @@ -274871,6 +343589,8 @@ 0 0 4 +1 +4 0x140 0x6fa16720 256 @@ -274878,6 +343598,8 @@ 1 1 0 +5 +3 2 0x28b7 0x4d82714a @@ -274886,6 +343608,8 @@ 0 0 0 +1 +4 3 0xb4bd 0x8518c873 @@ -274894,6 +343618,8 @@ 0 0 0 +3 +1 1 0xcb20 0xea5b0a11 @@ -274902,6 +343628,8 @@ 1 0 0 +2 +1 4 0xac7c 0xecf1c348 @@ -274910,6 +343638,8 @@ 0 0 0 +1 +0 3 0xdc81 0x67b1685 @@ -274918,6 +343648,8 @@ 1 1 0 +2 +4 3 0xd309 0xc604c7f7 @@ -274926,6 +343658,8 @@ 1 1 0 +2 +4 3 0xdea5 0x698ce38d @@ -274934,6 +343668,8 @@ 1 0 0 +5 +3 1 0x52ef 0x8791e8dc @@ -274942,6 +343678,8 @@ 1 0 0 +4 +3 3 0xffbb 0xb1c498a6 @@ -274950,6 +343688,8 @@ 1 0 0 +2 +1 1 0xe6e9 0xc124fec4 @@ -274958,6 +343698,8 @@ 1 0 0 +0 +2 1 0xa24 0x79bea0ac @@ -274966,6 +343708,8 @@ 1 0 0 +4 +5 3 0xc722 0xde38e06 @@ -274974,6 +343718,8 @@ 0 0 0 +3 +4 4 0x73c5 0x7ccc8d63 @@ -274982,6 +343728,8 @@ 0 0 0 +5 +3 2 0xc6f7 0xeca320e8 @@ -274990,6 +343738,8 @@ 0 0 0 +0 +2 3 0xba8d 0xd9949f26 @@ -274998,6 +343748,8 @@ 1 0 0 +1 +5 3 0x41d8 0x169c40f @@ -275006,6 +343758,8 @@ 0 0 0 +4 +3 2 0x9a1 0xaa4388c8 @@ -275014,6 +343768,8 @@ 1 0 0 +2 +5 3 0x7636 0x7fa8e298 @@ -275022,6 +343778,8 @@ 0 0 0 +2 +2 3 0x3d4f 0x4c825d3c @@ -275031,6 +343789,8 @@ 0 0 3 +3 +3 0xc1de 0x90f727a9 256 @@ -275038,6 +343798,8 @@ 1 1 0 +0 +0 3 0x7b9d 0xf6a879a0 @@ -275046,6 +343808,8 @@ 0 0 0 +1 +4 3 0x36b3 0x7be0785b @@ -275054,6 +343818,8 @@ 0 0 0 +3 +1 4 0x2ed9 0xa42e8159 @@ -275062,6 +343828,8 @@ 0 0 0 +1 +2 2 0x5ba3 0xd8dbabcd @@ -275070,6 +343838,8 @@ 1 0 0 +4 +4 3 0x257a 0xbf18ff94 @@ -275078,6 +343848,8 @@ 0 0 0 +5 +5 4 0x12e2 0x8d61c16d @@ -275086,6 +343858,8 @@ 1 0 0 +1 +4 2 0x9e5e 0x11ad1ed1 @@ -275094,6 +343868,8 @@ 0 0 0 +3 +0 1 0xa1ee 0x13995f32 @@ -275102,6 +343878,8 @@ 1 1 0 +5 +0 1 0x306 0xd1094a85 @@ -275110,6 +343888,8 @@ 0 0 0 +0 +4 4 0x2615 0x1b1c65db @@ -275118,6 +343898,8 @@ 1 1 0 +1 +4 4 0x1ad 0x5b8837b @@ -275126,6 +343908,8 @@ 0 0 0 +4 +4 2 0xa73e 0x66778cc3 @@ -275134,6 +343918,8 @@ 0 0 0 +4 +1 3 0x1018 0x49423983 @@ -275142,6 +343928,8 @@ 0 0 0 +5 +4 3 0xc58 0x57039ecc @@ -275150,6 +343938,8 @@ 0 0 0 +3 +3 1 0x5c53 0x9f80a5e7 @@ -275158,6 +343948,8 @@ 1 1 0 +3 +1 4 0x8433 0x287479f5 @@ -275166,6 +343958,8 @@ 1 1 0 +0 +2 3 0x4963 0x99a620c9 @@ -275174,6 +343968,8 @@ 1 0 0 +0 +3 3 0xc164 0xa06b5a8e @@ -275183,6 +343979,8 @@ 0 0 3 +3 +3 0x90f5 0x97cf91a4 256 @@ -275190,6 +343988,8 @@ 0 0 0 +1 +3 2 0x988c 0x76e54863 @@ -275198,6 +343998,8 @@ 0 0 0 +2 +1 1 0x1d81 0xf8957de9 @@ -275207,6 +344009,8 @@ 0 0 1 +5 +1 0x610a 0x9ea04098 256 @@ -275214,6 +344018,8 @@ 0 0 0 +2 +3 1 0xb1d4 0x417394b8 @@ -275222,6 +344028,8 @@ 0 0 0 +3 +3 4 0xd716 0xef73d7c @@ -275230,6 +344038,8 @@ 1 1 0 +4 +3 2 0xb7 0xb5714341 @@ -275238,6 +344048,8 @@ 0 0 0 +3 +4 4 0x6f20 0x50187bf3 @@ -275246,6 +344058,8 @@ 0 0 0 +4 +4 2 0xdbe9 0x485c0a41 @@ -275254,6 +344068,8 @@ 1 0 0 +5 +5 1 0x79fe 0x94c8de71 @@ -275262,6 +344078,8 @@ 0 0 0 +5 +5 4 0x4a36 0x7003acb1 @@ -275270,6 +344088,8 @@ 0 0 0 +5 +3 4 0x8f95 0x22866301 @@ -275278,6 +344098,8 @@ 1 1 0 +3 +0 2 0xe278 0x9e2a8b53 @@ -275286,6 +344108,8 @@ 1 1 0 +4 +5 2 0xdc8a 0x3b531251 @@ -275294,6 +344118,8 @@ 1 0 0 +2 +0 4 0x1d5b 0x6acd29c7 @@ -275303,6 +344129,8 @@ 0 0 3 +4 +3 0x5967 0x89f57725 256 @@ -275310,6 +344138,8 @@ 0 0 0 +0 +3 1 0x377b 0x8b2fe91b @@ -275318,6 +344148,8 @@ 1 1 0 +5 +2 1 0xc980 0x29191521 @@ -275326,6 +344158,8 @@ 1 1 0 +1 +2 4 0xa5d4 0x90c59541 @@ -275335,6 +344169,8 @@ 0 0 2 +0 +2 0x981a 0x7e8654a6 256 @@ -275342,6 +344178,8 @@ 0 0 0 +2 +4 1 0x7485 0xf9e0dabd @@ -275350,6 +344188,8 @@ 1 1 0 +5 +1 3 0xf8e6 0x1af4d913 @@ -275358,6 +344198,8 @@ 0 0 0 +2 +3 4 0x7f24 0xbccbfd0d @@ -275366,6 +344208,8 @@ 1 0 0 +5 +5 4 0x2ea3 0x45b2bd5e @@ -275374,6 +344218,8 @@ 1 0 0 +3 +3 2 0x6ede 0xfe1636b5 @@ -275382,6 +344228,8 @@ 1 0 0 +1 +0 4 0x7e96 0x2d28ae2f @@ -275390,6 +344238,8 @@ 1 0 0 +0 +2 3 0xb1db 0x792fb8fb @@ -275398,6 +344248,8 @@ 1 0 0 +1 +4 3 0x8638 0x52647d60 @@ -275406,6 +344258,8 @@ 0 0 0 +0 +3 3 0xf986 0x80dea615 @@ -275414,6 +344268,8 @@ 1 0 0 +5 +0 4 0xecc2 0x1a81bcfb @@ -275422,6 +344278,8 @@ 1 1 0 +0 +5 1 0x3cb5 0x5c9b7b5 @@ -275430,6 +344288,8 @@ 0 0 0 +2 +5 1 0x4b98 0xb047ef4d @@ -275438,6 +344298,8 @@ 1 1 0 +3 +5 1 0x2c23 0x124c0b2e @@ -275446,6 +344308,8 @@ 0 0 0 +0 +4 3 0xdcbc 0xac9ff82d @@ -275455,6 +344319,8 @@ 0 0 1 +5 +1 0x9de3 0xbcce7cbc 256 @@ -275462,6 +344328,8 @@ 0 0 0 +3 +1 4 0x87d9 0xaa820773 @@ -275470,6 +344338,8 @@ 0 0 0 +4 +5 2 0x80fd 0x5f076828 @@ -275478,6 +344348,8 @@ 1 0 0 +4 +5 1 0xb14e 0x5b83cf63 @@ -275487,6 +344359,8 @@ 0 0 3 +3 +3 0xd8d3 0xaade9ac8 256 @@ -275494,6 +344368,8 @@ 0 0 0 +0 +1 4 0x6ebc 0x324e0eda @@ -275502,6 +344378,8 @@ 1 0 0 +0 +1 2 0xc82f 0xa40b36c0 @@ -275510,6 +344388,8 @@ 0 0 0 +1 +2 4 0x28fb 0xd85ffaae @@ -275518,6 +344398,8 @@ 1 0 0 +5 +5 2 0xf44 0x6b51bca0 @@ -275527,6 +344409,8 @@ 0 0 4 +1 +4 0xfa96 0xeb9724ab 256 @@ -275534,6 +344418,8 @@ 0 0 0 +0 +4 4 0xe310 0xecafe756 @@ -275542,6 +344428,8 @@ 1 1 0 +4 +5 3 0x22b0 0xa850dfb @@ -275550,6 +344438,8 @@ 1 0 0 +2 +5 4 0x21b7 0x5d15aec9 @@ -275559,6 +344449,8 @@ 1 0 4 +4 +4 0x45aa 0xe962b25f 256 @@ -275566,6 +344458,8 @@ 0 0 0 +4 +2 3 0xc3d7 0x99034bb2 @@ -275574,6 +344468,8 @@ 0 0 0 +5 +4 2 0x34a8 0xb99a0d06 @@ -275582,6 +344478,8 @@ 1 0 0 +3 +5 1 0xace2 0xe85e98d8 @@ -275590,6 +344488,8 @@ 1 0 0 +5 +5 2 0x22bb 0x6bdeb17 @@ -275598,6 +344498,8 @@ 1 0 0 +3 +3 2 0xa2e5 0x49a082bf @@ -275607,6 +344509,8 @@ 0 0 3 +5 +3 0xc409 0x16570644 256 @@ -275614,6 +344518,8 @@ 0 0 0 +5 +2 1 0x9648 0x9eea2992 @@ -275623,6 +344529,8 @@ 0 0 4 +3 +4 0x502a 0xf58752e6 256 @@ -275630,6 +344538,8 @@ 0 0 0 +5 +1 2 0x408d 0x2e673257 @@ -275638,6 +344548,8 @@ 0 0 0 +3 +4 2 0x4c9 0xabc999c4 @@ -275647,6 +344559,8 @@ 1 0 3 +5 +3 0x1c45 0x490e734b 256 @@ -275654,6 +344568,8 @@ 0 0 0 +4 +2 1 0xbe44 0x94aff488 @@ -275662,6 +344578,8 @@ 0 0 0 +0 +0 1 0xc4b6 0x30532621 @@ -275670,6 +344588,8 @@ 0 0 0 +2 +4 1 0x6b71 0x3ba5c53b @@ -275679,6 +344599,8 @@ 1 0 3 +5 +3 0xd803 0x40288d66 256 @@ -275686,6 +344608,8 @@ 1 0 0 +0 +4 1 0x4eb0 0x5858be61 @@ -275694,6 +344618,8 @@ 0 0 0 +4 +3 3 0xb251 0xc4c68f05 @@ -275702,6 +344628,8 @@ 1 1 0 +5 +5 1 0xc641 0xbd5e6a3 @@ -275710,6 +344638,8 @@ 0 0 0 +5 +0 3 0xfd58 0xb76b213 @@ -275718,6 +344648,8 @@ 1 0 0 +2 +2 1 0xd975 0x1a4fa982 @@ -275726,6 +344658,8 @@ 0 0 0 +5 +3 1 0x3156 0x82209094 @@ -275734,6 +344668,8 @@ 1 0 0 +2 +1 1 0xe935 0xa5e0a661 @@ -275743,6 +344679,8 @@ 1 0 1 +0 +1 0xcc6d 0xfe83f201 256 @@ -275751,6 +344689,8 @@ 1 0 1 +1 +1 0x183f 0x6d836d1d 256 @@ -275759,6 +344699,8 @@ 0 0 3 +5 +3 0xd09e 0x15c6d838 256 @@ -275767,6 +344709,8 @@ 0 0 1 +5 +1 0xbfd7 0xff57c59f 256 @@ -275775,6 +344719,8 @@ 0 0 3 +2 +3 0x3b0 0x60d09e29 256 @@ -275782,6 +344728,8 @@ 0 0 0 +1 +3 2 0xd297 0x84377fc3 @@ -275790,6 +344738,8 @@ 1 1 0 +3 +5 2 0x3559 0x4a45b0bb @@ -275798,6 +344748,8 @@ 0 0 0 +4 +4 2 0x511f 0xe7ec524f @@ -275806,6 +344758,8 @@ 1 0 0 +1 +0 4 0x5942 0x3f65b48f @@ -275814,6 +344768,8 @@ 1 0 0 +1 +4 3 0x7d32 0x7eafbf43 @@ -275822,6 +344778,8 @@ 0 0 0 +5 +4 3 0x7c78 0x8f2afc4 @@ -275830,6 +344788,8 @@ 0 0 0 +4 +5 2 0xa6d9 0x9002cddc @@ -275838,6 +344798,8 @@ 0 0 0 +1 +3 2 0x931a 0xb784eea4 @@ -275846,6 +344808,8 @@ 1 1 0 +2 +5 4 0xe44e 0xfb7717e8 @@ -275854,6 +344818,8 @@ 1 0 0 +4 +4 3 0xb25d 0xf187ab3d @@ -275862,6 +344828,8 @@ 1 1 0 +5 +2 3 0x901d 0x6e6b778e @@ -275870,6 +344838,8 @@ 1 0 0 +4 +5 1 0xfae3 0x623e2d63 @@ -275878,6 +344848,8 @@ 0 0 0 +2 +4 4 0x2b75 0xf23b0a00 @@ -275886,6 +344858,8 @@ 1 0 0 +4 +4 2 0xe7e9 0x5f31f23e @@ -275894,6 +344868,8 @@ 0 0 0 +3 +4 4 0x807b 0x6122d687 @@ -275902,6 +344878,8 @@ 1 0 0 +0 +2 3 0xefe3 0xf6fb169a @@ -275910,6 +344888,8 @@ 1 1 0 +0 +2 3 0x3e98 0x155e9a6 @@ -275918,6 +344898,8 @@ 0 0 0 +3 +5 4 0x8793 0x2da4b7c9 @@ -275926,6 +344908,8 @@ 1 0 0 +3 +3 4 0xaa18 0xfdf8ef21 @@ -275934,6 +344918,8 @@ 0 0 0 +4 +2 1 0xf196 0x16465758 @@ -275942,6 +344928,8 @@ 0 0 0 +1 +0 3 0xcc01 0xb8a2cebd @@ -275951,6 +344939,8 @@ 0 0 4 +3 +4 0xc487 0xf0193c8 256 @@ -275958,6 +344948,8 @@ 1 0 0 +4 +5 3 0x61e0 0x6bcc2290 @@ -275966,6 +344958,8 @@ 0 0 0 +4 +3 2 0x33ed 0x4692835e @@ -275974,6 +344968,8 @@ 1 0 0 +0 +3 2 0x19d1 0xaf47b131 @@ -275982,6 +344978,8 @@ 1 1 0 +5 +3 4 0xcc5 0xea520edf @@ -275990,6 +344988,8 @@ 1 0 0 +0 +2 4 0xaf7b 0x4b371fe6 @@ -275999,6 +344999,8 @@ 0 0 3 +5 +3 0x1272 0x824e4c6c 256 @@ -276006,6 +345008,8 @@ 0 0 0 +4 +2 1 0xcad6 0x24b8c9ee @@ -276014,6 +345018,8 @@ 0 0 0 +2 +4 4 0x555a 0xb4dd4d4c @@ -276022,6 +345028,8 @@ 1 1 0 +5 +1 2 0xe6f8 0xfe2ee96d @@ -276030,6 +345038,8 @@ 1 0 0 +5 +3 2 0xa605 0xd414435e @@ -276038,6 +345048,8 @@ 0 0 0 +0 +4 4 0xb0f9 0x2aedc5a2 @@ -276046,6 +345058,8 @@ 1 1 0 +4 +2 2 0x245d 0xee858fe4 @@ -276055,6 +345069,8 @@ 0 0 2 +0 +2 0x9f45 0x2f0dff11 256 @@ -276062,6 +345078,8 @@ 1 1 0 +0 +5 4 0xa90c 0xbc1f6f20 @@ -276070,6 +345088,8 @@ 0 0 0 +1 +5 4 0x5800 0x9667746e @@ -276078,6 +345098,8 @@ 1 1 0 +4 +1 1 0x170c 0x7452953 @@ -276086,6 +345108,8 @@ 0 0 0 +3 +0 1 0xbca0 0x5c04cbcf @@ -276094,6 +345118,8 @@ 1 1 0 +1 +1 4 0x7569 0xe397220a @@ -276102,6 +345128,8 @@ 0 0 0 +0 +0 2 0x9449 0xcc1136c0 @@ -276110,6 +345138,8 @@ 1 0 0 +3 +3 1 0x1890 0xbfd787db @@ -276118,6 +345148,8 @@ 0 0 0 +1 +2 2 0xfb77 0xef0b428d @@ -276126,6 +345158,8 @@ 1 0 0 +1 +4 3 0x54c4 0x8c255343 @@ -276134,6 +345168,8 @@ 0 0 0 +5 +3 2 0xc404 0xf3b3147d @@ -276142,6 +345178,8 @@ 0 0 0 +5 +2 3 0xe7e2 0x27fdc7a7 @@ -276150,6 +345188,8 @@ 0 0 0 +5 +5 4 0x63d2 0xbb72a56 @@ -276158,6 +345198,8 @@ 0 0 0 +1 +3 3 0x5f71 0x77322216 @@ -276166,6 +345208,8 @@ 1 0 0 +5 +1 1 0xbb0c 0x1833ff0c @@ -276174,6 +345218,8 @@ 1 0 0 +3 +4 2 0x1a3f 0x410277dc @@ -276182,6 +345228,8 @@ 1 0 0 +1 +4 4 0xc555 0xeb71fc0b @@ -276191,6 +345239,8 @@ 0 0 2 +5 +2 0xe11c 0xa3066b88 256 @@ -276198,6 +345248,8 @@ 0 0 0 +5 +2 1 0xe9a5 0xa09583ba @@ -276206,6 +345258,8 @@ 0 0 0 +2 +2 1 0xa587 0xd8687b2b @@ -276214,6 +345268,8 @@ 0 0 0 +4 +4 2 0x4c8f 0x1f928ed4 @@ -276222,6 +345278,8 @@ 0 0 0 +1 +2 2 0x5d06 0xc3d9f7db @@ -276231,6 +345289,8 @@ 0 0 2 +0 +2 0xdb01 0x1d3a544e 256 @@ -276238,6 +345298,8 @@ 0 0 0 +4 +5 1 0xe1a7 0xaa94857b @@ -276246,6 +345308,8 @@ 0 0 0 +2 +0 4 0x34a 0x70d20b6b @@ -276255,6 +345319,8 @@ 0 0 3 +0 +3 0x4290 0x64051b4 256 @@ -276263,6 +345329,8 @@ 1 0 4 +5 +4 0x3836 0xa078bc92 256 @@ -276270,6 +345338,8 @@ 0 0 0 +4 +3 2 0xe5d 0x9d0d0b4b @@ -276278,6 +345348,8 @@ 1 0 0 +2 +4 1 0x7f8d 0x105ca43b @@ -276286,6 +345358,8 @@ 0 0 0 +4 +0 2 0x82b6 0xf05eaba5 @@ -276295,6 +345369,8 @@ 0 0 3 +0 +3 0x87c7 0x59366fd4 256 @@ -276302,6 +345378,8 @@ 0 0 0 +5 +1 1 0x8ae0 0xa8e2addd @@ -276310,6 +345388,8 @@ 1 0 0 +3 +4 4 0x29bd 0xa299d8a0 @@ -276318,6 +345398,8 @@ 1 0 0 +5 +4 4 0x6e25 0x4e56f5c7 @@ -276327,6 +345409,8 @@ 0 0 4 +3 +4 0xea2c 0xd3e7b5cc 256 @@ -276334,6 +345418,8 @@ 0 0 0 +0 +1 1 0x1451 0xa1e1392b @@ -276342,6 +345428,8 @@ 0 0 0 +1 +0 2 0x2e62 0x458a79fb @@ -276350,6 +345438,8 @@ 0 0 0 +5 +4 1 0xac82 0xd6c90266 @@ -276359,6 +345449,8 @@ 0 0 4 +1 +4 0x848f 0x79202c4 256 @@ -276366,6 +345458,8 @@ 1 0 0 +0 +4 3 0x8aa6 0x3022dfbe @@ -276374,6 +345468,8 @@ 1 0 0 +3 +4 1 0xe91c 0xea3f30b5 @@ -276382,6 +345478,8 @@ 0 0 0 +0 +3 3 0x18e 0x89de7808 @@ -276390,6 +345488,8 @@ 1 1 0 +0 +4 1 0x2c01 0x3d4c2630 @@ -276398,6 +345498,8 @@ 1 0 0 +2 +4 3 0x9fb3 0x9be3c601 @@ -276406,6 +345508,8 @@ 1 0 0 +1 +0 2 0x17c9 0x963d57ba @@ -276414,6 +345518,8 @@ 0 0 0 +3 +0 1 0x2f4c 0x3568336f @@ -276422,6 +345528,8 @@ 1 1 0 +1 +2 3 0x6a11 0xfa7e3f34 @@ -276430,6 +345538,8 @@ 0 0 0 +2 +2 4 0x3db2 0xd827ef53 @@ -276439,6 +345549,8 @@ 1 0 1 +3 +1 0xad3c 0xec219635 256 @@ -276446,6 +345558,8 @@ 1 1 0 +1 +3 4 0x4a7e 0x21b29a4e @@ -276454,6 +345568,8 @@ 0 0 0 +2 +0 4 0xeb79 0xfd6bd032 @@ -276462,6 +345578,8 @@ 0 0 0 +5 +0 1 0xd800 0xea6c9c32 @@ -276470,6 +345588,8 @@ 1 1 0 +1 +2 4 0xc84e 0x9623c40d @@ -276478,6 +345598,8 @@ 0 0 0 +4 +4 3 0x3fc9 0x61a05f54 @@ -276486,6 +345608,8 @@ 1 1 0 +0 +4 3 0x2a7 0xef778363 @@ -276494,6 +345618,8 @@ 1 0 0 +0 +2 4 0x7880 0x1b255ee0 @@ -276502,6 +345628,8 @@ 0 0 0 +5 +2 3 0x167c 0xfc252404 @@ -276510,6 +345638,8 @@ 1 0 0 +5 +1 4 0x1287 0x617df5db @@ -276518,6 +345648,8 @@ 1 0 0 +1 +2 3 0xacac 0xd7b71e4f @@ -276526,6 +345658,8 @@ 0 0 0 +0 +5 4 0x968d 0x1b1acbc4 @@ -276534,6 +345668,8 @@ 0 0 0 +4 +2 1 0x7663 0xcc825654 @@ -276542,6 +345678,8 @@ 1 0 0 +4 +2 1 0xc1a8 0xd88540f4 @@ -276550,6 +345688,8 @@ 0 0 0 +2 +3 1 0x6479 0x3779c3e7 @@ -276559,6 +345699,8 @@ 0 0 2 +4 +2 0x5735 0x30a8751c 256 @@ -276566,6 +345708,8 @@ 0 0 0 +1 +0 3 0x76bc 0xd3a47ca6 @@ -276574,6 +345718,8 @@ 0 0 0 +3 +2 4 0xfe10 0xf1391c09 @@ -276582,6 +345728,8 @@ 1 1 0 +0 +2 2 0x5f6e 0x3baaca4 @@ -276590,6 +345738,8 @@ 0 0 0 +2 +4 3 0x766f 0xca7caba2 @@ -276599,6 +345749,8 @@ 0 0 4 +4 +4 0x6a74 0xdb3c99e8 256 @@ -276606,6 +345758,8 @@ 1 0 0 +5 +1 2 0x2b41 0x4e671c9e @@ -276614,6 +345768,8 @@ 1 1 0 +3 +2 1 0x92f4 0x6375f898 @@ -276623,6 +345779,8 @@ 0 0 3 +2 +3 0xb37d 0xfddc409a 256 @@ -276630,6 +345788,8 @@ 0 0 0 +3 +1 1 0xba7f 0x1bb44732 @@ -276638,6 +345798,8 @@ 0 0 0 +0 +1 1 0xf496 0x526f73d9 @@ -276647,6 +345809,8 @@ 0 0 2 +3 +2 0x8dc0 0x5bd9c5b5 256 @@ -276654,6 +345818,8 @@ 1 0 0 +4 +5 1 0x5994 0x11a10fab @@ -276662,6 +345828,8 @@ 1 1 0 +1 +0 2 0xe93 0xd531d5b0 @@ -276670,6 +345838,8 @@ 0 0 0 +1 +0 2 0x531c 0x43c82bb8 @@ -276678,6 +345848,8 @@ 1 0 0 +2 +5 3 0xd326 0xeb7c868a @@ -276686,6 +345858,8 @@ 0 0 0 +5 +5 3 0xd99a 0x4dea02d9 @@ -276694,6 +345868,8 @@ 0 0 0 +1 +2 3 0x80a0 0xfdcb89b3 @@ -276703,6 +345879,8 @@ 0 0 2 +4 +2 0x4a8 0xb574cc26 256 @@ -276711,6 +345889,8 @@ 0 0 3 +5 +3 0x230a 0x302df35c 256 @@ -276718,6 +345898,8 @@ 0 0 0 +0 +3 1 0x64d2 0x7379c219 @@ -276726,6 +345908,8 @@ 0 0 0 +5 +2 1 0x49d4 0x69fb9593 @@ -276734,6 +345918,8 @@ 0 0 0 +3 +1 4 0xc843 0x7e22ba40 @@ -276742,6 +345928,8 @@ 1 0 0 +5 +3 3 0x114a 0x6f0d6e3d @@ -276750,6 +345938,8 @@ 0 0 0 +2 +4 1 0xdcfb 0xe9bce8f8 @@ -276758,6 +345948,8 @@ 1 0 0 +3 +1 2 0x1dd5 0x7a0cb6d6 @@ -276766,6 +345958,8 @@ 0 0 0 +4 +5 1 0x8126 0xf994e5d3 @@ -276774,6 +345968,8 @@ 1 0 0 +5 +4 4 0x8a2d 0x6d14fd11 @@ -276782,6 +345978,8 @@ 0 0 0 +3 +5 4 0xd5d9 0xe2b6532a @@ -276790,6 +345988,8 @@ 0 0 0 +0 +4 4 0x350b 0x912aec1a @@ -276799,6 +345999,8 @@ 0 0 2 +5 +2 0xef8c 0xcfc3249d 256 @@ -276806,6 +346008,8 @@ 0 0 0 +5 +3 1 0x9e12 0x47c37f46 @@ -276814,6 +346018,8 @@ 0 0 0 +3 +1 2 0xd59b 0x918b96db @@ -276822,6 +346028,8 @@ 0 0 0 +3 +2 1 0xd0f8 0x57b81fdd @@ -276830,6 +346038,8 @@ 0 0 0 +4 +1 2 0xe7d6 0xaeb37787 @@ -276838,6 +346048,8 @@ 0 0 0 +2 +3 1 0xb6a6 0xd705c7fa @@ -276846,6 +346058,8 @@ 0 0 0 +4 +0 3 0xda0f 0x6fe71610 @@ -276855,6 +346069,8 @@ 1 0 1 +2 +1 0x533d 0x6f079c9f 256 @@ -276862,6 +346078,8 @@ 0 0 0 +5 +4 4 0xba4c 0x46a1abf3 @@ -276870,6 +346088,8 @@ 0 0 0 +1 +3 3 0x1b5e 0x8ec395f @@ -276879,6 +346099,8 @@ 0 0 3 +2 +3 0xda58 0xd73335bb 256 @@ -276886,6 +346108,8 @@ 0 0 0 +0 +3 4 0xc9f6 0x74cbb55f @@ -276895,6 +346119,8 @@ 0 0 1 +5 +1 0x67e4 0xd2815267 256 @@ -276902,6 +346128,8 @@ 1 1 0 +5 +0 4 0x2c60 0x8d78b447 @@ -276910,6 +346138,8 @@ 0 0 0 +4 +2 1 0x2276 0xb308e41d @@ -276918,6 +346148,8 @@ 0 0 0 +5 +4 2 0x71ad 0x64bae804 @@ -276926,6 +346158,8 @@ 0 0 0 +2 +0 1 0x22b2 0x9b84da3a @@ -276935,6 +346169,8 @@ 0 0 1 +2 +1 0x61a6 0x20d47692 256 @@ -276942,6 +346178,8 @@ 0 0 0 +1 +5 4 0x1d7c 0x121cc5bb @@ -276950,6 +346188,8 @@ 1 1 0 +3 +0 4 0x8736 0x763c608e @@ -276958,6 +346198,8 @@ 0 0 0 +3 +0 2 0x599a 0xdccc841 @@ -276966,6 +346208,8 @@ 1 1 0 +0 +5 3 0x6d19 0xc5356fb8 @@ -276974,6 +346218,8 @@ 0 0 0 +5 +3 2 0xd559 0xd360ed5c @@ -276982,6 +346228,8 @@ 1 0 0 +3 +4 1 0xc3f7 0xe3c12e12 @@ -276990,6 +346238,8 @@ 1 1 0 +4 +5 1 0x5e26 0x1027f043 @@ -276998,6 +346248,8 @@ 1 0 0 +1 +0 3 0xf8c2 0x49cc206d @@ -277006,6 +346258,8 @@ 0 0 0 +0 +4 4 0x52e2 0x1048ff04 @@ -277014,6 +346268,8 @@ 1 1 0 +0 +2 4 0x4c2b 0x5c78154b @@ -277022,6 +346278,8 @@ 1 1 0 +3 +5 4 0xcc20 0xf3b73573 @@ -277031,6 +346289,8 @@ 0 0 1 +3 +1 0xe32c 0x408a4c2a 256 @@ -277038,6 +346298,8 @@ 1 0 0 +5 +1 4 0x787 0x49f46c34 @@ -277046,6 +346308,8 @@ 1 0 0 +4 +0 2 0xdd59 0x754d01a7 @@ -277054,6 +346318,8 @@ 0 0 0 +0 +2 3 0x651a 0xa98550bd @@ -277062,6 +346328,8 @@ 1 0 0 +5 +0 2 0x4d85 0x9b13da47 @@ -277070,6 +346338,8 @@ 1 0 0 +1 +1 3 0x23d0 0xdef0b72 @@ -277078,6 +346348,8 @@ 0 0 0 +3 +4 1 0xd2bb 0x33b3bd42 @@ -277086,6 +346358,8 @@ 1 0 0 +0 +2 2 0x9211 0xd5bb05e2 @@ -277095,6 +346369,8 @@ 0 0 2 +3 +2 0xb253 0xf493c806 256 @@ -277102,6 +346378,8 @@ 1 0 0 +2 +1 3 0x1388 0x86c25452 @@ -277110,6 +346388,8 @@ 1 0 0 +0 +3 3 0x2a83 0x3a08640a @@ -277118,6 +346398,8 @@ 1 0 0 +2 +2 1 0x4fe 0xded72f84 @@ -277126,6 +346408,8 @@ 1 1 0 +1 +1 2 0xcf44 0x96560fe2 @@ -277135,6 +346419,8 @@ 0 0 1 +5 +1 0x3f01 0xb219458e 256 @@ -277142,6 +346428,8 @@ 0 0 0 +1 +3 4 0x55ee 0xfe4b9a78 @@ -277150,6 +346438,8 @@ 0 0 0 +5 +1 4 0xfe7b 0xfad372c7 @@ -277158,6 +346448,8 @@ 1 0 0 +3 +3 1 0x7365 0x7b2cc933 @@ -277166,6 +346458,8 @@ 0 0 0 +5 +1 2 0xfde9 0x15221d0d @@ -277175,6 +346469,8 @@ 0 0 4 +5 +4 0xfedc 0x7187207a 256 @@ -277182,6 +346478,8 @@ 1 1 0 +5 +5 4 0x1843 0xf373d46b @@ -277190,6 +346488,8 @@ 0 0 0 +5 +4 4 0x9fdc 0xec5b6eda @@ -277198,6 +346498,8 @@ 0 0 0 +0 +0 1 0x1869 0xd28fc2e9 @@ -277206,6 +346508,8 @@ 1 1 0 +0 +3 2 0x998c 0x5b3f0e1f @@ -277214,6 +346518,8 @@ 1 0 0 +1 +3 4 0x1893 0xdc35d8bc @@ -277222,6 +346528,8 @@ 0 0 0 +2 +4 3 0x830d 0x75680165 @@ -277230,6 +346538,8 @@ 0 0 0 +3 +0 2 0xb735 0x8fe9a49c @@ -277238,6 +346548,8 @@ 0 0 0 +1 +1 4 0x2236 0x25898e14 @@ -277246,6 +346558,8 @@ 1 0 0 +3 +4 4 0x91da 0xae0ac439 @@ -277254,6 +346568,8 @@ 0 0 0 +1 +4 4 0xef97 0xaa2c1edf @@ -277262,6 +346578,8 @@ 1 0 0 +5 +2 2 0x3521 0xebaad218 @@ -277270,6 +346588,8 @@ 1 1 0 +5 +3 4 0xc807 0xa329caf1 @@ -277278,6 +346598,8 @@ 1 0 0 +2 +0 1 0xd3ce 0x8aa5359c @@ -277286,6 +346608,8 @@ 0 0 0 +4 +5 2 0x44b2 0xa5983fbd @@ -277294,6 +346618,8 @@ 0 0 0 +1 +4 2 0x74ba 0xd42bd88c @@ -277303,6 +346629,8 @@ 1 0 1 +0 +1 0x3d1 0x26e92ea2 256 @@ -277310,6 +346638,8 @@ 1 0 0 +2 +1 1 0xd1d9 0xe21f4fd4 @@ -277319,6 +346649,8 @@ 1 0 3 +5 +3 0x640e 0x57c3417d 256 @@ -277326,6 +346658,8 @@ 0 0 0 +1 +2 4 0x7b91 0xcd708692 @@ -277334,6 +346668,8 @@ 1 0 0 +0 +1 4 0xba3d 0xd2a8ef03 @@ -277342,6 +346678,8 @@ 0 0 0 +0 +3 1 0x33a3 0x36e09ffb @@ -277350,6 +346688,8 @@ 0 0 0 +5 +1 4 0x92bb 0xa37e6305 @@ -277358,6 +346698,8 @@ 0 0 0 +3 +5 2 0x1363 0xb954edd8 @@ -277366,6 +346708,8 @@ 0 0 0 +0 +5 3 0x90c4 0xc74b827d @@ -277374,6 +346718,8 @@ 0 0 0 +0 +2 3 0x5974 0x1cf2037a @@ -277382,6 +346728,8 @@ 1 0 0 +1 +0 4 0xfacf 0x2fd8a8e3 @@ -277390,6 +346738,8 @@ 0 0 0 +5 +3 4 0x3086 0x93c60e61 @@ -277398,6 +346748,8 @@ 1 0 0 +5 +0 1 0xf675 0x380e4d7b @@ -277406,6 +346758,8 @@ 0 0 0 +5 +1 4 0x6181 0xa7662618 @@ -277415,6 +346769,8 @@ 0 0 4 +0 +4 0x848c 0x7b6b9e59 256 @@ -277422,6 +346778,8 @@ 0 0 0 +3 +4 2 0x51 0x7a71b23c @@ -277430,6 +346788,8 @@ 1 1 0 +4 +3 3 0x1296 0xd40b34b2 @@ -277439,6 +346799,8 @@ 1 0 3 +1 +3 0xba67 0x4e7b3901 256 @@ -277447,6 +346809,8 @@ 0 0 3 +5 +3 0x46a5 0xd22c47a3 256 @@ -277454,6 +346818,8 @@ 0 0 0 +1 +1 3 0xd48a 0x83225c7d @@ -277462,6 +346828,8 @@ 0 0 0 +4 +0 2 0xc1 0x8fb2a1b9 @@ -277470,6 +346838,8 @@ 1 1 0 +1 +1 4 0x30e 0xbbcb93cc @@ -277479,6 +346849,8 @@ 0 0 4 +1 +4 0xaab9 0xdfde7731 256 @@ -277486,6 +346858,8 @@ 1 0 0 +1 +4 4 0x6f2c 0x702ee31c @@ -277494,6 +346868,8 @@ 1 0 0 +3 +3 2 0xa4b0 0xf78de538 @@ -277502,6 +346878,8 @@ 1 0 0 +5 +2 3 0xccf7 0x33b8af5b @@ -277510,6 +346888,8 @@ 0 0 0 +0 +2 3 0xcb49 0xe423ca69 @@ -277518,6 +346898,8 @@ 0 0 0 +5 +4 1 0x8cb5 0x4b18b6e9 @@ -277526,6 +346908,8 @@ 0 0 0 +5 +3 3 0x7905 0xd3a9d4c8 @@ -277534,6 +346918,8 @@ 0 0 0 +3 +5 4 0x380b 0x123d2445 @@ -277542,6 +346928,8 @@ 1 1 0 +4 +5 1 0x5108 0x59c0ab0d @@ -277550,6 +346938,8 @@ 0 0 0 +2 +5 1 0x7830 0xb330de6d @@ -277558,6 +346948,8 @@ 1 1 0 +3 +0 4 0x4264 0xcdb48aba @@ -277566,6 +346958,8 @@ 1 1 0 +2 +0 4 0xd4df 0xcee723a4 @@ -277574,6 +346968,8 @@ 1 1 0 +5 +4 1 0x79a6 0xc58381b6 @@ -277582,6 +346978,8 @@ 0 0 0 +1 +5 2 0x3246 0x16b4179 @@ -277590,6 +346988,8 @@ 1 0 0 +1 +5 2 0xc051 0x210b683 @@ -277598,6 +346998,8 @@ 0 0 0 +5 +4 4 0xdd3a 0x47cf5ec4 @@ -277606,6 +347008,8 @@ 0 0 0 +5 +1 1 0x8dd8 0xf1be616e @@ -277614,6 +347018,8 @@ 1 0 0 +2 +5 3 0xcc55 0xde2d8e01 @@ -277622,6 +347028,8 @@ 1 1 0 +2 +3 1 0x6ab6 0xd34b5695 @@ -277630,6 +347038,8 @@ 1 0 0 +0 +3 4 0x5d64 0x62e98f89 @@ -277638,6 +347048,8 @@ 1 1 0 +2 +1 3 0xedbe 0x92cd31e2 @@ -277646,6 +347058,8 @@ 0 0 0 +1 +3 4 0xb68 0x5d6f0e57 @@ -277655,6 +347069,8 @@ 1 0 3 +2 +3 0x4551 0xabd42f3c 256 @@ -277662,6 +347078,8 @@ 1 0 0 +4 +2 3 0x7275 0x6e9c39bc @@ -277670,6 +347088,8 @@ 1 0 0 +3 +2 4 0x6218 0x1a25bf3c @@ -277678,6 +347098,8 @@ 1 0 0 +3 +0 1 0xa6cc 0xec1a6bda @@ -277686,6 +347108,8 @@ 0 0 0 +4 +4 1 0xa214 0x8c017cfb @@ -277694,6 +347118,8 @@ 1 0 0 +2 +4 4 0x8fa3 0x24543138 @@ -277702,6 +347128,8 @@ 0 0 0 +2 +4 1 0x6c34 0x838165d1 @@ -277710,6 +347138,8 @@ 0 0 0 +3 +5 4 0x2198 0x94708999 @@ -277718,6 +347148,8 @@ 1 0 0 +1 +4 4 0x85f2 0x4e3d65cb @@ -277726,6 +347158,8 @@ 1 0 0 +2 +2 3 0x78cf 0x7a525558 @@ -277734,6 +347168,8 @@ 0 0 0 +1 +1 2 0x44fd 0xb7208f36 @@ -277743,6 +347179,8 @@ 0 0 1 +1 +1 0xf243 0x26969f06 256 @@ -277750,6 +347188,8 @@ 1 1 0 +4 +3 2 0xc136 0x66f6b579 @@ -277758,6 +347198,8 @@ 1 0 0 +1 +0 2 0x2c9b 0xb2071415 @@ -277766,6 +347208,8 @@ 1 1 0 +0 +4 2 0x6fcc 0xf1c1f2d4 @@ -277774,6 +347218,8 @@ 0 0 0 +0 +0 4 0xeb1c 0x6bd422b5 @@ -277782,6 +347228,8 @@ 0 0 0 +5 +4 1 0x5805 0x6916b7fc @@ -277790,6 +347238,8 @@ 1 1 0 +5 +5 1 0xf412 0x227d646e @@ -277798,6 +347248,8 @@ 1 1 0 +2 +1 3 0x83e0 0x59c74995 @@ -277806,6 +347258,8 @@ 0 0 0 +3 +2 2 0x6a1e 0xb19289a0 @@ -277815,6 +347269,8 @@ 0 0 2 +4 +2 0x83e 0x340676a2 256 @@ -277823,6 +347279,8 @@ 0 0 4 +3 +4 0xa6d6 0xd0f6d2c9 256 @@ -277830,6 +347288,8 @@ 1 0 0 +5 +1 1 0x47b8 0x86752e3c @@ -277838,6 +347298,8 @@ 1 1 0 +5 +2 1 0xe393 0x328f9f0f @@ -277846,6 +347308,8 @@ 0 0 0 +3 +0 1 0x8d5e 0x84ea5e8a @@ -277854,6 +347318,8 @@ 1 0 0 +3 +0 2 0xa3dc 0x18d31c89 @@ -277862,6 +347328,8 @@ 0 0 0 +5 +4 2 0x58ae 0x16d2a6cb @@ -277871,6 +347339,8 @@ 0 0 3 +0 +3 0xea9a 0x6caca67d 256 @@ -277879,6 +347349,8 @@ 0 0 3 +0 +3 0x4e70 0x8f500806 256 @@ -277887,6 +347359,8 @@ 0 0 1 +1 +1 0x5666 0x440fd689 256 @@ -277894,6 +347368,8 @@ 0 0 0 +0 +5 3 0x3ea0 0x41c93359 @@ -277902,6 +347378,8 @@ 0 0 0 +4 +1 1 0x5fcb 0x52ec5a6e @@ -277910,6 +347388,8 @@ 1 0 0 +2 +4 4 0x90ee 0x49042cde @@ -277918,6 +347398,8 @@ 1 1 0 +0 +3 3 0x14c4 0x4d379fda @@ -277926,6 +347408,8 @@ 1 0 0 +0 +3 3 0xe19d 0x87f49df @@ -277934,6 +347418,8 @@ 0 0 0 +3 +4 4 0xf454 0x74f84882 @@ -277942,6 +347428,8 @@ 0 0 0 +2 +5 4 0xe2f 0x411c59cf @@ -277950,6 +347438,8 @@ 0 0 0 +2 +2 3 0xb322 0xf83b7444 @@ -277958,6 +347448,8 @@ 0 0 0 +2 +3 1 0xfab9 0x4e179f01 @@ -277966,6 +347458,8 @@ 0 0 0 +3 +5 1 0x33a7 0x178e5d5d @@ -277975,6 +347469,8 @@ 0 0 3 +0 +3 0x8c65 0x30479b29 256 @@ -277982,6 +347478,8 @@ 0 0 0 +5 +5 3 0x18aa 0xb2fd20a4 @@ -277990,6 +347488,8 @@ 1 1 0 +2 +4 3 0xf1eb 0x72dbf96e @@ -277998,6 +347498,8 @@ 1 0 0 +0 +1 2 0x9201 0xdd9489c2 @@ -278006,6 +347508,8 @@ 0 0 0 +3 +1 2 0xb871 0x2a2a4f2 @@ -278014,6 +347518,8 @@ 0 0 0 +3 +0 1 0xe9c3 0x40c68da3 @@ -278022,6 +347528,8 @@ 0 0 0 +2 +5 4 0xb2fd 0x7b1d504f @@ -278030,6 +347538,8 @@ 0 0 0 +0 +5 3 0xf1a5 0x88b2c686 @@ -278038,6 +347548,8 @@ 0 0 0 +1 +1 2 0xea76 0x644b584d @@ -278046,6 +347558,8 @@ 1 0 0 +0 +4 2 0xf137 0x80d4386f @@ -278054,6 +347568,8 @@ 1 0 0 +4 +1 2 0x11da 0xdc091a07 @@ -278062,6 +347578,8 @@ 1 1 0 +0 +3 2 0xe8d6 0x5bb6b1cf @@ -278070,6 +347588,8 @@ 0 0 0 +2 +1 4 0xd5b0 0x73094ab @@ -278078,6 +347598,8 @@ 1 1 0 +0 +1 1 0x1d3b 0xb4df55cf @@ -278086,6 +347608,8 @@ 1 0 0 +5 +3 2 0xe270 0x8322de33 @@ -278094,6 +347618,8 @@ 0 0 0 +3 +1 4 0xac2 0xb3711a8d @@ -278102,6 +347628,8 @@ 0 0 0 +2 +2 1 0xcf46 0x279e1045 @@ -278110,6 +347638,8 @@ 1 1 0 +5 +3 3 0x3e93 0x1c0c0c6f @@ -278118,6 +347648,8 @@ 1 1 0 +4 +2 3 0x6e22 0x8bdcc73b @@ -278126,6 +347658,8 @@ 1 0 0 +4 +0 1 0x56e9 0x63aa725f @@ -278134,6 +347668,8 @@ 0 0 0 +1 +0 2 0xa755 0x66b0bf90 @@ -278142,6 +347678,8 @@ 0 0 0 +3 +5 4 0xb0a3 0xf2a1e9cc @@ -278150,6 +347688,8 @@ 1 0 0 +0 +3 2 0xd8e9 0xa4b47ba @@ -278158,6 +347698,8 @@ 0 0 0 +4 +5 3 0x44ef 0xc7a95001 @@ -278166,6 +347708,8 @@ 0 0 0 +4 +0 1 0xe578 0x504de174 @@ -278174,6 +347718,8 @@ 1 0 0 +2 +2 1 0x6363 0xbdcf8f07 @@ -278182,6 +347728,8 @@ 1 0 0 +0 +4 4 0xded2 0xe8543523 @@ -278190,6 +347738,8 @@ 0 0 0 +5 +0 2 0x7d2c 0x3a3ee2ff @@ -278198,6 +347748,8 @@ 1 0 0 +1 +0 2 0x8d89 0xf610fee6 @@ -278206,6 +347758,8 @@ 0 0 0 +0 +3 3 0x8713 0x66448ea0 @@ -278214,6 +347768,8 @@ 1 0 0 +4 +3 3 0x47fc 0x3eee7819 @@ -278222,6 +347778,8 @@ 1 1 0 +3 +0 4 0xb720 0xfebcb193 @@ -278230,6 +347788,8 @@ 1 1 0 +3 +4 2 0x4820 0xbb7ad93b @@ -278238,6 +347798,8 @@ 1 0 0 +3 +4 4 0xb1f6 0x4c0f275f @@ -278246,6 +347808,8 @@ 1 0 0 +0 +2 4 0x73b0 0x4501de94 @@ -278255,6 +347819,8 @@ 0 0 4 +1 +4 0x9f3c 0x12692a96 256 @@ -278262,6 +347828,8 @@ 1 0 0 +4 +0 1 0x81d 0x4580cf78 @@ -278270,6 +347838,8 @@ 1 0 0 +2 +2 4 0x9e53 0xf0e4658e @@ -278278,6 +347848,8 @@ 0 0 0 +1 +5 3 0x8ebb 0x800b6ce2 @@ -278286,6 +347858,8 @@ 1 0 0 +1 +0 3 0xacf8 0xa65e1f4f @@ -278294,6 +347868,8 @@ 1 0 0 +3 +2 4 0x65b4 0xb3fc0548 @@ -278302,6 +347878,8 @@ 1 0 0 +3 +5 1 0xb588 0xceaa325 @@ -278310,6 +347888,8 @@ 0 0 0 +1 +1 2 0x2e9 0xad81c8f4 @@ -278318,6 +347898,8 @@ 0 0 0 +5 +0 1 0x55ef 0x5a776e05 @@ -278327,6 +347909,8 @@ 1 0 2 +4 +2 0x1a18 0xbff99ac2 256 @@ -278335,6 +347919,8 @@ 0 0 2 +3 +2 0xb7bf 0x441943aa 256 @@ -278342,6 +347928,8 @@ 0 0 0 +0 +3 1 0x503 0xfc18f1a0 @@ -278350,6 +347938,8 @@ 0 0 0 +3 +3 1 0xb64b 0xd1f4eb4e @@ -278358,6 +347948,8 @@ 1 0 0 +4 +4 2 0x7d05 0x549dbb3a @@ -278366,6 +347958,8 @@ 0 0 0 +5 +4 4 0x7b87 0xff767b22 @@ -278374,6 +347968,8 @@ 1 0 0 +5 +5 3 0x3254 0xc531fe8f @@ -278382,6 +347978,8 @@ 0 0 0 +2 +3 4 0xbba9 0xc93ea744 @@ -278390,6 +347988,8 @@ 1 1 0 +4 +5 3 0xbcfd 0x4b6a81eb @@ -278398,6 +347998,8 @@ 0 0 0 +0 +4 4 0x2b1a 0x9f04a560 @@ -278406,6 +348008,8 @@ 1 0 0 +5 +2 4 0xd5ce 0xce7fe1c2 @@ -278414,6 +348018,8 @@ 0 0 0 +1 +3 3 0x8801 0xc2fb905c @@ -278422,6 +348028,8 @@ 1 1 0 +0 +0 2 0x680d 0x68906c53 @@ -278430,6 +348038,8 @@ 0 0 0 +2 +2 1 0x3195 0xfef2e415 @@ -278438,6 +348048,8 @@ 0 0 0 +4 +2 3 0x7cbe 0xd286b7af @@ -278447,6 +348059,8 @@ 1 0 3 +3 +3 0x3734 0x4b6e576e 256 @@ -278454,6 +348068,8 @@ 0 0 0 +5 +2 3 0xb84d 0xc0829fa6 @@ -278462,6 +348078,8 @@ 0 0 0 +4 +2 2 0xc391 0x46216f68 @@ -278470,6 +348088,8 @@ 0 0 0 +4 +4 1 0xd4fc 0x26df217a @@ -278478,6 +348098,8 @@ 0 0 0 +0 +5 3 0x655e 0x41ebc816 @@ -278486,6 +348108,8 @@ 0 0 0 +3 +4 2 0x5575 0x4ab8e7e3 @@ -278494,6 +348118,8 @@ 0 0 0 +5 +5 1 0x1a2a 0x5c1f4375 @@ -278502,6 +348128,8 @@ 0 0 0 +5 +1 1 0x2e30 0x2aa47b46 @@ -278510,6 +348138,8 @@ 0 0 0 +0 +2 4 0x333d 0xcbfac79b @@ -278518,6 +348148,8 @@ 0 0 0 +2 +3 1 0xafc4 0x97cf78d @@ -278526,6 +348158,8 @@ 1 0 0 +1 +3 4 0xb583 0xa3bf4a88 @@ -278534,6 +348168,8 @@ 0 0 0 +3 +2 2 0xa739 0x97cef9f6 @@ -278542,6 +348178,8 @@ 1 1 0 +1 +2 2 0xdc61 0xd03e6693 @@ -278550,6 +348188,8 @@ 1 0 0 +0 +5 4 0xaf64 0x504c6df5 @@ -278558,6 +348198,8 @@ 1 1 0 +3 +3 2 0x31a 0x3d568ee7 @@ -278566,6 +348208,8 @@ 0 0 0 +5 +2 2 0x2be4 0xa2bb546c @@ -278574,6 +348218,8 @@ 0 0 0 +5 +5 1 0xbc30 0x45f09b7f @@ -278582,6 +348228,8 @@ 1 0 0 +5 +5 2 0xc0c 0x9b92a4bd @@ -278590,6 +348238,8 @@ 1 1 0 +2 +1 4 0x728e 0x2c08cbfe @@ -278599,6 +348249,8 @@ 1 0 1 +3 +1 0x2dcb 0xb384198d 256 @@ -278606,6 +348258,8 @@ 0 0 0 +3 +2 1 0x5c0d 0x8c04a381 @@ -278614,6 +348268,8 @@ 0 0 0 +2 +3 1 0xe9c6 0x90dd7296 @@ -278622,6 +348278,8 @@ 1 1 0 +1 +4 2 0xfbf9 0x39f00fea @@ -278630,6 +348288,8 @@ 0 0 0 +0 +3 2 0x6c8e 0x11057ce3 @@ -278638,6 +348298,8 @@ 0 0 0 +1 +5 4 0xbdca 0xca3917e0 @@ -278646,6 +348308,8 @@ 1 0 0 +2 +5 4 0x6a4a 0xe37f9fa0 @@ -278655,6 +348319,8 @@ 1 0 3 +0 +3 0x328f 0x79a5752e 256 @@ -278663,6 +348329,8 @@ 0 0 2 +3 +2 0x7a7 0xe46f7ae5 256 @@ -278670,6 +348338,8 @@ 1 0 0 +5 +2 1 0xaeef 0xbe32aee5 @@ -278678,6 +348348,8 @@ 1 0 0 +5 +0 3 0x4b96 0xeaf9f31b @@ -278686,6 +348358,8 @@ 0 0 0 +0 +1 4 0xc9f6 0xf1886fcf @@ -278694,6 +348368,8 @@ 0 0 0 +2 +1 1 0xdd5d 0xbf5efe1e @@ -278702,6 +348378,8 @@ 0 0 0 +2 +1 4 0x1f40 0x78d523ba @@ -278710,6 +348388,8 @@ 1 0 0 +2 +5 4 0x7f17 0xcd3d1043 @@ -278718,6 +348398,8 @@ 1 0 0 +0 +3 2 0x162b 0x22d2dcd1 @@ -278726,6 +348408,8 @@ 1 0 0 +1 +4 3 0x9e89 0x2e37a388 @@ -278734,6 +348418,8 @@ 0 0 0 +4 +5 2 0x334e 0xa2ff5621 @@ -278742,6 +348428,8 @@ 1 1 0 +1 +1 2 0xc826 0x7677ae1e @@ -278751,6 +348439,8 @@ 0 0 2 +5 +2 0x4819 0xf745196c 256 @@ -278759,6 +348449,8 @@ 0 0 4 +3 +4 0x50b 0x890ec41 256 @@ -278766,6 +348458,8 @@ 1 1 0 +1 +3 3 0xc39 0x77443fdc @@ -278774,6 +348468,8 @@ 0 0 0 +4 +4 3 0xecac 0x42c736b9 @@ -278782,6 +348478,8 @@ 1 1 0 +4 +1 1 0x8754 0xa5039d74 @@ -278790,6 +348488,8 @@ 0 0 0 +2 +4 4 0x9522 0x640632ec @@ -278798,6 +348498,8 @@ 1 1 0 +1 +4 4 0x2898 0x3f453180 @@ -278806,6 +348508,8 @@ 0 0 0 +1 +4 3 0xf74e 0xdd40c95 @@ -278814,6 +348518,8 @@ 0 0 0 +3 +2 2 0xcf4d 0xd0685ea7 @@ -278822,6 +348528,8 @@ 1 0 0 +5 +1 1 0x5dc3 0xa65977c4 @@ -278830,6 +348538,8 @@ 0 0 0 +3 +1 1 0xba5a 0xa81d0e36 @@ -278838,6 +348548,8 @@ 0 0 0 +0 +0 3 0xae42 0x49da9088 @@ -278846,6 +348558,8 @@ 0 0 0 +2 +2 1 0x7cea 0x4b9cf894 @@ -278854,6 +348568,8 @@ 1 0 0 +0 +1 1 0xe69a 0xda84d307 @@ -278862,6 +348578,8 @@ 1 1 0 +2 +4 1 0xcd0c 0xa8ec761c @@ -278870,6 +348588,8 @@ 1 0 0 +4 +1 1 0x6c 0x1b3205b2 @@ -278878,6 +348598,8 @@ 1 1 0 +1 +2 3 0x6462 0xa3cd4c3b @@ -278886,6 +348608,8 @@ 0 0 0 +1 +4 4 0xf70 0x315cd65a @@ -278894,6 +348618,8 @@ 0 0 0 +3 +3 1 0xeb61 0xbbffba0 @@ -278902,6 +348628,8 @@ 1 1 0 +5 +4 3 0x2d6f 0xba0e27a2 @@ -278910,6 +348638,8 @@ 1 1 0 +4 +1 2 0xbc3d 0x83fe0ac3 @@ -278918,6 +348648,8 @@ 0 0 0 +4 +0 3 0xec22 0x5435613c @@ -278927,6 +348659,8 @@ 0 0 3 +5 +3 0xce12 0x90eea861 256 @@ -278934,6 +348668,8 @@ 0 0 0 +5 +4 1 0xb40a 0x5a6ac42e @@ -278942,6 +348678,8 @@ 0 0 0 +2 +4 1 0x915 0x494e79f8 @@ -278950,6 +348688,8 @@ 0 0 0 +1 +0 4 0xeed4 0x40ca99f9 @@ -278958,6 +348698,8 @@ 1 1 0 +1 +2 4 0x223e 0x228ec450 @@ -278967,6 +348709,8 @@ 0 0 2 +5 +2 0x6fb0 0x635945a2 256 @@ -278974,6 +348718,8 @@ 1 1 0 +0 +3 1 0x7397 0xc0d1413f @@ -278982,6 +348728,8 @@ 0 0 0 +0 +5 1 0xfba0 0x879a3d0 @@ -278990,6 +348738,8 @@ 0 0 0 +2 +2 1 0x1fac 0xc2681f95 @@ -278998,6 +348748,8 @@ 0 0 0 +2 +0 4 0xe0e0 0x167a0bb2 @@ -279007,6 +348759,8 @@ 0 0 1 +0 +1 0xd4f6 0xda48d273 256 @@ -279014,6 +348768,8 @@ 0 0 0 +5 +2 2 0x1e04 0xee066386 @@ -279023,6 +348779,8 @@ 0 0 3 +1 +3 0xf3ba 0x171ccfd4 256 @@ -279030,6 +348788,8 @@ 0 0 0 +4 +1 3 0xfad9 0x7780022f @@ -279038,6 +348798,8 @@ 0 0 0 +0 +2 4 0xef96 0x4c94373f @@ -279046,6 +348808,8 @@ 0 0 0 +5 +3 2 0x4077 0x7f1e1ac1 @@ -279055,6 +348819,8 @@ 0 0 3 +3 +3 0x2426 0xf596fe48 256 @@ -279062,6 +348828,8 @@ 1 0 0 +3 +0 1 0x6262 0x37cf9c08 @@ -279070,6 +348838,8 @@ 0 0 0 +2 +0 4 0xf310 0xba5ba5a4 @@ -279078,6 +348848,8 @@ 1 0 0 +1 +4 2 0x6608 0x67632a92 @@ -279086,6 +348858,8 @@ 0 0 0 +0 +3 4 0x4c39 0xe83592e0 @@ -279094,6 +348868,8 @@ 0 0 0 +0 +2 1 0x28c 0x4d46e4d4 @@ -279102,6 +348878,8 @@ 0 0 0 +2 +2 3 0x6a51 0xfd48eee9 @@ -279110,6 +348888,8 @@ 1 1 0 +0 +0 3 0xcb46 0x5a6c3e8f @@ -279118,6 +348898,8 @@ 1 1 0 +5 +5 3 0x36b8 0x2e35f16b @@ -279126,6 +348908,8 @@ 1 1 0 +0 +3 3 0xc921 0x1350d5e3 @@ -279134,6 +348918,8 @@ 1 1 0 +5 +2 4 0x6d1c 0x1bd9fdd @@ -279142,6 +348928,8 @@ 0 0 0 +3 +4 1 0xefba 0xac923e90 @@ -279150,6 +348938,8 @@ 0 0 0 +0 +4 1 0xb6bb 0xd8294d80 @@ -279158,6 +348948,8 @@ 0 0 0 +5 +3 3 0x7f59 0x7128eb11 @@ -279166,6 +348958,8 @@ 1 1 0 +2 +1 1 0x18a9 0xf5a42f74 @@ -279174,6 +348968,8 @@ 0 0 0 +4 +4 1 0x344d 0x43b95ce @@ -279182,6 +348978,8 @@ 0 0 0 +2 +0 4 0xe249 0x2313c317 @@ -279190,6 +348988,8 @@ 0 0 0 +5 +1 3 0xeb78 0x746d7353 @@ -279198,6 +348998,8 @@ 0 0 0 +2 +2 3 0x45a2 0xe4315da6 @@ -279206,6 +349008,8 @@ 0 0 0 +4 +2 2 0xc73d 0x17a99178 @@ -279214,6 +349018,8 @@ 1 1 0 +0 +3 2 0x1ed5 0x193c0717 @@ -279223,6 +349029,8 @@ 0 0 2 +3 +2 0xa081 0x9f902c94 256 @@ -279230,6 +349038,8 @@ 0 0 0 +3 +0 1 0x9b0b 0x187e4dac @@ -279239,6 +349049,8 @@ 0 0 3 +1 +3 0x959d 0xdad553cf 256 @@ -279247,6 +349059,8 @@ 0 0 2 +3 +2 0xe4a1 0xd4427926 256 @@ -279254,6 +349068,8 @@ 0 0 0 +5 +2 3 0x9494 0x65dda13a @@ -279263,6 +349079,8 @@ 0 0 1 +0 +1 0x1ff 0xdf44f709 256 @@ -279270,6 +349088,8 @@ 1 0 0 +5 +4 4 0xd326 0x966005ad @@ -279278,6 +349098,8 @@ 0 0 0 +0 +5 2 0x373b 0x42cbb07c @@ -279286,6 +349108,8 @@ 0 0 0 +1 +3 2 0xfa8d 0x6834e9a7 @@ -279294,6 +349118,8 @@ 0 0 0 +1 +0 2 0xdff4 0xda44a6e2 @@ -279303,6 +349129,8 @@ 0 0 3 +3 +3 0x1ebe 0x4e948589 256 @@ -279310,6 +349138,8 @@ 0 0 0 +5 +0 3 0x8730 0xeb0e513f @@ -279318,6 +349148,8 @@ 0 0 0 +4 +4 1 0x7e01 0x942d228f @@ -279326,6 +349158,8 @@ 0 0 0 +2 +2 4 0xf976 0xc6b9b952 @@ -279334,6 +349168,8 @@ 1 1 0 +0 +1 3 0x76e8 0xa6e0f35e @@ -279342,6 +349178,8 @@ 1 1 0 +1 +2 3 0xc14a 0x109ea70c @@ -279350,6 +349188,8 @@ 1 1 0 +0 +1 3 0xdb19 0x5bfe22da @@ -279358,6 +349198,8 @@ 1 1 0 +3 +4 4 0x7277 0x1ee00472 @@ -279366,6 +349208,8 @@ 0 0 0 +0 +2 4 0xc11f 0x658de2a2 @@ -279374,6 +349218,8 @@ 1 1 0 +1 +0 3 0x648 0xb1b3e85b @@ -279382,6 +349228,8 @@ 1 1 0 +0 +1 1 0x357e 0x7d951935 @@ -279390,6 +349238,8 @@ 1 1 0 +1 +5 3 0x2b72 0xdbc915f1 @@ -279398,6 +349248,8 @@ 0 0 0 +1 +4 3 0x7a29 0x9284f958 @@ -279406,6 +349258,8 @@ 1 1 0 +0 +3 3 0x93c7 0x1326b155 @@ -279414,6 +349268,8 @@ 0 0 0 +3 +5 4 0xebd0 0x2d64d2ff @@ -279422,6 +349278,8 @@ 0 0 0 +5 +5 3 0xc636 0x528af418 @@ -279430,6 +349288,8 @@ 1 1 0 +5 +5 4 0x749e 0x505184c4 @@ -279438,6 +349298,8 @@ 1 1 0 +5 +0 2 0xd9ca 0x2427b7e4 @@ -279446,6 +349308,8 @@ 0 0 0 +5 +5 1 0xe6a8 0x772d943d @@ -279454,6 +349318,8 @@ 0 0 0 +4 +3 3 0x7cf6 0x4a5c846d @@ -279462,6 +349328,8 @@ 1 0 0 +2 +4 3 0xa064 0x762ce1b3 @@ -279470,6 +349338,8 @@ 0 0 0 +0 +5 1 0x2829 0x43a22eb1 @@ -279478,6 +349348,8 @@ 0 0 0 +3 +4 1 0x1c65 0x1499cde5 @@ -279486,6 +349358,8 @@ 0 0 0 +3 +4 4 0xb379 0x9511a71 @@ -279494,6 +349368,8 @@ 1 1 0 +1 +4 3 0xdc6 0x533a68fc @@ -279502,6 +349378,8 @@ 0 0 0 +5 +5 3 0xd96 0xb79986aa @@ -279510,6 +349388,8 @@ 0 0 0 +3 +3 1 0xa0a5 0x2c1440a1 @@ -279518,6 +349398,8 @@ 1 0 0 +0 +2 1 0x37bd 0xe3c6fc52 @@ -279526,6 +349408,8 @@ 0 0 0 +3 +2 1 0xe8 0xcbe2e22 @@ -279534,6 +349418,8 @@ 1 1 0 +1 +5 4 0xa1aa 0xd682f15e @@ -279542,6 +349428,8 @@ 1 1 0 +4 +1 2 0xe08f 0x960ad1bd @@ -279550,6 +349438,8 @@ 0 0 0 +0 +3 4 0x76f8 0x8c1b6dfe @@ -279558,6 +349448,8 @@ 1 0 0 +2 +2 4 0x44ad 0x286869ab @@ -279566,6 +349458,8 @@ 1 1 0 +0 +3 1 0x5c7 0x6076a323 @@ -279575,6 +349469,8 @@ 0 0 3 +1 +3 0x4512 0x89054303 256 @@ -279582,6 +349478,8 @@ 0 0 0 +0 +1 1 0xd3b5 0x2a447ff1 @@ -279590,6 +349488,8 @@ 1 0 0 +4 +2 2 0x6c81 0x180b5c26 @@ -279598,6 +349498,8 @@ 0 0 0 +1 +0 4 0x7ae0 0x490e3e37 @@ -279606,6 +349508,8 @@ 1 0 0 +5 +0 1 0x1152 0xa2bea17f @@ -279614,6 +349518,8 @@ 1 0 0 +0 +0 3 0x8979 0x6e60e83e @@ -279622,6 +349528,8 @@ 1 1 0 +1 +3 2 0xc923 0x30261c7f @@ -279631,6 +349539,8 @@ 0 0 2 +1 +2 0x58a6 0x965f1177 256 @@ -279638,6 +349548,8 @@ 1 0 0 +1 +1 2 0xa7f4 0x871f3500 @@ -279646,6 +349558,8 @@ 1 1 0 +0 +1 1 0xaa52 0x6f0d03a8 @@ -279654,6 +349568,8 @@ 0 0 0 +3 +2 1 0x56e6 0xea2835e7 @@ -279662,6 +349578,8 @@ 0 0 0 +4 +5 3 0xc706 0xaa0c7614 @@ -279670,6 +349588,8 @@ 0 0 0 +5 +4 3 0x11bb 0x2804abdd @@ -279678,6 +349598,8 @@ 1 1 0 +1 +3 4 0x847e 0xb45a60c3 @@ -279687,6 +349609,8 @@ 1 0 1 +1 +1 0x395 0xfc058419 256 @@ -279694,6 +349618,8 @@ 1 1 0 +4 +2 1 0x751a 0xce241c3c @@ -279702,6 +349628,8 @@ 0 0 0 +1 +3 3 0x5d4c 0xca3bb03b @@ -279710,6 +349638,8 @@ 1 1 0 +0 +5 3 0x2a30 0xb186b007 @@ -279718,6 +349648,8 @@ 0 0 0 +1 +0 3 0x2112 0x3774d96a @@ -279726,6 +349658,8 @@ 1 1 0 +2 +4 4 0x3a2 0x6612dfc6 @@ -279734,6 +349668,8 @@ 1 0 0 +3 +2 4 0x5e78 0xa939a8c @@ -279742,6 +349678,8 @@ 1 0 0 +3 +1 2 0xfdd3 0x11577116 @@ -279750,6 +349688,8 @@ 1 1 0 +1 +4 2 0xee9b 0xccca35a4 @@ -279758,6 +349698,8 @@ 1 0 0 +2 +5 1 0x8b38 0xfb363ec6 @@ -279766,6 +349708,8 @@ 1 0 0 +5 +4 3 0x653d 0x417f3fd2 @@ -279774,6 +349718,8 @@ 1 1 0 +0 +1 3 0xf1e0 0x58e2a566 @@ -279782,6 +349728,8 @@ 1 0 0 +5 +3 2 0x6684 0xa922e8b9 @@ -279790,6 +349738,8 @@ 0 0 0 +3 +0 4 0x753e 0xaf5b7d46 @@ -279798,6 +349748,8 @@ 0 0 0 +5 +5 2 0x35a6 0x6745aa71 @@ -279806,6 +349758,8 @@ 1 1 0 +5 +4 2 0xe314 0xa76ab667 @@ -279815,6 +349769,8 @@ 0 0 4 +0 +4 0xb5d9 0xd40c547d 256 @@ -279822,6 +349778,8 @@ 0 0 0 +5 +2 3 0xcba1 0xee4a18b0 @@ -279830,6 +349788,8 @@ 1 0 0 +5 +5 3 0x121d 0xe2747999 @@ -279839,6 +349799,8 @@ 0 0 3 +2 +3 0x8def 0xd818decd 256 @@ -279847,6 +349809,8 @@ 0 0 4 +2 +4 0x8d75 0x926eb811 256 @@ -279854,6 +349818,8 @@ 1 1 0 +1 +0 4 0x45fc 0x3cc5eb21 @@ -279862,6 +349828,8 @@ 1 0 0 +5 +2 1 0x9726 0x62fe14d2 @@ -279870,6 +349838,8 @@ 1 1 0 +1 +5 2 0x650e 0xff590e85 @@ -279878,6 +349848,8 @@ 0 0 0 +3 +2 1 0x3ada 0xe75becc6 @@ -279886,6 +349858,8 @@ 1 1 0 +5 +1 2 0x30d7 0xeb298c0d @@ -279894,6 +349868,8 @@ 1 1 0 +5 +2 2 0xe549 0x5596afce @@ -279902,6 +349878,8 @@ 1 1 0 +3 +0 2 0x2797 0x4563a737 @@ -279910,6 +349888,8 @@ 0 0 0 +1 +2 2 0x8620 0xebb4fe8c @@ -279919,6 +349899,8 @@ 0 0 3 +3 +3 0x82a8 0x59714dd7 256 @@ -279927,6 +349909,8 @@ 0 0 1 +1 +1 0xff03 0xdeb50407 256 @@ -279935,6 +349919,8 @@ 0 0 2 +1 +2 0x3fe7 0x38d2e10e 256 @@ -279942,6 +349928,8 @@ 0 0 0 +1 +2 3 0x3ae0 0x9048535d @@ -279950,6 +349938,8 @@ 0 0 0 +0 +5 2 0xf2bc 0x77def68b @@ -279958,6 +349948,8 @@ 1 0 0 +0 +1 3 0xd0aa 0x2033b706 @@ -279966,6 +349958,8 @@ 0 0 0 +5 +4 1 0xa27a 0xe71869d3 @@ -279974,6 +349968,8 @@ 0 0 0 +5 +3 3 0x3de8 0x803f4b14 @@ -279982,6 +349978,8 @@ 0 0 0 +0 +0 2 0xb0c9 0x401a6dfa @@ -279990,6 +349988,8 @@ 0 0 0 +3 +1 2 0xa729 0xdedc1bde @@ -279998,6 +349998,8 @@ 1 0 0 +3 +0 4 0x2f4d 0x2937e852 @@ -280006,6 +350008,8 @@ 0 0 0 +3 +5 1 0x2ba3 0xdd2f91fd @@ -280015,6 +350019,8 @@ 0 0 3 +1 +3 0x73de 0x28287b5e 256 @@ -280022,6 +350028,8 @@ 1 0 0 +0 +4 1 0x6c29 0xe3f78164 @@ -280030,6 +350038,8 @@ 1 1 0 +3 +2 4 0xdee9 0x13cbad4d @@ -280038,6 +350048,8 @@ 1 1 0 +4 +5 3 0x55f2 0x44895fb7 @@ -280046,6 +350058,8 @@ 1 1 0 +2 +1 3 0xa69e 0xb660826e @@ -280054,6 +350068,8 @@ 1 1 0 +2 +5 4 0x7648 0xe4dcef19 @@ -280063,6 +350079,8 @@ 0 0 3 +2 +3 0x61e 0x466196 256 @@ -280070,6 +350088,8 @@ 1 1 0 +3 +1 4 0x7d18 0xae0acf2a @@ -280078,6 +350098,8 @@ 0 0 0 +4 +1 3 0xe0d5 0xc0a68e54 @@ -280086,6 +350108,8 @@ 0 0 0 +3 +5 2 0x9a0b 0xe037298a @@ -280094,6 +350118,8 @@ 0 0 0 +5 +1 3 0x1e92 0xe6c89f59 @@ -280103,6 +350129,8 @@ 0 0 1 +5 +1 0xcc54 0x4516ecdc 256 @@ -280111,6 +350139,8 @@ 1 0 1 +3 +1 0xeec3 0x885dc5de 256 @@ -280118,6 +350148,8 @@ 0 0 0 +5 +0 4 0xc767 0x5cac927 @@ -280126,6 +350158,8 @@ 1 1 0 +5 +3 4 0x3216 0x97c02e39 @@ -280134,6 +350168,8 @@ 1 1 0 +2 +0 4 0x48cd 0x4e76ee60 @@ -280142,6 +350178,8 @@ 0 0 0 +5 +3 1 0x45c3 0xe049db28 @@ -280151,6 +350189,8 @@ 1 0 2 +2 +2 0x2f54 0xa2b56667 256 @@ -280158,6 +350198,8 @@ 0 0 0 +2 +2 4 0x8f1f 0x7e249fef @@ -280166,6 +350208,8 @@ 1 1 0 +2 +2 1 0xf3cc 0xf6811c6c @@ -280174,6 +350218,8 @@ 0 0 0 +0 +4 1 0x2b0d 0xd95efaeb @@ -280182,6 +350228,8 @@ 0 0 0 +4 +5 3 0x1129 0x7f37b8e7 @@ -280190,6 +350238,8 @@ 1 0 0 +4 +2 3 0x5fb6 0xd2ca8b41 @@ -280199,6 +350249,8 @@ 1 0 3 +0 +3 0x665c 0x8d34efb9 256 @@ -280206,6 +350258,8 @@ 0 0 0 +0 +5 4 0x6fba 0xd078a9ba @@ -280214,6 +350268,8 @@ 1 1 0 +5 +2 3 0x212e 0x56fa93d1 @@ -280222,6 +350278,8 @@ 0 0 0 +4 +2 1 0x1a58 0xd95a3c90 @@ -280230,6 +350288,8 @@ 0 0 0 +1 +2 4 0xa19f 0xfdbff5b5 @@ -280238,6 +350298,8 @@ 1 1 0 +4 +1 1 0x70c9 0xe9ce926a @@ -280246,6 +350308,8 @@ 1 0 0 +0 +5 1 0x59d1 0x24a45354 @@ -280254,6 +350318,8 @@ 1 0 0 +2 +1 3 0xf9ca 0xb81503d2 @@ -280263,6 +350329,8 @@ 0 0 2 +3 +2 0x9e4f 0xb39d7266 256 @@ -280270,6 +350338,8 @@ 0 0 0 +0 +1 2 0x3b38 0x74173c0d @@ -280278,6 +350348,8 @@ 0 0 0 +2 +5 3 0x1412 0x45993bbe @@ -280286,6 +350358,8 @@ 0 0 0 +4 +1 1 0xf9f1 0x93da12bc @@ -280294,6 +350368,8 @@ 0 0 0 +1 +5 4 0xebf9 0x332ed6e7 @@ -280302,6 +350378,8 @@ 0 0 0 +3 +0 2 0x7961 0x74723137 @@ -280310,6 +350388,8 @@ 1 1 0 +2 +2 4 0xf30f 0x462d7aa8 @@ -280318,6 +350398,8 @@ 0 0 0 +5 +0 1 0xcdef 0x6ff7b53d @@ -280326,6 +350408,8 @@ 1 0 0 +2 +0 1 0x3797 0x8c22c7dc @@ -280334,6 +350418,8 @@ 1 0 0 +0 +5 1 0x9762 0xc5e8f141 @@ -280342,6 +350428,8 @@ 0 0 0 +0 +3 1 0x1795 0x70a3e1d3 @@ -280350,6 +350438,8 @@ 0 0 0 +4 +0 1 0xcbb1 0xd2d07ed2 @@ -280358,6 +350448,8 @@ 0 0 0 +2 +5 3 0xb2c2 0xc4107513 @@ -280366,6 +350458,8 @@ 0 0 0 +1 +5 3 0x46b2 0xd9fe358a @@ -280374,6 +350468,8 @@ 0 0 0 +3 +0 2 0x2261 0x6d019e37 @@ -280382,6 +350478,8 @@ 1 1 0 +0 +5 2 0xf820 0x36f27e61 @@ -280390,6 +350488,8 @@ 1 1 0 +5 +0 2 0xfed7 0x64ef532d @@ -280398,6 +350498,8 @@ 0 0 0 +4 +3 3 0x85c1 0x80dea7df @@ -280406,6 +350508,8 @@ 0 0 0 +5 +4 2 0xb6dc 0x2940b99e @@ -280414,6 +350518,8 @@ 0 0 0 +1 +4 2 0xc9fe 0x40e869c1 @@ -280422,6 +350528,8 @@ 0 0 0 +1 +3 3 0x2930 0x44a39a9d @@ -280430,6 +350538,8 @@ 1 0 0 +2 +2 3 0xbc36 0x2c9a4e52 @@ -280438,6 +350548,8 @@ 1 0 0 +1 +0 4 0xc883 0x20f4e4a2 @@ -280446,6 +350558,8 @@ 0 0 0 +1 +4 4 0x1a50 0xda09c650 @@ -280454,6 +350568,8 @@ 1 1 0 +3 +4 1 0x62fc 0xfc9d06e3 @@ -280462,6 +350578,8 @@ 1 1 0 +0 +2 2 0x2041 0x97595981 @@ -280470,6 +350588,8 @@ 1 1 0 +2 +4 4 0x8c43 0xe274d9ea @@ -280478,6 +350598,8 @@ 0 0 0 +1 +0 2 0xf99a 0x59ac0b48 @@ -280486,6 +350608,8 @@ 1 0 0 +3 +3 1 0xa8de 0x788ef8fc @@ -280494,6 +350618,8 @@ 0 0 0 +0 +1 3 0xf599 0x20b6fd71 @@ -280502,6 +350628,8 @@ 1 1 0 +5 +0 1 0x6a17 0x3a927591 @@ -280510,6 +350638,8 @@ 1 0 0 +0 +5 4 0x6e54 0xbf8ac057 @@ -280518,6 +350648,8 @@ 1 0 0 +3 +1 2 0x15b4 0xc5207205 @@ -280527,6 +350659,8 @@ 1 0 4 +1 +4 0x29ce 0x6adea9eb 256 @@ -280534,6 +350668,8 @@ 0 0 0 +1 +5 3 0xdb19 0xf2e4d6bc @@ -280542,6 +350678,8 @@ 1 0 0 +3 +3 4 0x742d 0xf1467ce1 @@ -280550,6 +350688,8 @@ 1 1 0 +2 +1 4 0x58bb 0x25c13f1d @@ -280558,6 +350698,8 @@ 1 1 0 +2 +2 3 0x50e2 0x6f3bd1f1 @@ -280566,6 +350708,8 @@ 0 0 0 +3 +1 2 0x7d44 0x2ae1711a @@ -280574,6 +350718,8 @@ 1 0 0 +2 +3 4 0x55ee 0x59d5a51e @@ -280582,6 +350728,8 @@ 0 0 0 +1 +0 3 0x7e2f 0xbeef676e @@ -280590,6 +350738,8 @@ 0 0 0 +5 +0 3 0xa207 0x99364b58 @@ -280598,6 +350748,8 @@ 1 0 0 +2 +2 1 0xfe13 0xd9c31cfb @@ -280606,6 +350758,8 @@ 1 0 0 +4 +0 3 0xfe95 0x883b4cc3 @@ -280614,6 +350768,8 @@ 1 0 0 +2 +1 3 0x8a1f 0x55ee6b70 @@ -280622,6 +350778,8 @@ 0 0 0 +0 +2 2 0xbea0 0xfd3e079d @@ -280631,6 +350789,8 @@ 0 0 1 +5 +1 0x6bcc 0x33b57ce0 256 @@ -280638,6 +350798,8 @@ 0 0 0 +3 +2 4 0xc2cb 0xbd55cc8e @@ -280646,6 +350808,8 @@ 0 0 0 +1 +1 3 0x2d7b 0x23a48c5d @@ -280654,6 +350818,8 @@ 1 0 0 +2 +2 4 0x5e49 0xacc6b21d @@ -280662,6 +350828,8 @@ 1 0 0 +5 +2 3 0x320c 0xaca2c846 @@ -280670,6 +350838,8 @@ 1 0 0 +2 +4 3 0xcab1 0x2f59b6e @@ -280678,6 +350848,8 @@ 0 0 0 +2 +3 1 0x5d48 0x787a1d4c @@ -280686,6 +350858,8 @@ 1 0 0 +1 +0 4 0x9a6a 0x162db3ab @@ -280694,6 +350868,8 @@ 1 0 0 +0 +0 3 0xbbd8 0x75eaafe0 @@ -280703,6 +350879,8 @@ 0 0 2 +2 +2 0xea1 0x6e7aceb4 256 @@ -280710,6 +350888,8 @@ 1 1 0 +2 +5 4 0x50a8 0x6d587ec6 @@ -280718,6 +350898,8 @@ 1 1 0 +3 +1 1 0xffd6 0xeea7311 @@ -280727,6 +350909,8 @@ 0 0 2 +2 +2 0x5f8a 0xdd04c4d 256 @@ -280734,6 +350918,8 @@ 1 1 0 +3 +1 2 0xc487 0x7fe04c04 @@ -280742,6 +350928,8 @@ 0 0 0 +1 +2 4 0x4d14 0xebd2d671 @@ -280750,6 +350938,8 @@ 1 1 0 +0 +1 4 0x451a 0x7c56e6dd @@ -280758,6 +350948,8 @@ 1 0 0 +0 +1 4 0x1045 0x861010b0 @@ -280766,6 +350958,8 @@ 0 0 0 +0 +4 4 0x3df7 0xa1ad61c @@ -280775,6 +350969,8 @@ 0 0 1 +4 +1 0xc201 0x1fc6cd3d 256 @@ -280782,6 +350978,8 @@ 1 0 0 +3 +0 2 0x6593 0x1028b677 @@ -280790,6 +350988,8 @@ 0 0 0 +1 +0 3 0x2952 0xdc132b84 @@ -280799,6 +350999,8 @@ 0 0 2 +5 +2 0x5018 0x416892e1 256 @@ -280806,6 +351008,8 @@ 1 0 0 +0 +3 1 0x2b7a 0xa89c6836 @@ -280814,6 +351018,8 @@ 1 0 0 +0 +5 2 0x1af7 0x7e12f515 @@ -280822,6 +351028,8 @@ 0 0 0 +0 +4 4 0x6aab 0x26a4020d @@ -280830,6 +351038,8 @@ 1 1 0 +5 +0 1 0x53c8 0xa8109545 @@ -280838,6 +351048,8 @@ 0 0 0 +2 +1 3 0xff2f 0xd5a03b59 @@ -280847,6 +351059,8 @@ 0 0 2 +4 +2 0xe72d 0xd6d29a74 256 @@ -280854,6 +351068,8 @@ 1 1 0 +4 +3 2 0x417c 0xa05e5b70 @@ -280862,6 +351078,8 @@ 0 0 0 +0 +5 4 0x4811 0x8bd0fe6e @@ -280870,6 +351088,8 @@ 0 0 0 +1 +2 3 0xba46 0x8a64ab52 @@ -280878,6 +351098,8 @@ 1 0 0 +4 +5 2 0xb8ec 0x7d73b751 @@ -280886,6 +351108,8 @@ 0 0 0 +5 +2 3 0x7455 0x6c3456f1 @@ -280895,6 +351119,8 @@ 0 0 4 +1 +4 0xb0ea 0xb20dabb2 256 @@ -280902,6 +351128,8 @@ 1 0 0 +0 +3 2 0x6e0c 0x7c750e6c @@ -280910,6 +351138,8 @@ 1 0 0 +4 +3 2 0xa3e6 0x142ecfa @@ -280918,6 +351148,8 @@ 0 0 0 +1 +0 2 0x5f07 0x82db92f7 @@ -280926,6 +351158,8 @@ 1 0 0 +0 +5 1 0xae37 0x49fe5070 @@ -280934,6 +351168,8 @@ 0 0 0 +2 +4 3 0x1bcb 0xe6f51378 @@ -280942,6 +351178,8 @@ 1 1 0 +2 +0 4 0xa082 0x6fea6983 @@ -280950,6 +351188,8 @@ 0 0 0 +1 +4 3 0xd70f 0xc1b6eb59 @@ -280958,6 +351198,8 @@ 1 1 0 +2 +2 1 0xb244 0xb4f2d9fc @@ -280966,6 +351208,8 @@ 1 0 0 +1 +5 4 0x873a 0xd50f04c8 @@ -280974,6 +351218,8 @@ 1 0 0 +5 +5 2 0x6242 0xc9eeca7b @@ -280982,6 +351228,8 @@ 0 0 0 +5 +5 1 0x647d 0x765779e5 @@ -280991,6 +351239,8 @@ 0 0 2 +1 +2 0x4bb9 0xc0cb621d 256 @@ -280998,6 +351248,8 @@ 0 0 0 +1 +5 4 0xf396 0x16297b5f @@ -281006,6 +351258,8 @@ 1 1 0 +3 +2 2 0x2662 0xf2cb6d42 @@ -281015,6 +351269,8 @@ 0 0 3 +2 +3 0xc44b 0xb7cf1258 256 @@ -281022,6 +351278,8 @@ 0 0 0 +4 +4 3 0xcc3b 0x8c5921e7 @@ -281030,6 +351288,8 @@ 0 0 0 +3 +4 4 0x5359 0x105d7535 @@ -281038,6 +351298,8 @@ 1 0 0 +3 +2 4 0x81f5 0x8696496c @@ -281046,6 +351308,8 @@ 1 0 0 +2 +4 4 0x5485 0x43c58a23 @@ -281054,6 +351318,8 @@ 0 0 0 +1 +4 3 0x4f11 0xdd82b14b @@ -281062,6 +351328,8 @@ 1 1 0 +2 +4 3 0xd53d 0xe763239b @@ -281070,6 +351338,8 @@ 1 0 0 +0 +2 1 0x1085 0xf63db42 @@ -281079,6 +351349,8 @@ 1 0 1 +3 +1 0x1da6 0xf9699933 256 @@ -281086,6 +351358,8 @@ 1 0 0 +0 +2 4 0x2037 0x9af22ede @@ -281094,6 +351368,8 @@ 1 1 0 +0 +1 1 0xb96f 0xb5fdd259 @@ -281102,6 +351378,8 @@ 1 1 0 +5 +0 4 0xd3ce 0xbbc50be9 @@ -281110,6 +351388,8 @@ 0 0 0 +4 +2 2 0xd7e0 0x6bb391c3 @@ -281118,6 +351398,8 @@ 1 1 0 +5 +2 3 0xeeeb 0x13f44150 @@ -281126,6 +351408,8 @@ 1 1 0 +5 +3 2 0x348f 0x99420570 @@ -281135,6 +351419,8 @@ 1 0 1 +5 +1 0x9112 0xe397fb05 256 @@ -281142,6 +351428,8 @@ 1 1 0 +1 +5 3 0x297a 0x8807226f @@ -281150,6 +351438,8 @@ 1 1 0 +4 +5 1 0x9cca 0x2ef9171 @@ -281158,6 +351448,8 @@ 0 0 0 +2 +0 3 0xc90a 0x59c9a5d9 @@ -281166,6 +351458,8 @@ 1 0 0 +0 +3 1 0x18ed 0x5d5da458 @@ -281174,6 +351468,8 @@ 1 0 0 +4 +5 3 0x9cee 0x78e35cf2 @@ -281182,6 +351478,8 @@ 0 0 0 +1 +1 4 0xb0dd 0xf6bad0e @@ -281190,6 +351488,8 @@ 1 0 0 +5 +5 4 0x22bd 0x126e7673 @@ -281198,6 +351498,8 @@ 1 0 0 +3 +1 4 0xac95 0xac5290da @@ -281206,6 +351508,8 @@ 1 0 0 +2 +4 4 0xb34d 0xdf83fe62 @@ -281214,6 +351518,8 @@ 0 0 0 +2 +5 4 0x97ae 0x7347d5bf @@ -281223,6 +351529,8 @@ 1 0 2 +4 +2 0xcd7b 0x3cfe9ab1 256 @@ -281230,6 +351538,8 @@ 0 0 0 +2 +1 1 0xf93d 0x2ab843ff @@ -281238,6 +351548,8 @@ 1 0 0 +4 +4 2 0x75fc 0x9e7355d5 @@ -281246,6 +351558,8 @@ 0 0 0 +4 +0 1 0xe6f 0x71c3b546 @@ -281254,6 +351568,8 @@ 0 0 0 +3 +4 4 0xadbb 0xeb5eeba8 @@ -281262,6 +351578,8 @@ 1 0 0 +2 +4 4 0xcc9f 0xcec6b693 @@ -281270,6 +351588,8 @@ 1 0 0 +2 +1 4 0xb599 0x1cc4c648 @@ -281278,6 +351598,8 @@ 1 0 0 +2 +0 3 0xf1ee 0x62490d11 @@ -281286,6 +351608,8 @@ 1 1 0 +4 +4 1 0xa6c4 0x71b0e963 @@ -281294,6 +351618,8 @@ 0 0 0 +3 +3 2 0x3985 0xb0e90c6d @@ -281303,6 +351629,8 @@ 1 0 4 +2 +4 0x6cf0 0xbe4803f 256 @@ -281310,6 +351638,8 @@ 1 0 0 +2 +4 4 0x829d 0x327f1bd2 @@ -281318,6 +351648,8 @@ 1 0 0 +4 +1 1 0x52a9 0xfebabf43 @@ -281326,6 +351658,8 @@ 0 0 0 +0 +5 4 0xad10 0x94dab226 @@ -281334,6 +351668,8 @@ 1 0 0 +5 +5 4 0x8fb7 0x206fbf3d @@ -281342,6 +351678,8 @@ 0 0 0 +0 +4 1 0xb7bd 0x2b7e9a64 @@ -281351,6 +351689,8 @@ 1 0 3 +4 +3 0x7687 0xf49a441e 256 @@ -281358,6 +351698,8 @@ 0 0 0 +3 +0 1 0x245e 0x7263318e @@ -281366,6 +351708,8 @@ 0 0 0 +0 +2 3 0x4580 0x3517bfdf @@ -281374,6 +351718,8 @@ 1 0 0 +2 +3 1 0x3de5 0x3ad3a4df @@ -281383,6 +351729,8 @@ 0 0 1 +4 +1 0x6a7d 0x9d6fc026 256 @@ -281390,6 +351738,8 @@ 0 0 0 +4 +3 1 0xae57 0xaeb60375 @@ -281398,6 +351748,8 @@ 0 0 0 +5 +5 1 0x9821 0xf3a1955c @@ -281406,6 +351758,8 @@ 1 1 0 +0 +0 2 0x34ba 0x3f5662bb @@ -281414,6 +351768,8 @@ 0 0 0 +3 +1 2 0xe14d 0x7ba54e8a @@ -281422,6 +351778,8 @@ 1 0 0 +1 +2 2 0x2276 0xbfb14ad4 @@ -281430,6 +351788,8 @@ 0 0 0 +2 +4 4 0xb9ea 0x2d8524db @@ -281438,6 +351798,8 @@ 0 0 0 +2 +1 1 0x590d 0x4cdce4f9 @@ -281446,6 +351808,8 @@ 1 1 0 +0 +2 2 0x6882 0x48c2310d @@ -281455,6 +351819,8 @@ 0 0 4 +1 +4 0x2a33 0x44f2444b 256 @@ -281462,6 +351828,8 @@ 0 0 0 +4 +3 2 0x6106 0x2c976f99 @@ -281470,6 +351838,8 @@ 1 0 0 +5 +4 3 0xb759 0x5a721b9c @@ -281478,6 +351848,8 @@ 1 0 0 +2 +5 3 0xbdc 0xd07a56cc @@ -281486,6 +351858,8 @@ 1 0 0 +5 +3 1 0x30b3 0x794c3a8d @@ -281494,6 +351868,8 @@ 0 0 0 +1 +1 3 0xb370 0xf4cab511 @@ -281502,6 +351878,8 @@ 1 0 0 +5 +4 3 0x23f9 0xee160113 @@ -281510,6 +351888,8 @@ 0 0 0 +1 +3 4 0x3ee1 0xab0b4930 @@ -281519,6 +351899,8 @@ 1 0 4 +5 +4 0xbb1 0x6a71ab86 256 @@ -281526,6 +351908,8 @@ 0 0 0 +1 +5 2 0x5909 0x49f7e720 @@ -281534,6 +351918,8 @@ 0 0 0 +5 +3 1 0x67f8 0xd025e3aa @@ -281542,6 +351928,8 @@ 1 0 0 +5 +2 4 0x5450 0x3625eac3 @@ -281550,6 +351938,8 @@ 0 0 0 +2 +4 4 0x13b3 0x8ca6e3cd @@ -281559,6 +351949,8 @@ 1 0 2 +2 +2 0xab83 0x63d954ac 256 @@ -281567,6 +351959,8 @@ 1 0 1 +5 +1 0x7788 0x7fd2b7fc 256 @@ -281574,6 +351968,8 @@ 1 1 0 +4 +0 1 0x11f7 0x81919959 @@ -281582,6 +351978,8 @@ 0 0 0 +1 +4 4 0x4fb6 0xfe0a5c2d @@ -281590,6 +351988,8 @@ 0 0 0 +1 +4 3 0x9480 0xd36fc89c @@ -281598,6 +351998,8 @@ 1 1 0 +5 +5 3 0xd652 0xed397a03 @@ -281606,6 +352008,8 @@ 0 0 0 +1 +4 2 0xbd6f 0x2448f8ac @@ -281614,6 +352018,8 @@ 0 0 0 +1 +2 4 0x1369 0x108794ff @@ -281622,6 +352028,8 @@ 1 0 0 +0 +4 3 0x29e9 0x52e10306 @@ -281630,6 +352038,8 @@ 1 1 0 +0 +1 1 0x12fd 0x385f6405 @@ -281638,6 +352048,8 @@ 1 0 0 +0 +4 2 0x2e17 0xef983141 @@ -281646,6 +352058,8 @@ 0 0 0 +3 +3 1 0x1126 0x589b830b @@ -281655,6 +352069,8 @@ 0 0 3 +4 +3 0x7d72 0x23d09798 256 @@ -281663,6 +352079,8 @@ 0 0 1 +0 +1 0xf6f5 0xcba80978 256 @@ -281670,6 +352088,8 @@ 0 0 0 +1 +5 2 0x985a 0x8b3ae2ec @@ -281678,6 +352098,8 @@ 0 0 0 +2 +2 4 0x8140 0xbec13aed @@ -281686,6 +352108,8 @@ 0 0 0 +2 +5 3 0x6aeb 0x7f476474 @@ -281694,6 +352118,8 @@ 0 0 0 +2 +2 4 0xae55 0xb9dfb175 @@ -281702,6 +352128,8 @@ 0 0 0 +3 +2 1 0xb0fa 0xd7e87b5b @@ -281711,6 +352139,8 @@ 0 0 1 +4 +1 0x9b3c 0xab06a8ba 256 @@ -281718,6 +352148,8 @@ 1 1 0 +2 +4 1 0xf301 0x299e4907 @@ -281726,6 +352158,8 @@ 1 0 0 +2 +3 3 0x7f21 0xae08eec5 @@ -281734,6 +352168,8 @@ 1 1 0 +4 +3 2 0x17dd 0x1659ca51 @@ -281742,6 +352178,8 @@ 1 0 0 +3 +4 4 0x61ee 0x381fd558 @@ -281751,6 +352189,8 @@ 0 0 3 +1 +3 0xb62 0x49853c95 256 @@ -281758,6 +352198,8 @@ 0 0 0 +5 +3 2 0x2ccb 0x7d155370 @@ -281766,6 +352208,8 @@ 0 0 0 +1 +4 3 0x1fd5 0xfe54b529 @@ -281774,6 +352218,8 @@ 1 0 0 +0 +1 1 0xfde0 0x581ebd03 @@ -281782,6 +352228,8 @@ 1 1 0 +5 +4 4 0x7e08 0x282375ca @@ -281790,6 +352238,8 @@ 1 1 0 +4 +2 3 0x4f95 0xfbcdf60 @@ -281798,6 +352248,8 @@ 1 0 0 +0 +4 2 0x805d 0x5a081fef @@ -281806,6 +352258,8 @@ 1 1 0 +3 +5 4 0x5f9f 0xfbfcc439 @@ -281814,6 +352268,8 @@ 1 0 0 +0 +2 3 0x8e62 0x597762c1 @@ -281822,6 +352278,8 @@ 1 1 0 +4 +4 3 0x660e 0xae97cf12 @@ -281830,6 +352288,8 @@ 1 1 0 +4 +2 1 0xbf01 0x16dc0d29 @@ -281838,6 +352298,8 @@ 0 0 0 +0 +3 1 0x9a3c 0x4a1dda7a @@ -281846,6 +352308,8 @@ 0 0 0 +4 +5 2 0x293c 0x3ea895b9 @@ -281854,6 +352318,8 @@ 0 0 0 +0 +5 4 0xabe0 0x837a4a01 @@ -281862,6 +352328,8 @@ 0 0 0 +3 +5 4 0x48ec 0xc7eff56 @@ -281870,6 +352338,8 @@ 1 0 0 +5 +5 3 0x519a 0x9245ccca @@ -281878,6 +352348,8 @@ 1 0 0 +0 +0 4 0x3b6e 0x735de447 @@ -281886,6 +352358,8 @@ 0 0 0 +1 +0 2 0x53ee 0x62df0c2e @@ -281895,6 +352369,8 @@ 1 0 3 +0 +3 0xc6fc 0xacce2c8f 256 @@ -281902,6 +352378,8 @@ 0 0 0 +3 +2 4 0x8439 0x72a5197d @@ -281910,6 +352388,8 @@ 1 0 0 +1 +5 4 0x9c12 0x9683bbf6 @@ -281918,6 +352398,8 @@ 0 0 0 +4 +5 3 0xdb8 0x511cb30d @@ -281926,6 +352408,8 @@ 1 1 0 +0 +2 3 0xce8e 0xde7f5bbf @@ -281934,6 +352418,8 @@ 0 0 0 +0 +1 1 0xde0c 0x628603e6 @@ -281943,6 +352429,8 @@ 0 0 2 +2 +2 0x9582 0x25e15eec 256 @@ -281950,6 +352438,8 @@ 1 0 0 +2 +3 1 0x4fa1 0x892ab68d @@ -281958,6 +352448,8 @@ 0 0 0 +3 +0 1 0x6f7f 0x8b259348 @@ -281966,6 +352458,8 @@ 1 0 0 +1 +4 4 0xcaf5 0x6a3d93e9 @@ -281975,6 +352469,8 @@ 0 0 2 +4 +2 0xe790 0xb991b160 256 @@ -281982,6 +352478,8 @@ 0 0 0 +0 +3 2 0xf87 0xf56231d0 @@ -281990,6 +352488,8 @@ 0 0 0 +3 +2 1 0xc94e 0xcb44f24b @@ -281999,6 +352499,8 @@ 1 0 3 +1 +3 0x7758 0x1ea9e43e 256 @@ -282007,6 +352509,8 @@ 0 0 3 +5 +3 0xa672 0xd0e65fab 256 @@ -282014,6 +352518,8 @@ 0 0 0 +0 +5 3 0x5dcb 0xa62e4b7 @@ -282022,6 +352528,8 @@ 1 1 0 +0 +2 1 0x7877 0x17d49be8 @@ -282030,6 +352538,8 @@ 0 0 0 +1 +0 4 0xc4b6 0xe0d26298 @@ -282039,6 +352549,8 @@ 0 0 3 +2 +3 0xae08 0x762a381f 256 @@ -282046,6 +352558,8 @@ 1 1 0 +0 +1 3 0xedd3 0x14d564e6 @@ -282054,6 +352568,8 @@ 1 1 0 +1 +2 4 0x2eb8 0x6440a52e @@ -282062,6 +352578,8 @@ 1 1 0 +2 +0 4 0x334e 0x117a052c @@ -282070,6 +352588,8 @@ 0 0 0 +4 +4 3 0x1a88 0xb28f05fe @@ -282078,6 +352598,8 @@ 0 0 0 +5 +0 1 0x298c 0x53b3f2cf @@ -282086,6 +352608,8 @@ 0 0 0 +3 +0 2 0xb8b3 0x6bef7b3b @@ -282094,6 +352618,8 @@ 0 0 0 +1 +2 3 0xaf03 0xee773576 @@ -282103,6 +352629,8 @@ 0 0 4 +0 +4 0xd85a 0x8be5bbc4 256 @@ -282110,6 +352638,8 @@ 0 0 0 +4 +0 1 0x3052 0x480b0196 @@ -282119,6 +352649,8 @@ 0 0 1 +0 +1 0x2dba 0xb363b454 256 @@ -282126,6 +352658,8 @@ 1 0 0 +0 +5 3 0x51f8 0xc5780bfb @@ -282134,6 +352668,8 @@ 1 0 0 +3 +2 2 0x2617 0x2d14ed4 @@ -282142,6 +352678,8 @@ 1 0 0 +2 +5 3 0x6521 0xf1d1a1af @@ -282150,6 +352688,8 @@ 1 0 0 +2 +2 1 0x56bf 0x4f739b27 @@ -282158,6 +352698,8 @@ 1 0 0 +3 +2 4 0x41a3 0xa7ae921a @@ -282166,6 +352708,8 @@ 1 1 0 +3 +2 4 0x364f 0xa071a135 @@ -282174,6 +352718,8 @@ 0 0 0 +0 +3 3 0x6aa4 0x5a9da6d5 @@ -282182,6 +352728,8 @@ 0 0 0 +5 +3 2 0x568b 0xefab804 @@ -282190,6 +352738,8 @@ 0 0 0 +5 +1 4 0xd716 0xdc5d9679 @@ -282198,6 +352748,8 @@ 1 1 0 +0 +1 2 0x5e61 0x56b50396 @@ -282206,6 +352758,8 @@ 0 0 0 +0 +5 1 0xc739 0x2ece2e12 @@ -282214,6 +352768,8 @@ 0 0 0 +3 +2 4 0x1067 0x27ecf181 @@ -282222,6 +352778,8 @@ 0 0 0 +5 +3 4 0xf4ae 0xa40740ca @@ -282230,6 +352788,8 @@ 0 0 0 +2 +0 3 0x9275 0x36ef359a @@ -282239,6 +352799,8 @@ 1 0 2 +5 +2 0xa9ef 0x9b00c65e 256 @@ -282246,6 +352808,8 @@ 0 0 0 +3 +1 1 0xb026 0xd161a3a2 @@ -282254,6 +352818,8 @@ 1 0 0 +3 +4 1 0x9ce5 0xeff58111 @@ -282263,6 +352829,8 @@ 1 0 3 +0 +3 0x173d 0x4c298f30 256 @@ -282270,6 +352838,8 @@ 0 0 0 +5 +0 1 0x2d5f 0x3e8d604e @@ -282279,6 +352849,8 @@ 0 0 3 +1 +3 0xad79 0x9343efa1 256 @@ -282286,6 +352858,8 @@ 0 0 0 +1 +1 2 0xfe00 0x28e987dc @@ -282294,6 +352868,8 @@ 1 0 0 +0 +0 1 0x943e 0xc8e72758 @@ -282302,6 +352878,8 @@ 1 1 0 +5 +4 2 0xf858 0xb2e14c95 @@ -282311,6 +352889,8 @@ 1 0 2 +5 +2 0x616d 0xf41bd96c 256 @@ -282318,6 +352898,8 @@ 1 0 0 +0 +3 2 0x3e79 0x908da1a1 @@ -282326,6 +352908,8 @@ 0 0 0 +2 +4 4 0xaac5 0x4971c15a @@ -282334,6 +352918,8 @@ 0 0 0 +5 +5 3 0xbc5f 0x11c4bcb9 @@ -282342,6 +352928,8 @@ 1 1 0 +0 +1 4 0x7b47 0xbc2bba77 @@ -282350,6 +352938,8 @@ 1 1 0 +5 +2 3 0x8db9 0xcc931c4c @@ -282358,6 +352948,8 @@ 0 0 0 +1 +3 4 0x403e 0x43cb443a @@ -282367,6 +352959,8 @@ 1 0 1 +0 +1 0x997 0xfa315db7 256 @@ -282374,6 +352968,8 @@ 0 0 0 +2 +2 1 0x741 0x867eb0d5 @@ -282383,6 +352979,8 @@ 0 0 3 +4 +3 0xd3c4 0x8ba19667 256 @@ -282390,6 +352988,8 @@ 1 1 0 +3 +3 2 0x29ee 0xbb1ea3b8 @@ -282399,6 +352999,8 @@ 0 0 4 +1 +4 0x279d 0x28a473a9 256 @@ -282406,6 +353008,8 @@ 1 0 0 +3 +2 1 0x7e88 0xc995e35c @@ -282414,6 +353018,8 @@ 1 0 0 +0 +2 3 0x2a1f 0xcba3dc25 @@ -282423,6 +353029,8 @@ 0 0 4 +4 +4 0x4d5a 0xb5b14701 256 @@ -282430,6 +353038,8 @@ 1 0 0 +4 +4 1 0x626c 0xe8f995ad @@ -282438,6 +353048,8 @@ 0 0 0 +3 +3 1 0x388d 0x8c926443 @@ -282446,6 +353058,8 @@ 1 0 0 +5 +2 1 0x417e 0xac72cb0b @@ -282454,6 +353068,8 @@ 1 1 0 +3 +0 2 0x9e4d 0x1104cdaf @@ -282462,6 +353078,8 @@ 0 0 0 +2 +4 4 0x5afd 0x462a462f @@ -282470,6 +353088,8 @@ 0 0 0 +4 +4 2 0xfad1 0x1f637275 @@ -282478,6 +353098,8 @@ 0 0 0 +4 +4 2 0x332c 0x84c33e7c @@ -282486,6 +353108,8 @@ 1 1 0 +0 +4 2 0x3f30 0xbec48ac8 @@ -282494,6 +353118,8 @@ 1 1 0 +5 +5 1 0x5c0 0xc1178a8e @@ -282502,6 +353128,8 @@ 1 1 0 +0 +2 1 0x49f2 0x5c192f53 @@ -282510,6 +353138,8 @@ 1 1 0 +1 +5 4 0x3c3f 0x8731d58a @@ -282518,6 +353148,8 @@ 1 0 0 +1 +1 4 0x566e 0x3f28fc77 @@ -282526,6 +353158,8 @@ 0 0 0 +5 +3 2 0x8c98 0x5cc3754 @@ -282534,6 +353168,8 @@ 0 0 0 +0 +1 3 0xb04 0xb97be @@ -282543,6 +353179,8 @@ 0 0 4 +1 +4 0xd7b 0x27c9c272 256 @@ -282550,6 +353188,8 @@ 0 0 0 +1 +2 3 0xaba3 0xc62b5432 @@ -282558,6 +353198,8 @@ 1 1 0 +1 +0 3 0xd824 0x75e482a4 @@ -282566,6 +353208,8 @@ 1 1 0 +3 +4 4 0xa444 0x6a5c89e0 @@ -282575,6 +353219,8 @@ 0 0 3 +3 +3 0xce25 0x7ac3f27c 256 @@ -282582,6 +353228,8 @@ 0 0 0 +4 +0 3 0x33a3 0x5e68fdd6 @@ -282590,6 +353238,8 @@ 0 0 0 +3 +1 1 0x1a88 0x547b42a2 @@ -282598,6 +353248,8 @@ 0 0 0 +1 +2 4 0xad09 0xa3debcf9 @@ -282607,6 +353259,8 @@ 0 0 3 +2 +3 0xa021 0x425079c 256 @@ -282615,6 +353269,8 @@ 0 0 3 +2 +3 0x1087 0xf5e666de 256 @@ -282622,6 +353278,8 @@ 1 1 0 +2 +4 3 0xb52a 0x215cb458 @@ -282630,6 +353288,8 @@ 1 1 0 +5 +1 1 0x53f1 0xadff6bb7 @@ -282638,6 +353298,8 @@ 1 1 0 +5 +5 3 0xa7a7 0xf539e75e @@ -282646,6 +353308,8 @@ 0 0 0 +5 +5 1 0x3d97 0xa2d6d636 @@ -282654,6 +353318,8 @@ 0 0 0 +5 +4 1 0xad98 0x2fb40d90 @@ -282662,6 +353328,8 @@ 0 0 0 +2 +2 4 0x30c9 0xb17595d1 @@ -282670,6 +353338,8 @@ 1 1 0 +5 +0 1 0x5830 0x8ee0b717 @@ -282678,6 +353348,8 @@ 1 0 0 +4 +3 3 0xd28 0x49e9a470 @@ -282687,6 +353359,8 @@ 0 0 2 +1 +2 0x4f86 0xc72088e9 256 @@ -282694,6 +353368,8 @@ 1 1 0 +4 +3 2 0x955e 0x21b16e4 @@ -282702,6 +353378,8 @@ 1 0 0 +1 +0 4 0x1618 0xaf47d8ba @@ -282710,6 +353388,8 @@ 1 0 0 +2 +2 1 0xbb54 0xf33b54e7 @@ -282718,6 +353398,8 @@ 1 0 0 +5 +3 3 0x542 0xa58ecf4 @@ -282727,6 +353409,8 @@ 0 0 3 +4 +3 0x6f24 0xda5a4868 256 @@ -282734,6 +353418,8 @@ 0 0 0 +1 +3 3 0x7528 0x70dc284d @@ -282742,6 +353428,8 @@ 1 1 0 +3 +4 1 0x6236 0x869936 @@ -282750,6 +353438,8 @@ 1 0 0 +5 +4 3 0x8bac 0x1bf3d2df @@ -282759,6 +353449,8 @@ 1 0 1 +2 +1 0x9bb1 0x60c54ec8 256 @@ -282766,6 +353458,8 @@ 0 0 0 +2 +2 3 0xa718 0x6723d017 @@ -282774,6 +353468,8 @@ 0 0 0 +1 +5 3 0xb9d7 0xad948423 @@ -282782,6 +353478,8 @@ 0 0 0 +2 +1 1 0x450d 0x4f7a65ba @@ -282790,6 +353488,8 @@ 0 0 0 +0 +3 1 0x304c 0x5b68e361 @@ -282798,6 +353498,8 @@ 1 0 0 +3 +5 1 0x5e64 0x59b77b91 @@ -282806,6 +353508,8 @@ 1 1 0 +0 +0 3 0x5432 0xbf6bf2ca @@ -282815,6 +353519,8 @@ 0 0 2 +0 +2 0xaa3e 0x3f8d4caa 256 @@ -282822,6 +353528,8 @@ 1 1 0 +5 +2 4 0x9b4c 0x2967344e @@ -282830,6 +353538,8 @@ 0 0 0 +2 +5 3 0xbd09 0x93121395 @@ -282839,6 +353549,8 @@ 1 0 1 +4 +1 0x9d36 0x3def0ac7 256 @@ -282846,6 +353558,8 @@ 1 1 0 +2 +0 1 0x3b5b 0x6231522c @@ -282854,6 +353568,8 @@ 1 1 0 +0 +2 2 0x1ab2 0xce133737 @@ -282862,6 +353578,8 @@ 0 0 0 +1 +0 2 0x1cca 0x48d8c77 @@ -282870,6 +353588,8 @@ 1 1 0 +2 +0 1 0xfd9c 0xa7494725 @@ -282878,6 +353598,8 @@ 1 0 0 +1 +5 2 0xa09b 0xad8258d2 @@ -282886,6 +353608,8 @@ 1 0 0 +2 +3 1 0x3291 0x9439bfc8 @@ -282894,6 +353618,8 @@ 0 0 0 +3 +1 1 0x8171 0xd18064b5 @@ -282903,6 +353629,8 @@ 0 0 1 +3 +1 0x5993 0x7788031e 256 @@ -282910,6 +353638,8 @@ 1 0 0 +3 +3 4 0x4c33 0xf5664a3e @@ -282918,6 +353648,8 @@ 1 1 0 +3 +0 4 0x18b2 0x501fdf2b @@ -282926,6 +353658,8 @@ 0 0 0 +0 +1 3 0x3c9a 0x8abc4eb @@ -282935,6 +353669,8 @@ 1 0 3 +1 +3 0xe0f3 0xb0f47018 256 @@ -282943,6 +353679,8 @@ 0 0 4 +2 +4 0xb187 0x5a52d340 256 @@ -282951,6 +353689,8 @@ 0 0 1 +4 +1 0x8360 0x5c548887 256 @@ -282959,6 +353699,8 @@ 0 0 4 +2 +4 0xe055 0xf4a947a4 256 @@ -282966,6 +353708,8 @@ 1 1 0 +1 +5 2 0x6c3d 0xdf2444f8 @@ -282974,6 +353718,8 @@ 0 0 0 +1 +3 2 0xad91 0x42eae1bd @@ -282982,6 +353728,8 @@ 0 0 0 +0 +1 1 0xfcee 0x2eec50c @@ -282990,6 +353738,8 @@ 1 0 0 +5 +0 4 0x6816 0xac3551f7 @@ -282998,6 +353748,8 @@ 1 0 0 +5 +2 1 0x36da 0x641ddb7f @@ -283006,6 +353758,8 @@ 0 0 0 +0 +1 1 0xee9a 0x194f7aa8 @@ -283014,6 +353768,8 @@ 1 0 0 +2 +2 3 0x7b55 0xcb95099c @@ -283022,6 +353778,8 @@ 0 0 0 +5 +3 4 0xa316 0x167608d9 @@ -283030,6 +353788,8 @@ 1 1 0 +2 +5 3 0x9f94 0xf976ed @@ -283039,6 +353799,8 @@ 0 0 2 +1 +2 0x4950 0xb9ffa056 256 @@ -283046,6 +353808,8 @@ 1 1 0 +1 +1 4 0x3625 0x2c53d03a @@ -283054,6 +353818,8 @@ 0 0 0 +5 +5 4 0x8312 0x71da6090 @@ -283062,6 +353828,8 @@ 1 0 0 +0 +5 1 0x727a 0x948ed5b0 @@ -283070,6 +353838,8 @@ 0 0 0 +5 +5 4 0x5d27 0x5ae27a57 @@ -283079,6 +353849,8 @@ 0 0 3 +3 +3 0xce70 0x2546a93a 256 @@ -283086,6 +353858,8 @@ 1 0 0 +5 +3 2 0x49d0 0x2a9a702c @@ -283094,6 +353868,8 @@ 1 0 0 +0 +1 1 0xc4d7 0xe2f6ad61 @@ -283102,6 +353878,8 @@ 1 0 0 +3 +0 1 0x90a3 0xb3be08cd @@ -283110,6 +353888,8 @@ 0 0 0 +3 +0 2 0xb67e 0xb464bab2 @@ -283118,6 +353898,8 @@ 1 1 0 +0 +3 3 0xccad 0x8559f300 @@ -283126,6 +353908,8 @@ 0 0 0 +0 +1 4 0xc774 0xd5f4f06d @@ -283134,6 +353918,8 @@ 0 0 0 +2 +5 4 0x49ab 0xada43025 @@ -283142,6 +353928,8 @@ 0 0 0 +2 +1 4 0x98b9 0xd82f329b @@ -283150,6 +353938,8 @@ 0 0 0 +2 +4 1 0xf6b5 0xbd77d40a @@ -283159,6 +353949,8 @@ 0 0 4 +1 +4 0xf9ec 0xa363bc2d 256 @@ -283166,6 +353958,8 @@ 0 0 0 +5 +3 1 0x912b 0x1a6340b8 @@ -283174,6 +353968,8 @@ 1 1 0 +2 +0 3 0x2426 0x5be86f74 @@ -283182,6 +353978,8 @@ 0 0 0 +5 +0 3 0x369d 0xc73ac232 @@ -283190,6 +353988,8 @@ 0 0 0 +4 +4 3 0x4e9a 0x323e4cde @@ -283198,6 +353998,8 @@ 0 0 0 +2 +1 4 0x1f63 0x2cb60854 @@ -283206,6 +354008,8 @@ 1 0 0 +5 +5 1 0x3ef8 0x10febb25 @@ -283214,6 +354018,8 @@ 0 0 0 +0 +5 2 0x4778 0x78b600b9 @@ -283222,6 +354028,8 @@ 1 0 0 +4 +4 1 0xc2d5 0xcf0bd170 @@ -283230,6 +354038,8 @@ 1 1 0 +0 +3 2 0x69de 0xcee3a6e3 @@ -283238,6 +354048,8 @@ 1 1 0 +3 +3 1 0xf674 0xc9bb79c8 @@ -283246,6 +354058,8 @@ 1 1 0 +1 +3 3 0xef91 0x2006b15c @@ -283254,6 +354068,8 @@ 1 1 0 +2 +4 3 0xc9de 0xe1b7cd15 @@ -283263,6 +354079,8 @@ 0 0 1 +2 +1 0x80fc 0xacf0b2bf 256 @@ -283270,6 +354088,8 @@ 0 0 0 +0 +3 4 0x10ad 0x619614fd @@ -283278,6 +354098,8 @@ 0 0 0 +0 +2 4 0xad1e 0x888c4197 @@ -283287,6 +354109,8 @@ 0 0 1 +2 +1 0x17b2 0xc4fc5b83 256 @@ -283294,6 +354118,8 @@ 0 0 0 +3 +0 2 0x1c99 0x41893b80 @@ -283302,6 +354128,8 @@ 1 0 0 +4 +3 1 0x3ee6 0xb36dfcbc @@ -283310,6 +354138,8 @@ 1 1 0 +0 +3 1 0x9123 0x621f37fd @@ -283318,6 +354148,8 @@ 0 0 0 +4 +3 1 0x2e1d 0xb07ae661 @@ -283327,6 +354159,8 @@ 0 0 1 +1 +1 0x50c2 0x8aad39cc 256 @@ -283334,6 +354168,8 @@ 0 0 0 +5 +4 2 0x5753 0x166ccfeb @@ -283343,6 +354179,8 @@ 0 0 1 +0 +1 0x4033 0xa46023d 256 @@ -283350,6 +354188,8 @@ 0 0 0 +1 +0 2 0x6317 0x1f7c672a @@ -283358,6 +354198,8 @@ 0 0 0 +4 +0 1 0x24db 0x6d6c5ca1 @@ -283366,6 +354208,8 @@ 0 0 0 +5 +1 1 0x8a4d 0x86c70669 @@ -283374,6 +354218,8 @@ 1 0 0 +4 +5 2 0xc62c 0x1f25b12e @@ -283382,6 +354228,8 @@ 1 0 0 +4 +2 3 0xbf15 0xd299efc5 @@ -283390,6 +354238,8 @@ 1 1 0 +4 +0 2 0x2f4d 0x735f1e3f @@ -283398,6 +354248,8 @@ 0 0 0 +0 +1 3 0x4b89 0x53ce5b4e @@ -283406,6 +354258,8 @@ 0 0 0 +4 +5 2 0xb7f3 0xa8b65fe6 @@ -283414,6 +354268,8 @@ 1 0 0 +2 +4 3 0xc4b1 0x30b83c7a @@ -283422,6 +354278,8 @@ 0 0 0 +1 +1 2 0x15be 0x9b18c000 @@ -283430,6 +354288,8 @@ 0 0 0 +0 +5 3 0x986f 0x1617aa04 @@ -283439,6 +354299,8 @@ 0 0 4 +0 +4 0x70eb 0x9ed6e3a3 256 @@ -283446,6 +354308,8 @@ 1 0 0 +4 +0 1 0x74c7 0x544a9c56 @@ -283454,6 +354318,8 @@ 1 1 0 +3 +4 2 0x592d 0xbb4e3296 @@ -283462,6 +354328,8 @@ 1 0 0 +0 +0 4 0xb7ea 0xc3a5c4d @@ -283470,6 +354338,8 @@ 0 0 0 +1 +4 2 0x198f 0x92432f57 @@ -283478,6 +354348,8 @@ 1 0 0 +0 +1 3 0x2918 0xa5aeea54 @@ -283486,6 +354358,8 @@ 0 0 0 +1 +5 4 0x94b4 0xd254c4bb @@ -283494,6 +354368,8 @@ 1 0 0 +3 +0 1 0xfeb5 0xbe32cd24 @@ -283502,6 +354378,8 @@ 1 1 0 +5 +3 4 0x8f77 0xbd884221 @@ -283510,6 +354388,8 @@ 0 0 0 +3 +2 4 0x22e5 0xea642ac4 @@ -283518,6 +354398,8 @@ 1 0 0 +5 +0 1 0xae83 0x65bebd95 @@ -283526,6 +354408,8 @@ 1 1 0 +2 +3 4 0xc8eb 0xec56c254 @@ -283534,6 +354418,8 @@ 0 0 0 +0 +2 4 0x689 0xc2d9ea35 @@ -283543,6 +354429,8 @@ 1 0 1 +1 +1 0x2692 0x2f59d746 256 @@ -283550,6 +354438,8 @@ 0 0 0 +5 +2 2 0xcfaa 0xf8936c6a @@ -283558,6 +354448,8 @@ 0 0 0 +1 +1 4 0x36ff 0xd95b5795 @@ -283566,6 +354458,8 @@ 0 0 0 +0 +1 2 0xf95c 0xc6df366d @@ -283574,6 +354468,8 @@ 0 0 0 +5 +3 4 0xefbe 0x4a367305 @@ -283582,6 +354478,8 @@ 0 0 0 +3 +4 4 0x9223 0x973a3a84 @@ -283590,6 +354488,8 @@ 0 0 0 +4 +3 3 0xdf36 0x4cbd2077 @@ -283599,6 +354499,8 @@ 0 0 4 +1 +4 0x4c4f 0xb19a7f22 256 @@ -283606,6 +354508,8 @@ 1 0 0 +5 +3 2 0xd958 0x8ba9f939 @@ -283614,6 +354518,8 @@ 0 0 0 +5 +1 2 0xe015 0x75da2834 @@ -283622,6 +354528,8 @@ 0 0 0 +3 +5 4 0xde54 0xe6086069 @@ -283630,6 +354538,8 @@ 0 0 0 +5 +0 4 0x2c51 0xd5bed845 @@ -283638,6 +354548,8 @@ 0 0 0 +4 +5 1 0x1fe1 0xa0d3ed8e @@ -283646,6 +354558,8 @@ 0 0 0 +1 +2 2 0x726 0x3b219b57 @@ -283654,6 +354568,8 @@ 1 1 0 +4 +2 1 0xf38e 0xc6042987 @@ -283662,6 +354578,8 @@ 0 0 0 +0 +3 1 0x3813 0x766d418e @@ -283671,6 +354589,8 @@ 1 0 4 +5 +4 0x8d3 0xe9b78a14 256 @@ -283678,6 +354598,8 @@ 1 0 0 +5 +1 4 0xa92d 0xa9a86f59 @@ -283686,6 +354608,8 @@ 1 0 0 +4 +4 3 0x57fd 0x252845bf @@ -283694,6 +354618,8 @@ 1 0 0 +2 +2 4 0x322a 0x681be552 @@ -283703,6 +354629,8 @@ 0 0 4 +0 +4 0xb1c0 0x526a3f00 256 @@ -283711,6 +354639,8 @@ 0 0 1 +1 +1 0x60be 0x851eaf31 256 @@ -283718,6 +354648,8 @@ 0 0 0 +5 +1 2 0x940b 0xceba4fe3 @@ -283726,6 +354658,8 @@ 0 0 0 +0 +5 2 0x90d0 0x6b5299e4 @@ -283734,6 +354668,8 @@ 0 0 0 +5 +0 2 0x5803 0xd57cedb6 @@ -283742,6 +354678,8 @@ 1 1 0 +2 +4 1 0xdd2c 0xad363c98 @@ -283750,6 +354688,8 @@ 0 0 0 +3 +2 1 0xfc81 0x6f79708f @@ -283759,6 +354699,8 @@ 0 0 2 +2 +2 0xc5d5 0x77d13ba5 256 @@ -283767,6 +354709,8 @@ 0 0 2 +0 +2 0x20a5 0x12e34d8d 256 @@ -283775,6 +354719,8 @@ 1 0 1 +3 +1 0x7b64 0xd6b3476a 256 @@ -283782,6 +354728,8 @@ 0 0 0 +0 +5 2 0x4080 0x1524b9ed @@ -283791,6 +354739,8 @@ 0 0 3 +0 +3 0x9ca5 0x2191aa77 256 @@ -283798,6 +354748,8 @@ 0 0 0 +2 +1 1 0xa04b 0x2b4df659 @@ -283806,6 +354758,8 @@ 0 0 0 +4 +0 1 0x75de 0x70c604cf @@ -283814,6 +354768,8 @@ 0 0 0 +5 +0 3 0x9f12 0x3cb3eaa1 @@ -283822,6 +354778,8 @@ 1 0 0 +3 +1 1 0x3635 0x1ca1b3e0 @@ -283830,6 +354788,8 @@ 0 0 0 +2 +3 3 0xd16f 0x73653a4 @@ -283838,6 +354798,8 @@ 1 0 0 +0 +4 1 0x4ce7 0x36788c1a @@ -283847,6 +354809,8 @@ 0 0 4 +5 +4 0x9138 0x2ed78939 256 @@ -283854,6 +354818,8 @@ 0 0 0 +3 +0 4 0x2658 0x81d1bd3f @@ -283862,6 +354828,8 @@ 1 0 0 +4 +4 3 0x5769 0xafb85691 @@ -283870,6 +354838,8 @@ 1 0 0 +4 +3 2 0x3009 0xfa7073c9 @@ -283878,6 +354848,8 @@ 0 0 0 +2 +3 4 0x7078 0x8150243a @@ -283886,6 +354858,8 @@ 0 0 0 +4 +2 3 0xc38d 0xfd1d0724 @@ -283894,6 +354868,8 @@ 0 0 0 +1 +0 3 0x8af7 0x787b63e5 @@ -283902,6 +354878,8 @@ 0 0 0 +0 +3 1 0xcab7 0xc927e2a6 @@ -283911,6 +354889,8 @@ 0 0 2 +4 +2 0xc2a2 0xdb99386e 256 @@ -283919,6 +354899,8 @@ 0 0 3 +5 +3 0xc6ff 0x55f552e1 256 @@ -283926,6 +354908,8 @@ 0 0 0 +2 +2 3 0x9c68 0x7cd8dd7b @@ -283934,6 +354918,8 @@ 0 0 0 +2 +0 4 0x6531 0x35340a70 @@ -283942,6 +354928,8 @@ 1 0 0 +4 +0 1 0x2d2 0x4b3af5c8 @@ -283950,6 +354938,8 @@ 1 1 0 +0 +3 4 0x5f02 0x99cc2536 @@ -283958,6 +354948,8 @@ 1 1 0 +3 +0 4 0x389b 0xcae4d306 @@ -283966,6 +354958,8 @@ 1 0 0 +2 +0 4 0x76cb 0x4056651 @@ -283974,6 +354968,8 @@ 0 0 0 +0 +0 1 0xc105 0x1af3e569 @@ -283982,6 +354978,8 @@ 1 0 0 +0 +5 2 0x6c78 0x1646e4bf @@ -283990,6 +354988,8 @@ 1 1 0 +2 +3 4 0x2f21 0x26d27ba2 @@ -283998,6 +354998,8 @@ 0 0 0 +5 +1 3 0x37af 0x415908b7 @@ -284006,6 +355008,8 @@ 0 0 0 +0 +2 2 0xa1a4 0xe3d4e6da @@ -284014,6 +355018,8 @@ 0 0 0 +2 +3 3 0x2011 0x40b99f31 @@ -284022,6 +355028,8 @@ 1 0 0 +4 +5 2 0xded4 0x6e06695d @@ -284030,6 +355038,8 @@ 1 1 0 +3 +0 4 0x6cda 0x8d6c0f83 @@ -284039,6 +355049,8 @@ 0 0 4 +1 +4 0x380a 0xaf8017bf 256 @@ -284047,6 +355059,8 @@ 0 0 2 +5 +2 0x228f 0xd63c9a6 256 @@ -284054,6 +355068,8 @@ 1 0 0 +4 +0 2 0x75e5 0x5ab3b0bc @@ -284063,6 +355079,8 @@ 0 0 4 +4 +4 0x96ee 0xe4a094c5 256 @@ -284070,6 +355088,8 @@ 1 0 0 +1 +0 2 0x8a14 0xeb645268 @@ -284078,6 +355098,8 @@ 1 0 0 +0 +1 3 0xba51 0x34e5bfad @@ -284087,6 +355109,8 @@ 0 0 3 +5 +3 0xeabb 0xdf4a4e38 256 @@ -284094,6 +355118,8 @@ 0 0 0 +1 +1 3 0x1163 0xd9699bee @@ -284102,6 +355128,8 @@ 0 0 0 +5 +2 1 0x69db 0x58002154 @@ -284110,6 +355138,8 @@ 1 1 0 +4 +2 1 0xe745 0x1751df52 @@ -284118,6 +355148,8 @@ 1 1 0 +5 +2 3 0x6679 0xb201fa4 @@ -284126,6 +355158,8 @@ 0 0 0 +5 +3 3 0x68c 0x35aaa3b0 @@ -284135,6 +355169,8 @@ 0 0 3 +4 +3 0x54bf 0x581e4f2b 256 @@ -284142,6 +355178,8 @@ 1 1 0 +0 +0 2 0xd48d 0x87d12c04 @@ -284150,6 +355188,8 @@ 0 0 0 +4 +1 2 0xbc0 0xaaa211fc @@ -284158,6 +355198,8 @@ 1 1 0 +2 +3 1 0xac25 0x5be7d426 @@ -284166,6 +355208,8 @@ 1 0 0 +5 +1 2 0xd843 0x235802cf @@ -284174,6 +355218,8 @@ 1 1 0 +4 +0 2 0xaa7f 0xcdad4c36 @@ -284182,6 +355228,8 @@ 1 0 0 +4 +3 2 0xe4c2 0x68afef67 @@ -284191,6 +355239,8 @@ 1 0 3 +4 +3 0xb94c 0x36fab1e7 256 @@ -284198,6 +355248,8 @@ 0 0 0 +3 +1 1 0x2994 0x932fde0d @@ -284206,6 +355258,8 @@ 1 1 0 +2 +0 1 0x5295 0x3f2103c7 @@ -284215,6 +355269,8 @@ 0 0 1 +0 +1 0x8b4a 0xcb96609f 256 @@ -284222,6 +355278,8 @@ 1 1 0 +5 +0 2 0xb68f 0xdffc0f7e @@ -284230,6 +355288,8 @@ 0 0 0 +1 +3 3 0x4ca 0x8ab6c2e9 @@ -284238,6 +355298,8 @@ 1 1 0 +2 +1 4 0x33ce 0xde3ca3c @@ -284246,6 +355308,8 @@ 1 1 0 +5 +5 1 0x5a7d 0x99326049 @@ -284254,6 +355318,8 @@ 0 0 0 +5 +3 1 0x2f94 0x677f38f8 @@ -284262,6 +355328,8 @@ 0 0 0 +0 +2 3 0xde32 0xad790216 @@ -284270,6 +355338,8 @@ 1 1 0 +5 +5 1 0x34fa 0x2f16d9d8 @@ -284278,6 +355348,8 @@ 0 0 0 +3 +5 4 0xdebb 0x215082bf @@ -284286,6 +355358,8 @@ 0 0 0 +0 +1 4 0xd92 0xc89aa267 @@ -284294,6 +355368,8 @@ 0 0 0 +4 +2 3 0x4aaa 0xaa622233 @@ -284302,6 +355378,8 @@ 0 0 0 +4 +4 1 0xf19a 0xd9e104e1 @@ -284310,6 +355388,8 @@ 0 0 0 +0 +5 3 0x6564 0x805a1675 @@ -284318,6 +355398,8 @@ 1 1 0 +0 +4 3 0xfc4 0xa2f0cf09 @@ -284326,6 +355408,8 @@ 1 1 0 +3 +2 4 0x3996 0xa1a416ba @@ -284334,6 +355418,8 @@ 1 0 0 +5 +2 2 0x8eab 0x2f14fe1c @@ -284342,6 +355428,8 @@ 1 0 0 +5 +1 3 0xab2b 0x88fb2821 @@ -284351,6 +355439,8 @@ 0 0 4 +0 +4 0x884b 0xa1f127d 256 @@ -284358,6 +355448,8 @@ 1 1 0 +1 +2 4 0x988a 0x64c4aa18 @@ -284366,6 +355458,8 @@ 0 0 0 +1 +1 2 0x4071 0xd1e42f53 @@ -284374,6 +355468,8 @@ 0 0 0 +2 +3 1 0x3b81 0x8db36ac7 @@ -284382,6 +355478,8 @@ 1 1 0 +4 +2 2 0x651e 0xe21b2973 @@ -284390,6 +355488,8 @@ 1 1 0 +0 +2 4 0xadbc 0xcf9cd140 @@ -284399,6 +355499,8 @@ 0 0 1 +5 +1 0x7fec 0x80de8eb4 256 @@ -284406,6 +355508,8 @@ 0 0 0 +5 +0 3 0xa187 0x4536c0d4 @@ -284414,6 +355518,8 @@ 1 1 0 +1 +4 3 0x7aeb 0x703b0c4e @@ -284422,6 +355528,8 @@ 0 0 0 +0 +2 2 0xa91c 0x4deeda5e @@ -284430,6 +355538,8 @@ 0 0 0 +4 +2 1 0xa819 0x553bd98c @@ -284438,6 +355548,8 @@ 1 0 0 +3 +2 4 0x43c8 0xa64a6717 @@ -284446,6 +355558,8 @@ 1 0 0 +2 +5 3 0xd633 0xe5c12af7 @@ -284455,6 +355569,8 @@ 0 0 3 +0 +3 0x6e1b 0x9ac2f1e1 256 @@ -284462,6 +355578,8 @@ 1 0 0 +4 +3 1 0x9b4e 0xf86da607 @@ -284471,6 +355589,8 @@ 0 0 4 +4 +4 0xc23d 0xf402e475 256 @@ -284478,6 +355598,8 @@ 1 1 0 +3 +3 1 0xb24a 0x92f1eceb @@ -284486,6 +355608,8 @@ 0 0 0 +4 +0 2 0xa862 0x9933a949 @@ -284495,6 +355619,8 @@ 0 0 4 +5 +4 0x90b3 0x5f4d49a6 256 @@ -284503,6 +355629,8 @@ 0 0 1 +3 +1 0x2dbe 0x738e4ee1 256 @@ -284510,6 +355638,8 @@ 0 0 0 +5 +1 2 0xf31f 0x74b5f467 @@ -284518,6 +355648,8 @@ 1 1 0 +5 +1 2 0xedaa 0x8ffbf618 @@ -284526,6 +355658,8 @@ 1 0 0 +1 +0 2 0xfba9 0x82a14ef1 @@ -284534,6 +355668,8 @@ 1 0 0 +2 +2 4 0xc45 0x5266cf0a @@ -284543,6 +355679,8 @@ 0 0 1 +2 +1 0xe8eb 0x61982dd3 256 @@ -284550,6 +355688,8 @@ 0 0 0 +3 +2 2 0x72d8 0xd920914 @@ -284558,6 +355698,8 @@ 1 0 0 +5 +4 3 0x6522 0xe902d56c @@ -284566,6 +355708,8 @@ 1 0 0 +0 +0 4 0x5f30 0xa41fa7e5 @@ -284574,6 +355718,8 @@ 1 1 0 +4 +1 1 0x6576 0x6b20bebf @@ -284582,6 +355728,8 @@ 0 0 0 +1 +1 4 0x385a 0xb6a74c61 @@ -284591,6 +355739,8 @@ 0 0 1 +3 +1 0x5c54 0xd78c6e7d 256 @@ -284598,6 +355748,8 @@ 1 1 0 +2 +1 4 0x7bc5 0xd284ee49 @@ -284607,6 +355759,8 @@ 0 0 4 +5 +4 0xb09a 0x4e099680 256 @@ -284615,6 +355769,8 @@ 0 0 1 +5 +1 0xa9b8 0xf6f7e691 256 @@ -284622,6 +355778,8 @@ 0 0 0 +5 +5 2 0x4685 0x677f878b @@ -284630,6 +355788,8 @@ 0 0 0 +5 +5 4 0x5bdc 0x38f5e153 @@ -284638,6 +355798,8 @@ 1 1 0 +5 +4 4 0x7bb8 0x15e7be7e @@ -284647,6 +355809,8 @@ 1 0 2 +3 +2 0x1e44 0x3ea38c4a 256 @@ -284654,6 +355818,8 @@ 1 0 0 +1 +4 4 0x83c5 0x8cca7ae8 @@ -284662,6 +355828,8 @@ 1 0 0 +5 +1 3 0xf3d9 0x5014f7af @@ -284670,6 +355838,8 @@ 1 0 0 +0 +3 1 0x7593 0xf4766572 @@ -284678,6 +355848,8 @@ 0 0 0 +4 +5 3 0x5fe5 0x69ca398a @@ -284686,6 +355858,8 @@ 1 1 0 +4 +2 3 0x5764 0x8185513f @@ -284695,6 +355869,8 @@ 0 0 3 +0 +3 0x1886 0x194d5f5c 256 @@ -284702,6 +355878,8 @@ 1 0 0 +5 +4 4 0x73b8 0x4c9365ca @@ -284710,6 +355888,8 @@ 0 0 0 +1 +1 2 0xa879 0x878fc680 @@ -284718,6 +355898,8 @@ 1 1 0 +5 +5 3 0x3d3d 0xdcddf433 @@ -284726,6 +355908,8 @@ 1 0 0 +2 +5 4 0x599e 0x4f998b53 @@ -284734,6 +355918,8 @@ 0 0 0 +1 +5 2 0xc034 0xf793bfb6 @@ -284742,6 +355928,8 @@ 0 0 0 +2 +0 3 0x52c9 0xfc560967 @@ -284750,6 +355938,8 @@ 0 0 0 +5 +0 2 0xc6b8 0x9387c1fe @@ -284758,6 +355948,8 @@ 0 0 0 +2 +4 4 0x3009 0xfe333041 @@ -284766,6 +355958,8 @@ 1 0 0 +0 +3 4 0x38fe 0xf2d51276 @@ -284774,6 +355968,8 @@ 0 0 0 +4 +2 2 0x31a4 0x10fc4f40 @@ -284782,6 +355978,8 @@ 1 1 0 +1 +0 3 0x9ef 0xb4187f0e @@ -284790,6 +355988,8 @@ 0 0 0 +2 +3 3 0x458b 0x4241b119 @@ -284798,6 +355998,8 @@ 1 1 0 +4 +1 3 0x5a0c 0x12a39c40 @@ -284806,6 +356008,8 @@ 1 0 0 +3 +2 2 0x683e 0x8f3b6d01 @@ -284815,6 +356019,8 @@ 1 0 1 +1 +1 0x431b 0x439c2b2c 256 @@ -284822,6 +356028,8 @@ 1 1 0 +0 +5 1 0xc2eb 0x574079d0 @@ -284830,6 +356038,8 @@ 1 0 0 +3 +0 1 0x7dca 0x5d750c8f @@ -284839,6 +356049,8 @@ 0 0 3 +3 +3 0x724f 0xe467a8e0 256 @@ -284846,6 +356058,8 @@ 1 0 0 +3 +1 1 0xf3b8 0x619275ea @@ -284854,6 +356068,8 @@ 0 0 0 +2 +2 1 0xb08b 0x6f628611 @@ -284862,6 +356078,8 @@ 0 0 0 +2 +3 3 0x976 0xee9033d2 @@ -284870,6 +356088,8 @@ 1 1 0 +0 +2 3 0x720c 0x4e3ea903 @@ -284878,6 +356098,8 @@ 0 0 0 +5 +5 4 0x6818 0x5f7a9215 @@ -284886,6 +356108,8 @@ 1 1 0 +0 +3 1 0x10ea 0xf5ce42e4 @@ -284894,6 +356118,8 @@ 0 0 0 +4 +3 1 0xa496 0x3ed9767f @@ -284902,6 +356128,8 @@ 1 0 0 +4 +4 1 0xda16 0xe5939821 @@ -284910,6 +356138,8 @@ 0 0 0 +0 +3 2 0x6e2b 0x6a67afd1 @@ -284918,6 +356148,8 @@ 0 0 0 +2 +4 4 0x9f1c 0x37bc1fca @@ -284927,6 +356159,8 @@ 0 0 2 +0 +2 0x8d26 0xb1a2ea83 256 @@ -284934,6 +356168,8 @@ 0 0 0 +0 +5 2 0x672e 0x6372708 @@ -284943,6 +356179,8 @@ 0 0 4 +4 +4 0xc4af 0x2af91448 256 @@ -284950,6 +356188,8 @@ 0 0 0 +3 +4 4 0x63a 0x308753a @@ -284958,6 +356198,8 @@ 1 0 0 +3 +1 4 0xf979 0xef2fee38 @@ -284966,6 +356208,8 @@ 1 0 0 +2 +1 1 0x7118 0x68344679 @@ -284975,6 +356219,8 @@ 0 0 4 +4 +4 0x475d 0x702d5df4 256 @@ -284982,6 +356228,8 @@ 1 1 0 +3 +4 1 0x2400 0x7781b8f8 @@ -284991,6 +356239,8 @@ 0 0 3 +2 +3 0x2c97 0xabf4be20 256 @@ -284998,6 +356248,8 @@ 0 0 0 +3 +0 4 0xb525 0x146b0387 @@ -285006,6 +356258,8 @@ 0 0 0 +5 +2 4 0xd159 0x6b0250a2 @@ -285014,6 +356268,8 @@ 1 1 0 +5 +0 4 0xb4d6 0xa3b1d4e4 @@ -285022,6 +356278,8 @@ 0 0 0 +0 +0 1 0x78d 0x42c09b1a @@ -285031,6 +356289,8 @@ 0 0 4 +2 +4 0xd4e0 0xc902753d 256 @@ -285038,6 +356298,8 @@ 1 0 0 +4 +1 1 0x5ea0 0x9263628a @@ -285046,6 +356308,8 @@ 1 1 0 +0 +5 3 0x39b6 0xb1e5984 @@ -285054,6 +356318,8 @@ 1 1 0 +4 +0 3 0x6668 0xafaf4f0a @@ -285063,6 +356329,8 @@ 0 0 1 +5 +1 0xef15 0xf617a0c2 256 @@ -285070,6 +356338,8 @@ 1 0 0 +0 +2 4 0x5f05 0x38a05d89 @@ -285078,6 +356348,8 @@ 1 1 0 +1 +1 2 0x948a 0xd43d087f @@ -285086,6 +356358,8 @@ 1 1 0 +0 +5 1 0x2f2c 0x16833c61 @@ -285094,6 +356368,8 @@ 0 0 0 +3 +2 4 0x9d5a 0x42498343 @@ -285103,6 +356379,8 @@ 0 0 4 +0 +4 0xe6b1 0x3845315e 256 @@ -285111,6 +356389,8 @@ 1 0 1 +0 +1 0x1706 0x8dbcf455 256 @@ -285118,6 +356398,8 @@ 0 0 0 +5 +5 4 0xdca0 0x78941ba @@ -285126,6 +356408,8 @@ 0 0 0 +4 +4 3 0x63d1 0x6fcbfc90 @@ -285134,6 +356418,8 @@ 0 0 0 +2 +2 4 0x17a0 0xd2330ba5 @@ -285142,6 +356428,8 @@ 0 0 0 +5 +4 1 0xa031 0x22fba856 @@ -285150,6 +356438,8 @@ 1 1 0 +1 +0 3 0xc0af 0x3f0d1e05 @@ -285158,6 +356448,8 @@ 0 0 0 +2 +3 1 0x3cf8 0xd5e701f9 @@ -285166,6 +356458,8 @@ 1 0 0 +5 +2 1 0x64a1 0xe19f7274 @@ -285174,6 +356468,8 @@ 0 0 0 +4 +0 1 0xf7ca 0x3e4f4ed1 @@ -285183,6 +356479,8 @@ 1 0 3 +1 +3 0x7989 0x62c4cee8 256 @@ -285190,6 +356488,8 @@ 0 0 0 +4 +0 3 0xa999 0x4c7ad784 @@ -285198,6 +356498,8 @@ 0 0 0 +4 +3 1 0xa1d7 0x3f8f83cc @@ -285206,6 +356508,8 @@ 1 0 0 +0 +1 4 0xb122 0xa8611116 @@ -285214,6 +356518,8 @@ 0 0 0 +3 +5 2 0xf1f3 0xf53fafa4 @@ -285222,6 +356528,8 @@ 1 0 0 +4 +5 1 0x591c 0xf7f5619d @@ -285231,6 +356539,8 @@ 0 0 3 +5 +3 0x4fee 0xed10df56 256 @@ -285238,6 +356548,8 @@ 0 0 0 +5 +5 4 0x99d7 0x50e1ac04 @@ -285246,6 +356558,8 @@ 1 0 0 +4 +2 1 0xae86 0x516542ad @@ -285254,6 +356568,8 @@ 0 0 0 +2 +0 3 0x7075 0x10e95a6b @@ -285263,6 +356579,8 @@ 0 0 2 +5 +2 0x3d16 0x2a3eb19d 256 @@ -285271,6 +356589,8 @@ 0 0 4 +3 +4 0xbd0d 0xb2c00d58 256 @@ -285278,6 +356598,8 @@ 0 0 0 +5 +4 1 0x6 0x789a4b50 @@ -285287,6 +356609,8 @@ 0 0 2 +4 +2 0x2c25 0x430a6698 256 @@ -285294,6 +356618,8 @@ 1 0 0 +5 +0 1 0xdfda 0x89b3a000 @@ -285302,6 +356628,8 @@ 0 0 0 +5 +5 1 0xcb79 0x96dbeeda @@ -285310,6 +356638,8 @@ 1 1 0 +2 +4 3 0x441e 0x2fb5734a @@ -285318,6 +356648,8 @@ 1 1 0 +4 +0 2 0xb0f7 0x6bcfee9d @@ -285327,6 +356659,8 @@ 0 0 2 +4 +2 0xc645 0xded1fe06 256 @@ -285334,6 +356668,8 @@ 0 0 0 +5 +1 2 0x11c7 0xeda1cbc6 @@ -285342,6 +356678,8 @@ 0 0 0 +4 +1 2 0x6916 0x8fdf461 @@ -285350,6 +356688,8 @@ 1 0 0 +4 +1 3 0x82a1 0x7e78a040 @@ -285359,6 +356699,8 @@ 1 0 4 +5 +4 0x459e 0x37acfbdb 256 @@ -285367,6 +356709,8 @@ 1 0 4 +5 +4 0x23b5 0x83da5760 256 @@ -285374,6 +356718,8 @@ 1 1 0 +3 +4 4 0xfab4 0x92de2240 @@ -285383,6 +356729,8 @@ 0 0 1 +5 +1 0x69d1 0x17bb24d7 256 @@ -285391,6 +356739,8 @@ 0 0 1 +0 +1 0x3322 0xabeb4bf9 256 @@ -285398,6 +356748,8 @@ 1 1 0 +1 +3 2 0xcb21 0x17710b9 @@ -285406,6 +356758,8 @@ 1 1 0 +5 +5 4 0x4dea 0xb809ac0a @@ -285415,6 +356769,8 @@ 0 0 2 +4 +2 0x5f4 0x3fd78df 256 @@ -285422,6 +356778,8 @@ 0 0 0 +1 +4 4 0xf21 0x6cea31fc @@ -285430,6 +356788,8 @@ 0 0 0 +5 +1 3 0x727c 0xdaf4e76f @@ -285438,6 +356798,8 @@ 1 1 0 +2 +0 4 0x7a1b 0xdb6fb80c @@ -285447,6 +356809,8 @@ 0 0 4 +1 +4 0x470a 0xf226fb21 256 @@ -285454,6 +356818,8 @@ 1 0 0 +1 +5 3 0xfe1c 0x5c0f0f04 @@ -285463,6 +356829,8 @@ 0 0 2 +5 +2 0xc0c9 0x87e3a3f8 256 @@ -285470,6 +356838,8 @@ 0 0 0 +5 +3 2 0x137f 0x50bd8165 @@ -285478,6 +356848,8 @@ 1 0 0 +2 +3 3 0x424f 0xf35abf11 @@ -285486,6 +356858,8 @@ 1 0 0 +1 +3 2 0x33b8 0xb5024c18 @@ -285494,6 +356868,8 @@ 0 0 0 +5 +3 1 0x3e48 0xc50b6136 @@ -285502,6 +356878,8 @@ 1 0 0 +3 +0 4 0x1ca5 0xe5ee6ef9 @@ -285510,6 +356888,8 @@ 1 1 0 +0 +0 4 0xea7c 0x2497f012 @@ -285519,6 +356899,8 @@ 1 0 4 +3 +4 0x7776 0x79c387f7 256 @@ -285526,6 +356908,8 @@ 1 0 0 +0 +3 4 0x3341 0xf5b7531a @@ -285535,6 +356919,8 @@ 0 0 1 +0 +1 0xcc8c 0xc795400e 256 @@ -285543,6 +356929,8 @@ 0 0 1 +2 +1 0xfd4f 0x8dc15f00 256 @@ -285550,6 +356938,8 @@ 0 0 0 +4 +0 2 0xc6a9 0xb71a8e2e @@ -285558,6 +356948,8 @@ 1 0 0 +4 +2 2 0x2979 0xf15bdd7a @@ -285566,6 +356958,8 @@ 0 0 0 +5 +1 4 0x906 0xb965ba7d @@ -285574,6 +356968,8 @@ 0 0 0 +0 +4 4 0x198 0xcb144ed6 @@ -285582,6 +356978,8 @@ 0 0 0 +3 +2 1 0xf9da 0x8405bc27 @@ -285590,6 +356988,8 @@ 1 1 0 +1 +1 4 0x7a52 0xb136d362 @@ -285599,6 +356999,8 @@ 1 0 1 +2 +1 0xe33e 0xc45ea6a9 256 @@ -285606,6 +357008,8 @@ 0 0 0 +0 +3 1 0x17eb 0x4788928 @@ -285614,6 +357018,8 @@ 1 0 0 +0 +1 4 0xb2b6 0x4af9a9d0 @@ -285623,6 +357029,8 @@ 0 0 4 +1 +4 0xadf4 0x10ba3aca 256 @@ -285630,6 +357038,8 @@ 1 0 0 +1 +2 2 0x6a1c 0x847a42b5 @@ -285638,6 +357048,8 @@ 1 0 0 +4 +2 2 0x423b 0xdaa4725 @@ -285646,6 +357058,8 @@ 0 0 0 +1 +5 3 0x60be 0xa7f8a30e @@ -285655,6 +357069,8 @@ 0 0 2 +2 +2 0xb68e 0xe49dfd2c 256 @@ -285662,6 +357078,8 @@ 1 0 0 +3 +2 1 0x73ff 0x7731d2d9 @@ -285670,6 +357088,8 @@ 0 0 0 +0 +2 3 0xc5a7 0xf148b37a @@ -285678,6 +357098,8 @@ 0 0 0 +4 +3 3 0x1590 0x40bedeab @@ -285687,6 +357109,8 @@ 1 0 4 +1 +4 0x8ce1 0xd57bffd0 256 @@ -285694,6 +357118,8 @@ 1 0 0 +0 +5 4 0x4792 0x37b9c19c @@ -285702,6 +357128,8 @@ 0 0 0 +3 +0 1 0xaefe 0xd5f3c52e @@ -285710,6 +357138,8 @@ 1 1 0 +2 +1 3 0xdafa 0xeb98b5b5 @@ -285718,6 +357148,8 @@ 1 1 0 +1 +0 3 0x55e 0x4471796b @@ -285727,6 +357159,8 @@ 1 0 1 +0 +1 0xdbfe 0x19343be0 256 @@ -285735,6 +357169,8 @@ 0 0 4 +1 +4 0xaf59 0x1f284acc 256 @@ -285742,6 +357178,8 @@ 0 0 0 +0 +4 1 0x703c 0xc876b105 @@ -285750,6 +357188,8 @@ 0 0 0 +0 +0 2 0x5590 0xaa3c430 @@ -285758,6 +357198,8 @@ 1 1 0 +2 +0 4 0xfe05 0xc531b0a0 @@ -285766,6 +357208,8 @@ 1 1 0 +0 +2 2 0x12ca 0xf6da519f @@ -285774,6 +357218,8 @@ 0 0 0 +3 +3 4 0xdff5 0xcee2a8d8 @@ -285782,6 +357228,8 @@ 1 0 0 +0 +0 1 0xd54c 0xa6ed383d @@ -285790,6 +357238,8 @@ 0 0 0 +4 +3 2 0x3ba 0xb752f64a @@ -285798,6 +357248,8 @@ 0 0 0 +0 +2 3 0x5daf 0x6ac3bd4f @@ -285806,6 +357258,8 @@ 0 0 0 +4 +2 3 0xe8d7 0xcebd891e @@ -285814,6 +357268,8 @@ 0 0 0 +1 +1 4 0xf957 0xace77cdd @@ -285822,6 +357278,8 @@ 1 1 0 +1 +4 3 0x96f8 0xcaed62bc @@ -285831,6 +357289,8 @@ 0 0 2 +0 +2 0xde00 0x3774a418 256 @@ -285838,6 +357298,8 @@ 0 0 0 +5 +4 4 0x288e 0x31e0428a @@ -285846,6 +357308,8 @@ 1 1 0 +1 +5 4 0xee2e 0xfa4ebfbf @@ -285854,6 +357318,8 @@ 1 0 0 +3 +4 2 0x1c36 0x7664e6f1 @@ -285862,6 +357328,8 @@ 1 1 0 +4 +0 3 0x243f 0xc372db15 @@ -285870,6 +357338,8 @@ 0 0 0 +3 +3 1 0x8a23 0xb8447bef @@ -285878,6 +357348,8 @@ 0 0 0 +2 +0 1 0x15da 0x91abab64 @@ -285886,6 +357358,8 @@ 0 0 0 +3 +1 4 0xfcb8 0xfdc0cf5e @@ -285894,6 +357368,8 @@ 1 0 0 +0 +0 4 0xf1bf 0x9ebb1883 @@ -285902,6 +357378,8 @@ 1 0 0 +0 +5 1 0xa689 0x9a1afec9 @@ -285910,6 +357388,8 @@ 0 0 0 +4 +3 1 0xe352 0x468eaed8 @@ -285918,6 +357398,8 @@ 1 0 0 +4 +1 1 0x4468 0x90c22d81 @@ -285926,6 +357408,8 @@ 0 0 0 +3 +4 2 0xc1ef 0x23905684 @@ -285934,6 +357418,8 @@ 1 0 0 +4 +3 2 0x1721 0x36322444 @@ -285942,6 +357428,8 @@ 0 0 0 +5 +3 4 0x7fbb 0xbb2dd0c5 @@ -285950,6 +357438,8 @@ 1 1 0 +0 +2 4 0xadf7 0x9514dace @@ -285958,6 +357448,8 @@ 1 1 0 +2 +3 1 0x1699 0x843bad0b @@ -285966,6 +357458,8 @@ 1 0 0 +3 +1 1 0xfedd 0x2d485805 @@ -285974,6 +357468,8 @@ 1 0 0 +4 +1 2 0x6e52 0x761f1c51 @@ -285982,6 +357478,8 @@ 0 0 0 +4 +5 1 0xc7e2 0x889e6583 @@ -285990,6 +357488,8 @@ 1 0 0 +0 +0 3 0xaa1b 0xdb7c55ae @@ -285998,6 +357498,8 @@ 1 0 0 +5 +4 1 0xbcb1 0xd6ac7e8f @@ -286006,6 +357508,8 @@ 1 1 0 +0 +4 2 0x20b7 0x71de13fb @@ -286014,6 +357518,8 @@ 1 0 0 +0 +0 1 0x112f 0xd176651c @@ -286022,6 +357528,8 @@ 1 0 0 +0 +1 3 0xabec 0x2197fd8e @@ -286030,6 +357538,8 @@ 1 1 0 +3 +2 4 0xcc0 0xf129791e @@ -286038,6 +357548,8 @@ 0 0 0 +5 +4 2 0x8731 0xcddd475 @@ -286046,6 +357558,8 @@ 0 0 0 +1 +5 3 0x79e8 0xbfdd7b21 @@ -286054,6 +357568,8 @@ 0 0 0 +0 +3 4 0xb3b3 0x2e879328 @@ -286062,6 +357578,8 @@ 1 1 0 +0 +4 1 0xa4c0 0xdac64a90 @@ -286071,6 +357589,8 @@ 0 0 3 +2 +3 0xe370 0x393436d5 256 @@ -286078,6 +357598,8 @@ 1 0 0 +1 +5 4 0x7834 0x3bbc62dd @@ -286087,6 +357609,8 @@ 0 0 2 +5 +2 0x37f7 0x993bb0f5 256 @@ -286095,6 +357619,8 @@ 0 0 3 +5 +3 0x537e 0xce3d1ba0 256 @@ -286102,6 +357628,8 @@ 0 0 0 +1 +2 3 0x28c3 0xede95130 @@ -286110,6 +357638,8 @@ 1 0 0 +0 +0 2 0x3ff 0x620ee158 @@ -286118,6 +357648,8 @@ 0 0 0 +2 +3 1 0x8e85 0xed485b25 @@ -286126,6 +357658,8 @@ 1 1 0 +2 +4 3 0x862e 0x7f3328dd @@ -286134,6 +357668,8 @@ 0 0 0 +5 +2 1 0x8a6f 0xe588c2de @@ -286142,6 +357678,8 @@ 1 1 0 +5 +0 3 0xf2bb 0xa40fb848 @@ -286150,6 +357688,8 @@ 1 1 0 +1 +5 2 0xc3b5 0xed63a0 @@ -286158,6 +357698,8 @@ 1 1 0 +2 +2 4 0xfa2f 0xd1945ec9 @@ -286166,6 +357708,8 @@ 1 0 0 +4 +0 1 0xee46 0xfe69adc2 @@ -286174,6 +357718,8 @@ 1 0 0 +3 +0 1 0x59eb 0xfcaeec14 @@ -286182,6 +357728,8 @@ 0 0 0 +4 +2 3 0x2da0 0xf09b0c5 @@ -286190,6 +357738,8 @@ 0 0 0 +4 +1 1 0xe1f2 0x123b12b5 @@ -286198,6 +357748,8 @@ 1 0 0 +0 +0 2 0x9158 0x3ea2652c @@ -286206,6 +357758,8 @@ 0 0 0 +5 +4 1 0xdf49 0x32281d5b @@ -286214,6 +357768,8 @@ 0 0 0 +2 +2 4 0xbe2e 0xf2453bce @@ -286222,6 +357778,8 @@ 0 0 0 +1 +0 2 0xa353 0x3efe04da @@ -286230,6 +357788,8 @@ 0 0 0 +5 +0 4 0x2dd6 0xf6fce499 @@ -286238,6 +357798,8 @@ 0 0 0 +2 +2 1 0x6a24 0xbbe8f3a7 @@ -286246,6 +357808,8 @@ 1 0 0 +3 +4 1 0x7f72 0xb211c052 @@ -286254,6 +357818,8 @@ 0 0 0 +1 +4 2 0xd868 0x59177a0b @@ -286262,6 +357828,8 @@ 1 0 0 +1 +0 2 0x9bab 0x23cd0998 @@ -286270,6 +357838,8 @@ 0 0 0 +0 +4 3 0x2f88 0x42b4ca48 @@ -286278,6 +357848,8 @@ 1 0 0 +0 +1 1 0xd0b1 0x44f03c13 @@ -286286,6 +357858,8 @@ 0 0 0 +5 +2 1 0xde8b 0x925544c7 @@ -286294,6 +357868,8 @@ 0 0 0 +4 +3 1 0xdba0 0x3bda3686 @@ -286302,6 +357878,8 @@ 1 1 0 +2 +1 3 0x4e57 0x6d5f6398 @@ -286310,6 +357888,8 @@ 1 0 0 +2 +3 1 0x4098 0xa22b415 @@ -286318,6 +357898,8 @@ 1 0 0 +5 +5 3 0xe324 0xd9e577ff @@ -286326,6 +357908,8 @@ 1 1 0 +5 +0 1 0x6301 0xe01466d7 @@ -286334,6 +357918,8 @@ 1 1 0 +3 +0 1 0x99b6 0x250ecc60 @@ -286342,6 +357928,8 @@ 0 0 0 +5 +3 3 0x1476 0x4006bda @@ -286351,6 +357939,8 @@ 0 0 4 +3 +4 0x8e2c 0xacba0547 256 @@ -286358,6 +357948,8 @@ 1 1 0 +4 +5 3 0x1a79 0xbc3d42d9 @@ -286367,6 +357959,8 @@ 0 0 1 +3 +1 0x1dd8 0xc23ed504 256 @@ -286374,6 +357968,8 @@ 1 1 0 +5 +5 1 0xf78d 0x23a4fd8f @@ -286383,6 +357979,8 @@ 0 0 1 +2 +1 0xa667 0xb99dcdba 256 @@ -286390,6 +357988,8 @@ 1 1 0 +1 +5 2 0x6f9c 0x62a28a06 @@ -286398,6 +357998,8 @@ 0 0 0 +2 +3 3 0x2cbc 0x2c758f99 @@ -286406,6 +358008,8 @@ 1 0 0 +5 +1 1 0x4e3 0xcfd7d976 @@ -286415,6 +358019,8 @@ 0 0 3 +2 +3 0x4fc 0x30d4881 256 @@ -286422,6 +358028,8 @@ 0 0 0 +5 +0 4 0x904 0xe92271c0 @@ -286430,6 +358038,8 @@ 0 0 0 +2 +3 3 0x7cd4 0xc210fcf1 @@ -286438,6 +358048,8 @@ 0 0 0 +0 +3 4 0x2497 0x5abef07b @@ -286447,6 +358059,8 @@ 1 0 1 +1 +1 0x94d1 0xc3c97619 256 @@ -286455,6 +358069,8 @@ 0 0 3 +3 +3 0x982a 0x5e3251b 256 @@ -286462,6 +358078,8 @@ 0 0 0 +4 +4 2 0x1290 0xd94724b4 @@ -286470,6 +358088,8 @@ 0 0 0 +1 +0 2 0x5682 0x35f4cf33 @@ -286478,6 +358098,8 @@ 1 1 0 +0 +1 1 0x9084 0x3831b246 @@ -286486,6 +358108,8 @@ 0 0 0 +4 +1 2 0xda0e 0xf56d2aa9 @@ -286494,6 +358118,8 @@ 0 0 0 +3 +2 2 0xffa1 0x9df0aac8 @@ -286502,6 +358128,8 @@ 0 0 0 +0 +0 3 0x1e92 0x4712ce8 @@ -286510,6 +358138,8 @@ 1 0 0 +1 +3 3 0x1c63 0x76a74f1d @@ -286518,6 +358148,8 @@ 0 0 0 +4 +3 1 0x6357 0x63a7f765 @@ -286526,6 +358158,8 @@ 1 1 0 +1 +2 3 0xba4f 0x7576ac7c @@ -286534,6 +358168,8 @@ 1 0 0 +5 +1 1 0xe9cc 0xa425526e @@ -286542,6 +358178,8 @@ 0 0 0 +4 +3 2 0x9386 0x235139d7 @@ -286550,6 +358188,8 @@ 0 0 0 +4 +3 1 0x4e07 0x9deea0c9 @@ -286558,6 +358198,8 @@ 1 0 0 +0 +1 2 0xd162 0xedd28b5a @@ -286566,6 +358208,8 @@ 1 0 0 +4 +0 3 0x9eda 0x8831a974 @@ -286574,6 +358218,8 @@ 0 0 0 +3 +0 2 0xc7ce 0x56349436 @@ -286582,6 +358228,8 @@ 0 0 0 +3 +3 2 0xd514 0xb16beb48 @@ -286590,6 +358238,8 @@ 1 1 0 +3 +3 1 0xdd78 0x6747c1bf @@ -286598,6 +358248,8 @@ 0 0 0 +4 +0 2 0x995e 0x18887f00 @@ -286606,6 +358258,8 @@ 1 0 0 +2 +5 4 0xeff1 0x88574c38 @@ -286614,6 +358268,8 @@ 0 0 0 +4 +5 1 0x40cd 0xa77125f6 @@ -286622,6 +358278,8 @@ 0 0 0 +4 +5 1 0x64cf 0x7342fc1d @@ -286630,6 +358288,8 @@ 0 0 0 +1 +3 4 0xad97 0x99390475 @@ -286638,6 +358298,8 @@ 1 0 0 +0 +3 2 0xebac 0x993587 @@ -286646,6 +358308,8 @@ 0 0 0 +5 +2 2 0x8c16 0x6ed0448f @@ -286654,6 +358318,8 @@ 1 0 0 +1 +0 3 0xf66f 0x672fbafb @@ -286662,6 +358328,8 @@ 1 0 0 +1 +3 4 0xb548 0x5749fc72 @@ -286670,6 +358338,8 @@ 1 0 0 +0 +3 4 0xc40f 0xe30a1054 @@ -286678,6 +358348,8 @@ 1 1 0 +1 +0 3 0xaf89 0xc89501ac @@ -286686,6 +358358,8 @@ 1 1 0 +3 +3 2 0xe8bd 0xcbf752ab @@ -286694,6 +358368,8 @@ 0 0 0 +2 +1 4 0x57f9 0xfb2d23b6 @@ -286702,6 +358378,8 @@ 0 0 0 +3 +4 4 0x97aa 0xcbc2e2fc @@ -286710,6 +358388,8 @@ 0 0 0 +3 +2 2 0xa2c9 0xae883f5 @@ -286718,6 +358398,8 @@ 0 0 0 +0 +5 3 0xbe91 0x8a39b444 @@ -286726,6 +358408,8 @@ 1 0 0 +0 +2 2 0xd44b 0x2ec103b9 @@ -286734,6 +358418,8 @@ 1 0 0 +3 +4 4 0xc431 0xdd65ca01 @@ -286742,6 +358428,8 @@ 1 0 0 +1 +2 2 0x7f25 0x10baac2c @@ -286750,6 +358438,8 @@ 0 0 0 +2 +2 3 0x95 0x41111ff0 @@ -286759,6 +358449,8 @@ 0 0 1 +5 +1 0x1768 0xbc32aa76 256 @@ -286766,6 +358458,8 @@ 1 0 0 +4 +1 3 0x7d6e 0x2fb32a15 @@ -286774,6 +358468,8 @@ 0 0 0 +0 +0 3 0x635c 0x5f7f0a1b @@ -286782,6 +358478,8 @@ 0 0 0 +1 +1 3 0xb05e 0xc4761db1 @@ -286791,6 +358489,8 @@ 0 0 3 +0 +3 0x50a4 0xfb505d5f 256 @@ -286798,6 +358498,8 @@ 0 0 0 +1 +3 3 0xeda9 0x2508e569 @@ -286806,6 +358508,8 @@ 0 0 0 +2 +0 4 0xc4c5 0x1b1684d0 @@ -286814,6 +358518,8 @@ 1 0 0 +1 +3 2 0x289 0x5d409619 @@ -286822,6 +358528,8 @@ 0 0 0 +3 +2 1 0xebbc 0x34cee40d @@ -286831,6 +358539,8 @@ 1 0 4 +3 +4 0xe0b9 0x77f1412f 256 @@ -286838,6 +358548,8 @@ 0 0 0 +0 +2 4 0x632d 0xec802af6 @@ -286846,6 +358558,8 @@ 1 1 0 +2 +2 4 0x71f2 0xc505096c @@ -286854,6 +358568,8 @@ 0 0 0 +0 +1 1 0x774d 0xffa8137a @@ -286862,6 +358578,8 @@ 0 0 0 +3 +0 4 0x4e99 0x37548ed2 @@ -286870,6 +358588,8 @@ 0 0 0 +2 +4 4 0x7901 0x123446c @@ -286878,6 +358598,8 @@ 0 0 0 +4 +1 1 0xc06f 0x32204373 @@ -286886,6 +358608,8 @@ 1 0 0 +4 +0 2 0xf5f1 0x155ba67c @@ -286894,6 +358618,8 @@ 0 0 0 +0 +3 4 0x1eb 0x8934cdf1 @@ -286903,6 +358629,8 @@ 0 0 3 +2 +3 0x470b 0xef6e72ef 256 @@ -286910,6 +358638,8 @@ 1 1 0 +2 +2 1 0x54b6 0x3c80e5ec @@ -286918,6 +358648,8 @@ 0 0 0 +3 +0 4 0xce3 0xf35a1d65 @@ -286926,6 +358658,8 @@ 0 0 0 +3 +2 4 0xf2f1 0x962f7bf0 @@ -286934,6 +358668,8 @@ 1 0 0 +0 +1 4 0xb378 0xaba26107 @@ -286942,6 +358678,8 @@ 1 1 0 +0 +5 3 0xf90e 0xaa023d65 @@ -286950,6 +358688,8 @@ 0 0 0 +2 +4 3 0x42a0 0xfc92ed92 @@ -286959,6 +358699,8 @@ 0 0 2 +2 +2 0x8e22 0xa8e140d 256 @@ -286966,6 +358708,8 @@ 0 0 0 +3 +5 4 0x4d79 0xb5f9f9bc @@ -286974,6 +358718,8 @@ 1 1 0 +0 +1 4 0x7579 0x8b8bf384 @@ -286982,6 +358728,8 @@ 0 0 0 +1 +4 4 0xd1d7 0x373165cd @@ -286990,6 +358738,8 @@ 1 0 0 +3 +2 4 0xbf12 0x2f9d38f @@ -286998,6 +358748,8 @@ 1 0 0 +4 +0 2 0xc3cc 0x3dc0879c @@ -287006,6 +358758,8 @@ 1 1 0 +3 +0 4 0x3a1e 0x1479fccd @@ -287014,6 +358768,8 @@ 1 1 0 +0 +0 3 0x7f1c 0x9a421b1e @@ -287022,6 +358778,8 @@ 1 0 0 +3 +3 4 0x4b9b 0xf23b7ffb @@ -287031,6 +358789,8 @@ 1 0 1 +2 +1 0x1878 0xa534fe89 256 @@ -287038,6 +358798,8 @@ 0 0 0 +0 +3 3 0x740f 0x735f981f @@ -287046,6 +358808,8 @@ 1 1 0 +5 +3 3 0x9517 0xdef5de3d @@ -287054,6 +358818,8 @@ 0 0 0 +2 +1 1 0xc6ef 0x68ea187a @@ -287062,6 +358828,8 @@ 0 0 0 +5 +4 4 0x3199 0x5ecd7cd6 @@ -287071,6 +358839,8 @@ 0 0 1 +5 +1 0xd9c0 0x5472c45e 256 @@ -287078,6 +358848,8 @@ 0 0 0 +2 +4 4 0x4875 0xa802d400 @@ -287086,6 +358858,8 @@ 0 0 0 +5 +5 1 0x37e 0xe082290b @@ -287094,6 +358868,8 @@ 1 0 0 +2 +4 4 0x4dd7 0x7d97a23a @@ -287102,6 +358878,8 @@ 0 0 0 +2 +2 1 0x4327 0x1b91e6b9 @@ -287110,6 +358888,8 @@ 0 0 0 +3 +1 2 0x5340 0xf4df92db @@ -287118,6 +358898,8 @@ 0 0 0 +5 +4 3 0x9dcf 0x44ce82f4 @@ -287127,6 +358909,8 @@ 0 0 2 +0 +2 0xfa0a 0xed5b25a5 256 @@ -287134,6 +358918,8 @@ 0 0 0 +4 +3 1 0xfd7f 0xb3193b0b @@ -287143,6 +358929,8 @@ 1 0 3 +1 +3 0xcdad 0x5aec6f52 256 @@ -287150,6 +358938,8 @@ 0 0 0 +1 +4 4 0x8d38 0x6623274e @@ -287158,6 +358948,8 @@ 0 0 0 +0 +5 4 0xf3e9 0x4dbf82af @@ -287166,6 +358958,8 @@ 1 0 0 +2 +5 3 0xffb6 0xafcc30f5 @@ -287174,6 +358968,8 @@ 0 0 0 +4 +2 2 0xb0e9 0xf74b4e4 @@ -287182,6 +358978,8 @@ 1 0 0 +3 +2 2 0x82e 0x63f5ca0e @@ -287190,6 +358988,8 @@ 0 0 0 +5 +4 4 0x61b4 0x9d2ed63c @@ -287198,6 +358998,8 @@ 1 0 0 +0 +1 4 0x47ce 0x8942f982 @@ -287206,6 +359008,8 @@ 1 0 0 +5 +0 2 0x8d7f 0x4ab1ee8b @@ -287214,6 +359018,8 @@ 1 0 0 +1 +0 4 0x1f90 0x36ba27a1 @@ -287222,6 +359028,8 @@ 1 0 0 +1 +3 4 0x532f 0x305d0b89 @@ -287231,6 +359039,8 @@ 0 0 1 +3 +1 0x2019 0x5097120c 256 @@ -287238,6 +359048,8 @@ 0 0 0 +0 +0 1 0x9a61 0xe61514f3 @@ -287246,6 +359058,8 @@ 1 0 0 +1 +2 2 0x7302 0xc7b3b9ae @@ -287254,6 +359068,8 @@ 1 1 0 +1 +5 4 0xda3c 0xbd73e0dc @@ -287262,6 +359078,8 @@ 0 0 0 +1 +4 4 0x51e8 0x154d32c2 @@ -287270,6 +359088,8 @@ 1 0 0 +3 +4 1 0x26b6 0x3b74a0dc @@ -287279,6 +359099,8 @@ 0 0 2 +0 +2 0xfa6b 0xa8f7e54d 256 @@ -287287,6 +359109,8 @@ 0 0 4 +1 +4 0x4877 0x8e80335b 256 @@ -287294,6 +359118,8 @@ 1 0 0 +0 +3 3 0x945 0x30dba729 @@ -287302,6 +359128,8 @@ 0 0 0 +1 +5 3 0x82f6 0xea380d94 @@ -287310,6 +359138,8 @@ 1 1 0 +5 +4 4 0x4dd8 0xfc48700 @@ -287318,6 +359148,8 @@ 0 0 0 +3 +1 1 0xc0b0 0x346a84c0 @@ -287326,6 +359158,8 @@ 0 0 0 +5 +4 3 0x3332 0x30615970 @@ -287334,6 +359168,8 @@ 1 1 0 +4 +1 1 0x4a96 0xb24179a8 @@ -287342,6 +359178,8 @@ 1 1 0 +1 +5 4 0xf2ce 0x91f1ec15 @@ -287350,6 +359188,8 @@ 0 0 0 +3 +5 4 0x3ff1 0xd98983b0 @@ -287358,6 +359198,8 @@ 1 0 0 +4 +5 1 0x5650 0x66a31086 @@ -287366,6 +359208,8 @@ 0 0 0 +2 +2 4 0x7f3f 0xafd1f7e7 @@ -287374,6 +359218,8 @@ 0 0 0 +0 +0 3 0xc50a 0x127ecfcc @@ -287383,6 +359229,8 @@ 0 0 2 +0 +2 0x5bc4 0x979d2cb 256 @@ -287390,6 +359238,8 @@ 1 0 0 +3 +2 2 0x93a 0x5001ba61 @@ -287398,6 +359248,8 @@ 0 0 0 +4 +5 2 0xe2a 0xe4e435bb @@ -287406,6 +359258,8 @@ 0 0 0 +4 +3 2 0x5bb9 0xa9f8bcdc @@ -287414,6 +359268,8 @@ 1 0 0 +3 +1 4 0x80f1 0x3bde74d7 @@ -287422,6 +359278,8 @@ 1 0 0 +5 +2 3 0x3299 0xd623af0c @@ -287431,6 +359289,8 @@ 1 0 2 +3 +2 0xa510 0x9386c54f 256 @@ -287438,6 +359298,8 @@ 1 0 0 +5 +0 2 0xe90c 0xb03246e @@ -287446,6 +359308,8 @@ 1 1 0 +0 +4 4 0xf9e3 0xac2d95b2 @@ -287454,6 +359318,8 @@ 0 0 0 +5 +1 4 0xe8ab 0x783cffb @@ -287462,6 +359328,8 @@ 0 0 0 +0 +5 2 0x504d 0x4b364e00 @@ -287470,6 +359338,8 @@ 0 0 0 +5 +0 4 0xc3e5 0x1188d90 @@ -287478,6 +359348,8 @@ 0 0 0 +0 +2 2 0xa983 0x6eed5b2d @@ -287486,6 +359358,8 @@ 1 0 0 +3 +5 4 0x1901 0x1b6c3351 @@ -287495,6 +359369,8 @@ 0 0 2 +5 +2 0xa65e 0x98011269 256 @@ -287503,6 +359379,8 @@ 1 0 4 +3 +4 0xd756 0x12a62ec3 256 @@ -287510,6 +359388,8 @@ 1 1 0 +3 +5 2 0xe667 0xa7ad44a3 @@ -287518,6 +359398,8 @@ 1 1 0 +2 +5 3 0x6f23 0xf3b4bd0b @@ -287526,6 +359408,8 @@ 0 0 0 +4 +5 1 0x4259 0xd0de9b3 @@ -287535,6 +359419,8 @@ 1 0 3 +4 +3 0x9f1a 0xa0183bed 256 @@ -287542,6 +359428,8 @@ 0 0 0 +1 +2 2 0x4d11 0x8e004f7c @@ -287550,6 +359438,8 @@ 1 0 0 +5 +4 2 0x4849 0xc8a97c9c @@ -287559,6 +359449,8 @@ 0 0 3 +0 +3 0x7c7a 0x76860dd8 256 @@ -287566,6 +359458,8 @@ 0 0 0 +1 +2 2 0xdf9b 0xab421901 @@ -287574,6 +359468,8 @@ 0 0 0 +0 +3 1 0x4420 0xbc877c1c @@ -287582,6 +359478,8 @@ 0 0 0 +2 +0 3 0x29de 0xcb04ed41 @@ -287590,6 +359488,8 @@ 0 0 0 +5 +2 3 0x6399 0x53a9c83 @@ -287598,6 +359498,8 @@ 1 0 0 +4 +0 2 0x6a32 0xa813a7c9 @@ -287607,6 +359509,8 @@ 0 0 1 +2 +1 0x3a5d 0xcff89332 256 @@ -287614,6 +359518,8 @@ 0 0 0 +5 +0 3 0x3e29 0xc2000a1b @@ -287622,6 +359528,8 @@ 0 0 0 +1 +2 3 0xdf86 0x86f5a0cb @@ -287630,6 +359538,8 @@ 1 0 0 +4 +1 2 0xaecc 0xe0326d7e @@ -287638,6 +359548,8 @@ 0 0 0 +3 +1 4 0x600b 0x1ca33038 @@ -287646,6 +359558,8 @@ 0 0 0 +4 +0 1 0x32cd 0xdf029930 @@ -287654,6 +359568,8 @@ 1 0 0 +0 +2 3 0x31b2 0x69310622 @@ -287662,6 +359578,8 @@ 0 0 0 +0 +2 3 0x726d 0xc6bbb006 @@ -287670,6 +359588,8 @@ 1 0 0 +0 +5 4 0x5263 0x1a9b2e08 @@ -287678,6 +359598,8 @@ 1 0 0 +2 +0 4 0x6ab7 0x379c3406 @@ -287686,6 +359608,8 @@ 0 0 0 +5 +2 4 0x5cda 0x1904ac02 @@ -287694,6 +359618,8 @@ 0 0 0 +5 +0 1 0x28da 0x6be902da @@ -287702,6 +359628,8 @@ 0 0 0 +0 +5 4 0x86e9 0x45aeede4 @@ -287710,6 +359638,8 @@ 0 0 0 +5 +1 2 0x233c 0x4e271982 @@ -287718,6 +359648,8 @@ 0 0 0 +4 +2 3 0x4ce7 0x2180bf9 @@ -287726,6 +359658,8 @@ 0 0 0 +5 +0 4 0x2078 0xa5379edb @@ -287734,6 +359668,8 @@ 0 0 0 +4 +3 3 0x654b 0x487e9856 @@ -287742,6 +359678,8 @@ 0 0 0 +0 +4 4 0x3de4 0xac2bc196 @@ -287750,6 +359688,8 @@ 0 0 0 +1 +5 2 0x858f 0xe0229424 @@ -287758,6 +359698,8 @@ 1 0 0 +2 +1 1 0xe8c 0xd140f2de @@ -287766,6 +359708,8 @@ 0 0 0 +1 +2 2 0x6c9 0x5239cab6 @@ -287775,6 +359719,8 @@ 0 0 1 +0 +1 0x595a 0x2c8989b3 256 @@ -287782,6 +359728,8 @@ 1 1 0 +0 +2 2 0x55d8 0xd84eda05 @@ -287790,6 +359738,8 @@ 0 0 0 +2 +1 3 0x5bad 0x298ba798 @@ -287798,6 +359748,8 @@ 0 0 0 +3 +3 2 0x41b8 0xee2babfd @@ -287806,6 +359758,8 @@ 0 0 0 +0 +0 1 0x6af2 0x8fd05217 @@ -287814,6 +359768,8 @@ 0 0 0 +0 +0 1 0x4cbb 0xcd44fb53 @@ -287822,6 +359778,8 @@ 1 0 0 +0 +4 3 0x4419 0xa498f42f @@ -287830,6 +359788,8 @@ 0 0 0 +0 +2 4 0xb424 0x2e5dbcdf @@ -287838,6 +359798,8 @@ 1 0 0 +4 +2 3 0xce7b 0xef7f227d @@ -287846,6 +359808,8 @@ 1 0 0 +0 +0 2 0xea73 0xd168cff6 @@ -287854,6 +359818,8 @@ 0 0 0 +3 +2 1 0xbfe1 0x3d7e979d @@ -287862,6 +359828,8 @@ 1 1 0 +3 +1 1 0xb078 0x613b597c @@ -287870,6 +359838,8 @@ 0 0 0 +0 +3 4 0x7132 0x3c5cab1 @@ -287878,6 +359848,8 @@ 1 1 0 +5 +5 4 0x4f19 0x3bd667b3 @@ -287886,6 +359858,8 @@ 1 0 0 +1 +1 2 0xecef 0x288d9237 @@ -287895,6 +359869,8 @@ 0 0 2 +5 +2 0xfa15 0x9688ba00 256 @@ -287903,6 +359879,8 @@ 0 0 3 +4 +3 0x221c 0xad23c24e 256 @@ -287911,6 +359889,8 @@ 1 0 2 +5 +2 0xf7cf 0x6cfcac0a 256 @@ -287918,6 +359898,8 @@ 0 0 0 +5 +1 2 0xc20 0xed808093 @@ -287926,6 +359908,8 @@ 0 0 0 +3 +2 4 0x6f1c 0xd1d9ea67 @@ -287934,6 +359918,8 @@ 1 0 0 +1 +3 4 0x81f1 0xeead3cf5 @@ -287942,6 +359928,8 @@ 1 0 0 +5 +4 1 0x4ce 0x67c39714 @@ -287950,6 +359938,8 @@ 1 1 0 +5 +2 3 0x12c2 0x77ad40ac @@ -287958,6 +359948,8 @@ 1 1 0 +2 +2 3 0x8de9 0x7c62704 @@ -287966,6 +359958,8 @@ 1 0 0 +0 +2 1 0xf58d 0x5960a268 @@ -287975,6 +359969,8 @@ 0 0 3 +5 +3 0xc721 0xd3b7fe5a 256 @@ -287982,6 +359978,8 @@ 0 0 0 +3 +5 1 0xed30 0x3f9c24b5 @@ -287990,6 +359988,8 @@ 1 0 0 +5 +1 3 0x3a4f 0x58352431 @@ -287998,6 +359998,8 @@ 0 0 0 +0 +2 1 0x9ad3 0x552f7a5d @@ -288006,6 +360008,8 @@ 0 0 0 +5 +5 2 0xd272 0xccc2fe0a @@ -288014,6 +360018,8 @@ 0 0 0 +5 +4 3 0x9593 0xef889c1d @@ -288022,6 +360028,8 @@ 0 0 0 +3 +4 4 0x283f 0xc2a53e0b @@ -288031,6 +360039,8 @@ 0 0 2 +0 +2 0x992 0x1620beea 256 @@ -288038,6 +360048,8 @@ 0 0 0 +2 +0 4 0x2a6f 0xc2eb9994 @@ -288046,6 +360058,8 @@ 1 0 0 +1 +0 3 0xf0fc 0x83876847 @@ -288054,6 +360068,8 @@ 0 0 0 +0 +5 2 0x21 0xdff66ae8 @@ -288062,6 +360078,8 @@ 1 0 0 +3 +5 4 0x275c 0x677d8991 @@ -288070,6 +360088,8 @@ 1 1 0 +2 +1 3 0x8956 0x427aef1 @@ -288078,6 +360098,8 @@ 1 0 0 +2 +4 1 0x27e1 0x5d1130da @@ -288086,6 +360108,8 @@ 1 0 0 +3 +0 2 0x721f 0xa6b48337 @@ -288094,6 +360118,8 @@ 1 1 0 +3 +5 2 0x8e9a 0x80d276c2 @@ -288103,6 +360129,8 @@ 0 0 3 +2 +3 0x307a 0x56b8b179 256 @@ -288110,6 +360138,8 @@ 1 0 0 +2 +0 3 0xbe07 0xd4c31ff6 @@ -288118,6 +360148,8 @@ 1 1 0 +1 +4 4 0x22c1 0xf2c439a4 @@ -288126,6 +360158,8 @@ 1 0 0 +0 +2 3 0x7afa 0x5fb62719 @@ -288135,6 +360169,8 @@ 0 0 1 +0 +1 0x5600 0x590ed99f 256 @@ -288142,6 +360178,8 @@ 1 0 0 +1 +0 2 0x1d0c 0x428c0edb @@ -288150,6 +360188,8 @@ 1 0 0 +1 +1 2 0x578a 0xffdbebc3 @@ -288158,6 +360198,8 @@ 0 0 0 +0 +2 2 0x1e69 0x6e68bef3 @@ -288166,6 +360208,8 @@ 0 0 0 +0 +1 2 0x5c27 0x1ced4e3d @@ -288174,6 +360218,8 @@ 0 0 0 +4 +3 2 0x8f0e 0xcf82b98f @@ -288182,6 +360228,8 @@ 0 0 0 +1 +4 3 0x650c 0xed304747 @@ -288190,6 +360238,8 @@ 0 0 0 +5 +1 2 0x152f 0x778e1241 @@ -288198,6 +360248,8 @@ 0 0 0 +0 +5 3 0x5446 0xa855cb63 @@ -288206,6 +360258,8 @@ 1 0 0 +4 +1 3 0x9a8 0xfb232fa1 @@ -288214,6 +360268,8 @@ 0 0 0 +5 +4 3 0xc121 0xdc605b2e @@ -288223,6 +360279,8 @@ 0 0 3 +3 +3 0x12e8 0x60d6594c 256 @@ -288230,6 +360288,8 @@ 1 0 0 +3 +4 2 0x6166 0xf5c52f7 @@ -288238,6 +360298,8 @@ 1 1 0 +2 +3 3 0x76bc 0x44d547f2 @@ -288246,6 +360308,8 @@ 1 0 0 +2 +2 4 0x136f 0xbc62ed36 @@ -288254,6 +360318,8 @@ 1 1 0 +2 +0 3 0x7b0c 0xf9142097 @@ -288262,6 +360328,8 @@ 0 0 0 +4 +2 1 0xe530 0xe3cdc781 @@ -288270,6 +360338,8 @@ 1 1 0 +4 +3 1 0xd64a 0xae136d0 @@ -288278,6 +360348,8 @@ 1 1 0 +4 +3 2 0x959 0x830896cd @@ -288286,6 +360358,8 @@ 1 0 0 +1 +3 3 0x3a1a 0x6eab550e @@ -288294,6 +360368,8 @@ 0 0 0 +0 +3 1 0xcbc9 0xc182a50 @@ -288302,6 +360378,8 @@ 1 1 0 +5 +1 1 0x8dfd 0xf8e9c9ed @@ -288311,6 +360389,8 @@ 1 0 4 +0 +4 0xc50a 0x18bfe5c4 256 @@ -288318,6 +360398,8 @@ 0 0 0 +0 +4 1 0x1f00 0x779064a4 @@ -288327,6 +360409,8 @@ 0 0 3 +1 +3 0x962 0x69751399 256 @@ -288334,6 +360418,8 @@ 0 0 0 +5 +1 3 0xc532 0xe2273c1a @@ -288342,6 +360428,8 @@ 1 1 0 +2 +0 4 0xd255 0x7dea4157 @@ -288350,6 +360438,8 @@ 1 0 0 +4 +2 3 0xc981 0xecdb9a93 @@ -288358,6 +360448,8 @@ 0 0 0 +2 +5 4 0xcceb 0xac81d0a4 @@ -288366,6 +360458,8 @@ 1 1 0 +4 +1 2 0x6b1e 0x1cb77ea5 @@ -288375,6 +360469,8 @@ 0 0 3 +2 +3 0xd839 0xea684b98 256 @@ -288382,6 +360478,8 @@ 0 0 0 +3 +5 4 0x3af2 0xdd81af19 @@ -288390,6 +360488,8 @@ 0 0 0 +2 +2 3 0x21d 0x46090553 @@ -288398,6 +360498,8 @@ 0 0 0 +0 +4 4 0xed4d 0x3c9d2bb @@ -288407,6 +360509,8 @@ 1 0 4 +4 +4 0xb6a 0x7fdf7770 256 @@ -288415,6 +360519,8 @@ 1 0 3 +5 +3 0xc1be 0xdc2cdaf3 256 @@ -288422,6 +360528,8 @@ 1 0 0 +4 +0 2 0xb02f 0x1057972c @@ -288430,6 +360538,8 @@ 1 0 0 +0 +5 3 0xd1dd 0xbaeaf377 @@ -288439,6 +360549,8 @@ 0 0 4 +2 +4 0x330 0xf55521ba 256 @@ -288447,6 +360559,8 @@ 1 0 1 +2 +1 0x7493 0x6a24c07b 256 @@ -288454,6 +360568,8 @@ 0 0 0 +4 +5 1 0x4a41 0x223e0e46 @@ -288462,6 +360578,8 @@ 1 0 0 +5 +0 3 0xeb95 0xbc3a827a @@ -288470,6 +360588,8 @@ 1 1 0 +1 +4 2 0xb1a0 0xdfe938e4 @@ -288479,6 +360599,8 @@ 0 0 2 +0 +2 0xb1f3 0xed9acb82 256 @@ -288487,6 +360609,8 @@ 1 0 2 +2 +2 0x60d0 0xa9c6c02b 256 @@ -288495,6 +360619,8 @@ 0 0 1 +4 +1 0x88a1 0x56d390d 256 @@ -288502,6 +360628,8 @@ 0 0 0 +4 +3 2 0x98bd 0xb76cf588 @@ -288511,6 +360639,8 @@ 0 0 3 +4 +3 0xf401 0x5e2c0ffb 256 @@ -288518,6 +360648,8 @@ 0 0 0 +5 +0 2 0x8157 0x687e356c @@ -288526,6 +360658,8 @@ 0 0 0 +5 +3 4 0xe78b 0x236d1c28 @@ -288534,6 +360668,8 @@ 0 0 0 +1 +0 2 0x4d0f 0x27cfb26d @@ -288542,6 +360678,8 @@ 0 0 0 +4 +1 3 0x446f 0x8cb51b81 @@ -288550,6 +360688,8 @@ 1 1 0 +0 +3 3 0xb989 0x654e9052 @@ -288558,6 +360698,8 @@ 0 0 0 +4 +5 3 0x82d 0xe56a6649 @@ -288566,6 +360708,8 @@ 0 0 0 +1 +2 2 0x9d53 0x433dfdae @@ -288574,6 +360718,8 @@ 1 0 0 +3 +5 2 0xd6eb 0xbc5ebba3 @@ -288582,6 +360728,8 @@ 1 1 0 +0 +1 1 0x4439 0xe0ecc999 @@ -288590,6 +360738,8 @@ 1 0 0 +0 +2 1 0xced2 0x853ac0a5 @@ -288598,6 +360748,8 @@ 0 0 0 +2 +0 4 0x179d 0x827d8a01 @@ -288606,6 +360758,8 @@ 1 0 0 +2 +4 4 0xcade 0xd3860e86 @@ -288614,6 +360768,8 @@ 1 0 0 +5 +5 1 0xef09 0xd138f354 @@ -288622,6 +360778,8 @@ 1 0 0 +5 +0 3 0x8a61 0xda102e07 @@ -288631,6 +360789,8 @@ 0 0 3 +3 +3 0x8f8d 0x84dfd1d7 256 @@ -288638,6 +360798,8 @@ 0 0 0 +2 +1 1 0x7bbd 0xde69ccd9 @@ -288646,6 +360808,8 @@ 0 0 0 +1 +4 4 0x3308 0x3e09e8e7 @@ -288654,6 +360818,8 @@ 0 0 0 +4 +0 3 0xf411 0x7b6c670e @@ -288662,6 +360828,8 @@ 0 0 0 +4 +1 2 0xb867 0x898b4f3 @@ -288670,6 +360838,8 @@ 1 1 0 +2 +2 4 0xb792 0xc94798ce @@ -288678,6 +360848,8 @@ 0 0 0 +5 +5 1 0xc196 0x57969b80 @@ -288686,6 +360858,8 @@ 1 1 0 +4 +4 2 0x8ddd 0x81e86b85 @@ -288694,6 +360868,8 @@ 0 0 0 +5 +3 4 0xdb2a 0x154c2e6a @@ -288702,6 +360878,8 @@ 1 0 0 +2 +5 4 0xcbec 0x19394b58 @@ -288710,6 +360888,8 @@ 1 0 0 +4 +1 3 0x7e38 0x39119656 @@ -288718,6 +360898,8 @@ 0 0 0 +4 +0 3 0x8b6e 0xc8ffe598 @@ -288726,6 +360908,8 @@ 0 0 0 +2 +3 1 0x85e0 0x194f9ffd @@ -288734,6 +360918,8 @@ 0 0 0 +4 +1 2 0x4d07 0x7c7de213 @@ -288742,6 +360928,8 @@ 0 0 0 +4 +3 2 0xf20 0x1359cb9e @@ -288751,6 +360939,8 @@ 0 0 2 +5 +2 0xf360 0x30fd5bd4 256 @@ -288758,6 +360948,8 @@ 1 1 0 +1 +0 2 0xb258 0xbddd1ae7 @@ -288766,6 +360958,8 @@ 0 0 0 +3 +5 4 0xe8e2 0x18a815fd @@ -288774,6 +360968,8 @@ 1 0 0 +4 +0 2 0xe720 0x85066b69 @@ -288782,6 +360978,8 @@ 0 0 0 +1 +5 3 0xa62c 0x4b446182 @@ -288790,6 +360988,8 @@ 0 0 0 +1 +4 2 0x1f83 0x31d87142 @@ -288798,6 +360998,8 @@ 0 0 0 +5 +1 4 0xa2c0 0xd81d47b3 @@ -288806,6 +361008,8 @@ 0 0 0 +3 +0 4 0x64fa 0x3b06c5ed @@ -288814,6 +361018,8 @@ 1 1 0 +0 +0 4 0x58b2 0xa683b4ad @@ -288823,6 +361029,8 @@ 0 0 3 +1 +3 0x7503 0x3cff755e 256 @@ -288830,6 +361038,8 @@ 1 0 0 +1 +0 4 0xbaa1 0xc6621ff1 @@ -288839,6 +361049,8 @@ 0 0 1 +0 +1 0xa468 0x7c1a0e30 256 @@ -288847,6 +361059,8 @@ 0 0 2 +2 +2 0x1924 0x1933986f 256 @@ -288854,6 +361068,8 @@ 0 0 0 +4 +5 1 0xfdbb 0xebf7909c @@ -288862,6 +361078,8 @@ 1 1 0 +2 +5 1 0x1e29 0x2796526d @@ -288870,6 +361088,8 @@ 0 0 0 +0 +1 4 0xb45e 0x7b38d119 @@ -288878,6 +361098,8 @@ 1 1 0 +1 +4 4 0x3f56 0x1fb5f26f @@ -288887,6 +361109,8 @@ 0 0 3 +5 +3 0x1836 0x294dafd8 256 @@ -288894,6 +361118,8 @@ 1 1 0 +5 +4 3 0xa1bd 0x6649adfa @@ -288902,6 +361128,8 @@ 0 0 0 +2 +2 4 0x9887 0xc7f0b65a @@ -288910,6 +361138,8 @@ 1 1 0 +5 +0 4 0x8f7c 0x919cbc37 @@ -288918,6 +361148,8 @@ 0 0 0 +4 +2 2 0x2414 0x44e65255 @@ -288926,6 +361158,8 @@ 1 1 0 +5 +3 1 0xfa96 0x3bc058e9 @@ -288934,6 +361168,8 @@ 1 1 0 +4 +1 2 0x649c 0x2262f1a5 @@ -288942,6 +361178,8 @@ 0 0 0 +5 +0 4 0x3474 0xf84e49c6 @@ -288950,6 +361188,8 @@ 1 0 0 +5 +3 3 0x300c 0xd779474b @@ -288958,6 +361198,8 @@ 1 1 0 +2 +3 3 0x1e28 0x8abb019e @@ -288966,6 +361208,8 @@ 1 1 0 +2 +1 4 0xce38 0x4b77552b @@ -288975,6 +361219,8 @@ 0 0 3 +2 +3 0x4ea4 0xd54f8b64 256 @@ -288982,6 +361228,8 @@ 1 1 0 +5 +1 4 0x2320 0x6b3086a6 @@ -288990,6 +361238,8 @@ 1 0 0 +4 +0 3 0xadae 0x53362db0 @@ -288998,6 +361248,8 @@ 0 0 0 +3 +2 4 0x742f 0x638acff4 @@ -289006,6 +361258,8 @@ 0 0 0 +2 +5 1 0x695 0x38a96839 @@ -289015,6 +361269,8 @@ 1 0 4 +0 +4 0x9e2d 0xaa832013 256 @@ -289022,6 +361278,8 @@ 1 0 0 +0 +1 4 0xd69d 0x9a11f0d5 @@ -289030,6 +361288,8 @@ 0 0 0 +3 +4 2 0x1e8a 0xba4f285e @@ -289038,6 +361298,8 @@ 0 0 0 +2 +3 3 0x9395 0x1b5af791 @@ -289046,6 +361308,8 @@ 1 1 0 +4 +0 1 0x2af3 0xbcd78a0c @@ -289054,6 +361318,8 @@ 1 0 0 +4 +3 3 0xeb76 0x6670da18 @@ -289062,6 +361328,8 @@ 1 0 0 +5 +2 1 0xfa06 0xc52a37fc @@ -289071,6 +361339,8 @@ 0 0 2 +2 +2 0xd119 0xab00a859 256 @@ -289078,6 +361348,8 @@ 0 0 0 +5 +3 2 0xaab7 0xbd909890 @@ -289086,6 +361358,8 @@ 0 0 0 +2 +2 3 0xcdc1 0xd8201e1d @@ -289094,6 +361368,8 @@ 1 0 0 +1 +0 3 0xa773 0x9227cf17 @@ -289102,6 +361378,8 @@ 1 1 0 +2 +4 3 0xa259 0xd23b30bb @@ -289110,6 +361388,8 @@ 1 0 0 +1 +0 4 0x4794 0xc63cb2e0 @@ -289118,6 +361398,8 @@ 0 0 0 +4 +1 1 0xf1ee 0x5ece59db @@ -289126,6 +361408,8 @@ 1 0 0 +3 +3 1 0xe6d 0x94ce6f35 @@ -289134,6 +361418,8 @@ 1 1 0 +5 +0 4 0x75a4 0x61bf454 @@ -289143,6 +361429,8 @@ 1 0 3 +2 +3 0xd377 0xc082a8af 256 @@ -289150,6 +361438,8 @@ 0 0 0 +0 +3 2 0x4750 0x26150899 @@ -289158,6 +361448,8 @@ 0 0 0 +1 +1 2 0xfc25 0xa58bc721 @@ -289166,6 +361458,8 @@ 1 0 0 +5 +3 3 0xe00f 0x1d3d7382 @@ -289174,6 +361468,8 @@ 1 0 0 +4 +4 1 0xb0cc 0xf6d5319d @@ -289182,6 +361478,8 @@ 0 0 0 +3 +4 2 0x62ce 0x9b95c3fb @@ -289191,6 +361489,8 @@ 0 0 3 +4 +3 0xe83a 0xd9bd501 256 @@ -289198,6 +361498,8 @@ 0 0 0 +5 +4 4 0x9091 0x97a1d487 @@ -289206,6 +361508,8 @@ 0 0 0 +0 +5 1 0xebb7 0xec779fd9 @@ -289214,6 +361518,8 @@ 0 0 0 +1 +2 3 0xdb7e 0x56797c35 @@ -289222,6 +361528,8 @@ 1 0 0 +1 +1 4 0x4125 0x84f9eea2 @@ -289230,6 +361538,8 @@ 1 1 0 +4 +0 2 0x84f 0xa25115e @@ -289238,6 +361548,8 @@ 0 0 0 +3 +2 4 0x7ef1 0x3a05df9f @@ -289246,6 +361558,8 @@ 1 0 0 +3 +5 2 0xdb84 0xd14b8e8 @@ -289254,6 +361568,8 @@ 1 1 0 +3 +1 2 0xa147 0xcc5fb503 @@ -289262,6 +361578,8 @@ 0 0 0 +4 +3 3 0xeab8 0xb7e7f0f3 @@ -289271,6 +361589,8 @@ 0 0 1 +5 +1 0x23d1 0xe141881d 256 @@ -289279,6 +361599,8 @@ 1 0 4 +1 +4 0xed42 0xc1ba5167 256 @@ -289286,6 +361608,8 @@ 0 0 0 +3 +2 4 0xa1ae 0x185d676c @@ -289295,6 +361619,8 @@ 1 0 3 +3 +3 0xbaea 0x1e49fbef 256 @@ -289302,6 +361628,8 @@ 0 0 0 +4 +3 2 0x72fb 0x88a08c51 @@ -289310,6 +361638,8 @@ 0 0 0 +5 +4 3 0x7aa8 0xafd21934 @@ -289318,6 +361648,8 @@ 1 1 0 +4 +2 2 0xb5f9 0x1603d634 @@ -289326,6 +361658,8 @@ 1 0 0 +3 +4 2 0x5c8e 0x3888e0b4 @@ -289335,6 +361669,8 @@ 0 0 1 +1 +1 0xe9c6 0x874ac7a8 256 @@ -289342,6 +361678,8 @@ 0 0 0 +4 +2 1 0xb017 0x9913e265 @@ -289350,6 +361688,8 @@ 1 1 0 +2 +5 1 0xbd65 0x50891074 @@ -289358,6 +361698,8 @@ 1 1 0 +5 +4 4 0x4443 0x79243d98 @@ -289366,6 +361708,8 @@ 0 0 0 +4 +4 3 0x5e73 0xc0ee5b75 @@ -289374,6 +361718,8 @@ 1 0 0 +4 +1 1 0xa62e 0xae336d52 @@ -289382,6 +361728,8 @@ 0 0 0 +0 +3 4 0xfa18 0xe9a1e11d @@ -289390,6 +361738,8 @@ 1 1 0 +0 +5 1 0x57e7 0x65788d44 @@ -289398,6 +361748,8 @@ 0 0 0 +5 +5 3 0x38f6 0xda17ec3a @@ -289406,6 +361758,8 @@ 0 0 0 +4 +2 1 0xbb4e 0xf945f80d @@ -289414,6 +361768,8 @@ 1 0 0 +5 +0 3 0x5e72 0x5fdce19e @@ -289422,6 +361778,8 @@ 1 1 0 +3 +5 2 0xf0fe 0xda71385c @@ -289430,6 +361788,8 @@ 1 1 0 +3 +3 4 0x6035 0x2b28e8db @@ -289438,6 +361798,8 @@ 1 0 0 +0 +4 3 0xfe74 0xa415eeb0 @@ -289446,6 +361808,8 @@ 1 1 0 +0 +3 2 0xd0d4 0xb54fca36 @@ -289454,6 +361818,8 @@ 1 1 0 +5 +5 4 0x62b2 0x28c7fd66 @@ -289462,6 +361828,8 @@ 1 0 0 +5 +0 4 0x3cf7 0x6be7baf7 @@ -289470,6 +361838,8 @@ 1 0 0 +1 +1 4 0x1e15 0x12a5bf9d @@ -289478,6 +361848,8 @@ 0 0 0 +1 +0 2 0x56ea 0x79f03b35 @@ -289486,6 +361858,8 @@ 1 1 0 +1 +5 4 0xf2b4 0xcf027b22 @@ -289494,6 +361868,8 @@ 0 0 0 +5 +4 3 0x642b 0xfac25c73 @@ -289502,7 +361878,9 @@ 0 0 0 -2 +4 +3 +2 0x7275 0x816f99b7 256 @@ -289511,6 +361889,8 @@ 0 0 2 +4 +2 0x5232 0x16ac9666 256 @@ -289518,6 +361898,8 @@ 0 0 0 +1 +0 2 0x613f 0xcefb46d7 @@ -289526,6 +361908,8 @@ 1 1 0 +4 +3 1 0x140b 0x57c49f61 @@ -289534,6 +361918,8 @@ 1 1 0 +5 +4 3 0xb1fc 0xad9193b6 @@ -289542,6 +361928,8 @@ 0 0 0 +0 +5 1 0x5df9 0x99d15a84 @@ -289550,6 +361938,8 @@ 1 1 0 +0 +2 2 0x57f7 0x7c631b3f @@ -289558,6 +361948,8 @@ 1 0 0 +3 +2 4 0x2289 0xf5b7f908 @@ -289567,6 +361959,8 @@ 0 0 1 +3 +1 0xbb45 0xc1161366 256 @@ -289575,6 +361969,8 @@ 1 0 1 +4 +1 0xd910 0x1a732ea1 256 @@ -289582,6 +361978,8 @@ 0 0 0 +5 +4 2 0x8a1d 0xb94e744b @@ -289590,6 +361988,8 @@ 1 0 0 +4 +5 2 0xab39 0x9384d6fc @@ -289598,6 +361998,8 @@ 0 0 0 +4 +5 3 0xfad2 0x48b88b1f @@ -289606,6 +362008,8 @@ 1 0 0 +0 +4 3 0x9dbf 0xa4a11510 @@ -289614,6 +362018,8 @@ 1 0 0 +1 +5 3 0xa79e 0xeb7097e8 @@ -289622,6 +362028,8 @@ 1 1 0 +4 +0 2 0xb2ae 0x1b5ba846 @@ -289630,6 +362038,8 @@ 0 0 0 +4 +5 1 0x92c 0xd7ff92e9 @@ -289638,6 +362048,8 @@ 0 0 0 +3 +1 4 0x9c2a 0xdad06c72 @@ -289646,6 +362058,8 @@ 0 0 0 +4 +1 2 0xb96 0x4dadc737 @@ -289655,6 +362069,8 @@ 0 0 1 +2 +1 0x5222 0x6382939a 256 @@ -289662,6 +362078,8 @@ 1 0 0 +5 +3 1 0x7f82 0x5fc217da @@ -289670,6 +362088,8 @@ 0 0 0 +5 +3 4 0xca7c 0xc7a8c779 @@ -289678,6 +362098,8 @@ 1 0 0 +0 +1 4 0x4f68 0x47cb0097 @@ -289686,6 +362108,8 @@ 1 1 0 +2 +4 3 0x4633 0x9815c91 @@ -289694,6 +362118,8 @@ 1 0 0 +3 +0 4 0x8371 0x415af77b @@ -289702,6 +362128,8 @@ 1 0 0 +5 +1 3 0xa482 0x23dcb554 @@ -289710,6 +362138,8 @@ 0 0 0 +4 +0 3 0xc414 0x47421f0e @@ -289718,6 +362148,8 @@ 0 0 0 +2 +2 3 0x60f9 0x9722d43a @@ -289726,6 +362158,8 @@ 0 0 0 +4 +5 1 0x60c9 0x662d8e9a @@ -289734,6 +362168,8 @@ 0 0 0 +2 +3 1 0x6333 0xfa7705ea @@ -289742,6 +362178,8 @@ 0 0 0 +0 +3 1 0x6f4e 0x28a6a72a @@ -289750,6 +362188,8 @@ 1 0 0 +5 +2 4 0xc55d 0xb7e49386 @@ -289758,6 +362198,8 @@ 1 1 0 +2 +2 1 0x7214 0xecb91b95 @@ -289766,6 +362208,8 @@ 1 1 0 +2 +2 3 0xd9d5 0x2879d2bf @@ -289774,6 +362218,8 @@ 0 0 0 +4 +0 2 0x2b3b 0x395877b1 @@ -289782,6 +362228,8 @@ 0 0 0 +3 +1 1 0xc2b3 0x3c834dd9 @@ -289790,6 +362238,8 @@ 0 0 0 +5 +3 2 0x3b64 0xd9bb4245 @@ -289798,6 +362248,8 @@ 0 0 0 +1 +0 3 0x6638 0x9329ee16 @@ -289806,6 +362258,8 @@ 1 1 0 +4 +2 1 0x6146 0xb8f8131f @@ -289815,6 +362269,8 @@ 1 0 1 +4 +1 0x33d5 0x5ea0fd4a 256 @@ -289822,6 +362278,8 @@ 1 1 0 +3 +4 4 0x808d 0x48d0b9c0 @@ -289830,6 +362288,8 @@ 0 0 0 +2 +1 3 0x4b13 0xebd80bd1 @@ -289838,6 +362298,8 @@ 1 1 0 +0 +5 3 0xf0a7 0x400ddc1c @@ -289846,6 +362308,8 @@ 1 0 0 +5 +0 2 0x4562 0x5b4a034d @@ -289854,6 +362318,8 @@ 1 1 0 +2 +5 1 0x7a04 0xcf813187 @@ -289862,6 +362328,8 @@ 0 0 0 +5 +5 4 0x6ab8 0x4316264e @@ -289870,6 +362338,8 @@ 1 1 0 +3 +2 2 0x4c1 0xdfdfb7df @@ -289879,6 +362349,8 @@ 0 0 2 +5 +2 0x3302 0xb2399495 256 @@ -289886,6 +362358,8 @@ 1 0 0 +2 +0 4 0xde1 0xa338c055 @@ -289894,6 +362368,8 @@ 1 0 0 +5 +3 1 0x55ed 0x83122406 @@ -289902,6 +362378,8 @@ 1 1 0 +0 +5 1 0x78ca 0xe56bfe56 @@ -289910,6 +362388,8 @@ 1 1 0 +1 +5 2 0x1ecd 0x39d27ee8 @@ -289918,6 +362398,8 @@ 0 0 0 +0 +3 2 0xeda1 0x4412b1f4 @@ -289926,6 +362408,8 @@ 1 0 0 +3 +5 2 0x8d73 0x4a3daa77 @@ -289934,6 +362418,8 @@ 1 1 0 +2 +2 4 0xc692 0xe49c7666 @@ -289942,6 +362428,8 @@ 0 0 0 +3 +0 2 0xb0e2 0xf69819b6 @@ -289950,6 +362438,8 @@ 0 0 0 +4 +0 3 0x4447 0x3c4d1849 @@ -289958,6 +362448,8 @@ 1 0 0 +2 +4 4 0xfacf 0x361ae25a @@ -289966,6 +362458,8 @@ 0 0 0 +4 +1 1 0x7d55 0x12fc61f9 @@ -289975,6 +362469,8 @@ 0 0 4 +3 +4 0x7797 0xe46df286 256 @@ -289982,6 +362478,8 @@ 0 0 0 +2 +5 1 0xe6f8 0x2ba752e8 @@ -289991,6 +362489,8 @@ 0 0 1 +3 +1 0xa6bb 0x9452c670 256 @@ -289998,6 +362498,8 @@ 1 1 0 +1 +1 3 0x6db5 0xf3106e8c @@ -290006,6 +362508,8 @@ 0 0 0 +3 +5 4 0xe628 0xf2d98a74 @@ -290014,6 +362518,8 @@ 1 1 0 +4 +1 1 0xfc5e 0x7861f282 @@ -290022,6 +362528,8 @@ 1 1 0 +2 +0 4 0x3345 0x895b444c @@ -290030,6 +362538,8 @@ 0 0 0 +1 +5 4 0x7eb7 0x683eb504 @@ -290038,6 +362548,8 @@ 1 1 0 +5 +0 1 0xf2ee 0x7dff946e @@ -290047,6 +362559,8 @@ 0 0 1 +5 +1 0x6d88 0xc0caa5e2 256 @@ -290054,6 +362568,8 @@ 1 1 0 +3 +1 4 0xb2ca 0x756e4853 @@ -290063,6 +362579,8 @@ 0 0 2 +5 +2 0xfc37 0x1740f3e9 256 @@ -290070,6 +362588,8 @@ 0 0 0 +4 +4 3 0x54fb 0x72fb0bf6 @@ -290078,6 +362598,8 @@ 1 0 0 +1 +5 4 0xb93e 0x7d21ee6b @@ -290086,6 +362608,8 @@ 0 0 0 +0 +5 1 0x5aaa 0xfe393b39 @@ -290094,6 +362618,8 @@ 1 1 0 +2 +2 3 0x1d20 0x5c55ac4a @@ -290102,6 +362628,8 @@ 0 0 0 +5 +1 1 0x526c 0x5b14601f @@ -290110,6 +362638,8 @@ 0 0 0 +4 +0 3 0x64af 0xe6e81f80 @@ -290118,6 +362648,8 @@ 1 0 0 +4 +2 1 0x82d9 0x3d0e0b72 @@ -290126,6 +362658,8 @@ 0 0 0 +1 +2 2 0xb40a 0xa28885f @@ -290134,6 +362668,8 @@ 0 0 0 +2 +1 1 0x6be7 0x6f6e7085 @@ -290142,6 +362678,8 @@ 0 0 0 +4 +5 3 0x6f58 0x1c5cb5c8 @@ -290150,6 +362688,8 @@ 1 0 0 +3 +1 1 0xcd39 0x18babffa @@ -290158,6 +362698,8 @@ 0 0 0 +5 +5 3 0xd770 0xf1a0dcd9 @@ -290166,6 +362708,8 @@ 0 0 0 +0 +0 2 0xbdd7 0xbabaa09a @@ -290174,6 +362718,8 @@ 0 0 0 +1 +2 4 0x63f4 0x28f0e528 @@ -290182,6 +362728,8 @@ 0 0 0 +0 +2 2 0xbe5d 0x36e9651a @@ -290190,6 +362738,8 @@ 0 0 0 +2 +0 4 0xac14 0xd4cb5494 @@ -290198,6 +362748,8 @@ 0 0 0 +2 +1 4 0x95fe 0xd62c190f @@ -290206,6 +362758,8 @@ 1 1 0 +5 +0 4 0x93c8 0x1060f0c2 @@ -290214,6 +362768,8 @@ 1 1 0 +2 +5 3 0xa570 0x5cb3769e @@ -290222,6 +362778,8 @@ 1 1 0 +0 +0 4 0x342d 0x7f45dadd @@ -290230,6 +362788,8 @@ 1 0 0 +1 +5 3 0x486f 0xb7494339 @@ -290238,6 +362798,8 @@ 0 0 0 +3 +2 4 0x7e55 0x7643797 @@ -290246,6 +362808,8 @@ 0 0 0 +0 +4 1 0xc67c 0x6a2fd586 @@ -290254,6 +362818,8 @@ 1 1 0 +5 +1 2 0x6130 0x2877883c @@ -290262,6 +362828,8 @@ 1 1 0 +3 +2 1 0xa3d2 0x1dfde0e2 @@ -290270,6 +362838,8 @@ 1 1 0 +0 +2 3 0x86f5 0xd75852fd @@ -290278,6 +362848,8 @@ 1 1 0 +5 +2 2 0xd880 0x48936d76 @@ -290286,6 +362858,8 @@ 1 1 0 +5 +0 1 0x27c7 0xd79ab936 @@ -290294,6 +362868,8 @@ 0 0 0 +5 +2 4 0xf9f2 0xff66694f @@ -290302,6 +362878,8 @@ 1 0 0 +2 +4 4 0x5320 0xf8f81efb @@ -290310,6 +362888,8 @@ 0 0 0 +4 +1 1 0x3d64 0xfc87de06 @@ -290318,6 +362898,8 @@ 1 0 0 +4 +5 3 0x25f 0x96e0eba1 @@ -290326,6 +362908,8 @@ 1 0 0 +2 +5 3 0x178e 0x67338fdd @@ -290334,6 +362918,8 @@ 0 0 0 +2 +2 3 0xca2b 0x7a016488 @@ -290342,6 +362928,8 @@ 1 0 0 +1 +2 4 0xcc22 0x996d8d92 @@ -290351,6 +362939,8 @@ 0 0 2 +0 +2 0x5b15 0x3c007d7e 256 @@ -290358,6 +362948,8 @@ 0 0 0 +4 +5 2 0x2ca5 0x782185cc @@ -290366,6 +362958,8 @@ 1 1 0 +5 +2 4 0x5448 0x1ed411a6 @@ -290374,6 +362968,8 @@ 1 0 0 +0 +3 4 0x9550 0x1b1857cd @@ -290383,6 +362979,8 @@ 0 0 1 +4 +1 0x8333 0xab73b418 256 @@ -290390,6 +362988,8 @@ 1 0 0 +0 +1 2 0xb1e2 0xc1ab838 @@ -290398,6 +362998,8 @@ 1 0 0 +4 +2 2 0xf336 0xd871b387 @@ -290406,6 +363008,8 @@ 0 0 0 +2 +0 3 0x7edf 0x69ec86ee @@ -290414,6 +363018,8 @@ 1 0 0 +4 +0 1 0xe860 0x9946b454 @@ -290422,6 +363028,8 @@ 0 0 0 +4 +4 3 0x61e1 0x76dd75ce @@ -290430,6 +363038,8 @@ 1 0 0 +1 +5 4 0xc686 0xa13eb6b7 @@ -290438,6 +363048,8 @@ 0 0 0 +0 +3 4 0xf087 0xce8c3757 @@ -290446,6 +363058,8 @@ 0 0 0 +0 +0 1 0xa2be 0x70570990 @@ -290454,6 +363068,8 @@ 0 0 0 +3 +4 2 0x5d84 0x9cc0ac3a @@ -290462,6 +363078,8 @@ 1 1 0 +2 +3 1 0x8c7d 0xcc3e8b56 @@ -290470,6 +363088,8 @@ 0 0 0 +4 +3 3 0x3cfd 0xcf5506fd @@ -290478,6 +363098,8 @@ 1 0 0 +3 +1 4 0xe06a 0xbb20cb6d @@ -290487,6 +363109,8 @@ 0 0 4 +4 +4 0x4a8e 0x3bc61781 256 @@ -290495,6 +363119,8 @@ 1 0 3 +4 +3 0x18b9 0x65cb3837 256 @@ -290503,6 +363129,8 @@ 0 0 3 +4 +3 0x74a7 0x5d37f4ad 256 @@ -290510,6 +363138,8 @@ 1 1 0 +3 +2 1 0xda0a 0xba646149 @@ -290518,6 +363148,8 @@ 1 0 0 +4 +2 1 0x837c 0xf6d5ef67 @@ -290526,6 +363158,8 @@ 1 1 0 +5 +0 1 0x101f 0x3595c1e9 @@ -290534,6 +363168,8 @@ 0 0 0 +3 +2 1 0x71ba 0x9a4ccb17 @@ -290542,6 +363178,8 @@ 0 0 0 +1 +2 3 0xa4d9 0x521df2a2 @@ -290550,6 +363188,8 @@ 1 1 0 +0 +2 1 0x7692 0x76ac4f32 @@ -290558,6 +363198,8 @@ 1 1 0 +0 +0 2 0x6fe2 0xcfeabb94 @@ -290566,6 +363208,8 @@ 1 1 0 +4 +2 3 0xe7c3 0x59a06125 @@ -290574,6 +363218,8 @@ 1 1 0 +2 +5 4 0x9558 0x213a437b @@ -290583,6 +363229,8 @@ 0 0 4 +3 +4 0x8905 0x19178b23 256 @@ -290591,6 +363239,8 @@ 0 0 4 +5 +4 0x8c1d 0x916834b 256 @@ -290598,6 +363248,8 @@ 0 0 0 +1 +5 2 0xca2b 0x51f55398 @@ -290606,6 +363258,8 @@ 0 0 0 +3 +3 1 0x254c 0x699b9c05 @@ -290614,6 +363268,8 @@ 1 0 0 +2 +5 4 0x33c1 0x878f2e70 @@ -290622,6 +363278,8 @@ 1 0 0 +4 +0 2 0xa8ee 0x36ddbc8 @@ -290630,6 +363288,8 @@ 0 0 0 +0 +5 1 0x94dc 0x5cc3d182 @@ -290638,6 +363298,8 @@ 1 1 0 +1 +0 2 0x52b1 0x37a01d2c @@ -290647,6 +363309,8 @@ 0 0 4 +1 +4 0x373 0xb2f133d0 256 @@ -290655,6 +363319,8 @@ 1 0 2 +3 +2 0x41ee 0x799b3231 256 @@ -290662,6 +363328,8 @@ 0 0 0 +1 +0 4 0xba8d 0x4ecd438a @@ -290670,6 +363338,8 @@ 0 0 0 +5 +2 4 0x975b 0x65c2aa3c @@ -290679,6 +363349,8 @@ 0 0 1 +2 +1 0x4ddf 0x3ee5f3a4 256 @@ -290686,6 +363358,8 @@ 0 0 0 +3 +3 1 0xd9f2 0xb7c504d2 @@ -290694,6 +363368,8 @@ 1 1 0 +0 +2 4 0xa96d 0xc07970c1 @@ -290702,6 +363378,8 @@ 1 0 0 +0 +3 2 0xda94 0x6b977162 @@ -290710,6 +363388,8 @@ 0 0 0 +3 +3 2 0x31f4 0x6a29d471 @@ -290718,6 +363398,8 @@ 0 0 0 +2 +0 4 0x587c 0x777f43f1 @@ -290726,6 +363408,8 @@ 0 0 0 +0 +0 4 0x5ee6 0x43280525 @@ -290734,6 +363418,8 @@ 0 0 0 +1 +1 3 0x6cb4 0x858d510f @@ -290742,6 +363428,8 @@ 0 0 0 +2 +1 1 0x610f 0xd66c4133 @@ -290750,6 +363438,8 @@ 1 1 0 +0 +0 1 0x7fcf 0x40e6d6a0 @@ -290758,6 +363448,8 @@ 0 0 0 +3 +1 1 0xe7ed 0x2aa7034d @@ -290766,6 +363458,8 @@ 0 0 0 +4 +2 1 0x7ba9 0xbda68876 @@ -290774,6 +363468,8 @@ 1 1 0 +1 +2 3 0x4739 0xd93e9184 @@ -290782,6 +363478,8 @@ 0 0 0 +0 +2 2 0xc7ca 0xcad72eb1 @@ -290790,6 +363488,8 @@ 1 0 0 +4 +5 1 0x216c 0x4a1bf749 @@ -290798,6 +363498,8 @@ 0 0 0 +2 +2 4 0xdd57 0xf848c83e @@ -290806,6 +363508,8 @@ 0 0 0 +4 +3 3 0xc2f0 0xb78020f8 @@ -290814,6 +363518,8 @@ 0 0 0 +0 +3 3 0x51f2 0xb2416502 @@ -290822,6 +363528,8 @@ 1 1 0 +4 +4 1 0x3523 0x72a8820b @@ -290831,6 +363539,8 @@ 1 0 4 +1 +4 0x5c79 0xa124e08a 256 @@ -290838,6 +363548,8 @@ 1 0 0 +2 +5 1 0xadc6 0x57f02f6d @@ -290846,6 +363558,8 @@ 0 0 0 +1 +3 2 0x5dbe 0x1730887b @@ -290854,6 +363568,8 @@ 0 0 0 +4 +4 2 0x1a07 0x2d375ca @@ -290862,6 +363578,8 @@ 0 0 0 +1 +0 4 0x7c9b 0x2770fbf0 @@ -290870,6 +363588,8 @@ 1 0 0 +2 +2 3 0xea7c 0x65c624c1 @@ -290878,6 +363598,8 @@ 1 1 0 +1 +4 2 0xebf1 0xfc075fbb @@ -290887,6 +363609,8 @@ 1 0 3 +5 +3 0xd2a4 0x14e5951d 256 @@ -290894,6 +363618,8 @@ 1 0 0 +3 +2 2 0xf929 0x3ada6e20 @@ -290902,6 +363628,8 @@ 0 0 0 +4 +0 1 0x100e 0x6e356d05 @@ -290910,6 +363638,8 @@ 1 0 0 +0 +2 1 0x2770 0xd2d7d3a5 @@ -290918,6 +363648,8 @@ 0 0 0 +4 +1 2 0xf8d7 0x15417d0d @@ -290926,6 +363658,8 @@ 0 0 0 +5 +0 1 0xecd7 0x63e15799 @@ -290935,6 +363669,8 @@ 0 0 2 +1 +2 0x6108 0x816da3d6 256 @@ -290942,6 +363678,8 @@ 1 1 0 +0 +5 4 0x34d4 0x1d5ece8f @@ -290950,6 +363688,8 @@ 1 1 0 +0 +1 1 0xc2dd 0x2496263e @@ -290958,6 +363698,8 @@ 0 0 0 +1 +2 4 0xb33d 0xdc03a8dd @@ -290966,6 +363708,8 @@ 1 1 0 +0 +2 1 0x5e79 0x409d9907 @@ -290974,6 +363718,8 @@ 1 1 0 +4 +4 1 0xfd48 0x2df29108 @@ -290982,6 +363728,8 @@ 0 0 0 +2 +4 3 0x9bd0 0xea12037c @@ -290991,6 +363739,8 @@ 0 0 2 +3 +2 0xdcdb 0x2356770b 256 @@ -290998,6 +363748,8 @@ 1 0 0 +0 +3 4 0x8212 0x98aa87c9 @@ -291006,6 +363758,8 @@ 0 0 0 +0 +2 2 0xe59b 0x87029a68 @@ -291014,6 +363768,8 @@ 1 1 0 +5 +1 3 0x325f 0xe35dedaf @@ -291022,6 +363778,8 @@ 0 0 0 +0 +1 3 0x72d8 0x9deb1eb6 @@ -291030,6 +363788,8 @@ 1 1 0 +3 +5 1 0xe97a 0xee57650c @@ -291038,6 +363798,8 @@ 1 0 0 +2 +4 1 0xcae4 0x60891644 @@ -291046,6 +363808,8 @@ 1 1 0 +1 +1 2 0xd519 0x376bda1f @@ -291054,6 +363818,8 @@ 0 0 0 +0 +5 3 0x4268 0x7a0b669a @@ -291062,6 +363828,8 @@ 0 0 0 +3 +5 1 0xcd71 0xc1d0450f @@ -291070,6 +363838,8 @@ 1 1 0 +2 +5 1 0xee8 0xdd3b71d1 @@ -291078,6 +363848,8 @@ 0 0 0 +4 +0 3 0x9b79 0xd7373a66 @@ -291086,6 +363858,8 @@ 1 1 0 +1 +3 3 0x4123 0x54f0398 @@ -291095,6 +363869,8 @@ 0 0 2 +2 +2 0x376f 0x5a79c66f 256 @@ -291103,6 +363879,8 @@ 0 0 2 +1 +2 0x2b3e 0x76563c07 256 @@ -291110,6 +363888,8 @@ 0 0 0 +4 +3 1 0x66e3 0x2ccc7b34 @@ -291118,6 +363898,8 @@ 1 1 0 +2 +1 3 0xf393 0xdd85127a @@ -291127,6 +363909,8 @@ 0 0 2 +0 +2 0x10e2 0xa95a0dde 256 @@ -291135,6 +363919,8 @@ 0 0 4 +3 +4 0x3397 0x7db941d3 256 @@ -291142,6 +363928,8 @@ 1 0 0 +4 +5 3 0xf743 0xd112c8a @@ -291150,6 +363938,8 @@ 0 0 0 +1 +2 2 0x32a5 0xf17525e6 @@ -291158,6 +363948,8 @@ 1 1 0 +5 +0 3 0xffaa 0x315c8ed1 @@ -291167,6 +363959,8 @@ 1 0 1 +0 +1 0x354e 0xd0333c6f 256 @@ -291174,6 +363968,8 @@ 1 0 0 +5 +1 1 0xdd91 0xf09d6593 @@ -291182,6 +363978,8 @@ 1 0 0 +4 +1 2 0x4331 0x4ff5696f @@ -291190,6 +363988,8 @@ 1 1 0 +4 +4 3 0xdf61 0xdf461ffe @@ -291198,6 +363998,8 @@ 0 0 0 +4 +4 1 0xd59d 0x65dd9368 @@ -291206,6 +364008,8 @@ 0 0 0 +5 +0 1 0xc195 0xffa31340 @@ -291214,6 +364018,8 @@ 1 1 0 +0 +0 3 0xdfeb 0x3c519f9e @@ -291222,6 +364028,8 @@ 0 0 0 +5 +4 2 0x6b60 0x409a9747 @@ -291230,6 +364038,8 @@ 0 0 0 +2 +1 4 0x3f39 0x20410bc2 @@ -291238,6 +364048,8 @@ 0 0 0 +5 +0 3 0x5897 0x5d1bc00d @@ -291246,6 +364058,8 @@ 0 0 0 +5 +5 3 0x3b9d 0xd23b42ef @@ -291254,6 +364068,8 @@ 1 1 0 +0 +3 2 0x8494 0xa02f883a @@ -291262,6 +364078,8 @@ 1 0 0 +5 +2 1 0xab94 0x2e61e6d1 @@ -291270,6 +364088,8 @@ 0 0 0 +0 +3 3 0x649c 0xbd8fd9bc @@ -291278,6 +364098,8 @@ 0 0 0 +1 +5 2 0xef9f 0x916b1d1c @@ -291287,6 +364109,8 @@ 1 0 3 +1 +3 0x8760 0xfc8c2d67 256 @@ -291294,6 +364118,8 @@ 1 0 0 +1 +0 3 0xc5d3 0x3209f009 @@ -291302,6 +364128,8 @@ 1 0 0 +5 +0 2 0x187e 0x18ec4b17 @@ -291310,6 +364138,8 @@ 1 0 0 +1 +1 3 0x1651 0xa00b3a5e @@ -291319,6 +364149,8 @@ 0 0 3 +2 +3 0x3cce 0xb7e03eb2 256 @@ -291326,6 +364158,8 @@ 0 0 0 +4 +5 2 0xf602 0xcf54e91f @@ -291334,6 +364168,8 @@ 0 0 0 +2 +4 3 0x6f0a 0xe26d6b36 @@ -291342,6 +364178,8 @@ 0 0 0 +1 +1 3 0xb31c 0x8823b71f @@ -291351,6 +364189,8 @@ 1 0 2 +1 +2 0xa4b0 0xe9dbd496 256 @@ -291358,6 +364198,8 @@ 1 0 0 +5 +4 2 0x9855 0x878f8c63 @@ -291366,6 +364208,8 @@ 0 0 0 +0 +2 4 0x4d84 0x93d07f8e @@ -291374,6 +364218,8 @@ 0 0 0 +2 +2 1 0x636a 0xca4e8d8 @@ -291383,6 +364229,8 @@ 1 0 1 +5 +1 0xc4de 0x83869c12 256 @@ -291390,6 +364238,8 @@ 0 0 0 +3 +2 4 0xdeb4 0xbcaaca92 @@ -291398,6 +364248,8 @@ 0 0 0 +0 +3 4 0xfa8 0xbcfc9aea @@ -291406,6 +364258,8 @@ 0 0 0 +0 +5 2 0x8a42 0xfa2bd89f @@ -291414,6 +364268,8 @@ 1 1 0 +0 +0 2 0xc12d 0xd389b23d @@ -291422,6 +364278,8 @@ 1 1 0 +4 +4 2 0x7fe5 0xcb010b12 @@ -291430,6 +364288,8 @@ 0 0 0 +2 +2 4 0x4245 0x50ce44ee @@ -291438,6 +364298,8 @@ 1 1 0 +0 +0 1 0xc969 0x493bc8b1 @@ -291447,6 +364309,8 @@ 0 0 1 +5 +1 0xac49 0xb00287c1 256 @@ -291454,6 +364318,8 @@ 1 1 0 +0 +0 3 0x26d0 0x441ef90e @@ -291462,6 +364328,8 @@ 1 1 0 +0 +0 3 0x4b26 0x46bb63fa @@ -291470,6 +364338,8 @@ 1 0 0 +3 +2 1 0x591c 0x1f177c3d @@ -291478,6 +364348,8 @@ 1 0 0 +5 +5 4 0x2c46 0x480181dc @@ -291486,6 +364358,8 @@ 1 1 0 +4 +4 1 0xa06a 0xc8260c32 @@ -291494,6 +364368,8 @@ 1 1 0 +0 +2 4 0x75e7 0x25523fa @@ -291502,6 +364378,8 @@ 1 0 0 +3 +2 2 0x9e0a 0x5707a53e @@ -291510,6 +364388,8 @@ 0 0 0 +5 +5 4 0xf64e 0x2b684a97 @@ -291518,6 +364398,8 @@ 0 0 0 +2 +1 3 0xc46d 0x2f525464 @@ -291526,6 +364408,8 @@ 0 0 0 +0 +1 4 0xea37 0x835aa980 @@ -291534,6 +364418,8 @@ 1 1 0 +2 +3 1 0xb464 0xbfb91361 @@ -291542,6 +364428,8 @@ 1 1 0 +5 +1 1 0x9756 0x94474f44 @@ -291551,6 +364439,8 @@ 0 0 3 +0 +3 0xa4fa 0xc62c87ca 256 @@ -291558,6 +364448,8 @@ 1 0 0 +1 +4 4 0xfab6 0x7485f02e @@ -291566,6 +364458,8 @@ 1 0 0 +3 +3 2 0x131a 0xb2e11388 @@ -291574,6 +364468,8 @@ 1 1 0 +1 +0 4 0x75f0 0x1a6999b8 @@ -291582,6 +364478,8 @@ 1 1 0 +2 +4 4 0x7cb8 0x10044794 @@ -291591,6 +364489,8 @@ 1 0 4 +4 +4 0x77e4 0xd93b08e3 256 @@ -291598,6 +364498,8 @@ 1 1 0 +5 +3 4 0x79a2 0x5a26ed7f @@ -291606,6 +364508,8 @@ 0 0 0 +5 +0 1 0x394f 0xb56be4ff @@ -291614,6 +364518,8 @@ 1 0 0 +1 +3 4 0xa2b2 0xddec694c @@ -291622,6 +364528,8 @@ 0 0 0 +3 +2 1 0x6167 0x2cf75c97 @@ -291630,6 +364538,8 @@ 0 0 0 +4 +2 1 0xa23a 0x5f969b1a @@ -291638,6 +364548,8 @@ 1 0 0 +1 +5 4 0xb491 0xd291de1 @@ -291646,6 +364558,8 @@ 1 0 0 +3 +1 4 0x5939 0x6ac3ec8b @@ -291654,6 +364568,8 @@ 1 0 0 +1 +0 4 0xc515 0x41e81705 @@ -291662,6 +364578,8 @@ 1 1 0 +3 +1 4 0x402a 0xeb0bea67 @@ -291671,6 +364589,8 @@ 1 0 4 +0 +4 0xb8dd 0x7aaae406 256 @@ -291678,6 +364598,8 @@ 1 1 0 +1 +5 4 0x1930 0x398f080f @@ -291686,6 +364608,8 @@ 0 0 0 +0 +1 2 0xd31 0x577ebbdf @@ -291695,6 +364619,8 @@ 0 0 4 +5 +4 0x8881 0x77f59ee1 256 @@ -291702,6 +364628,8 @@ 1 1 0 +3 +4 4 0x250a 0x7bc1a9f1 @@ -291711,6 +364639,8 @@ 0 0 1 +0 +1 0x29a5 0xb6814fe5 256 @@ -291718,6 +364648,8 @@ 0 0 0 +2 +4 1 0x7565 0x1d34cc1 @@ -291726,6 +364658,8 @@ 0 0 0 +1 +2 2 0x4262 0xfdef1938 @@ -291734,6 +364668,8 @@ 1 1 0 +3 +3 2 0x4da9 0x10fca258 @@ -291742,6 +364678,8 @@ 0 0 0 +5 +5 1 0xcf32 0x4cb7760f @@ -291751,6 +364689,8 @@ 0 0 4 +5 +4 0x3a59 0x1b66b4e7 256 @@ -291758,6 +364698,8 @@ 1 0 0 +0 +2 2 0xb957 0xd23e4ff8 @@ -291766,6 +364708,8 @@ 1 1 0 +1 +0 3 0xce87 0x17320e37 @@ -291774,6 +364718,8 @@ 0 0 0 +1 +2 4 0xdd0e 0x12d83ce1 @@ -291782,6 +364728,8 @@ 1 0 0 +0 +4 1 0x7a8c 0x80cf317 @@ -291790,6 +364738,8 @@ 1 0 0 +2 +3 1 0x3043 0xfbef8a09 @@ -291798,6 +364748,8 @@ 0 0 0 +5 +5 1 0x3607 0x37aed048 @@ -291806,6 +364758,8 @@ 0 0 0 +0 +3 3 0xaeec 0xac692b16 @@ -291814,6 +364768,8 @@ 0 0 0 +2 +4 4 0x3884 0xbf08c982 @@ -291822,6 +364778,8 @@ 0 0 0 +1 +2 2 0xe27c 0xe01628b @@ -291831,6 +364789,8 @@ 0 0 3 +2 +3 0x844c 0x98a94ca7 256 @@ -291838,6 +364798,8 @@ 1 0 0 +3 +3 2 0x4a0b 0x8f45a2dd @@ -291846,6 +364808,8 @@ 0 0 0 +5 +4 3 0xf467 0xf429d9b @@ -291854,6 +364818,8 @@ 1 0 0 +2 +3 3 0x200 0x92bee85d @@ -291862,6 +364828,8 @@ 0 0 0 +5 +1 3 0x293d 0x2fbc6f3f @@ -291871,6 +364839,8 @@ 0 0 4 +4 +4 0xe7cb 0xa073ba93 256 @@ -291878,6 +364848,8 @@ 1 1 0 +5 +3 1 0x5397 0x4dbd8b09 @@ -291886,6 +364858,8 @@ 0 0 0 +5 +3 4 0xe1e3 0xb04c2ca9 @@ -291894,6 +364868,8 @@ 1 0 0 +0 +3 4 0xa9ed 0x5058f3ee @@ -291903,6 +364879,8 @@ 1 0 2 +3 +2 0xce42 0xadbff134 256 @@ -291910,6 +364888,8 @@ 1 1 0 +5 +5 1 0x37dc 0xef790ef6 @@ -291918,6 +364898,8 @@ 1 0 0 +0 +4 2 0xc21b 0x51c123c7 @@ -291926,6 +364908,8 @@ 0 0 0 +5 +3 1 0xcc9c 0xce834b2a @@ -291934,6 +364918,8 @@ 1 1 0 +5 +3 2 0x148a 0xaa9181b @@ -291942,6 +364928,8 @@ 0 0 0 +4 +4 3 0x9b3a 0x9961b790 @@ -291950,6 +364938,8 @@ 1 0 0 +4 +0 1 0xba2e 0x7fc195a6 @@ -291958,6 +364948,8 @@ 1 0 0 +5 +3 4 0xb49 0x69adbb88 @@ -291966,6 +364958,8 @@ 1 1 0 +1 +2 3 0x7229 0x3ffdcb78 @@ -291974,6 +364968,8 @@ 1 0 0 +1 +5 4 0x5155 0x5bc19266 @@ -291982,6 +364978,8 @@ 0 0 0 +1 +4 3 0x2348 0x5e223d76 @@ -291990,6 +364988,8 @@ 1 0 0 +4 +1 3 0x71a2 0xaaa9c1de @@ -291999,6 +364999,8 @@ 0 0 4 +0 +4 0x6cac 0xd80c4184 256 @@ -292006,6 +365008,8 @@ 0 0 0 +1 +3 4 0x69e1 0x2e8ca970 @@ -292014,6 +365018,8 @@ 0 0 0 +5 +0 3 0x12a0 0x68284f25 @@ -292022,6 +365028,8 @@ 1 1 0 +0 +2 3 0x5e00 0xc2641ab6 @@ -292030,6 +365038,8 @@ 1 0 0 +3 +2 1 0x4f92 0x4c2d8295 @@ -292038,6 +365048,8 @@ 1 1 0 +4 +4 1 0xfecb 0x2ad7ed66 @@ -292046,6 +365058,8 @@ 1 0 0 +2 +4 1 0x8086 0x844a6522 @@ -292054,6 +365068,8 @@ 1 1 0 +0 +1 2 0x21c7 0xaba767a5 @@ -292063,6 +365079,8 @@ 0 0 3 +4 +3 0xb62b 0xe7cf7b20 256 @@ -292070,6 +365088,8 @@ 1 0 0 +3 +3 4 0xab3e 0x4507621 @@ -292078,6 +365098,8 @@ 0 0 0 +0 +4 2 0x244c 0xe95191fd @@ -292086,6 +365108,8 @@ 0 0 0 +1 +4 2 0x1f06 0x98662aee @@ -292094,6 +365118,8 @@ 0 0 0 +4 +0 2 0x604 0x5597cc97 @@ -292103,6 +365129,8 @@ 0 0 2 +4 +2 0xd2df 0x154a58ce 256 @@ -292110,6 +365138,8 @@ 0 0 0 +1 +4 4 0x3fed 0x4a1c6875 @@ -292118,6 +365148,8 @@ 1 1 0 +3 +1 1 0xedcd 0xaee736b8 @@ -292126,6 +365158,8 @@ 0 0 0 +1 +3 4 0x1023 0xa171e034 @@ -292135,6 +365169,8 @@ 0 0 1 +1 +1 0xa7e6 0xa3285e61 256 @@ -292142,6 +365178,8 @@ 1 1 0 +0 +0 2 0x753d 0xa174b1d2 @@ -292150,6 +365188,8 @@ 1 0 0 +4 +2 2 0x4f9e 0x4dd70cd2 @@ -292158,6 +365198,8 @@ 1 1 0 +5 +5 2 0xb819 0xf8cc1d4e @@ -292166,6 +365208,8 @@ 0 0 0 +2 +5 4 0xf6 0x7d27327e @@ -292174,6 +365218,8 @@ 0 0 0 +5 +5 1 0x4f8d 0x16fb9ebc @@ -292182,6 +365228,8 @@ 0 0 0 +3 +3 1 0x60bd 0xf8bde58a @@ -292191,6 +365239,8 @@ 0 0 4 +4 +4 0x574f 0xf5e3ee31 256 @@ -292198,6 +365248,8 @@ 1 0 0 +0 +0 3 0xe8b0 0x249bb36c @@ -292206,6 +365258,8 @@ 1 0 0 +0 +4 4 0xf447 0x89c9a58 @@ -292214,6 +365268,8 @@ 1 0 0 +0 +1 2 0x9ebd 0xe9b667ae @@ -292223,6 +365279,8 @@ 0 0 4 +1 +4 0x9dd5 0x563f814 256 @@ -292230,6 +365288,8 @@ 0 0 0 +5 +1 1 0x9cd0 0xbc2068f2 @@ -292239,6 +365299,8 @@ 0 0 4 +5 +4 0x9b94 0x4229de45 256 @@ -292246,6 +365308,8 @@ 0 0 0 +4 +0 3 0x854c 0xb99c7c77 @@ -292254,6 +365318,8 @@ 0 0 0 +0 +0 3 0x6182 0xb2db3b38 @@ -292262,6 +365328,8 @@ 1 1 0 +5 +2 3 0xef39 0x5f605d32 @@ -292270,6 +365338,8 @@ 0 0 0 +3 +0 4 0xcb0 0x4ab52a81 @@ -292278,6 +365348,8 @@ 0 0 0 +2 +4 3 0xc021 0x2dfb88f0 @@ -292287,6 +365359,8 @@ 0 0 4 +5 +4 0xcdf0 0x3b1c6755 256 @@ -292294,6 +365368,8 @@ 1 0 0 +5 +2 3 0xf10b 0xa9d2b5b4 @@ -292302,6 +365378,8 @@ 0 0 0 +5 +1 2 0xb01 0xf27509ee @@ -292310,6 +365388,8 @@ 1 0 0 +5 +3 1 0x77b2 0xe0b3ffa @@ -292318,6 +365398,8 @@ 0 0 0 +0 +0 1 0xa09 0xf2554859 @@ -292326,6 +365408,8 @@ 1 0 0 +0 +0 2 0xcefb 0x8a8977b8 @@ -292334,6 +365418,8 @@ 0 0 0 +5 +4 3 0x842e 0x9d4bdd85 @@ -292342,6 +365428,8 @@ 0 0 0 +0 +3 4 0xb2dc 0x73e4c415 @@ -292350,6 +365438,8 @@ 0 0 0 +2 +4 3 0x8717 0x81406f1b @@ -292358,6 +365448,8 @@ 0 0 0 +3 +0 1 0x6ecb 0xc302349f @@ -292366,6 +365458,8 @@ 1 0 0 +2 +1 3 0x2a3d 0x16ec3f2b @@ -292374,6 +365468,8 @@ 1 1 0 +1 +1 2 0x4ff7 0x99833231 @@ -292382,6 +365478,8 @@ 0 0 0 +0 +0 3 0x48f6 0x1fd8815b @@ -292391,6 +365489,8 @@ 0 0 3 +0 +3 0x9afd 0xe831045d 256 @@ -292398,6 +365498,8 @@ 0 0 0 +5 +5 2 0xeacc 0x48901cd @@ -292406,6 +365508,8 @@ 0 0 0 +4 +4 2 0x4feb 0x4604015f @@ -292414,6 +365518,8 @@ 1 0 0 +2 +3 1 0x82b2 0xd42919b7 @@ -292422,6 +365528,8 @@ 1 1 0 +5 +5 3 0xe7cf 0x8c15d193 @@ -292430,6 +365538,8 @@ 1 1 0 +0 +5 1 0x57a 0xb6e0b3c @@ -292438,6 +365548,8 @@ 0 0 0 +2 +3 4 0x1aa0 0x84bdc6e6 @@ -292446,6 +365558,8 @@ 0 0 0 +4 +2 3 0xd2ec 0xaede7be6 @@ -292454,6 +365568,8 @@ 0 0 0 +5 +1 4 0xdf0b 0x9dcdbeda @@ -292463,6 +365579,8 @@ 1 0 4 +4 +4 0x5222 0x4181bbf4 256 @@ -292471,6 +365589,8 @@ 1 0 4 +1 +4 0xa6c5 0x4edd7885 256 @@ -292478,6 +365598,8 @@ 0 0 0 +5 +1 1 0x267c 0x9bd1eb17 @@ -292486,6 +365608,8 @@ 0 0 0 +0 +2 1 0xabdb 0x3f7ea182 @@ -292494,6 +365618,8 @@ 1 0 0 +3 +1 2 0x84be 0x9ca91239 @@ -292502,6 +365628,8 @@ 1 1 0 +2 +2 1 0x6201 0xa261140 @@ -292510,6 +365638,8 @@ 1 1 0 +0 +1 4 0xb978 0x13f52fdd @@ -292519,6 +365649,8 @@ 0 0 1 +0 +1 0x93d2 0x1c8ad37e 256 @@ -292526,6 +365658,8 @@ 0 0 0 +2 +2 1 0xd48a 0x7cb26c5d @@ -292534,6 +365668,8 @@ 1 0 0 +4 +3 1 0x273c 0xa6a04745 @@ -292542,6 +365678,8 @@ 0 0 0 +0 +0 1 0x574e 0x3aeb28c7 @@ -292551,6 +365689,8 @@ 0 0 3 +2 +3 0xbe56 0x207d14ce 256 @@ -292558,6 +365698,8 @@ 0 0 0 +5 +3 4 0x26c4 0x502b8ebe @@ -292566,6 +365708,8 @@ 1 1 0 +0 +3 4 0xc4f4 0x103554ef @@ -292575,6 +365719,8 @@ 0 0 3 +0 +3 0xdfdb 0x9252cf8a 256 @@ -292582,6 +365728,8 @@ 0 0 0 +5 +1 1 0x16ff 0x8eeff622 @@ -292590,6 +365738,8 @@ 1 0 0 +2 +2 4 0xfbc3 0xfe12d10e @@ -292598,6 +365748,8 @@ 0 0 0 +2 +3 1 0x242c 0x8f6454a2 @@ -292606,6 +365758,8 @@ 1 0 0 +0 +5 2 0x1949 0xfd23bbcc @@ -292614,6 +365768,8 @@ 1 0 0 +5 +4 2 0xe8d8 0xb5c71775 @@ -292622,6 +365778,8 @@ 1 0 0 +1 +4 2 0xe742 0xcd69b4a5 @@ -292631,6 +365789,8 @@ 1 0 4 +3 +4 0x759f 0x4eefbc42 256 @@ -292638,6 +365798,8 @@ 0 0 0 +5 +4 4 0x58cb 0xcfca9a72 @@ -292646,6 +365808,8 @@ 1 0 0 +5 +1 1 0x32c2 0x392591c4 @@ -292654,6 +365818,8 @@ 0 0 0 +0 +5 1 0x540e 0x3e6d420d @@ -292662,6 +365828,8 @@ 0 0 0 +3 +5 2 0x48f0 0x339c6a9e @@ -292670,6 +365838,8 @@ 0 0 0 +5 +2 1 0x98f4 0x554f188d @@ -292678,6 +365848,8 @@ 0 0 0 +5 +5 3 0x88f5 0xdf8f8bef @@ -292686,6 +365858,8 @@ 1 1 0 +3 +3 1 0x3fa1 0x98ee96ca @@ -292694,6 +365868,8 @@ 1 1 0 +5 +4 4 0x83c4 0x13ba5ace @@ -292703,6 +365879,8 @@ 0 0 2 +1 +2 0xad38 0x3405d7c5 256 @@ -292710,6 +365888,8 @@ 1 0 0 +3 +0 2 0x5057 0x2cf8ab4e @@ -292718,6 +365898,8 @@ 0 0 0 +3 +5 1 0xe38c 0x1dfcd654 @@ -292726,6 +365908,8 @@ 1 1 0 +3 +0 1 0x9758 0x1cede635 @@ -292735,6 +365919,8 @@ 0 0 3 +5 +3 0x1bf7 0x8f50a97c 256 @@ -292742,6 +365928,8 @@ 0 0 0 +5 +4 1 0x3da6 0x409e2331 @@ -292750,6 +365938,8 @@ 1 0 0 +5 +3 2 0x9980 0x2132cff @@ -292758,6 +365948,8 @@ 0 0 0 +1 +4 3 0x71d7 0x48eeeeff @@ -292766,6 +365958,8 @@ 0 0 0 +1 +1 3 0x172c 0xdc2b0ea5 @@ -292774,6 +365968,8 @@ 0 0 0 +2 +5 3 0xa6d7 0xbc5ef2df @@ -292782,6 +365978,8 @@ 0 0 0 +4 +0 1 0xe9c 0xc8efc4af @@ -292790,6 +365988,8 @@ 1 0 0 +5 +2 3 0x9d16 0x476a4c82 @@ -292798,6 +365998,8 @@ 0 0 0 +4 +5 1 0x1c6c 0x17e9a50 @@ -292806,6 +366008,8 @@ 0 0 0 +2 +1 3 0xb9e7 0xeb4a8562 @@ -292814,6 +366018,8 @@ 1 1 0 +0 +1 4 0x4ab2 0x8340b936 @@ -292823,6 +366029,8 @@ 1 0 3 +2 +3 0xd135 0x99b2139b 256 @@ -292830,6 +366038,8 @@ 1 1 0 +2 +1 4 0x9908 0x3ebe41b4 @@ -292839,6 +366049,8 @@ 0 0 1 +5 +1 0x8a32 0xa74b3e20 256 @@ -292846,6 +366058,8 @@ 1 0 0 +0 +1 1 0x4af7 0x861499ec @@ -292854,6 +366068,8 @@ 0 0 0 +4 +1 2 0x81e 0x1a7b0911 @@ -292862,6 +366078,8 @@ 0 0 0 +5 +2 1 0xb0e0 0xa715a82f @@ -292870,6 +366088,8 @@ 1 1 0 +4 +5 2 0xe294 0xef8edc6c @@ -292878,6 +366098,8 @@ 1 0 0 +0 +5 4 0xaad0 0xa47d7949 @@ -292887,6 +366109,8 @@ 1 0 1 +3 +1 0x6be7 0xbeea847f 256 @@ -292894,6 +366118,8 @@ 1 0 0 +4 +0 1 0xfbfe 0x760359dc @@ -292903,6 +366129,8 @@ 0 0 2 +2 +2 0xf500 0xba47b5aa 256 @@ -292911,6 +366139,8 @@ 0 0 2 +0 +2 0x7e23 0xaa4beff9 256 @@ -292918,6 +366148,8 @@ 1 1 0 +1 +4 2 0x3cc0 0x388bdbd9 @@ -292927,6 +366159,8 @@ 1 0 3 +5 +3 0x122e 0xcdd203a9 256 @@ -292935,6 +366169,8 @@ 0 0 2 +3 +2 0x5662 0x8eb671ac 256 @@ -292942,6 +366178,8 @@ 0 0 0 +1 +4 4 0xc6bc 0x90925c63 @@ -292950,6 +366188,8 @@ 0 0 0 +5 +5 3 0x9dd2 0x8099f3fe @@ -292958,6 +366198,8 @@ 1 0 0 +4 +1 1 0xa0b 0x3f30b761 @@ -292966,6 +366208,8 @@ 0 0 0 +3 +3 1 0x823c 0x42502a9a @@ -292975,6 +366219,8 @@ 1 0 3 +1 +3 0xaa82 0x6786bd8f 256 @@ -292982,6 +366228,8 @@ 1 1 0 +4 +1 1 0x74c 0xee4a9340 @@ -292990,6 +366238,8 @@ 0 0 0 +0 +1 1 0xa89 0x660893cf @@ -292998,6 +366248,8 @@ 0 0 0 +5 +0 1 0x8b20 0xff01df77 @@ -293006,6 +366258,8 @@ 1 1 0 +0 +0 2 0xcb7e 0x7cf7966d @@ -293015,6 +366269,8 @@ 1 0 4 +2 +4 0xdf87 0x8d18aed2 256 @@ -293022,6 +366278,8 @@ 1 0 0 +5 +4 2 0x67a6 0x7634d599 @@ -293030,6 +366288,8 @@ 0 0 0 +4 +1 3 0x71ad 0xda8c2421 @@ -293039,6 +366299,8 @@ 0 0 3 +1 +3 0xdca8 0xcd53ad06 256 @@ -293046,6 +366308,8 @@ 0 0 0 +0 +3 2 0x3650 0x540ae8de @@ -293054,6 +366318,8 @@ 1 0 0 +4 +5 1 0xa14d 0x4d6ea569 @@ -293062,6 +366328,8 @@ 0 0 0 +2 +4 1 0xc86e 0xfe9c98b7 @@ -293070,6 +366338,8 @@ 0 0 0 +1 +1 4 0xefad 0xa0b853e9 @@ -293078,6 +366348,8 @@ 0 0 0 +4 +0 2 0x2beb 0x8706de28 @@ -293086,6 +366358,8 @@ 0 0 0 +1 +3 3 0xb464 0xda20608e @@ -293094,6 +366368,8 @@ 1 1 0 +1 +0 3 0x3297 0x65020e21 @@ -293103,6 +366379,8 @@ 0 0 1 +4 +1 0x870a 0x6de23f5f 256 @@ -293110,6 +366388,8 @@ 0 0 0 +4 +4 2 0x9244 0xcf785181 @@ -293118,6 +366398,8 @@ 1 1 0 +1 +3 4 0x79bb 0x5fd2335f @@ -293127,6 +366409,8 @@ 0 0 1 +5 +1 0x8db2 0x587e3615 256 @@ -293134,6 +366418,8 @@ 0 0 0 +4 +0 3 0x857f 0x47638178 @@ -293142,6 +366428,8 @@ 0 0 0 +0 +2 2 0x14b3 0x40f6569e @@ -293150,6 +366438,8 @@ 1 0 0 +1 +0 3 0x1242 0x9689ca8a @@ -293158,6 +366448,8 @@ 0 0 0 +1 +0 3 0x723e 0x2127264e @@ -293166,6 +366458,8 @@ 0 0 0 +2 +2 3 0x1d6e 0x3e5e8ef5 @@ -293174,6 +366468,8 @@ 1 0 0 +2 +0 4 0x7789 0x2db9baa8 @@ -293182,6 +366478,8 @@ 0 0 0 +1 +2 3 0xf0a5 0xd1a787aa @@ -293190,6 +366488,8 @@ 0 0 0 +2 +2 4 0xf8b1 0x1e0cdf13 @@ -293198,6 +366498,8 @@ 1 0 0 +3 +0 1 0x2ec7 0x954f67df @@ -293206,6 +366508,8 @@ 0 0 0 +0 +3 1 0x9997 0x4b061cef @@ -293214,6 +366518,8 @@ 1 0 0 +2 +0 4 0x35a7 0x71fa6944 @@ -293223,6 +366529,8 @@ 0 0 3 +5 +3 0x7a2b 0xd477c685 256 @@ -293231,6 +366539,8 @@ 0 0 2 +0 +2 0x4693 0x44855a64 256 @@ -293238,6 +366548,8 @@ 1 0 0 +0 +0 3 0xddd3 0xadc2b98f @@ -293246,6 +366558,8 @@ 0 0 0 +0 +4 3 0x9dcd 0xd8169ce0 @@ -293254,6 +366568,8 @@ 1 1 0 +1 +3 3 0x3162 0xc24d6229 @@ -293262,6 +366578,8 @@ 0 0 0 +1 +0 2 0x9f9f 0x95896cd3 @@ -293270,6 +366588,8 @@ 1 1 0 +4 +1 2 0xe864 0xb91d603f @@ -293278,6 +366598,8 @@ 1 0 0 +0 +5 4 0xec23 0x3cae0091 @@ -293286,6 +366608,8 @@ 0 0 0 +0 +1 1 0x7475 0x3bd4ac9c @@ -293294,6 +366618,8 @@ 0 0 0 +0 +5 3 0xd6b7 0x69985d0 @@ -293302,6 +366628,8 @@ 1 1 0 +2 +4 4 0xde33 0x98b2094c @@ -293310,6 +366638,8 @@ 1 1 0 +1 +0 2 0xcee2 0x46954f6a @@ -293318,6 +366648,8 @@ 0 0 0 +2 +0 3 0x6689 0xfbe60ceb @@ -293326,6 +366658,8 @@ 0 0 0 +3 +5 4 0xa99b 0x527d996b @@ -293334,6 +366668,8 @@ 0 0 0 +2 +0 3 0x6b5c 0x9ce074c2 @@ -293342,6 +366678,8 @@ 0 0 0 +0 +5 1 0xd017 0xbe84ffc8 @@ -293350,6 +366688,8 @@ 1 0 0 +2 +1 1 0xdb82 0x6fb2212b @@ -293358,6 +366698,8 @@ 1 0 0 +3 +0 1 0xee8b 0x998e583 @@ -293366,6 +366708,8 @@ 0 0 0 +5 +1 4 0x750f 0x66f8383d @@ -293375,6 +366719,8 @@ 1 0 2 +0 +2 0xa6df 0x11897ca7 256 @@ -293382,6 +366728,8 @@ 0 0 0 +1 +1 3 0xd8c 0x9c4aa84 @@ -293390,6 +366738,8 @@ 1 0 0 +0 +5 3 0x8693 0x378fcab8 @@ -293398,6 +366748,8 @@ 0 0 0 +5 +0 3 0xdfe5 0xbb021e7d @@ -293407,6 +366759,8 @@ 0 0 2 +0 +2 0x54e 0x64d97511 256 @@ -293414,6 +366768,8 @@ 1 1 0 +0 +2 3 0x97f 0x58afc2e1 @@ -293422,6 +366778,8 @@ 0 0 0 +4 +5 2 0x65ca 0xe0d979e3 @@ -293430,6 +366788,8 @@ 1 0 0 +0 +1 1 0xe406 0xf67a98b9 @@ -293438,6 +366798,8 @@ 0 0 0 +3 +5 2 0xeb53 0x2d36798b @@ -293446,6 +366808,8 @@ 0 0 0 +1 +0 4 0xc7ba 0x2d7140d8 @@ -293455,6 +366819,8 @@ 1 0 3 +4 +3 0xbc1d 0xba9f285c 256 @@ -293462,6 +366828,8 @@ 1 1 0 +1 +2 2 0xc48f 0xef63000 @@ -293470,6 +366838,8 @@ 1 0 0 +5 +0 1 0x44b1 0x7baef152 @@ -293478,6 +366848,8 @@ 0 0 0 +0 +5 4 0xae05 0x29bc683 @@ -293486,6 +366858,8 @@ 1 1 0 +1 +0 2 0xe53e 0x6326586c @@ -293494,6 +366868,8 @@ 1 0 0 +5 +3 2 0x61be 0x2d3e2855 @@ -293502,6 +366878,8 @@ 1 0 0 +2 +5 1 0x4f08 0x626e7944 @@ -293510,6 +366888,8 @@ 0 0 0 +5 +0 4 0xe3f0 0xe4b7c14f @@ -293519,6 +366899,8 @@ 0 0 2 +0 +2 0x3fbe 0x2c48f2ee 256 @@ -293526,6 +366908,8 @@ 1 0 0 +4 +1 1 0xc1b7 0x259bac3d @@ -293534,6 +366918,8 @@ 1 1 0 +5 +1 3 0x5e3 0xb0f9a8e2 @@ -293542,6 +366928,8 @@ 1 0 0 +4 +0 1 0xae5a 0x7571d9cb @@ -293550,6 +366938,8 @@ 1 1 0 +5 +0 3 0x462b 0x291591c0 @@ -293558,6 +366948,8 @@ 0 0 0 +1 +5 4 0x4cfa 0x9bb91f2f @@ -293566,6 +366958,8 @@ 0 0 0 +2 +4 3 0x80e1 0xcd2c3519 @@ -293575,6 +366969,8 @@ 0 0 1 +3 +1 0xe0ba 0x176fc2f4 256 @@ -293583,6 +366979,8 @@ 0 0 3 +5 +3 0x221d 0x464d4ac1 256 @@ -293590,6 +366988,8 @@ 1 0 0 +1 +3 4 0x9027 0x39e9290d @@ -293598,6 +366998,8 @@ 1 0 0 +0 +2 2 0x892d 0xfdd2a340 @@ -293606,6 +367008,8 @@ 1 1 0 +1 +1 2 0xeece 0xf0be8314 @@ -293614,6 +367018,8 @@ 0 0 0 +4 +3 1 0x803c 0x501b2f95 @@ -293622,6 +367028,8 @@ 0 0 0 +5 +1 4 0x93a0 0x190c3ef5 @@ -293630,6 +367038,8 @@ 1 1 0 +0 +5 2 0xfa39 0xa0af1dae @@ -293638,6 +367048,8 @@ 1 0 0 +1 +5 4 0xb5c0 0xda8d33b0 @@ -293646,6 +367058,8 @@ 0 0 0 +0 +3 3 0x739c 0xef75b14c @@ -293654,6 +367068,8 @@ 0 0 0 +1 +1 2 0x4e4b 0x43e24f33 @@ -293663,6 +367079,8 @@ 0 0 1 +3 +1 0x43c8 0x4f2c7d1d 256 @@ -293671,6 +367089,8 @@ 0 0 2 +5 +2 0xad9b 0xfd8490fa 256 @@ -293678,6 +367098,8 @@ 1 1 0 +5 +2 2 0xd0dc 0xe482ece0 @@ -293686,6 +367108,8 @@ 0 0 0 +5 +0 4 0x1b17 0x28a6bd7b @@ -293694,6 +367118,8 @@ 0 0 0 +3 +3 2 0x32a2 0xe034a8d @@ -293702,6 +367128,8 @@ 1 0 0 +1 +0 2 0x5caf 0x3f28bd38 @@ -293710,6 +367138,8 @@ 1 0 0 +3 +0 1 0x7437 0xb357fb54 @@ -293718,6 +367148,8 @@ 1 0 0 +3 +4 2 0x6c32 0x4f23bf5e @@ -293726,6 +367158,8 @@ 1 0 0 +1 +5 3 0x38fe 0x8c87d85b @@ -293734,6 +367168,8 @@ 0 0 0 +0 +5 1 0x51e1 0x98bef05 @@ -293742,6 +367178,8 @@ 1 0 0 +4 +5 2 0x6df6 0x97e99756 @@ -293750,6 +367188,8 @@ 1 1 0 +5 +1 2 0xc309 0x643d68db @@ -293759,6 +367199,8 @@ 0 0 4 +2 +4 0x7dcf 0xb27f12b0 256 @@ -293766,6 +367208,8 @@ 1 1 0 +1 +3 4 0x7051 0xc536ba4e @@ -293775,6 +367219,8 @@ 0 0 3 +2 +3 0xc767 0x3f21d4ae 256 @@ -293782,6 +367228,8 @@ 1 0 0 +4 +4 2 0xe21d 0xfcc906e8 @@ -293790,6 +367238,8 @@ 0 0 0 +1 +4 4 0x49d9 0x9425dd17 @@ -293799,6 +367249,8 @@ 0 0 4 +5 +4 0xa878 0x61de1bf3 256 @@ -293806,6 +367258,8 @@ 1 1 0 +2 +3 1 0x70b 0x76d526fe @@ -293814,6 +367268,8 @@ 1 0 0 +4 +2 1 0x646d 0xfbd10229 @@ -293823,6 +367279,8 @@ 0 0 3 +4 +3 0x4e07 0x6545d588 256 @@ -293830,6 +367288,8 @@ 1 0 0 +4 +0 2 0xe801 0x9cccf1b0 @@ -293838,6 +367298,8 @@ 1 1 0 +4 +5 3 0xce7a 0xe761582d @@ -293846,6 +367308,8 @@ 0 0 0 +0 +1 1 0x5817 0x35c5eab7 @@ -293855,6 +367319,8 @@ 0 0 1 +4 +1 0x925f 0xf494898c 256 @@ -293862,6 +367328,8 @@ 1 1 0 +0 +4 1 0xc98f 0x69ee7969 @@ -293871,6 +367339,8 @@ 1 0 3 +2 +3 0x32b7 0xb3f22019 256 @@ -293878,6 +367348,8 @@ 1 1 0 +4 +5 1 0x9b2c 0x31b72e77 @@ -293886,6 +367358,8 @@ 1 1 0 +3 +2 2 0x6fa1 0xe3f698a4 @@ -293894,6 +367368,8 @@ 0 0 0 +5 +2 4 0xe39c 0xb3ac3642 @@ -293903,6 +367379,8 @@ 0 0 4 +3 +4 0x2b78 0x2a492f13 256 @@ -293910,6 +367388,8 @@ 1 1 0 +0 +5 4 0xc3fc 0x2bee4f96 @@ -293918,6 +367398,8 @@ 0 0 0 +3 +1 4 0x6f1c 0x5e51973 @@ -293926,6 +367408,8 @@ 0 0 0 +1 +5 4 0x460a 0x7c1ece76 @@ -293934,6 +367418,8 @@ 0 0 0 +2 +1 3 0xe8a1 0xa81c2ee3 @@ -293942,6 +367428,8 @@ 1 0 0 +5 +1 4 0x769c 0xab3e531c @@ -293950,6 +367438,8 @@ 0 0 0 +3 +3 2 0xcde 0xd5d5e34a @@ -293958,6 +367448,8 @@ 1 0 0 +5 +1 2 0x8516 0x628354b2 @@ -293966,6 +367458,8 @@ 0 0 0 +3 +4 1 0x4ffe 0xf131516b @@ -293974,6 +367468,8 @@ 0 0 0 +3 +3 1 0xf545 0x56a042c2 @@ -293982,6 +367478,8 @@ 0 0 0 +0 +1 4 0xbdbc 0x7757b979 @@ -293990,6 +367488,8 @@ 1 1 0 +1 +1 2 0x50eb 0x5cff267 @@ -293999,6 +367499,8 @@ 1 0 1 +0 +1 0x2a45 0x93c9a0ab 256 @@ -294006,6 +367508,8 @@ 0 0 0 +5 +2 1 0x6083 0x72dcf23e @@ -294015,6 +367519,8 @@ 1 0 4 +4 +4 0x243d 0xc53f1c08 256 @@ -294022,6 +367528,8 @@ 0 0 0 +4 +4 3 0x7fb6 0xe93e35db @@ -294031,6 +367539,8 @@ 0 0 4 +0 +4 0x2fbc 0x63d05281 256 @@ -294038,6 +367548,8 @@ 0 0 0 +1 +3 2 0x5598 0x47c20617 @@ -294046,6 +367558,8 @@ 0 0 0 +1 +1 4 0x3fdf 0x3be3379 @@ -294054,6 +367568,8 @@ 1 0 0 +3 +0 2 0x6ac9 0x7ae5ac5b @@ -294062,6 +367578,8 @@ 0 0 0 +1 +5 2 0x72d3 0xa9e3a81f @@ -294070,6 +367588,8 @@ 0 0 0 +3 +4 2 0x80d 0xf9f01381 @@ -294079,6 +367599,8 @@ 0 0 2 +3 +2 0x4719 0xb2b16b43 256 @@ -294086,6 +367608,8 @@ 0 0 0 +5 +5 3 0x53b5 0x91c11edf @@ -294094,6 +367618,8 @@ 1 0 0 +1 +2 3 0x5d97 0xaf543a4c @@ -294103,6 +367629,8 @@ 1 0 2 +0 +2 0x4514 0xde682ee6 256 @@ -294110,6 +367638,8 @@ 1 0 0 +3 +0 1 0xd7a3 0xf5d81d55 @@ -294118,6 +367648,8 @@ 1 0 0 +3 +3 2 0x3ec5 0xff7a1446 @@ -294126,6 +367658,8 @@ 0 0 0 +4 +4 1 0xf527 0x749e991c @@ -294134,6 +367668,8 @@ 0 0 0 +4 +0 3 0x56ee 0x900d4408 @@ -294142,6 +367678,8 @@ 0 0 0 +0 +1 2 0x70ae 0x791442d6 @@ -294151,6 +367689,8 @@ 1 0 1 +2 +1 0xf811 0x6860ba8b 256 @@ -294158,6 +367698,8 @@ 0 0 0 +4 +3 2 0x1456 0x697e1964 @@ -294166,6 +367708,8 @@ 0 0 0 +0 +2 2 0x144f 0x1aa85a4c @@ -294174,6 +367718,8 @@ 1 1 0 +5 +2 1 0xccc2 0xb6cd3977 @@ -294182,6 +367728,8 @@ 1 1 0 +2 +5 3 0xcffb 0xc6a36369 @@ -294190,6 +367738,8 @@ 1 0 0 +0 +0 1 0x3d23 0x6ad640f5 @@ -294198,6 +367748,8 @@ 0 0 0 +3 +3 2 0x9c56 0x5e6d28d8 @@ -294206,6 +367758,8 @@ 1 0 0 +5 +5 1 0x4f91 0xf021ad65 @@ -294214,6 +367768,8 @@ 0 0 0 +1 +2 3 0xd714 0x5936ff20 @@ -294222,6 +367778,8 @@ 1 1 0 +0 +4 4 0xbac9 0x91ed9304 @@ -294230,6 +367788,8 @@ 0 0 0 +4 +5 3 0x48 0xfb4f1cbd @@ -294239,6 +367799,8 @@ 0 0 3 +4 +3 0x566 0xab07072d 256 @@ -294246,6 +367808,8 @@ 1 0 0 +2 +4 4 0xf270 0xa56ee094 @@ -294254,6 +367818,8 @@ 1 0 0 +2 +0 4 0xd0b8 0x4683b65a @@ -294263,6 +367829,8 @@ 1 0 1 +1 +1 0xc6a8 0x53825263 256 @@ -294271,6 +367839,8 @@ 1 0 1 +4 +1 0x739f 0xf3e31995 256 @@ -294279,6 +367849,8 @@ 0 0 2 +2 +2 0x1d33 0x55d21c9c 256 @@ -294286,6 +367858,8 @@ 0 0 0 +0 +4 1 0xbbfd 0xa5722024 @@ -294294,6 +367868,8 @@ 0 0 0 +4 +4 3 0xba16 0xd93ebe2c @@ -294302,6 +367878,8 @@ 1 1 0 +4 +1 2 0xa64d 0x35c3773a @@ -294310,6 +367888,8 @@ 0 0 0 +1 +2 4 0xa37a 0xedf36bc1 @@ -294318,6 +367898,8 @@ 1 1 0 +3 +5 1 0x8c5 0xa67d8883 @@ -294326,6 +367908,8 @@ 0 0 0 +5 +4 2 0xd829 0xf83f02e9 @@ -294334,6 +367918,8 @@ 1 0 0 +1 +3 2 0x20d2 0x997a005f @@ -294342,6 +367928,8 @@ 0 0 0 +1 +1 3 0x822e 0x2e4dc5d8 @@ -294350,6 +367938,8 @@ 1 0 0 +1 +1 4 0x5516 0x624c9b24 @@ -294359,6 +367949,8 @@ 0 0 4 +1 +4 0x365 0x3c023e0e 256 @@ -294366,6 +367958,8 @@ 1 1 0 +3 +1 4 0x3fc4 0xc9604661 @@ -294374,6 +367968,8 @@ 0 0 0 +4 +0 1 0xdf45 0x61dd631 @@ -294382,6 +367978,8 @@ 0 0 0 +0 +5 2 0x7728 0xe134c34e @@ -294390,6 +367988,8 @@ 0 0 0 +5 +5 1 0x971d 0x8b7e4d9d @@ -294398,6 +367998,8 @@ 0 0 0 +2 +2 4 0xf63e 0x7ebfc93e @@ -294406,6 +368008,8 @@ 1 1 0 +4 +3 2 0xca04 0x65e079a8 @@ -294415,6 +368019,8 @@ 0 0 2 +5 +2 0x3fbd 0xf86f5207 256 @@ -294422,7 +368028,9 @@ 0 0 0 -3 +2 +0 +3 0xac7c 0x161c330e 256 @@ -294430,6 +368038,8 @@ 0 0 0 +3 +4 1 0x2d9f 0xf61c1160 @@ -294438,6 +368048,8 @@ 1 1 0 +5 +1 4 0x540b 0xf9147f2 @@ -294446,6 +368058,8 @@ 1 0 0 +3 +3 1 0x4c74 0x1bd228ce @@ -294454,6 +368068,8 @@ 0 0 0 +2 +1 1 0xc75f 0xd898d0bd @@ -294462,6 +368078,8 @@ 0 0 0 +5 +5 2 0xc5a2 0xe4380e42 @@ -294470,6 +368088,8 @@ 0 0 0 +3 +2 1 0xab71 0x35c5e342 @@ -294479,6 +368099,8 @@ 0 0 2 +1 +2 0x330b 0x5251cf7b 256 @@ -294486,6 +368108,8 @@ 0 0 0 +5 +4 2 0x2049 0xe165eaaf @@ -294494,6 +368118,8 @@ 0 0 0 +3 +1 1 0x5717 0x238f0835 @@ -294502,6 +368128,8 @@ 1 1 0 +1 +3 4 0x8fcd 0x6241f9c3 @@ -294510,6 +368138,8 @@ 1 1 0 +4 +3 3 0xa070 0xad56e998 @@ -294519,6 +368149,8 @@ 0 0 3 +2 +3 0xdcd9 0x340bbed0 256 @@ -294526,6 +368158,8 @@ 1 0 0 +2 +2 1 0xaf3a 0xca830b34 @@ -294534,6 +368168,8 @@ 0 0 0 +5 +2 3 0x704b 0x4aa39ed8 @@ -294542,6 +368178,8 @@ 1 0 0 +1 +3 4 0x6c9a 0x4f5e7ee0 @@ -294550,6 +368188,8 @@ 0 0 0 +0 +3 1 0xabe3 0xd8a14e7a @@ -294558,6 +368198,8 @@ 0 0 0 +1 +2 2 0xc409 0xb6702c12 @@ -294566,6 +368208,8 @@ 0 0 0 +2 +2 3 0x32e2 0xe7d5ba6f @@ -294574,6 +368218,8 @@ 1 0 0 +5 +3 3 0x1a77 0x7920af85 @@ -294583,6 +368229,8 @@ 0 0 2 +4 +2 0xa603 0x7afc760f 256 @@ -294590,6 +368238,8 @@ 1 1 0 +1 +1 2 0xa903 0xf2ed02ea @@ -294598,6 +368248,8 @@ 1 0 0 +3 +0 2 0x279e 0xc9edf97e @@ -294606,6 +368258,8 @@ 1 1 0 +3 +3 1 0x8173 0x5acf3d09 @@ -294614,6 +368268,8 @@ 1 0 0 +4 +2 3 0x6ad1 0xa696be02 @@ -294623,6 +368279,8 @@ 0 0 3 +2 +3 0x231b 0x852b149e 256 @@ -294631,6 +368289,8 @@ 0 0 1 +5 +1 0x8600 0x139e9205 256 @@ -294638,6 +368298,8 @@ 1 1 0 +0 +5 4 0x2213 0x1f43c34d @@ -294646,6 +368308,8 @@ 0 0 0 +0 +2 4 0x8324 0x57805184 @@ -294654,6 +368318,8 @@ 0 0 0 +2 +3 4 0x6e8 0x3a5bb803 @@ -294662,6 +368328,8 @@ 0 0 0 +3 +1 2 0x77eb 0x567a2c6f @@ -294670,6 +368338,8 @@ 0 0 0 +5 +5 4 0xb2bc 0x5879b77a @@ -294679,6 +368349,8 @@ 0 0 4 +4 +4 0xbdd9 0x5dd01252 256 @@ -294687,6 +368359,8 @@ 1 0 1 +1 +1 0x3361 0xaf87af02 256 @@ -294695,6 +368369,8 @@ 0 0 3 +5 +3 0xa036 0x780f8634 256 @@ -294702,6 +368378,8 @@ 1 0 0 +4 +3 3 0x22e0 0x628e79af @@ -294710,6 +368388,8 @@ 1 1 0 +5 +0 1 0x9cdc 0xb73ce4b9 @@ -294718,6 +368398,8 @@ 0 0 0 +3 +5 2 0xcc8c 0xa009c6ad @@ -294726,6 +368408,8 @@ 1 0 0 +0 +0 3 0x1450 0xb31a5452 @@ -294735,6 +368419,8 @@ 0 0 4 +3 +4 0x8467 0x4ff44f91 256 @@ -294742,6 +368428,8 @@ 1 1 0 +4 +0 2 0xb0c0 0xd2a7ccb4 @@ -294751,6 +368439,8 @@ 0 0 1 +4 +1 0x9d2c 0xcba4abd1 256 @@ -294758,6 +368448,8 @@ 1 1 0 +0 +2 2 0xe70c 0x5d960872 @@ -294766,6 +368458,8 @@ 0 0 0 +4 +3 1 0x4445 0x5be56fbf @@ -294774,6 +368468,8 @@ 1 1 0 +5 +2 3 0xa8f0 0x134e3985 @@ -294782,6 +368478,8 @@ 1 1 0 +0 +3 2 0xcc77 0xc01b61c4 @@ -294791,6 +368489,8 @@ 1 0 3 +4 +3 0x4a6b 0x70fde3d1 256 @@ -294798,6 +368498,8 @@ 1 0 0 +1 +3 2 0xdc24 0x4074dec1 @@ -294806,6 +368508,8 @@ 0 0 0 +3 +3 4 0xc6e 0x9ad670e0 @@ -294815,6 +368519,8 @@ 0 0 1 +3 +1 0x7738 0x6563316a 256 @@ -294822,6 +368528,8 @@ 0 0 0 +2 +0 1 0x2ee3 0xf86c0d9c @@ -294830,6 +368538,8 @@ 0 0 0 +3 +1 1 0xedf7 0x67481788 @@ -294838,6 +368548,8 @@ 1 0 0 +3 +4 4 0x4e97 0x2e124778 @@ -294846,6 +368558,8 @@ 1 0 0 +2 +3 1 0x1106 0x6fbf58af @@ -294854,6 +368568,8 @@ 1 1 0 +1 +0 3 0x50a9 0xca40fdc @@ -294862,6 +368578,8 @@ 1 0 0 +5 +1 4 0x93b0 0x534eecb5 @@ -294871,6 +368589,8 @@ 0 0 3 +5 +3 0xd486 0xf149f34c 256 @@ -294878,6 +368598,8 @@ 0 0 0 +0 +4 2 0x4c24 0x976edab6 @@ -294886,6 +368608,8 @@ 0 0 0 +4 +1 3 0x588f 0xc7a74372 @@ -294894,6 +368618,8 @@ 1 1 0 +1 +5 3 0xa4f6 0x1256d516 @@ -294902,6 +368628,8 @@ 1 1 0 +2 +1 1 0x67de 0xe0415147 @@ -294911,6 +368639,8 @@ 0 0 2 +3 +2 0xd97e 0x6ff8d6a6 256 @@ -294918,6 +368648,8 @@ 0 0 0 +4 +0 1 0x9d08 0x2ee0ab1a @@ -294926,6 +368658,8 @@ 1 1 0 +5 +0 4 0xa6c3 0xde670f1f @@ -294934,6 +368668,8 @@ 0 0 0 +5 +0 4 0x17d 0x34dcb0fd @@ -294942,6 +368678,8 @@ 1 0 0 +0 +2 1 0x2088 0x1726dbc5 @@ -294950,6 +368688,8 @@ 0 0 0 +3 +0 2 0xef3d 0x4cdebe36 @@ -294958,6 +368698,8 @@ 0 0 0 +0 +3 3 0xa130 0xce487698 @@ -294966,6 +368708,8 @@ 1 1 0 +0 +0 3 0xd953 0x9a25c570 @@ -294975,6 +368719,8 @@ 1 0 2 +2 +2 0x455 0x71365f88 256 @@ -294982,6 +368728,8 @@ 1 1 0 +3 +5 2 0xbee9 0xf266f68d @@ -294991,6 +368739,8 @@ 0 0 4 +0 +4 0x967 0xeea9f665 256 @@ -294998,6 +368748,8 @@ 0 0 0 +5 +3 1 0x82a3 0xd7be9fcf @@ -295006,6 +368758,8 @@ 0 0 0 +5 +4 2 0x346 0xeac2a22b @@ -295014,6 +368768,8 @@ 0 0 0 +2 +5 3 0xfb84 0x7ef8763f @@ -295022,6 +368778,8 @@ 0 0 0 +5 +1 1 0xef24 0x22f7f587 @@ -295030,6 +368788,8 @@ 1 1 0 +2 +2 4 0x94d2 0x4e5e1295 @@ -295038,6 +368798,8 @@ 0 0 0 +1 +5 2 0xa49c 0xdab1c25f @@ -295046,6 +368808,8 @@ 0 0 0 +5 +5 2 0x11a2 0xa9a48c94 @@ -295054,6 +368818,8 @@ 1 0 0 +3 +2 1 0xbff9 0x6d1f8321 @@ -295062,6 +368828,8 @@ 0 0 0 +3 +2 2 0x3b06 0xc3b052af @@ -295070,6 +368838,8 @@ 0 0 0 +3 +0 2 0x2edb 0xf822b088 @@ -295078,6 +368848,8 @@ 1 1 0 +2 +2 1 0x560a 0x2754d048 @@ -295086,6 +368858,8 @@ 1 1 0 +5 +3 4 0x8598 0xdfbf4e4d @@ -295094,6 +368868,8 @@ 1 0 0 +3 +5 1 0xb59b 0x84d694af @@ -295102,6 +368878,8 @@ 1 1 0 +0 +1 4 0xfa41 0x966fc7f5 @@ -295110,6 +368888,8 @@ 0 0 0 +4 +0 1 0xfff4 0x877af3bd @@ -295118,6 +368898,8 @@ 1 1 0 +0 +0 2 0xdd83 0x8850c3f7 @@ -295126,6 +368908,8 @@ 0 0 0 +3 +5 2 0xbdde 0x538c163f @@ -295134,6 +368918,8 @@ 0 0 0 +4 +0 2 0xdbc3 0x53a0f812 @@ -295142,6 +368928,8 @@ 1 1 0 +0 +2 3 0xecba 0x3a307fa3 @@ -295150,6 +368938,8 @@ 1 0 0 +1 +4 3 0xa0e1 0x129786b6 @@ -295158,6 +368948,8 @@ 0 0 0 +2 +2 1 0x80bc 0xf6096580 @@ -295166,6 +368958,8 @@ 1 1 0 +5 +5 2 0x1e55 0xb8768362 @@ -295174,6 +368968,8 @@ 0 0 0 +1 +1 4 0xd5d1 0xaae58c88 @@ -295183,6 +368979,8 @@ 0 0 3 +0 +3 0xcf17 0xae9b1d65 256 @@ -295190,6 +368988,8 @@ 1 1 0 +2 +5 3 0x5800 0x2997971c @@ -295198,6 +368998,8 @@ 0 0 0 +4 +3 2 0xd871 0xfc7e1555 @@ -295206,6 +369008,8 @@ 1 0 0 +4 +3 3 0x7411 0x77f0ef96 @@ -295214,6 +369018,8 @@ 0 0 0 +4 +0 2 0x49e3 0x935867e0 @@ -295222,6 +369028,8 @@ 0 0 0 +0 +1 2 0xf983 0x7efdba3e @@ -295230,6 +369038,8 @@ 0 0 0 +3 +0 1 0x791d 0x34fa1560 @@ -295238,6 +369048,8 @@ 1 0 0 +1 +4 2 0x6ad0 0x3be0d413 @@ -295247,6 +369059,8 @@ 1 0 2 +1 +2 0x426f 0x2a38bb52 256 @@ -295254,6 +369068,8 @@ 0 0 0 +4 +0 3 0x1d46 0xaf7d8b68 @@ -295262,6 +369078,8 @@ 1 0 0 +5 +4 2 0xdc45 0x151110a8 @@ -295270,6 +369088,8 @@ 0 0 0 +2 +3 3 0x3b0c 0xabffe0f3 @@ -295278,6 +369098,8 @@ 0 0 0 +1 +2 3 0x51eb 0x25eb2bbc @@ -295286,6 +369108,8 @@ 0 0 0 +4 +0 3 0x7954 0xa6ec051b @@ -295294,6 +369118,8 @@ 1 0 0 +3 +1 4 0xf664 0x40f91baa @@ -295302,6 +369128,8 @@ 1 1 0 +5 +0 4 0xa1b3 0x16a52e50 @@ -295310,6 +369138,8 @@ 1 0 0 +5 +4 1 0xcc4d 0x1b482a84 @@ -295318,6 +369148,8 @@ 1 1 0 +0 +3 2 0x2a4e 0x945caf32 @@ -295326,6 +369158,8 @@ 0 0 0 +0 +4 1 0xea88 0xe3285915 @@ -295334,6 +369168,8 @@ 1 1 0 +2 +4 1 0x43ef 0xd653c07b @@ -295343,6 +369179,8 @@ 1 0 1 +1 +1 0x8e4d 0x12c3996b 256 @@ -295350,6 +369188,8 @@ 1 1 0 +2 +5 4 0x928 0xce6fdcf0 @@ -295358,6 +369198,8 @@ 1 1 0 +1 +2 2 0x1105 0x3c281bc3 @@ -295367,6 +369209,8 @@ 0 0 4 +3 +4 0x248d 0xd49debb0 256 @@ -295374,6 +369218,8 @@ 1 1 0 +1 +1 4 0x7011 0x74fa7fed @@ -295382,6 +369228,8 @@ 1 0 0 +0 +5 2 0xea56 0x7b3eeb8d @@ -295390,6 +369238,8 @@ 1 1 0 +0 +2 1 0xde4f 0xb4f314d2 @@ -295399,6 +369249,8 @@ 0 0 2 +2 +2 0x5a5e 0xe8988235 256 @@ -295406,6 +369258,8 @@ 0 0 0 +0 +3 4 0x3c3d 0x45eaaee @@ -295414,6 +369268,8 @@ 0 0 0 +4 +3 3 0xc1d 0xd22083af @@ -295422,6 +369278,8 @@ 1 0 0 +1 +1 3 0x38d9 0xb1e3d0a6 @@ -295430,6 +369288,8 @@ 1 1 0 +5 +3 3 0xdeec 0x9d3910de @@ -295438,6 +369298,8 @@ 0 0 0 +4 +4 2 0x2aeb 0x71ef19ab @@ -295446,6 +369308,8 @@ 1 1 0 +1 +3 2 0x288e 0xba8c2910 @@ -295454,6 +369318,8 @@ 0 0 0 +1 +5 2 0x418c 0xd9d0508 @@ -295462,6 +369328,8 @@ 0 0 0 +0 +2 4 0x7a4 0x92f71598 @@ -295470,6 +369338,8 @@ 0 0 0 +3 +2 4 0xf3e5 0xc9cd2564 @@ -295478,6 +369348,8 @@ 1 0 0 +4 +5 2 0x369b 0xc2a1ac3a @@ -295486,6 +369358,8 @@ 0 0 0 +2 +3 1 0xedf6 0x59a954b4 @@ -295495,6 +369369,8 @@ 0 0 3 +3 +3 0x655d 0x427e7324 256 @@ -295502,6 +369378,8 @@ 1 0 0 +0 +2 3 0xf91 0x9a7bd9f @@ -295510,6 +369388,8 @@ 0 0 0 +2 +2 4 0x1082 0x99e5a755 @@ -295518,6 +369398,8 @@ 1 1 0 +4 +3 1 0x3bcc 0x8d905aae @@ -295526,6 +369408,8 @@ 1 0 0 +0 +3 4 0xcf10 0xe81cc5f0 @@ -295534,6 +369418,8 @@ 0 0 0 +2 +1 3 0x3987 0x5bfa496 @@ -295542,6 +369428,8 @@ 0 0 0 +0 +4 4 0x6c2c 0xfc647865 @@ -295550,6 +369438,8 @@ 0 0 0 +2 +1 1 0x7141 0x4fd9bf2b @@ -295559,6 +369449,8 @@ 1 0 3 +5 +3 0x892b 0xeb7465cb 256 @@ -295566,6 +369458,8 @@ 1 0 0 +5 +1 3 0x85ea 0xa44c3d83 @@ -295574,6 +369468,8 @@ 0 0 0 +2 +5 1 0x2070 0xcb0acae @@ -295582,6 +369478,8 @@ 1 0 0 +2 +3 1 0xc09a 0x493befa3 @@ -295590,6 +369488,8 @@ 0 0 0 +0 +2 2 0x4389 0x9a170d15 @@ -295598,6 +369498,8 @@ 0 0 0 +4 +3 3 0x3d1e 0x6c75977 @@ -295607,6 +369509,8 @@ 0 0 4 +1 +4 0x6306 0x808de07a 256 @@ -295614,6 +369518,8 @@ 1 0 0 +1 +4 3 0xcdcf 0xa96225eb @@ -295622,6 +369528,8 @@ 1 1 0 +3 +4 2 0x5481 0x553f1bfc @@ -295630,6 +369538,8 @@ 0 0 0 +3 +5 2 0xb9b3 0xc6f868c9 @@ -295638,6 +369548,8 @@ 0 0 0 +1 +0 3 0x9a25 0x2e16399f @@ -295646,6 +369558,8 @@ 1 1 0 +3 +3 1 0x9aa0 0xb5505df2 @@ -295654,6 +369568,8 @@ 0 0 0 +0 +3 3 0x7166 0xd2de1bc1 @@ -295662,6 +369578,8 @@ 1 0 0 +0 +3 1 0xc80c 0xae9fc1bd @@ -295670,6 +369588,8 @@ 0 0 0 +0 +0 4 0x19cd 0x3e2399ce @@ -295679,6 +369599,8 @@ 1 0 3 +3 +3 0x15ee 0x505cddfc 256 @@ -295686,6 +369608,8 @@ 0 0 0 +3 +3 2 0xc985 0xc1a6d5e9 @@ -295694,6 +369618,8 @@ 1 1 0 +2 +3 4 0x1aed 0xf8472f60 @@ -295702,6 +369628,8 @@ 1 0 0 +2 +3 3 0x404d 0xf8aefd06 @@ -295711,6 +369639,8 @@ 0 0 3 +2 +3 0xf12e 0x970303ef 256 @@ -295718,6 +369648,8 @@ 0 0 0 +4 +1 2 0x9823 0xdf6de56c @@ -295726,6 +369658,8 @@ 0 0 0 +0 +2 2 0x4bea 0xf5e45154 @@ -295734,6 +369668,8 @@ 1 1 0 +5 +4 1 0x5ce4 0xd6eb49eb @@ -295742,6 +369678,8 @@ 1 0 0 +3 +4 4 0x9efa 0x197d88c4 @@ -295750,6 +369688,8 @@ 1 0 0 +5 +2 4 0x6c39 0x731d7264 @@ -295758,6 +369698,8 @@ 0 0 0 +2 +0 4 0x5aa2 0xea282f66 @@ -295766,6 +369708,8 @@ 1 0 0 +2 +3 1 0x9f30 0x93531e76 @@ -295774,6 +369718,8 @@ 0 0 0 +3 +0 2 0x9a69 0x4b126128 @@ -295782,6 +369728,8 @@ 1 1 0 +1 +0 2 0x1bab 0xdd557fcb @@ -295790,6 +369738,8 @@ 0 0 0 +5 +4 2 0xef5 0xf9dd213b @@ -295798,6 +369748,8 @@ 1 1 0 +5 +2 2 0x7811 0x9b1082e @@ -295806,6 +369758,8 @@ 1 1 0 +0 +5 3 0x85cc 0xf24e72d6 @@ -295814,6 +369768,8 @@ 0 0 0 +4 +3 1 0x5e0 0xace4a82a @@ -295822,6 +369778,8 @@ 0 0 0 +1 +0 2 0xe1a3 0xa4751c3 @@ -295830,6 +369788,8 @@ 1 1 0 +4 +3 1 0x1c16 0xf9d84bb6 @@ -295838,6 +369798,8 @@ 1 0 0 +0 +1 4 0x874 0x26584ae8 @@ -295846,6 +369808,8 @@ 0 0 0 +5 +4 2 0xa45e 0xe618433e @@ -295854,6 +369818,8 @@ 0 0 0 +3 +5 1 0xbf80 0xc9091b8b @@ -295862,6 +369828,8 @@ 0 0 0 +4 +5 3 0xe475 0xced3106 @@ -295870,6 +369838,8 @@ 1 0 0 +3 +2 2 0x4f87 0x6353210f @@ -295879,6 +369849,8 @@ 1 0 3 +1 +3 0x2bdc 0xa8d373c3 256 @@ -295886,6 +369858,8 @@ 1 1 0 +0 +3 1 0x62e2 0x65c13b1a @@ -295894,6 +369868,8 @@ 0 0 0 +5 +1 4 0x3b07 0x6bdc9562 @@ -295902,6 +369878,8 @@ 1 0 0 +1 +3 4 0xe8b7 0xb1711f56 @@ -295910,6 +369888,8 @@ 0 0 0 +0 +2 4 0x9a29 0x80a238bb @@ -295918,6 +369898,8 @@ 1 0 0 +2 +0 4 0x9471 0x1291b160 @@ -295926,6 +369908,8 @@ 1 0 0 +1 +5 4 0x34a8 0x71b4e5a4 @@ -295934,6 +369918,8 @@ 1 1 0 +0 +3 4 0x7160 0x23bbb0c9 @@ -295942,6 +369928,8 @@ 1 0 0 +2 +5 3 0x3802 0xa0a72bd4 @@ -295950,6 +369938,8 @@ 0 0 0 +4 +0 2 0xaa05 0xc5612022 @@ -295958,6 +369948,8 @@ 1 0 0 +2 +5 3 0x4e1e 0xf20fe764 @@ -295967,6 +369959,8 @@ 0 0 3 +4 +3 0xf749 0xd85fab3e 256 @@ -295974,6 +369968,8 @@ 1 1 0 +2 +0 4 0x356b 0x7b1d8580 @@ -295982,6 +369978,8 @@ 0 0 0 +1 +4 3 0xfac4 0x5b4b056f @@ -295990,6 +369988,8 @@ 1 0 0 +0 +0 4 0x4551 0xf9053e13 @@ -295998,6 +369998,8 @@ 0 0 0 +1 +1 3 0x39df 0x38943b62 @@ -296006,6 +370008,8 @@ 1 0 0 +5 +1 1 0xe48f 0xdf2474ba @@ -296015,6 +370019,8 @@ 0 0 2 +3 +2 0x7456 0x43fb6509 256 @@ -296022,6 +370028,8 @@ 0 0 0 +4 +4 3 0x92a4 0x7404bfb2 @@ -296030,6 +370038,8 @@ 0 0 0 +0 +1 4 0xbd1e 0xc6b633d @@ -296038,6 +370048,8 @@ 1 1 0 +4 +0 1 0x59c8 0xca970c9a @@ -296046,6 +370058,8 @@ 1 1 0 +3 +3 2 0x95ca 0x9a6bdc70 @@ -296054,6 +370068,8 @@ 1 1 0 +2 +5 4 0xb233 0x7ebf0030 @@ -296062,6 +370078,8 @@ 1 1 0 +5 +0 4 0x685b 0x5695706e @@ -296070,6 +370088,8 @@ 0 0 0 +3 +4 2 0x109b 0x3111259a @@ -296078,6 +370098,8 @@ 1 0 0 +3 +1 2 0xba65 0x41c91215 @@ -296087,6 +370109,8 @@ 1 0 2 +0 +2 0xbd3c 0x23e88514 256 @@ -296095,6 +370119,8 @@ 0 0 1 +4 +1 0xc906 0x55b86c00 256 @@ -296102,6 +370128,8 @@ 1 1 0 +0 +1 4 0xeb6a 0xea1443c5 @@ -296110,6 +370138,8 @@ 1 0 0 +2 +0 3 0x5717 0xb71cafa6 @@ -296118,6 +370148,8 @@ 0 0 0 +0 +4 4 0x2fa5 0x632a1cc8 @@ -296126,6 +370158,8 @@ 1 0 0 +4 +4 2 0x9321 0x7169a96d @@ -296134,6 +370168,8 @@ 0 0 0 +4 +5 2 0x1c7f 0xca732b3c @@ -296142,6 +370178,8 @@ 1 1 0 +1 +2 3 0xabcb 0xc1cea61c @@ -296150,6 +370188,8 @@ 1 0 0 +3 +2 1 0x38d4 0x6336a1dc @@ -296159,6 +370199,8 @@ 0 0 2 +0 +2 0xe815 0xcbc62f4 256 @@ -296166,6 +370208,8 @@ 1 0 0 +1 +1 4 0xf1b2 0xb0e86c69 @@ -296174,6 +370218,8 @@ 0 0 0 +3 +3 1 0x5d54 0xcd98bc18 @@ -296182,6 +370228,8 @@ 1 1 0 +4 +3 2 0x4adb 0x7bb1a7a @@ -296190,6 +370238,8 @@ 1 0 0 +3 +1 2 0x1ffa 0x6dc8db06 @@ -296199,6 +370249,8 @@ 1 0 1 +1 +1 0xa2e5 0x5c9ae671 256 @@ -296207,6 +370259,8 @@ 1 0 2 +1 +2 0xa416 0x5f95e629 256 @@ -296215,6 +370269,8 @@ 0 0 2 +1 +2 0x5f4c 0xd5812d11 256 @@ -296222,6 +370278,8 @@ 1 1 0 +3 +3 1 0x16f1 0x5b1fa1a2 @@ -296230,6 +370288,8 @@ 1 1 0 +3 +3 2 0x17e9 0xc5b6f40e @@ -296238,6 +370298,8 @@ 1 0 0 +0 +3 4 0xebc8 0xa1ff6126 @@ -296246,6 +370308,8 @@ 0 0 0 +0 +1 1 0x647c 0xb5d4ddc5 @@ -296254,6 +370318,8 @@ 0 0 0 +5 +2 2 0x8cc6 0x4f5cc3d4 @@ -296262,6 +370328,8 @@ 1 0 0 +2 +5 3 0xc34d 0xceb2f275 @@ -296270,6 +370338,8 @@ 0 0 0 +1 +5 4 0x2abe 0xecc10547 @@ -296278,6 +370348,8 @@ 0 0 0 +4 +4 1 0x2627 0x700c474d @@ -296286,6 +370358,8 @@ 1 0 0 +1 +0 4 0xa976 0x24bef79a @@ -296294,6 +370368,8 @@ 0 0 0 +4 +4 2 0x45b6 0x437b33cb @@ -296302,6 +370378,8 @@ 0 0 0 +2 +0 1 0x9e26 0x8901ba26 @@ -296311,6 +370389,8 @@ 0 0 1 +4 +1 0xfc39 0x9de2d203 256 @@ -296319,6 +370399,8 @@ 0 0 3 +3 +3 0x6699 0x549f9398 256 @@ -296326,6 +370408,8 @@ 1 1 0 +4 +2 3 0x2970 0xb4e54708 @@ -296334,6 +370418,8 @@ 0 0 0 +5 +4 4 0x9ed7 0x8a141292 @@ -296342,6 +370428,8 @@ 0 0 0 +2 +5 1 0x3758 0x41e02489 @@ -296350,6 +370438,8 @@ 0 0 0 +2 +1 4 0xd761 0xc8911131 @@ -296358,6 +370448,8 @@ 1 0 0 +3 +5 1 0xca96 0x49eaa824 @@ -296366,6 +370458,8 @@ 0 0 0 +5 +0 2 0x378c 0x7d5d2b74 @@ -296374,6 +370468,8 @@ 0 0 0 +0 +4 1 0x1d2d 0xd9da3465 @@ -296382,6 +370478,8 @@ 0 0 0 +3 +5 2 0x8aa4 0xd2da13de @@ -296391,6 +370489,8 @@ 0 0 1 +5 +1 0xbf4 0x3af72646 256 @@ -296398,6 +370498,8 @@ 0 0 0 +5 +5 3 0x45e6 0x91e2abff @@ -296406,6 +370508,8 @@ 0 0 0 +2 +3 4 0xdd9b 0xb185f66d @@ -296414,6 +370518,8 @@ 0 0 0 +3 +2 1 0xb60 0x4b162643 @@ -296422,6 +370528,8 @@ 0 0 0 +4 +0 3 0x554a 0x5881b89 @@ -296430,6 +370538,8 @@ 0 0 0 +4 +4 2 0xec1c 0xea650737 @@ -296438,6 +370548,8 @@ 0 0 0 +3 +0 1 0xb558 0x7ca23133 @@ -296446,6 +370558,8 @@ 0 0 0 +2 +5 3 0x1e88 0xfe3f50c2 @@ -296455,6 +370569,8 @@ 0 0 2 +0 +2 0x3098 0x72a696c2 256 @@ -296462,6 +370578,8 @@ 1 1 0 +3 +2 2 0x13cb 0xe963db42 @@ -296470,6 +370588,8 @@ 1 1 0 +0 +1 2 0xd312 0xaa5bb238 @@ -296478,6 +370598,8 @@ 1 0 0 +2 +4 4 0x6279 0x4ddeca45 @@ -296486,6 +370608,8 @@ 1 1 0 +3 +4 4 0xf892 0x23ee9c3b @@ -296495,6 +370619,8 @@ 0 0 3 +1 +3 0xcacf 0x2cfabc31 256 @@ -296503,6 +370629,8 @@ 0 0 2 +2 +2 0xd069 0xa9e75ff 256 @@ -296510,6 +370638,8 @@ 0 0 0 +3 +2 1 0xf6ac 0xe6c9ebff @@ -296519,6 +370649,8 @@ 0 0 2 +5 +2 0x247 0xe9e50eec 256 @@ -296526,6 +370658,8 @@ 0 0 0 +1 +1 2 0x8760 0x2eb2ec33 @@ -296534,6 +370668,8 @@ 0 0 0 +0 +1 1 0xc725 0x10ce181c @@ -296542,6 +370678,8 @@ 1 1 0 +2 +1 3 0xcba4 0x1be127e3 @@ -296551,6 +370689,8 @@ 0 0 3 +0 +3 0x89cd 0x6226a47 256 @@ -296558,6 +370698,8 @@ 0 0 0 +2 +2 1 0x5715 0x8fa378d6 @@ -296566,6 +370708,8 @@ 1 0 0 +1 +1 4 0xb183 0xbc25a81a @@ -296575,6 +370719,8 @@ 1 0 1 +2 +1 0x688b 0xd4a4e819 256 @@ -296582,6 +370728,8 @@ 0 0 0 +0 +2 4 0xad98 0xc42381d6 @@ -296590,6 +370738,8 @@ 1 0 0 +3 +1 1 0x9411 0x5b57c620 @@ -296599,6 +370749,8 @@ 0 0 4 +5 +4 0xb484 0x82522d82 256 @@ -296606,6 +370758,8 @@ 0 0 0 +3 +5 4 0x27a5 0xc7055cf3 @@ -296614,6 +370768,8 @@ 0 0 0 +0 +1 4 0xd65f 0x8cc5232 @@ -296623,6 +370779,8 @@ 1 0 4 +5 +4 0x70af 0x4e6a7e80 256 @@ -296630,6 +370788,8 @@ 0 0 0 +1 +5 4 0xa0c8 0x4230ed02 @@ -296638,6 +370798,8 @@ 1 0 0 +5 +0 2 0x8b87 0xa101b5df @@ -296646,6 +370808,8 @@ 1 0 0 +0 +4 4 0x4fd6 0x77e5e706 @@ -296655,6 +370819,8 @@ 1 0 1 +0 +1 0x9cda 0xa1e6ebae 256 @@ -296663,6 +370829,8 @@ 0 0 2 +0 +2 0xb0ee 0x46a0a975 256 @@ -296670,6 +370838,8 @@ 0 0 0 +3 +3 1 0x2e13 0x184c4337 @@ -296678,6 +370848,8 @@ 1 0 0 +4 +0 1 0x6b40 0x96b39e1b @@ -296686,6 +370858,8 @@ 1 1 0 +3 +5 1 0x7746 0x99ec4f5d @@ -296694,6 +370868,8 @@ 0 0 0 +3 +5 1 0x2eb0 0x85beac1d @@ -296702,6 +370878,8 @@ 0 0 0 +1 +1 4 0x52e1 0x9f84fde1 @@ -296710,6 +370888,8 @@ 0 0 0 +0 +4 2 0x9e5 0x6618dbb0 @@ -296718,6 +370898,8 @@ 0 0 0 +5 +1 3 0x1c40 0xe0553ec5 @@ -296726,6 +370908,8 @@ 0 0 0 +4 +3 1 0xde29 0xadaaeb57 @@ -296734,6 +370918,8 @@ 1 0 0 +5 +0 3 0xcaad 0xe47266aa @@ -296742,6 +370928,8 @@ 1 0 0 +0 +3 4 0x7e5b 0x4d39188f @@ -296750,6 +370938,8 @@ 1 0 0 +4 +4 2 0xdba1 0x7823167c @@ -296758,6 +370948,8 @@ 0 0 0 +5 +5 3 0x1489 0xdcc9f225 @@ -296766,6 +370958,8 @@ 1 0 0 +2 +0 4 0xfe1a 0x6c6a9dc0 @@ -296774,6 +370968,8 @@ 0 0 0 +0 +4 2 0x5c6c 0x7e920595 @@ -296782,6 +370978,8 @@ 1 1 0 +3 +3 1 0xc12f 0xcaca3309 @@ -296790,6 +370988,8 @@ 1 1 0 +4 +5 3 0x78 0x3d1ceffc @@ -296798,6 +370998,8 @@ 1 0 0 +5 +4 4 0xd8a9 0x8acff88b @@ -296806,6 +371008,8 @@ 0 0 0 +5 +1 3 0x6a59 0x1d87d386 @@ -296815,6 +371019,8 @@ 0 0 2 +3 +2 0xfa49 0x11e992e7 256 @@ -296822,6 +371028,8 @@ 0 0 0 +2 +1 4 0x724a 0x3f5366ca @@ -296830,6 +371038,8 @@ 1 0 0 +3 +1 1 0xa10 0x8262969a @@ -296838,6 +371048,8 @@ 1 1 0 +2 +1 4 0xef6c 0x5fb0b9b0 @@ -296846,6 +371058,8 @@ 0 0 0 +0 +2 3 0xcb6f 0xc3bd9e9b @@ -296854,6 +371068,8 @@ 0 0 0 +0 +2 4 0x1797 0xb39875ae @@ -296862,6 +371078,8 @@ 1 1 0 +1 +5 2 0x52f6 0xaba339a4 @@ -296870,6 +371088,8 @@ 1 0 0 +1 +3 4 0x84e3 0x8d3dd169 @@ -296878,6 +371098,8 @@ 1 1 0 +0 +3 1 0x598c 0x7a9d091 @@ -296886,6 +371108,8 @@ 1 1 0 +1 +2 3 0x1f43 0x2fc1e5a3 @@ -296894,6 +371118,8 @@ 1 1 0 +2 +5 4 0x2598 0xa4c81fe8 @@ -296902,6 +371128,8 @@ 0 0 0 +3 +4 1 0x8282 0x535f8c81 @@ -296910,6 +371138,8 @@ 0 0 0 +1 +5 2 0xc2d5 0x25f0783b @@ -296919,6 +371149,8 @@ 1 0 3 +4 +3 0xd0b0 0x434749f1 256 @@ -296927,6 +371159,8 @@ 0 0 2 +3 +2 0xe7ae 0xbb6bf8f4 256 @@ -296934,6 +371168,8 @@ 0 0 0 +2 +0 4 0xa628 0x406d25c6 @@ -296942,6 +371178,8 @@ 0 0 0 +1 +2 2 0x6bc9 0x1542e58a @@ -296951,6 +371189,8 @@ 0 0 4 +1 +4 0x5de 0x43747c79 256 @@ -296958,6 +371198,8 @@ 0 0 0 +3 +3 2 0x4b68 0xce6f6528 @@ -296966,6 +371208,8 @@ 0 0 0 +5 +5 2 0x9bdb 0x3ae0e5f6 @@ -296974,6 +371218,8 @@ 1 1 0 +3 +3 4 0x1f5 0x83598ab6 @@ -296982,6 +371228,8 @@ 1 1 0 +5 +0 4 0x9fb7 0x85d3edea @@ -296991,6 +371239,8 @@ 0 0 4 +4 +4 0xab6e 0xa0451189 256 @@ -296998,6 +371248,8 @@ 0 0 0 +0 +0 3 0x176 0x97e13962 @@ -297007,6 +371259,8 @@ 0 0 3 +0 +3 0x86ad 0xa3b3fcf2 256 @@ -297015,6 +371269,8 @@ 0 0 2 +3 +2 0x1475 0x3cebd1df 256 @@ -297022,6 +371278,8 @@ 0 0 0 +5 +1 3 0x2c99 0xa32e1022 @@ -297030,6 +371288,8 @@ 1 0 0 +0 +0 1 0xae1 0xdde5b205 @@ -297038,6 +371298,8 @@ 0 0 0 +0 +4 1 0x674c 0x958f8d49 @@ -297046,6 +371308,8 @@ 1 1 0 +5 +3 1 0x21dd 0x3145d114 @@ -297054,6 +371318,8 @@ 0 0 0 +2 +3 4 0x9931 0xad7f4c92 @@ -297062,6 +371328,8 @@ 0 0 0 +5 +5 1 0xcca9 0xe0f84056 @@ -297070,6 +371338,8 @@ 0 0 0 +0 +5 4 0xe275 0x52141fbd @@ -297078,6 +371348,8 @@ 1 1 0 +3 +1 4 0xe2d0 0xe58b83d4 @@ -297087,6 +371359,8 @@ 0 0 1 +5 +1 0x2546 0x5c413aba 256 @@ -297094,6 +371368,8 @@ 0 0 0 +4 +4 1 0x3d55 0x46ab7994 @@ -297102,6 +371378,8 @@ 1 1 0 +5 +2 1 0xbc3d 0x45f7ce3f @@ -297110,6 +371388,8 @@ 0 0 0 +1 +1 4 0x5653 0x83826941 @@ -297118,6 +371398,8 @@ 1 1 0 +2 +2 1 0x306d 0x9bf89776 @@ -297126,6 +371408,8 @@ 1 1 0 +3 +4 4 0x6afa 0x27d52fde @@ -297134,6 +371418,8 @@ 1 0 0 +3 +4 2 0xc0ba 0xb8351a60 @@ -297142,6 +371428,8 @@ 0 0 0 +0 +0 4 0x99cb 0x9e6941c3 @@ -297151,6 +371439,8 @@ 0 0 4 +3 +4 0xd812 0x54ed9d5d 256 @@ -297158,6 +371448,8 @@ 1 0 0 +0 +1 1 0x1245 0xb5441daf @@ -297166,6 +371458,8 @@ 0 0 0 +5 +0 1 0x330f 0xe23e265f @@ -297174,6 +371468,8 @@ 1 0 0 +5 +5 4 0xba04 0xc33700f6 @@ -297182,6 +371478,8 @@ 1 0 0 +2 +1 4 0xc3f6 0x19269c27 @@ -297191,6 +371489,8 @@ 0 0 1 +5 +1 0x6df3 0xc7a75e24 256 @@ -297198,6 +371498,8 @@ 1 0 0 +4 +4 3 0x3f2c 0x1584f814 @@ -297207,6 +371509,8 @@ 0 0 1 +3 +1 0x3ef3 0x907f340d 256 @@ -297214,6 +371518,8 @@ 0 0 0 +5 +1 4 0xc4b6 0x195a9fe6 @@ -297222,6 +371528,8 @@ 1 0 0 +0 +3 3 0x1f43 0x362632ca @@ -297230,6 +371538,8 @@ 0 0 0 +3 +0 1 0x7b37 0x488bc239 @@ -297238,6 +371548,8 @@ 1 1 0 +2 +2 1 0x3cbe 0xea78696 @@ -297246,6 +371558,8 @@ 0 0 0 +2 +1 1 0xa5f3 0xc5013de9 @@ -297254,6 +371568,8 @@ 1 0 0 +5 +1 4 0x92c0 0xc1514491 @@ -297262,6 +371578,8 @@ 1 0 0 +3 +0 2 0xf91e 0xfe0708bf @@ -297270,6 +371588,8 @@ 0 0 0 +0 +3 3 0x783b 0xdd6c4707 @@ -297278,6 +371598,8 @@ 0 0 0 +5 +1 2 0x3bbd 0x92946917 @@ -297286,6 +371608,8 @@ 1 0 0 +3 +3 4 0x1370 0xfd1311a9 @@ -297294,6 +371618,8 @@ 0 0 0 +5 +0 1 0x211a 0x56440686 @@ -297302,6 +371628,8 @@ 0 0 0 +2 +0 3 0x77ff 0x6244711d @@ -297311,6 +371639,8 @@ 0 0 4 +2 +4 0xf47f 0x9c284f5c 256 @@ -297319,6 +371649,8 @@ 0 0 4 +3 +4 0xd10f 0x257d4193 256 @@ -297326,6 +371658,8 @@ 1 0 0 +0 +0 4 0x27b0 0x183beaa3 @@ -297334,6 +371668,8 @@ 0 0 0 +5 +4 1 0x7600 0xf1c579bd @@ -297342,6 +371678,8 @@ 1 0 0 +0 +3 3 0x30a7 0xfd58a07e @@ -297350,6 +371688,8 @@ 1 0 0 +0 +1 2 0xada9 0xddcc5975 @@ -297359,6 +371699,8 @@ 0 0 2 +2 +2 0x3aa0 0x2f61e939 256 @@ -297367,6 +371709,8 @@ 0 0 3 +2 +3 0x326a 0xd7d16429 256 @@ -297374,6 +371718,8 @@ 1 1 0 +3 +2 4 0x685b 0x81e0defc @@ -297383,6 +371729,8 @@ 0 0 3 +4 +3 0xf925 0xa6c61f29 256 @@ -297390,6 +371738,8 @@ 1 0 0 +0 +1 2 0xc0e2 0x62e96cc0 @@ -297398,6 +371748,8 @@ 1 0 0 +2 +5 1 0x1c80 0x4a9bcefb @@ -297406,6 +371758,8 @@ 0 0 0 +1 +0 4 0xbbd7 0xb898d065 @@ -297414,6 +371768,8 @@ 1 0 0 +0 +5 4 0x3c1c 0x946c7dac @@ -297422,6 +371778,8 @@ 1 0 0 +2 +0 3 0x842c 0x42e5b9f1 @@ -297430,6 +371788,8 @@ 0 0 0 +4 +1 2 0x4140 0x8d40bcf0 @@ -297438,6 +371798,8 @@ 1 0 0 +0 +3 2 0x20d3 0x88071dec @@ -297446,6 +371808,8 @@ 0 0 0 +4 +1 1 0x4173 0x113b5b0b @@ -297454,6 +371818,8 @@ 1 0 0 +2 +1 1 0xf8aa 0x41f018ce @@ -297463,6 +371829,8 @@ 0 0 3 +5 +3 0x763 0xabee9b3d 256 @@ -297470,6 +371838,8 @@ 1 0 0 +3 +3 1 0x86c1 0x6747c5dd @@ -297478,6 +371848,8 @@ 0 0 0 +5 +4 2 0x3fc4 0x9814056d @@ -297486,6 +371858,8 @@ 1 0 0 +4 +3 2 0x4b7 0x70450559 @@ -297494,6 +371868,8 @@ 0 0 0 +0 +3 3 0xbb0f 0xfab64cbe @@ -297503,6 +371879,8 @@ 1 0 2 +1 +2 0x3e05 0x261a30d8 256 @@ -297510,6 +371888,8 @@ 1 1 0 +5 +0 1 0x2af0 0xb819d10c @@ -297518,6 +371898,8 @@ 1 0 0 +3 +1 4 0x33e4 0xd467a862 @@ -297526,6 +371908,8 @@ 1 1 0 +1 +5 3 0x42fd 0x34187b1b @@ -297534,6 +371918,8 @@ 1 0 0 +2 +3 3 0xa207 0x8eb003b4 @@ -297542,6 +371928,8 @@ 0 0 0 +0 +4 2 0x3367 0x4236754a @@ -297550,6 +371938,8 @@ 1 1 0 +4 +0 1 0xbabb 0x6ae25400 @@ -297559,6 +371949,8 @@ 0 0 2 +4 +2 0xacc0 0x9522e140 256 @@ -297566,6 +371958,8 @@ 1 1 0 +5 +5 3 0xc145 0x6c9dd4ff @@ -297574,6 +371968,8 @@ 0 0 0 +5 +3 4 0x634a 0x318d00cb @@ -297583,6 +371979,8 @@ 1 0 3 +0 +3 0x824c 0x8978576 256 @@ -297590,6 +371988,8 @@ 0 0 0 +2 +4 3 0x7dd2 0xfd24ab81 @@ -297598,6 +371998,8 @@ 0 0 0 +1 +3 4 0x525b 0x184384d5 @@ -297607,6 +372009,8 @@ 1 0 1 +0 +1 0x2008 0x9ae7e24c 256 @@ -297614,6 +372018,8 @@ 1 1 0 +0 +4 3 0x3719 0xa27f47e5 @@ -297622,6 +372028,8 @@ 1 1 0 +4 +5 2 0xc179 0xf3a9c9af @@ -297631,6 +372039,8 @@ 0 0 4 +1 +4 0xfbd4 0xece4455 256 @@ -297638,6 +372048,8 @@ 0 0 0 +5 +1 3 0xaa05 0x220e5c41 @@ -297646,6 +372058,8 @@ 0 0 0 +0 +5 4 0x6602 0xeeb83e06 @@ -297654,6 +372068,8 @@ 1 0 0 +1 +2 4 0xdf2e 0x75eeea2c @@ -297662,6 +372078,8 @@ 1 0 0 +5 +4 3 0x9eaa 0xe6d305e1 @@ -297670,6 +372088,8 @@ 1 0 0 +3 +5 2 0xade0 0xf774b43f @@ -297678,6 +372098,8 @@ 1 0 0 +2 +3 3 0x1356 0x6f1b6f7d @@ -297687,6 +372109,8 @@ 1 0 2 +0 +2 0x990b 0x4f6be228 256 @@ -297694,6 +372118,8 @@ 0 0 0 +4 +2 3 0x3adb 0xf1ef4a4d @@ -297702,6 +372128,8 @@ 0 0 0 +3 +1 2 0x74b3 0xe3a897ac @@ -297710,6 +372138,8 @@ 1 1 0 +2 +5 4 0x2c76 0x5b9d62b2 @@ -297718,6 +372148,8 @@ 0 0 0 +4 +3 1 0xbc42 0x8ef16851 @@ -297726,6 +372158,8 @@ 1 0 0 +2 +2 4 0x7617 0x72fcf879 @@ -297734,6 +372168,8 @@ 1 0 0 +2 +3 4 0x41ed 0xbfa24f50 @@ -297742,6 +372178,8 @@ 0 0 0 +4 +4 2 0x37fc 0x1779757d @@ -297750,6 +372188,8 @@ 1 0 0 +0 +0 2 0x53cf 0xce5ecb7c @@ -297758,6 +372198,8 @@ 0 0 0 +2 +5 1 0x93e3 0xcdba06a @@ -297767,6 +372209,8 @@ 0 0 1 +2 +1 0xa77 0x9c0f0924 256 @@ -297774,6 +372218,8 @@ 1 1 0 +4 +2 3 0x2d68 0x77da412c @@ -297782,6 +372228,8 @@ 0 0 0 +5 +5 2 0x9648 0x816ca8b1 @@ -297791,6 +372239,8 @@ 0 0 1 +1 +1 0xb4e5 0x7aa7eae1 256 @@ -297799,6 +372249,8 @@ 0 0 4 +3 +4 0x9f92 0x807f2aa2 256 @@ -297806,6 +372258,8 @@ 1 1 0 +0 +2 2 0x537 0xb07ec829 @@ -297814,6 +372268,8 @@ 1 1 0 +4 +1 1 0xa2fc 0x8ecb79a2 @@ -297823,6 +372279,8 @@ 1 0 1 +3 +1 0x8146 0x38f43d4b 256 @@ -297830,6 +372288,8 @@ 1 0 0 +0 +0 1 0x3bf6 0x99f1e17 @@ -297838,6 +372298,8 @@ 1 1 0 +2 +0 4 0x3af6 0x47ca3b13 @@ -297847,6 +372309,8 @@ 0 0 2 +5 +2 0xcbcc 0x80e323f9 256 @@ -297855,6 +372319,8 @@ 1 0 2 +4 +2 0x8616 0x987857ad 256 @@ -297862,6 +372328,8 @@ 1 1 0 +4 +3 3 0xd5f9 0xbdd31748 @@ -297870,6 +372338,8 @@ 0 0 0 +3 +0 2 0x9d05 0x42ec3035 @@ -297878,6 +372348,8 @@ 1 1 0 +5 +4 1 0x4782 0xffc65d21 @@ -297886,6 +372358,8 @@ 1 0 0 +0 +2 2 0xf0c7 0x6b2c84d4 @@ -297894,6 +372368,8 @@ 0 0 0 +1 +1 2 0xcded 0xf90b1f1 @@ -297902,6 +372378,8 @@ 0 0 0 +3 +2 1 0xd062 0x4471e78e @@ -297910,6 +372388,8 @@ 0 0 0 +0 +4 4 0xc544 0x2032989c @@ -297918,6 +372398,8 @@ 1 1 0 +2 +5 1 0xead9 0x7f0f2c5b @@ -297926,6 +372408,8 @@ 1 1 0 +1 +4 3 0xf6e4 0x1eb2c65f @@ -297934,6 +372418,8 @@ 1 0 0 +0 +2 1 0x5bf8 0x669573c6 @@ -297943,6 +372429,8 @@ 0 0 2 +5 +2 0x5ecf 0x19a5a779 256 @@ -297951,6 +372439,8 @@ 0 0 1 +1 +1 0x894 0x9c15d95b 256 @@ -297958,6 +372448,8 @@ 0 0 0 +5 +3 1 0xbd90 0x355713cc @@ -297966,6 +372458,8 @@ 0 0 0 +1 +4 2 0x992a 0x67bff273 @@ -297974,6 +372468,8 @@ 1 0 0 +1 +1 2 0xb3e 0x546e51c2 @@ -297983,6 +372479,8 @@ 0 0 2 +5 +2 0xe0f4 0xd4e547c7 256 @@ -297991,6 +372489,8 @@ 0 0 3 +3 +3 0x12f0 0x6bb4f072 256 @@ -297998,6 +372498,8 @@ 1 0 0 +5 +1 1 0xe9fd 0x86cd38df @@ -298007,6 +372509,8 @@ 0 0 3 +4 +3 0x2c9b 0x9baa12ab 256 @@ -298015,6 +372519,8 @@ 1 0 3 +2 +3 0x8367 0x2313db7b 256 @@ -298022,6 +372528,8 @@ 1 0 0 +4 +0 3 0x511 0x890564c7 @@ -298031,6 +372539,8 @@ 0 0 3 +1 +3 0x5e72 0xbfc17e77 256 @@ -298038,6 +372548,8 @@ 0 0 0 +2 +2 1 0x7932 0x814cf2e3 @@ -298046,6 +372558,8 @@ 1 1 0 +0 +5 1 0xdaaf 0xbd2ea0e0 @@ -298054,6 +372568,8 @@ 1 1 0 +0 +1 2 0xbd4a 0x3752b8d0 @@ -298062,6 +372578,8 @@ 0 0 0 +5 +1 3 0x2301 0x3b1a1 @@ -298071,6 +372589,8 @@ 1 0 1 +1 +1 0x11f0 0xc6d5510 256 @@ -298078,6 +372598,8 @@ 0 0 0 +4 +4 1 0x6494 0x9dd94c2a @@ -298086,6 +372608,8 @@ 0 0 0 +0 +4 2 0xf0ec 0x3e4f8554 @@ -298094,6 +372618,8 @@ 0 0 0 +2 +1 4 0x5ce8 0xb6d33b73 @@ -298102,6 +372628,8 @@ 1 1 0 +4 +0 1 0x8216 0xf17ce2db @@ -298110,6 +372638,8 @@ 0 0 0 +0 +1 2 0x7a1d 0x7dd25cdd @@ -298118,6 +372648,8 @@ 0 0 0 +2 +4 4 0x7748 0x77b74d4d @@ -298127,6 +372659,8 @@ 1 0 3 +2 +3 0xbb60 0x29443d62 256 @@ -298134,6 +372668,8 @@ 0 0 0 +1 +3 4 0x5ac9 0x2d83f994 @@ -298142,6 +372678,8 @@ 0 0 0 +3 +4 1 0x9dde 0xb8c2aad2 @@ -298150,6 +372688,8 @@ 0 0 0 +2 +3 3 0xeb0c 0x780c164a @@ -298158,6 +372698,8 @@ 1 1 0 +3 +3 2 0x44f 0xff48e3bb @@ -298166,6 +372708,8 @@ 1 1 0 +3 +4 2 0x4ab3 0x7ad984dd @@ -298174,6 +372718,8 @@ 1 1 0 +1 +3 2 0xc4c 0x47583280 @@ -298182,6 +372728,8 @@ 0 0 0 +4 +0 2 0xab58 0x973d4cb4 @@ -298190,6 +372738,8 @@ 0 0 0 +3 +5 4 0x7bc9 0x7f4166a2 @@ -298198,6 +372748,8 @@ 0 0 0 +2 +3 4 0x423e 0x11cf1ef1 @@ -298206,6 +372758,8 @@ 1 1 0 +4 +4 3 0xf22c 0xbd73c7ff @@ -298214,6 +372768,8 @@ 0 0 0 +0 +3 4 0x6f9c 0xc939cbaf @@ -298223,6 +372779,8 @@ 0 0 2 +1 +2 0x673f 0x10744a14 256 @@ -298230,6 +372788,8 @@ 0 0 0 +5 +3 2 0x485e 0x1cd73dcb @@ -298238,6 +372798,8 @@ 1 0 0 +3 +3 2 0x6a47 0x23870210 @@ -298246,6 +372808,8 @@ 0 0 0 +2 +4 3 0x301d 0x4744a16c @@ -298254,6 +372818,8 @@ 1 1 0 +5 +5 2 0xaaed 0x18da11bf @@ -298262,6 +372828,8 @@ 1 0 0 +1 +0 2 0xf202 0x59f4d61f @@ -298270,6 +372838,8 @@ 1 0 0 +0 +5 4 0xc290 0x3871f8f8 @@ -298278,6 +372848,8 @@ 0 0 0 +5 +0 4 0x8041 0x9c86b97e @@ -298286,6 +372858,8 @@ 0 0 0 +1 +3 2 0x1842 0x337ed89 @@ -298294,6 +372868,8 @@ 1 1 0 +0 +4 3 0x90b6 0x36ec520d @@ -298302,6 +372878,8 @@ 0 0 0 +5 +3 1 0x8c86 0xad2575ce @@ -298310,6 +372888,8 @@ 0 0 0 +5 +5 2 0x5817 0xee5b9ff1 @@ -298319,6 +372899,8 @@ 0 0 2 +4 +2 0x857a 0x22006ca 256 @@ -298326,6 +372908,8 @@ 1 0 0 +0 +2 4 0x2df9 0x2d4a7d66 @@ -298334,6 +372918,8 @@ 1 0 0 +5 +1 2 0x25ab 0xe057db04 @@ -298342,6 +372928,8 @@ 1 1 0 +4 +3 2 0x10e7 0x2daa260f @@ -298350,6 +372938,8 @@ 1 1 0 +0 +4 4 0x4073 0x71097238 @@ -298358,6 +372948,8 @@ 0 0 0 +4 +1 1 0x973a 0x102a1f3c @@ -298367,6 +372959,8 @@ 1 0 3 +5 +3 0xfe52 0x509f80ae 256 @@ -298374,6 +372968,8 @@ 0 0 0 +3 +5 4 0x490d 0xc69005f7 @@ -298382,6 +372978,8 @@ 0 0 0 +2 +0 4 0xd5b9 0x887d63d3 @@ -298390,6 +372988,8 @@ 1 0 0 +4 +1 1 0xaa90 0x5799339f @@ -298399,6 +372999,8 @@ 1 0 3 +2 +3 0x473f 0x436c2f3d 256 @@ -298406,6 +373008,8 @@ 0 0 0 +5 +3 3 0xbe4 0x830ebdbf @@ -298415,6 +373019,8 @@ 0 0 1 +3 +1 0x29d7 0x2591bec3 256 @@ -298422,6 +373028,8 @@ 0 0 0 +4 +1 1 0x9b5c 0x7ed82bb4 @@ -298430,6 +373038,8 @@ 0 0 0 +0 +4 3 0xd042 0x8fbd02bc @@ -298438,6 +373048,8 @@ 1 1 0 +3 +5 2 0x76e0 0xfcf8181e @@ -298446,6 +373058,8 @@ 1 1 0 +3 +5 2 0x6a82 0x60dbca06 @@ -298455,6 +373069,8 @@ 0 0 3 +1 +3 0x61d6 0xb61f6d91 256 @@ -298462,6 +373078,8 @@ 1 0 0 +0 +0 1 0x48ed 0x91ee13db @@ -298470,6 +373088,8 @@ 1 0 0 +4 +4 3 0x88e2 0x82e7705 @@ -298478,6 +373098,8 @@ 0 0 0 +3 +2 4 0x3de4 0x92c79812 @@ -298486,6 +373108,8 @@ 1 0 0 +4 +2 2 0xfeb0 0xc3800054 @@ -298494,6 +373118,8 @@ 1 0 0 +0 +5 3 0xd6a7 0x2c017642 @@ -298502,6 +373128,8 @@ 1 1 0 +3 +5 2 0x777c 0x1c07709f @@ -298510,6 +373138,8 @@ 0 0 0 +1 +2 2 0x500 0xeb80447e @@ -298518,6 +373148,8 @@ 1 0 0 +3 +3 4 0x8bb 0x8d71f5ce @@ -298527,6 +373159,8 @@ 0 0 1 +3 +1 0x76c9 0xd6b6f5e4 256 @@ -298534,6 +373168,8 @@ 1 0 0 +0 +5 3 0xf4bc 0x6a99f67e @@ -298542,6 +373178,8 @@ 0 0 0 +4 +5 3 0xcb21 0x4a566ae @@ -298550,6 +373188,8 @@ 0 0 0 +1 +2 4 0x4d0 0x7a4e2d4a @@ -298559,6 +373199,8 @@ 0 0 3 +3 +3 0xafc1 0xac437ff1 256 @@ -298566,6 +373208,8 @@ 0 0 0 +1 +4 4 0x9328 0xfda558a7 @@ -298574,6 +373218,8 @@ 1 1 0 +5 +1 4 0x2053 0x1f2bcc9b @@ -298583,6 +373229,8 @@ 0 0 1 +1 +1 0x3506 0xa4591464 256 @@ -298590,6 +373238,8 @@ 1 1 0 +5 +3 1 0x201 0x5a3059c4 @@ -298598,6 +373248,8 @@ 0 0 0 +0 +0 1 0x54a0 0xd2a695d @@ -298606,6 +373258,8 @@ 1 0 0 +0 +3 3 0x81bd 0x2d1c4d51 @@ -298614,6 +373268,8 @@ 1 0 0 +1 +1 2 0x3c61 0x7bfefaee @@ -298622,6 +373278,8 @@ 1 0 0 +2 +1 3 0xe204 0x2a6359ac @@ -298630,6 +373288,8 @@ 0 0 0 +3 +5 4 0xf63 0xd2420c93 @@ -298638,6 +373298,8 @@ 1 0 0 +0 +5 2 0x4bfd 0x8c9e13c4 @@ -298646,6 +373308,8 @@ 1 1 0 +5 +2 2 0x6ee 0xf3588b61 @@ -298654,6 +373318,8 @@ 1 1 0 +5 +3 2 0xa15e 0xd6d81c10 @@ -298662,6 +373328,8 @@ 1 0 0 +3 +1 1 0x49b2 0xedb5c67e @@ -298671,6 +373339,8 @@ 1 0 3 +1 +3 0x4414 0x4d341ad8 256 @@ -298678,6 +373348,8 @@ 1 0 0 +5 +3 4 0x8d0f 0x24587e5d @@ -298686,6 +373358,8 @@ 1 1 0 +2 +0 4 0x2785 0x9cb4fc80 @@ -298694,6 +373368,8 @@ 0 0 0 +0 +1 3 0x445a 0x402a6380 @@ -298702,6 +373378,8 @@ 1 1 0 +5 +2 4 0xcf7b 0xc4d8c823 @@ -298710,6 +373388,8 @@ 1 0 0 +3 +3 2 0x1ad5 0xa2945828 @@ -298718,6 +373398,8 @@ 0 0 0 +0 +2 4 0xdb21 0x6776dce5 @@ -298726,6 +373408,8 @@ 0 0 0 +5 +2 1 0x4d15 0xad3b163 @@ -298734,6 +373418,8 @@ 0 0 0 +3 +2 1 0x25b8 0xb85c9270 @@ -298742,6 +373428,8 @@ 1 0 0 +5 +0 4 0x7dae 0x46cd3f2f @@ -298750,6 +373438,8 @@ 1 0 0 +3 +5 4 0x5a34 0x4925b87 @@ -298758,6 +373448,8 @@ 1 1 0 +5 +5 1 0x1657 0x355ff160 @@ -298767,6 +373459,8 @@ 0 0 4 +5 +4 0x8474 0x689f1d09 256 @@ -298774,6 +373468,8 @@ 0 0 0 +0 +1 4 0xefa7 0x47fae2f @@ -298782,6 +373478,8 @@ 1 1 0 +3 +0 4 0x2f4d 0x9a0cc963 @@ -298790,6 +373488,8 @@ 1 1 0 +4 +5 3 0xb41e 0xb5f222c8 @@ -298798,6 +373498,8 @@ 0 0 0 +0 +5 3 0x3f7 0xa865a83e @@ -298806,6 +373508,8 @@ 0 0 0 +3 +3 4 0x50e 0xb2f5c56f @@ -298814,6 +373518,8 @@ 0 0 0 +5 +3 1 0x9952 0xb65e601e @@ -298822,6 +373528,8 @@ 0 0 0 +5 +5 1 0x3b2d 0x51a6e9d9 @@ -298830,6 +373538,8 @@ 0 0 0 +1 +2 3 0x29f1 0x9c03195b @@ -298838,6 +373548,8 @@ 0 0 0 +1 +1 4 0xb1a6 0x13f28de9 @@ -298846,6 +373558,8 @@ 0 0 0 +3 +2 4 0x5ee9 0xf896e104 @@ -298854,6 +373568,8 @@ 0 0 0 +5 +1 1 0x36fd 0xd085a7aa @@ -298862,6 +373578,8 @@ 1 1 0 +3 +1 1 0xf315 0xa4c1490c @@ -298870,6 +373588,8 @@ 1 1 0 +0 +5 1 0x246f 0x876e8e12 @@ -298878,6 +373598,8 @@ 0 0 0 +3 +5 4 0xda15 0x35e53a10 @@ -298887,6 +373609,8 @@ 1 0 4 +0 +4 0xf4c7 0xc56712bf 256 @@ -298894,6 +373618,8 @@ 0 0 0 +1 +4 3 0xc4a 0xd75ec60f @@ -298902,6 +373628,8 @@ 0 0 0 +5 +3 2 0x4bac 0xaaa87049 @@ -298910,6 +373638,8 @@ 1 0 0 +5 +1 3 0xfdb2 0x67c0b92b @@ -298918,6 +373648,8 @@ 0 0 0 +3 +4 4 0xd56a 0x4f7d6d27 @@ -298926,6 +373658,8 @@ 1 0 0 +2 +2 4 0xacb6 0x9519c199 @@ -298934,6 +373668,8 @@ 1 0 0 +0 +2 4 0x64f4 0xc5196985 @@ -298942,6 +373678,8 @@ 1 0 0 +5 +1 4 0x787d 0x90e31468 @@ -298950,6 +373688,8 @@ 1 0 0 +3 +5 1 0x871c 0x65b123d4 @@ -298958,6 +373698,8 @@ 1 1 0 +3 +3 1 0xcb8e 0x62d5553e @@ -298966,6 +373708,8 @@ 0 0 0 +3 +5 1 0xc9a0 0x3f38b82a @@ -298975,6 +373719,8 @@ 0 0 1 +4 +1 0x291e 0x7171fabf 256 @@ -298983,6 +373729,8 @@ 0 0 2 +5 +2 0x89c6 0x4a96bfd9 256 @@ -298990,6 +373738,8 @@ 0 0 0 +5 +1 2 0x43bd 0x9886413f @@ -298998,6 +373748,8 @@ 0 0 0 +5 +1 4 0x862f 0xed08b16e @@ -299006,6 +373758,8 @@ 1 1 0 +5 +3 3 0x17bb 0xf3120084 @@ -299014,6 +373768,8 @@ 0 0 0 +5 +4 2 0x6726 0xf37b7bec @@ -299022,6 +373778,8 @@ 1 1 0 +4 +0 3 0x4963 0xa8e0b21b @@ -299030,6 +373788,8 @@ 1 1 0 +1 +1 2 0xe68a 0xda760952 @@ -299038,6 +373798,8 @@ 0 0 0 +0 +2 1 0x78df 0xf784f714 @@ -299046,6 +373808,8 @@ 0 0 0 +4 +2 1 0xf3a9 0xfb481149 @@ -299054,6 +373818,8 @@ 1 0 0 +5 +2 2 0x7b2e 0x7063e737 @@ -299062,6 +373828,8 @@ 1 1 0 +5 +3 1 0x4077 0x2774d110 @@ -299071,6 +373839,8 @@ 0 0 1 +3 +1 0xe164 0xb4a4fcc9 256 @@ -299079,6 +373849,8 @@ 0 0 3 +3 +3 0xc0c0 0xcf45f09b 256 @@ -299086,6 +373858,8 @@ 1 0 0 +2 +4 1 0x74e8 0xbb7dacae @@ -299095,6 +373869,8 @@ 1 0 1 +5 +1 0x319e 0x68f80ffc 256 @@ -299102,6 +373878,8 @@ 0 0 0 +3 +5 4 0x2a22 0x4ed36b41 @@ -299110,6 +373888,8 @@ 0 0 0 +0 +5 2 0xe653 0x10246f0a @@ -299118,6 +373898,8 @@ 0 0 0 +1 +1 4 0xa1c4 0x718454f3 @@ -299126,6 +373908,8 @@ 0 0 0 +1 +5 2 0x1cd6 0x5f309289 @@ -299134,6 +373918,8 @@ 1 0 0 +2 +5 3 0xb7fb 0xe8b46b75 @@ -299142,6 +373928,8 @@ 0 0 0 +2 +1 1 0x3157 0xc6d6b506 @@ -299150,6 +373938,8 @@ 0 0 0 +2 +3 1 0xf112 0x15cf718c @@ -299158,6 +373948,8 @@ 0 0 0 +2 +3 4 0x1862 0x43253e42 @@ -299166,6 +373958,8 @@ 1 1 0 +1 +0 4 0xa40 0x82eaea4a @@ -299174,6 +373968,8 @@ 1 1 0 +3 +0 1 0x5a52 0xa8d88316 @@ -299183,6 +373979,8 @@ 0 0 2 +0 +2 0xe5d2 0x6f857c49 256 @@ -299191,6 +373989,8 @@ 0 0 4 +4 +4 0x477a 0x4551cf39 256 @@ -299198,6 +373998,8 @@ 0 0 0 +3 +5 4 0xcfff 0x8ce9aa7d @@ -299207,6 +374009,8 @@ 0 0 4 +0 +4 0xd5b 0xbda3ed9 256 @@ -299214,6 +374018,8 @@ 0 0 0 +0 +0 4 0x5dd0 0x36ab453d @@ -299222,6 +374028,8 @@ 1 1 0 +0 +5 2 0xde37 0x221b829 @@ -299230,6 +374038,8 @@ 0 0 0 +5 +0 2 0x146 0xd935ff50 @@ -299238,6 +374048,8 @@ 1 1 0 +3 +1 2 0xa4f 0x1cf29b76 @@ -299246,6 +374058,8 @@ 1 0 0 +0 +1 3 0xb3e4 0x2285c9cf @@ -299254,6 +374068,8 @@ 0 0 0 +2 +0 1 0x6005 0x6b17e9c7 @@ -299263,6 +374079,8 @@ 0 0 3 +2 +3 0xeae2 0xb3dd9395 256 @@ -299270,6 +374088,8 @@ 1 1 0 +2 +2 1 0x5d52 0xf85ba762 @@ -299278,6 +374098,8 @@ 0 0 0 +1 +4 3 0xf0ef 0xb641eba @@ -299286,6 +374108,8 @@ 1 1 0 +4 +0 2 0x9984 0xe37e27ee @@ -299294,6 +374118,8 @@ 0 0 0 +2 +3 3 0x3f40 0x85cd21b @@ -299302,6 +374128,8 @@ 1 0 0 +5 +2 3 0xa845 0xec9da1c1 @@ -299310,6 +374138,8 @@ 0 0 0 +4 +3 1 0xe2ca 0x4bab57d1 @@ -299318,6 +374148,8 @@ 1 1 0 +4 +3 3 0x7589 0xf212dbea @@ -299326,6 +374158,8 @@ 0 0 0 +5 +2 2 0x66a7 0xab9cd3fb @@ -299334,6 +374168,8 @@ 1 0 0 +5 +0 1 0x7a98 0x63d4b8dd @@ -299342,6 +374178,8 @@ 1 1 0 +2 +5 4 0xde9e 0x64822725 @@ -299351,6 +374189,8 @@ 0 0 2 +0 +2 0xc82e 0x850013f4 256 @@ -299358,6 +374198,8 @@ 0 0 0 +4 +0 2 0xda8d 0x12db3de @@ -299366,6 +374208,8 @@ 0 0 0 +5 +0 4 0x4c5d 0x2c4ced57 @@ -299374,6 +374218,8 @@ 1 0 0 +4 +4 1 0x6a90 0xeb01b651 @@ -299382,6 +374228,8 @@ 1 0 0 +3 +0 4 0x6af8 0x762cab77 @@ -299391,6 +374239,8 @@ 0 0 1 +2 +1 0x9f2b 0xb3b2a3fc 256 @@ -299398,6 +374248,8 @@ 0 0 0 +3 +0 2 0xbdba 0xd2aaf5f6 @@ -299406,6 +374258,8 @@ 0 0 0 +3 +1 4 0xc3bd 0x82ca2100 @@ -299414,6 +374268,8 @@ 0 0 0 +0 +0 2 0x2e5a 0x663c3d16 @@ -299422,6 +374278,8 @@ 0 0 0 +2 +5 4 0xdfb 0x64dc9b91 @@ -299431,6 +374289,8 @@ 1 0 1 +1 +1 0xccc6 0xa244fdc1 256 @@ -299438,6 +374298,8 @@ 1 0 0 +0 +0 4 0x774c 0x93f9be56 @@ -299446,6 +374308,8 @@ 0 0 0 +4 +0 2 0x8ad4 0x650e1b72 @@ -299454,6 +374318,8 @@ 0 0 0 +2 +2 3 0xa2d9 0x666216ce @@ -299462,6 +374328,8 @@ 0 0 0 +5 +0 1 0x825e 0x2421fdfe @@ -299470,6 +374338,8 @@ 0 0 0 +3 +4 4 0x246e 0xaced732a @@ -299478,6 +374348,8 @@ 1 0 0 +1 +1 2 0xee6f 0x4bef7e8b @@ -299486,6 +374358,8 @@ 1 1 0 +0 +5 3 0xf8e9 0x2267a4cd @@ -299495,6 +374369,8 @@ 0 0 1 +4 +1 0xc61f 0x57fbb17b 256 @@ -299502,6 +374378,8 @@ 0 0 0 +2 +5 3 0xc256 0xb1ad933e @@ -299510,6 +374388,8 @@ 0 0 0 +0 +5 2 0xc6f6 0x3f0f5fe6 @@ -299518,6 +374398,8 @@ 0 0 0 +0 +5 2 0xd53c 0x70f62b5d @@ -299526,6 +374408,8 @@ 1 1 0 +2 +3 4 0x74f0 0x56ae7bd1 @@ -299534,6 +374418,8 @@ 1 1 0 +0 +3 2 0x8178 0xbfd2ad38 @@ -299542,6 +374428,8 @@ 1 1 0 +4 +2 1 0x137 0x94a99cc9 @@ -299550,6 +374438,8 @@ 1 0 0 +5 +5 2 0xaa1d 0x38792c53 @@ -299558,6 +374448,8 @@ 1 1 0 +0 +4 1 0x8d9c 0x4178d15c @@ -299566,6 +374458,8 @@ 1 1 0 +4 +1 1 0xce4c 0x972de382 @@ -299574,6 +374468,8 @@ 0 0 0 +3 +4 2 0x3773 0xd2031dc @@ -299582,6 +374478,8 @@ 1 1 0 +1 +0 4 0x847 0x884a7c35 @@ -299590,6 +374488,8 @@ 1 1 0 +3 +3 4 0xaee8 0x7d62e94c @@ -299598,6 +374498,8 @@ 0 0 0 +4 +0 3 0xd804 0xefaefc79 @@ -299606,6 +374508,8 @@ 1 0 0 +1 +2 3 0xf240 0xbe9d0b75 @@ -299614,6 +374518,8 @@ 0 0 0 +0 +1 1 0x3004 0xa70f101 @@ -299622,6 +374528,8 @@ 1 0 0 +3 +2 1 0x4d5c 0x165532b @@ -299630,6 +374538,8 @@ 1 0 0 +3 +0 1 0xf44e 0x1cc9257e @@ -299638,6 +374548,8 @@ 0 0 0 +2 +0 1 0x57a 0x4e46d0ee @@ -299647,6 +374559,8 @@ 0 0 4 +5 +4 0x2ac7 0xf9e18c78 256 @@ -299654,6 +374568,8 @@ 0 0 0 +0 +3 1 0x74b6 0x84a14446 @@ -299662,6 +374578,8 @@ 1 0 0 +2 +3 3 0x9d89 0x977b9ccb @@ -299670,6 +374588,8 @@ 0 0 0 +0 +4 4 0x3725 0x36560f20 @@ -299678,6 +374598,8 @@ 0 0 0 +1 +0 2 0x6ddd 0x58d83984 @@ -299686,6 +374608,8 @@ 1 1 0 +1 +5 2 0x5288 0xbc25fe60 @@ -299694,6 +374618,8 @@ 1 1 0 +2 +5 1 0x4d69 0x117fc309 @@ -299702,6 +374628,8 @@ 1 0 0 +5 +4 1 0x8375 0x3256779a @@ -299710,6 +374638,8 @@ 0 0 0 +4 +0 3 0x8aa2 0xc00abd3e @@ -299719,6 +374649,8 @@ 0 0 2 +2 +2 0xee8a 0x804602f2 256 @@ -299726,6 +374658,8 @@ 0 0 0 +2 +2 3 0xb3a 0xf0afec5f @@ -299734,6 +374668,8 @@ 1 0 0 +4 +0 3 0x7582 0xc92b80b1 @@ -299742,6 +374678,8 @@ 0 0 0 +0 +0 3 0x713d 0xa574871a @@ -299751,6 +374689,8 @@ 0 0 3 +1 +3 0xd791 0x826792c8 256 @@ -299759,6 +374699,8 @@ 1 0 1 +3 +1 0x1991 0xf28cbc5e 256 @@ -299767,6 +374709,8 @@ 0 0 1 +5 +1 0xaf27 0xb104b961 256 @@ -299775,6 +374719,8 @@ 0 0 3 +5 +3 0x6292 0xad813ebb 256 @@ -299782,6 +374728,8 @@ 1 1 0 +3 +2 2 0xb0be 0x1b0d0a14 @@ -299791,6 +374739,8 @@ 0 0 1 +4 +1 0xc94e 0x231e4e3c 256 @@ -299799,6 +374749,8 @@ 0 0 1 +3 +1 0xaeb1 0x6947b0ce 256 @@ -299806,6 +374758,8 @@ 0 0 0 +4 +0 1 0xb624 0x5ea54611 @@ -299814,6 +374768,8 @@ 0 0 0 +2 +4 3 0x46e4 0x325e57b3 @@ -299822,6 +374778,8 @@ 1 0 0 +5 +5 1 0xf28c 0xe2a2e22f @@ -299830,6 +374788,8 @@ 0 0 0 +4 +4 2 0x8a88 0x7f20c5db @@ -299838,6 +374798,8 @@ 0 0 0 +3 +4 1 0x7904 0xb3c7ffed @@ -299846,6 +374808,8 @@ 1 1 0 +1 +1 3 0x9a33 0xbe049998 @@ -299854,6 +374818,8 @@ 1 0 0 +1 +2 4 0x392b 0x3b1a58f8 @@ -299862,6 +374828,8 @@ 0 0 0 +1 +4 3 0x6eaf 0xada50b6 @@ -299870,6 +374838,8 @@ 1 0 0 +1 +3 2 0x42a6 0xe73bb36c @@ -299878,6 +374848,8 @@ 0 0 0 +5 +4 2 0xaa6a 0x732ac3dc @@ -299886,6 +374858,8 @@ 1 1 0 +5 +1 1 0x552d 0xd7480e23 @@ -299895,6 +374869,8 @@ 0 0 2 +0 +2 0x6e68 0x86370d27 256 @@ -299902,6 +374878,8 @@ 0 0 0 +0 +0 1 0xa325 0xd8b352c0 @@ -299910,6 +374888,8 @@ 1 0 0 +2 +2 4 0xa320 0x41775d24 @@ -299918,6 +374898,8 @@ 0 0 0 +4 +5 1 0x704 0x9dc96125 @@ -299926,6 +374908,8 @@ 0 0 0 +0 +2 1 0xe3ec 0xc4434cdc @@ -299935,6 +374919,8 @@ 0 0 4 +5 +4 0xa90d 0x1c32736e 256 @@ -299942,6 +374928,8 @@ 0 0 0 +5 +0 4 0x130 0xb8038f3 @@ -299950,6 +374938,8 @@ 0 0 0 +5 +4 3 0x8b0b 0x1a80bbfd @@ -299958,6 +374948,8 @@ 0 0 0 +0 +5 4 0x7a80 0xc6c70f2d @@ -299967,6 +374959,8 @@ 1 0 3 +2 +3 0x20cc 0xc93aed5c 256 @@ -299974,6 +374968,8 @@ 0 0 0 +3 +3 2 0xc6b4 0xbfaed3b5 @@ -299982,6 +374978,8 @@ 1 0 0 +5 +2 3 0x2968 0xbd78be4a @@ -299990,6 +374988,8 @@ 1 0 0 +0 +4 3 0xc7b3 0xa0f034b7 @@ -299998,6 +374998,8 @@ 1 1 0 +1 +5 3 0x8054 0x31d800b7 @@ -300006,6 +375008,8 @@ 0 0 0 +1 +5 3 0xfba5 0x27a09015 @@ -300014,6 +375018,8 @@ 0 0 0 +2 +0 3 0xa64d 0xd987f348 @@ -300022,6 +375028,8 @@ 0 0 0 +5 +1 2 0x567b 0x38456669 @@ -300030,6 +375038,8 @@ 1 0 0 +3 +0 1 0xdfe9 0xad422842 @@ -300039,6 +375049,8 @@ 0 0 1 +2 +1 0xb829 0x99689c4 256 @@ -300046,6 +375058,8 @@ 1 0 0 +1 +1 3 0xdd0d 0x4b1cca6 @@ -300054,6 +375068,8 @@ 1 1 0 +1 +3 3 0x5e3e 0xda82d1d5 @@ -300062,6 +375078,8 @@ 1 0 0 +0 +5 4 0xb41 0xc9ed6c1a @@ -300070,6 +375088,8 @@ 1 0 0 +3 +5 4 0x1ee 0xc75b70cc @@ -300078,6 +375098,8 @@ 1 0 0 +3 +4 2 0xb52a 0xde6c7541 @@ -300086,6 +375108,8 @@ 1 0 0 +3 +5 4 0xf606 0x2e0a8254 @@ -300094,6 +375118,8 @@ 0 0 0 +4 +5 3 0x4b90 0x55a18bc6 @@ -300102,6 +375128,8 @@ 0 0 0 +2 +0 3 0xfd9c 0x6d9d2433 @@ -300110,6 +375138,8 @@ 1 1 0 +3 +3 1 0xa1b7 0x8f266c1a @@ -300118,6 +375148,8 @@ 1 0 0 +5 +5 2 0x55b2 0x2f684e9 @@ -300126,6 +375158,8 @@ 0 0 0 +5 +0 2 0xc496 0x656c22af @@ -300134,6 +375168,8 @@ 0 0 0 +1 +4 4 0x8a51 0xeee1af21 @@ -300142,6 +375178,8 @@ 0 0 0 +0 +1 1 0xb88e 0x498b88d6 @@ -300150,6 +375188,8 @@ 1 0 0 +2 +1 3 0x671e 0x341fcf29 @@ -300158,6 +375198,8 @@ 0 0 0 +1 +4 2 0x4eb8 0x90fd955c @@ -300167,6 +375209,8 @@ 0 0 1 +2 +1 0x908f 0xb47162ec 256 @@ -300174,6 +375218,8 @@ 1 1 0 +5 +0 2 0x433f 0x9a31e421 @@ -300182,6 +375228,8 @@ 1 0 0 +3 +2 1 0x300a 0x1b5156b1 @@ -300190,6 +375238,8 @@ 0 0 0 +5 +0 2 0xe92d 0xb25ab438 @@ -300198,6 +375248,8 @@ 0 0 0 +4 +1 2 0xd616 0xd38dcec4 @@ -300206,6 +375258,8 @@ 0 0 0 +5 +3 4 0x1206 0x951e7d42 @@ -300214,6 +375268,8 @@ 0 0 0 +0 +1 3 0xb2fe 0x1a9e2f86 @@ -300222,6 +375278,8 @@ 1 1 0 +0 +5 1 0xfc5f 0x23e0d0eb @@ -300230,6 +375288,8 @@ 0 0 0 +4 +5 2 0x974c 0xfb35519a @@ -300238,6 +375298,8 @@ 1 1 0 +3 +3 2 0x8010 0x80fb6c01 @@ -300246,6 +375308,8 @@ 1 0 0 +1 +2 4 0xce15 0x717efb1e @@ -300254,6 +375318,8 @@ 0 0 0 +1 +1 3 0x652a 0xfc2419e5 @@ -300262,6 +375328,8 @@ 1 1 0 +1 +1 3 0x4afe 0x1b51550a @@ -300270,6 +375338,8 @@ 1 1 0 +2 +5 1 0x8c9 0x629c3b14 @@ -300278,6 +375348,8 @@ 1 1 0 +4 +3 3 0xdf3b 0x82fe95ef @@ -300286,6 +375358,8 @@ 0 0 0 +2 +1 1 0x5dd7 0xec8cda72 @@ -300294,6 +375368,8 @@ 1 1 0 +2 +5 3 0x8990 0x461dbcdf @@ -300302,6 +375378,8 @@ 1 1 0 +0 +1 3 0x83c1 0x213165d5 @@ -300310,6 +375388,8 @@ 1 0 0 +4 +5 2 0x82be 0x3072cc53 @@ -300318,6 +375398,8 @@ 0 0 0 +0 +1 1 0xe99b 0xf552a918 @@ -300327,6 +375409,8 @@ 0 0 4 +4 +4 0xb9a1 0x40b5b62b 256 @@ -300334,6 +375418,8 @@ 0 0 0 +2 +5 4 0xb801 0xf01e36b4 @@ -300342,6 +375428,8 @@ 1 0 0 +4 +5 1 0xca46 0x4ae8ef95 @@ -300350,6 +375438,8 @@ 0 0 0 +0 +4 4 0x6fa9 0x6927d36b @@ -300358,6 +375448,8 @@ 1 1 0 +3 +5 2 0xad2b 0x9c200923 @@ -300366,6 +375458,8 @@ 0 0 0 +2 +2 1 0x4fc 0x41b7a4e7 @@ -300374,6 +375468,8 @@ 0 0 0 +2 +5 1 0x2b36 0x34026499 @@ -300382,6 +375478,8 @@ 1 1 0 +2 +2 1 0x2e07 0xcd6eb7a9 @@ -300390,6 +375488,8 @@ 0 0 0 +1 +5 2 0x2c59 0x5236621c @@ -300398,6 +375498,8 @@ 1 0 0 +0 +3 1 0x5a2 0xb8b328a0 @@ -300406,6 +375508,8 @@ 1 0 0 +1 +3 2 0x2b0e 0x3bb0b1d1 @@ -300414,6 +375518,8 @@ 0 0 0 +4 +4 2 0x437f 0xab3c791f @@ -300422,6 +375528,8 @@ 0 0 0 +4 +1 1 0x24ec 0x84f9f380 @@ -300431,6 +375539,8 @@ 0 0 1 +0 +1 0x752c 0x66308ffa 256 @@ -300438,6 +375548,8 @@ 0 0 0 +5 +4 3 0x7406 0x90b75fa0 @@ -300446,6 +375558,8 @@ 1 1 0 +1 +1 4 0xd169 0xb6e27f05 @@ -300454,6 +375568,8 @@ 0 0 0 +2 +1 4 0xb59 0xf41d7738 @@ -300462,6 +375578,8 @@ 0 0 0 +3 +0 2 0xacdf 0xdb6fa104 @@ -300470,6 +375588,8 @@ 1 0 0 +2 +1 3 0x4263 0x212b9ce9 @@ -300478,6 +375598,8 @@ 0 0 0 +5 +1 3 0x7f9d 0x5c24792e @@ -300487,6 +375609,8 @@ 1 0 2 +1 +2 0x9ead 0x9fc53408 256 @@ -300494,6 +375618,8 @@ 0 0 0 +2 +5 4 0xe370 0x3d3b1718 @@ -300502,6 +375628,8 @@ 0 0 0 +5 +5 1 0xd971 0x5d229270 @@ -300510,6 +375638,8 @@ 0 0 0 +4 +3 2 0x590e 0xed853306 @@ -300518,6 +375648,8 @@ 1 0 0 +4 +3 1 0x111d 0x7745b136 @@ -300526,6 +375658,8 @@ 0 0 0 +1 +2 3 0x8975 0x7a402f2b @@ -300534,6 +375668,8 @@ 1 0 0 +0 +5 1 0xc686 0xbbcf7d5 @@ -300542,6 +375678,8 @@ 1 1 0 +2 +2 4 0x9bdf 0xf65abb5a @@ -300550,6 +375688,8 @@ 0 0 0 +0 +1 4 0x2440 0xf44aa0a6 @@ -300558,6 +375698,8 @@ 0 0 0 +0 +4 4 0x573e 0x626df64c @@ -300566,6 +375708,8 @@ 0 0 0 +1 +2 3 0xf417 0xaa940dbf @@ -300574,6 +375718,8 @@ 1 1 0 +0 +1 1 0x4a5b 0xaf6acb1b @@ -300582,6 +375728,8 @@ 1 1 0 +4 +5 1 0x57af 0xb933757b @@ -300591,6 +375739,8 @@ 1 0 2 +2 +2 0x5286 0xbbe0ef8d 256 @@ -300598,6 +375748,8 @@ 1 0 0 +0 +1 2 0x548b 0xd872065a @@ -300606,6 +375758,8 @@ 0 0 0 +0 +3 3 0xfc3f 0xe3e48a27 @@ -300614,6 +375768,8 @@ 1 1 0 +2 +2 1 0x9f10 0x7df342b5 @@ -300622,6 +375778,8 @@ 0 0 0 +5 +1 1 0x935d 0xf256368a @@ -300630,6 +375788,8 @@ 1 1 0 +1 +1 3 0xe6c7 0x6b29cd8c @@ -300638,6 +375798,8 @@ 0 0 0 +2 +0 3 0x8644 0xa270bb73 @@ -300646,6 +375808,8 @@ 0 0 0 +0 +3 1 0xa9f 0xaec2361d @@ -300654,6 +375818,8 @@ 1 1 0 +0 +3 3 0x6d24 0x82b0252f @@ -300662,6 +375828,8 @@ 1 0 0 +2 +1 4 0xb78c 0x97a68884 @@ -300670,6 +375838,8 @@ 0 0 0 +0 +1 2 0x4f97 0xa5297ad0 @@ -300678,6 +375848,8 @@ 0 0 0 +3 +4 4 0x3799 0xb1637575 @@ -300686,6 +375858,8 @@ 1 0 0 +2 +3 4 0x918f 0x433effec @@ -300695,6 +375869,8 @@ 0 0 4 +0 +4 0x5c62 0x4b320dbf 256 @@ -300702,6 +375878,8 @@ 1 1 0 +4 +4 3 0x9655 0x2ac10169 @@ -300710,6 +375888,8 @@ 1 1 0 +0 +2 2 0x547e 0x7a0a2a67 @@ -300718,6 +375898,8 @@ 0 0 0 +0 +5 2 0x8b5f 0x6245fadd @@ -300726,6 +375908,8 @@ 0 0 0 +3 +0 1 0x89a1 0xe5edd027 @@ -300734,6 +375918,8 @@ 1 1 0 +2 +4 1 0x88be 0x89950844 @@ -300742,6 +375928,8 @@ 0 0 0 +2 +4 4 0xe7e4 0x29b9cd36 @@ -300750,6 +375938,8 @@ 1 1 0 +1 +2 2 0x82a5 0x5bc4cb23 @@ -300758,6 +375948,8 @@ 0 0 0 +5 +2 3 0xe895 0xc4f37dfe @@ -300767,6 +375959,8 @@ 1 0 3 +4 +3 0x7852 0xff3b9cf3 256 @@ -300774,6 +375968,8 @@ 0 0 0 +5 +2 3 0x9c77 0x9470e9d5 @@ -300782,6 +375978,8 @@ 1 0 0 +1 +3 3 0xe05a 0xc91ff2c7 @@ -300790,6 +375988,8 @@ 1 0 0 +5 +0 4 0xd6d8 0xc69f62c @@ -300798,6 +375998,8 @@ 1 1 0 +4 +2 3 0xa282 0x31e1bfe8 @@ -300806,6 +376008,8 @@ 1 1 0 +5 +4 2 0x86f4 0x775cf5db @@ -300814,6 +376018,8 @@ 0 0 0 +2 +3 3 0xc312 0xa5f0f70b @@ -300822,6 +376028,8 @@ 1 0 0 +1 +0 3 0xe527 0x1166f2a8 @@ -300831,6 +376039,8 @@ 0 0 3 +0 +3 0xb796 0xc45d42cb 256 @@ -300838,6 +376048,8 @@ 1 0 0 +0 +3 3 0xc7b0 0x883e4952 @@ -300846,6 +376058,8 @@ 0 0 0 +4 +0 2 0xc1b3 0x9be70468 @@ -300855,6 +376069,8 @@ 0 0 4 +2 +4 0xc861 0x81f2090a 256 @@ -300862,6 +376078,8 @@ 0 0 0 +1 +2 2 0x708e 0x601d9e91 @@ -300870,6 +376088,8 @@ 0 0 0 +5 +1 2 0x1d14 0x8a9b794e @@ -300878,6 +376098,8 @@ 0 0 0 +5 +5 3 0x6d7a 0xaa814a27 @@ -300886,6 +376108,8 @@ 0 0 0 +2 +0 1 0xd920 0xbf00eb75 @@ -300894,6 +376118,8 @@ 1 0 0 +1 +4 4 0x1a4c 0xf99a5a56 @@ -300902,6 +376128,8 @@ 1 0 0 +2 +4 4 0x19c6 0x8997bf01 @@ -300910,6 +376138,8 @@ 0 0 0 +0 +0 3 0x2ba3 0x13b110b3 @@ -300919,6 +376149,8 @@ 0 0 1 +2 +1 0x66e1 0xfe613ae2 256 @@ -300926,6 +376158,8 @@ 1 0 0 +4 +2 2 0xefb1 0x78b8cb4e @@ -300934,6 +376168,8 @@ 0 0 0 +5 +5 1 0xfcd6 0xde9c4070 @@ -300943,6 +376179,8 @@ 0 0 3 +3 +3 0x3ca6 0x9b3927e3 256 @@ -300951,6 +376189,8 @@ 1 0 2 +4 +2 0xb706 0x86a5eff4 256 @@ -300959,6 +376199,8 @@ 0 0 1 +4 +1 0x9d6e 0x23ce61fb 256 @@ -300966,6 +376208,8 @@ 0 0 0 +5 +5 2 0x1f7d 0x98b8395b @@ -300974,6 +376218,8 @@ 0 0 0 +4 +2 2 0xcb38 0x10f2f898 @@ -300982,6 +376228,8 @@ 1 0 0 +4 +4 1 0x5d7d 0xc3fff126 @@ -300990,6 +376238,8 @@ 1 1 0 +1 +4 2 0x8d63 0xe2811c0c @@ -300998,6 +376248,8 @@ 1 1 0 +2 +1 1 0xdce 0x3d607fc @@ -301006,6 +376258,8 @@ 1 0 0 +3 +3 1 0xaa58 0xf8dfc941 @@ -301014,6 +376268,8 @@ 0 0 0 +0 +0 2 0x6e21 0xbe71bd49 @@ -301022,6 +376278,8 @@ 1 0 0 +3 +0 2 0xb728 0xa3aff25 @@ -301031,6 +376289,8 @@ 0 0 1 +0 +1 0x6f2 0xbb366d0f 256 @@ -301038,6 +376298,8 @@ 1 0 0 +1 +4 2 0x870 0x3fe9cf21 @@ -301046,6 +376308,8 @@ 1 0 0 +2 +2 1 0x2657 0x288fdcf0 @@ -301054,6 +376318,8 @@ 1 0 0 +0 +0 3 0x9c15 0x79deeb91 @@ -301062,6 +376328,8 @@ 0 0 0 +4 +2 1 0x5d65 0x6373b069 @@ -301070,6 +376338,8 @@ 1 0 0 +2 +4 4 0x3ae2 0xfea3ec3e @@ -301078,6 +376348,8 @@ 1 0 0 +0 +2 3 0x9d97 0x6b8a077d @@ -301087,6 +376359,8 @@ 0 0 3 +1 +3 0x5570 0xbe641d05 256 @@ -301094,6 +376368,8 @@ 0 0 0 +0 +4 3 0x8eac 0xad31b0a0 @@ -301102,6 +376378,8 @@ 0 0 0 +3 +5 4 0xc05d 0x4917f16a @@ -301110,6 +376388,8 @@ 1 1 0 +3 +5 2 0x8296 0x5bf71686 @@ -301118,6 +376398,8 @@ 0 0 0 +3 +5 2 0x9389 0x3b222b18 @@ -301126,6 +376408,8 @@ 0 0 0 +0 +3 2 0xaddd 0x44b439fb @@ -301135,6 +376419,8 @@ 0 0 1 +4 +1 0x5338 0xd6bbb220 256 @@ -301142,6 +376428,8 @@ 0 0 0 +3 +3 1 0xff34 0xc777325e @@ -301150,6 +376438,8 @@ 1 0 0 +5 +2 2 0x788b 0x5e89faca @@ -301158,6 +376448,8 @@ 1 0 0 +5 +2 3 0xee6 0x5366686a @@ -301166,6 +376458,8 @@ 1 0 0 +4 +2 3 0xec9e 0x6657d0d8 @@ -301175,6 +376469,8 @@ 0 0 4 +3 +4 0x7e88 0xe6a956d3 256 @@ -301182,6 +376478,8 @@ 1 1 0 +5 +0 2 0xe552 0x5b105f11 @@ -301190,6 +376488,8 @@ 1 1 0 +3 +0 1 0x47d0 0xb9f94384 @@ -301198,6 +376498,8 @@ 1 0 0 +4 +0 2 0x80b0 0x22d6e31b @@ -301206,6 +376508,8 @@ 0 0 0 +0 +1 1 0x2d53 0xf0e9bcc9 @@ -301214,6 +376518,8 @@ 1 0 0 +3 +2 1 0xdbc6 0x3102f002 @@ -301222,6 +376528,8 @@ 1 1 0 +0 +3 3 0xccb3 0x8f9ee30c @@ -301230,6 +376538,8 @@ 1 0 0 +0 +2 1 0x1dec 0xb360e523 @@ -301238,6 +376548,8 @@ 0 0 0 +2 +0 4 0x91f4 0xafc8beff @@ -301247,6 +376559,8 @@ 1 0 3 +5 +3 0x4786 0xc897e909 256 @@ -301255,6 +376569,8 @@ 1 0 3 +0 +3 0xc34d 0xaba06a91 256 @@ -301262,6 +376578,8 @@ 1 0 0 +5 +1 1 0xadc9 0x5b7df553 @@ -301270,6 +376588,8 @@ 0 0 0 +4 +0 3 0x9700 0xba49bfc9 @@ -301278,6 +376598,8 @@ 1 0 0 +1 +1 4 0x9bdf 0x148689c0 @@ -301286,6 +376608,8 @@ 0 0 0 +1 +4 2 0x6ae2 0x1ae95ca6 @@ -301294,6 +376618,8 @@ 0 0 0 +0 +2 2 0x8192 0xbc5d060c @@ -301302,6 +376628,8 @@ 1 1 0 +0 +1 4 0x11ba 0x2fb6a685 @@ -301310,6 +376638,8 @@ 1 0 0 +5 +2 4 0xe46c 0x5d2f6092 @@ -301318,6 +376648,8 @@ 0 0 0 +1 +4 4 0xcf9c 0xb1e5a54a @@ -301326,6 +376658,8 @@ 0 0 0 +1 +3 4 0x20f1 0xdeb61ec4 @@ -301334,6 +376668,8 @@ 0 0 0 +5 +1 4 0xd935 0x122f72b5 @@ -301342,6 +376678,8 @@ 0 0 0 +0 +4 1 0x5f58 0xad5f0592 @@ -301350,6 +376688,8 @@ 1 0 0 +3 +5 1 0x4f46 0xa3b4da41 @@ -301358,6 +376698,8 @@ 1 0 0 +5 +5 3 0xe136 0x6aa7ff1a @@ -301367,6 +376709,8 @@ 0 0 3 +2 +3 0xc19e 0x9d66b6ba 256 @@ -301374,6 +376718,8 @@ 1 0 0 +1 +2 3 0xc43a 0xa83479b1 @@ -301382,6 +376728,8 @@ 1 0 0 +3 +0 4 0x8b7f 0xb08029c2 @@ -301390,6 +376738,8 @@ 0 0 0 +0 +1 2 0x41f6 0xcb0990cf @@ -301398,6 +376748,8 @@ 1 0 0 +2 +1 3 0x86d5 0xc254c3b2 @@ -301406,6 +376758,8 @@ 0 0 0 +1 +1 2 0x9bd7 0x7c397dca @@ -301414,6 +376768,8 @@ 0 0 0 +4 +4 3 0xa16 0xb33e7e60 @@ -301422,6 +376778,8 @@ 0 0 0 +1 +1 2 0x2948 0x6ef82a96 @@ -301430,6 +376788,8 @@ 0 0 0 +0 +5 2 0x4324 0x7eb757ef @@ -301438,6 +376798,8 @@ 1 1 0 +4 +1 2 0xbe8a 0xc345b6b2 @@ -301447,6 +376809,8 @@ 0 0 1 +0 +1 0x154b 0xe9021a76 256 @@ -301454,6 +376818,8 @@ 1 1 0 +0 +1 4 0x9bdd 0x57c312eb @@ -301462,6 +376828,8 @@ 1 0 0 +3 +1 1 0xec10 0xb9f9b68 @@ -301470,6 +376838,8 @@ 1 1 0 +1 +2 2 0xeb9e 0x5d3c23e3 @@ -301478,6 +376848,8 @@ 0 0 0 +4 +1 2 0xea5f 0x8cdf0ce9 @@ -301487,6 +376859,8 @@ 0 0 2 +4 +2 0xe475 0x33bc788d 256 @@ -301494,6 +376868,8 @@ 0 0 0 +3 +2 4 0xa761 0x15b73bd4 @@ -301502,6 +376878,8 @@ 1 0 0 +1 +4 3 0x447c 0x849b73b0 @@ -301511,6 +376889,8 @@ 1 0 3 +1 +3 0xa99c 0x4992dd4d 256 @@ -301519,6 +376899,8 @@ 0 0 1 +4 +1 0x1bd8 0x4666932f 256 @@ -301526,6 +376908,8 @@ 0 0 0 +0 +1 2 0x316f 0xa3875e6 @@ -301534,6 +376918,8 @@ 1 0 0 +4 +4 1 0x7c04 0x3f6f4a88 @@ -301542,6 +376928,8 @@ 1 0 0 +5 +0 3 0xce4d 0x681b1fab @@ -301550,6 +376938,8 @@ 1 0 0 +5 +2 1 0x29f1 0xe2f4bdd2 @@ -301558,6 +376948,8 @@ 0 0 0 +4 +3 1 0xab82 0x51094073 @@ -301566,6 +376958,8 @@ 0 0 0 +3 +0 2 0x2e8 0xaa7c8fdb @@ -301574,6 +376968,8 @@ 1 0 0 +5 +5 4 0xfd59 0xe3324d90 @@ -301582,6 +376978,8 @@ 1 1 0 +0 +4 3 0xb562 0xc8831375 @@ -301590,6 +376988,8 @@ 1 0 0 +1 +2 3 0x9555 0x5c0546d4 @@ -301598,6 +376998,8 @@ 0 0 0 +3 +3 2 0x8920 0x340a1f93 @@ -301606,6 +377008,8 @@ 1 0 0 +3 +0 2 0x4855 0xf07340db @@ -301614,6 +377018,8 @@ 1 1 0 +5 +1 3 0xe6d2 0x4578342e @@ -301622,6 +377028,8 @@ 0 0 0 +1 +3 3 0x81ae 0xbcf32ed6 @@ -301630,6 +377038,8 @@ 0 0 0 +5 +3 2 0x6d0c 0xceba83dc @@ -301638,6 +377048,8 @@ 1 0 0 +0 +5 2 0x5249 0x2110f358 @@ -301646,6 +377058,8 @@ 1 1 0 +1 +0 3 0x9891 0x3544f798 @@ -301654,6 +377068,8 @@ 1 1 0 +0 +3 2 0xb758 0x7745c25a @@ -301662,6 +377078,8 @@ 1 0 0 +5 +5 3 0xe40a 0xc419c88d @@ -301670,6 +377088,8 @@ 0 0 0 +0 +0 1 0x677d 0x45c5b89c @@ -301678,6 +377098,8 @@ 0 0 0 +0 +5 1 0xcc86 0x62442c9d @@ -301687,6 +377109,8 @@ 1 0 2 +4 +2 0xee4 0x43894146 256 @@ -301695,6 +377119,8 @@ 0 0 3 +3 +3 0xff6e 0xca85fe67 256 @@ -301703,6 +377129,8 @@ 0 0 2 +2 +2 0x8783 0x6af782fc 256 @@ -301711,6 +377139,8 @@ 0 0 2 +3 +2 0x9244 0xdc79eae0 256 @@ -301718,6 +377148,8 @@ 1 0 0 +0 +5 4 0x32af 0xae32b4dc @@ -301726,6 +377158,8 @@ 1 1 0 +4 +0 1 0xf9fb 0xcc142b38 @@ -301734,6 +377168,8 @@ 1 0 0 +2 +2 1 0x4438 0xd3d6abdd @@ -301742,6 +377178,8 @@ 1 0 0 +1 +3 4 0xbb76 0x4afb5e29 @@ -301751,6 +377189,8 @@ 1 0 3 +3 +3 0x8ec3 0xed51e5fb 256 @@ -301758,6 +377198,8 @@ 0 0 0 +4 +1 2 0x8eb3 0x27d50c25 @@ -301766,6 +377208,8 @@ 1 0 0 +5 +3 2 0xfca2 0xe86faeed @@ -301774,6 +377218,8 @@ 1 0 0 +4 +4 3 0xe0cb 0xd799cda2 @@ -301782,6 +377228,8 @@ 1 1 0 +5 +1 4 0x7d68 0x557561bf @@ -301790,6 +377238,8 @@ 0 0 0 +0 +3 3 0x952a 0xea9a50fb @@ -301798,6 +377248,8 @@ 1 1 0 +1 +2 4 0x2fcd 0x2a16f3d9 @@ -301806,6 +377258,8 @@ 1 0 0 +4 +2 1 0xbf59 0xd680906b @@ -301815,6 +377269,8 @@ 1 0 4 +3 +4 0xcac6 0xcafa3914 256 @@ -301822,6 +377278,8 @@ 0 0 0 +2 +4 4 0xf2fd 0x6d5fcbfa @@ -301830,6 +377288,8 @@ 0 0 0 +0 +2 2 0x905a 0x1182d327 @@ -301839,6 +377299,8 @@ 1 0 2 +0 +2 0x9236 0x9bbc19aa 256 @@ -301846,6 +377308,8 @@ 1 1 0 +2 +2 3 0xf29b 0xaf41eec3 @@ -301854,6 +377318,8 @@ 0 0 0 +5 +2 3 0xc4bb 0x12fe806a @@ -301863,6 +377329,8 @@ 0 0 1 +3 +1 0x902f 0x83e30743 256 @@ -301870,6 +377338,8 @@ 0 0 0 +4 +1 1 0x169f 0xabebba38 @@ -301878,6 +377348,8 @@ 1 1 0 +4 +1 1 0x5aec 0x167326b3 @@ -301886,6 +377358,8 @@ 1 0 0 +5 +1 2 0x2144 0x36b62049 @@ -301894,6 +377368,8 @@ 0 0 0 +1 +3 4 0xbb 0x38e5307c @@ -301902,6 +377378,8 @@ 0 0 0 +4 +2 2 0x47ad 0xa7995f49 @@ -301910,6 +377388,8 @@ 0 0 0 +5 +4 2 0x9601 0xd4966807 @@ -301918,6 +377398,8 @@ 0 0 0 +3 +0 2 0x469b 0xa3f2d3f4 @@ -301926,6 +377408,8 @@ 1 0 0 +2 +3 4 0xc77c 0xbd8c17dc @@ -301934,6 +377418,8 @@ 1 0 0 +1 +1 4 0x8492 0x2473ec8f @@ -301942,6 +377428,8 @@ 0 0 0 +3 +0 1 0xbc94 0xe7fc596d @@ -301950,6 +377438,8 @@ 1 1 0 +4 +2 2 0x7e1 0xe3f3c439 @@ -301958,6 +377448,8 @@ 0 0 0 +2 +4 3 0xb3cf 0xdabaf12f @@ -301966,6 +377458,8 @@ 1 0 0 +4 +5 1 0x5060 0x2ee9f88f @@ -301975,6 +377469,8 @@ 1 0 1 +4 +1 0xcc18 0x85f2c49b 256 @@ -301982,6 +377478,8 @@ 0 0 0 +3 +3 1 0xe1a1 0x5f36dda4 @@ -301990,6 +377488,8 @@ 0 0 0 +2 +4 1 0x78d5 0xac8e99b5 @@ -301998,6 +377498,8 @@ 1 0 0 +2 +5 4 0x1f4a 0x549e2ab1 @@ -302006,6 +377508,8 @@ 0 0 0 +0 +1 1 0x6560 0x9a11fec9 @@ -302014,6 +377518,8 @@ 0 0 0 +0 +0 1 0x5049 0xffe3db82 @@ -302023,6 +377529,8 @@ 0 0 2 +0 +2 0x3442 0xd0367a1 256 @@ -302031,6 +377539,8 @@ 0 0 4 +4 +4 0x413e 0xe5d0ba64 256 @@ -302039,6 +377549,8 @@ 0 0 1 +3 +1 0x9c9b 0xf94e3194 256 @@ -302046,6 +377558,8 @@ 1 0 0 +5 +2 1 0x77b2 0xa0fc5fef @@ -302054,6 +377568,8 @@ 0 0 0 +3 +4 4 0xffe5 0x1da8636e @@ -302062,6 +377578,8 @@ 1 0 0 +4 +0 3 0xa0f5 0xda50bcac @@ -302070,6 +377588,8 @@ 1 1 0 +2 +0 3 0x89a3 0x7ff0c2b1 @@ -302078,6 +377598,8 @@ 1 0 0 +1 +5 3 0xc092 0x7c9eff32 @@ -302086,6 +377608,8 @@ 1 0 0 +0 +5 3 0x3842 0x3917ce94 @@ -302094,6 +377618,8 @@ 1 0 0 +5 +4 1 0xedb3 0x38694e82 @@ -302102,6 +377628,8 @@ 0 0 0 +0 +3 3 0x7a18 0x75ab1f3f @@ -302110,6 +377638,8 @@ 0 0 0 +5 +4 1 0xbfb1 0x11dff0c3 @@ -302118,6 +377648,8 @@ 0 0 0 +2 +0 4 0xc447 0x79923f6c @@ -302126,6 +377658,8 @@ 1 0 0 +5 +4 2 0xdf01 0x48894c2c @@ -302134,6 +377668,8 @@ 0 0 0 +1 +3 3 0x4b19 0x9a958ca6 @@ -302142,6 +377678,8 @@ 1 0 0 +3 +2 4 0x4a4f 0x2fe00f09 @@ -302150,6 +377688,8 @@ 0 0 0 +1 +4 2 0x388d 0x2eb8a952 @@ -302158,6 +377698,8 @@ 0 0 0 +0 +5 2 0xdb01 0xc6bf49a4 @@ -302166,6 +377708,8 @@ 0 0 0 +0 +2 2 0xeb08 0xf0d3f8c3 @@ -302174,6 +377718,8 @@ 1 1 0 +1 +3 3 0x6c81 0x272d3624 @@ -302182,6 +377728,8 @@ 0 0 0 +5 +1 4 0x73ba 0x13ccc31 @@ -302190,6 +377738,8 @@ 0 0 0 +5 +2 2 0x56f4 0xdc343966 @@ -302198,6 +377748,8 @@ 1 0 0 +2 +3 3 0xc98 0xa57237e5 @@ -302206,6 +377758,8 @@ 0 0 0 +5 +5 3 0xe368 0x2e5be7ef @@ -302214,6 +377768,8 @@ 0 0 0 +2 +2 3 0xc996 0x4808b29 @@ -302222,6 +377778,8 @@ 0 0 0 +1 +5 4 0x9740 0xa4934d29 @@ -302230,6 +377788,8 @@ 0 0 0 +2 +0 1 0x6bf7 0xad24e9a7 @@ -302238,6 +377798,8 @@ 1 1 0 +4 +2 3 0x9367 0xb5cb39ee @@ -302246,6 +377808,8 @@ 0 0 0 +2 +5 4 0x8086 0xcd3fd893 @@ -302254,6 +377818,8 @@ 0 0 0 +4 +3 1 0x1429 0x648beb2e @@ -302262,6 +377828,8 @@ 1 1 0 +0 +0 3 0x4a59 0x88f056d0 @@ -302270,6 +377838,8 @@ 0 0 0 +0 +1 2 0xb3ca 0x35945596 @@ -302278,6 +377848,8 @@ 1 0 0 +3 +2 4 0x49f7 0x3f05d5e2 @@ -302286,6 +377858,8 @@ 0 0 0 +1 +5 2 0xd667 0xd1bc607e @@ -302295,6 +377869,8 @@ 0 0 2 +1 +2 0xcb07 0x1b9f4541 256 @@ -302303,6 +377879,8 @@ 1 0 2 +5 +2 0xe5a0 0x7215300e 256 @@ -302310,6 +377888,8 @@ 1 1 0 +5 +4 3 0x6abf 0x9fa2f2ae @@ -302318,6 +377898,8 @@ 0 0 0 +0 +4 1 0x8c31 0x780cebbe @@ -302327,6 +377909,8 @@ 1 0 4 +5 +4 0x473 0x44a9639f 256 @@ -302334,6 +377918,8 @@ 0 0 0 +1 +2 2 0xcc5 0x9de03141 @@ -302342,6 +377928,8 @@ 0 0 0 +5 +0 4 0x656d 0xb23209d @@ -302350,6 +377938,8 @@ 0 0 0 +4 +4 1 0x5188 0xa6a782dd @@ -302358,6 +377948,8 @@ 1 1 0 +3 +4 1 0xd32e 0x3c29ff6e @@ -302366,6 +377958,8 @@ 1 0 0 +4 +0 2 0xff00 0x14200b49 @@ -302374,6 +377968,8 @@ 1 0 0 +3 +4 4 0x9e71 0x13a3b7a @@ -302382,6 +377978,8 @@ 1 1 0 +0 +5 3 0xf74e 0x7b23bc4c @@ -302390,6 +377988,8 @@ 1 1 0 +3 +5 4 0x332f 0x9949626 @@ -302398,6 +377998,8 @@ 0 0 0 +4 +5 3 0x1bdc 0x7ec50005 @@ -302406,6 +378008,8 @@ 1 0 0 +0 +2 4 0xcd81 0xbe312bc6 @@ -302414,6 +378018,8 @@ 1 0 0 +0 +0 4 0x470c 0x8837edd1 @@ -302422,6 +378028,8 @@ 1 1 0 +0 +1 1 0xd10d 0xb96bb8c7 @@ -302430,6 +378038,8 @@ 0 0 0 +4 +1 3 0x7f17 0x611adb21 @@ -302438,6 +378048,8 @@ 0 0 0 +1 +3 2 0x8118 0xb5b7a4d @@ -302446,6 +378058,8 @@ 0 0 0 +3 +5 4 0xba76 0x78423f0f @@ -302455,6 +378069,8 @@ 0 0 2 +5 +2 0x821a 0x65b1847d 256 @@ -302462,6 +378078,8 @@ 0 0 0 +2 +0 3 0x53d1 0x98283fbe @@ -302470,6 +378088,8 @@ 1 0 0 +2 +2 4 0x3302 0x4d33c93 @@ -302478,6 +378098,8 @@ 1 1 0 +3 +0 4 0x4af2 0xfb4c8314 @@ -302486,6 +378108,8 @@ 0 0 0 +4 +2 1 0x6a9c 0x97036fc9 @@ -302494,6 +378118,8 @@ 1 0 0 +5 +1 4 0xf5a7 0x682731e2 @@ -302502,6 +378128,8 @@ 0 0 0 +0 +0 3 0xfcc8 0x992de8e @@ -302511,6 +378139,8 @@ 1 0 1 +4 +1 0x6e86 0x56317a41 256 @@ -302518,6 +378148,8 @@ 1 1 0 +3 +4 1 0x3614 0xd0eaba6 @@ -302526,6 +378158,8 @@ 1 0 0 +1 +0 2 0xeb6f 0x235c4f6a @@ -302534,6 +378168,8 @@ 0 0 0 +0 +2 4 0xda4c 0xa7657a26 @@ -302542,6 +378178,8 @@ 0 0 0 +4 +2 1 0xa01f 0x4b50477a @@ -302550,6 +378188,8 @@ 0 0 0 +2 +1 1 0xa070 0x9aee22f5 @@ -302558,6 +378198,8 @@ 0 0 0 +4 +0 1 0xc0c1 0x1d8ec0 @@ -302566,6 +378208,8 @@ 1 0 0 +4 +4 1 0xa485 0xe909f993 @@ -302574,6 +378218,8 @@ 0 0 0 +0 +5 1 0xd71c 0xf923c19f @@ -302582,6 +378228,8 @@ 1 1 0 +4 +2 2 0xf310 0x40212f16 @@ -302590,6 +378238,8 @@ 1 0 0 +1 +1 3 0x12f 0x6abad792 @@ -302598,6 +378248,8 @@ 0 0 0 +1 +3 2 0xccba 0x89d8bf5b @@ -302606,6 +378258,8 @@ 1 1 0 +0 +0 4 0xcbfc 0xcb95ba31 @@ -302614,6 +378268,8 @@ 1 1 0 +0 +5 2 0xa961 0xacb941ea @@ -302622,6 +378278,8 @@ 0 0 0 +3 +0 4 0x7a24 0xaf57c834 @@ -302630,6 +378288,8 @@ 0 0 0 +1 +4 2 0x1b97 0x83deaa68 @@ -302638,6 +378298,8 @@ 0 0 0 +5 +4 3 0x769 0x8372bd45 @@ -302646,6 +378308,8 @@ 1 0 0 +4 +4 3 0x8698 0x473c8671 @@ -302654,6 +378318,8 @@ 1 1 0 +5 +5 4 0x4290 0x3f2d3d44 @@ -302662,6 +378328,8 @@ 0 0 0 +3 +2 2 0xeb27 0x1cc0e8a4 @@ -302670,6 +378338,8 @@ 0 0 0 +5 +1 1 0x4e8 0x19b4664c @@ -302678,6 +378348,8 @@ 1 1 0 +4 +2 3 0xa2c1 0xa6e67e78 @@ -302686,6 +378358,8 @@ 0 0 0 +2 +2 4 0x2d53 0x7cb5ee1a @@ -302694,6 +378368,8 @@ 1 1 0 +0 +3 3 0x835a 0xfa775c45 @@ -302702,6 +378378,8 @@ 0 0 0 +3 +2 2 0x2e29 0xdb4f3a07 @@ -302711,6 +378389,8 @@ 0 0 1 +4 +1 0xfe9 0xc31e059b 256 @@ -302718,6 +378398,8 @@ 0 0 0 +2 +2 3 0xa167 0xd2fdd416 @@ -302726,6 +378408,8 @@ 1 1 0 +4 +0 2 0x3f27 0x20156ff6 @@ -302734,6 +378418,8 @@ 0 0 0 +1 +5 3 0xeb2f 0x81e82db4 @@ -302742,6 +378428,8 @@ 1 1 0 +3 +1 2 0xe763 0xd08094af @@ -302750,6 +378438,8 @@ 0 0 0 +5 +1 4 0xd206 0xd231dce7 @@ -302758,6 +378448,8 @@ 1 0 0 +4 +1 3 0xfa70 0x1ad0adae @@ -302766,6 +378458,8 @@ 1 0 0 +2 +0 3 0x7868 0x55569665 @@ -302774,6 +378468,8 @@ 0 0 0 +3 +2 4 0xf7fe 0x93a7e5de @@ -302782,6 +378478,8 @@ 0 0 0 +3 +1 1 0xcad4 0x636bee2 @@ -302791,6 +378489,8 @@ 0 0 3 +3 +3 0x9da9 0x4347330 256 @@ -302798,6 +378498,8 @@ 1 1 0 +3 +5 1 0xa4bf 0x5ab49085 @@ -302806,6 +378508,8 @@ 0 0 0 +0 +4 1 0x4220 0x3242d708 @@ -302814,6 +378518,8 @@ 1 1 0 +4 +1 3 0x1059 0xbc594c35 @@ -302822,6 +378528,8 @@ 0 0 0 +0 +0 3 0x4230 0x87f0de9 @@ -302831,6 +378539,8 @@ 0 0 3 +3 +3 0xc228 0xef627ae4 256 @@ -302838,6 +378548,8 @@ 1 1 0 +2 +3 1 0x5369 0x14651696 @@ -302846,6 +378558,8 @@ 1 1 0 +3 +1 4 0xbba9 0x9e1d9946 @@ -302854,6 +378568,8 @@ 0 0 0 +3 +2 2 0x554c 0xa093a8c8 @@ -302862,6 +378578,8 @@ 0 0 0 +3 +1 2 0xdc24 0x33d498b3 @@ -302870,6 +378588,8 @@ 0 0 0 +1 +5 3 0x1277 0x98dd300a @@ -302878,6 +378598,8 @@ 1 0 0 +0 +1 4 0xb218 0x9fb1bfff @@ -302886,6 +378608,8 @@ 1 0 0 +5 +5 3 0xb740 0x2e62eedb @@ -302894,6 +378618,8 @@ 0 0 0 +1 +5 3 0x9c97 0xe32f2d9d @@ -302902,6 +378628,8 @@ 0 0 0 +4 +5 3 0x4183 0xae8ac7bf @@ -302910,6 +378638,8 @@ 1 1 0 +1 +0 4 0xe942 0x77ef839c @@ -302918,6 +378648,8 @@ 1 1 0 +5 +5 2 0x49a7 0x48d0b6da @@ -302926,6 +378658,8 @@ 1 1 0 +0 +5 3 0x69fc 0x1ed54371 @@ -302934,6 +378668,8 @@ 1 0 0 +1 +1 4 0xe36d 0xf6e5b79c @@ -302942,6 +378678,8 @@ 1 0 0 +0 +1 2 0xc8ba 0x203cabb6 @@ -302950,6 +378688,8 @@ 1 0 0 +3 +5 1 0x6227 0x58b8e313 @@ -302958,6 +378698,8 @@ 0 0 0 +4 +1 1 0x5abe 0xcbb9aa07 @@ -302966,6 +378708,8 @@ 0 0 0 +2 +1 1 0xb278 0x17f75222 @@ -302975,6 +378719,8 @@ 1 0 1 +3 +1 0xe45f 0x151d3998 256 @@ -302982,6 +378728,8 @@ 0 0 0 +2 +0 1 0x4aba 0x51c82ec3 @@ -302990,6 +378738,8 @@ 1 1 0 +0 +5 1 0x8896 0x75c7a0bf @@ -302998,6 +378748,8 @@ 1 1 0 +3 +2 2 0x8eab 0x270c5e54 @@ -303007,6 +378759,8 @@ 0 0 1 +3 +1 0xd781 0x8066b2e7 256 @@ -303014,6 +378768,8 @@ 0 0 0 +3 +5 4 0x8e3a 0x9812516c @@ -303022,6 +378778,8 @@ 0 0 0 +2 +0 4 0x7c1b 0xac0336be @@ -303030,6 +378788,8 @@ 0 0 0 +1 +1 4 0xb6f 0xfb11cb19 @@ -303038,6 +378798,8 @@ 1 0 0 +4 +5 3 0x61d6 0x5de07ce @@ -303046,6 +378808,8 @@ 1 0 0 +3 +5 2 0x7661 0xb272069b @@ -303054,6 +378818,8 @@ 1 1 0 +0 +0 2 0x6469 0x43ac97c2 @@ -303062,6 +378828,8 @@ 1 1 0 +3 +5 4 0x1fb0 0xd5f19ab5 @@ -303070,6 +378838,8 @@ 0 0 0 +2 +3 1 0xf67 0x843ccf41 @@ -303079,6 +378849,8 @@ 1 0 4 +0 +4 0x6787 0x188347c3 256 @@ -303086,6 +378858,8 @@ 1 1 0 +2 +1 4 0xdf01 0x5ef05f64 @@ -303094,6 +378868,8 @@ 0 0 0 +2 +4 4 0xe247 0x586e531d @@ -303102,6 +378878,8 @@ 0 0 0 +4 +0 1 0x9537 0x6f656372 @@ -303110,6 +378888,8 @@ 1 0 0 +4 +3 3 0x73c0 0x87c7a45b @@ -303118,6 +378898,8 @@ 0 0 0 +1 +4 4 0x37e7 0x38cc9fbb @@ -303126,6 +378908,8 @@ 0 0 0 +4 +1 1 0x7eea 0x21ef9e4c @@ -303134,6 +378918,8 @@ 1 0 0 +2 +0 4 0x78c8 0xd7abbd81 @@ -303142,6 +378928,8 @@ 1 0 0 +2 +3 4 0x91a5 0xd601cf4f @@ -303151,6 +378939,8 @@ 0 0 1 +0 +1 0x9494 0x98d502c1 256 @@ -303159,6 +378949,8 @@ 0 0 2 +3 +2 0x3137 0x95667d36 256 @@ -303166,6 +378958,8 @@ 1 0 0 +5 +1 2 0x39d1 0x4ac97fb5 @@ -303174,6 +378968,8 @@ 0 0 0 +1 +4 4 0x27a4 0xb09b95e7 @@ -303182,6 +378978,8 @@ 1 0 0 +4 +3 3 0x3a2e 0x4fb154b1 @@ -303190,6 +378988,8 @@ 1 0 0 +1 +3 2 0xae81 0x1cc37f3a @@ -303199,6 +378999,8 @@ 0 0 1 +4 +1 0x957c 0x2e8bc273 256 @@ -303206,6 +379008,8 @@ 0 0 0 +1 +0 4 0xee45 0xf071120c @@ -303214,6 +379018,8 @@ 1 1 0 +5 +3 2 0xcdda 0x13c310ef @@ -303222,6 +379028,8 @@ 0 0 0 +2 +1 3 0x52ca 0x2fdc2e2d @@ -303230,6 +379038,8 @@ 1 1 0 +2 +5 4 0xb0ea 0x7d88a53f @@ -303238,6 +379048,8 @@ 1 1 0 +5 +3 2 0xf99b 0x1af15486 @@ -303246,6 +379058,8 @@ 1 1 0 +5 +1 2 0xbd27 0x4629e7ed @@ -303254,6 +379068,8 @@ 0 0 0 +4 +5 3 0xb0d8 0xcf713c6 @@ -303262,6 +379078,8 @@ 0 0 0 +4 +0 2 0x7eb 0x774cd009 @@ -303270,6 +379088,8 @@ 1 0 0 +3 +3 2 0x394a 0x3363e9da @@ -303279,6 +379099,8 @@ 0 0 2 +4 +2 0x6c93 0x52cc1248 256 @@ -303286,6 +379108,8 @@ 1 1 0 +2 +4 4 0xce88 0x9432675a @@ -303295,6 +379119,8 @@ 0 0 3 +3 +3 0x2ca6 0x581ca5cd 256 @@ -303302,6 +379128,8 @@ 1 1 0 +2 +5 1 0x1382 0x62259fb9 @@ -303310,6 +379138,8 @@ 0 0 0 +2 +3 3 0x94f 0x1511443 @@ -303319,6 +379149,8 @@ 0 0 2 +4 +2 0x5df6 0x2f0ad94a 256 @@ -303327,6 +379159,8 @@ 1 0 4 +2 +4 0x7305 0x120f17a9 256 @@ -303334,6 +379168,8 @@ 0 0 0 +5 +2 2 0xa9a1 0xbaa95f90 @@ -303342,6 +379178,8 @@ 0 0 0 +2 +1 4 0xcabf 0x34b8d452 @@ -303351,6 +379189,8 @@ 1 0 4 +3 +4 0x970f 0x5cc45732 256 @@ -303358,6 +379198,8 @@ 0 0 0 +0 +0 4 0xb623 0x79029fe2 @@ -303366,6 +379208,8 @@ 1 0 0 +4 +2 1 0x1165 0xd9b2efc9 @@ -303374,6 +379218,8 @@ 1 1 0 +5 +2 2 0x6bb6 0x6259ac3b @@ -303382,6 +379228,8 @@ 0 0 0 +0 +5 3 0x735e 0x50f09743 @@ -303390,6 +379238,8 @@ 0 0 0 +3 +3 1 0x56be 0x7dea8795 @@ -303399,6 +379249,8 @@ 0 0 3 +0 +3 0xb84 0x6c0dd32 256 @@ -303406,6 +379258,8 @@ 0 0 0 +0 +5 3 0xf542 0xcaa34313 @@ -303414,6 +379268,8 @@ 1 1 0 +1 +3 4 0xb3d4 0x9fac392b @@ -303423,6 +379279,8 @@ 0 0 1 +0 +1 0x85a6 0x798263a2 256 @@ -303430,6 +379288,8 @@ 1 0 0 +3 +0 2 0x28f3 0xce45fc44 @@ -303438,6 +379298,8 @@ 0 0 0 +0 +3 1 0xcf87 0xf280bd3c @@ -303447,6 +379309,8 @@ 0 0 4 +1 +4 0xefa4 0x180378bb 256 @@ -303454,6 +379318,8 @@ 1 0 0 +2 +5 4 0x7ae7 0x81d57ae2 @@ -303462,6 +379328,8 @@ 0 0 0 +5 +2 3 0x3e5f 0xec35e981 @@ -303470,6 +379338,8 @@ 1 0 0 +3 +1 2 0x971e 0xb2091a2f @@ -303478,6 +379348,8 @@ 1 1 0 +4 +4 2 0x4dc6 0x77ace9f0 @@ -303486,6 +379358,8 @@ 0 0 0 +3 +2 1 0xc5a2 0xe4b77ad8 @@ -303494,6 +379368,8 @@ 0 0 0 +1 +1 4 0x1b55 0x2c3b5c4b @@ -303502,6 +379378,8 @@ 0 0 0 +3 +4 2 0xbe97 0xe7ade0e @@ -303510,6 +379388,8 @@ 1 1 0 +3 +4 4 0xc06a 0x8d1ad96 @@ -303518,6 +379398,8 @@ 0 0 0 +5 +0 1 0xbebb 0x8a356909 @@ -303526,6 +379408,8 @@ 1 1 0 +1 +2 4 0x3c30 0x48b51ea0 @@ -303534,6 +379418,8 @@ 1 1 0 +0 +5 1 0x61a 0xd5ba42f9 @@ -303542,6 +379428,8 @@ 0 0 0 +0 +5 2 0x8e1b 0x3d001bb7 @@ -303551,6 +379439,8 @@ 0 0 4 +0 +4 0xafca 0x84338ad9 256 @@ -303558,6 +379448,8 @@ 0 0 0 +0 +5 1 0x861a 0x57fa9e32 @@ -303566,6 +379458,8 @@ 0 0 0 +3 +3 1 0x9c8 0xfcd910f @@ -303575,6 +379469,8 @@ 0 0 1 +1 +1 0x5a8 0x8beb5f60 256 @@ -303582,6 +379478,8 @@ 0 0 0 +0 +1 3 0xbb5c 0xc17e3b34 @@ -303590,6 +379488,8 @@ 0 0 0 +4 +5 1 0x4312 0x434eeb75 @@ -303599,6 +379499,8 @@ 1 0 3 +4 +3 0x8302 0xc18dc9f1 256 @@ -303606,6 +379508,8 @@ 0 0 0 +1 +5 4 0x7390 0xbd91368a @@ -303615,6 +379519,8 @@ 0 0 1 +1 +1 0xb914 0x48f7d1b2 256 @@ -303622,6 +379528,8 @@ 0 0 0 +1 +3 2 0x26b0 0x96903837 @@ -303630,6 +379538,8 @@ 1 1 0 +0 +3 4 0xe28c 0x167e6262 @@ -303638,6 +379548,8 @@ 1 0 0 +4 +0 2 0x4d8e 0xaa393a38 @@ -303646,6 +379558,8 @@ 1 1 0 +1 +4 4 0x6e3b 0xc9cd6333 @@ -303654,6 +379568,8 @@ 0 0 0 +1 +4 3 0x81bb 0xe94f9f15 @@ -303662,6 +379578,8 @@ 0 0 0 +1 +3 2 0x7966 0x6a5cb727 @@ -303670,6 +379588,8 @@ 0 0 0 +4 +4 1 0x7feb 0x9b307c38 @@ -303679,6 +379599,8 @@ 0 0 1 +0 +1 0x5509 0x31766b14 256 @@ -303686,6 +379608,8 @@ 0 0 0 +1 +5 2 0x6688 0x1a447657 @@ -303694,6 +379618,8 @@ 1 1 0 +5 +5 3 0x39de 0xdba2eb75 @@ -303702,6 +379628,8 @@ 1 0 0 +2 +0 4 0xc758 0xe8481256 @@ -303710,6 +379638,8 @@ 1 1 0 +1 +5 4 0x5ff2 0xb31b5e5b @@ -303718,6 +379648,8 @@ 1 1 0 +3 +1 1 0x3ca9 0xfd008fb9 @@ -303726,6 +379658,8 @@ 1 1 0 +4 +3 1 0xae9b 0x3027409d @@ -303735,6 +379669,8 @@ 1 0 3 +3 +3 0x66cd 0xe63cb6c8 256 @@ -303742,6 +379678,8 @@ 0 0 0 +3 +2 4 0x4190 0x8be0925a @@ -303750,6 +379688,8 @@ 0 0 0 +5 +2 3 0x6de 0x47631001 @@ -303758,6 +379698,8 @@ 1 0 0 +5 +1 2 0x87a 0x773dedf @@ -303766,6 +379708,8 @@ 1 0 0 +1 +3 2 0x6fb7 0x411fbf85 @@ -303775,6 +379719,8 @@ 0 0 2 +3 +2 0x4d3d 0x50969d0d 256 @@ -303782,6 +379728,8 @@ 1 0 0 +4 +5 1 0xbadd 0x8167dcd1 @@ -303790,6 +379738,8 @@ 0 0 0 +0 +5 2 0x8f92 0xa526424c @@ -303798,6 +379748,8 @@ 0 0 0 +2 +2 3 0xe3be 0x71081ede @@ -303806,6 +379758,8 @@ 0 0 0 +5 +3 4 0x18c8 0x6494ba32 @@ -303814,6 +379768,8 @@ 0 0 0 +2 +3 3 0x8d10 0xbeda1aa @@ -303822,6 +379778,8 @@ 0 0 0 +4 +4 2 0x7c6a 0xafc2767b @@ -303830,6 +379788,8 @@ 0 0 0 +4 +2 1 0x7bd7 0xc40e66c0 @@ -303838,6 +379798,8 @@ 1 1 0 +0 +3 1 0x118a 0x6d0aabe @@ -303846,6 +379808,8 @@ 1 1 0 +2 +3 1 0x86bf 0x95ebf1e7 @@ -303855,6 +379819,8 @@ 1 0 4 +1 +4 0x4e6e 0x7200756 256 @@ -303862,6 +379828,8 @@ 1 0 0 +3 +1 4 0xc4a8 0x205ed474 @@ -303870,6 +379838,8 @@ 1 1 0 +2 +3 1 0xd839 0x7c9b75cb @@ -303879,6 +379849,8 @@ 0 0 2 +5 +2 0x10f3 0x8ed91b7d 256 @@ -303887,6 +379859,8 @@ 0 0 3 +2 +3 0xdbae 0xd4241d5c 256 @@ -303894,6 +379868,8 @@ 1 1 0 +0 +3 1 0x9f64 0xce6f6ca1 @@ -303902,6 +379878,8 @@ 1 0 0 +1 +5 2 0xe86a 0xd2fd48d0 @@ -303911,6 +379889,8 @@ 0 0 3 +5 +3 0x7a62 0x939e631b 256 @@ -303918,6 +379898,8 @@ 0 0 0 +5 +0 2 0x85b 0x504d8975 @@ -303926,6 +379908,8 @@ 0 0 0 +0 +3 2 0x4160 0x4cd3c946 @@ -303934,6 +379918,8 @@ 0 0 0 +4 +5 3 0xf4d9 0xdbc63bdb @@ -303943,6 +379929,8 @@ 0 0 2 +4 +2 0xd01b 0xc21ba7d1 256 @@ -303950,6 +379938,8 @@ 0 0 0 +3 +2 1 0x4ced 0xc1170829 @@ -303959,6 +379949,8 @@ 1 0 1 +4 +1 0x7e7d 0xc54759da 256 @@ -303966,6 +379958,8 @@ 1 1 0 +1 +3 3 0x55c 0xfa3c8f90 @@ -303974,6 +379968,8 @@ 1 1 0 +0 +2 1 0xea1 0xc03a9b4b @@ -303982,6 +379978,8 @@ 1 0 0 +0 +5 2 0x3e07 0x4822db4d @@ -303990,6 +379988,8 @@ 1 1 0 +4 +4 3 0x3cf3 0xb3e37683 @@ -303998,6 +379998,8 @@ 0 0 0 +0 +0 1 0xf9a6 0xc69e851c @@ -304006,6 +380008,8 @@ 1 0 0 +1 +5 2 0x16ac 0xd3918f29 @@ -304014,6 +380018,8 @@ 1 0 0 +2 +2 1 0x725 0x747d84e2 @@ -304023,6 +380029,8 @@ 0 0 3 +5 +3 0x810c 0x3d26641d 256 @@ -304031,6 +380039,8 @@ 0 0 1 +1 +1 0x6bbe 0x2cf88abd 256 @@ -304038,6 +380048,8 @@ 1 1 0 +1 +5 3 0xaac7 0x67653ff5 @@ -304046,6 +380058,8 @@ 1 0 0 +5 +5 1 0xa772 0x6c3381da @@ -304055,6 +380069,8 @@ 0 0 3 +5 +3 0x30ec 0xa290e28d 256 @@ -304063,6 +380079,8 @@ 0 0 1 +1 +1 0x271e 0xd5e56e2d 256 @@ -304070,6 +380088,8 @@ 0 0 0 +4 +4 3 0xc8ea 0xc2c8b466 @@ -304078,6 +380098,8 @@ 0 0 0 +5 +1 1 0xe9a9 0x853e267c @@ -304087,6 +380109,8 @@ 1 0 1 +1 +1 0x7955 0x2c4fe59 256 @@ -304094,6 +380118,8 @@ 0 0 0 +2 +5 3 0x819c 0x62e7f05c @@ -304102,6 +380128,8 @@ 0 0 0 +5 +5 4 0xf6dc 0xa4ce4336 @@ -304110,6 +380138,8 @@ 1 0 0 +4 +4 3 0x63e3 0xbd74a9cd @@ -304118,6 +380148,8 @@ 1 1 0 +1 +0 3 0x6c37 0x45b25d64 @@ -304126,6 +380158,8 @@ 1 1 0 +5 +5 3 0xe1bd 0x732a59b9 @@ -304134,6 +380168,8 @@ 0 0 0 +0 +2 3 0x2e72 0xe088b016 @@ -304143,6 +380179,8 @@ 0 0 1 +2 +1 0x6fca 0x4d859810 256 @@ -304150,6 +380188,8 @@ 0 0 0 +5 +1 2 0xe98f 0x17d2c9d8 @@ -304158,6 +380198,8 @@ 1 0 0 +0 +5 1 0xfd3c 0x619e8ee4 @@ -304166,6 +380208,8 @@ 1 0 0 +0 +1 3 0x85b2 0xc00e09a0 @@ -304174,6 +380218,8 @@ 1 1 0 +0 +4 4 0xff96 0x786f2a68 @@ -304182,6 +380228,8 @@ 0 0 0 +5 +2 4 0x5e1a 0xf5d0acc8 @@ -304190,6 +380238,8 @@ 1 0 0 +3 +5 2 0x4543 0xf4cc59d7 @@ -304199,6 +380249,8 @@ 0 0 2 +2 +2 0x1c4a 0x1b7125d 256 @@ -304207,6 +380259,8 @@ 0 0 2 +5 +2 0xe3cb 0xa53098dd 256 @@ -304214,6 +380268,8 @@ 1 0 0 +5 +1 2 0x9f0 0x6f9fb230 @@ -304222,6 +380278,8 @@ 0 0 0 +1 +0 4 0x162e 0xf9fc06a6 @@ -304230,6 +380288,8 @@ 0 0 0 +5 +0 3 0xec08 0x506c0de @@ -304239,6 +380299,8 @@ 0 0 4 +3 +4 0xd86c 0xdad1b16d 256 @@ -304246,6 +380308,8 @@ 1 0 0 +0 +3 1 0x57b1 0xa7d23c4a @@ -304254,6 +380318,8 @@ 0 0 0 +5 +5 4 0x89db 0xd753c83c @@ -304263,6 +380329,8 @@ 1 0 4 +5 +4 0xc3d4 0xc5b44e54 256 @@ -304270,6 +380338,8 @@ 1 1 0 +5 +2 4 0xd97 0xf8aa2652 @@ -304278,6 +380348,8 @@ 1 1 0 +1 +2 3 0xa964 0x1d933a49 @@ -304286,6 +380358,8 @@ 1 1 0 +1 +1 2 0xda5c 0x9abf0b43 @@ -304294,6 +380368,8 @@ 0 0 0 +4 +3 2 0xa086 0xf8dbcde6 @@ -304302,6 +380378,8 @@ 0 0 0 +3 +4 2 0x4e77 0x640af39f @@ -304310,6 +380388,8 @@ 1 1 0 +1 +5 4 0x5b75 0x437cfc09 @@ -304319,6 +380399,8 @@ 1 0 2 +4 +2 0x7304 0x88bbefee 256 @@ -304326,6 +380408,8 @@ 1 0 0 +1 +2 4 0x2bcc 0xe2402f3e @@ -304334,6 +380418,8 @@ 0 0 0 +3 +4 2 0x3f8e 0xd2be70d3 @@ -304342,6 +380428,8 @@ 1 1 0 +4 +2 1 0xb246 0xc7bb4f6 @@ -304350,6 +380438,8 @@ 1 0 0 +0 +0 1 0xa5cd 0xb9aaef55 @@ -304358,6 +380448,8 @@ 1 1 0 +2 +1 4 0x6943 0xf75d44dd @@ -304366,6 +380458,8 @@ 0 0 0 +0 +4 2 0x7026 0x8d8728c4 @@ -304374,6 +380468,8 @@ 0 0 0 +0 +3 1 0x3242 0xac8827a8 @@ -304382,6 +380478,8 @@ 1 1 0 +0 +4 2 0x87a9 0x2de04220 @@ -304390,6 +380488,8 @@ 1 1 0 +3 +5 2 0x66b4 0x906f01b7 @@ -304398,6 +380498,8 @@ 1 0 0 +0 +0 3 0x208a 0xab3a17b8 @@ -304406,6 +380508,8 @@ 0 0 0 +2 +4 1 0xdbfe 0x7c95ae63 @@ -304414,6 +380518,8 @@ 1 1 0 +0 +4 1 0xf1c7 0xe24d65d5 @@ -304422,6 +380528,8 @@ 1 0 0 +4 +4 1 0x7a7f 0x7d7a44b1 @@ -304430,6 +380538,8 @@ 0 0 0 +1 +3 4 0xfc96 0xea12f7b @@ -304438,6 +380548,8 @@ 0 0 0 +5 +4 1 0xaae0 0xa0139987 @@ -304446,6 +380558,8 @@ 1 0 0 +3 +2 4 0xef1c 0x263db92b @@ -304454,6 +380568,8 @@ 1 0 0 +3 +4 2 0x7acf 0x12a51261 @@ -304462,6 +380578,8 @@ 1 0 0 +1 +0 4 0x2801 0x2ef59527 @@ -304470,6 +380588,8 @@ 1 1 0 +4 +5 1 0x4e03 0xe499d3c3 @@ -304478,6 +380598,8 @@ 1 0 0 +0 +0 4 0x74e9 0x8df3fd78 @@ -304486,6 +380608,8 @@ 1 1 0 +5 +3 1 0x4158 0x776fa596 @@ -304494,6 +380618,8 @@ 1 1 0 +4 +5 3 0x1393 0xe3615b04 @@ -304502,6 +380628,8 @@ 1 1 0 +0 +4 4 0x3d82 0xcba5ac95 @@ -304511,6 +380639,8 @@ 0 0 2 +2 +2 0x2dae 0xcdb9b156 256 @@ -304518,6 +380648,8 @@ 0 0 0 +1 +0 3 0x9f8b 0x852eb7d @@ -304526,6 +380658,8 @@ 0 0 0 +0 +3 3 0xcb01 0xd72888e @@ -304534,6 +380668,8 @@ 0 0 0 +2 +1 1 0xc89 0x6f2c7c29 @@ -304542,6 +380678,8 @@ 0 0 0 +3 +2 2 0x6f4f 0x4d14686a @@ -304550,6 +380688,8 @@ 0 0 0 +4 +1 3 0xc3fe 0x7c6f2600 @@ -304558,6 +380698,8 @@ 0 0 0 +5 +1 3 0xeace 0xca6669f8 @@ -304566,6 +380708,8 @@ 1 1 0 +0 +0 2 0x7fce 0xc30a66da @@ -304574,6 +380718,8 @@ 0 0 0 +1 +5 3 0xd8c8 0x6dfda07 @@ -304582,6 +380728,8 @@ 0 0 0 +5 +0 1 0xdbbf 0x30afbb0e @@ -304590,6 +380738,8 @@ 1 1 0 +0 +1 3 0xa21a 0x8899300f @@ -304598,6 +380748,8 @@ 0 0 0 +2 +5 1 0xa3dd 0xc92cbc29 @@ -304606,6 +380758,8 @@ 1 1 0 +5 +3 2 0x89ac 0xa7888364 @@ -304614,6 +380768,8 @@ 0 0 0 +1 +3 3 0x9a5e 0x6b446bcb @@ -304622,6 +380778,8 @@ 1 1 0 +0 +3 3 0x461a 0x7690218a @@ -304630,6 +380788,8 @@ 1 0 0 +4 +4 1 0xa664 0xccc239b @@ -304639,6 +380799,8 @@ 0 0 2 +1 +2 0x14a1 0x63a6dc2 256 @@ -304646,6 +380808,8 @@ 0 0 0 +4 +4 2 0x7cc5 0x4c7f6eb @@ -304654,6 +380818,8 @@ 1 0 0 +0 +2 4 0x2970 0xf86c7f08 @@ -304662,6 +380828,8 @@ 1 0 0 +0 +0 3 0x8fba 0x62e9ddd3 @@ -304670,6 +380838,8 @@ 0 0 0 +5 +1 3 0x57e2 0xaf9be94b @@ -304679,6 +380849,8 @@ 1 0 2 +5 +2 0x3275 0x40642879 256 @@ -304686,6 +380858,8 @@ 1 0 0 +3 +4 4 0x2f58 0x315e76f2 @@ -304694,6 +380868,8 @@ 1 1 0 +3 +3 1 0xd9b2 0xd45fe8c7 @@ -304702,6 +380878,8 @@ 0 0 0 +3 +5 2 0xf3c8 0x11aa4f5b @@ -304710,6 +380888,8 @@ 0 0 0 +2 +0 4 0x7813 0xf0470f80 @@ -304719,6 +380899,8 @@ 0 0 1 +2 +1 0x238f 0x73c1aa6 256 @@ -304726,6 +380908,8 @@ 1 1 0 +5 +4 4 0xeef3 0x350a7c6d @@ -304734,6 +380918,8 @@ 1 0 0 +3 +5 2 0x5ef6 0x1254d92 @@ -304742,6 +380928,8 @@ 1 0 0 +1 +2 2 0x8bc 0x31437dff @@ -304750,6 +380938,8 @@ 1 0 0 +2 +5 4 0xd977 0xf557f980 @@ -304759,6 +380949,8 @@ 0 0 3 +0 +3 0xc10b 0xb1f26e09 256 @@ -304766,6 +380958,8 @@ 0 0 0 +2 +2 1 0xb2bf 0x4132ee14 @@ -304774,6 +380968,8 @@ 0 0 0 +2 +4 1 0xb6b8 0x6e205b1c @@ -304783,6 +380979,8 @@ 0 0 1 +2 +1 0x9f75 0x4efadb41 256 @@ -304790,6 +380988,8 @@ 1 0 0 +0 +5 3 0x8770 0x9e3047b0 @@ -304798,6 +380998,8 @@ 1 0 0 +5 +1 4 0x1787 0x5f174192 @@ -304806,6 +381008,8 @@ 1 1 0 +0 +0 2 0xe4fc 0xae69520 @@ -304814,6 +381018,8 @@ 0 0 0 +4 +4 2 0xd159 0x94f01272 @@ -304822,6 +381028,8 @@ 1 0 0 +0 +2 1 0x978e 0x959ce3cc @@ -304830,6 +381038,8 @@ 0 0 0 +1 +2 2 0x1d1e 0xb4edb46e @@ -304838,6 +381048,8 @@ 1 0 0 +1 +0 3 0x25a8 0x7f4157f5 @@ -304846,6 +381058,8 @@ 0 0 0 +0 +0 3 0x28ee 0xe8a9d0ce @@ -304854,6 +381068,8 @@ 0 0 0 +2 +2 3 0xec4c 0x55ca7f51 @@ -304862,6 +381078,8 @@ 1 1 0 +1 +1 2 0xb4c0 0xe50f4c9f @@ -304870,6 +381088,8 @@ 1 0 0 +3 +1 2 0xcde5 0xb9d93a4a @@ -304878,6 +381098,8 @@ 0 0 0 +4 +5 2 0x25f2 0x7816e0a5 @@ -304886,6 +381108,8 @@ 0 0 0 +5 +5 2 0xc18c 0x9b870c69 @@ -304894,6 +381118,8 @@ 1 1 0 +2 +1 3 0xb3a1 0x37bdca1a @@ -304902,6 +381128,8 @@ 0 0 0 +1 +0 3 0xfcfe 0x1783ffb6 @@ -304910,6 +381138,8 @@ 0 0 0 +1 +3 3 0x1e50 0x8639befd @@ -304918,6 +381148,8 @@ 1 1 0 +5 +5 3 0xce4a 0x22c5af93 @@ -304926,6 +381158,8 @@ 1 1 0 +3 +4 1 0x1c87 0xc844a933 @@ -304934,6 +381168,8 @@ 1 1 0 +3 +0 1 0xc793 0x3e595da5 @@ -304942,6 +381178,8 @@ 1 0 0 +4 +4 1 0xef3f 0x6c2322fa @@ -304950,6 +381188,8 @@ 0 0 0 +4 +1 1 0xcda4 0xc0bd496c @@ -304958,6 +381198,8 @@ 1 1 0 +1 +2 3 0xa3e5 0x7d02aff7 @@ -304967,6 +381209,8 @@ 1 0 2 +2 +2 0x39f9 0x3398201d 256 @@ -304974,6 +381218,8 @@ 1 0 0 +2 +3 1 0x4c5b 0x10466d7 @@ -304982,6 +381228,8 @@ 0 0 0 +5 +1 1 0x60d2 0x565091f9 @@ -304991,6 +381239,8 @@ 0 0 4 +4 +4 0xa51c 0x926e4edd 256 @@ -304998,6 +381248,8 @@ 1 0 0 +3 +0 2 0x5671 0xf779a64 @@ -305006,6 +381258,8 @@ 1 1 0 +0 +5 1 0x6b85 0xea21f9cc @@ -305014,6 +381268,8 @@ 1 1 0 +2 +1 3 0xfb00 0x8e26be74 @@ -305022,6 +381278,8 @@ 0 0 0 +5 +1 3 0xecea 0x65f069d6 @@ -305030,6 +381288,8 @@ 0 0 0 +5 +4 2 0xa251 0x9c346704 @@ -305038,6 +381298,8 @@ 1 0 0 +1 +3 2 0x9b44 0xd57527b6 @@ -305046,6 +381308,8 @@ 1 1 0 +0 +0 4 0x876d 0xff0f2c1b @@ -305054,6 +381318,8 @@ 1 1 0 +0 +1 3 0x3255 0x371b5b50 @@ -305063,6 +381329,8 @@ 0 0 4 +2 +4 0x21c8 0x5945229d 256 @@ -305070,6 +381338,8 @@ 1 0 0 +3 +4 1 0x6c01 0x67313697 @@ -305078,6 +381348,8 @@ 1 1 0 +4 +2 3 0x61b9 0xb143c6d3 @@ -305086,6 +381358,8 @@ 1 0 0 +5 +4 3 0x625a 0x649a9b1c @@ -305094,6 +381368,8 @@ 0 0 0 +3 +2 4 0xf026 0xef352a2e @@ -305102,6 +381378,8 @@ 0 0 0 +1 +4 3 0xef97 0x35495200 @@ -305110,6 +381388,8 @@ 1 0 0 +0 +4 2 0x234 0x1faea1ec @@ -305119,6 +381399,8 @@ 1 0 4 +4 +4 0x241 0xe0ca57b5 256 @@ -305126,6 +381408,8 @@ 1 0 0 +5 +2 3 0x20a 0xb8e4b8cf @@ -305134,6 +381418,8 @@ 1 1 0 +5 +4 1 0xb9cf 0x9261f8ff @@ -305142,6 +381428,8 @@ 1 1 0 +2 +4 3 0xbab3 0xc4ab3c8e @@ -305150,6 +381438,8 @@ 1 0 0 +1 +3 4 0xe585 0xeff68e7f @@ -305158,6 +381448,8 @@ 0 0 0 +3 +0 4 0x478d 0xc314b430 @@ -305166,6 +381458,8 @@ 0 0 0 +5 +5 3 0x660c 0xecd6194 @@ -305174,6 +381468,8 @@ 1 0 0 +0 +2 3 0xd394 0xd481f09d @@ -305182,6 +381478,8 @@ 1 1 0 +5 +4 3 0xd1ff 0x2f49a316 @@ -305191,6 +381489,8 @@ 0 0 3 +3 +3 0x2c62 0xb4b88c03 256 @@ -305198,6 +381498,8 @@ 0 0 0 +3 +0 2 0xfad0 0x63294ecf @@ -305206,6 +381508,8 @@ 0 0 0 +3 +4 2 0xcfe7 0x611d907c @@ -305214,6 +381518,8 @@ 0 0 0 +1 +0 3 0x3d12 0x6911628f @@ -305222,6 +381528,8 @@ 1 1 0 +4 +1 3 0x2976 0x5691b493 @@ -305230,6 +381538,8 @@ 1 1 0 +2 +1 4 0x5b65 0xf05b461d @@ -305238,6 +381548,8 @@ 1 0 0 +4 +2 3 0xed7c 0xb6aafcd4 @@ -305246,6 +381558,8 @@ 1 1 0 +5 +3 4 0x5898 0xf094be97 @@ -305254,6 +381568,8 @@ 0 0 0 +3 +1 2 0x3517 0xb55a415 @@ -305262,6 +381578,8 @@ 1 1 0 +2 +3 3 0x6b1 0xa1f049a3 @@ -305270,6 +381588,8 @@ 0 0 0 +4 +1 3 0x47f0 0x89cb3e25 @@ -305278,6 +381598,8 @@ 1 1 0 +4 +2 3 0x2175 0x6ad41281 @@ -305286,6 +381608,8 @@ 1 1 0 +1 +3 2 0x9871 0x94433049 @@ -305294,6 +381618,8 @@ 1 0 0 +4 +0 1 0xcea5 0xc19cfa6c @@ -305302,6 +381628,8 @@ 1 0 0 +3 +4 4 0x12ce 0xa824fd6b @@ -305310,6 +381638,8 @@ 0 0 0 +5 +4 1 0xea55 0x49569b12 @@ -305318,6 +381648,8 @@ 1 0 0 +1 +0 3 0xd478 0x7b08ace4 @@ -305326,6 +381658,8 @@ 0 0 0 +1 +3 3 0xef9f 0xfd80c849 @@ -305334,6 +381668,8 @@ 1 0 0 +1 +0 3 0xc3cc 0x9dbdae99 @@ -305343,6 +381679,8 @@ 1 0 1 +3 +1 0xed7c 0x3d937edd 256 @@ -305350,6 +381688,8 @@ 0 0 0 +1 +4 2 0x5565 0xb06cdb49 @@ -305358,6 +381698,8 @@ 0 0 0 +5 +5 4 0x84d5 0xae4b9b25 @@ -305366,6 +381708,8 @@ 1 1 0 +1 +3 3 0x1a20 0xe0a7e2bb @@ -305374,6 +381718,8 @@ 1 1 0 +0 +5 3 0xbd1a 0xa0deb7a8 @@ -305382,6 +381728,8 @@ 1 1 0 +0 +5 1 0x83d4 0x4b7fda60 @@ -305390,6 +381738,8 @@ 0 0 0 +3 +5 4 0xd0f4 0x162b9ea1 @@ -305398,6 +381748,8 @@ 0 0 0 +3 +4 4 0xf005 0xfc4b9c7f @@ -305406,6 +381758,8 @@ 0 0 0 +0 +5 4 0x4adc 0xa21f6c7c @@ -305415,6 +381769,8 @@ 0 0 2 +1 +2 0x1933 0x6ddd0bd3 256 @@ -305422,6 +381778,8 @@ 0 0 0 +5 +5 4 0x72fb 0xffeb9a17 @@ -305430,6 +381788,8 @@ 0 0 0 +3 +5 2 0x2c65 0xdbaf0c9a @@ -305438,6 +381798,8 @@ 1 0 0 +1 +3 4 0x58d7 0xd35030d2 @@ -305446,6 +381808,8 @@ 1 1 0 +3 +2 1 0x6448 0x8670557d @@ -305454,6 +381818,8 @@ 0 0 0 +2 +1 3 0x868c 0x92a4a52 @@ -305462,6 +381828,8 @@ 0 0 0 +3 +5 4 0xa8e6 0xf411b8e2 @@ -305470,6 +381838,8 @@ 0 0 0 +1 +1 4 0x29a 0xdec471c6 @@ -305478,6 +381848,8 @@ 1 0 0 +5 +5 2 0x772e 0x6bd0ab1e @@ -305486,6 +381858,8 @@ 1 0 0 +1 +4 4 0x2329 0x17318905 @@ -305494,6 +381868,8 @@ 0 0 0 +4 +3 1 0xfeb 0x46ab16a8 @@ -305502,6 +381878,8 @@ 1 1 0 +4 +4 1 0x96a1 0x582f196c @@ -305510,6 +381888,8 @@ 0 0 0 +3 +5 4 0xf0c9 0x807c6009 @@ -305519,6 +381899,8 @@ 0 0 3 +5 +3 0x2dbc 0xd96ea64a 256 @@ -305526,6 +381908,8 @@ 1 0 0 +5 +2 1 0xbb0e 0x1fae51ba @@ -305534,6 +381918,8 @@ 0 0 0 +0 +2 4 0x1379 0x447c6cb5 @@ -305542,6 +381928,8 @@ 1 1 0 +5 +5 1 0x7b28 0x547aa9a6 @@ -305550,6 +381938,8 @@ 1 0 0 +5 +2 2 0x30f7 0x7ac0b024 @@ -305558,6 +381948,8 @@ 0 0 0 +0 +1 1 0x4ad4 0x65f13571 @@ -305567,6 +381959,8 @@ 1 0 1 +2 +1 0x22e2 0xd821ebc6 256 @@ -305574,6 +381968,8 @@ 1 0 0 +2 +3 3 0xc929 0xf5e4ddff @@ -305582,6 +381978,8 @@ 1 1 0 +3 +4 4 0xb334 0xed5a938d @@ -305590,6 +381988,8 @@ 0 0 0 +5 +5 3 0xd29e 0xc5f41b46 @@ -305598,6 +381998,8 @@ 0 0 0 +1 +1 4 0x20ab 0x27447080 @@ -305606,6 +382008,8 @@ 0 0 0 +5 +5 3 0xc4c5 0x34791757 @@ -305614,6 +382018,8 @@ 1 1 0 +5 +2 4 0x7376 0xd9294c72 @@ -305622,6 +382028,8 @@ 1 0 0 +0 +2 1 0x780f 0xde0e6bce @@ -305630,6 +382038,8 @@ 1 1 0 +3 +0 4 0x22f7 0xc6546af9 @@ -305638,6 +382048,8 @@ 0 0 0 +1 +3 3 0x325b 0xc1e3f473 @@ -305646,6 +382058,8 @@ 1 1 0 +2 +4 3 0x278 0xbf2d6714 @@ -305655,6 +382069,8 @@ 0 0 4 +3 +4 0x800f 0x7714b337 256 @@ -305662,6 +382078,8 @@ 0 0 0 +1 +1 2 0xa877 0xa626e339 @@ -305670,6 +382088,8 @@ 0 0 0 +1 +2 3 0xa424 0x91b4d716 @@ -305678,6 +382098,8 @@ 0 0 0 +0 +2 4 0x2d25 0x60cee279 @@ -305686,6 +382108,8 @@ 0 0 0 +0 +3 1 0x9dc6 0x88cf3a0a @@ -305694,6 +382118,8 @@ 1 1 0 +4 +4 2 0x8b8f 0xf46f4bd7 @@ -305702,6 +382128,8 @@ 1 0 0 +0 +3 2 0xf74 0x27bce9bc @@ -305710,6 +382138,8 @@ 0 0 0 +4 +0 2 0x8440 0xdb00d2d9 @@ -305718,6 +382148,8 @@ 0 0 0 +0 +4 1 0x1271 0xf5238aae @@ -305726,6 +382158,8 @@ 1 1 0 +5 +0 4 0x3c4a 0x37a2d1b0 @@ -305734,6 +382168,8 @@ 1 1 0 +1 +3 3 0xa079 0x24239d4b @@ -305743,6 +382179,8 @@ 0 0 1 +1 +1 0x1311 0xcc9bd5d0 256 @@ -305750,6 +382188,8 @@ 1 0 0 +1 +1 4 0x75d7 0x502ec6e2 @@ -305758,6 +382198,8 @@ 0 0 0 +1 +5 3 0x28e7 0x5f220458 @@ -305767,6 +382209,8 @@ 0 0 3 +4 +3 0x4ef7 0x1bc8ca68 256 @@ -305775,6 +382219,8 @@ 0 0 3 +5 +3 0x6ca7 0x8dd0a0aa 256 @@ -305782,6 +382228,8 @@ 0 0 0 +3 +0 2 0xd766 0x6fe0a0b6 @@ -305790,6 +382238,8 @@ 1 0 0 +1 +5 2 0xb9d6 0xf448ae4 @@ -305798,6 +382248,8 @@ 1 0 0 +2 +3 3 0xa015 0xcbfef9ec @@ -305806,6 +382258,8 @@ 1 0 0 +5 +3 1 0xaafd 0xabffb233 @@ -305815,6 +382269,8 @@ 1 0 3 +5 +3 0x7014 0x42f0095 256 @@ -305822,6 +382278,8 @@ 1 0 0 +1 +3 2 0x8545 0xd1fd1eab @@ -305830,6 +382288,8 @@ 0 0 0 +5 +1 4 0x84b9 0x2f25edca @@ -305839,6 +382299,8 @@ 1 0 2 +2 +2 0x6b6e 0xf2638a45 256 @@ -305846,6 +382308,8 @@ 1 0 0 +2 +4 3 0xa274 0x8d889f13 @@ -305854,6 +382318,8 @@ 1 0 0 +4 +0 1 0x7a63 0x3aaf192b @@ -305862,6 +382328,8 @@ 0 0 0 +0 +5 4 0xfdc7 0x2bb84a1a @@ -305870,6 +382338,8 @@ 1 0 0 +2 +0 4 0x6208 0x9f2be82b @@ -305878,6 +382348,8 @@ 0 0 0 +1 +4 3 0xb78a 0x6be950fa @@ -305886,6 +382358,8 @@ 0 0 0 +5 +3 1 0x3c81 0x1b001a08 @@ -305894,6 +382368,8 @@ 0 0 0 +1 +2 4 0xcb64 0x324702c5 @@ -305902,6 +382378,8 @@ 1 1 0 +5 +3 2 0x6641 0xd714d10b @@ -305911,6 +382389,8 @@ 0 0 1 +2 +1 0x5644 0xc80fb521 256 @@ -305918,6 +382398,8 @@ 0 0 0 +0 +2 1 0x3331 0xeadd6f96 @@ -305926,6 +382408,8 @@ 1 1 0 +3 +0 2 0x161f 0xf3dcf5aa @@ -305934,6 +382418,8 @@ 0 0 0 +2 +2 3 0xd3b1 0x8c5c1d16 @@ -305942,6 +382428,8 @@ 0 0 0 +4 +4 3 0x1474 0xad6b345d @@ -305950,6 +382438,8 @@ 1 0 0 +4 +2 3 0x3c2 0x6778dcac @@ -305958,6 +382448,8 @@ 0 0 0 +2 +4 3 0x4624 0xebf3f648 @@ -305966,6 +382458,8 @@ 1 1 0 +0 +3 2 0x7550 0x118d4254 @@ -305974,6 +382468,8 @@ 1 0 0 +3 +0 2 0x4c68 0x8d7478b9 @@ -305982,6 +382478,8 @@ 1 1 0 +3 +1 1 0x6ed 0xed5b0be8 @@ -305990,6 +382488,8 @@ 1 1 0 +2 +5 3 0x8b23 0x575b40a1 @@ -305998,6 +382498,8 @@ 1 1 0 +5 +0 3 0x1404 0xc11ec18a @@ -306007,6 +382509,8 @@ 0 0 4 +3 +4 0x26b8 0x986680bf 256 @@ -306014,6 +382518,8 @@ 0 0 0 +1 +2 4 0x5ccb 0x335ab319 @@ -306022,6 +382528,8 @@ 0 0 0 +1 +5 4 0xba3c 0x21b9f278 @@ -306030,6 +382538,8 @@ 0 0 0 +1 +5 3 0x6509 0x25d5a55c @@ -306038,6 +382548,8 @@ 1 1 0 +4 +2 2 0xc004 0xb456181f @@ -306046,6 +382558,8 @@ 0 0 0 +5 +2 3 0xc762 0xe68292a5 @@ -306054,6 +382568,8 @@ 0 0 0 +0 +0 4 0x1b42 0xa342a729 @@ -306062,6 +382578,8 @@ 1 0 0 +5 +4 4 0x411c 0x5cd8c943 @@ -306070,6 +382588,8 @@ 1 0 0 +5 +0 4 0x444e 0x1704484d @@ -306078,6 +382598,8 @@ 1 0 0 +5 +0 2 0xebce 0xbed7b93e @@ -306086,6 +382608,8 @@ 1 1 0 +1 +4 4 0xfe85 0x7efac0ca @@ -306094,6 +382618,8 @@ 1 0 0 +1 +3 3 0xe82f 0x87da8705 @@ -306102,6 +382628,8 @@ 0 0 0 +1 +0 3 0x6653 0x20a19b63 @@ -306110,6 +382638,8 @@ 0 0 0 +4 +3 1 0xd73 0x6f17f8e8 @@ -306118,6 +382648,8 @@ 1 1 0 +0 +1 4 0x3a1c 0xfc70f314 @@ -306127,6 +382659,8 @@ 0 0 2 +4 +2 0xa5aa 0x1413148f 256 @@ -306134,6 +382668,8 @@ 1 1 0 +2 +1 4 0xbde4 0xd8c8db79 @@ -306142,6 +382678,8 @@ 0 0 0 +2 +0 3 0x31fc 0x25a73420 @@ -306150,6 +382688,8 @@ 1 1 0 +3 +4 4 0xbbd 0x94046cc4 @@ -306158,6 +382698,8 @@ 1 0 0 +0 +2 4 0x380c 0xda983911 @@ -306166,6 +382708,8 @@ 1 1 0 +2 +3 4 0xcff7 0xc3ceb3a5 @@ -306174,6 +382718,8 @@ 0 0 0 +2 +2 3 0x5c2d 0x6b602459 @@ -306182,6 +382728,8 @@ 1 1 0 +2 +0 1 0x6916 0x57dd9795 @@ -306190,6 +382738,8 @@ 1 1 0 +0 +3 1 0xfb48 0x45d70c9a @@ -306198,6 +382748,8 @@ 1 1 0 +1 +3 4 0x659f 0xf95d01c9 @@ -306206,6 +382758,8 @@ 0 0 0 +0 +2 3 0xf44a 0x4273a3af @@ -306214,6 +382768,8 @@ 1 0 0 +1 +4 2 0xc939 0x8d2e4ac7 @@ -306222,6 +382778,8 @@ 1 1 0 +0 +4 3 0x2ec7 0x284a5d77 @@ -306230,6 +382788,8 @@ 1 1 0 +3 +0 1 0xa6be 0xfcf0d80e @@ -306238,6 +382798,8 @@ 1 0 0 +0 +5 4 0xe228 0x3a347639 @@ -306246,6 +382808,8 @@ 0 0 0 +4 +0 1 0x26c8 0xe98a7513 @@ -306254,6 +382818,8 @@ 1 1 0 +4 +3 1 0x7608 0x9dea3dc8 @@ -306262,6 +382828,8 @@ 0 0 0 +0 +0 3 0x356a 0xc371b0c9 @@ -306270,6 +382838,8 @@ 0 0 0 +5 +4 3 0x3ed6 0xad88cce6 @@ -306279,6 +382849,8 @@ 0 0 1 +2 +1 0x7477 0x75e6c14a 256 @@ -306286,6 +382858,8 @@ 1 1 0 +0 +0 4 0xf1d 0x2ce6c04a @@ -306294,6 +382868,8 @@ 1 0 0 +3 +0 1 0x69ab 0x2cdc9edf @@ -306302,6 +382878,8 @@ 1 1 0 +5 +4 3 0x5775 0x91dac2d6 @@ -306310,6 +382888,8 @@ 0 0 0 +3 +5 4 0x7286 0x9a6be130 @@ -306318,6 +382898,8 @@ 0 0 0 +5 +0 4 0xbefa 0x829a6e3b @@ -306326,6 +382908,8 @@ 1 0 0 +3 +3 4 0x3a30 0xa3265ceb @@ -306334,6 +382918,8 @@ 1 1 0 +5 +2 3 0x2414 0xe63212aa @@ -306342,6 +382928,8 @@ 1 1 0 +1 +4 2 0xc746 0x289dac5e @@ -306350,6 +382938,8 @@ 0 0 0 +4 +5 2 0x1439 0x523283e0 @@ -306358,6 +382948,8 @@ 0 0 0 +0 +3 3 0x7d8f 0xa8dea557 @@ -306366,6 +382958,8 @@ 1 1 0 +3 +2 2 0x6acd 0x7d2140dd @@ -306375,6 +382969,8 @@ 0 0 2 +0 +2 0x95e6 0x5398934e 256 @@ -306382,6 +382978,8 @@ 1 1 0 +5 +1 2 0x969b 0xf788ca7e @@ -306390,6 +382988,8 @@ 0 0 0 +5 +5 3 0x1017 0xb6ee7e05 @@ -306398,6 +382998,8 @@ 1 1 0 +1 +2 3 0x1b36 0x7bb58203 @@ -306406,6 +383008,8 @@ 0 0 0 +2 +3 3 0x44ec 0x23b6724f @@ -306415,6 +383019,8 @@ 0 0 1 +4 +1 0xfc42 0x94de7dcd 256 @@ -306422,6 +383028,8 @@ 0 0 0 +5 +5 2 0x4910 0x22f31886 @@ -306430,6 +383038,8 @@ 1 1 0 +3 +2 1 0x584a 0x6d380cff @@ -306438,6 +383048,8 @@ 1 0 0 +5 +4 1 0x315b 0x725cc9d6 @@ -306446,6 +383058,8 @@ 0 0 0 +5 +0 1 0xac76 0xba611afb @@ -306454,6 +383068,8 @@ 1 0 0 +0 +3 3 0xc8ed 0x22b3ed6b @@ -306462,6 +383078,8 @@ 1 1 0 +4 +3 3 0xda00 0x8109335f @@ -306470,6 +383088,8 @@ 0 0 0 +1 +1 2 0x2853 0x1bea2434 @@ -306478,6 +383098,8 @@ 0 0 0 +1 +5 4 0x9261 0x1e2aae68 @@ -306487,6 +383109,8 @@ 0 0 1 +2 +1 0xa520 0x7625e30f 256 @@ -306494,6 +383118,8 @@ 1 1 0 +3 +2 2 0xeb72 0xe227b992 @@ -306503,6 +383129,8 @@ 0 0 4 +2 +4 0x29d9 0x72b6b1c9 256 @@ -306510,6 +383138,8 @@ 1 1 0 +5 +2 1 0xa790 0x7b664822 @@ -306518,6 +383148,8 @@ 1 1 0 +1 +4 3 0x63d2 0xdd1fa44f @@ -306526,6 +383158,8 @@ 1 1 0 +4 +5 1 0x201b 0xb6c2209a @@ -306534,6 +383168,8 @@ 1 0 0 +5 +1 1 0xdd82 0x5e405d6e @@ -306542,6 +383178,8 @@ 1 0 0 +2 +1 4 0x6cea 0x8e75d95c @@ -306550,6 +383188,8 @@ 1 1 0 +1 +1 4 0x18ef 0xba4887c4 @@ -306558,6 +383198,8 @@ 1 0 0 +1 +1 2 0xb3d4 0x8d25c3de @@ -306566,6 +383208,8 @@ 1 1 0 +3 +5 2 0x6d02 0xa73a674d @@ -306574,6 +383218,8 @@ 0 0 0 +3 +5 1 0xb535 0xdae30db4 @@ -306582,6 +383228,8 @@ 0 0 0 +3 +1 4 0x815d 0xcaac0ee8 @@ -306591,6 +383239,8 @@ 0 0 4 +2 +4 0xf536 0xa8035d9f 256 @@ -306599,6 +383249,8 @@ 0 0 3 +1 +3 0x457 0xbbdef6f2 256 @@ -306606,6 +383258,8 @@ 1 0 0 +3 +5 1 0x2fe6 0xe6b7214f @@ -306614,6 +383268,8 @@ 1 0 0 +0 +3 3 0xae9c 0x78becda9 @@ -306623,6 +383279,8 @@ 1 0 3 +0 +3 0xd0e7 0x4f7be4cb 256 @@ -306631,6 +383289,8 @@ 0 0 1 +0 +1 0xc9ac 0x1dc54ec3 256 @@ -306639,6 +383299,8 @@ 0 0 2 +1 +2 0xc8f7 0xa0327996 256 @@ -306646,6 +383308,8 @@ 0 0 0 +2 +3 4 0x36ad 0xd0b1dcc2 @@ -306655,6 +383319,8 @@ 0 0 4 +2 +4 0xf5ec 0x18242ed2 256 @@ -306662,6 +383328,8 @@ 0 0 0 +1 +4 3 0x15c4 0xcaa768df @@ -306670,6 +383338,8 @@ 1 0 0 +3 +3 4 0x130a 0xdd1b9191 @@ -306678,6 +383348,8 @@ 1 0 0 +4 +2 1 0x7101 0x262659d5 @@ -306686,6 +383358,8 @@ 0 0 0 +1 +2 2 0xe3c7 0xe430e72a @@ -306694,6 +383368,8 @@ 0 0 0 +1 +1 3 0x6f73 0x82e08c9a @@ -306702,6 +383378,8 @@ 1 1 0 +1 +2 4 0x8423 0x772bc025 @@ -306710,6 +383388,8 @@ 0 0 0 +1 +5 2 0x3c0a 0x48561377 @@ -306718,6 +383398,8 @@ 0 0 0 +1 +1 2 0x5196 0xad3d23f3 @@ -306726,6 +383408,8 @@ 0 0 0 +0 +0 3 0x8daa 0xced3e27d @@ -306734,6 +383418,8 @@ 0 0 0 +0 +5 1 0x8262 0xd732b9f3 @@ -306742,6 +383428,8 @@ 0 0 0 +3 +1 4 0x3cec 0xb0667244 @@ -306750,6 +383438,8 @@ 0 0 0 +3 +3 2 0xa91a 0x51c48832 @@ -306758,6 +383448,8 @@ 1 0 0 +1 +1 3 0xd02e 0x6a294be3 @@ -306766,6 +383458,8 @@ 0 0 0 +2 +3 4 0xb17d 0xc93be017 @@ -306774,6 +383468,8 @@ 0 0 0 +1 +1 2 0x30af 0x20db2a0e @@ -306783,6 +383479,8 @@ 0 0 3 +5 +3 0xe3ec 0xe146031e 256 @@ -306790,6 +383488,8 @@ 0 0 0 +1 +1 2 0x3400 0x3d46c7fb @@ -306798,6 +383498,8 @@ 1 1 0 +3 +4 1 0xcfa5 0x48fb39eb @@ -306806,6 +383508,8 @@ 1 0 0 +0 +4 3 0x229c 0xe19e423a @@ -306814,6 +383518,8 @@ 0 0 0 +3 +5 2 0x2a38 0x599428ba @@ -306822,6 +383528,8 @@ 1 0 0 +5 +4 4 0xfc76 0x40d15dc4 @@ -306830,6 +383538,8 @@ 0 0 0 +2 +0 3 0x3663 0xe6253114 @@ -306838,6 +383548,8 @@ 1 0 0 +1 +3 2 0xf521 0xca01041b @@ -306846,6 +383558,8 @@ 1 0 0 +0 +0 4 0x795e 0x452eb106 @@ -306854,6 +383568,8 @@ 0 0 0 +0 +3 3 0xa075 0x2e15dcde @@ -306862,6 +383578,8 @@ 1 1 0 +2 +5 4 0x8ef7 0x4e6d333e @@ -306870,6 +383588,8 @@ 1 1 0 +1 +3 2 0x8b7d 0xa6993f70 @@ -306878,6 +383598,8 @@ 1 1 0 +5 +5 2 0xd384 0x1984ab33 @@ -306886,6 +383608,8 @@ 1 1 0 +2 +3 1 0x8479 0x8a728f39 @@ -306894,6 +383618,8 @@ 0 0 0 +5 +2 4 0x789a 0x75d8aa1e @@ -306903,6 +383629,8 @@ 0 0 1 +4 +1 0x8b4f 0x9ca62c30 256 @@ -306910,6 +383638,8 @@ 1 1 0 +1 +1 3 0xcfbb 0x2f300ea9 @@ -306918,6 +383648,8 @@ 1 1 0 +0 +4 2 0xfb31 0xb81f4b3b @@ -306926,6 +383658,8 @@ 0 0 0 +0 +5 3 0x1ced 0x2d8c3425 @@ -306934,6 +383668,8 @@ 0 0 0 +1 +0 3 0xcd84 0x2dc067cc @@ -306942,6 +383678,8 @@ 0 0 0 +5 +0 2 0xc63a 0x4944ba2f @@ -306950,6 +383688,8 @@ 1 1 0 +4 +0 2 0xed3a 0x4066773f @@ -306958,6 +383698,8 @@ 1 0 0 +5 +3 3 0x9c5e 0xe04aaecc @@ -306966,6 +383708,8 @@ 1 1 0 +5 +2 3 0x58f8 0xea0f5ee0 @@ -306974,6 +383718,8 @@ 1 1 0 +5 +3 2 0x4bca 0xb03b2bd4 @@ -306982,6 +383728,8 @@ 0 0 0 +5 +4 3 0x63ea 0xc86dde15 @@ -306990,6 +383738,8 @@ 0 0 0 +3 +3 4 0x7a9a 0xbd624c11 @@ -306998,6 +383748,8 @@ 1 1 0 +1 +2 3 0x4a89 0x50690ef0 @@ -307006,6 +383758,8 @@ 0 0 0 +5 +5 3 0xe0d1 0xf0fd08e0 @@ -307014,6 +383768,8 @@ 1 1 0 +4 +4 2 0x8bf0 0xa54763b3 @@ -307022,6 +383778,8 @@ 0 0 0 +5 +1 3 0x9c07 0x86417447 @@ -307030,6 +383788,8 @@ 0 0 0 +4 +0 2 0x3e29 0xd2fd9d6a @@ -307038,6 +383798,8 @@ 1 0 0 +1 +0 4 0xc286 0x71659242 @@ -307046,6 +383808,8 @@ 0 0 0 +4 +2 2 0xe44c 0x64cd3662 @@ -307054,6 +383818,8 @@ 0 0 0 +0 +0 3 0x1c49 0x8f5782ff @@ -307062,6 +383828,8 @@ 1 1 0 +0 +2 3 0x2d85 0xed384b28 @@ -307071,6 +383839,8 @@ 0 0 1 +4 +1 0x781c 0x14c64f3f 256 @@ -307078,6 +383848,8 @@ 0 0 0 +3 +2 1 0x6bd6 0x7173ad0b @@ -307086,6 +383858,8 @@ 0 0 0 +1 +4 4 0xad8c 0xc7338b59 @@ -307094,6 +383868,8 @@ 1 0 0 +0 +4 4 0xfe3e 0x103554ae @@ -307102,6 +383878,8 @@ 1 0 0 +0 +1 1 0xfdce 0x4d0d443c @@ -307110,6 +383888,8 @@ 1 0 0 +4 +4 2 0x57d8 0xc4b19b4a @@ -307118,6 +383898,8 @@ 0 0 0 +4 +4 2 0x7764 0x8432e2e2 @@ -307126,6 +383908,8 @@ 1 0 0 +2 +5 3 0x87d9 0x6a71406a @@ -307134,6 +383918,8 @@ 1 1 0 +3 +4 4 0xef63 0xfd1891c8 @@ -307142,6 +383928,8 @@ 0 0 0 +5 +3 2 0xb14c 0x2dbad354 @@ -307150,6 +383938,8 @@ 0 0 0 +1 +2 4 0x563e 0x708fb8c4 @@ -307158,6 +383948,8 @@ 0 0 0 +2 +1 4 0x3bdb 0x8f8a2d11 @@ -307167,6 +383959,8 @@ 0 0 2 +3 +2 0x9a71 0xd8156853 256 @@ -307174,6 +383968,8 @@ 0 0 0 +3 +2 4 0xd263 0x545ec55c @@ -307182,6 +383978,8 @@ 1 1 0 +2 +1 4 0x80b6 0x86c23230 @@ -307190,6 +383988,8 @@ 0 0 0 +0 +2 3 0xdd42 0xcd1319d7 @@ -307198,6 +383998,8 @@ 1 0 0 +1 +3 3 0x1c39 0x40844a4e @@ -307206,6 +384008,8 @@ 0 0 0 +0 +5 3 0x846 0x40bfe6f @@ -307214,6 +384018,8 @@ 1 0 0 +2 +5 4 0xce28 0x8d7a07c5 @@ -307222,6 +384028,8 @@ 1 0 0 +4 +4 1 0x84c8 0xa732894 @@ -307230,6 +384038,8 @@ 0 0 0 +4 +0 3 0xddd2 0x324e1825 @@ -307238,6 +384048,8 @@ 0 0 0 +1 +5 4 0x6594 0x3006247 @@ -307246,6 +384058,8 @@ 1 1 0 +5 +1 3 0x5eda 0xd8a3bce @@ -307254,6 +384068,8 @@ 1 0 0 +5 +2 1 0x8fe1 0x4cec355b @@ -307262,6 +384078,8 @@ 1 0 0 +3 +1 2 0xa028 0x9400d9a @@ -307270,6 +384088,8 @@ 0 0 0 +3 +1 4 0x2351 0x13809602 @@ -307278,6 +384098,8 @@ 1 1 0 +3 +1 1 0x186b 0xd550598 @@ -307286,6 +384108,8 @@ 0 0 0 +0 +3 1 0xc5fa 0xfd9cb651 @@ -307294,6 +384118,8 @@ 1 0 0 +5 +1 2 0xce20 0xbd217a57 @@ -307302,6 +384128,8 @@ 0 0 0 +1 +5 4 0x471e 0x3cbc406 @@ -307310,6 +384138,8 @@ 0 0 0 +5 +0 4 0x8549 0x1b92f486 @@ -307318,6 +384148,8 @@ 1 1 0 +0 +3 2 0xca55 0xb9b1a353 @@ -307326,6 +384158,8 @@ 0 0 0 +0 +2 1 0x761d 0xb0b61d6f @@ -307334,6 +384168,8 @@ 1 1 0 +5 +5 1 0x64ce 0x9d6c40c7 @@ -307342,6 +384178,8 @@ 1 0 0 +0 +5 4 0x4237 0x8d166d27 @@ -307350,6 +384188,8 @@ 0 0 0 +2 +3 3 0x9781 0x55248d68 @@ -307358,6 +384198,8 @@ 1 0 0 +0 +0 1 0x8e13 0xd4999051 @@ -307366,6 +384208,8 @@ 1 0 0 +1 +5 3 0x4f15 0x259f9d10 @@ -307374,6 +384218,8 @@ 0 0 0 +5 +1 2 0xbb8e 0x3cae2b91 @@ -307383,6 +384229,8 @@ 0 0 3 +1 +3 0xd8ab 0xa241efed 256 @@ -307390,6 +384238,8 @@ 1 1 0 +3 +0 4 0xbda8 0x6966e95b @@ -307398,6 +384248,8 @@ 1 1 0 +0 +5 1 0xb7b3 0x84b7e561 @@ -307406,6 +384258,8 @@ 0 0 0 +2 +3 4 0xd146 0x913ba7b2 @@ -307414,6 +384268,8 @@ 0 0 0 +0 +0 4 0xd10f 0x6958436c @@ -307422,6 +384278,8 @@ 0 0 0 +0 +4 4 0x9077 0x2062faa @@ -307430,6 +384288,8 @@ 0 0 0 +2 +5 3 0xe7 0xb1011e0d @@ -307438,6 +384298,8 @@ 0 0 0 +3 +0 1 0xfabd 0x7753692c @@ -307446,6 +384308,8 @@ 1 0 0 +5 +2 3 0x9bbb 0xf748b020 @@ -307454,6 +384318,8 @@ 1 0 0 +0 +1 2 0xa447 0x7565c6c5 @@ -307462,6 +384328,8 @@ 0 0 0 +2 +0 4 0x62ff 0xfee0303f @@ -307470,6 +384338,8 @@ 0 0 0 +0 +5 1 0xf122 0x448e9625 @@ -307478,6 +384348,8 @@ 1 0 0 +1 +3 2 0x18ed 0x3816e6f1 @@ -307487,6 +384359,8 @@ 0 0 2 +0 +2 0x249d 0xeff3e56d 256 @@ -307494,6 +384368,8 @@ 1 0 0 +5 +1 4 0x54c0 0x201e4429 @@ -307502,6 +384378,8 @@ 0 0 0 +0 +1 2 0x23b0 0xa9cbc729 @@ -307510,6 +384388,8 @@ 0 0 0 +3 +3 1 0x51de 0x95c4dc8b @@ -307518,6 +384398,8 @@ 1 0 0 +1 +0 4 0xca2b 0x641f705b @@ -307526,6 +384408,8 @@ 0 0 0 +0 +3 1 0xec61 0x5166cc49 @@ -307534,6 +384418,8 @@ 1 1 0 +4 +1 1 0x7298 0x563936b2 @@ -307543,6 +384429,8 @@ 1 0 2 +4 +2 0x9e2b 0xe130debf 256 @@ -307550,6 +384438,8 @@ 0 0 0 +5 +3 4 0x8cfe 0xb1607114 @@ -307559,6 +384449,8 @@ 0 0 2 +3 +2 0x5edc 0x5f373f9d 256 @@ -307566,6 +384458,8 @@ 1 1 0 +0 +5 4 0xd2bd 0xed269e1e @@ -307574,6 +384468,8 @@ 1 1 0 +1 +2 4 0x2721 0x55cd928a @@ -307582,6 +384478,8 @@ 1 1 0 +0 +3 1 0xf09 0x77631e41 @@ -307590,6 +384488,8 @@ 0 0 0 +3 +0 1 0x8e65 0x249337a0 @@ -307598,6 +384498,8 @@ 1 1 0 +4 +0 3 0xbda8 0x46ac74c6 @@ -307607,6 +384509,8 @@ 0 0 1 +2 +1 0xe5b6 0xa01d7f6a 256 @@ -307614,6 +384518,8 @@ 0 0 0 +0 +0 4 0xa378 0x15df0e60 @@ -307622,6 +384528,8 @@ 1 1 0 +2 +2 3 0x4742 0x429fc23f @@ -307630,6 +384538,8 @@ 0 0 0 +5 +3 3 0xcaf1 0xb4830370 @@ -307638,6 +384548,8 @@ 1 0 0 +1 +5 3 0x123f 0x3720ad4c @@ -307647,6 +384559,8 @@ 1 0 3 +0 +3 0xe0d7 0xa4f33ed1 256 @@ -307654,6 +384568,8 @@ 1 1 0 +0 +1 4 0xb8e7 0x2075e25e @@ -307663,6 +384579,8 @@ 0 0 1 +1 +1 0x91c 0xd1cc40c9 256 @@ -307670,6 +384588,8 @@ 0 0 0 +4 +3 1 0xf9cd 0x5ea200d2 @@ -307678,6 +384598,8 @@ 0 0 0 +1 +2 4 0xa579 0x77e72c74 @@ -307686,6 +384608,8 @@ 1 0 0 +0 +4 4 0x1922 0xe9045a0a @@ -307694,6 +384618,8 @@ 0 0 0 +5 +2 3 0xe8cc 0xb2149422 @@ -307702,6 +384628,8 @@ 1 0 0 +4 +1 2 0xafdd 0x726fe943 @@ -307710,6 +384638,8 @@ 1 1 0 +5 +5 3 0x9f6d 0x5a8ac57b @@ -307718,6 +384648,8 @@ 0 0 0 +4 +3 1 0xf7f7 0xc8521df5 @@ -307726,6 +384658,8 @@ 1 1 0 +0 +0 2 0x2c02 0x4d8a135a @@ -307734,6 +384668,8 @@ 1 1 0 +4 +2 1 0x392b 0x2081182f @@ -307742,6 +384678,8 @@ 0 0 0 +2 +5 3 0x76c2 0x57b0fe21 @@ -307750,6 +384688,8 @@ 1 0 0 +5 +3 2 0x3eaf 0xe9f965af @@ -307758,6 +384698,8 @@ 0 0 0 +4 +2 3 0x1d54 0x91761d11 @@ -307766,6 +384708,8 @@ 0 0 0 +3 +4 4 0x822b 0x5b1b4946 @@ -307775,6 +384719,8 @@ 0 0 4 +2 +4 0x7db1 0x6b882840 256 @@ -307782,6 +384728,8 @@ 1 0 0 +0 +1 4 0x2df1 0x14bfb5d6 @@ -307790,6 +384738,8 @@ 0 0 0 +3 +5 1 0xeb2b 0xc19c15bb @@ -307798,6 +384748,8 @@ 0 0 0 +2 +5 1 0x6124 0x8191c5c @@ -307806,6 +384758,8 @@ 0 0 0 +3 +1 1 0x2b1d 0x3326b1d6 @@ -307814,6 +384768,8 @@ 0 0 0 +4 +3 2 0x2bc 0x86febf24 @@ -307822,6 +384778,8 @@ 0 0 0 +1 +4 3 0x1c89 0x71912f79 @@ -307830,6 +384788,8 @@ 1 1 0 +0 +1 4 0x29ff 0xdf515a5c @@ -307839,6 +384799,8 @@ 1 0 4 +4 +4 0x4812 0x589e6921 256 @@ -307846,6 +384808,8 @@ 1 1 0 +4 +3 3 0x5b54 0x3c3286e0 @@ -307854,6 +384818,8 @@ 1 0 0 +3 +0 1 0xc25d 0x1928a96b @@ -307862,6 +384828,8 @@ 0 0 0 +1 +0 4 0xf812 0x11f6a271 @@ -307871,6 +384839,8 @@ 0 0 4 +3 +4 0xfea 0x3c4d6610 256 @@ -307878,6 +384848,8 @@ 0 0 0 +1 +0 3 0x6669 0xc84e8ca6 @@ -307886,6 +384858,8 @@ 0 0 0 +1 +5 3 0x4ae 0x9afd6e04 @@ -307894,6 +384868,8 @@ 0 0 0 +2 +4 4 0x92e9 0x162d1046 @@ -307902,6 +384878,8 @@ 1 1 0 +1 +3 4 0x875a 0xa07f44a2 @@ -307910,6 +384888,8 @@ 0 0 0 +5 +2 4 0xb4de 0x5bb01249 @@ -307918,6 +384898,8 @@ 0 0 0 +1 +2 3 0x8e0c 0xe1147a48 @@ -307926,6 +384908,8 @@ 1 0 0 +5 +2 3 0xd9a9 0xf21a708a @@ -307934,6 +384918,8 @@ 1 0 0 +4 +2 3 0x3885 0x997c9a13 @@ -307942,6 +384928,8 @@ 1 1 0 +5 +3 2 0x6cc1 0x4feaadce @@ -307950,6 +384938,8 @@ 1 1 0 +2 +1 4 0x71d7 0xfa9a503f @@ -307958,6 +384948,8 @@ 1 0 0 +5 +1 2 0x4ddc 0x60510304 @@ -307966,6 +384958,8 @@ 1 1 0 +0 +2 3 0xbc95 0xafde5dac @@ -307974,6 +384968,8 @@ 1 0 0 +3 +5 4 0xc40c 0xa2bd6897 @@ -307982,6 +384978,8 @@ 1 0 0 +0 +2 2 0x93e8 0x373d5bc6 @@ -307990,6 +384988,8 @@ 1 1 0 +3 +4 1 0x9969 0xd4d1831c @@ -307998,6 +384998,8 @@ 0 0 0 +4 +2 1 0x92d6 0xe892aed3 @@ -308006,6 +385008,8 @@ 1 0 0 +3 +4 4 0xe45f 0xccc8b574 @@ -308014,6 +385018,8 @@ 0 0 0 +5 +0 4 0x39b1 0xc1c42024 @@ -308022,6 +385028,8 @@ 1 0 0 +5 +0 3 0xca77 0xb4183a9d @@ -308030,6 +385038,8 @@ 0 0 0 +0 +1 3 0xeae0 0xff4659cf @@ -308038,6 +385048,8 @@ 1 0 0 +0 +4 4 0x925b 0x9a292ff7 @@ -308046,6 +385058,8 @@ 1 0 0 +5 +5 4 0xfb82 0xee4164cd @@ -308054,6 +385068,8 @@ 0 0 0 +1 +5 2 0x3853 0xa0864c52 @@ -308062,6 +385078,8 @@ 1 1 0 +5 +5 1 0x9d77 0x6999c458 @@ -308071,6 +385089,8 @@ 1 0 2 +0 +2 0x87d4 0xb62c79dd 256 @@ -308078,6 +385098,8 @@ 0 0 0 +3 +0 1 0x49a3 0x4adc7118 @@ -308086,6 +385108,8 @@ 0 0 0 +5 +2 3 0x2c71 0x1411eb8a @@ -308095,6 +385119,8 @@ 0 0 2 +4 +2 0xb1eb 0xe77e43bb 256 @@ -308103,6 +385129,8 @@ 0 0 2 +5 +2 0xfc05 0x28b632ad 256 @@ -308110,6 +385138,8 @@ 0 0 0 +0 +4 1 0xcfcd 0x7d0f6a59 @@ -308118,6 +385148,8 @@ 0 0 0 +0 +4 1 0xf9a1 0x8552eeee @@ -308126,6 +385158,8 @@ 0 0 0 +2 +1 4 0xb840 0x37d0bd0 @@ -308134,6 +385168,8 @@ 1 0 0 +5 +5 2 0xfa5e 0x9ad3ee91 @@ -308143,6 +385179,8 @@ 0 0 4 +5 +4 0x800a 0x8a5aded9 256 @@ -308150,6 +385188,8 @@ 1 0 0 +2 +1 1 0x7250 0xe4bb56c7 @@ -308158,6 +385198,8 @@ 0 0 0 +0 +5 4 0x8110 0x2f41fb42 @@ -308167,6 +385209,8 @@ 0 0 2 +5 +2 0x34c8 0x634ce723 256 @@ -308174,6 +385218,8 @@ 0 0 0 +1 +4 3 0xfeeb 0x742f72e1 @@ -308182,6 +385228,8 @@ 0 0 0 +5 +4 4 0x639d 0xa6f4c550 @@ -308190,6 +385238,8 @@ 0 0 0 +2 +0 1 0x65e5 0x7ef0acfa @@ -308198,6 +385248,8 @@ 1 1 0 +4 +1 3 0x24e4 0x2f967798 @@ -308206,6 +385258,8 @@ 0 0 0 +0 +2 4 0x23ea 0x8953437b @@ -308214,6 +385268,8 @@ 1 1 0 +1 +3 2 0xa50e 0x232e241e @@ -308222,6 +385278,8 @@ 1 1 0 +3 +4 4 0x6427 0x6998e732 @@ -308230,6 +385288,8 @@ 0 0 0 +2 +3 4 0x217a 0xb72987df @@ -308239,6 +385299,8 @@ 0 0 1 +1 +1 0xfbad 0x762fc6ba 256 @@ -308246,6 +385308,8 @@ 0 0 0 +5 +1 3 0xf909 0xb2e0b691 @@ -308254,6 +385318,8 @@ 1 0 0 +2 +4 3 0x93a5 0x669ebf91 @@ -308262,6 +385328,8 @@ 1 1 0 +3 +0 1 0x583c 0x5a1195b7 @@ -308271,6 +385339,8 @@ 0 0 3 +1 +3 0x6d6f 0xe66c7090 256 @@ -308279,6 +385349,8 @@ 0 0 3 +1 +3 0x31a3 0x982feff6 256 @@ -308286,6 +385358,8 @@ 1 0 0 +1 +0 3 0xe7d3 0x52a9184c @@ -308294,6 +385368,8 @@ 1 0 0 +0 +4 3 0x1cca 0x13427a7e @@ -308303,6 +385379,8 @@ 0 0 3 +1 +3 0x4fb0 0x68bdc004 256 @@ -308310,6 +385388,8 @@ 0 0 0 +2 +3 4 0x7e63 0x31a6d783 @@ -308318,6 +385398,8 @@ 0 0 0 +5 +3 1 0x4eb 0xeb767520 @@ -308326,6 +385408,8 @@ 1 0 0 +5 +4 2 0x777d 0xd282b506 @@ -308334,6 +385418,8 @@ 0 0 0 +4 +1 3 0xe3a8 0xc51b589c @@ -308342,6 +385428,8 @@ 1 0 0 +4 +3 3 0xfcb0 0x6370f206 @@ -308350,6 +385438,8 @@ 1 1 0 +2 +1 1 0xd950 0x62dcf0cc @@ -308359,6 +385449,8 @@ 0 0 3 +3 +3 0xa5e0 0xd59dbada 256 @@ -308366,6 +385458,8 @@ 1 0 0 +1 +0 4 0x9f92 0xcecbda41 @@ -308374,6 +385468,8 @@ 1 0 0 +2 +1 3 0xb2b7 0x31248ae4 @@ -308382,6 +385478,8 @@ 0 0 0 +1 +3 2 0x63d4 0x885d44c4 @@ -308391,6 +385489,8 @@ 0 0 4 +0 +4 0x94a0 0xc254a756 256 @@ -308398,6 +385498,8 @@ 0 0 0 +0 +5 1 0xa254 0x4a19c86a @@ -308406,6 +385508,8 @@ 0 0 0 +0 +2 4 0xc404 0x6a9fce5 @@ -308414,6 +385518,8 @@ 0 0 0 +4 +4 2 0x368a 0x8063ff4e @@ -308422,6 +385528,8 @@ 1 1 0 +5 +0 4 0x687 0x4af4d7f @@ -308430,6 +385538,8 @@ 0 0 0 +2 +4 4 0x346b 0xa8d4f7ff @@ -308438,6 +385548,8 @@ 0 0 0 +0 +0 2 0x948b 0x640c7481 @@ -308446,6 +385558,8 @@ 0 0 0 +5 +4 3 0x8576 0x5a328c91 @@ -308454,6 +385568,8 @@ 0 0 0 +0 +5 4 0x1513 0x5330db06 @@ -308463,6 +385579,8 @@ 0 0 1 +5 +1 0x14fe 0x277c6dfb 256 @@ -308470,6 +385588,8 @@ 0 0 0 +4 +1 1 0x1158 0x650280df @@ -308478,6 +385598,8 @@ 0 0 0 +2 +1 4 0x9859 0xea9deb42 @@ -308487,6 +385609,8 @@ 0 0 4 +4 +4 0x4ef4 0xb013c1d4 256 @@ -308494,6 +385618,8 @@ 1 0 0 +5 +2 4 0x677d 0xc18cf19a @@ -308502,6 +385628,8 @@ 0 0 0 +2 +4 4 0x2787 0x7ebab0f8 @@ -308510,6 +385638,8 @@ 0 0 0 +1 +1 4 0x4a32 0xda198da5 @@ -308518,6 +385648,8 @@ 1 0 0 +1 +3 3 0x2b6 0x959dbce9 @@ -308526,6 +385658,8 @@ 1 0 0 +5 +5 2 0x19b9 0x3ce64804 @@ -308534,6 +385668,8 @@ 1 1 0 +0 +2 3 0x770e 0x96926bae @@ -308542,6 +385678,8 @@ 0 0 0 +1 +5 2 0x586d 0xa4e9b4e1 @@ -308550,6 +385688,8 @@ 0 0 0 +0 +3 3 0xf7ea 0x8d524161 @@ -308558,6 +385698,8 @@ 0 0 0 +0 +2 1 0x29a5 0xac826ce0 @@ -308566,6 +385708,8 @@ 1 1 0 +2 +3 1 0xd5c7 0x52ea417c @@ -308574,6 +385718,8 @@ 1 1 0 +3 +3 2 0x87cd 0xfab1f816 @@ -308582,6 +385728,8 @@ 1 0 0 +5 +3 3 0x3183 0x4b4fb8b9 @@ -308590,6 +385738,8 @@ 1 1 0 +2 +0 1 0x8cde 0x5fa83f33 @@ -308598,6 +385748,8 @@ 1 0 0 +1 +3 4 0x1f1b 0xbb8bbd0a @@ -308606,6 +385758,8 @@ 1 1 0 +4 +5 3 0x4b36 0x13444767 @@ -308614,6 +385768,8 @@ 1 1 0 +1 +2 4 0xce69 0x9a0c3e34 @@ -308622,6 +385778,8 @@ 1 1 0 +4 +1 1 0xdabb 0x4f22a1d3 @@ -308630,6 +385788,8 @@ 1 1 0 +4 +3 1 0x5084 0x21ae1b41 @@ -308638,6 +385798,8 @@ 1 0 0 +2 +1 3 0xc1c7 0x45dd253d @@ -308646,6 +385808,8 @@ 0 0 0 +3 +4 1 0x1630 0xf5f92a78 @@ -308654,6 +385818,8 @@ 1 0 0 +5 +0 3 0xd39 0xf8eccc2d @@ -308662,6 +385828,8 @@ 0 0 0 +2 +3 4 0x4fd2 0x9f129eb9 @@ -308671,6 +385839,8 @@ 0 0 4 +4 +4 0xc486 0xd1b680d0 256 @@ -308678,6 +385848,8 @@ 0 0 0 +5 +5 1 0x8946 0x6e6e9c0f @@ -308686,6 +385858,8 @@ 0 0 0 +3 +0 2 0x6e59 0x6fd409fb @@ -308694,6 +385868,8 @@ 0 0 0 +3 +2 2 0x38df 0xef623fbf @@ -308702,6 +385878,8 @@ 1 1 0 +2 +5 1 0xde54 0xd271109d @@ -308710,6 +385888,8 @@ 0 0 0 +2 +4 3 0x3244 0x8c5cfefb @@ -308718,6 +385898,8 @@ 1 1 0 +5 +1 2 0x520 0xbb0afc13 @@ -308727,6 +385909,8 @@ 0 0 1 +4 +1 0xb43b 0xe18763a 256 @@ -308734,6 +385918,8 @@ 1 1 0 +0 +0 3 0xcd12 0x3bdc739e @@ -308742,6 +385928,8 @@ 1 0 0 +1 +0 4 0x5982 0xba2201ea @@ -308751,6 +385939,8 @@ 0 0 2 +2 +2 0x3af4 0x6b88e340 256 @@ -308758,6 +385948,8 @@ 0 0 0 +2 +2 4 0xf9a2 0x3104002a @@ -308766,6 +385958,8 @@ 1 0 0 +4 +5 1 0xed2f 0xb77092a3 @@ -308774,6 +385968,8 @@ 1 1 0 +4 +2 2 0xb72a 0x2297240f @@ -308782,6 +385978,8 @@ 0 0 0 +5 +3 1 0xcfd8 0xefb5b0e9 @@ -308790,6 +385988,8 @@ 1 0 0 +0 +1 3 0x5f6e 0x5f4ec0e1 @@ -308798,6 +385998,8 @@ 0 0 0 +4 +4 2 0x4ae2 0xf05126f @@ -308807,6 +386009,8 @@ 0 0 2 +2 +2 0x9f62 0x9d6a8f5 256 @@ -308814,6 +386018,8 @@ 1 1 0 +4 +3 2 0x87da 0x27e73406 @@ -308822,6 +386028,8 @@ 1 0 0 +4 +3 1 0x3c4f 0x7e3f31be @@ -308830,6 +386038,8 @@ 1 0 0 +1 +1 3 0x754a 0xbcca57c5 @@ -308838,6 +386048,8 @@ 0 0 0 +5 +2 3 0x8a18 0x3669489f @@ -308846,6 +386058,8 @@ 1 1 0 +2 +1 3 0x2a93 0xa79699cb @@ -308854,6 +386068,8 @@ 1 0 0 +3 +3 1 0x88bd 0x643abf79 @@ -308862,6 +386078,8 @@ 0 0 0 +5 +1 1 0x3620 0xaa3cd646 @@ -308870,6 +386088,8 @@ 1 1 0 +1 +4 3 0x9f1b 0x88722a6a @@ -308878,6 +386098,8 @@ 1 0 0 +3 +4 1 0x9ab 0xd4271352 @@ -308886,6 +386108,8 @@ 0 0 0 +0 +2 1 0x81a6 0x8854446b @@ -308894,6 +386118,8 @@ 1 0 0 +4 +4 3 0x4ab8 0x7018cb69 @@ -308902,6 +386128,8 @@ 1 0 0 +1 +2 4 0x6038 0x92745a6d @@ -308910,6 +386138,8 @@ 0 0 0 +2 +1 3 0xa74d 0x5499eb02 @@ -308918,6 +386148,8 @@ 1 1 0 +0 +5 3 0x45ac 0xd12b2b80 @@ -308926,6 +386158,8 @@ 0 0 0 +5 +5 4 0x87fe 0x2d6f2f45 @@ -308934,6 +386168,8 @@ 0 0 0 +5 +2 4 0xdb0c 0xa0c73946 @@ -308942,6 +386178,8 @@ 0 0 0 +2 +1 4 0x2f35 0xe0a6a13 @@ -308950,6 +386188,8 @@ 0 0 0 +0 +5 2 0xe1cb 0x6623097 @@ -308958,6 +386198,8 @@ 1 1 0 +3 +5 4 0x186f 0x5e0f3257 @@ -308967,6 +386209,8 @@ 0 0 4 +5 +4 0xea38 0xcc0e4bc8 256 @@ -308974,6 +386218,8 @@ 0 0 0 +4 +2 3 0xc6ab 0xcfe4b786 @@ -308982,6 +386228,8 @@ 0 0 0 +2 +5 1 0xe92e 0xc02e13f6 @@ -308990,6 +386238,8 @@ 0 0 0 +0 +3 2 0x4e10 0x173dc653 @@ -308998,6 +386248,8 @@ 0 0 0 +4 +4 2 0xc28a 0x93b29516 @@ -309006,6 +386258,8 @@ 1 1 0 +2 +4 1 0x2cf7 0xabe4d25 @@ -309014,6 +386268,8 @@ 0 0 0 +5 +1 2 0x9556 0xe65eef11 @@ -309022,6 +386278,8 @@ 1 0 0 +5 +2 2 0x1b8d 0x3f315399 @@ -309030,6 +386288,8 @@ 1 1 0 +2 +4 1 0x236a 0x29fdf573 @@ -309038,6 +386298,8 @@ 1 0 0 +3 +4 1 0xd6f5 0xadf1372a @@ -309047,6 +386309,8 @@ 1 0 3 +2 +3 0xd129 0xe76b7af6 256 @@ -309054,6 +386318,8 @@ 1 0 0 +5 +1 4 0x587c 0x5395d460 @@ -309062,6 +386328,8 @@ 0 0 0 +5 +0 1 0x47a 0xbdc6bebf @@ -309070,6 +386338,8 @@ 0 0 0 +2 +1 1 0x2122 0x7256d514 @@ -309078,6 +386348,8 @@ 0 0 0 +5 +3 3 0x3177 0x9cd49dc5 @@ -309086,6 +386358,8 @@ 0 0 0 +5 +5 2 0xc6a1 0xa2758be5 @@ -309094,6 +386368,8 @@ 1 1 0 +4 +2 2 0x4acd 0xde8a39b1 @@ -309102,6 +386378,8 @@ 0 0 0 +0 +0 1 0x86fd 0x22fa151 @@ -309110,6 +386388,8 @@ 0 0 0 +4 +4 3 0xaa09 0x31245e61 @@ -309118,6 +386398,8 @@ 1 1 0 +0 +0 4 0x928c 0x6c6dfdf0 @@ -309126,6 +386408,8 @@ 1 1 0 +5 +2 3 0xfb22 0xf3450c2d @@ -309134,6 +386418,8 @@ 1 1 0 +3 +0 4 0xd793 0x771688c9 @@ -309143,6 +386429,8 @@ 0 0 4 +1 +4 0x3d85 0xd8d83c01 256 @@ -309150,6 +386438,8 @@ 0 0 0 +3 +3 2 0x87ee 0x999c2191 @@ -309159,6 +386449,8 @@ 0 0 2 +2 +2 0x5952 0x5427ee7e 256 @@ -309166,6 +386458,8 @@ 1 1 0 +5 +0 3 0xe5b1 0x27f2c02e @@ -309174,6 +386468,8 @@ 0 0 0 +2 +3 4 0x92e6 0xd7604e26 @@ -309182,6 +386478,8 @@ 1 0 0 +0 +3 3 0x66cc 0x5d195834 @@ -309190,6 +386488,8 @@ 0 0 0 +3 +5 2 0xc9fb 0x937a982a @@ -309199,6 +386499,8 @@ 0 0 2 +5 +2 0xf1c2 0x57b4edbc 256 @@ -309206,6 +386508,8 @@ 0 0 0 +2 +2 4 0xc8ef 0x34422cde @@ -309214,6 +386518,8 @@ 1 1 0 +3 +3 1 0xc5bd 0xd6db385d @@ -309222,6 +386528,8 @@ 0 0 0 +0 +0 1 0x10ac 0x845ce16e @@ -309231,6 +386539,8 @@ 0 0 1 +2 +1 0xdb 0x9d306b84 256 @@ -309238,6 +386548,8 @@ 1 1 0 +2 +0 4 0x4e5a 0x6bf6af8b @@ -309247,6 +386559,8 @@ 1 0 4 +2 +4 0x3b98 0x2040d135 256 @@ -309254,6 +386568,8 @@ 1 1 0 +5 +0 1 0xfa70 0x763358c2 @@ -309262,6 +386578,8 @@ 1 1 0 +1 +2 3 0x61d3 0x6eebfffc @@ -309270,6 +386588,8 @@ 0 0 0 +0 +4 3 0xb9a4 0xd30e508 @@ -309279,6 +386599,8 @@ 1 0 1 +3 +1 0x3c30 0x9e6a961 256 @@ -309287,6 +386609,8 @@ 0 0 4 +4 +4 0x947a 0x6eeb28f1 256 @@ -309294,6 +386618,8 @@ 1 0 0 +3 +0 1 0x6799 0x4e947389 @@ -309302,6 +386628,8 @@ 0 0 0 +4 +1 1 0xbe86 0xe01f014d @@ -309310,6 +386638,8 @@ 1 0 0 +5 +5 2 0xfd4f 0xd40a2f62 @@ -309318,6 +386648,8 @@ 1 0 0 +2 +0 1 0xbf80 0x6087e50 @@ -309326,6 +386658,8 @@ 0 0 0 +4 +1 1 0x22ff 0xb1f760f7 @@ -309334,6 +386668,8 @@ 0 0 0 +1 +0 3 0x7ea3 0xd659f5b0 @@ -309342,6 +386678,8 @@ 1 0 0 +1 +1 4 0xe2bd 0x2dd03dc3 @@ -309350,6 +386688,8 @@ 0 0 0 +4 +5 3 0xdb56 0x71c75764 @@ -309358,6 +386698,8 @@ 1 1 0 +4 +4 2 0x4e6b 0xdaac786a @@ -309366,6 +386708,8 @@ 1 0 0 +0 +1 4 0x949d 0x9b9e196d @@ -309374,6 +386718,8 @@ 0 0 0 +0 +5 2 0x3bd1 0x40703202 @@ -309382,6 +386728,8 @@ 0 0 0 +0 +0 4 0xc1d3 0xcaeaa1d1 @@ -309390,6 +386738,8 @@ 1 0 0 +0 +4 3 0x7edf 0x10868604 @@ -309398,6 +386748,8 @@ 0 0 0 +3 +4 2 0x8c74 0x37dda14b @@ -309406,6 +386758,8 @@ 1 0 0 +0 +4 1 0xc1f6 0x8efa7327 @@ -309414,6 +386768,8 @@ 0 0 0 +5 +4 4 0x9ffb 0xa0edac15 @@ -309422,6 +386778,8 @@ 1 1 0 +5 +5 3 0x6784 0x3e6ec53b @@ -309430,6 +386788,8 @@ 1 0 0 +0 +1 4 0xe54d 0x68a002b6 @@ -309439,6 +386799,8 @@ 1 0 4 +2 +4 0xd5cc 0x8fb64cb7 256 @@ -309446,6 +386808,8 @@ 1 0 0 +1 +4 3 0xda22 0x77091f81 @@ -309454,6 +386818,8 @@ 0 0 0 +5 +0 1 0xded7 0x9c3bfc0d @@ -309462,6 +386828,8 @@ 1 0 0 +2 +4 3 0x355d 0x2889913b @@ -309471,6 +386839,8 @@ 0 0 2 +3 +2 0x20c4 0xd6733b45 256 @@ -309478,6 +386848,8 @@ 0 0 0 +3 +3 1 0xd4d4 0x9eae5fbd @@ -309487,6 +386859,8 @@ 1 0 4 +0 +4 0x242e 0xf78f9beb 256 @@ -309494,6 +386868,8 @@ 1 1 0 +2 +5 1 0xd55e 0x4bbcdce7 @@ -309503,6 +386879,8 @@ 0 0 2 +4 +2 0x2428 0x5869ca40 256 @@ -309510,6 +386888,8 @@ 1 1 0 +5 +3 4 0xf798 0xace6b7ac @@ -309518,6 +386898,8 @@ 0 0 0 +5 +3 4 0xfbf0 0x100a86b0 @@ -309526,6 +386908,8 @@ 1 1 0 +0 +0 4 0xe683 0x242ec2b0 @@ -309534,6 +386918,8 @@ 1 0 0 +3 +0 1 0x168a 0xbe3547c8 @@ -309542,6 +386928,8 @@ 1 0 0 +0 +1 2 0xadc3 0xa009b0c3 @@ -309550,6 +386938,8 @@ 0 0 0 +4 +5 3 0xa178 0x78cd2c1f @@ -309558,6 +386948,8 @@ 1 1 0 +0 +1 4 0x7ddf 0x8f860352 @@ -309566,6 +386958,8 @@ 0 0 0 +1 +5 3 0x2c8c 0x219b427b @@ -309574,6 +386968,8 @@ 0 0 0 +5 +5 2 0xb91e 0x3bb7852e @@ -309583,6 +386979,8 @@ 0 0 2 +3 +2 0xc027 0x86f590ef 256 @@ -309590,6 +386988,8 @@ 0 0 0 +1 +2 4 0x1e56 0x2c3f8b5c @@ -309598,6 +386998,8 @@ 0 0 0 +1 +3 4 0xaf4b 0xcc7b9271 @@ -309606,6 +387008,8 @@ 1 0 0 +4 +3 1 0xa3e7 0xaa545662 @@ -309614,6 +387018,8 @@ 1 1 0 +5 +1 4 0xb2de 0xbee3e00c @@ -309622,6 +387028,8 @@ 0 0 0 +2 +4 3 0x233b 0xf9420807 @@ -309630,6 +387038,8 @@ 0 0 0 +0 +2 2 0xbf41 0xb229467b @@ -309638,6 +387048,8 @@ 1 0 0 +0 +4 3 0xda60 0xa5f41339 @@ -309647,6 +387059,8 @@ 1 0 2 +4 +2 0x4a7a 0xd64e2e7b 256 @@ -309654,6 +387068,8 @@ 0 0 0 +0 +0 2 0xe81 0xbd8b2f8f @@ -309662,6 +387078,8 @@ 1 0 0 +1 +2 2 0x2fdb 0xf1a9916a @@ -309670,6 +387088,8 @@ 1 1 0 +2 +3 4 0xa5bb 0x1ec71934 @@ -309678,6 +387098,8 @@ 0 0 0 +1 +2 2 0x7e6a 0xe4356534 @@ -309686,6 +387108,8 @@ 0 0 0 +1 +0 3 0x80a7 0x2194d3e8 @@ -309695,6 +387119,8 @@ 0 0 1 +4 +1 0xd50b 0xd0366a26 256 @@ -309702,6 +387128,8 @@ 0 0 0 +0 +4 4 0x23e6 0x379b6a7a @@ -309710,6 +387138,8 @@ 1 0 0 +3 +4 4 0xe323 0x7da016c2 @@ -309718,6 +387148,8 @@ 0 0 0 +4 +4 3 0xd988 0x3643748a @@ -309727,6 +387159,8 @@ 0 0 1 +0 +1 0xddb2 0xe2d57a89 256 @@ -309734,6 +387168,8 @@ 0 0 0 +5 +1 4 0xc7a1 0xafa9f8f9 @@ -309742,6 +387178,8 @@ 1 0 0 +2 +0 3 0x302d 0x577d3d7d @@ -309750,6 +387188,8 @@ 1 1 0 +5 +4 2 0x1001 0xcc4aa27a @@ -309758,6 +387198,8 @@ 0 0 0 +1 +3 2 0xca39 0x5d9a8705 @@ -309766,6 +387208,8 @@ 1 0 0 +0 +0 2 0xb015 0x8778cb0f @@ -309774,6 +387218,8 @@ 0 0 0 +4 +2 1 0x1792 0x5dc17eb1 @@ -309782,6 +387228,8 @@ 0 0 0 +1 +2 4 0xaeb2 0xefb8ca69 @@ -309790,6 +387238,8 @@ 1 1 0 +5 +2 1 0x63a0 0xd5329d0e @@ -309798,6 +387248,8 @@ 0 0 0 +5 +2 3 0x9f68 0xad8725e1 @@ -309807,6 +387259,8 @@ 0 0 3 +2 +3 0xb6d9 0x97ad705 256 @@ -309815,6 +387269,8 @@ 0 0 2 +5 +2 0x1205 0xfc79e0b0 256 @@ -309822,6 +387278,8 @@ 0 0 0 +4 +1 2 0x1412 0x3444965b @@ -309831,6 +387289,8 @@ 0 0 3 +3 +3 0x7f81 0x3ff589b1 256 @@ -309839,6 +387299,8 @@ 0 0 4 +0 +4 0xf28c 0xe74cd9ed 256 @@ -309846,6 +387308,8 @@ 1 1 0 +2 +4 4 0xa530 0xb763da51 @@ -309854,6 +387318,8 @@ 0 0 0 +5 +5 3 0x533a 0x1a90e4e7 @@ -309862,6 +387328,8 @@ 1 0 0 +0 +3 4 0xc50a 0x75c7a44d @@ -309870,6 +387338,8 @@ 0 0 0 +0 +4 1 0xfdcb 0xb407e5d4 @@ -309878,6 +387348,8 @@ 0 0 0 +4 +5 2 0xa292 0xa9ef8318 @@ -309887,6 +387359,8 @@ 0 0 1 +1 +1 0x45be 0x22640443 256 @@ -309895,6 +387369,8 @@ 0 0 2 +5 +2 0x2ebe 0x1139a12d 256 @@ -309902,6 +387378,8 @@ 1 0 0 +4 +0 1 0x9103 0x41b684a7 @@ -309910,6 +387388,8 @@ 0 0 0 +3 +5 4 0xfe8 0x8c7606db @@ -309918,6 +387398,8 @@ 0 0 0 +2 +3 3 0x3a27 0x39516f6b @@ -309926,6 +387408,8 @@ 0 0 0 +5 +2 3 0xe4af 0x6171dcaa @@ -309934,6 +387418,8 @@ 0 0 0 +2 +1 4 0x6928 0x83a1de57 @@ -309942,6 +387428,8 @@ 0 0 0 +4 +0 2 0x99c7 0xca7513e6 @@ -309950,6 +387438,8 @@ 0 0 0 +1 +2 2 0xa4 0x86d5ff4a @@ -309958,6 +387448,8 @@ 0 0 0 +3 +5 4 0x3365 0x3bd1368 @@ -309966,6 +387458,8 @@ 1 0 0 +2 +5 4 0xf338 0xccc92ec1 @@ -309974,6 +387468,8 @@ 1 1 0 +3 +2 1 0x82de 0x7be485b4 @@ -309983,6 +387479,8 @@ 0 0 3 +5 +3 0x727a 0xa6e3c6fd 256 @@ -309990,6 +387488,8 @@ 1 1 0 +1 +4 3 0x29f7 0xb9a4650f @@ -309998,6 +387498,8 @@ 1 1 0 +0 +1 1 0x33d 0x6416fc44 @@ -310006,6 +387508,8 @@ 1 1 0 +1 +0 3 0x896c 0x8cdafcb2 @@ -310014,6 +387518,8 @@ 0 0 0 +1 +2 2 0xfa99 0x7d0772ab @@ -310022,6 +387528,8 @@ 0 0 0 +2 +1 3 0xb32 0x4e16cc34 @@ -310030,6 +387538,8 @@ 0 0 0 +5 +1 4 0xafb9 0xae055d8 @@ -310038,6 +387548,8 @@ 1 0 0 +4 +2 3 0xde84 0x429ddce @@ -310046,6 +387558,8 @@ 1 1 0 +5 +5 1 0x5137 0x6d5afa9e @@ -310054,6 +387568,8 @@ 1 1 0 +3 +4 2 0xec22 0xd8487041 @@ -310062,6 +387578,8 @@ 0 0 0 +1 +1 3 0x742c 0xc63b4c5b @@ -310070,6 +387588,8 @@ 0 0 0 +2 +5 1 0xe7b0 0x87772b44 @@ -310078,6 +387598,8 @@ 0 0 0 +3 +2 1 0x20d9 0x6452f782 @@ -310087,6 +387609,8 @@ 1 0 1 +5 +1 0x825e 0x7d694306 256 @@ -310095,6 +387619,8 @@ 0 0 1 +1 +1 0xab27 0x13155c10 256 @@ -310102,6 +387628,8 @@ 1 1 0 +1 +1 4 0xa25e 0x6801a596 @@ -310110,6 +387638,8 @@ 1 0 0 +0 +1 2 0x8a6e 0x1abf61f3 @@ -310118,6 +387648,8 @@ 0 0 0 +4 +2 3 0xd7f0 0xc2ddf618 @@ -310127,6 +387659,8 @@ 0 0 1 +0 +1 0xb7d9 0x257d63f3 256 @@ -310134,6 +387668,8 @@ 1 1 0 +2 +1 4 0xcaa1 0x26b3028f @@ -310142,6 +387678,8 @@ 0 0 0 +5 +1 3 0xfea6 0x5d13dc12 @@ -310150,6 +387688,8 @@ 1 0 0 +5 +1 4 0xc2af 0x1c9266b1 @@ -310158,6 +387698,8 @@ 0 0 0 +0 +4 1 0xc85d 0xc143ec83 @@ -310166,6 +387708,8 @@ 1 0 0 +2 +2 1 0x3975 0xd20a744d @@ -310174,6 +387718,8 @@ 1 0 0 +0 +1 1 0x6066 0xdc2b8891 @@ -310182,6 +387728,8 @@ 1 0 0 +5 +4 1 0x87dc 0x565da204 @@ -310190,6 +387738,8 @@ 0 0 0 +2 +1 1 0x8f12 0x14cafbf8 @@ -310198,6 +387748,8 @@ 0 0 0 +4 +3 3 0x1a02 0x54618575 @@ -310206,6 +387758,8 @@ 1 1 0 +0 +5 4 0x6665 0x369b3472 @@ -310214,6 +387768,8 @@ 0 0 0 +2 +4 3 0x3988 0x6ba61e04 @@ -310222,6 +387778,8 @@ 1 1 0 +0 +3 4 0x7cc1 0x9baf1860 @@ -310230,6 +387788,8 @@ 0 0 0 +3 +3 1 0xa40b 0xc17d723f @@ -310238,6 +387798,8 @@ 1 1 0 +2 +3 1 0x83be 0xfbc1abe0 @@ -310246,6 +387808,8 @@ 0 0 0 +0 +1 3 0xe1e0 0x74bd0d @@ -310255,6 +387819,8 @@ 1 0 1 +0 +1 0x651 0x6b91520d 256 @@ -310262,6 +387828,8 @@ 1 0 0 +2 +4 4 0xbc8c 0xbc9f783a @@ -310270,6 +387838,8 @@ 1 1 0 +0 +4 2 0x26fc 0xdae5b6ad @@ -310278,6 +387848,8 @@ 1 1 0 +0 +1 1 0x18c2 0x6acd3dd2 @@ -310286,6 +387858,8 @@ 0 0 0 +0 +2 3 0x970e 0xf711243a @@ -310294,6 +387868,8 @@ 0 0 0 +5 +4 4 0x206b 0x11028b87 @@ -310302,6 +387878,8 @@ 0 0 0 +1 +0 4 0x58ff 0x115b9fd1 @@ -310310,6 +387888,8 @@ 1 0 0 +0 +2 3 0xb411 0x4c8f34e @@ -310318,6 +387898,8 @@ 1 1 0 +0 +5 1 0x9755 0x9029246a @@ -310326,6 +387908,8 @@ 1 1 0 +5 +2 1 0x8e1e 0xcc248305 @@ -310334,6 +387918,8 @@ 0 0 0 +5 +2 4 0x2c6d 0xb978462a @@ -310342,6 +387928,8 @@ 0 0 0 +2 +5 4 0xad73 0x746d3c45 @@ -310351,6 +387939,8 @@ 0 0 2 +1 +2 0xb9f9 0xf6edb6de 256 @@ -310358,6 +387948,8 @@ 1 0 0 +3 +0 1 0xf088 0xffb6a05f @@ -310366,6 +387958,8 @@ 1 0 0 +2 +1 4 0x3d6e 0xb3d3bf54 @@ -310374,6 +387968,8 @@ 0 0 0 +1 +3 2 0x5728 0xb562fe7d @@ -310382,6 +387978,8 @@ 1 1 0 +2 +5 4 0x46e6 0x765224d1 @@ -310390,6 +387988,8 @@ 1 0 0 +5 +2 4 0x1a1b 0xe45ebc32 @@ -310399,6 +387999,8 @@ 0 0 3 +2 +3 0x4fd7 0x40f79adb 256 @@ -310406,6 +388008,8 @@ 1 0 0 +3 +3 1 0x2b2d 0x9c1a62d6 @@ -310414,6 +388018,8 @@ 0 0 0 +2 +2 3 0xf584 0xbb528d02 @@ -310422,6 +388028,8 @@ 0 0 0 +3 +0 4 0xdc73 0xaed82082 @@ -310431,6 +388039,8 @@ 0 0 4 +1 +4 0xb6b0 0x3777156a 256 @@ -310439,6 +388049,8 @@ 0 0 2 +4 +2 0xc3ca 0xdd58bb8 256 @@ -310446,6 +388058,8 @@ 1 1 0 +3 +1 2 0xdcd2 0xe420f534 @@ -310454,6 +388068,8 @@ 0 0 0 +2 +4 3 0xb87a 0xcde7411e @@ -310462,6 +388078,8 @@ 1 1 0 +2 +1 1 0xcc63 0x6e0ec3e4 @@ -310470,6 +388088,8 @@ 0 0 0 +4 +1 1 0x5f7f 0xb801196 @@ -310478,6 +388098,8 @@ 0 0 0 +0 +0 3 0xd477 0xfb7cbd4c @@ -310486,6 +388108,8 @@ 1 1 0 +2 +5 4 0xc437 0x18838e8a @@ -310494,6 +388118,8 @@ 1 1 0 +5 +1 3 0xa2e7 0xe5375bcb @@ -310502,6 +388128,8 @@ 1 1 0 +1 +0 3 0x5993 0x13d2c5a @@ -310510,6 +388138,8 @@ 0 0 0 +5 +4 3 0x7615 0x9a17627c @@ -310518,6 +388148,8 @@ 0 0 0 +0 +1 2 0x420c 0x21e5c5e8 @@ -310526,6 +388158,8 @@ 0 0 0 +1 +5 2 0xc26d 0xdb051238 @@ -310534,6 +388168,8 @@ 1 0 0 +0 +4 3 0x34dc 0x3f637367 @@ -310542,6 +388178,8 @@ 1 1 0 +5 +1 3 0x5706 0x54ec0a38 @@ -310550,6 +388188,8 @@ 1 0 0 +5 +5 1 0xa673 0x6ee704e6 @@ -310558,6 +388198,8 @@ 1 0 0 +3 +2 1 0xd53e 0x5b8ff903 @@ -310566,6 +388208,8 @@ 1 0 0 +0 +3 4 0x9a39 0x77bc1f47 @@ -310574,6 +388218,8 @@ 0 0 0 +0 +3 1 0x2cfb 0x5b36c369 @@ -310582,6 +388228,8 @@ 1 1 0 +1 +5 3 0x1cad 0x77ca4edf @@ -310590,6 +388238,8 @@ 0 0 0 +2 +2 4 0x2f6 0x1927282f @@ -310598,6 +388248,8 @@ 1 1 0 +5 +5 1 0x352e 0x46f94f22 @@ -310606,6 +388258,8 @@ 0 0 0 +0 +3 2 0xe5d6 0x726821cd @@ -310614,6 +388268,8 @@ 1 0 0 +2 +4 4 0x1f90 0x13e9ab94 @@ -310622,6 +388278,8 @@ 1 1 0 +4 +1 3 0xd4df 0xfbf6a5ed @@ -310631,6 +388289,8 @@ 0 0 4 +2 +4 0x74d0 0x59014c8e 256 @@ -310638,6 +388298,8 @@ 1 1 0 +5 +5 2 0x6ec0 0x1a3627d5 @@ -310646,6 +388308,8 @@ 1 0 0 +1 +4 3 0xcaba 0x537fa2a6 @@ -310654,6 +388318,8 @@ 0 0 0 +1 +2 4 0xa39f 0x357ba1dd @@ -310662,6 +388328,8 @@ 1 1 0 +5 +3 2 0x34e2 0x1eb371d4 @@ -310670,6 +388338,8 @@ 0 0 0 +4 +5 1 0xe0b4 0x2b4c0f04 @@ -310678,6 +388348,8 @@ 0 0 0 +1 +4 4 0xc319 0x1d6edc66 @@ -310686,6 +388358,8 @@ 1 1 0 +1 +5 4 0xa55b 0xa6c319f2 @@ -310694,6 +388368,8 @@ 1 1 0 +1 +1 3 0xe954 0xa234e50f @@ -310702,6 +388378,8 @@ 1 1 0 +3 +3 1 0x5624 0x17b64d10 @@ -310710,6 +388388,8 @@ 0 0 0 +3 +5 4 0xa1fb 0xf54e007e @@ -310719,6 +388399,8 @@ 0 0 4 +3 +4 0xea98 0x12bca0c8 256 @@ -310726,6 +388408,8 @@ 1 0 0 +1 +1 4 0xb5ee 0x388bb876 @@ -310734,6 +388418,8 @@ 0 0 0 +3 +4 4 0x348e 0x77b8a369 @@ -310742,6 +388428,8 @@ 1 1 0 +0 +1 1 0xe5d5 0x7246614b @@ -310750,6 +388438,8 @@ 1 1 0 +4 +4 3 0xfdca 0xfd899eca @@ -310759,6 +388449,8 @@ 1 0 2 +5 +2 0xa2b7 0xe0d7cc2f 256 @@ -310767,6 +388459,8 @@ 0 0 2 +1 +2 0x18bd 0x16d5d4a7 256 @@ -310774,6 +388468,8 @@ 0 0 0 +3 +5 1 0x33e9 0xe7153242 @@ -310782,6 +388478,8 @@ 1 1 0 +1 +5 3 0xb8cd 0xc0c27dc1 @@ -310790,6 +388488,8 @@ 0 0 0 +2 +1 1 0x3ac6 0x73155ab8 @@ -310798,6 +388498,8 @@ 1 0 0 +1 +4 2 0x4ec1 0x14d5bcfc @@ -310806,6 +388508,8 @@ 0 0 0 +5 +4 2 0x6f05 0x1bb9a6ba @@ -310814,6 +388518,8 @@ 1 0 0 +1 +1 4 0x80de 0x8be0703b @@ -310822,6 +388528,8 @@ 0 0 0 +2 +2 4 0xf119 0xb90e61c0 @@ -310830,6 +388538,8 @@ 0 0 0 +2 +4 4 0x5245 0xecc8284a @@ -310838,6 +388548,8 @@ 0 0 0 +2 +0 4 0x7073 0xc85d05f0 @@ -310847,6 +388559,8 @@ 0 0 2 +2 +2 0x662c 0x29bee1f9 256 @@ -310854,6 +388568,8 @@ 0 0 0 +0 +0 1 0x33c9 0x183bceea @@ -310862,6 +388578,8 @@ 0 0 0 +1 +0 3 0xeef7 0x8a422cbd @@ -310870,6 +388588,8 @@ 1 1 0 +5 +3 1 0x2f47 0xc03321f9 @@ -310878,6 +388598,8 @@ 0 0 0 +2 +3 3 0xb8fe 0x40fcd249 @@ -310886,6 +388608,8 @@ 1 1 0 +0 +1 1 0x4f2e 0x2b3c2a7 @@ -310894,6 +388618,8 @@ 1 0 0 +1 +0 3 0x3afa 0x15e313f8 @@ -310902,6 +388628,8 @@ 1 0 0 +0 +0 2 0xb3d8 0x6ddcf44c @@ -310911,6 +388639,8 @@ 0 0 2 +3 +2 0x3c2c 0xcf37be5a 256 @@ -310919,6 +388649,8 @@ 1 0 1 +1 +1 0xd3a6 0x705d216d 256 @@ -310926,6 +388658,8 @@ 1 0 0 +3 +0 4 0x2f3a 0xb8800ff1 @@ -310935,6 +388669,8 @@ 0 0 1 +4 +1 0x4afe 0xdfd76994 256 @@ -310942,6 +388678,8 @@ 1 1 0 +2 +4 1 0x1fce 0xbc17a96f @@ -310950,6 +388688,8 @@ 0 0 0 +3 +5 1 0x4f9 0x3a3b75bd @@ -310958,6 +388698,8 @@ 1 0 0 +0 +4 1 0x2c0a 0xda8e824f @@ -310966,6 +388708,8 @@ 0 0 0 +3 +3 1 0xe185 0x53341d7e @@ -310974,6 +388718,8 @@ 0 0 0 +0 +0 2 0x5a4e 0x87e5d24a @@ -310982,6 +388728,8 @@ 0 0 0 +2 +3 1 0x9bac 0xde0457ad @@ -310990,6 +388738,8 @@ 0 0 0 +2 +4 1 0x3bc4 0xaaa63751 @@ -310998,6 +388748,8 @@ 1 0 0 +0 +3 2 0x8f25 0x89a892d9 @@ -311006,6 +388758,8 @@ 1 1 0 +1 +5 2 0xe9ae 0xce1299af @@ -311014,6 +388768,8 @@ 0 0 0 +0 +1 2 0x8d60 0x36fd31c5 @@ -311022,6 +388778,8 @@ 1 0 0 +4 +2 2 0xdb05 0xa097cf9d @@ -311030,6 +388788,8 @@ 1 1 0 +1 +4 4 0xf65d 0xf402d6cb @@ -311038,6 +388798,8 @@ 0 0 0 +2 +0 3 0x676e 0xc768e0cb @@ -311047,6 +388809,8 @@ 0 0 1 +3 +1 0x4f00 0xd3b98383 256 @@ -311054,6 +388818,8 @@ 1 1 0 +4 +3 2 0x5f3b 0xd7251f8f @@ -311062,6 +388828,8 @@ 1 1 0 +0 +5 4 0x28be 0x3fc0feb6 @@ -311070,6 +388838,8 @@ 0 0 0 +5 +4 1 0xbbe9 0x94e1d494 @@ -311078,6 +388848,8 @@ 0 0 0 +1 +2 2 0x86eb 0x544b0443 @@ -311086,6 +388858,8 @@ 1 1 0 +4 +5 1 0x25c4 0xdad19887 @@ -311095,6 +388869,8 @@ 0 0 3 +3 +3 0x363b 0x35afb1fc 256 @@ -311102,6 +388878,8 @@ 0 0 0 +2 +4 3 0x4309 0xcdb8af6a @@ -311111,6 +388889,8 @@ 0 0 2 +0 +2 0x2281 0xcbe0554b 256 @@ -311119,6 +388899,8 @@ 0 0 4 +4 +4 0x21fb 0xa9d7e450 256 @@ -311126,6 +388908,8 @@ 0 0 0 +5 +1 4 0xb8e0 0x4b75bbd6 @@ -311134,6 +388918,8 @@ 0 0 0 +1 +1 4 0x2540 0x69e1ebf0 @@ -311143,6 +388929,8 @@ 1 0 2 +0 +2 0xdf97 0x539b768 256 @@ -311150,6 +388938,8 @@ 0 0 0 +0 +3 1 0xcb2c 0xdd28e9cf @@ -311159,6 +388949,8 @@ 0 0 1 +3 +1 0x4f8c 0x3bb9dccd 256 @@ -311166,6 +388958,8 @@ 0 0 0 +2 +2 1 0xdcd4 0x4cfb4189 @@ -311175,6 +388969,8 @@ 0 0 4 +4 +4 0x34a 0xc3c44946 256 @@ -311182,6 +388978,8 @@ 0 0 0 +5 +4 2 0xd0d5 0x77dd6462 @@ -311190,6 +388988,8 @@ 1 1 0 +1 +1 3 0xceb6 0x5a415bbf @@ -311198,6 +388998,8 @@ 1 0 0 +3 +1 4 0x6836 0x239a3cf5 @@ -311206,6 +389008,8 @@ 0 0 0 +0 +2 4 0x1dd0 0xee0daf06 @@ -311214,6 +389018,8 @@ 0 0 0 +3 +4 4 0x37c4 0x47e7b13d @@ -311222,6 +389028,8 @@ 0 0 0 +4 +4 3 0x7b56 0xd3848493 @@ -311230,6 +389038,8 @@ 0 0 0 +1 +3 3 0x9788 0x41f937d0 @@ -311238,6 +389048,8 @@ 0 0 0 +5 +3 4 0x49 0x615345c1 @@ -311246,6 +389058,8 @@ 1 1 0 +0 +0 4 0x12be 0xff3fb587 @@ -311254,6 +389068,8 @@ 0 0 0 +5 +5 4 0xa5ea 0xf2decb29 @@ -311262,6 +389078,8 @@ 0 0 0 +2 +4 1 0x8b82 0x7fa83e4f @@ -311270,6 +389088,8 @@ 0 0 0 +3 +4 1 0x6e5d 0xf15bd0c7 @@ -311278,6 +389098,8 @@ 0 0 0 +4 +1 2 0x86db 0x15256f08 @@ -311286,6 +389108,8 @@ 0 0 0 +2 +1 1 0x7fa5 0x55a94b18 @@ -311294,6 +389118,8 @@ 0 0 0 +2 +4 4 0x7928 0x444df893 @@ -311302,6 +389128,8 @@ 0 0 0 +1 +4 2 0x117c 0x6fa756a3 @@ -311310,6 +389138,8 @@ 1 1 0 +0 +0 4 0xf11b 0xb3d52f25 @@ -311318,6 +389148,8 @@ 1 0 0 +3 +1 1 0x59c1 0x555d3584 @@ -311327,6 +389159,8 @@ 0 0 2 +3 +2 0x3053 0x1681fcdd 256 @@ -311335,6 +389169,8 @@ 0 0 4 +3 +4 0x9558 0x953bc52a 256 @@ -311342,6 +389178,8 @@ 0 0 0 +5 +2 3 0x92ee 0x18764bc6 @@ -311350,6 +389188,8 @@ 0 0 0 +3 +3 4 0xcf22 0xb551ed90 @@ -311358,6 +389198,8 @@ 1 1 0 +3 +0 2 0x8ea 0xc713b7a4 @@ -311366,6 +389208,8 @@ 1 0 0 +2 +5 4 0x4bdf 0xdaea3547 @@ -311374,6 +389218,8 @@ 1 1 0 +5 +3 3 0x285f 0x66c34636 @@ -311382,6 +389228,8 @@ 0 0 0 +5 +1 3 0x26a5 0x5f33b18a @@ -311390,6 +389238,8 @@ 0 0 0 +2 +4 1 0xba34 0x8893f09b @@ -311399,6 +389249,8 @@ 0 0 4 +3 +4 0x261e 0x911b3a14 256 @@ -311406,6 +389258,8 @@ 0 0 0 +3 +1 2 0x42cd 0x8f269c57 @@ -311414,6 +389268,8 @@ 1 1 0 +4 +1 3 0xdf3e 0xb6f7e65e @@ -311422,6 +389278,8 @@ 0 0 0 +1 +0 4 0x68d 0x4dc57844 @@ -311430,6 +389288,8 @@ 0 0 0 +1 +5 3 0x97e3 0x115e9600 @@ -311438,6 +389298,8 @@ 0 0 0 +2 +5 3 0x9f23 0x79a1fdf3 @@ -311446,6 +389308,8 @@ 0 0 0 +0 +5 1 0xd14e 0x7eafe562 @@ -311454,6 +389318,8 @@ 1 0 0 +4 +2 2 0xd7c8 0x8550ea03 @@ -311462,6 +389328,8 @@ 0 0 0 +5 +4 1 0x96c3 0xd6b560e0 @@ -311470,6 +389338,8 @@ 0 0 0 +1 +3 2 0xb75e 0x77c0bffe @@ -311478,6 +389348,8 @@ 0 0 0 +0 +3 1 0x37e2 0x1bb1d108 @@ -311486,6 +389358,8 @@ 1 0 0 +3 +2 2 0x5f5e 0xf8789494 @@ -311495,6 +389369,8 @@ 0 0 2 +3 +2 0x29a3 0x20316d53 256 @@ -311502,6 +389378,8 @@ 1 0 0 +2 +5 3 0x8c99 0xdce92100 @@ -311510,6 +389388,8 @@ 0 0 0 +5 +3 1 0xc7e1 0xf244e53d @@ -311518,6 +389398,8 @@ 1 1 0 +5 +2 4 0x2ce3 0x6026bce8 @@ -311526,6 +389408,8 @@ 0 0 0 +3 +2 2 0xe897 0xe8bc60ad @@ -311534,6 +389418,8 @@ 0 0 0 +3 +4 4 0x7d0a 0x3cd98a46 @@ -311542,6 +389428,8 @@ 0 0 0 +3 +0 4 0x7110 0xb413fe2d @@ -311551,6 +389439,8 @@ 0 0 1 +5 +1 0xca8e 0x625b08b8 256 @@ -311558,6 +389448,8 @@ 1 0 0 +4 +0 2 0xba72 0x752a500b @@ -311566,6 +389458,8 @@ 1 1 0 +2 +2 1 0xaa0d 0x3c1ee0f @@ -311574,6 +389468,8 @@ 0 0 0 +0 +4 2 0x98a7 0x99eaa4e7 @@ -311582,6 +389478,8 @@ 1 0 0 +1 +5 3 0x8937 0xf8800aa4 @@ -311590,6 +389488,8 @@ 0 0 0 +5 +4 2 0x12e1 0x761a61ad @@ -311598,6 +389498,8 @@ 1 1 0 +1 +3 3 0x1578 0x937a173a @@ -311606,6 +389508,8 @@ 1 0 0 +2 +1 3 0x31ae 0x3a53ed7e @@ -311615,6 +389519,8 @@ 0 0 3 +1 +3 0x90f3 0x86fd0e64 256 @@ -311622,6 +389528,8 @@ 0 0 0 +0 +0 4 0xa580 0x9ff9fda2 @@ -311630,6 +389538,8 @@ 0 0 0 +5 +5 4 0x8e0f 0xdc1efb7a @@ -311638,6 +389548,8 @@ 1 0 0 +5 +4 1 0xe6e8 0x6b286a5d @@ -311647,6 +389559,8 @@ 1 0 2 +3 +2 0xbbff 0x39107853 256 @@ -311654,6 +389568,8 @@ 1 0 0 +0 +3 1 0x20f9 0xa3ffe37a @@ -311662,6 +389578,8 @@ 0 0 0 +5 +5 4 0x8ad0 0x4bd8caa2 @@ -311670,6 +389588,8 @@ 1 1 0 +0 +4 2 0xdd62 0x912a760d @@ -311678,6 +389598,8 @@ 1 1 0 +3 +0 4 0xf0dc 0xe1eca36d @@ -311687,6 +389609,8 @@ 0 0 1 +1 +1 0xc58c 0xe1db4480 256 @@ -311694,6 +389618,8 @@ 0 0 0 +2 +0 4 0x6eda 0x2baf19c8 @@ -311702,6 +389628,8 @@ 0 0 0 +0 +1 2 0x8a1b 0x3c1200c5 @@ -311710,6 +389638,8 @@ 1 0 0 +0 +2 3 0x2bba 0x81299082 @@ -311718,6 +389648,8 @@ 0 0 0 +3 +1 2 0x29dc 0xbdf084e1 @@ -311726,6 +389658,8 @@ 1 1 0 +2 +5 4 0xfd50 0xa4450ff1 @@ -311734,6 +389668,8 @@ 1 0 0 +1 +3 3 0xe284 0x8171fdb3 @@ -311742,6 +389678,8 @@ 1 0 0 +2 +3 3 0xc72c 0xe79e8a21 @@ -311750,6 +389688,8 @@ 0 0 0 +1 +4 4 0xb5f9 0x452aeeea @@ -311759,6 +389699,8 @@ 0 0 3 +5 +3 0xec8 0x52fddd21 256 @@ -311766,6 +389708,8 @@ 0 0 0 +0 +1 3 0x48a5 0x5f1bbdc5 @@ -311775,6 +389719,8 @@ 1 0 1 +4 +1 0x1673 0xbaf4aed3 256 @@ -311782,6 +389728,8 @@ 1 0 0 +5 +1 4 0x2e6 0x520dbc29 @@ -311790,6 +389738,8 @@ 0 0 0 +1 +2 2 0x7e69 0xf84f83a2 @@ -311798,6 +389748,8 @@ 1 1 0 +0 +2 3 0x2421 0x2b873e41 @@ -311806,6 +389758,8 @@ 0 0 0 +5 +1 4 0xdd7c 0xcbd6b083 @@ -311814,6 +389768,8 @@ 0 0 0 +0 +5 2 0x701a 0x454c8f16 @@ -311822,6 +389778,8 @@ 0 0 0 +0 +1 1 0x435f 0x8ffcd0a1 @@ -311830,6 +389788,8 @@ 1 1 0 +5 +3 2 0xb05 0x4df147ef @@ -311838,6 +389798,8 @@ 0 0 0 +4 +1 2 0x863d 0x49c17069 @@ -311847,6 +389809,8 @@ 0 0 4 +2 +4 0x4461 0x702c9e80 256 @@ -311854,6 +389818,8 @@ 0 0 0 +2 +0 4 0x5169 0x9f445fc9 @@ -311862,6 +389828,8 @@ 1 1 0 +3 +2 1 0xa283 0x88f9425a @@ -311870,6 +389838,8 @@ 1 0 0 +2 +2 4 0x6a50 0xe191b8ff @@ -311878,6 +389848,8 @@ 0 0 0 +4 +1 2 0x7622 0x5d665a1a @@ -311886,6 +389858,8 @@ 0 0 0 +2 +3 4 0x92c5 0xafb94ccd @@ -311894,6 +389868,8 @@ 0 0 0 +3 +1 2 0x3fc8 0x1466545b @@ -311902,6 +389878,8 @@ 0 0 0 +4 +5 2 0x357e 0xaa6c5e42 @@ -311910,6 +389888,8 @@ 1 0 0 +0 +2 1 0x992c 0x1c6a5023 @@ -311919,6 +389899,8 @@ 0 0 3 +2 +3 0xe85c 0x6094707f 256 @@ -311926,6 +389908,8 @@ 0 0 0 +4 +1 3 0x7549 0xfb940bff @@ -311934,6 +389918,8 @@ 0 0 0 +3 +5 2 0x276d 0x3b786e0e @@ -311942,6 +389928,8 @@ 1 0 0 +0 +3 2 0xd1f5 0x36926cdd @@ -311950,6 +389938,8 @@ 1 1 0 +2 +2 3 0x519c 0xa9037064 @@ -311958,6 +389948,8 @@ 1 0 0 +5 +4 2 0x7853 0xedf8729c @@ -311966,6 +389958,8 @@ 0 0 0 +1 +3 3 0xb0d2 0x8714524f @@ -311974,6 +389968,8 @@ 1 1 0 +4 +0 2 0x8eae 0x10b3d3b5 @@ -311982,6 +389978,8 @@ 1 1 0 +3 +5 4 0x95 0xf6b3a660 @@ -311990,6 +389988,8 @@ 0 0 0 +3 +2 1 0xa98d 0x38de8ec0 @@ -311998,6 +389998,8 @@ 0 0 0 +5 +0 4 0x3c84 0x49ef03af @@ -312006,6 +390008,8 @@ 0 0 0 +5 +4 1 0xb15e 0x3c00539a @@ -312014,6 +390018,8 @@ 1 0 0 +2 +5 4 0xd80d 0x614a7c4d @@ -312022,6 +390028,8 @@ 0 0 0 +3 +4 4 0x8cab 0x6af544ec @@ -312030,6 +390038,8 @@ 0 0 0 +0 +4 2 0x66aa 0x9c4c9020 @@ -312038,6 +390048,8 @@ 0 0 0 +4 +4 2 0x24a5 0x20fab1a7 @@ -312046,6 +390058,8 @@ 1 1 0 +5 +3 2 0xbe9d 0x7c705ee7 @@ -312054,6 +390068,8 @@ 0 0 0 +3 +0 1 0xd6b4 0xbf9cca00 @@ -312063,6 +390079,8 @@ 0 0 1 +4 +1 0x2d47 0xfea3ed6b 256 @@ -312070,6 +390088,8 @@ 0 0 0 +0 +3 2 0x4223 0x83996d4 @@ -312078,6 +390098,8 @@ 1 1 0 +0 +0 1 0x784a 0x21dacbfa @@ -312086,6 +390108,8 @@ 0 0 0 +3 +5 1 0xf867 0x1d117515 @@ -312094,6 +390118,8 @@ 0 0 0 +5 +0 4 0xd8a0 0xe1743ee7 @@ -312103,6 +390129,8 @@ 0 0 3 +5 +3 0x2cae 0x235e8244 256 @@ -312111,6 +390139,8 @@ 0 0 2 +5 +2 0xdefe 0xfe08145a 256 @@ -312118,6 +390148,8 @@ 1 0 0 +3 +4 2 0x4fdc 0x51089206 @@ -312127,6 +390159,8 @@ 1 0 1 +0 +1 0x958a 0xa3b827d7 256 @@ -312134,6 +390168,8 @@ 0 0 0 +2 +1 4 0x40f1 0x7dc69454 @@ -312142,6 +390178,8 @@ 0 0 0 +5 +5 3 0xdb57 0xbcc9b93a @@ -312150,6 +390188,8 @@ 0 0 0 +0 +4 3 0x3794 0xb258641a @@ -312158,6 +390198,8 @@ 1 1 0 +0 +0 2 0x2b4d 0x5d848a5c @@ -312166,6 +390208,8 @@ 1 0 0 +5 +4 1 0x7f52 0x28b34904 @@ -312174,6 +390218,8 @@ 0 0 0 +1 +2 4 0x9053 0x59d69743 @@ -312182,6 +390228,8 @@ 0 0 0 +2 +5 3 0x23cd 0xaf1d0e6 @@ -312191,6 +390239,8 @@ 0 0 3 +0 +3 0xaea8 0x2a2e3353 256 @@ -312198,6 +390248,8 @@ 1 0 0 +4 +0 3 0xcc6f 0xe22cac10 @@ -312206,6 +390258,8 @@ 1 0 0 +0 +5 3 0x5cbe 0x455e4002 @@ -312214,6 +390268,8 @@ 0 0 0 +1 +4 4 0xae6c 0x212dd4a6 @@ -312222,6 +390278,8 @@ 0 0 0 +0 +3 1 0x2d58 0x146cdcf8 @@ -312230,6 +390288,8 @@ 1 0 0 +3 +1 1 0x45e6 0x2c0b511b @@ -312238,6 +390298,8 @@ 1 1 0 +5 +2 4 0xb866 0x409f5da0 @@ -312246,6 +390308,8 @@ 0 0 0 +4 +4 1 0xde9f 0xad6ac3aa @@ -312254,6 +390318,8 @@ 1 1 0 +0 +5 4 0x5ed2 0xfc5c91ba @@ -312262,6 +390328,8 @@ 0 0 0 +4 +3 2 0x10b8 0x7d28f827 @@ -312270,6 +390338,8 @@ 0 0 0 +2 +5 1 0xd0da 0xced4d0ae @@ -312278,6 +390348,8 @@ 0 0 0 +1 +3 4 0x329e 0x5038a65c @@ -312286,6 +390358,8 @@ 0 0 0 +4 +1 1 0x94c4 0x255e48c4 @@ -312294,6 +390368,8 @@ 1 1 0 +2 +3 3 0xa047 0xcf784901 @@ -312302,6 +390378,8 @@ 1 1 0 +1 +0 2 0xf7ac 0x12736fc8 @@ -312310,6 +390388,8 @@ 0 0 0 +5 +4 2 0x10a 0xcdd77937 @@ -312318,6 +390398,8 @@ 0 0 0 +1 +3 2 0x5de8 0x4a284fa9 @@ -312326,6 +390408,8 @@ 0 0 0 +3 +4 4 0x2ae1 0xcbc1c283 @@ -312334,6 +390418,8 @@ 0 0 0 +3 +2 2 0xef12 0x156ebf @@ -312343,6 +390429,8 @@ 1 0 2 +3 +2 0xb3f3 0x6c4d9c91 256 @@ -312350,6 +390438,8 @@ 0 0 0 +1 +4 3 0x1b60 0x7e1e94a5 @@ -312358,6 +390448,8 @@ 0 0 0 +2 +5 1 0xd30b 0x427e0b6d @@ -312366,6 +390458,8 @@ 0 0 0 +3 +0 2 0xadb2 0x24b5173 @@ -312374,6 +390468,8 @@ 1 1 0 +2 +2 1 0xc075 0x269b1344 @@ -312382,6 +390478,8 @@ 1 0 0 +2 +0 4 0xaf62 0x67aaf9d3 @@ -312391,6 +390489,8 @@ 0 0 1 +3 +1 0x59e 0x8cd7e3e1 256 @@ -312399,6 +390499,8 @@ 1 0 1 +2 +1 0x1ef6 0x5da47905 256 @@ -312406,6 +390508,8 @@ 1 1 0 +0 +4 4 0xa125 0xca55074f @@ -312415,6 +390519,8 @@ 0 0 1 +4 +1 0x8c 0x4c18b4c2 256 @@ -312422,6 +390528,8 @@ 0 0 0 +1 +3 4 0x4cf9 0x519aefdb @@ -312430,6 +390538,8 @@ 1 0 0 +2 +4 1 0x6094 0xc776029b @@ -312438,6 +390548,8 @@ 1 1 0 +5 +2 3 0xc7b7 0x390bec86 @@ -312446,6 +390558,8 @@ 0 0 0 +0 +0 2 0x3f39 0xaa6ea4e1 @@ -312455,6 +390569,8 @@ 0 0 4 +1 +4 0x4db 0x2957969b 256 @@ -312462,6 +390578,8 @@ 0 0 0 +5 +2 2 0x4f5b 0xb4851bcb @@ -312470,6 +390588,8 @@ 1 1 0 +3 +4 1 0xe0bf 0x9dced717 @@ -312478,6 +390598,8 @@ 0 0 0 +2 +4 3 0xafe 0x65c1f235 @@ -312486,6 +390608,8 @@ 0 0 0 +0 +2 3 0x5b9b 0xc5a66354 @@ -312494,6 +390618,8 @@ 1 0 0 +5 +0 3 0xa355 0xefee361d @@ -312503,6 +390629,8 @@ 1 0 1 +3 +1 0x132d 0xb2ddb1cc 256 @@ -312510,6 +390638,8 @@ 1 0 0 +0 +4 3 0x866c 0x84e4dc99 @@ -312518,6 +390648,8 @@ 1 1 0 +0 +0 3 0xd047 0x1ad247e3 @@ -312526,6 +390658,8 @@ 1 1 0 +5 +0 1 0x6250 0x58765851 @@ -312535,6 +390669,8 @@ 0 0 1 +2 +1 0xadb3 0xfc31af2a 256 @@ -312543,6 +390679,8 @@ 0 0 1 +2 +1 0xeebb 0x43ab598b 256 @@ -312550,6 +390688,8 @@ 0 0 0 +2 +2 3 0x5548 0xef776f6c @@ -312559,6 +390699,8 @@ 0 0 4 +5 +4 0x8179 0xab286f7a 256 @@ -312566,6 +390708,8 @@ 0 0 0 +5 +0 1 0xb974 0x939405a4 @@ -312574,6 +390718,8 @@ 1 0 0 +1 +1 2 0x2917 0xfdf18bd8 @@ -312582,6 +390728,8 @@ 1 1 0 +1 +4 4 0x87b7 0xf4cae6c6 @@ -312590,6 +390738,8 @@ 1 0 0 +0 +2 1 0xe24d 0xb41219ce @@ -312598,6 +390748,8 @@ 0 0 0 +5 +5 1 0xbc7b 0x856a66fb @@ -312606,6 +390758,8 @@ 0 0 0 +0 +3 1 0x3045 0x8ca27bb @@ -312614,6 +390768,8 @@ 0 0 0 +0 +5 1 0xa4f3 0x57ebad23 @@ -312622,6 +390778,8 @@ 0 0 0 +5 +1 4 0xc8a7 0x838c404b @@ -312630,6 +390788,8 @@ 0 0 0 +5 +1 4 0x5ba8 0xcc813b5a @@ -312638,6 +390798,8 @@ 0 0 0 +5 +1 3 0x2ea5 0xb5e3ea18 @@ -312646,6 +390808,8 @@ 0 0 0 +3 +0 1 0x37a2 0xf1a257f1 @@ -312654,6 +390818,8 @@ 0 0 0 +2 +1 1 0x3b26 0xb0ee9031 @@ -312662,6 +390828,8 @@ 0 0 0 +5 +2 2 0x730a 0x33a9bed6 @@ -312670,6 +390838,8 @@ 1 0 0 +5 +5 2 0x4f1c 0xad21924c @@ -312678,6 +390848,8 @@ 1 1 0 +5 +5 3 0x499c 0x6ae1b2f3 @@ -312686,6 +390858,8 @@ 1 1 0 +0 +5 1 0xc456 0xe1fc6597 @@ -312694,6 +390868,8 @@ 1 0 0 +0 +4 2 0x4754 0xda0f6bb2 @@ -312702,6 +390878,8 @@ 0 0 0 +4 +3 3 0xed7d 0xdf597346 @@ -312711,6 +390889,8 @@ 0 0 4 +2 +4 0xeb21 0x3d6876ef 256 @@ -312718,6 +390898,8 @@ 0 0 0 +3 +0 1 0x2542 0x5b4cafd8 @@ -312727,6 +390909,8 @@ 0 0 1 +1 +1 0xf0fd 0xc61969a0 256 @@ -312735,6 +390919,8 @@ 0 0 4 +2 +4 0xc7a2 0x842993ca 256 @@ -312742,6 +390928,8 @@ 1 1 0 +0 +4 3 0xf0f9 0x1cd47234 @@ -312750,6 +390938,8 @@ 0 0 0 +0 +4 2 0x19df 0x14730dec @@ -312758,6 +390948,8 @@ 1 1 0 +1 +1 4 0x9559 0x349089d @@ -312766,6 +390958,8 @@ 0 0 0 +0 +0 1 0xc86c 0x7dc8ca00 @@ -312774,6 +390968,8 @@ 1 1 0 +4 +1 3 0xe0ce 0xf3c11bbc @@ -312782,6 +390978,8 @@ 0 0 0 +5 +1 3 0x859 0x96795a64 @@ -312790,6 +390988,8 @@ 0 0 0 +0 +3 2 0xe2d6 0x85f3076b @@ -312798,6 +390998,8 @@ 1 1 0 +0 +3 2 0xbce7 0x8a17f995 @@ -312806,6 +391008,8 @@ 0 0 0 +2 +1 1 0xdeee 0xeddd9448 @@ -312814,6 +391018,8 @@ 1 0 0 +4 +4 3 0x7e03 0xbd95fca1 @@ -312822,6 +391028,8 @@ 1 0 0 +5 +4 3 0x26ff 0x66f3840d @@ -312830,6 +391038,8 @@ 1 1 0 +2 +1 1 0x68fa 0x8ea00120 @@ -312838,6 +391048,8 @@ 1 1 0 +3 +3 1 0x9943 0xd621bda6 @@ -312847,6 +391059,8 @@ 0 0 1 +3 +1 0xa89e 0x595b56d4 256 @@ -312854,6 +391068,8 @@ 0 0 0 +0 +0 4 0x32ea 0xce0cc5d3 @@ -312862,6 +391078,8 @@ 1 1 0 +2 +0 1 0xf8a8 0x524016cc @@ -312870,6 +391088,8 @@ 0 0 0 +4 +1 1 0x48a3 0x57e8bc29 @@ -312878,6 +391098,8 @@ 1 0 0 +5 +2 4 0x8f65 0xc67960f6 @@ -312886,6 +391108,8 @@ 1 0 0 +3 +2 1 0xf746 0x44acb66 @@ -312894,6 +391118,8 @@ 1 0 0 +4 +3 2 0x3700 0xed115970 @@ -312902,6 +391128,8 @@ 1 1 0 +5 +2 4 0x2292 0x2a9d42e0 @@ -312911,6 +391139,8 @@ 0 0 3 +1 +3 0x26c0 0x49b1f1cc 256 @@ -312919,6 +391149,8 @@ 0 0 2 +2 +2 0x5856 0xb3720a4c 256 @@ -312926,6 +391158,8 @@ 0 0 0 +2 +0 1 0x9bec 0x254380fe @@ -312935,6 +391169,8 @@ 0 0 3 +3 +3 0x7640 0x9361f715 256 @@ -312942,6 +391178,8 @@ 1 1 0 +0 +0 1 0x435b 0xfb88fa0c @@ -312950,6 +391188,8 @@ 0 0 0 +1 +5 4 0x29c6 0xc987eacd @@ -312959,6 +391199,8 @@ 0 0 4 +4 +4 0xbc6c 0x4d375cc0 256 @@ -312966,6 +391208,8 @@ 0 0 0 +4 +2 2 0xcc0d 0xa0376020 @@ -312975,6 +391219,8 @@ 0 0 4 +3 +4 0xb9e7 0x6a553b5a 256 @@ -312982,6 +391228,8 @@ 1 1 0 +0 +1 2 0x3dd2 0xbe6e70a3 @@ -312991,6 +391239,8 @@ 1 0 3 +2 +3 0x6865 0xf1fcafd9 256 @@ -312999,6 +391249,8 @@ 1 0 2 +1 +2 0xa3d0 0xd37e264e 256 @@ -313006,6 +391258,8 @@ 1 1 0 +0 +0 3 0x2f7c 0xb76861a3 @@ -313014,6 +391268,8 @@ 1 0 0 +5 +0 2 0x8914 0x5ba4bb59 @@ -313022,6 +391278,8 @@ 1 1 0 +0 +1 3 0xd6d8 0x9a0331c6 @@ -313030,6 +391288,8 @@ 0 0 0 +5 +4 3 0x7816 0x2bed2c93 @@ -313038,6 +391298,8 @@ 0 0 0 +2 +0 3 0x5595 0x7f1dbbc2 @@ -313046,6 +391308,8 @@ 0 0 0 +0 +3 3 0x8f48 0xe1c48778 @@ -313054,6 +391318,8 @@ 0 0 0 +5 +5 2 0xccde 0xa71e82f2 @@ -313062,6 +391328,8 @@ 1 1 0 +2 +5 4 0x12b9 0x4446d9f9 @@ -313070,6 +391338,8 @@ 1 0 0 +5 +3 2 0x921f 0x564fae16 @@ -313079,6 +391349,8 @@ 0 0 4 +0 +4 0x6eb3 0x134b304c 256 @@ -313086,6 +391358,8 @@ 1 0 0 +4 +5 2 0x1d25 0xae222ab9 @@ -313094,6 +391368,8 @@ 0 0 0 +0 +1 2 0xee65 0x825ccd10 @@ -313102,6 +391378,8 @@ 0 0 0 +1 +4 4 0x5a80 0xc03adf5a @@ -313110,6 +391388,8 @@ 1 0 0 +2 +2 1 0x266e 0x83a92a4f @@ -313118,6 +391398,8 @@ 1 0 0 +0 +2 3 0x9425 0x4b952380 @@ -313126,6 +391408,8 @@ 1 1 0 +4 +5 1 0x5763 0x1e869d41 @@ -313134,6 +391418,8 @@ 0 0 0 +1 +0 3 0x3da4 0xa52652a0 @@ -313142,6 +391428,8 @@ 1 0 0 +1 +5 3 0x909a 0xf7b288de @@ -313150,6 +391438,8 @@ 0 0 0 +0 +1 1 0x4c67 0x61ab7717 @@ -313158,6 +391448,8 @@ 0 0 0 +3 +1 1 0xdb8 0xf2c22d5a @@ -313166,6 +391458,8 @@ 0 0 0 +0 +5 1 0x2b4c 0x9be218a6 @@ -313174,6 +391468,8 @@ 1 1 0 +1 +0 3 0x6128 0x9891f569 @@ -313182,6 +391478,8 @@ 0 0 0 +4 +3 3 0xacfa 0x84a9003e @@ -313190,6 +391488,8 @@ 0 0 0 +5 +0 4 0xfae4 0xd516c374 @@ -313198,6 +391498,8 @@ 0 0 0 +0 +4 4 0xdce3 0xd56c6148 @@ -313206,6 +391508,8 @@ 0 0 0 +2 +1 1 0xc21f 0x74daeb5f @@ -313214,6 +391518,8 @@ 1 0 0 +5 +1 2 0x9e7 0x4bc08f09 @@ -313222,6 +391528,8 @@ 0 0 0 +3 +2 2 0x6459 0x61cf3e95 @@ -313230,6 +391538,8 @@ 0 0 0 +0 +5 1 0x4852 0x3becce9a @@ -313238,6 +391548,8 @@ 1 1 0 +3 +2 1 0xb1b3 0x32e8f98d @@ -313246,6 +391558,8 @@ 0 0 0 +5 +1 3 0xf460 0x21d21311 @@ -313254,6 +391568,8 @@ 0 0 0 +4 +4 2 0xeff5 0xb84ba8f4 @@ -313262,6 +391578,8 @@ 1 1 0 +1 +4 2 0x16c0 0xa8a8fc8c @@ -313270,6 +391588,8 @@ 1 0 0 +0 +2 1 0xd048 0xc748ff80 @@ -313278,6 +391598,8 @@ 0 0 0 +1 +2 4 0x4535 0x19c38372 @@ -313286,6 +391608,8 @@ 1 1 0 +5 +1 3 0x86b6 0xaa00d8df @@ -313294,6 +391618,8 @@ 1 0 0 +1 +1 4 0xe009 0xf7544ed2 @@ -313302,6 +391628,8 @@ 1 0 0 +2 +1 4 0x8df1 0x3f3d43f8 @@ -313310,6 +391638,8 @@ 1 0 0 +3 +5 4 0xa7c3 0x94ebfd03 @@ -313318,6 +391648,8 @@ 0 0 0 +1 +4 4 0x6542 0x3ed64f82 @@ -313326,6 +391658,8 @@ 0 0 0 +1 +5 3 0x7f84 0x863500eb @@ -313334,6 +391668,8 @@ 0 0 0 +2 +2 4 0x8ed7 0x3978485 @@ -313342,6 +391678,8 @@ 1 1 0 +5 +2 2 0x3dce 0x6d4e7d26 @@ -313350,6 +391688,8 @@ 0 0 0 +5 +0 1 0x7a6f 0xd6204b0e @@ -313358,6 +391698,8 @@ 1 0 0 +5 +2 2 0xa99 0x7e05fecb @@ -313366,6 +391708,8 @@ 1 1 0 +1 +1 2 0x48df 0x5fd87b64 @@ -313374,6 +391718,8 @@ 0 0 0 +2 +1 4 0x562 0xcd75aec3 @@ -313382,6 +391728,8 @@ 0 0 0 +0 +3 1 0xacc5 0x96cb9732 @@ -313390,6 +391738,8 @@ 0 0 0 +2 +5 3 0x8605 0xd1bc5585 @@ -313398,6 +391748,8 @@ 1 1 0 +3 +5 1 0x28cf 0xed78ae2e @@ -313406,6 +391758,8 @@ 1 0 0 +4 +0 3 0x5de2 0x91cfa4b8 @@ -313414,6 +391768,8 @@ 0 0 0 +0 +4 1 0xc536 0x931ae398 @@ -313422,6 +391778,8 @@ 1 0 0 +1 +5 3 0x5859 0x1a769038 @@ -313430,6 +391788,8 @@ 0 0 0 +2 +4 1 0x13a9 0x5c1bc237 @@ -313438,6 +391798,8 @@ 1 1 0 +1 +0 4 0xf490 0xd389ad27 @@ -313446,6 +391808,8 @@ 1 0 0 +3 +2 1 0xacdf 0x496b59df @@ -313454,6 +391818,8 @@ 1 0 0 +2 +2 4 0xd074 0x8181ae0d @@ -313462,6 +391828,8 @@ 1 0 0 +1 +1 3 0x355 0x7e49eec2 @@ -313470,6 +391838,8 @@ 1 0 0 +3 +1 2 0x376a 0x5963bcc4 @@ -313478,6 +391848,8 @@ 0 0 0 +4 +4 2 0xd808 0x2417e12f @@ -313487,6 +391859,8 @@ 0 0 2 +2 +2 0x3b03 0xa6e75e77 256 @@ -313494,6 +391868,8 @@ 1 0 0 +5 +4 2 0xcd17 0xc8280380 @@ -313503,6 +391879,8 @@ 0 0 2 +1 +2 0x85ff 0x82c44db4 256 @@ -313510,6 +391888,8 @@ 1 0 0 +1 +3 2 0xe0c 0x4019080a @@ -313518,6 +391898,8 @@ 1 1 0 +5 +1 4 0x3a09 0x63bb979 @@ -313526,6 +391908,8 @@ 0 0 0 +4 +2 2 0x278c 0x4b41e746 @@ -313534,6 +391918,8 @@ 0 0 0 +3 +1 1 0x7f7d 0xb3f4f429 @@ -313542,6 +391928,8 @@ 0 0 0 +4 +5 3 0x2a0a 0x94c71b4c @@ -313551,6 +391939,8 @@ 0 0 1 +4 +1 0x6e05 0x2bd55fef 256 @@ -313558,6 +391948,8 @@ 1 0 0 +0 +4 2 0x1b72 0x32848bec @@ -313566,6 +391958,8 @@ 1 1 0 +0 +0 4 0x9926 0x7209134c @@ -313574,6 +391968,8 @@ 0 0 0 +3 +5 2 0x558a 0x75f8c6a9 @@ -313582,6 +391978,8 @@ 0 0 0 +1 +1 4 0x417a 0x1ac68ae6 @@ -313591,6 +391989,8 @@ 0 0 3 +2 +3 0x3551 0xda5f6008 256 @@ -313598,6 +391998,8 @@ 1 0 0 +3 +0 2 0x8625 0x8a406fb6 @@ -313607,6 +392009,8 @@ 1 0 1 +2 +1 0x16d0 0xd7fe731a 256 @@ -313615,6 +392019,8 @@ 0 0 4 +1 +4 0x391d 0xcaca564 256 @@ -313622,6 +392028,8 @@ 1 0 0 +0 +0 4 0x4636 0x5bdd845a @@ -313631,6 +392039,8 @@ 0 0 4 +0 +4 0x8ed3 0xd5f2e990 256 @@ -313638,6 +392048,8 @@ 1 0 0 +2 +4 4 0x2dad 0x10cc8602 @@ -313646,6 +392058,8 @@ 1 0 0 +1 +5 4 0xdf02 0xccb1a140 @@ -313654,6 +392068,8 @@ 0 0 0 +5 +1 1 0x7e20 0x544b942 @@ -313662,6 +392078,8 @@ 1 1 0 +3 +0 1 0x961d 0x3480843e @@ -313670,6 +392088,8 @@ 1 0 0 +5 +4 4 0xf247 0x20c37a6a @@ -313678,6 +392098,8 @@ 0 0 0 +1 +3 4 0x18d8 0x4dd9bc55 @@ -313687,6 +392109,8 @@ 0 0 4 +0 +4 0xab76 0x3960869f 256 @@ -313694,6 +392118,8 @@ 1 1 0 +2 +2 4 0x3429 0x277b8acb @@ -313702,6 +392128,8 @@ 1 0 0 +3 +5 1 0xd6e2 0x40bb672 @@ -313710,6 +392138,8 @@ 0 0 0 +2 +2 1 0x629b 0xe813774c @@ -313718,6 +392148,8 @@ 1 0 0 +5 +4 4 0x2db 0x79e52dc7 @@ -313726,6 +392158,8 @@ 1 1 0 +3 +3 2 0x5a8c 0x7389d509 @@ -313734,6 +392168,8 @@ 1 1 0 +1 +5 2 0xb3dc 0x8bda5bba @@ -313742,6 +392178,8 @@ 1 0 0 +1 +2 2 0xe01f 0x5d4e7f96 @@ -313751,6 +392189,8 @@ 0 0 4 +2 +4 0xb03 0x7c21a21d 256 @@ -313759,6 +392199,8 @@ 0 0 4 +0 +4 0x9ddf 0x926f17d5 256 @@ -313766,6 +392208,8 @@ 0 0 0 +1 +2 3 0x8c2e 0xe45cccf3 @@ -313774,6 +392218,8 @@ 0 0 0 +4 +2 2 0x8c53 0xa6d3aac9 @@ -313782,6 +392228,8 @@ 0 0 0 +1 +1 3 0x7463 0x605d765c @@ -313790,6 +392238,8 @@ 0 0 0 +0 +2 1 0x786f 0xe2da6304 @@ -313798,6 +392248,8 @@ 1 1 0 +1 +2 4 0x488f 0xf6a0bff9 @@ -313806,6 +392258,8 @@ 0 0 0 +2 +3 4 0xe38e 0x35868f29 @@ -313814,6 +392268,8 @@ 0 0 0 +4 +2 2 0x3a31 0x394326f8 @@ -313822,6 +392278,8 @@ 1 0 0 +2 +1 3 0x43bd 0xc311deb7 @@ -313830,6 +392288,8 @@ 0 0 0 +5 +3 1 0x5807 0xc84b512f @@ -313839,6 +392299,8 @@ 0 0 1 +1 +1 0x328b 0xc1f021f0 256 @@ -313846,6 +392308,8 @@ 1 0 0 +1 +5 3 0x2cbd 0xc8fc663c @@ -313854,6 +392318,8 @@ 1 0 0 +3 +2 2 0xf44a 0xe5fba381 @@ -313863,6 +392329,8 @@ 0 0 4 +5 +4 0x8352 0x28633dbd 256 @@ -313870,6 +392338,8 @@ 0 0 0 +3 +4 2 0x8e93 0xe5a41e41 @@ -313878,6 +392348,8 @@ 1 0 0 +3 +1 1 0xb47b 0x330f5fb @@ -313887,6 +392359,8 @@ 1 0 3 +0 +3 0xa08a 0x62329625 256 @@ -313894,6 +392368,8 @@ 0 0 0 +5 +4 3 0xabd8 0xf484780e @@ -313902,6 +392378,8 @@ 0 0 0 +2 +3 3 0xdea6 0x49755154 @@ -313910,6 +392388,8 @@ 1 0 0 +5 +2 3 0xb264 0xbcba0f52 @@ -313919,6 +392399,8 @@ 0 0 3 +1 +3 0x73c5 0x1c3ce99b 256 @@ -313926,6 +392408,8 @@ 0 0 0 +4 +5 2 0xcab5 0x4c607304 @@ -313935,6 +392419,8 @@ 1 0 1 +1 +1 0x9f7c 0x45930a53 256 @@ -313942,6 +392428,8 @@ 0 0 0 +3 +1 4 0x9cc8 0xbc480511 @@ -313950,6 +392438,8 @@ 0 0 0 +1 +0 2 0x2f7a 0x2c5209fd @@ -313958,6 +392448,8 @@ 0 0 0 +3 +5 2 0x14f5 0x48f312a7 @@ -313966,6 +392458,8 @@ 0 0 0 +0 +4 4 0x52e 0x13680254 @@ -313974,6 +392468,8 @@ 1 0 0 +3 +0 2 0x726 0x8e1b63e7 @@ -313982,6 +392478,8 @@ 1 0 0 +3 +3 4 0x857 0xa9f0d78a @@ -313990,6 +392488,8 @@ 1 1 0 +0 +5 2 0x3883 0x517de69f @@ -313998,6 +392498,8 @@ 0 0 0 +3 +0 4 0x9c0c 0x8e69ee8a @@ -314007,6 +392509,8 @@ 0 0 2 +2 +2 0x770a 0xfa03f54a 256 @@ -314014,6 +392518,8 @@ 1 0 0 +4 +2 3 0x32a8 0x9663a8e8 @@ -314022,6 +392528,8 @@ 1 1 0 +4 +4 2 0xfec3 0x4e5b75d6 @@ -314030,6 +392538,8 @@ 0 0 0 +2 +0 3 0x79eb 0x44b0630 @@ -314038,6 +392548,8 @@ 1 1 0 +2 +2 3 0x5dc8 0xe7bc922a @@ -314047,6 +392559,8 @@ 0 0 1 +1 +1 0xb46c 0x2e9d5aa7 256 @@ -314054,6 +392568,8 @@ 1 1 0 +4 +5 2 0x8f7 0x2a09c244 @@ -314062,6 +392578,8 @@ 0 0 0 +0 +3 2 0x33a3 0x6d8141f8 @@ -314070,6 +392588,8 @@ 0 0 0 +5 +5 3 0x49f6 0x3d3d7c6d @@ -314078,6 +392598,8 @@ 0 0 0 +5 +3 1 0x1ab2 0x9c2a110a @@ -314086,6 +392608,8 @@ 0 0 0 +1 +2 2 0xb7c5 0xb5ebf516 @@ -314094,6 +392618,8 @@ 0 0 0 +4 +0 1 0xff1a 0xe70ce03b @@ -314102,6 +392628,8 @@ 1 1 0 +4 +0 3 0x2481 0xea403bd8 @@ -314110,6 +392638,8 @@ 0 0 0 +3 +2 1 0x44d5 0xe2648660 @@ -314118,6 +392648,8 @@ 0 0 0 +0 +2 2 0x3873 0x9cfe52e6 @@ -314126,6 +392658,8 @@ 1 0 0 +4 +2 3 0xa4a6 0x39bd9ed8 @@ -314134,6 +392668,8 @@ 1 0 0 +2 +0 4 0x3449 0xa3d81048 @@ -314142,6 +392678,8 @@ 1 0 0 +4 +2 3 0x6327 0x63439f6c @@ -314150,6 +392688,8 @@ 0 0 0 +5 +5 2 0xf3fb 0xf1d91dde @@ -314158,6 +392698,8 @@ 1 1 0 +5 +0 1 0xcdc3 0xb5369c12 @@ -314166,6 +392708,8 @@ 1 0 0 +3 +4 4 0x9a64 0x7e3aa972 @@ -314175,6 +392719,8 @@ 0 0 1 +4 +1 0x8e42 0xdbdd248a 256 @@ -314182,6 +392728,8 @@ 0 0 0 +3 +0 1 0x5066 0x5a96c58d @@ -314190,6 +392738,8 @@ 1 1 0 +3 +2 2 0xb210 0x5f170c5f @@ -314198,6 +392748,8 @@ 1 0 0 +5 +1 3 0x8327 0x2159c5dc @@ -314206,6 +392758,8 @@ 1 1 0 +3 +1 1 0xc173 0x4ba4e1ab @@ -314214,6 +392768,8 @@ 1 0 0 +0 +5 1 0x974a 0xefed3e85 @@ -314223,6 +392779,8 @@ 1 0 2 +0 +2 0x715d 0x844679 256 @@ -314230,6 +392788,8 @@ 1 0 0 +3 +5 1 0xd162 0x8f789c72 @@ -314238,6 +392798,8 @@ 0 0 0 +5 +0 3 0x1643 0x9892d77a @@ -314246,6 +392808,8 @@ 0 0 0 +2 +5 1 0xcd3f 0x49b77e7b @@ -314254,6 +392818,8 @@ 1 0 0 +5 +0 3 0xbef6 0x10aef4aa @@ -314262,6 +392828,8 @@ 1 0 0 +2 +3 1 0xb807 0x76eae1c2 @@ -314270,6 +392838,8 @@ 0 0 0 +2 +4 1 0xa5ce 0xcfcea279 @@ -314278,6 +392848,8 @@ 1 1 0 +5 +1 1 0xf91a 0xcf79a808 @@ -314286,6 +392858,8 @@ 0 0 0 +3 +5 2 0x7a04 0x45a6774 @@ -314295,6 +392869,8 @@ 1 0 3 +1 +3 0xc446 0x33a5174d 256 @@ -314302,6 +392878,8 @@ 1 0 0 +2 +0 3 0x45a2 0x3786e116 @@ -314310,6 +392888,8 @@ 1 1 0 +4 +5 3 0xb2ba 0x52ff5d7a @@ -314319,6 +392899,8 @@ 0 0 1 +3 +1 0x7afe 0xdf580ee6 256 @@ -314327,6 +392909,8 @@ 0 0 1 +3 +1 0xfd25 0xbc42eb1d 256 @@ -314334,6 +392918,8 @@ 0 0 0 +3 +4 1 0x5ad0 0x21fa8cc3 @@ -314343,6 +392929,8 @@ 0 0 1 +5 +1 0xcc4b 0xeeef622c 256 @@ -314351,6 +392939,8 @@ 0 0 3 +4 +3 0xba52 0x91ae663b 256 @@ -314358,6 +392948,8 @@ 1 0 0 +3 +5 2 0x669a 0x7f4d4a23 @@ -314366,6 +392958,8 @@ 1 0 0 +5 +3 4 0xad37 0x31abaa4d @@ -314374,6 +392968,8 @@ 0 0 0 +5 +4 1 0xd724 0xcd871a32 @@ -314382,6 +392978,8 @@ 1 0 0 +2 +2 3 0xcef0 0x1f8a7cd @@ -314390,6 +392988,8 @@ 0 0 0 +2 +0 1 0xcbf6 0x29f4c977 @@ -314398,6 +392998,8 @@ 1 0 0 +0 +5 3 0x23fd 0xebec5fa2 @@ -314406,6 +393008,8 @@ 1 0 0 +4 +2 2 0x853b 0x1986e6eb @@ -314415,6 +393019,8 @@ 0 0 1 +5 +1 0x90c1 0xc934315e 256 @@ -314423,6 +393029,8 @@ 1 0 3 +5 +3 0x7b17 0xa4debcdb 256 @@ -314430,6 +393038,8 @@ 1 1 0 +0 +5 3 0x5bac 0xfafebb72 @@ -314438,6 +393048,8 @@ 0 0 0 +2 +4 4 0x8a42 0x5a8ac16a @@ -314446,6 +393058,8 @@ 1 1 0 +3 +5 2 0x21aa 0xa7e7a465 @@ -314454,6 +393068,8 @@ 1 1 0 +4 +3 2 0x2d8 0x4421a14 @@ -314462,6 +393078,8 @@ 0 0 0 +4 +0 1 0xf911 0x29e1f694 @@ -314470,6 +393088,8 @@ 0 0 0 +0 +4 1 0xe170 0x82a81a1e @@ -314478,6 +393098,8 @@ 1 1 0 +0 +3 3 0xced5 0x72498c5d @@ -314486,6 +393108,8 @@ 0 0 0 +3 +4 1 0x1ed8 0x5b6f7713 @@ -314494,6 +393118,8 @@ 0 0 0 +5 +0 3 0xe94c 0xf617e27b @@ -314502,6 +393128,8 @@ 0 0 0 +1 +0 4 0x3aca 0x998363ae @@ -314510,6 +393138,8 @@ 1 0 0 +5 +1 4 0x220f 0xf0b17d3d @@ -314518,6 +393148,8 @@ 0 0 0 +4 +4 1 0xef54 0xd43d8b68 @@ -314526,6 +393158,8 @@ 0 0 0 +0 +5 1 0x43a4 0x36d2500c @@ -314534,6 +393168,8 @@ 0 0 0 +0 +3 1 0xc1d3 0x6f41fbf2 @@ -314542,6 +393178,8 @@ 1 0 0 +2 +0 3 0x3e40 0xf15c8787 @@ -314550,6 +393188,8 @@ 0 0 0 +4 +5 2 0xefdb 0x278a7bf1 @@ -314558,6 +393198,8 @@ 1 0 0 +5 +1 4 0x2ff9 0x7554e484 @@ -314566,6 +393208,8 @@ 0 0 0 +3 +0 4 0x2138 0x5a6a19fa @@ -314574,6 +393218,8 @@ 0 0 0 +3 +4 4 0x2e97 0xb9aa6a87 @@ -314583,6 +393229,8 @@ 1 0 2 +5 +2 0x65a5 0x7f7ab874 256 @@ -314590,6 +393238,8 @@ 0 0 0 +1 +4 2 0x268e 0x898f0077 @@ -314598,6 +393248,8 @@ 1 0 0 +0 +3 1 0xc7c8 0x8e7fad2b @@ -314606,6 +393258,8 @@ 1 1 0 +1 +2 2 0xc0a8 0x817e4085 @@ -314614,6 +393268,8 @@ 0 0 0 +4 +4 1 0x414f 0x549143c9 @@ -314622,6 +393278,8 @@ 0 0 0 +5 +3 2 0x4826 0x4533d1d @@ -314630,6 +393288,8 @@ 0 0 0 +4 +1 2 0x4532 0x22fad3f @@ -314639,6 +393299,8 @@ 0 0 2 +4 +2 0xf406 0x29b61ec1 256 @@ -314646,6 +393308,8 @@ 1 1 0 +4 +0 1 0xa4a1 0x802ddd44 @@ -314654,6 +393318,8 @@ 0 0 0 +2 +3 4 0x30ca 0x3c314da5 @@ -314662,6 +393328,8 @@ 0 0 0 +1 +3 3 0x9ec3 0x9fe55290 @@ -314670,6 +393338,8 @@ 0 0 0 +3 +0 2 0x44e9 0x9031dc0d @@ -314679,6 +393349,8 @@ 0 0 1 +1 +1 0x384e 0xb146d145 256 @@ -314686,6 +393358,8 @@ 0 0 0 +5 +1 2 0xcce9 0x6ab4998 @@ -314694,6 +393368,8 @@ 1 0 0 +1 +0 4 0xcba2 0xed037429 @@ -314703,6 +393379,8 @@ 0 0 4 +5 +4 0xe83f 0xd78652f1 256 @@ -314710,6 +393388,8 @@ 0 0 0 +1 +4 3 0x8e6f 0x93df3aac @@ -314718,6 +393398,8 @@ 1 1 0 +5 +2 1 0x291e 0x2624044a @@ -314726,6 +393408,8 @@ 0 0 0 +5 +2 1 0x35f1 0x4caff2a2 @@ -314734,6 +393418,8 @@ 1 1 0 +0 +4 2 0xe5f7 0xaf4a7aaa @@ -314742,6 +393428,8 @@ 0 0 0 +1 +4 4 0x270e 0x575202f0 @@ -314750,6 +393438,8 @@ 0 0 0 +5 +3 4 0xe81e 0x3ffe105d @@ -314758,6 +393448,8 @@ 1 1 0 +2 +0 1 0xa886 0x74e34770 @@ -314766,6 +393458,8 @@ 0 0 0 +5 +4 2 0x8225 0x4779e05 @@ -314775,6 +393469,8 @@ 0 0 2 +0 +2 0xcc5f 0x5473a598 256 @@ -314782,6 +393478,8 @@ 1 0 0 +2 +4 4 0xebd4 0x6ce3ef34 @@ -314790,6 +393488,8 @@ 1 0 0 +2 +4 1 0x45ad 0xe2781476 @@ -314799,6 +393499,8 @@ 1 0 3 +5 +3 0x773f 0xadd46a7c 256 @@ -314806,6 +393508,8 @@ 0 0 0 +5 +3 2 0x693c 0x77462802 @@ -314815,6 +393519,8 @@ 0 0 3 +4 +3 0x5c04 0x4639c1ff 256 @@ -314823,6 +393529,8 @@ 0 0 4 +2 +4 0x178e 0x61180ebb 256 @@ -314830,6 +393538,8 @@ 1 0 0 +0 +3 2 0xfe70 0xa73081ed @@ -314838,6 +393548,8 @@ 0 0 0 +0 +5 3 0x58d2 0x7778e5d9 @@ -314847,6 +393559,8 @@ 0 0 4 +3 +4 0x4b6f 0x6c392c79 256 @@ -314854,6 +393568,8 @@ 0 0 0 +2 +1 3 0xd775 0xa10eab35 @@ -314862,6 +393578,8 @@ 0 0 0 +3 +3 1 0x64cf 0xcdbe5d9a @@ -314870,6 +393588,8 @@ 1 1 0 +2 +0 3 0xc438 0x4f4d80fb @@ -314878,6 +393598,8 @@ 1 0 0 +1 +2 3 0x3ad0 0x873a97d9 @@ -314886,6 +393608,8 @@ 1 1 0 +5 +0 2 0xb1a0 0x7dbecf97 @@ -314894,6 +393618,8 @@ 0 0 0 +5 +4 3 0x2a6b 0xb74ef256 @@ -314902,6 +393628,8 @@ 1 1 0 +3 +5 1 0x9b92 0xb0aac3dc @@ -314910,6 +393638,8 @@ 0 0 0 +3 +0 2 0xd172 0x76a29230 @@ -314918,6 +393648,8 @@ 1 0 0 +0 +3 3 0xcbf7 0x5c144eb2 @@ -314926,6 +393658,8 @@ 0 0 0 +0 +2 4 0x2d58 0x9f267569 @@ -314935,6 +393669,8 @@ 1 0 4 +2 +4 0x5c21 0x15b25ad1 256 @@ -314942,6 +393678,8 @@ 0 0 0 +1 +1 2 0xd273 0x6312b910 @@ -314951,6 +393689,8 @@ 1 0 3 +2 +3 0x68d2 0x944040d1 256 @@ -314959,6 +393699,8 @@ 0 0 2 +3 +2 0xde6 0x6257517 256 @@ -314966,6 +393708,8 @@ 0 0 0 +5 +1 3 0x98bd 0xde97eb62 @@ -314974,6 +393718,8 @@ 0 0 0 +2 +1 3 0xed65 0x152258f4 @@ -314982,6 +393728,8 @@ 1 0 0 +4 +0 2 0x3808 0x6fe88259 @@ -314990,6 +393738,8 @@ 1 1 0 +1 +0 2 0xb550 0xa5b9bc54 @@ -314998,6 +393748,8 @@ 0 0 0 +3 +2 4 0x7304 0xf125558c @@ -315007,6 +393759,8 @@ 0 0 1 +1 +1 0x4282 0xf7ab4008 256 @@ -315014,6 +393768,8 @@ 1 0 0 +4 +0 1 0x9a89 0xc5b22187 @@ -315022,6 +393778,8 @@ 0 0 0 +4 +2 3 0x1831 0xdc1ebc @@ -315030,6 +393788,8 @@ 1 1 0 +2 +2 4 0xd20f 0x6818de12 @@ -315038,6 +393798,8 @@ 1 0 0 +2 +4 3 0xd989 0x472f0753 @@ -315046,6 +393808,8 @@ 0 0 0 +5 +1 1 0xc46a 0x8089779b @@ -315054,6 +393818,8 @@ 0 0 0 +2 +3 4 0x3fc4 0x161f9a1f @@ -315062,6 +393828,8 @@ 1 1 0 +5 +4 1 0x870a 0x6d3f0b1e @@ -315071,6 +393839,8 @@ 0 0 4 +3 +4 0x7d6 0x45ceb817 256 @@ -315078,6 +393848,8 @@ 1 1 0 +0 +0 2 0x446c 0x89110521 @@ -315087,6 +393859,8 @@ 0 0 3 +1 +3 0x504e 0x516e826e 256 @@ -315094,6 +393868,8 @@ 1 1 0 +4 +4 1 0xcc75 0x3443581c @@ -315102,6 +393878,8 @@ 0 0 0 +5 +4 2 0xcfd0 0x303075ed @@ -315111,6 +393889,8 @@ 0 0 2 +2 +2 0xb2a7 0xc833139e 256 @@ -315118,6 +393898,8 @@ 1 0 0 +5 +2 2 0x4961 0xd563445c @@ -315126,6 +393908,8 @@ 0 0 0 +5 +4 3 0x9dde 0x325c82fe @@ -315134,6 +393918,8 @@ 1 1 0 +1 +2 4 0xeb81 0x28f8f81d @@ -315142,6 +393928,8 @@ 1 0 0 +5 +3 2 0xdbb2 0x83228cf8 @@ -315150,6 +393938,8 @@ 1 1 0 +1 +3 3 0xc097 0xb4875e6a @@ -315158,6 +393948,8 @@ 1 0 0 +2 +3 4 0xe4ce 0xae4487 @@ -315166,6 +393958,8 @@ 1 1 0 +3 +1 1 0xcc02 0x99dbd5 @@ -315174,6 +393968,8 @@ 1 1 0 +1 +3 2 0xeca6 0x353c373d @@ -315182,6 +393978,8 @@ 1 1 0 +0 +5 1 0x2978 0xf0fbbd05 @@ -315190,6 +393988,8 @@ 0 0 0 +0 +3 1 0xa7fc 0xd3cd292f @@ -315198,6 +393998,8 @@ 1 1 0 +5 +2 1 0xe565 0x5587deda @@ -315207,6 +394009,8 @@ 0 0 3 +0 +3 0xedcc 0x6db3e464 256 @@ -315214,6 +394018,8 @@ 0 0 0 +4 +4 1 0xd606 0x28221b8b @@ -315222,6 +394028,8 @@ 1 0 0 +2 +4 3 0x1016 0x14eca7c4 @@ -315230,6 +394038,8 @@ 1 0 0 +5 +0 2 0x18d8 0xe0aa6a9d @@ -315239,6 +394049,8 @@ 0 0 2 +4 +2 0xb6cd 0xda4caed7 256 @@ -315246,6 +394058,8 @@ 0 0 0 +2 +3 1 0x9edb 0x564b1ceb @@ -315255,6 +394069,8 @@ 0 0 3 +4 +3 0xe430 0x8b322bd1 256 @@ -315262,6 +394078,8 @@ 0 0 0 +5 +5 4 0xb381 0x4fbba749 @@ -315270,6 +394088,8 @@ 1 1 0 +0 +2 3 0xe2e5 0xee63a818 @@ -315278,6 +394098,8 @@ 0 0 0 +4 +1 3 0x9b63 0xd9441d05 @@ -315286,6 +394108,8 @@ 0 0 0 +4 +0 3 0xb12a 0x2af24bb4 @@ -315294,6 +394118,8 @@ 1 0 0 +5 +4 2 0xb5d3 0x60bf14b0 @@ -315302,6 +394128,8 @@ 1 1 0 +1 +3 4 0xf993 0x31e33d64 @@ -315311,6 +394139,8 @@ 0 0 3 +3 +3 0x94a0 0x2fdc367a 256 @@ -315318,6 +394148,8 @@ 1 1 0 +4 +4 2 0xd765 0xc742b54 @@ -315326,6 +394158,8 @@ 0 0 0 +1 +5 2 0xae68 0xef15a054 @@ -315335,6 +394169,8 @@ 0 0 1 +5 +1 0x4bbd 0x3a48c3d4 256 @@ -315343,6 +394179,8 @@ 0 0 1 +3 +1 0xcefc 0x118cb258 256 @@ -315350,6 +394188,8 @@ 0 0 0 +1 +4 4 0xa289 0xfa89fa46 @@ -315358,6 +394198,8 @@ 1 1 0 +2 +3 4 0x9cdc 0xe5bca779 @@ -315367,6 +394209,8 @@ 0 0 2 +3 +2 0x8369 0xb44e1d52 256 @@ -315374,6 +394218,8 @@ 1 0 0 +4 +4 3 0xd874 0xabee2776 @@ -315382,6 +394228,8 @@ 0 0 0 +2 +2 3 0xdbfb 0x86de4189 @@ -315391,6 +394239,8 @@ 0 0 2 +1 +2 0x2e25 0x3f5daa61 256 @@ -315398,6 +394248,8 @@ 1 0 0 +1 +1 3 0xa271 0x5cc672ac @@ -315406,6 +394258,8 @@ 0 0 0 +5 +1 2 0xd2b7 0x3032bab @@ -315415,6 +394269,8 @@ 0 0 3 +3 +3 0xfcf3 0xed91d7bf 256 @@ -315422,6 +394278,8 @@ 1 1 0 +1 +0 2 0xa8af 0x336cf088 @@ -315430,6 +394288,8 @@ 1 0 0 +1 +1 4 0xbfbb 0x71e1a009 @@ -315438,6 +394298,8 @@ 1 0 0 +4 +1 3 0x89f7 0x45bf413c @@ -315446,6 +394308,8 @@ 1 0 0 +4 +1 2 0x51f5 0x69dee5cd @@ -315455,6 +394319,8 @@ 0 0 2 +1 +2 0x12f6 0x8002f0b5 256 @@ -315462,6 +394328,8 @@ 0 0 0 +5 +0 4 0x6a5f 0xd8c1a1c1 @@ -315470,6 +394338,8 @@ 0 0 0 +4 +2 1 0xe1d1 0x9ab9d78b @@ -315478,6 +394348,8 @@ 1 1 0 +0 +4 1 0xebf9 0x696921e9 @@ -315486,6 +394358,8 @@ 0 0 0 +5 +1 4 0x5e61 0x888ee5c7 @@ -315494,6 +394368,8 @@ 0 0 0 +3 +5 1 0xfea7 0x80f3080b @@ -315502,6 +394378,8 @@ 1 1 0 +1 +4 3 0x11bf 0x3801c286 @@ -315510,6 +394388,8 @@ 0 0 0 +3 +2 1 0x7947 0xff168a9 @@ -315518,6 +394398,8 @@ 0 0 0 +0 +3 2 0xdd4b 0x1f08e0e9 @@ -315526,6 +394408,8 @@ 1 0 0 +5 +4 2 0xe2f2 0xcbd0de85 @@ -315535,6 +394419,8 @@ 1 0 3 +1 +3 0x3dc1 0x4eef6beb 256 @@ -315542,6 +394428,8 @@ 1 1 0 +5 +0 4 0x7e3e 0x1788e36e @@ -315550,6 +394438,8 @@ 1 1 0 +2 +3 3 0xcc4 0x556d8e0f @@ -315558,6 +394448,8 @@ 1 1 0 +0 +1 4 0xff02 0x4b470e59 @@ -315566,6 +394458,8 @@ 0 0 0 +0 +0 3 0xfcd6 0x1bb75480 @@ -315574,6 +394468,8 @@ 0 0 0 +1 +2 4 0x3f96 0xe5a68791 @@ -315582,6 +394478,8 @@ 1 1 0 +4 +0 2 0x65ab 0xf2196816 @@ -315590,6 +394488,8 @@ 1 0 0 +2 +0 1 0xa7ec 0x833969a2 @@ -315598,6 +394498,8 @@ 0 0 0 +2 +5 4 0xee11 0x156a8b2c @@ -315606,6 +394508,8 @@ 0 0 0 +0 +2 2 0xd682 0x652450a1 @@ -315614,6 +394518,8 @@ 0 0 0 +2 +3 1 0x3430 0xc75f61cf @@ -315622,6 +394528,8 @@ 0 0 0 +5 +1 4 0x193c 0xe3a51bb @@ -315630,6 +394538,8 @@ 1 1 0 +5 +2 3 0xe99f 0xce0454a @@ -315638,6 +394548,8 @@ 1 1 0 +3 +1 4 0xb7d5 0x57682708 @@ -315646,6 +394558,8 @@ 1 0 0 +0 +2 1 0x63c1 0x8e832ed3 @@ -315654,6 +394568,8 @@ 1 0 0 +1 +2 2 0x95d8 0x2bca1f81 @@ -315662,6 +394578,8 @@ 1 1 0 +0 +2 1 0x4db9 0xe085ae32 @@ -315670,6 +394588,8 @@ 0 0 0 +3 +1 4 0xcd27 0x5f8c08bd @@ -315679,6 +394599,8 @@ 1 0 1 +2 +1 0xe38b 0x8a889d6e 256 @@ -315686,6 +394608,8 @@ 0 0 0 +3 +2 1 0x9954 0x9923f1b1 @@ -315694,6 +394618,8 @@ 0 0 0 +0 +0 2 0x7e88 0xfe7ef962 @@ -315702,6 +394628,8 @@ 1 0 0 +1 +1 3 0x3a4f 0x213e4956 @@ -315710,6 +394638,8 @@ 1 0 0 +5 +2 2 0xc936 0x276c646d @@ -315718,6 +394648,8 @@ 0 0 0 +1 +5 2 0xc612 0x17447e7f @@ -315726,6 +394658,8 @@ 0 0 0 +2 +0 3 0x78d3 0x7173fd7c @@ -315734,6 +394668,8 @@ 1 1 0 +5 +0 4 0xa8ff 0x5a91acaa @@ -315742,6 +394678,8 @@ 0 0 0 +1 +1 4 0x51d1 0x721c20e4 @@ -315750,6 +394688,8 @@ 0 0 0 +5 +3 1 0x4334 0x62fe9781 @@ -315758,6 +394698,8 @@ 1 1 0 +5 +0 4 0x21e 0x59ed7383 @@ -315766,6 +394708,8 @@ 1 1 0 +0 +1 2 0x8db 0xdd412ef @@ -315774,6 +394718,8 @@ 1 1 0 +3 +3 4 0x691e 0x4585691f @@ -315782,6 +394728,8 @@ 1 1 0 +1 +3 3 0x8fae 0x79e8dc5e @@ -315790,6 +394738,8 @@ 1 1 0 +1 +2 2 0xd2c0 0x2806d482 @@ -315798,6 +394748,8 @@ 0 0 0 +3 +2 1 0xaaac 0xc0a5b0b2 @@ -315806,6 +394758,8 @@ 0 0 0 +2 +4 3 0xd1a1 0xc9799984 @@ -315814,6 +394768,8 @@ 1 0 0 +3 +1 2 0x9191 0xb43fb177 @@ -315822,6 +394778,8 @@ 0 0 0 +1 +2 4 0xb51 0x877b5ed4 @@ -315830,6 +394788,8 @@ 1 1 0 +0 +5 1 0xa828 0x19646ec1 @@ -315838,6 +394798,8 @@ 1 0 0 +3 +0 4 0x410c 0xe30dc68 @@ -315846,6 +394808,8 @@ 1 0 0 +1 +2 4 0x8fc1 0x48b5b8a1 @@ -315854,6 +394818,8 @@ 0 0 0 +5 +0 2 0xedb9 0x2874d96f @@ -315862,6 +394828,8 @@ 1 1 0 +0 +3 4 0xacc2 0x8f52e1dc @@ -315870,6 +394838,8 @@ 0 0 0 +3 +5 1 0xd197 0xcad43db7 @@ -315878,6 +394848,8 @@ 1 1 0 +1 +0 2 0x8428 0xb9b34ccc @@ -315886,6 +394858,8 @@ 0 0 0 +0 +2 4 0x4594 0x104da54a @@ -315895,6 +394869,8 @@ 1 0 2 +2 +2 0x9cc3 0x3d347bf1 256 @@ -315902,6 +394878,8 @@ 0 0 0 +5 +2 1 0xa8b0 0x673dde01 @@ -315911,6 +394889,8 @@ 1 0 3 +3 +3 0x7323 0x28837568 256 @@ -315919,6 +394899,8 @@ 1 0 4 +3 +4 0x9db4 0x2c3962bc 256 @@ -315926,6 +394908,8 @@ 1 0 0 +3 +0 2 0xb301 0xecba2b24 @@ -315935,6 +394919,8 @@ 0 0 1 +5 +1 0xf32a 0x66638456 256 @@ -315942,6 +394928,8 @@ 1 0 0 +0 +1 2 0x96c3 0x71ddc700 @@ -315950,6 +394938,8 @@ 1 1 0 +1 +4 2 0x7de2 0x92bf3080 @@ -315958,6 +394948,8 @@ 0 0 0 +2 +5 4 0xc698 0x7290e86 @@ -315966,6 +394958,8 @@ 0 0 0 +0 +5 2 0x2f80 0xf2beb9fc @@ -315974,6 +394968,8 @@ 0 0 0 +3 +1 1 0x71c5 0xf4a73249 @@ -315982,6 +394978,8 @@ 1 0 0 +0 +0 4 0x2aa3 0xde503c50 @@ -315990,6 +394988,8 @@ 0 0 0 +2 +0 1 0xecbc 0x68af6d03 @@ -315998,6 +394998,8 @@ 1 0 0 +4 +2 2 0xe066 0xf9ce6fe4 @@ -316006,6 +395008,8 @@ 0 0 0 +5 +5 4 0x16f5 0x7bf3ac34 @@ -316014,6 +395018,8 @@ 1 0 0 +4 +0 1 0xeb58 0xe1714370 @@ -316022,6 +395028,8 @@ 1 1 0 +2 +0 3 0xcc56 0x23766cd5 @@ -316030,6 +395038,8 @@ 0 0 0 +5 +5 3 0x9279 0x97e28a1c @@ -316038,6 +395048,8 @@ 0 0 0 +2 +2 4 0xd290 0x2f116af @@ -316046,6 +395058,8 @@ 0 0 0 +5 +3 4 0x29c8 0xd76ddf39 @@ -316054,6 +395068,8 @@ 1 0 0 +2 +4 4 0x4677 0x8010752b @@ -316062,6 +395078,8 @@ 1 0 0 +5 +3 3 0xbbd3 0x5f0b34f0 @@ -316070,6 +395088,8 @@ 0 0 0 +1 +3 2 0xba1 0xda382eda @@ -316078,6 +395098,8 @@ 0 0 0 +3 +0 1 0xe4 0x55d0c9d2 @@ -316086,6 +395108,8 @@ 1 1 0 +4 +3 3 0x45ab 0x58c70610 @@ -316094,6 +395118,8 @@ 0 0 0 +3 +5 2 0x6c84 0xe6b4745e @@ -316102,6 +395128,8 @@ 1 0 0 +2 +5 4 0x9229 0xf0a47963 @@ -316110,6 +395138,8 @@ 1 1 0 +2 +0 4 0xedef 0x3ab683d9 @@ -316118,6 +395148,8 @@ 0 0 0 +4 +2 2 0x46aa 0x9924dffe @@ -316126,6 +395158,8 @@ 0 0 0 +1 +2 2 0x57cc 0x1237e663 @@ -316134,6 +395168,8 @@ 0 0 0 +4 +4 3 0x635e 0xbb040a77 @@ -316142,6 +395178,8 @@ 1 1 0 +2 +3 1 0x5770 0x879758c9 @@ -316151,6 +395189,8 @@ 0 0 3 +0 +3 0x5555 0xe6e7a21e 256 @@ -316158,6 +395198,8 @@ 0 0 0 +3 +0 2 0x7772 0x3ae2d1ae @@ -316167,6 +395209,8 @@ 0 0 2 +1 +2 0x1fcd 0xabbd5fa1 256 @@ -316174,6 +395218,8 @@ 0 0 0 +4 +0 1 0xbf1f 0xfa8ac62 @@ -316183,6 +395229,8 @@ 1 0 2 +2 +2 0x60f9 0xacf6d113 256 @@ -316191,6 +395239,8 @@ 0 0 2 +4 +2 0x29ce 0xeef74669 256 @@ -316198,6 +395248,8 @@ 1 0 0 +5 +1 3 0x6e68 0xf81758d3 @@ -316206,6 +395258,8 @@ 1 0 0 +1 +5 4 0xef7b 0x428134c8 @@ -316214,6 +395268,8 @@ 1 1 0 +2 +1 4 0x93cf 0xb69219fa @@ -316222,6 +395278,8 @@ 0 0 0 +3 +1 2 0xd51 0xfd2cf50a @@ -316231,6 +395289,8 @@ 1 0 1 +1 +1 0x2067 0xa8e75087 256 @@ -316239,6 +395299,8 @@ 0 0 2 +2 +2 0x95d4 0xad954460 256 @@ -316246,6 +395308,8 @@ 1 0 0 +3 +0 1 0xb6ca 0xe83184c5 @@ -316254,6 +395318,8 @@ 1 1 0 +5 +5 4 0xad97 0x1c311e6b @@ -316262,6 +395328,8 @@ 1 1 0 +2 +5 3 0x83f4 0x4f7f3258 @@ -316270,6 +395338,8 @@ 0 0 0 +4 +3 3 0x167e 0x310d1e44 @@ -316278,6 +395348,8 @@ 0 0 0 +0 +1 3 0x67e4 0x713f52c0 @@ -316286,6 +395358,8 @@ 1 1 0 +5 +1 1 0x4073 0x9765201f @@ -316295,6 +395369,8 @@ 0 0 1 +4 +1 0x9548 0xc227142b 256 @@ -316302,6 +395378,8 @@ 1 1 0 +5 +0 2 0xa44e 0xcbd69aaf @@ -316310,6 +395388,8 @@ 1 1 0 +3 +4 1 0x115e 0x901c008c @@ -316318,6 +395398,8 @@ 1 1 0 +5 +0 3 0xd9dc 0x8ff0cec @@ -316326,6 +395408,8 @@ 0 0 0 +3 +1 1 0x8c9d 0xe0184954 @@ -316334,6 +395418,8 @@ 0 0 0 +5 +5 4 0x583d 0xf29400c9 @@ -316342,6 +395428,8 @@ 0 0 0 +2 +1 3 0xfce1 0xa33ecbd2 @@ -316350,6 +395438,8 @@ 0 0 0 +0 +0 3 0xf1b 0xda9d30e4 @@ -316358,6 +395448,8 @@ 1 1 0 +1 +3 3 0x1504 0xcadce63d @@ -316366,6 +395458,8 @@ 1 0 0 +0 +2 2 0xc754 0xac9557a7 @@ -316375,6 +395469,8 @@ 0 0 1 +5 +1 0xb2 0x68ba353 256 @@ -316382,6 +395478,8 @@ 0 0 0 +5 +5 4 0x1b4c 0xc60738ec @@ -316390,6 +395488,8 @@ 0 0 0 +5 +5 1 0xfc26 0xe42f5ee1 @@ -316398,6 +395498,8 @@ 0 0 0 +2 +1 1 0xfa9e 0xa97dfd05 @@ -316406,6 +395508,8 @@ 1 1 0 +3 +3 4 0xbc0d 0x721ba802 @@ -316414,6 +395518,8 @@ 1 0 0 +1 +5 2 0x9637 0x89c3e5b2 @@ -316422,6 +395528,8 @@ 1 1 0 +5 +3 2 0x1e88 0x4d9fe543 @@ -316430,6 +395538,8 @@ 1 0 0 +3 +5 1 0x33dc 0xb77c8d0d @@ -316438,6 +395548,8 @@ 1 1 0 +1 +2 2 0x1ca1 0xc996cdc0 @@ -316447,6 +395559,8 @@ 0 0 2 +0 +2 0x6fe9 0x2f1a761 256 @@ -316454,6 +395568,8 @@ 0 0 0 +0 +1 1 0xa7b4 0x2a8f7bf7 @@ -316462,6 +395578,8 @@ 1 1 0 +4 +4 2 0x2538 0x246745c1 @@ -316470,6 +395588,8 @@ 0 0 0 +4 +3 1 0xfdc7 0xe58e5e42 @@ -316478,6 +395598,8 @@ 1 0 0 +4 +5 1 0xc5f 0x388390de @@ -316486,6 +395608,8 @@ 1 1 0 +2 +0 3 0xc627 0x2ce0ad1d @@ -316495,6 +395619,8 @@ 0 0 1 +1 +1 0x9cf2 0xb9711079 256 @@ -316502,6 +395628,8 @@ 1 0 0 +0 +4 3 0xd80d 0x7d602d37 @@ -316510,6 +395638,8 @@ 0 0 0 +2 +0 1 0x4778 0x6d26015c @@ -316519,6 +395649,8 @@ 0 0 1 +1 +1 0x710d 0x59853e65 256 @@ -316526,6 +395658,8 @@ 1 1 0 +0 +3 3 0x1190 0x144ba73a @@ -316534,6 +395668,8 @@ 1 1 0 +3 +0 1 0xa146 0xe7fa20d8 @@ -316543,6 +395679,8 @@ 1 0 3 +0 +3 0x73ae 0xc6a4ba18 256 @@ -316550,6 +395688,8 @@ 1 1 0 +1 +4 3 0x7cb3 0x563db195 @@ -316558,6 +395698,8 @@ 0 0 0 +0 +3 1 0x4d59 0x8087f3b3 @@ -316566,6 +395708,8 @@ 0 0 0 +5 +4 3 0xffec 0x7bb78c70 @@ -316574,6 +395718,8 @@ 0 0 0 +1 +0 2 0x8e0f 0xdff9762a @@ -316582,6 +395728,8 @@ 1 0 0 +3 +2 1 0x6560 0xbef6f800 @@ -316590,6 +395738,8 @@ 1 0 0 +2 +0 1 0xe9e0 0x2b997f @@ -316598,6 +395748,8 @@ 1 0 0 +3 +4 4 0xe1c0 0xa9cd039 @@ -316606,6 +395758,8 @@ 0 0 0 +1 +1 2 0x79b8 0xc49079f5 @@ -316614,6 +395768,8 @@ 1 0 0 +2 +0 4 0x6dbc 0x4e91a9f4 @@ -316622,6 +395778,8 @@ 0 0 0 +3 +2 2 0x51c0 0x2fefd5d3 @@ -316630,6 +395788,8 @@ 0 0 0 +2 +3 4 0x91d3 0x77607d @@ -316638,6 +395798,8 @@ 0 0 0 +4 +4 3 0xcbbb 0xf484672e @@ -316646,6 +395808,8 @@ 1 0 0 +5 +1 4 0x195f 0xa203ee34 @@ -316654,6 +395818,8 @@ 0 0 0 +2 +1 4 0xe24a 0xd0127b2b @@ -316662,6 +395828,8 @@ 1 1 0 +2 +0 4 0x8968 0x9b7261a3 @@ -316670,6 +395838,8 @@ 1 0 0 +0 +4 1 0x7d89 0x73a693c6 @@ -316678,6 +395848,8 @@ 0 0 0 +1 +1 3 0x6ad2 0x41dcb296 @@ -316686,6 +395858,8 @@ 1 0 0 +2 +4 3 0x9e70 0x8bd9b9e7 @@ -316695,6 +395869,8 @@ 0 0 1 +3 +1 0xeae1 0xb23a5564 256 @@ -316702,6 +395878,8 @@ 0 0 0 +1 +4 2 0xfe17 0xcc8631cc @@ -316710,6 +395888,8 @@ 0 0 0 +1 +1 3 0x5d3e 0xf3365ff0 @@ -316718,6 +395898,8 @@ 0 0 0 +0 +4 2 0xbc60 0x78afa3f4 @@ -316726,6 +395908,8 @@ 1 0 0 +1 +0 4 0xd22c 0x6ffb8719 @@ -316734,6 +395918,8 @@ 1 1 0 +0 +2 1 0x1e3a 0xc6c246c2 @@ -316743,6 +395929,8 @@ 0 0 1 +4 +1 0x5d4c 0xa3048f08 256 @@ -316750,6 +395938,8 @@ 1 1 0 +1 +1 2 0xc2a9 0x56e1ccdd @@ -316758,6 +395948,8 @@ 0 0 0 +3 +3 2 0xe2bc 0xe4b615f4 @@ -316766,6 +395958,8 @@ 0 0 0 +4 +0 3 0x57ac 0xf6734e11 @@ -316774,6 +395968,8 @@ 1 1 0 +5 +5 1 0xa9bf 0xb47b17c8 @@ -316782,6 +395978,8 @@ 0 0 0 +0 +3 3 0x60e5 0xd031e163 @@ -316790,6 +395988,8 @@ 1 0 0 +3 +1 1 0xf7d9 0xbda73c5a @@ -316798,6 +395998,8 @@ 0 0 0 +5 +4 1 0xca2a 0x22cde972 @@ -316806,6 +396008,8 @@ 0 0 0 +5 +2 2 0xbf15 0x65374cfb @@ -316814,6 +396018,8 @@ 0 0 0 +1 +0 2 0x544d 0x2292092f @@ -316822,6 +396028,8 @@ 0 0 0 +0 +0 3 0x37d9 0x110b42ed @@ -316830,6 +396038,8 @@ 1 1 0 +5 +3 4 0xdc5b 0x98db1238 @@ -316838,6 +396048,8 @@ 1 1 0 +5 +4 1 0x833 0x803d93b8 @@ -316846,6 +396058,8 @@ 1 1 0 +4 +2 3 0x94ae 0xb8f2fbb6 @@ -316854,6 +396068,8 @@ 1 1 0 +5 +0 1 0xf28b 0x21968ea7 @@ -316862,6 +396078,8 @@ 0 0 0 +3 +0 2 0x4089 0x68ec422a @@ -316870,6 +396088,8 @@ 0 0 0 +5 +1 2 0xfdc 0xd0639328 @@ -316879,6 +396099,8 @@ 0 0 3 +1 +3 0x4839 0x3922601 256 @@ -316886,6 +396108,8 @@ 0 0 0 +3 +0 2 0xab75 0x8250e2d2 @@ -316894,6 +396118,8 @@ 1 1 0 +4 +1 2 0xd17d 0x7d2b1351 @@ -316902,6 +396128,8 @@ 1 0 0 +3 +0 4 0xb004 0xab48d84f @@ -316911,6 +396139,8 @@ 0 0 4 +1 +4 0x3124 0xef855628 256 @@ -316918,6 +396148,8 @@ 1 0 0 +4 +1 2 0xb839 0x2566ad1c @@ -316926,6 +396158,8 @@ 0 0 0 +0 +4 1 0x81f3 0x12439dca @@ -316934,6 +396168,8 @@ 0 0 0 +5 +3 3 0xcfc1 0x3309ce55 @@ -316943,6 +396179,8 @@ 0 0 2 +4 +2 0xb5f1 0x45c1e6ac 256 @@ -316950,6 +396188,8 @@ 0 0 0 +1 +1 3 0xcab5 0x8d032bca @@ -316958,6 +396198,8 @@ 0 0 0 +0 +3 3 0xf38b 0xb3595bba @@ -316966,6 +396208,8 @@ 0 0 0 +0 +3 4 0x6acc 0x6f941748 @@ -316975,6 +396219,8 @@ 0 0 1 +4 +1 0x8b83 0x270e6d25 256 @@ -316982,6 +396228,8 @@ 1 1 0 +2 +4 4 0x24af 0xab540abd @@ -316990,6 +396238,8 @@ 1 1 0 +4 +2 3 0xcbd 0xb30c26db @@ -316998,6 +396248,8 @@ 0 0 0 +4 +0 2 0xcc53 0x7817fbfc @@ -317006,6 +396258,8 @@ 0 0 0 +5 +5 4 0x9211 0x8895b482 @@ -317014,6 +396268,8 @@ 0 0 0 +0 +1 4 0xe9e8 0x51cbc331 @@ -317023,6 +396279,8 @@ 0 0 4 +2 +4 0x61ed 0xdc8ca17 256 @@ -317030,6 +396288,8 @@ 1 1 0 +4 +2 2 0x485e 0x86b52a1e @@ -317038,6 +396298,8 @@ 1 0 0 +4 +3 3 0xc8d3 0x1311ee23 @@ -317047,6 +396309,8 @@ 1 0 3 +5 +3 0x8ccb 0x49c48c17 256 @@ -317055,6 +396319,8 @@ 0 0 1 +4 +1 0xedd8 0xf3671b8e 256 @@ -317063,6 +396329,8 @@ 0 0 1 +1 +1 0x9dab 0xa07a6bfa 256 @@ -317070,6 +396338,8 @@ 1 0 0 +1 +1 3 0x6bd9 0xeca10d70 @@ -317078,6 +396348,8 @@ 1 1 0 +5 +1 3 0xd26d 0xb0dba4bd @@ -317087,6 +396359,8 @@ 0 0 1 +2 +1 0xdcdb 0xfb8cc3b8 256 @@ -317094,6 +396368,8 @@ 0 0 0 +5 +1 4 0x9126 0xcc8e7f6a @@ -317103,6 +396379,8 @@ 0 0 2 +3 +2 0x4493 0xcba919d1 256 @@ -317110,6 +396388,8 @@ 1 0 0 +1 +2 4 0xed8b 0xa2254206 @@ -317118,6 +396398,8 @@ 0 0 0 +4 +3 3 0x1c95 0x9b18f30c @@ -317126,6 +396408,8 @@ 0 0 0 +3 +4 4 0x2e0c 0x4f2533dc @@ -317134,6 +396418,8 @@ 0 0 0 +4 +0 2 0xcf9e 0x61643abf @@ -317143,6 +396429,8 @@ 1 0 1 +5 +1 0x163d 0x3039a02b 256 @@ -317150,6 +396438,8 @@ 1 0 0 +0 +3 1 0xec6c 0xed57fc21 @@ -317158,6 +396448,8 @@ 0 0 0 +5 +5 3 0x4b46 0x4f2b0839 @@ -317166,6 +396458,8 @@ 0 0 0 +0 +3 4 0xff7 0xd304c525 @@ -317174,6 +396468,8 @@ 1 1 0 +1 +3 3 0x372d 0x1ebb7fe0 @@ -317182,6 +396478,8 @@ 1 0 0 +5 +2 1 0x75a0 0xd2a21024 @@ -317190,6 +396488,8 @@ 1 0 0 +0 +1 3 0x7588 0x54c14473 @@ -317198,6 +396498,8 @@ 0 0 0 +3 +1 4 0xd941 0x7d35d796 @@ -317206,6 +396508,8 @@ 0 0 0 +0 +5 2 0x87f3 0x61c61211 @@ -317215,6 +396519,8 @@ 1 0 4 +5 +4 0xa8a0 0x219ee546 256 @@ -317223,6 +396529,8 @@ 0 0 2 +2 +2 0xc956 0x35d8e53b 256 @@ -317230,6 +396538,8 @@ 1 0 0 +2 +1 1 0x501f 0x9553cf41 @@ -317238,6 +396548,8 @@ 0 0 0 +0 +0 2 0x786a 0xc7c788bf @@ -317246,6 +396558,8 @@ 0 0 0 +5 +1 1 0xe72e 0xac78538c @@ -317254,6 +396568,8 @@ 0 0 0 +2 +0 1 0xc711 0x6479b02b @@ -317262,6 +396578,8 @@ 1 1 0 +0 +4 4 0xaf31 0xfeea8068 @@ -317270,6 +396588,8 @@ 0 0 0 +0 +3 1 0x6466 0x24ea7123 @@ -317278,6 +396598,8 @@ 1 1 0 +4 +1 3 0x83ce 0x46ffcab8 @@ -317286,6 +396608,8 @@ 1 0 0 +3 +1 1 0x40f8 0x258a5594 @@ -317294,6 +396618,8 @@ 1 1 0 +4 +2 1 0x26ad 0x4d990404 @@ -317302,6 +396628,8 @@ 0 0 0 +5 +3 2 0x8013 0xbf4bb682 @@ -317310,6 +396638,8 @@ 1 1 0 +4 +3 2 0x3c6 0x3a2db6fb @@ -317318,6 +396648,8 @@ 1 0 0 +4 +4 3 0xe407 0x738cace @@ -317326,6 +396658,8 @@ 0 0 0 +1 +3 4 0x474b 0x26bfa9b0 @@ -317334,6 +396668,8 @@ 1 0 0 +0 +3 4 0x6b07 0x6aa92526 @@ -317342,6 +396678,8 @@ 1 0 0 +1 +4 4 0x5e6e 0xab0f0b73 @@ -317351,6 +396689,8 @@ 0 0 1 +2 +1 0x886f 0x8850c646 256 @@ -317358,6 +396698,8 @@ 0 0 0 +0 +1 4 0x1279 0x4ed102be @@ -317366,6 +396708,8 @@ 0 0 0 +4 +4 1 0xec99 0xe62cef0e @@ -317374,6 +396718,8 @@ 0 0 0 +1 +4 4 0x5021 0x2524ec66 @@ -317382,6 +396728,8 @@ 0 0 0 +0 +3 4 0x29e3 0xc3b6b41b @@ -317390,6 +396738,8 @@ 1 1 0 +5 +5 2 0x300d 0x456002ec @@ -317398,6 +396748,8 @@ 1 1 0 +4 +1 2 0xd220 0x769793e8 @@ -317407,6 +396759,8 @@ 0 0 1 +0 +1 0x65e0 0x896513d5 256 @@ -317414,6 +396768,8 @@ 0 0 0 +0 +3 1 0x2a5e 0xfa50ec77 @@ -317422,6 +396778,8 @@ 0 0 0 +5 +0 3 0x610f 0x49bb8837 @@ -317431,6 +396789,8 @@ 0 0 3 +1 +3 0x3613 0xaafd692a 256 @@ -317439,6 +396799,8 @@ 0 0 2 +2 +2 0x3b56 0x531b0e4c 256 @@ -317446,6 +396808,8 @@ 0 0 0 +3 +4 1 0xc641 0xaa8535a2 @@ -317454,6 +396818,8 @@ 1 0 0 +4 +2 1 0x2f0b 0x1ee33b71 @@ -317463,6 +396829,8 @@ 0 0 2 +0 +2 0x3671 0xfefba9a3 256 @@ -317470,6 +396838,8 @@ 0 0 0 +1 +2 3 0xc71b 0xfc179423 @@ -317479,6 +396849,8 @@ 0 0 4 +0 +4 0xbb84 0xea30da74 256 @@ -317486,6 +396858,8 @@ 1 1 0 +1 +5 3 0x29c7 0x5bc74402 @@ -317494,6 +396868,8 @@ 0 0 0 +5 +3 2 0x3198 0x7a0c054d @@ -317502,6 +396878,8 @@ 0 0 0 +4 +2 2 0xe929 0x2e96c295 @@ -317510,6 +396888,8 @@ 0 0 0 +0 +5 3 0x646f 0x8f4eb4b @@ -317518,6 +396898,8 @@ 0 0 0 +1 +1 4 0xfe81 0x74692bf @@ -317526,6 +396908,8 @@ 1 1 0 +3 +0 1 0xfca0 0x593db892 @@ -317534,6 +396918,8 @@ 0 0 0 +1 +1 3 0xab8a 0x9bb9951a @@ -317542,6 +396928,8 @@ 1 1 0 +0 +3 4 0xfa03 0x9224a1be @@ -317551,6 +396939,8 @@ 0 0 2 +5 +2 0x3463 0x6c83fd7c 256 @@ -317559,6 +396949,8 @@ 0 0 2 +3 +2 0xa138 0x667d37e1 256 @@ -317566,6 +396958,8 @@ 0 0 0 +3 +0 4 0x586e 0x59e08d8d @@ -317574,6 +396968,8 @@ 0 0 0 +5 +3 2 0x2be3 0xfa64a7a5 @@ -317582,6 +396978,8 @@ 0 0 0 +5 +1 1 0xf9ef 0xc90520ef @@ -317590,6 +396988,8 @@ 0 0 0 +2 +2 4 0x4312 0x51c4de9f @@ -317599,6 +396999,8 @@ 0 0 1 +4 +1 0x6da0 0x460971ab 256 @@ -317607,6 +397009,8 @@ 1 0 4 +1 +4 0x48 0x701201da 256 @@ -317615,6 +397019,8 @@ 0 0 2 +3 +2 0x3269 0x61e9379e 256 @@ -317622,6 +397028,8 @@ 1 0 0 +1 +2 4 0xb0b6 0xb713b4fe @@ -317631,6 +397039,8 @@ 0 0 4 +2 +4 0x801f 0x6405b286 256 @@ -317639,6 +397049,8 @@ 1 0 2 +2 +2 0x9626 0x1dec61ca 256 @@ -317646,6 +397058,8 @@ 1 1 0 +0 +5 1 0x87b5 0x72c511d4 @@ -317655,6 +397069,8 @@ 0 0 3 +3 +3 0xd4b4 0xa5b49fcc 256 @@ -317662,6 +397078,8 @@ 1 0 0 +0 +2 4 0x5ebb 0xd9998c43 @@ -317670,6 +397088,8 @@ 0 0 0 +3 +2 2 0xc44d 0x217c5850 @@ -317678,6 +397098,8 @@ 0 0 0 +1 +3 3 0x3936 0xb43cf611 @@ -317686,6 +397108,8 @@ 1 1 0 +5 +0 2 0xa1b5 0x73a0832a @@ -317694,6 +397118,8 @@ 1 1 0 +3 +3 2 0x38dc 0x74c289f2 @@ -317702,6 +397128,8 @@ 0 0 0 +2 +2 3 0x4972 0x3358e21d @@ -317710,6 +397138,8 @@ 1 1 0 +2 +1 4 0x434b 0x8de3ceee @@ -317719,6 +397149,8 @@ 0 0 3 +1 +3 0xe950 0x549ed4b0 256 @@ -317726,6 +397158,8 @@ 1 1 0 +0 +5 4 0x3711 0x65d17d11 @@ -317734,6 +397168,8 @@ 0 0 0 +3 +1 1 0x44d4 0xb35e799e @@ -317742,6 +397178,8 @@ 1 1 0 +1 +0 4 0xd684 0x1388fee6 @@ -317751,6 +397189,8 @@ 0 0 3 +2 +3 0x504b 0x9d33151f 256 @@ -317759,6 +397199,8 @@ 0 0 1 +3 +1 0x2880 0xb9a3cee1 256 @@ -317766,6 +397208,8 @@ 0 0 0 +2 +2 4 0xa34a 0xdcde8841 @@ -317774,6 +397218,8 @@ 0 0 0 +5 +3 2 0x13b0 0x8f4d71a3 @@ -317782,6 +397228,8 @@ 0 0 0 +0 +1 2 0xaa66 0xf9786cd4 @@ -317790,6 +397238,8 @@ 0 0 0 +4 +3 2 0x2dab 0xe1f0553 @@ -317798,6 +397248,8 @@ 0 0 0 +5 +1 3 0x6980 0x316c661b @@ -317806,6 +397258,8 @@ 1 1 0 +3 +0 2 0x7636 0xfc16d326 @@ -317814,6 +397268,8 @@ 0 0 0 +0 +0 4 0x3867 0x2c00108f @@ -317823,6 +397279,8 @@ 0 0 4 +2 +4 0x5fc 0x6263d447 256 @@ -317830,6 +397288,8 @@ 1 0 0 +5 +2 1 0x331c 0x5d4c1ea2 @@ -317839,6 +397299,8 @@ 0 0 3 +1 +3 0x3ccc 0xe45dc5ad 256 @@ -317846,6 +397308,8 @@ 1 1 0 +2 +1 1 0x5bc6 0x276b1257 @@ -317854,6 +397318,8 @@ 0 0 0 +4 +0 2 0x3b6d 0x4611a802 @@ -317862,6 +397328,8 @@ 1 1 0 +2 +4 1 0xdb09 0x72e8eb09 @@ -317870,6 +397338,8 @@ 1 1 0 +0 +0 2 0xff5a 0xa2c96ffb @@ -317878,6 +397348,8 @@ 0 0 0 +0 +0 4 0xcba9 0x9e016981 @@ -317886,6 +397358,8 @@ 0 0 0 +4 +0 3 0x2803 0xf4a282a @@ -317894,6 +397368,8 @@ 1 1 0 +5 +4 3 0x452b 0x9085ae33 @@ -317902,6 +397378,8 @@ 1 0 0 +1 +5 2 0xf7de 0xa8e3028c @@ -317910,6 +397388,8 @@ 0 0 0 +3 +0 1 0xb5a6 0xfcef0d91 @@ -317918,6 +397398,8 @@ 1 1 0 +2 +4 4 0x94b1 0xe2f49912 @@ -317926,6 +397408,8 @@ 0 0 0 +0 +0 1 0x6330 0xcab7b61b @@ -317934,6 +397418,8 @@ 1 1 0 +4 +3 3 0x7631 0x2c839280 @@ -317943,6 +397429,8 @@ 0 0 1 +4 +1 0x73b0 0x7a173b0 256 @@ -317950,6 +397438,8 @@ 0 0 0 +3 +3 2 0xff39 0x8398e265 @@ -317958,6 +397448,8 @@ 0 0 0 +0 +1 3 0xa80c 0x99c77573 @@ -317966,6 +397458,8 @@ 1 0 0 +5 +0 4 0xa8f4 0x47acbb6e @@ -317974,6 +397468,8 @@ 0 0 0 +0 +4 3 0x89c4 0x52d75288 @@ -317982,6 +397478,8 @@ 1 1 0 +2 +3 3 0x3545 0x379017f5 @@ -317991,6 +397489,8 @@ 1 0 3 +3 +3 0xd81f 0xb5430cfc 256 @@ -317998,6 +397498,8 @@ 1 0 0 +5 +0 4 0x55a9 0xb08e0fb0 @@ -318006,6 +397508,8 @@ 0 0 0 +4 +1 2 0x95af 0xc7f8d4da @@ -318014,6 +397518,8 @@ 0 0 0 +1 +1 2 0x5835 0x8819b9f8 @@ -318023,6 +397529,8 @@ 0 0 3 +0 +3 0xee4b 0x1323f24d 256 @@ -318030,6 +397538,8 @@ 0 0 0 +4 +2 3 0x2a8d 0x599fce12 @@ -318038,6 +397548,8 @@ 0 0 0 +0 +2 3 0xbdda 0x416aa039 @@ -318047,6 +397559,8 @@ 0 0 2 +2 +2 0xe878 0xf42abb33 256 @@ -318054,6 +397568,8 @@ 1 1 0 +0 +1 2 0x4dc6 0xc51ea2f6 @@ -318062,6 +397578,8 @@ 0 0 0 +0 +3 4 0x2e90 0x1aabcbc4 @@ -318070,6 +397588,8 @@ 1 0 0 +1 +3 4 0x6205 0x9cac367 @@ -318078,6 +397598,8 @@ 1 0 0 +2 +1 1 0xf887 0xde6b213d @@ -318086,6 +397608,8 @@ 0 0 0 +2 +0 3 0x4a9f 0x20ea4672 @@ -318094,6 +397618,8 @@ 0 0 0 +5 +1 2 0x8e39 0x3df42b94 @@ -318103,6 +397629,8 @@ 1 0 4 +1 +4 0x16ae 0xd659eb02 256 @@ -318110,6 +397638,8 @@ 0 0 0 +1 +4 3 0xea86 0xaea53359 @@ -318119,6 +397649,8 @@ 1 0 1 +4 +1 0xf368 0xe72003d0 256 @@ -318126,6 +397658,8 @@ 0 0 0 +0 +3 3 0xb03f 0xb8821979 @@ -318134,6 +397668,8 @@ 0 0 0 +5 +4 1 0xd2b0 0x11052387 @@ -318142,6 +397678,8 @@ 1 1 0 +2 +0 4 0xc107 0x87431e4c @@ -318150,6 +397688,8 @@ 0 0 0 +1 +1 4 0xbe87 0xe88821a3 @@ -318159,6 +397699,8 @@ 0 0 4 +3 +4 0xb0b 0x2afa1038 256 @@ -318166,6 +397708,8 @@ 1 1 0 +0 +4 2 0xb7a2 0xb4072136 @@ -318174,6 +397718,8 @@ 1 1 0 +5 +3 3 0x7b81 0x8c56b060 @@ -318183,6 +397729,8 @@ 0 0 4 +0 +4 0xc5ed 0x15eccd79 256 @@ -318190,6 +397738,8 @@ 0 0 0 +4 +5 3 0x7f55 0xdeec3f0e @@ -318198,6 +397748,8 @@ 1 1 0 +5 +4 4 0x2c9b 0xde57e2bf @@ -318206,6 +397758,8 @@ 0 0 0 +1 +2 2 0x850c 0x1c06c788 @@ -318215,6 +397769,8 @@ 0 0 2 +3 +2 0x7b33 0x5a1942b1 256 @@ -318222,6 +397778,8 @@ 1 0 0 +3 +1 2 0x26ba 0x3512e274 @@ -318230,6 +397788,8 @@ 0 0 0 +2 +1 3 0x46e 0xfae52d33 @@ -318238,6 +397798,8 @@ 1 1 0 +3 +1 4 0x1668 0x484bcb64 @@ -318246,6 +397808,8 @@ 1 1 0 +0 +5 1 0x51ee 0x402695a0 @@ -318254,6 +397818,8 @@ 0 0 0 +3 +3 4 0xdeb4 0xeb1ca575 @@ -318262,6 +397828,8 @@ 0 0 0 +0 +4 3 0xe931 0xa6105978 @@ -318270,6 +397838,8 @@ 0 0 0 +3 +5 4 0x8d44 0xda094df4 @@ -318278,6 +397848,8 @@ 1 1 0 +2 +3 1 0x799e 0xa050c25b @@ -318287,6 +397859,8 @@ 1 0 2 +0 +2 0xbd79 0x2d59b5bc 256 @@ -318294,6 +397868,8 @@ 1 1 0 +1 +4 3 0x199e 0xff8f1e56 @@ -318302,6 +397878,8 @@ 0 0 0 +3 +4 4 0xd1fb 0xd8792684 @@ -318310,6 +397888,8 @@ 1 0 0 +2 +2 1 0x6cca 0xd0a6e292 @@ -318318,6 +397898,8 @@ 1 0 0 +3 +2 4 0xc259 0x4a6d6257 @@ -318326,6 +397908,8 @@ 1 0 0 +1 +1 2 0x44ce 0x57ac2a0c @@ -318335,6 +397919,8 @@ 0 0 1 +3 +1 0xd40 0xd69054fd 256 @@ -318342,6 +397928,8 @@ 0 0 0 +2 +1 3 0xbf58 0xb757dcb1 @@ -318350,6 +397938,8 @@ 0 0 0 +4 +3 1 0xb01 0xd6138cac @@ -318358,6 +397948,8 @@ 0 0 0 +1 +5 2 0xa036 0x2eb34a4b @@ -318366,6 +397958,8 @@ 1 1 0 +5 +2 3 0xd1e0 0xd8f26302 @@ -318375,6 +397969,8 @@ 0 0 2 +5 +2 0x9a1b 0xff8e6dee 256 @@ -318383,6 +397979,8 @@ 0 0 4 +2 +4 0x542b 0x30577c29 256 @@ -318391,6 +397989,8 @@ 1 0 4 +4 +4 0x518a 0x7a65b1d5 256 @@ -318398,6 +397998,8 @@ 1 1 0 +1 +2 3 0x41fd 0x6a03eb34 @@ -318406,6 +398008,8 @@ 0 0 0 +3 +5 4 0xc119 0x70d60369 @@ -318414,6 +398018,8 @@ 0 0 0 +1 +5 2 0xd563 0xa95d4cc @@ -318422,6 +398028,8 @@ 0 0 0 +3 +4 1 0x7635 0x8af840b5 @@ -318430,6 +398038,8 @@ 1 1 0 +0 +3 1 0xb516 0x9e8a1c8 @@ -318438,6 +398048,8 @@ 1 1 0 +1 +3 4 0xa4e 0xcaee1395 @@ -318447,6 +398059,8 @@ 0 0 3 +3 +3 0xd9b9 0x2879691c 256 @@ -318454,6 +398068,8 @@ 1 1 0 +4 +4 1 0x68bc 0x8543a5de @@ -318462,6 +398078,8 @@ 1 0 0 +3 +3 2 0x5fd7 0x2e4ab6f8 @@ -318470,6 +398088,8 @@ 0 0 0 +2 +2 3 0xbd75 0x9338a899 @@ -318478,6 +398098,8 @@ 0 0 0 +5 +1 3 0x6176 0x7592c7ba @@ -318486,6 +398108,8 @@ 0 0 0 +2 +5 1 0xcf97 0x77f41286 @@ -318494,6 +398118,8 @@ 0 0 0 +1 +4 2 0x4037 0x4a3aa746 @@ -318502,6 +398128,8 @@ 0 0 0 +1 +4 3 0xbcaf 0x46e66c40 @@ -318510,6 +398138,8 @@ 0 0 0 +0 +0 4 0x7cef 0x97d82594 @@ -318518,6 +398148,8 @@ 1 1 0 +5 +2 1 0xa4da 0xfa51201e @@ -318526,6 +398158,8 @@ 0 0 0 +5 +3 3 0x3ca4 0x4b9df592 @@ -318534,6 +398168,8 @@ 1 0 0 +2 +0 3 0xdb27 0xea9f66f8 @@ -318542,6 +398178,8 @@ 0 0 0 +1 +0 4 0x1efd 0xaa0fd4cb @@ -318550,6 +398188,8 @@ 0 0 0 +4 +4 3 0x2b06 0x5cc0f4dd @@ -318559,6 +398199,8 @@ 1 0 1 +1 +1 0x50a0 0x798cb975 256 @@ -318566,6 +398208,8 @@ 1 0 0 +0 +3 1 0x3ae8 0x1c32d010 @@ -318574,6 +398218,8 @@ 0 0 0 +0 +4 1 0x3b13 0xb791286f @@ -318582,6 +398228,8 @@ 1 1 0 +0 +0 2 0xde7e 0x823a214f @@ -318590,6 +398238,8 @@ 0 0 0 +2 +1 3 0x4f16 0xd3607e16 @@ -318599,6 +398249,8 @@ 0 0 2 +4 +2 0xc2c9 0x41490989 256 @@ -318606,6 +398258,8 @@ 0 0 0 +5 +0 2 0x911a 0xb50bcee8 @@ -318614,6 +398268,8 @@ 0 0 0 +5 +0 3 0x11fe 0x8694393f @@ -318622,6 +398278,8 @@ 1 1 0 +0 +5 3 0x2ed8 0xa28f7c0c @@ -318630,6 +398288,8 @@ 1 0 0 +3 +0 4 0x49ff 0x75c38efb @@ -318638,6 +398298,8 @@ 0 0 0 +1 +4 4 0x6a78 0xc81871c0 @@ -318646,6 +398308,8 @@ 0 0 0 +0 +5 1 0xbc88 0x9f6ca31a @@ -318654,6 +398318,8 @@ 1 0 0 +2 +0 4 0x12b8 0xc0ed3171 @@ -318662,6 +398328,8 @@ 1 1 0 +1 +3 3 0xd840 0x3826fb20 @@ -318670,6 +398338,8 @@ 1 0 0 +0 +0 4 0xf0c8 0x1a319492 @@ -318678,6 +398348,8 @@ 1 1 0 +1 +0 2 0xed6c 0xe1e06982 @@ -318686,6 +398358,8 @@ 0 0 0 +1 +0 4 0xddef 0x7efaaf9b @@ -318694,6 +398368,8 @@ 0 0 0 +5 +3 2 0x59a3 0x4cf73f69 @@ -318702,6 +398378,8 @@ 1 0 0 +4 +3 2 0x4a38 0x2006fe65 @@ -318710,6 +398388,8 @@ 1 0 0 +3 +5 1 0x2c08 0x559ed0c7 @@ -318718,6 +398398,8 @@ 0 0 0 +1 +5 4 0x3bc 0x23d53544 @@ -318726,6 +398408,8 @@ 0 0 0 +3 +4 4 0x3757 0x6a857880 @@ -318735,6 +398419,8 @@ 0 0 1 +4 +1 0x73f9 0x6d032b42 256 @@ -318742,6 +398428,8 @@ 0 0 0 +5 +1 4 0xfb96 0x739c801 @@ -318750,6 +398438,8 @@ 0 0 0 +4 +1 3 0xf3ca 0x101033b7 @@ -318758,6 +398448,8 @@ 1 0 0 +0 +2 4 0xe4fd 0xb2ac0741 @@ -318766,6 +398458,8 @@ 0 0 0 +0 +2 2 0x59db 0xf244a48 @@ -318775,6 +398469,8 @@ 0 0 4 +2 +4 0xe5dd 0x735638cc 256 @@ -318782,6 +398478,8 @@ 1 1 0 +0 +0 1 0x9837 0x27808294 @@ -318790,6 +398488,8 @@ 0 0 0 +3 +5 1 0x8407 0x93d0aeac @@ -318798,6 +398498,8 @@ 0 0 0 +3 +1 2 0x4c98 0xe69352e3 @@ -318806,6 +398508,8 @@ 1 1 0 +1 +5 2 0x628c 0x86e5913f @@ -318814,6 +398518,8 @@ 1 1 0 +2 +4 4 0xf656 0x1454a5af @@ -318822,6 +398528,8 @@ 1 0 0 +0 +4 1 0x907c 0x4fe4b4e4 @@ -318830,6 +398538,8 @@ 0 0 0 +1 +1 4 0xd248 0x104f706b @@ -318838,6 +398548,8 @@ 1 0 0 +4 +5 1 0xcf 0xc0470a01 @@ -318846,6 +398558,8 @@ 0 0 0 +1 +0 2 0x78fb 0x63612f4e @@ -318854,6 +398568,8 @@ 1 1 0 +4 +3 3 0xc27d 0x9e6d3165 @@ -318863,6 +398579,8 @@ 0 0 4 +5 +4 0x69e6 0x8e42f4fd 256 @@ -318870,6 +398588,8 @@ 0 0 0 +2 +2 4 0x16cb 0xb76d7cd8 @@ -318878,6 +398598,8 @@ 1 1 0 +3 +4 4 0x784e 0xc918c998 @@ -318886,6 +398608,8 @@ 1 1 0 +1 +2 4 0x4e37 0x763e700c @@ -318894,6 +398618,8 @@ 1 0 0 +5 +0 2 0x817e 0x63c81db7 @@ -318902,6 +398628,8 @@ 1 0 0 +2 +5 1 0x9e69 0x34260e2c @@ -318911,6 +398639,8 @@ 0 0 2 +1 +2 0x4c9e 0xeb147260 256 @@ -318918,6 +398648,8 @@ 1 0 0 +3 +2 4 0x557e 0xf76a5146 @@ -318926,6 +398658,8 @@ 0 0 0 +0 +5 1 0x49c9 0x9150b66a @@ -318934,6 +398668,8 @@ 1 1 0 +0 +3 2 0x62ee 0x6834006f @@ -318942,6 +398678,8 @@ 1 0 0 +1 +5 3 0x97f0 0xe349d03d @@ -318950,6 +398688,8 @@ 0 0 0 +1 +5 2 0x32a4 0xc81893cb @@ -318959,6 +398699,8 @@ 1 0 2 +4 +2 0x7415 0x50ccefba 256 @@ -318966,6 +398708,8 @@ 0 0 0 +5 +0 4 0x7ae5 0x99ed4d2b @@ -318974,6 +398718,8 @@ 0 0 0 +2 +3 3 0xcbf0 0x1f537645 @@ -318982,6 +398728,8 @@ 0 0 0 +5 +0 2 0x423a 0x84b03fdf @@ -318990,6 +398738,8 @@ 1 1 0 +0 +0 4 0xe51e 0xb3777552 @@ -318998,6 +398748,8 @@ 0 0 0 +4 +0 1 0xc8d7 0xd9e867f4 @@ -319006,6 +398758,8 @@ 0 0 0 +4 +0 2 0x5d80 0xa0f0957e @@ -319014,6 +398768,8 @@ 1 0 0 +3 +3 4 0xf2cd 0x3fcbab12 @@ -319022,6 +398778,8 @@ 0 0 0 +3 +0 4 0x74c 0x35e38c52 @@ -319031,6 +398789,8 @@ 1 0 3 +5 +3 0x8c00 0xdf12883c 256 @@ -319038,6 +398798,8 @@ 0 0 0 +0 +3 3 0xf24c 0x109df4e2 @@ -319046,6 +398808,8 @@ 0 0 0 +4 +2 2 0xd059 0x5198a32 @@ -319054,6 +398818,8 @@ 0 0 0 +2 +5 4 0x74db 0x2c277465 @@ -319062,6 +398828,8 @@ 1 1 0 +4 +2 2 0x6b5a 0x97fa39c1 @@ -319070,6 +398838,8 @@ 1 1 0 +1 +4 2 0xa821 0xbf0c0bf1 @@ -319078,6 +398848,8 @@ 1 0 0 +3 +3 2 0x9b50 0x89bb2942 @@ -319087,6 +398859,8 @@ 0 0 2 +4 +2 0xfad6 0x8462d4ac 256 @@ -319094,6 +398868,8 @@ 1 1 0 +2 +4 1 0xab1e 0x81dbd6dd @@ -319102,6 +398878,8 @@ 1 0 0 +4 +1 1 0xbafe 0x25c37315 @@ -319110,6 +398888,8 @@ 1 1 0 +5 +2 2 0x9abd 0x72c82554 @@ -319118,6 +398898,8 @@ 1 1 0 +3 +4 4 0x1277 0x34a4bd17 @@ -319126,6 +398908,8 @@ 1 1 0 +5 +0 3 0xb5aa 0x1fae1160 @@ -319134,6 +398918,8 @@ 0 0 0 +5 +3 3 0x73a8 0x8585beeb @@ -319143,6 +398929,8 @@ 0 0 2 +0 +2 0x2fab 0x76ee7b76 256 @@ -319150,6 +398938,8 @@ 0 0 0 +4 +5 2 0xb96d 0xde303ae3 @@ -319158,6 +398948,8 @@ 0 0 0 +3 +3 2 0xe16c 0x5a9bd487 @@ -319167,6 +398959,8 @@ 0 0 4 +2 +4 0x90d3 0xabbec39 256 @@ -319174,6 +398968,8 @@ 0 0 0 +5 +5 4 0xa751 0xeb0e8843 @@ -319183,6 +398979,8 @@ 0 0 1 +4 +1 0x804d 0x500cf63d 256 @@ -319190,6 +398988,8 @@ 0 0 0 +5 +4 3 0xef31 0xfa44f97c @@ -319198,6 +398998,8 @@ 0 0 0 +4 +1 2 0x1056 0xbc4eccbd @@ -319206,6 +399008,8 @@ 1 0 0 +2 +4 1 0x6958 0x3566aa4e @@ -319215,6 +399019,8 @@ 1 0 3 +0 +3 0x41a 0xd93ba92e 256 @@ -319223,6 +399029,8 @@ 0 0 2 +0 +2 0xfb42 0x27ca1750 256 @@ -319230,6 +399038,8 @@ 0 0 0 +0 +0 4 0xdfd4 0x78490d37 @@ -319238,6 +399048,8 @@ 0 0 0 +5 +0 1 0x8ab6 0xf19ef5ac @@ -319246,6 +399058,8 @@ 1 1 0 +3 +0 2 0x4043 0x74f3b280 @@ -319254,6 +399068,8 @@ 1 0 0 +4 +4 2 0xd377 0xc03f300b @@ -319262,6 +399078,8 @@ 0 0 0 +3 +2 1 0x3a20 0xd6d16286 @@ -319270,6 +399088,8 @@ 1 1 0 +1 +0 4 0x4079 0x91b01e01 @@ -319278,6 +399098,8 @@ 0 0 0 +0 +4 2 0xb877 0x7e213aed @@ -319286,6 +399108,8 @@ 0 0 0 +5 +1 4 0xb760 0x48d6145f @@ -319294,6 +399118,8 @@ 1 1 0 +3 +0 1 0xf62f 0x5afd372b @@ -319302,6 +399128,8 @@ 1 0 0 +4 +3 3 0xc4f9 0xe5046562 @@ -319310,6 +399138,8 @@ 0 0 0 +4 +5 3 0xdb75 0x990d49c3 @@ -319318,6 +399148,8 @@ 0 0 0 +5 +3 4 0x7e0a 0x43d71771 @@ -319326,6 +399158,8 @@ 0 0 0 +5 +5 1 0x3f6a 0xfebf4087 @@ -319334,6 +399168,8 @@ 1 0 0 +4 +5 3 0x3cf5 0x194e816c @@ -319342,6 +399178,8 @@ 1 0 0 +5 +1 4 0x7cf1 0xb7ca888 @@ -319351,6 +399189,8 @@ 1 0 4 +5 +4 0x28d0 0x4afff390 256 @@ -319359,6 +399199,8 @@ 0 0 2 +5 +2 0xad6d 0xa1374212 256 @@ -319366,6 +399208,8 @@ 0 0 0 +1 +4 3 0x30fb 0xab91220f @@ -319375,6 +399219,8 @@ 1 0 1 +3 +1 0x87b6 0xb9c44ec5 256 @@ -319382,6 +399228,8 @@ 1 1 0 +2 +2 4 0x425a 0x8d3bd06b @@ -319390,6 +399238,8 @@ 0 0 0 +3 +3 1 0xb5b8 0x9b4251dc @@ -319398,6 +399248,8 @@ 0 0 0 +1 +1 2 0x7fa1 0x11e5a18d @@ -319406,6 +399258,8 @@ 1 0 0 +0 +3 3 0x56fc 0xf6e58f89 @@ -319414,6 +399268,8 @@ 0 0 0 +5 +2 3 0xce21 0x8203a990 @@ -319423,6 +399279,8 @@ 0 0 2 +3 +2 0x24e 0xf98f741f 256 @@ -319431,6 +399289,8 @@ 1 0 4 +0 +4 0x9401 0xd65a45fa 256 @@ -319438,6 +399298,8 @@ 0 0 0 +0 +1 2 0x4293 0x84635683 @@ -319446,6 +399308,8 @@ 0 0 0 +0 +3 2 0x3245 0xa8279b18 @@ -319454,6 +399318,8 @@ 0 0 0 +4 +2 3 0x1eba 0x73224286 @@ -319462,6 +399328,8 @@ 0 0 0 +5 +2 1 0xd1e 0xb3b7c60c @@ -319470,6 +399338,8 @@ 0 0 0 +5 +3 3 0x7327 0xee115e4d @@ -319478,6 +399348,8 @@ 0 0 0 +4 +3 1 0x993e 0x5fa0ad31 @@ -319486,6 +399358,8 @@ 1 1 0 +1 +4 2 0xfe5f 0xb1a528a0 @@ -319494,6 +399368,8 @@ 1 0 0 +0 +0 4 0x633f 0x4298c061 @@ -319502,6 +399378,8 @@ 0 0 0 +2 +3 1 0x6f7 0x19ad46a2 @@ -319510,6 +399388,8 @@ 0 0 0 +2 +4 3 0x8973 0x6dabf883 @@ -319519,6 +399399,8 @@ 0 0 1 +3 +1 0x3a4d 0x802d84be 256 @@ -319526,6 +399408,8 @@ 1 0 0 +5 +1 4 0xb9ff 0xfd73a06b @@ -319534,6 +399418,8 @@ 1 1 0 +2 +0 1 0x4a60 0x664cfc02 @@ -319542,6 +399428,8 @@ 1 0 0 +0 +4 3 0x80b7 0x8d097a02 @@ -319550,6 +399438,8 @@ 0 0 0 +4 +4 2 0xfd12 0xe6ea769c @@ -319558,6 +399448,8 @@ 1 1 0 +5 +0 4 0x9cec 0x95c1f9e0 @@ -319566,6 +399458,8 @@ 0 0 0 +3 +1 4 0xf8d0 0xf5e7a65d @@ -319574,6 +399468,8 @@ 0 0 0 +4 +2 1 0x2ce1 0x10e0cd80 @@ -319582,6 +399478,8 @@ 0 0 0 +4 +2 2 0x9f0f 0x33afe2ed @@ -319590,6 +399488,8 @@ 1 1 0 +5 +0 2 0x48e0 0xb2f257e0 @@ -319598,6 +399498,8 @@ 0 0 0 +1 +3 4 0x16f0 0xcb133a41 @@ -319606,6 +399508,8 @@ 1 0 0 +5 +0 1 0x55c7 0x6ebfb82d @@ -319615,6 +399519,8 @@ 0 0 1 +4 +1 0x4109 0xd1bb77b3 256 @@ -319622,6 +399528,8 @@ 0 0 0 +5 +0 2 0x64dc 0x24de81eb @@ -319630,6 +399538,8 @@ 0 0 0 +1 +4 3 0xe827 0x1ffd53c3 @@ -319638,6 +399548,8 @@ 0 0 0 +1 +1 4 0xff05 0xde0d7895 @@ -319646,6 +399558,8 @@ 0 0 0 +4 +0 2 0xf334 0xc479403f @@ -319654,6 +399568,8 @@ 0 0 0 +5 +3 1 0x2520 0x7c3aa407 @@ -319662,6 +399578,8 @@ 1 1 0 +4 +5 1 0xa67f 0xebb40e92 @@ -319670,6 +399588,8 @@ 1 1 0 +4 +1 2 0x1fd9 0xddf3fd4c @@ -319678,6 +399598,8 @@ 0 0 0 +3 +2 2 0x8ee5 0x32724a4b @@ -319687,6 +399609,8 @@ 0 0 4 +4 +4 0xbcc6 0x98c56a9d 256 @@ -319695,6 +399619,8 @@ 0 0 2 +4 +2 0x7c12 0x741d7aae 256 @@ -319702,6 +399628,8 @@ 1 1 0 +5 +2 3 0xed07 0x91e0ffd0 @@ -319711,6 +399639,8 @@ 0 0 1 +2 +1 0x42a5 0xf8ba70bc 256 @@ -319718,6 +399648,8 @@ 0 0 0 +3 +0 4 0xb573 0x5a212ab5 @@ -319726,6 +399658,8 @@ 1 1 0 +5 +1 4 0x51e1 0xb2930347 @@ -319734,6 +399668,8 @@ 1 0 0 +4 +0 1 0xb437 0xf0403fdd @@ -319742,6 +399678,8 @@ 1 1 0 +0 +2 2 0x325b 0x2be84e4f @@ -319750,6 +399688,8 @@ 0 0 0 +0 +5 4 0x7ba 0x19f95917 @@ -319758,6 +399698,8 @@ 0 0 0 +4 +2 1 0xb18c 0x21ca4049 @@ -319766,6 +399708,8 @@ 1 1 0 +3 +1 4 0xeaa1 0xfd83741e @@ -319774,6 +399718,8 @@ 1 1 0 +1 +1 2 0xeec8 0x590d5854 @@ -319782,6 +399728,8 @@ 0 0 0 +5 +2 4 0xfb4c 0x3ed3c459 @@ -319790,6 +399738,8 @@ 0 0 0 +2 +3 1 0x36e 0x5e0261b9 @@ -319798,6 +399748,8 @@ 1 1 0 +3 +4 1 0x21f8 0xa492915 @@ -319807,6 +399759,8 @@ 0 0 3 +3 +3 0x29b 0x7f863613 256 @@ -319814,6 +399768,8 @@ 0 0 0 +2 +3 1 0x808 0xc77b9140 @@ -319822,6 +399778,8 @@ 1 1 0 +3 +0 4 0x1104 0x1978049d @@ -319830,6 +399788,8 @@ 0 0 0 +3 +1 4 0xf61b 0xdc20ab79 @@ -319838,6 +399798,8 @@ 1 1 0 +4 +4 1 0x35d7 0xfa430a70 @@ -319846,6 +399808,8 @@ 1 0 0 +4 +3 2 0x200f 0x77e24470 @@ -319854,6 +399818,8 @@ 0 0 0 +2 +0 4 0x6bce 0xc783e5f6 @@ -319863,6 +399829,8 @@ 0 0 4 +5 +4 0xed02 0x42bbcb61 256 @@ -319871,6 +399839,8 @@ 1 0 1 +0 +1 0xa727 0x4e40bd1 256 @@ -319878,6 +399848,8 @@ 0 0 0 +3 +4 2 0x7041 0x95e16451 @@ -319886,6 +399858,8 @@ 0 0 0 +2 +1 4 0x5ca4 0x60a04a79 @@ -319894,6 +399868,8 @@ 1 1 0 +2 +2 4 0xaf8a 0x2a70fb63 @@ -319902,6 +399878,8 @@ 1 0 0 +5 +3 3 0xd2cf 0xda13ca94 @@ -319910,6 +399888,8 @@ 0 0 0 +0 +0 4 0x9756 0x7b4c5d07 @@ -319919,6 +399899,8 @@ 0 0 4 +1 +4 0x1690 0x3ee55e28 256 @@ -319927,6 +399909,8 @@ 1 0 4 +3 +4 0x4776 0x893d48e6 256 @@ -319934,6 +399918,8 @@ 0 0 0 +0 +3 4 0x7b99 0x7b0292e @@ -319942,6 +399928,8 @@ 0 0 0 +4 +2 1 0x8b92 0x556ff02c @@ -319950,6 +399938,8 @@ 0 0 0 +5 +1 2 0xea95 0x5bbffe7 @@ -319959,6 +399949,8 @@ 0 0 1 +3 +1 0xdf13 0x9411023 256 @@ -319966,6 +399958,8 @@ 0 0 0 +1 +2 4 0x537e 0x47af97a1 @@ -319974,6 +399968,8 @@ 1 0 0 +5 +4 3 0x30ce 0xfb569099 @@ -319982,6 +399978,8 @@ 1 0 0 +0 +5 3 0x18ef 0x456ad952 @@ -319991,6 +399989,8 @@ 0 0 1 +3 +1 0x5a9f 0xd663d79a 256 @@ -319998,6 +399998,8 @@ 1 0 0 +5 +0 3 0xc39b 0x206fa2f7 @@ -320006,6 +400008,8 @@ 1 0 0 +1 +5 3 0xb9d2 0x2e7d0cd4 @@ -320014,6 +400018,8 @@ 0 0 0 +4 +1 1 0x3f22 0xee28025a @@ -320022,6 +400028,8 @@ 0 0 0 +2 +1 3 0x7523 0x8133387b @@ -320030,6 +400038,8 @@ 0 0 0 +5 +1 4 0x6f79 0x427e8f2a @@ -320038,6 +400048,8 @@ 1 0 0 +1 +3 3 0x71a1 0xc6884408 @@ -320046,6 +400058,8 @@ 1 1 0 +0 +0 1 0xd2fa 0x54706684 @@ -320054,6 +400068,8 @@ 1 1 0 +4 +5 1 0x270d 0xebe4cfe1 @@ -320062,6 +400078,8 @@ 0 0 0 +0 +4 1 0xec3 0x5de25aaf @@ -320070,6 +400088,8 @@ 1 0 0 +4 +2 1 0x3598 0xd6e433bf @@ -320078,6 +400098,8 @@ 1 1 0 +5 +0 2 0xaff6 0xeb16aad8 @@ -320086,6 +400108,8 @@ 1 0 0 +3 +3 1 0xce9f 0xcb77986f @@ -320094,6 +400118,8 @@ 0 0 0 +5 +0 1 0x2740 0xfe68c54c @@ -320102,6 +400128,8 @@ 0 0 0 +1 +2 2 0x9278 0xfc8be5d @@ -320110,6 +400138,8 @@ 0 0 0 +2 +5 1 0x1142 0x4b17e92f @@ -320118,6 +400148,8 @@ 0 0 0 +5 +2 4 0x50d5 0x25144ed6 @@ -320126,6 +400158,8 @@ 1 1 0 +1 +2 3 0xc4f3 0x516893bb @@ -320134,6 +400168,8 @@ 1 0 0 +1 +0 4 0xcf02 0x77a870d4 @@ -320143,6 +400179,8 @@ 0 0 4 +5 +4 0x5d56 0x808e6f8b 256 @@ -320150,6 +400188,8 @@ 0 0 0 +1 +0 2 0x969f 0x4325df48 @@ -320158,6 +400198,8 @@ 0 0 0 +4 +3 2 0x357b 0xcf6ea330 @@ -320166,6 +400208,8 @@ 0 0 0 +5 +2 1 0xb1b0 0x78e91ef3 @@ -320174,6 +400218,8 @@ 1 0 0 +1 +1 2 0x38ca 0x28261ed8 @@ -320182,6 +400228,8 @@ 1 1 0 +0 +5 1 0xdcc 0x85eca73 @@ -320190,6 +400238,8 @@ 0 0 0 +2 +1 3 0xca5a 0x134f95ab @@ -320198,6 +400248,8 @@ 0 0 0 +5 +5 1 0x6076 0x7223092d @@ -320206,6 +400258,8 @@ 1 0 0 +0 +0 3 0x769d 0xf9002f9a @@ -320214,6 +400268,8 @@ 0 0 0 +5 +2 3 0x6513 0x769db483 @@ -320222,6 +400278,8 @@ 1 1 0 +5 +5 4 0xc698 0xe71f8214 @@ -320230,6 +400288,8 @@ 0 0 0 +1 +5 4 0xa9fb 0x2e5083ab @@ -320238,6 +400298,8 @@ 0 0 0 +5 +5 3 0x74b7 0x5b0c0101 @@ -320247,6 +400309,8 @@ 0 0 2 +4 +2 0x812d 0x29eeccd4 256 @@ -320254,6 +400318,8 @@ 0 0 0 +4 +2 1 0xef61 0x4ccba938 @@ -320262,6 +400328,8 @@ 1 1 0 +0 +4 3 0xa8fb 0x80ffb2a1 @@ -320270,6 +400338,8 @@ 0 0 0 +4 +5 1 0x377e 0x24dcf2d9 @@ -320278,6 +400348,8 @@ 1 0 0 +4 +4 2 0x2470 0xc2b1ddda @@ -320286,6 +400358,8 @@ 0 0 0 +3 +0 2 0x2ffc 0xf2de30b2 @@ -320294,6 +400368,8 @@ 0 0 0 +1 +2 2 0x313c 0x7604e148 @@ -320302,6 +400378,8 @@ 1 1 0 +4 +5 2 0x31b4 0xc217d31b @@ -320310,6 +400388,8 @@ 1 1 0 +0 +1 3 0x23ca 0x2c911b6c @@ -320318,6 +400398,8 @@ 1 1 0 +0 +0 1 0xe6ec 0x94ed477c @@ -320326,6 +400408,8 @@ 0 0 0 +2 +1 4 0x829e 0xc71fc264 @@ -320334,6 +400418,8 @@ 0 0 0 +1 +2 4 0xcf9b 0x6f5bbecf @@ -320342,6 +400428,8 @@ 0 0 0 +0 +5 2 0xa40b 0x34a64279 @@ -320350,6 +400438,8 @@ 1 1 0 +0 +5 4 0xa5a7 0x4d603b03 @@ -320359,6 +400449,8 @@ 0 0 3 +5 +3 0xf649 0x63c8c1ed 256 @@ -320366,6 +400458,8 @@ 1 1 0 +0 +2 4 0x28bc 0x2e90424f @@ -320374,6 +400468,8 @@ 0 0 0 +5 +4 1 0x7dbb 0xdc1a7c83 @@ -320383,6 +400479,8 @@ 0 0 3 +1 +3 0x6f1f 0xf2b9fd8a 256 @@ -320390,6 +400488,8 @@ 0 0 0 +5 +4 3 0x140d 0x356535bf @@ -320398,6 +400498,8 @@ 1 0 0 +0 +1 4 0x933a 0x600d5ee1 @@ -320406,6 +400508,8 @@ 1 0 0 +0 +4 3 0x5550 0xbbe57b93 @@ -320414,6 +400518,8 @@ 0 0 0 +1 +3 2 0x3976 0xffb7231b @@ -320422,6 +400528,8 @@ 1 0 0 +4 +4 1 0x7d3 0xd8f54902 @@ -320430,6 +400538,8 @@ 0 0 0 +1 +4 4 0x19a1 0x6542bd78 @@ -320438,6 +400548,8 @@ 1 1 0 +0 +0 1 0xaac4 0xb163e2ef @@ -320446,6 +400558,8 @@ 1 0 0 +5 +1 3 0x1d6 0xfdd906dd @@ -320454,6 +400568,8 @@ 1 1 0 +4 +3 2 0x78d7 0x7c926476 @@ -320463,6 +400579,8 @@ 0 0 1 +1 +1 0xd776 0x566b5436 256 @@ -320470,6 +400588,8 @@ 0 0 0 +5 +5 1 0xa693 0xe0161137 @@ -320479,6 +400599,8 @@ 0 0 2 +4 +2 0x9ec6 0x8b5eb112 256 @@ -320486,6 +400608,8 @@ 1 0 0 +1 +3 4 0x21f6 0xb1fc90e1 @@ -320495,6 +400619,8 @@ 1 0 2 +2 +2 0xada6 0x5b470b70 256 @@ -320503,6 +400629,8 @@ 0 0 4 +3 +4 0x271d 0x54c1c4eb 256 @@ -320510,6 +400638,8 @@ 0 0 0 +3 +5 4 0xcfc4 0xdc85a577 @@ -320518,6 +400648,8 @@ 0 0 0 +0 +4 1 0xa186 0x9c7e97a5 @@ -320526,6 +400658,8 @@ 1 1 0 +3 +1 4 0x517e 0x7b6e38d0 @@ -320534,6 +400668,8 @@ 0 0 0 +0 +5 2 0xafc5 0x2b790ff0 @@ -320542,6 +400678,8 @@ 1 0 0 +0 +4 1 0xacd6 0xf526624d @@ -320551,6 +400689,8 @@ 0 0 1 +5 +1 0xc7ef 0xf9119ffb 256 @@ -320558,6 +400698,8 @@ 0 0 0 +3 +5 1 0xb179 0x81c881b6 @@ -320567,6 +400709,8 @@ 0 0 2 +5 +2 0x4e34 0xe029b9ab 256 @@ -320574,6 +400718,8 @@ 1 1 0 +0 +1 3 0xb9cc 0x98f6484e @@ -320582,6 +400728,8 @@ 0 0 0 +5 +3 1 0xfa14 0x9c041852 @@ -320590,6 +400738,8 @@ 0 0 0 +2 +2 4 0xd9eb 0xaca51d32 @@ -320598,6 +400748,8 @@ 0 0 0 +3 +4 4 0x5250 0xf7834583 @@ -320606,6 +400758,8 @@ 0 0 0 +0 +5 2 0x67b9 0x1b845d2b @@ -320614,6 +400768,8 @@ 1 1 0 +1 +1 3 0x43bb 0xba414821 @@ -320622,6 +400778,8 @@ 0 0 0 +0 +3 3 0xf90 0xe502c7c2 @@ -320630,6 +400788,8 @@ 1 1 0 +0 +3 2 0xe779 0xf5bdc870 @@ -320638,6 +400798,8 @@ 1 0 0 +4 +3 1 0xe19c 0x5ca4ef5d @@ -320646,6 +400808,8 @@ 0 0 0 +1 +3 3 0x3e6c 0xa12d813a @@ -320654,6 +400818,8 @@ 1 1 0 +1 +3 2 0x53c8 0xa4af3d95 @@ -320662,6 +400828,8 @@ 0 0 0 +0 +0 1 0x2b19 0xa3372dd2 @@ -320670,6 +400838,8 @@ 1 0 0 +2 +2 3 0xb43 0xd85be77a @@ -320679,6 +400849,8 @@ 0 0 1 +2 +1 0x5086 0x89f6487c 256 @@ -320686,6 +400858,8 @@ 0 0 0 +3 +1 4 0xa942 0x86c47c12 @@ -320694,6 +400868,8 @@ 1 1 0 +0 +0 4 0x817 0x7e83e3fb @@ -320702,6 +400878,8 @@ 1 0 0 +4 +4 3 0xcbfb 0xd3ec7084 @@ -320710,6 +400888,8 @@ 1 0 0 +5 +4 2 0xa0fc 0xaf1ebab1 @@ -320719,6 +400899,8 @@ 0 0 2 +2 +2 0x52c4 0x7398f813 256 @@ -320726,6 +400908,8 @@ 0 0 0 +0 +1 2 0xa5e 0xe1ff1d36 @@ -320734,6 +400918,8 @@ 0 0 0 +0 +3 2 0x3020 0xd287eba9 @@ -320742,6 +400928,8 @@ 0 0 0 +5 +5 3 0x22b3 0xdc2df8dc @@ -320750,6 +400938,8 @@ 0 0 0 +0 +5 2 0xe62b 0x22c5dda6 @@ -320758,6 +400948,8 @@ 0 0 0 +2 +3 4 0x95d9 0x9b3f28f8 @@ -320766,6 +400958,8 @@ 1 0 0 +1 +2 2 0x2e00 0xc81ce8d0 @@ -320774,6 +400968,8 @@ 0 0 0 +0 +1 4 0x3700 0x4c235918 @@ -320782,6 +400978,8 @@ 0 0 0 +3 +0 2 0xd5f 0x6d26b051 @@ -320790,6 +400988,8 @@ 1 0 0 +1 +3 4 0xc42c 0xe4bb5f6f @@ -320798,6 +400998,8 @@ 1 0 0 +1 +1 4 0x5c37 0xb5fafada @@ -320806,6 +401008,8 @@ 0 0 0 +2 +0 3 0x1285 0xbf85f4c5 @@ -320814,6 +401018,8 @@ 0 0 0 +3 +3 4 0xe00d 0xe3e4fbe2 @@ -320822,6 +401028,8 @@ 1 1 0 +0 +5 1 0xa629 0xed1b161f @@ -320830,6 +401038,8 @@ 1 1 0 +0 +2 4 0xc859 0xd7309b2b @@ -320838,6 +401048,8 @@ 0 0 0 +1 +0 2 0x981c 0xf75a258b @@ -320846,6 +401058,8 @@ 1 1 0 +4 +0 2 0x62f9 0x8a6079e3 @@ -320854,6 +401068,8 @@ 0 0 0 +5 +4 2 0xcd88 0x2eb3f142 @@ -320862,6 +401078,8 @@ 1 0 0 +0 +4 3 0xe2f8 0x876b6ef5 @@ -320870,6 +401088,8 @@ 1 0 0 +2 +3 3 0xee20 0xe5c585f7 @@ -320878,6 +401098,8 @@ 0 0 0 +2 +4 1 0x5b0f 0xbda8c109 @@ -320886,6 +401108,8 @@ 1 0 0 +0 +0 2 0x92aa 0x6a59b0d @@ -320894,6 +401118,8 @@ 0 0 0 +2 +5 4 0x5817 0x4b7860aa @@ -320902,6 +401128,8 @@ 1 0 0 +5 +2 1 0x3c2b 0x3f25993e @@ -320910,6 +401138,8 @@ 1 1 0 +2 +4 4 0xdfb1 0x8c57a5d6 @@ -320919,6 +401149,8 @@ 1 0 1 +1 +1 0xe7cb 0xb5251019 256 @@ -320927,6 +401159,8 @@ 0 0 1 +2 +1 0x2482 0x4ec8f376 256 @@ -320934,6 +401168,8 @@ 1 0 0 +2 +1 4 0x4c30 0x794f2911 @@ -320942,6 +401178,8 @@ 0 0 0 +4 +0 3 0x8aa6 0xb1d38171 @@ -320950,6 +401188,8 @@ 1 1 0 +3 +0 2 0xebcc 0x15b427df @@ -320958,6 +401198,8 @@ 0 0 0 +1 +5 4 0x81c6 0xe2f80f0c @@ -320966,6 +401208,8 @@ 0 0 0 +5 +2 4 0xc222 0x6b34d868 @@ -320974,6 +401218,8 @@ 1 1 0 +0 +5 3 0x5721 0x81196b94 @@ -320982,6 +401228,8 @@ 0 0 0 +3 +5 1 0x6440 0x238bf0b6 @@ -320990,6 +401238,8 @@ 1 0 0 +0 +2 2 0xcf93 0x9f82a609 @@ -320998,6 +401248,8 @@ 1 1 0 +4 +3 1 0x4d5d 0x5e52174f @@ -321006,6 +401258,8 @@ 1 0 0 +0 +0 4 0x6571 0xe22cbe5b @@ -321014,6 +401268,8 @@ 1 1 0 +0 +4 2 0xfdfe 0xaffabdcc @@ -321023,6 +401279,8 @@ 0 0 4 +1 +4 0xb7e9 0xcaae9f4d 256 @@ -321030,6 +401288,8 @@ 0 0 0 +5 +5 3 0xb9b1 0xe5e89a37 @@ -321038,6 +401298,8 @@ 1 0 0 +1 +3 2 0xdbd7 0x23ce0bb4 @@ -321046,6 +401308,8 @@ 0 0 0 +4 +0 1 0x5947 0x152d9dd9 @@ -321054,6 +401318,8 @@ 1 0 0 +5 +1 3 0xa5b1 0x873e6f65 @@ -321062,6 +401328,8 @@ 1 1 0 +0 +0 1 0xc761 0x15169781 @@ -321070,6 +401338,8 @@ 0 0 0 +2 +2 3 0x9176 0x36ff5483 @@ -321079,6 +401349,8 @@ 1 0 4 +5 +4 0x1f93 0xcbc1fcd9 256 @@ -321086,6 +401358,8 @@ 1 1 0 +0 +1 3 0xd1e7 0x33a15998 @@ -321094,6 +401368,8 @@ 1 1 0 +3 +5 2 0x63e1 0x356569bc @@ -321103,6 +401379,8 @@ 0 0 4 +0 +4 0x71e9 0xfc819af5 256 @@ -321110,6 +401388,8 @@ 1 1 0 +5 +5 1 0xd22d 0x10f7fd7f @@ -321119,6 +401399,8 @@ 0 0 3 +0 +3 0xd065 0x5e4cd622 256 @@ -321126,6 +401408,8 @@ 0 0 0 +2 +0 1 0x66f2 0xecbccc6f @@ -321134,6 +401418,8 @@ 1 0 0 +3 +1 4 0xad84 0x3ea617f0 @@ -321142,6 +401428,8 @@ 0 0 0 +5 +0 4 0xef7f 0x958b17d3 @@ -321151,6 +401439,8 @@ 0 0 2 +1 +2 0xf714 0xdb4ab372 256 @@ -321159,6 +401449,8 @@ 0 0 2 +4 +2 0x7b0 0x37d2d997 256 @@ -321166,6 +401458,8 @@ 0 0 0 +2 +1 1 0xa22a 0xddceedd2 @@ -321174,6 +401468,8 @@ 0 0 0 +2 +5 1 0xb88a 0x81405e3f @@ -321183,6 +401479,8 @@ 0 0 1 +1 +1 0x84c4 0x85d5758b 256 @@ -321190,6 +401488,8 @@ 0 0 0 +2 +0 3 0xcfc8 0xd5f357ad @@ -321198,7 +401498,9 @@ 0 0 0 -4 +2 +2 +4 0x7a91 0x66ff821f 256 @@ -321206,6 +401508,8 @@ 0 0 0 +5 +3 4 0x2959 0x1b1eaed2 @@ -321214,6 +401518,8 @@ 1 0 0 +2 +3 1 0x6e12 0x16e5755e @@ -321223,6 +401529,8 @@ 0 0 4 +2 +4 0xfc09 0xf9e4ef4 256 @@ -321230,6 +401538,8 @@ 0 0 0 +4 +2 1 0xcf58 0x5d63ef62 @@ -321238,6 +401548,8 @@ 0 0 0 +3 +4 2 0xa564 0x1ea95d31 @@ -321247,6 +401559,8 @@ 0 0 1 +0 +1 0x9933 0xa46b75f7 256 @@ -321254,6 +401568,8 @@ 1 1 0 +0 +1 1 0x3e53 0x151ff105 @@ -321262,6 +401578,8 @@ 0 0 0 +4 +4 1 0xa3b0 0x38ccff7 @@ -321270,6 +401588,8 @@ 1 1 0 +3 +1 2 0xc4d1 0x1d9c70a4 @@ -321278,6 +401598,8 @@ 0 0 0 +5 +1 3 0x809a 0x1d26b3f1 @@ -321286,6 +401608,8 @@ 0 0 0 +5 +2 2 0x76a4 0x14e6796c @@ -321294,6 +401618,8 @@ 0 0 0 +4 +2 1 0x44de 0x97579920 @@ -321302,6 +401628,8 @@ 1 1 0 +3 +5 1 0x9b56 0xd95f37c5 @@ -321310,6 +401638,8 @@ 1 1 0 +0 +0 3 0x4f78 0x3d9954f3 @@ -321318,6 +401648,8 @@ 1 0 0 +2 +2 3 0x629 0x492ffb66 @@ -321326,6 +401658,8 @@ 1 1 0 +3 +3 1 0xc92c 0x75654f26 @@ -321334,6 +401668,8 @@ 0 0 0 +1 +1 4 0x2e03 0x14f4cb8 @@ -321343,6 +401679,8 @@ 0 0 2 +0 +2 0xae17 0x3602d47 256 @@ -321351,6 +401689,8 @@ 0 0 3 +4 +3 0xa9c0 0x14b252ea 256 @@ -321358,6 +401698,8 @@ 1 0 0 +5 +3 4 0x2293 0x357e57f1 @@ -321366,6 +401708,8 @@ 1 1 0 +0 +5 1 0x52c9 0x5adb06b4 @@ -321374,6 +401718,8 @@ 1 0 0 +4 +4 1 0x2aba 0xfa17d98c @@ -321382,6 +401728,8 @@ 1 0 0 +0 +2 4 0xd448 0xf336c263 @@ -321390,6 +401738,8 @@ 0 0 0 +3 +0 4 0xe528 0x69544b14 @@ -321398,6 +401748,8 @@ 1 1 0 +0 +3 1 0xf643 0x58e0dba5 @@ -321406,6 +401758,8 @@ 1 0 0 +5 +4 2 0xb310 0x8c086112 @@ -321414,6 +401768,8 @@ 1 1 0 +0 +4 1 0xfb7c 0xeb9130ec @@ -321422,6 +401778,8 @@ 1 1 0 +5 +2 2 0x1e3d 0xf83816ca @@ -321430,6 +401788,8 @@ 1 0 0 +0 +2 3 0x7b65 0xc603c3e4 @@ -321438,6 +401798,8 @@ 0 0 0 +5 +3 1 0x19a5 0xe17ac63f @@ -321446,6 +401808,8 @@ 1 1 0 +0 +1 4 0xa9a4 0xdd77556d @@ -321454,6 +401818,8 @@ 1 0 0 +3 +1 4 0xe248 0xe0b6a5e4 @@ -321463,6 +401829,8 @@ 0 0 3 +0 +3 0x497c 0x66938fcb 256 @@ -321470,6 +401838,8 @@ 0 0 0 +2 +0 4 0xf2e8 0xbd6601da @@ -321478,6 +401848,8 @@ 1 0 0 +0 +0 2 0x69da 0x8f7a6c76 @@ -321486,6 +401858,8 @@ 1 1 0 +0 +1 2 0xf37 0xca21bbcf @@ -321494,6 +401868,8 @@ 0 0 0 +5 +3 4 0x247e 0x5d30a96b @@ -321502,6 +401878,8 @@ 1 1 0 +5 +0 1 0xbcc6 0x417e60d1 @@ -321510,6 +401888,8 @@ 0 0 0 +3 +0 4 0x8a10 0x6901958e @@ -321518,6 +401898,8 @@ 0 0 0 +2 +2 4 0xa581 0xc9c3ca61 @@ -321526,6 +401908,8 @@ 0 0 0 +2 +3 3 0x3a0d 0xa934dec2 @@ -321534,6 +401918,8 @@ 1 1 0 +1 +5 3 0xa71f 0xb4139155 @@ -321542,6 +401928,8 @@ 0 0 0 +4 +0 1 0x739c 0xf47a54bd @@ -321550,6 +401938,8 @@ 0 0 0 +5 +4 4 0xfb4d 0xc187dcdd @@ -321558,6 +401948,8 @@ 1 0 0 +3 +4 2 0x30ec 0xf7efa315 @@ -321566,6 +401958,8 @@ 1 1 0 +3 +2 1 0xf07b 0x1acadee0 @@ -321574,6 +401968,8 @@ 0 0 0 +4 +4 3 0x673e 0x679d8d2b @@ -321582,6 +401978,8 @@ 1 1 0 +2 +5 4 0x7db0 0x1e070bcf @@ -321590,6 +401988,8 @@ 0 0 0 +0 +4 3 0xf514 0x3147e0b3 @@ -321598,6 +401998,8 @@ 0 0 0 +0 +0 3 0x8a58 0xbd12d782 @@ -321606,6 +402008,8 @@ 0 0 0 +5 +0 4 0xf409 0xce6ba641 @@ -321614,6 +402018,8 @@ 1 1 0 +0 +1 3 0xc4c3 0x9a6c0198 @@ -321622,6 +402028,8 @@ 1 1 0 +1 +0 4 0x4987 0x3bb73ba4 @@ -321630,6 +402038,8 @@ 1 1 0 +4 +5 1 0xb0a8 0x564540d7 @@ -321638,6 +402048,8 @@ 0 0 0 +4 +1 3 0xea75 0x5ba1ceee @@ -321646,6 +402058,8 @@ 0 0 0 +5 +4 3 0xb81c 0x5c7708ea @@ -321654,6 +402068,8 @@ 0 0 0 +0 +0 2 0xfd6b 0xa4547e9d @@ -321662,6 +402078,8 @@ 0 0 0 +5 +3 1 0x8dbc 0x5b8d7fd5 @@ -321670,6 +402088,8 @@ 1 0 0 +3 +5 2 0xc58 0x57200373 @@ -321678,6 +402098,8 @@ 1 0 0 +0 +1 1 0x6b0f 0x50c3e94e @@ -321687,6 +402109,8 @@ 0 0 3 +5 +3 0x8243 0xbf6589c 256 @@ -321694,6 +402118,8 @@ 0 0 0 +5 +5 2 0x1e18 0xe7b4e9ba @@ -321702,6 +402128,8 @@ 1 1 0 +2 +2 4 0x73b0 0xde1e2b42 @@ -321710,6 +402138,8 @@ 1 0 0 +2 +4 4 0x5bad 0xde171e4c @@ -321718,6 +402148,8 @@ 1 1 0 +5 +4 4 0x279b 0x11047bc2 @@ -321726,6 +402158,8 @@ 1 0 0 +2 +4 1 0x3ae8 0xbbfbc024 @@ -321734,6 +402168,8 @@ 0 0 0 +1 +1 4 0xdbc5 0x8bda2021 @@ -321743,6 +402179,8 @@ 0 0 3 +0 +3 0x84ec 0x9e94642 256 @@ -321750,6 +402188,8 @@ 0 0 0 +3 +2 4 0x3a79 0xbb50b781 @@ -321758,6 +402198,8 @@ 0 0 0 +0 +1 1 0xb002 0x6b295abd @@ -321766,6 +402208,8 @@ 1 0 0 +3 +2 2 0x98bf 0xde1e21c2 @@ -321774,6 +402218,8 @@ 0 0 0 +0 +2 4 0xa94e 0x94814615 @@ -321782,6 +402228,8 @@ 1 0 0 +1 +3 2 0x47c3 0x52373971 @@ -321790,6 +402238,8 @@ 0 0 0 +5 +4 3 0x3789 0xc2878cc5 @@ -321798,6 +402248,8 @@ 1 0 0 +2 +3 1 0x6705 0x3941c310 @@ -321806,6 +402258,8 @@ 0 0 0 +1 +3 3 0x47d9 0xfdcff1f8 @@ -321815,6 +402269,8 @@ 1 0 3 +2 +3 0x8256 0xe508a9fb 256 @@ -321823,6 +402279,8 @@ 1 0 4 +4 +4 0x5d75 0x2efb4d01 256 @@ -321830,6 +402288,8 @@ 0 0 0 +5 +1 3 0x275 0xe000294c @@ -321838,6 +402298,8 @@ 0 0 0 +4 +1 1 0xa201 0xdf6d4cbb @@ -321846,6 +402308,8 @@ 0 0 0 +2 +2 3 0xd1da 0x27a1c5e9 @@ -321854,6 +402318,8 @@ 1 1 0 +4 +1 3 0x35f5 0xb6bbe1cf @@ -321862,6 +402328,8 @@ 0 0 0 +4 +2 1 0x526e 0x8915218d @@ -321871,6 +402339,8 @@ 0 0 1 +4 +1 0xb3f6 0xaca0fc0e 256 @@ -321878,6 +402348,8 @@ 1 0 0 +3 +0 2 0x9a7d 0xbc91e0d @@ -321886,6 +402358,8 @@ 1 1 0 +0 +0 2 0x1241 0x8f530458 @@ -321894,6 +402368,8 @@ 1 0 0 +5 +3 4 0xfc3f 0xcdc5842b @@ -321902,6 +402378,8 @@ 1 1 0 +0 +5 4 0x252e 0xf5552067 @@ -321910,6 +402388,8 @@ 1 1 0 +3 +1 1 0xfcb1 0xe67faf1d @@ -321918,6 +402398,8 @@ 1 1 0 +4 +1 2 0x4776 0x5ff81aef @@ -321926,6 +402408,8 @@ 0 0 0 +1 +2 2 0x62a0 0x1237a40c @@ -321935,6 +402419,8 @@ 0 0 3 +4 +3 0xf350 0xbfbc5797 256 @@ -321942,6 +402428,8 @@ 1 0 0 +4 +4 3 0x7525 0x43274abd @@ -321950,6 +402438,8 @@ 0 0 0 +4 +0 3 0xd875 0x5f0c3540 @@ -321958,6 +402448,8 @@ 0 0 0 +3 +1 1 0x8cd1 0xb645a3c2 @@ -321966,6 +402458,8 @@ 1 0 0 +5 +0 3 0xe2ae 0x49505d0e @@ -321974,6 +402468,8 @@ 0 0 0 +3 +2 4 0x8454 0xf5e878cc @@ -321982,6 +402478,8 @@ 1 0 0 +0 +0 4 0xbe1b 0xa1ab2ffc @@ -321990,6 +402488,8 @@ 0 0 0 +5 +5 3 0x2366 0x2fdada76 @@ -321998,6 +402498,8 @@ 1 0 0 +4 +2 2 0x2652 0xc1931e56 @@ -322006,6 +402508,8 @@ 0 0 0 +5 +5 4 0x32b1 0x3018d288 @@ -322014,6 +402518,8 @@ 0 0 0 +1 +1 3 0x897 0x4c3d150b @@ -322022,6 +402528,8 @@ 0 0 0 +2 +5 1 0x7630 0x38ab031d @@ -322030,6 +402538,8 @@ 0 0 0 +5 +0 2 0x7e38 0x9d0337a0 @@ -322038,6 +402548,8 @@ 1 0 0 +0 +5 3 0xd970 0x75ff6e4a @@ -322046,6 +402558,8 @@ 1 1 0 +1 +0 4 0x80bf 0xb09f637b @@ -322054,6 +402568,8 @@ 0 0 0 +2 +0 4 0xec11 0x6640f693 @@ -322063,6 +402579,8 @@ 1 0 3 +3 +3 0xa4a9 0x3972831c 256 @@ -322071,6 +402589,8 @@ 0 0 1 +0 +1 0x5c44 0xfb5cad30 256 @@ -322078,6 +402598,8 @@ 0 0 0 +4 +5 3 0xba81 0x5abf22fd @@ -322086,6 +402608,8 @@ 1 1 0 +4 +0 3 0x3dda 0x34ee391d @@ -322094,6 +402618,8 @@ 0 0 0 +0 +0 3 0xf970 0x363ee4fa @@ -322102,6 +402628,8 @@ 0 0 0 +4 +1 2 0x1b52 0xf83de025 @@ -322110,6 +402638,8 @@ 0 0 0 +5 +1 3 0x6738 0xfe56d805 @@ -322118,6 +402648,8 @@ 0 0 0 +4 +0 1 0xef44 0xd620c5fd @@ -322126,6 +402658,8 @@ 0 0 0 +0 +5 3 0x5fd3 0xd2104a87 @@ -322134,6 +402668,8 @@ 1 1 0 +3 +4 1 0xbebe 0x49280702 @@ -322142,6 +402678,8 @@ 1 0 0 +4 +2 1 0x2271 0x4548c058 @@ -322150,6 +402688,8 @@ 0 0 0 +4 +5 3 0x417 0x62df5ab8 @@ -322158,6 +402698,8 @@ 1 0 0 +4 +2 1 0x218a 0x3f195cd5 @@ -322166,6 +402708,8 @@ 0 0 0 +1 +3 3 0xbac9 0x2e763255 @@ -322175,6 +402719,8 @@ 0 0 3 +2 +3 0x8e63 0x677bc08c 256 @@ -322182,6 +402728,8 @@ 0 0 0 +5 +1 1 0xf9a7 0x2d1880ef @@ -322190,6 +402738,8 @@ 0 0 0 +5 +1 4 0xeb4d 0xcc8f4f37 @@ -322199,6 +402749,8 @@ 0 0 3 +2 +3 0xf883 0x8b664cd0 256 @@ -322206,6 +402758,8 @@ 1 1 0 +3 +0 2 0x58ca 0x407d840c @@ -322214,6 +402768,8 @@ 1 1 0 +4 +2 1 0x6499 0xe4687b7e @@ -322223,6 +402779,8 @@ 0 0 1 +0 +1 0x18c1 0x6f0f513f 256 @@ -322230,6 +402788,8 @@ 0 0 0 +5 +2 4 0x87f8 0x4e1abcff @@ -322238,6 +402798,8 @@ 1 1 0 +1 +1 2 0x4c17 0x5ea8e8e8 @@ -322246,6 +402808,8 @@ 0 0 0 +1 +5 3 0x2b10 0x18f1ced1 @@ -322254,6 +402818,8 @@ 0 0 0 +4 +3 2 0xd8cf 0x5451323b @@ -322262,6 +402828,8 @@ 0 0 0 +1 +3 4 0x7abe 0x277bb6f8 @@ -322270,6 +402838,8 @@ 0 0 0 +5 +5 4 0x8691 0xc6b33738 @@ -322278,6 +402848,8 @@ 1 0 0 +0 +3 1 0x64d8 0x28fc1f90 @@ -322286,6 +402858,8 @@ 0 0 0 +1 +4 4 0x359 0xbca8b024 @@ -322294,6 +402868,8 @@ 1 0 0 +2 +1 4 0x711c 0xb98eb0 @@ -322303,6 +402879,8 @@ 0 0 2 +5 +2 0x2104 0x934ee56d 256 @@ -322310,6 +402888,8 @@ 0 0 0 +4 +1 1 0x725c 0x9f6173b1 @@ -322318,6 +402898,8 @@ 0 0 0 +0 +0 2 0x5660 0xc96b11f7 @@ -322326,6 +402908,8 @@ 0 0 0 +0 +5 3 0xfe1c 0x8b50d58f @@ -322334,6 +402918,8 @@ 0 0 0 +2 +3 1 0xbe6 0xfd59a0ad @@ -322342,6 +402928,8 @@ 0 0 0 +4 +1 1 0xc2ff 0x4c54e704 @@ -322350,6 +402938,8 @@ 0 0 0 +4 +4 1 0xd79d 0xd0966db6 @@ -322358,6 +402948,8 @@ 1 1 0 +1 +0 4 0x2033 0x44efc354 @@ -322366,6 +402958,8 @@ 0 0 0 +5 +1 2 0xb 0x8f8f25d4 @@ -322374,6 +402968,8 @@ 0 0 0 +0 +4 3 0xda87 0xb3e43cac @@ -322383,6 +402979,8 @@ 0 0 1 +2 +1 0xb047 0x3718e491 256 @@ -322390,6 +402988,8 @@ 0 0 0 +4 +5 1 0xf218 0xbc5b1470 @@ -322398,6 +402998,8 @@ 1 0 0 +4 +2 3 0x9141 0x8f264004 @@ -322407,6 +403009,8 @@ 0 0 1 +5 +1 0x9d75 0x947b3815 256 @@ -322414,6 +403018,8 @@ 0 0 0 +5 +0 1 0xf904 0xdda67929 @@ -322422,6 +403028,8 @@ 1 0 0 +5 +5 1 0xb0de 0x627884ed @@ -322430,6 +403038,8 @@ 1 0 0 +5 +0 2 0x9c3c 0x45f13bf6 @@ -322438,6 +403048,8 @@ 0 0 0 +4 +4 2 0x7f00 0xcfae067d @@ -322446,6 +403058,8 @@ 1 0 0 +5 +0 3 0x32eb 0x72483a2e @@ -322454,6 +403068,8 @@ 1 0 0 +1 +3 2 0x4ee9 0xc9963f33 @@ -322462,6 +403078,8 @@ 1 1 0 +3 +3 2 0x3928 0x6e038eb5 @@ -322470,6 +403088,8 @@ 0 0 0 +4 +1 1 0xb372 0x9390ad6a @@ -322478,6 +403098,8 @@ 0 0 0 +4 +4 1 0x4c1 0xd59a6d @@ -322486,6 +403108,8 @@ 0 0 0 +5 +0 1 0xacfb 0xbf78c1b6 @@ -322494,6 +403118,8 @@ 0 0 0 +3 +1 2 0x2b30 0xe9dd2d48 @@ -322502,6 +403128,8 @@ 0 0 0 +5 +5 1 0x8cbe 0x48ad2ee4 @@ -322510,6 +403138,8 @@ 1 0 0 +4 +1 1 0x5e21 0x9ef4c13b @@ -322518,6 +403148,8 @@ 0 0 0 +0 +0 2 0xbf41 0xe207abf0 @@ -322526,6 +403158,8 @@ 1 0 0 +3 +4 2 0x8a19 0x6e010e51 @@ -322534,6 +403168,8 @@ 1 1 0 +0 +3 4 0xf40a 0xf31cabca @@ -322542,6 +403178,8 @@ 0 0 0 +0 +4 3 0x8009 0x56530cfe @@ -322550,6 +403188,8 @@ 0 0 0 +0 +0 4 0xd085 0x97daad47 @@ -322558,6 +403198,8 @@ 1 1 0 +2 +4 1 0xe054 0x77bddd81 @@ -322566,6 +403208,8 @@ 0 0 0 +2 +3 1 0x170 0x74d397e5 @@ -322574,6 +403218,8 @@ 1 1 0 +2 +4 1 0x8a3e 0x92ee6a08 @@ -322582,6 +403228,8 @@ 0 0 0 +1 +5 2 0x9516 0x2935c6ea @@ -322590,6 +403238,8 @@ 0 0 0 +4 +4 1 0x7745 0xda21a995 @@ -322598,6 +403248,8 @@ 1 0 0 +0 +1 4 0x2ba5 0x9b5240b @@ -322606,6 +403258,8 @@ 0 0 0 +5 +2 4 0xb60a 0xe43593ee @@ -322614,6 +403268,8 @@ 1 1 0 +3 +5 4 0x6777 0x94a143ee @@ -322622,6 +403278,8 @@ 0 0 0 +2 +1 4 0x9e9c 0xa2f54111 @@ -322630,6 +403288,8 @@ 0 0 0 +3 +5 1 0x84ec 0x82b4f695 @@ -322638,6 +403298,8 @@ 0 0 0 +4 +5 1 0x71d8 0x889426ad @@ -322646,6 +403308,8 @@ 0 0 0 +5 +3 4 0xa835 0x815d1c5e @@ -322654,6 +403318,8 @@ 0 0 0 +3 +1 2 0xee10 0x31c9c649 @@ -322662,6 +403328,8 @@ 0 0 0 +0 +0 4 0x5981 0x6f65c4af @@ -322670,6 +403338,8 @@ 0 0 0 +4 +5 3 0xbcf0 0x407cc6e0 @@ -322678,6 +403348,8 @@ 1 0 0 +0 +1 2 0xdc52 0xb3d0be2 @@ -322686,6 +403358,8 @@ 0 0 0 +5 +4 2 0x77d5 0xb259f430 @@ -322694,6 +403368,8 @@ 1 1 0 +5 +2 1 0xd185 0xb4bf4063 @@ -322703,6 +403379,8 @@ 0 0 3 +3 +3 0x24db 0xe391338 256 @@ -322710,6 +403388,8 @@ 0 0 0 +5 +4 4 0x2c0 0x9658924c @@ -322718,6 +403398,8 @@ 0 0 0 +2 +0 3 0xf413 0x3d8cb292 @@ -322727,6 +403409,8 @@ 1 0 1 +5 +1 0x6f8d 0x3c014a4f 256 @@ -322734,6 +403418,8 @@ 1 0 0 +3 +5 4 0xfe5c 0x99815332 @@ -322742,6 +403428,8 @@ 1 1 0 +4 +3 1 0xe9a9 0x46ec60be @@ -322751,6 +403439,8 @@ 1 0 4 +5 +4 0x5d52 0xbdebcc9f 256 @@ -322758,6 +403448,8 @@ 1 0 0 +0 +4 1 0xff6a 0x8b94730b @@ -322766,6 +403458,8 @@ 0 0 0 +0 +0 2 0x5429 0xd78ea645 @@ -322774,6 +403468,8 @@ 0 0 0 +3 +3 2 0xa3da 0x703cec8e @@ -322782,6 +403478,8 @@ 1 0 0 +3 +5 2 0xb8f7 0xfc903454 @@ -322790,6 +403488,8 @@ 0 0 0 +5 +1 4 0xca07 0xfd8c719e @@ -322799,6 +403499,8 @@ 0 0 1 +0 +1 0xee4d 0x91b3068e 256 @@ -322806,6 +403508,8 @@ 1 1 0 +2 +0 3 0x2551 0x5b11c04f @@ -322814,6 +403518,8 @@ 0 0 0 +3 +0 2 0x3575 0x6afc852d @@ -322822,6 +403528,8 @@ 0 0 0 +0 +3 2 0xe9f5 0x3d3c9259 @@ -322830,6 +403538,8 @@ 0 0 0 +4 +0 3 0xa746 0x9944144 @@ -322838,6 +403548,8 @@ 0 0 0 +0 +1 4 0x746b 0x1e2adb8a @@ -322846,6 +403558,8 @@ 0 0 0 +4 +2 1 0xd615 0x76b939e3 @@ -322855,6 +403569,8 @@ 0 0 3 +2 +3 0xb2b5 0x4ec8fce4 256 @@ -322862,6 +403578,8 @@ 1 0 0 +5 +3 1 0x6d5a 0xe8575f9a @@ -322870,6 +403588,8 @@ 1 0 0 +5 +0 4 0xe1b 0xa3fde903 @@ -322878,6 +403598,8 @@ 1 0 0 +2 +4 3 0xbc77 0xaa87d37c @@ -322886,6 +403608,8 @@ 1 1 0 +1 +1 3 0xb0c1 0x95bf8092 @@ -322894,6 +403618,8 @@ 0 0 0 +4 +1 2 0xd7b5 0xf11bcb72 @@ -322902,6 +403628,8 @@ 0 0 0 +5 +4 2 0x8370 0xa335808a @@ -322910,6 +403638,8 @@ 0 0 0 +5 +2 2 0x9f83 0x296d9484 @@ -322918,6 +403648,8 @@ 0 0 0 +3 +1 4 0xf042 0xf33fd4a5 @@ -322926,6 +403658,8 @@ 0 0 0 +0 +3 2 0x7c25 0x3253cfdc @@ -322934,6 +403668,8 @@ 0 0 0 +2 +5 1 0x4142 0xd7b4fc22 @@ -322942,6 +403678,8 @@ 1 0 0 +0 +5 2 0x73b2 0xb9a2ac64 @@ -322950,6 +403688,8 @@ 0 0 0 +0 +0 2 0xe20e 0x6db72390 @@ -322958,6 +403698,8 @@ 0 0 0 +4 +3 2 0x369a 0x7a673a4a @@ -322966,6 +403708,8 @@ 0 0 0 +5 +3 3 0x611b 0xfd135e95 @@ -322974,6 +403718,8 @@ 0 0 0 +1 +3 3 0xa1d4 0x831fbd48 @@ -322982,6 +403728,8 @@ 0 0 0 +0 +3 4 0x3cf7 0xe7fd8768 @@ -322990,6 +403738,8 @@ 1 1 0 +3 +3 1 0x88fb 0x8e1a7e8f @@ -322998,6 +403748,8 @@ 0 0 0 +3 +3 2 0x680b 0xfa0b64e4 @@ -323006,6 +403758,8 @@ 0 0 0 +0 +4 3 0x7c11 0x1552dacf @@ -323014,6 +403768,8 @@ 0 0 0 +1 +1 3 0xcbe5 0x18eaac8e @@ -323023,6 +403779,8 @@ 0 0 3 +0 +3 0x7adc 0x4f8070b6 256 @@ -323030,6 +403788,8 @@ 0 0 0 +5 +5 4 0xbfb6 0xd02e4ba1 @@ -323038,6 +403798,8 @@ 1 0 0 +5 +2 1 0x5942 0x9c68871b @@ -323046,6 +403808,8 @@ 0 0 0 +0 +3 3 0x68f8 0x30ea54a9 @@ -323054,6 +403818,8 @@ 0 0 0 +1 +2 2 0xd9c4 0x673ec7e8 @@ -323062,6 +403828,8 @@ 1 1 0 +2 +3 1 0xb3dc 0x8baf30fb @@ -323070,6 +403838,8 @@ 1 1 0 +2 +5 1 0x3d5c 0x88c8166a @@ -323078,6 +403848,8 @@ 0 0 0 +3 +5 1 0x5a6c 0x7953f55 @@ -323086,6 +403858,8 @@ 0 0 0 +4 +1 3 0x3b89 0xdc0e5446 @@ -323094,6 +403868,8 @@ 0 0 0 +3 +4 4 0x4382 0xb46b5710 @@ -323102,6 +403878,8 @@ 0 0 0 +4 +4 3 0xb86 0x3dae845 @@ -323110,6 +403888,8 @@ 1 1 0 +0 +1 3 0xb67a 0x1ca4e75d @@ -323118,6 +403898,8 @@ 0 0 0 +0 +0 4 0xe1c2 0xf0e6d24e @@ -323126,6 +403908,8 @@ 1 1 0 +4 +3 1 0xd79a 0xbbedc8ec @@ -323134,6 +403918,8 @@ 0 0 0 +0 +2 2 0x4d3d 0xbd343ede @@ -323142,6 +403928,8 @@ 1 0 0 +4 +4 2 0xccbd 0x3f049b4e @@ -323150,6 +403938,8 @@ 0 0 0 +0 +1 2 0xf3d0 0x2213c516 @@ -323158,6 +403948,8 @@ 0 0 0 +4 +4 3 0x8a00 0x7c5725bc @@ -323166,6 +403958,8 @@ 1 1 0 +5 +1 1 0xe79f 0xe5723d9e @@ -323174,6 +403968,8 @@ 1 1 0 +2 +3 1 0xdbfc 0x5dd3ed14 @@ -323183,6 +403979,8 @@ 0 0 2 +2 +2 0x6954 0xf15b82e0 256 @@ -323190,6 +403988,8 @@ 0 0 0 +2 +4 3 0x7d8e 0xff225bbc @@ -323198,6 +403998,8 @@ 1 1 0 +0 +3 3 0x65a4 0x7950b3ac @@ -323206,6 +404008,8 @@ 0 0 0 +3 +0 2 0x7a50 0xf50a4802 @@ -323215,6 +404019,8 @@ 0 0 4 +5 +4 0x481e 0xf3cce3 256 @@ -323223,6 +404029,8 @@ 0 0 1 +1 +1 0xddb8 0x3d1f830c 256 @@ -323230,6 +404038,8 @@ 1 1 0 +2 +3 1 0xbf5f 0x30dcdad3 @@ -323239,6 +404049,8 @@ 0 0 2 +0 +2 0x17b6 0x476a9b69 256 @@ -323246,6 +404058,8 @@ 1 1 0 +2 +5 3 0x820e 0x29eeaf81 @@ -323254,6 +404068,8 @@ 1 1 0 +1 +4 2 0x4568 0xd337e518 @@ -323262,6 +404078,8 @@ 1 1 0 +2 +3 3 0xe2b8 0xd9935ad7 @@ -323270,6 +404088,8 @@ 0 0 0 +5 +1 1 0x71dc 0xc947d6d4 @@ -323279,6 +404099,8 @@ 0 0 2 +4 +2 0x59f0 0x501bf5b3 256 @@ -323287,6 +404109,8 @@ 0 0 2 +4 +2 0x4e4e 0x5859c297 256 @@ -323294,6 +404118,8 @@ 1 0 0 +1 +1 3 0xa3da 0x841660b8 @@ -323302,6 +404128,8 @@ 1 0 0 +0 +5 1 0x7c17 0x11c05db @@ -323310,6 +404138,8 @@ 0 0 0 +2 +1 1 0xff31 0x5634ceef @@ -323318,6 +404148,8 @@ 0 0 0 +2 +3 1 0xb8fb 0x73bc45a0 @@ -323327,6 +404159,8 @@ 0 0 2 +5 +2 0x53e8 0x9917cc64 256 @@ -323334,6 +404168,8 @@ 1 1 0 +4 +4 3 0xa7a9 0x38777425 @@ -323342,6 +404178,8 @@ 1 0 0 +1 +4 2 0x154c 0x1b3f8266 @@ -323350,6 +404188,8 @@ 1 1 0 +2 +2 4 0xf475 0x7a9b025b @@ -323358,6 +404198,8 @@ 0 0 0 +3 +4 4 0x7b9 0x2ef6cb95 @@ -323366,6 +404208,8 @@ 0 0 0 +3 +0 1 0x7eb4 0x60005864 @@ -323374,6 +404218,8 @@ 0 0 0 +0 +4 1 0xbace 0xd68c651d @@ -323382,6 +404228,8 @@ 1 1 0 +5 +0 3 0x52d6 0x46dbc999 @@ -323390,6 +404238,8 @@ 1 1 0 +4 +3 1 0x35f 0xb66852a0 @@ -323398,6 +404248,8 @@ 0 0 0 +0 +2 1 0x3dce 0x3b755cac @@ -323407,6 +404259,8 @@ 0 0 2 +3 +2 0x4bd8 0xaf7d31ea 256 @@ -323414,6 +404268,8 @@ 1 0 0 +4 +0 3 0xd017 0x157fa08a @@ -323422,6 +404278,8 @@ 0 0 0 +1 +4 3 0x1f36 0x154da89b @@ -323430,6 +404288,8 @@ 1 1 0 +2 +5 4 0x77d8 0x2a399924 @@ -323438,6 +404298,8 @@ 0 0 0 +3 +1 4 0x405 0x3d40b8b7 @@ -323446,6 +404308,8 @@ 0 0 0 +3 +3 1 0x9120 0x586096e6 @@ -323455,6 +404319,8 @@ 0 0 3 +0 +3 0x6ba4 0x10cc8677 256 @@ -323462,6 +404328,8 @@ 0 0 0 +1 +5 4 0x84fc 0x298bd79c @@ -323470,6 +404338,8 @@ 1 0 0 +0 +4 4 0xb1ea 0x2949ac5a @@ -323479,6 +404349,8 @@ 0 0 4 +1 +4 0x1f56 0x817171f9 256 @@ -323486,6 +404358,8 @@ 1 0 0 +3 +5 2 0x955a 0xb03e45ab @@ -323495,6 +404369,8 @@ 0 0 2 +5 +2 0xfe1e 0x69034cc5 256 @@ -323503,6 +404379,8 @@ 0 0 3 +2 +3 0x5f42 0xb01bb049 256 @@ -323510,6 +404388,8 @@ 0 0 0 +2 +4 4 0x2e33 0xaef0e563 @@ -323518,6 +404398,8 @@ 1 1 0 +4 +5 3 0x9d0a 0xb3416c62 @@ -323526,6 +404408,8 @@ 0 0 0 +5 +3 3 0x538c 0xa64b9a7d @@ -323534,6 +404418,8 @@ 1 1 0 +3 +2 4 0xe441 0x99d1f9a2 @@ -323542,6 +404428,8 @@ 1 0 0 +1 +3 3 0x3cc3 0xf7f5aac5 @@ -323550,6 +404438,8 @@ 1 0 0 +5 +0 4 0x4b2d 0xe55a8acf @@ -323558,6 +404448,8 @@ 0 0 0 +3 +1 1 0xec07 0x3a7fd37f @@ -323566,6 +404458,8 @@ 0 0 0 +3 +4 1 0xd5c 0x4868387c @@ -323574,6 +404468,8 @@ 0 0 0 +1 +4 4 0x103a 0x4cd56b45 @@ -323582,6 +404478,8 @@ 0 0 0 +4 +4 3 0xd9b 0x4d13e7b6 @@ -323590,6 +404488,8 @@ 1 0 0 +3 +5 4 0x10b5 0x4038d766 @@ -323598,6 +404498,8 @@ 0 0 0 +4 +1 1 0x93a 0x1eb7eb14 @@ -323606,6 +404508,8 @@ 1 0 0 +1 +2 2 0xd3cd 0xdf5b37b0 @@ -323614,6 +404518,8 @@ 0 0 0 +3 +0 2 0x6135 0xfb8f1b57 @@ -323622,6 +404528,8 @@ 1 1 0 +3 +0 2 0x1ff7 0xab814d1b @@ -323630,6 +404538,8 @@ 0 0 0 +0 +4 3 0x5660 0x7ee61736 @@ -323638,6 +404548,8 @@ 1 1 0 +3 +3 4 0x60f0 0x9168b5a8 @@ -323646,6 +404558,8 @@ 1 1 0 +5 +3 1 0xbef5 0xae0161dd @@ -323654,6 +404568,8 @@ 0 0 0 +3 +1 2 0x91e8 0x614a0f0f @@ -323662,6 +404578,8 @@ 1 1 0 +5 +2 3 0x10e3 0x204f8a9f @@ -323670,6 +404588,8 @@ 1 0 0 +5 +1 3 0xfe4c 0x978be248 @@ -323678,6 +404598,8 @@ 1 1 0 +1 +2 3 0xe922 0x2d3c638f @@ -323686,6 +404608,8 @@ 0 0 0 +0 +5 2 0xb628 0x4ed58f50 @@ -323694,6 +404618,8 @@ 0 0 0 +4 +2 1 0x61a0 0x28ee3d67 @@ -323703,6 +404629,8 @@ 1 0 4 +4 +4 0x52db 0x263aa010 256 @@ -323710,6 +404638,8 @@ 1 0 0 +2 +5 4 0x2ed6 0xa9b32d1a @@ -323718,6 +404648,8 @@ 0 0 0 +0 +3 2 0xb2f6 0xfc5f660e @@ -323727,6 +404659,8 @@ 0 0 4 +4 +4 0x31ef 0x5226b3d0 256 @@ -323734,6 +404668,8 @@ 0 0 0 +5 +2 4 0xe1 0x4689d0cd @@ -323742,6 +404678,8 @@ 1 1 0 +2 +0 1 0xd19a 0xf0a479dd @@ -323750,6 +404688,8 @@ 0 0 0 +4 +4 1 0x7863 0xc3dde7f4 @@ -323758,6 +404698,8 @@ 1 1 0 +2 +5 1 0x3a14 0xbe1ca5d @@ -323766,6 +404708,8 @@ 1 0 0 +2 +4 4 0xa3ea 0x87cde979 @@ -323775,6 +404719,8 @@ 0 0 2 +4 +2 0xc47b 0x70a0823 256 @@ -323783,6 +404729,8 @@ 0 0 4 +3 +4 0x522c 0xbaf0fa95 256 @@ -323790,6 +404738,8 @@ 1 0 0 +1 +0 2 0xaff9 0x1cb32f41 @@ -323798,6 +404748,8 @@ 0 0 0 +4 +3 2 0x8985 0xf22bf8be @@ -323807,6 +404759,8 @@ 0 0 4 +5 +4 0x966f 0x6e63b3a1 256 @@ -323814,6 +404768,8 @@ 0 0 0 +4 +2 3 0x1ffb 0x726b1b46 @@ -323823,6 +404779,8 @@ 0 0 4 +2 +4 0xf8a8 0xd6b0990e 256 @@ -323831,6 +404789,8 @@ 0 0 2 +5 +2 0x89ec 0x488b53cf 256 @@ -323838,6 +404798,8 @@ 0 0 0 +3 +4 2 0xa1cd 0x1f335d6 @@ -323846,6 +404808,8 @@ 1 1 0 +5 +3 1 0xfabd 0x2c3d4f19 @@ -323854,6 +404818,8 @@ 1 1 0 +4 +0 2 0xe8b4 0xbc7e9fa @@ -323862,6 +404828,8 @@ 0 0 0 +1 +0 2 0xcd60 0x3b54d87 @@ -323870,6 +404838,8 @@ 1 1 0 +5 +3 3 0xee50 0xbb1d65c9 @@ -323878,6 +404848,8 @@ 1 0 0 +4 +1 2 0xac41 0x3d449e2f @@ -323886,6 +404858,8 @@ 1 0 0 +0 +1 1 0xf18c 0x25a7cdad @@ -323894,6 +404868,8 @@ 0 0 0 +3 +0 2 0x5f96 0xefc6069f @@ -323902,6 +404878,8 @@ 1 0 0 +1 +1 2 0x78f6 0xda79d837 @@ -323910,6 +404888,8 @@ 1 0 0 +1 +4 4 0xa9e5 0x7c5358e2 @@ -323918,6 +404898,8 @@ 1 0 0 +5 +3 3 0x64f8 0x378d4243 @@ -323926,6 +404908,8 @@ 0 0 0 +0 +2 1 0x46f1 0x52f30983 @@ -323934,6 +404918,8 @@ 1 0 0 +4 +1 3 0xe9a9 0x9f6bde80 @@ -323943,6 +404929,8 @@ 0 0 2 +4 +2 0xde63 0x70a94869 256 @@ -323950,6 +404938,8 @@ 0 0 0 +1 +5 2 0x6bff 0xb11d7713 @@ -323958,6 +404948,8 @@ 0 0 0 +4 +3 1 0x9782 0x1a52e5ae @@ -323966,6 +404958,8 @@ 1 0 0 +1 +4 3 0x8045 0x67033d44 @@ -323974,6 +404968,8 @@ 0 0 0 +1 +0 4 0xfaa4 0x6e7ff7ba @@ -323982,6 +404978,8 @@ 0 0 0 +3 +2 1 0x1f27 0x6522d874 @@ -323990,6 +404988,8 @@ 1 0 0 +5 +1 2 0x6e35 0x74af2c82 @@ -323999,6 +404999,8 @@ 0 0 2 +1 +2 0x2874 0x5720b00f 256 @@ -324006,6 +405008,8 @@ 1 0 0 +5 +4 4 0xe360 0xb311dd0f @@ -324014,6 +405018,8 @@ 0 0 0 +3 +4 2 0xebba 0x57a99230 @@ -324023,6 +405029,8 @@ 1 0 3 +5 +3 0x681d 0x71fbd2b1 256 @@ -324031,6 +405039,8 @@ 1 0 2 +5 +2 0x8213 0xee250bd5 256 @@ -324038,6 +405048,8 @@ 1 0 0 +2 +1 1 0x9ccd 0x240b9bf3 @@ -324046,6 +405058,8 @@ 0 0 0 +3 +1 4 0x5e70 0x9a927cd6 @@ -324054,6 +405068,8 @@ 1 0 0 +0 +5 2 0xa3e8 0x6d94c281 @@ -324062,6 +405078,8 @@ 1 1 0 +4 +5 2 0xc97c 0x1c6ffa8a @@ -324071,6 +405089,8 @@ 0 0 3 +1 +3 0xd92 0xb3caeedf 256 @@ -324078,6 +405098,8 @@ 0 0 0 +1 +5 4 0xb11d 0xc697096d @@ -324086,6 +405108,8 @@ 0 0 0 +1 +5 3 0x993 0xf5dddf9a @@ -324094,6 +405118,8 @@ 0 0 0 +4 +1 3 0x445e 0xd7d3b6f4 @@ -324102,6 +405128,8 @@ 1 1 0 +3 +2 2 0x6ebb 0x38a77518 @@ -324110,6 +405138,8 @@ 1 1 0 +1 +3 3 0xe115 0x572a6af6 @@ -324118,6 +405148,8 @@ 0 0 0 +1 +4 4 0x51e5 0x34aff121 @@ -324126,6 +405158,8 @@ 0 0 0 +1 +5 4 0x1675 0xd23769b3 @@ -324134,6 +405168,8 @@ 0 0 0 +4 +2 2 0x432 0xf9468ad @@ -324142,6 +405178,8 @@ 1 1 0 +5 +0 2 0x3c38 0x466b6df4 @@ -324151,6 +405189,8 @@ 0 0 2 +1 +2 0x34cd 0x8afdb2a3 256 @@ -324158,6 +405198,8 @@ 1 0 0 +5 +4 4 0x3a00 0x2d299ef9 @@ -324167,6 +405209,8 @@ 0 0 2 +2 +2 0xd65f 0xb7c13a5b 256 @@ -324174,6 +405218,8 @@ 0 0 0 +0 +5 1 0x4e25 0xc2dd2ce0 @@ -324182,6 +405228,8 @@ 1 0 0 +1 +2 4 0x5c23 0x5441c5c1 @@ -324190,6 +405238,8 @@ 1 0 0 +2 +5 3 0xdde4 0x26f3791c @@ -324198,6 +405248,8 @@ 1 1 0 +0 +1 3 0x2474 0x35c0f2cf @@ -324206,6 +405258,8 @@ 1 0 0 +3 +5 2 0x5c2 0xe4b2340b @@ -324214,6 +405268,8 @@ 0 0 0 +0 +1 1 0x1662 0xb411f301 @@ -324222,6 +405278,8 @@ 1 0 0 +1 +0 3 0x41f0 0xc6f9b576 @@ -324230,6 +405288,8 @@ 0 0 0 +1 +1 2 0x8851 0xabb254ce @@ -324239,6 +405299,8 @@ 0 0 4 +3 +4 0x6d1c 0x48a89183 256 @@ -324246,6 +405308,8 @@ 0 0 0 +5 +4 3 0x5ef3 0xeb1acf1f @@ -324254,6 +405318,8 @@ 0 0 0 +2 +2 1 0x68e5 0xcb0bf064 @@ -324263,6 +405329,8 @@ 0 0 4 +2 +4 0xd3d2 0x7dbc3bec 256 @@ -324270,6 +405338,8 @@ 0 0 0 +1 +2 4 0x6b21 0x3066bd4d @@ -324278,6 +405348,8 @@ 0 0 0 +3 +0 2 0xf088 0xb3f55af @@ -324286,6 +405358,8 @@ 1 1 0 +1 +1 2 0xdc15 0x6fc25ef @@ -324295,6 +405369,8 @@ 0 0 2 +1 +2 0x64b4 0x73e8e61 256 @@ -324302,6 +405378,8 @@ 0 0 0 +5 +4 1 0xa52d 0xc69169e5 @@ -324310,6 +405388,8 @@ 1 1 0 +2 +3 3 0xd373 0xda7daf6c @@ -324318,6 +405398,8 @@ 1 0 0 +2 +5 3 0x5006 0xe05b4d9e @@ -324326,6 +405408,8 @@ 0 0 0 +0 +1 2 0x51c6 0xb05e55b6 @@ -324334,6 +405418,8 @@ 0 0 0 +0 +2 1 0xdbbd 0x61098b1a @@ -324342,6 +405428,8 @@ 1 1 0 +2 +3 1 0x8e0a 0x6ed2965d @@ -324351,6 +405439,8 @@ 1 0 4 +5 +4 0xd24a 0xe5b91a97 256 @@ -324358,6 +405448,8 @@ 0 0 0 +2 +2 1 0x2da9 0xe801bf47 @@ -324366,6 +405458,8 @@ 0 0 0 +4 +2 1 0xc0b3 0xe492f5c0 @@ -324375,6 +405469,8 @@ 0 0 4 +2 +4 0x2398 0xe55996cb 256 @@ -324382,6 +405478,8 @@ 0 0 0 +4 +3 1 0x3e8e 0x3f31f01c @@ -324390,6 +405488,8 @@ 1 1 0 +4 +3 2 0x427d 0xc9aceb3e @@ -324398,6 +405498,8 @@ 1 0 0 +1 +5 3 0x499c 0x20873e02 @@ -324406,6 +405508,8 @@ 0 0 0 +2 +2 3 0xe33c 0x5f3dfea4 @@ -324414,6 +405518,8 @@ 1 0 0 +2 +1 1 0xb48a 0x2d7d25c9 @@ -324422,6 +405528,8 @@ 0 0 0 +4 +0 1 0xb563 0xc8339d47 @@ -324431,6 +405539,8 @@ 0 0 2 +1 +2 0x3498 0xdb1bb3b8 256 @@ -324438,6 +405548,8 @@ 0 0 0 +2 +0 4 0x51be 0x2048fada @@ -324446,6 +405558,8 @@ 1 0 0 +1 +3 2 0xcff6 0xf0144c49 @@ -324454,6 +405568,8 @@ 0 0 0 +3 +3 1 0x68b7 0xda69a42d @@ -324462,6 +405578,8 @@ 1 0 0 +5 +0 3 0xc60b 0x9e450a4 @@ -324470,6 +405588,8 @@ 0 0 0 +2 +4 4 0xe45d 0x8e07c3ed @@ -324478,6 +405598,8 @@ 0 0 0 +2 +4 3 0xd36d 0xe4c9e0a9 @@ -324486,6 +405608,8 @@ 1 1 0 +4 +0 2 0xc09a 0x54e595f8 @@ -324495,6 +405619,8 @@ 0 0 3 +2 +3 0x1ff5 0x5e8ed46a 256 @@ -324502,6 +405628,8 @@ 0 0 0 +0 +3 4 0xd185 0x3e9fcb8b @@ -324510,6 +405638,8 @@ 1 0 0 +2 +5 3 0x4963 0x6597deaa @@ -324518,6 +405648,8 @@ 1 0 0 +3 +5 2 0xa891 0x37436325 @@ -324526,6 +405658,8 @@ 0 0 0 +5 +5 4 0x2c05 0x9e5999c4 @@ -324534,6 +405668,8 @@ 1 0 0 +2 +4 3 0xfc8b 0xf8cb4e75 @@ -324542,6 +405678,8 @@ 1 1 0 +0 +3 3 0x59c3 0xe2edf161 @@ -324550,6 +405688,8 @@ 0 0 0 +4 +4 1 0x58b6 0x17a63471 @@ -324558,6 +405698,8 @@ 1 0 0 +5 +4 1 0x3839 0x62c27e60 @@ -324566,6 +405708,8 @@ 1 1 0 +3 +0 4 0x60e6 0x8b430872 @@ -324574,6 +405718,8 @@ 0 0 0 +5 +2 3 0xd8d7 0x31f4532d @@ -324582,6 +405728,8 @@ 0 0 0 +5 +4 3 0x7eac 0xc03514b9 @@ -324590,6 +405738,8 @@ 1 1 0 +1 +4 3 0x457b 0x6700c69d @@ -324598,6 +405748,8 @@ 0 0 0 +1 +2 4 0xa997 0x99cdba3d @@ -324606,6 +405758,8 @@ 0 0 0 +1 +3 4 0x5a01 0xc4568f86 @@ -324614,6 +405768,8 @@ 1 1 0 +1 +5 2 0xa775 0x9b95f3d0 @@ -324622,6 +405778,8 @@ 1 1 0 +3 +3 1 0xa2de 0x5a035027 @@ -324630,6 +405788,8 @@ 0 0 0 +1 +3 2 0xa63 0x9c240da9 @@ -324638,6 +405798,8 @@ 0 0 0 +4 +4 2 0x6456 0xd0484ebe @@ -324646,6 +405808,8 @@ 0 0 0 +4 +5 3 0xc251 0x414b62da @@ -324654,6 +405818,8 @@ 0 0 0 +5 +1 2 0x9e76 0xf8f529b7 @@ -324662,6 +405828,8 @@ 1 0 0 +3 +0 4 0x67f 0xdd60759d @@ -324671,6 +405839,8 @@ 0 0 3 +5 +3 0xec40 0xba9503f1 256 @@ -324678,6 +405848,8 @@ 0 0 0 +3 +2 1 0xf19d 0x3db7e7da @@ -324686,6 +405858,8 @@ 1 1 0 +5 +3 3 0x8529 0xcb25e64e @@ -324694,6 +405868,8 @@ 1 1 0 +4 +1 2 0x8c52 0xf7dbe907 @@ -324702,6 +405878,8 @@ 0 0 0 +0 +2 1 0xd723 0xf1852c81 @@ -324710,6 +405888,8 @@ 0 0 0 +1 +1 4 0x15bc 0x948f0472 @@ -324718,6 +405898,8 @@ 1 0 0 +3 +3 2 0x6b4c 0xa55ffbba @@ -324726,6 +405908,8 @@ 0 0 0 +0 +4 1 0x266b 0xc4e0aa06 @@ -324734,6 +405918,8 @@ 0 0 0 +4 +1 1 0x7b24 0xdbaaae85 @@ -324742,6 +405928,8 @@ 1 0 0 +4 +1 2 0x4af4 0x889d1251 @@ -324750,6 +405938,8 @@ 0 0 0 +2 +2 4 0x5b50 0xe7208db1 @@ -324758,6 +405948,8 @@ 0 0 0 +5 +5 1 0x9a8e 0x35aa1b2c @@ -324767,6 +405959,8 @@ 0 0 4 +1 +4 0xeb19 0x8aa7393a 256 @@ -324774,6 +405968,8 @@ 1 1 0 +2 +0 1 0xbf98 0xb2921961 @@ -324783,6 +405979,8 @@ 1 0 3 +0 +3 0xf145 0x32d6e34d 256 @@ -324790,6 +405988,8 @@ 1 1 0 +2 +2 4 0x20d 0xc070b94 @@ -324798,6 +405998,8 @@ 0 0 0 +1 +2 4 0x7e36 0x7eeb1c3f @@ -324807,6 +406009,8 @@ 0 0 1 +4 +1 0x3c62 0xcd288b45 256 @@ -324814,6 +406018,8 @@ 0 0 0 +3 +3 2 0x28f7 0x7d2bd71e @@ -324822,6 +406028,8 @@ 0 0 0 +4 +3 1 0x9833 0xc94e03 @@ -324830,6 +406038,8 @@ 0 0 0 +1 +1 4 0x17d7 0xa2946f43 @@ -324838,6 +406048,8 @@ 1 0 0 +2 +1 4 0xfcdd 0xa6488655 @@ -324847,6 +406059,8 @@ 1 0 3 +1 +3 0x1eac 0x6467cc42 256 @@ -324854,6 +406068,8 @@ 0 0 0 +1 +1 3 0x9f27 0xe9e4afdd @@ -324862,6 +406078,8 @@ 0 0 0 +5 +1 3 0x14cf 0x88e96253 @@ -324870,6 +406088,8 @@ 0 0 0 +0 +4 1 0xbf62 0x36eb9fc7 @@ -324878,6 +406098,8 @@ 0 0 0 +5 +0 3 0xa66c 0xc100ad08 @@ -324886,6 +406108,8 @@ 0 0 0 +4 +4 1 0xf277 0x604dafc6 @@ -324894,6 +406118,8 @@ 0 0 0 +0 +5 3 0xfadf 0x705b53be @@ -324902,6 +406128,8 @@ 0 0 0 +1 +2 4 0xa0a8 0x1510412c @@ -324910,6 +406138,8 @@ 0 0 0 +1 +2 3 0x306e 0x9bc53449 @@ -324918,6 +406148,8 @@ 0 0 0 +3 +4 4 0x262 0xfe3fa55c @@ -324926,6 +406158,8 @@ 1 0 0 +2 +1 1 0x289a 0x5c62b5c2 @@ -324934,6 +406168,8 @@ 0 0 0 +4 +4 2 0x91a3 0xb7c23275 @@ -324942,6 +406178,8 @@ 1 1 0 +2 +0 4 0xdd5e 0x54ba6420 @@ -324950,6 +406188,8 @@ 1 0 0 +4 +0 2 0x47bb 0xd05175c3 @@ -324958,6 +406198,8 @@ 1 1 0 +2 +1 3 0x39fa 0x96fb6c1e @@ -324966,6 +406208,8 @@ 1 1 0 +4 +3 2 0x2d9b 0xe831de5 @@ -324975,6 +406219,8 @@ 0 0 4 +0 +4 0x7bbf 0xa8fe045d 256 @@ -324982,6 +406228,8 @@ 0 0 0 +0 +4 1 0x4c8b 0x5e4a6f54 @@ -324990,6 +406238,8 @@ 0 0 0 +0 +4 3 0x7c13 0xedb4507f @@ -324998,6 +406248,8 @@ 1 1 0 +5 +0 1 0xd08b 0x933353a1 @@ -325007,6 +406259,8 @@ 1 0 2 +3 +2 0xd47 0x487e4986 256 @@ -325015,6 +406269,8 @@ 0 0 1 +5 +1 0x74a2 0xb7968d98 256 @@ -325022,6 +406278,8 @@ 1 1 0 +0 +1 1 0xb9b7 0xff4260ed @@ -325030,6 +406288,8 @@ 0 0 0 +4 +1 1 0x95cc 0x74ebae88 @@ -325038,6 +406298,8 @@ 0 0 0 +4 +5 2 0x6c80 0xd99774a1 @@ -325046,6 +406308,8 @@ 1 0 0 +1 +4 3 0xcde5 0xa455f739 @@ -325054,6 +406318,8 @@ 0 0 0 +3 +3 4 0xbfa9 0x1bc245e3 @@ -325062,6 +406328,8 @@ 1 0 0 +4 +4 1 0x967d 0x8d404bf4 @@ -325070,6 +406338,8 @@ 0 0 0 +5 +5 1 0x559b 0x84a52cb6 @@ -325078,6 +406348,8 @@ 1 1 0 +0 +0 2 0xfb61 0xd70742fe @@ -325086,6 +406358,8 @@ 0 0 0 +3 +1 2 0xe03c 0xbba080d6 @@ -325095,6 +406369,8 @@ 1 0 2 +2 +2 0x4a40 0xbc14b21e 256 @@ -325102,6 +406378,8 @@ 0 0 0 +0 +3 3 0x840a 0xede5d26d @@ -325110,6 +406388,8 @@ 1 1 0 +0 +5 3 0xe3ba 0xc8eb7a51 @@ -325119,6 +406399,8 @@ 0 0 4 +4 +4 0xeb99 0xaf820cc9 256 @@ -325126,6 +406408,8 @@ 1 0 0 +1 +3 4 0x6172 0x357ea815 @@ -325134,6 +406418,8 @@ 0 0 0 +5 +5 2 0x480 0x6c64b9f8 @@ -325142,6 +406428,8 @@ 0 0 0 +5 +1 2 0x6cee 0xb059ff4f @@ -325150,6 +406438,8 @@ 1 0 0 +4 +5 3 0x40b2 0x860acca4 @@ -325158,6 +406448,8 @@ 1 1 0 +2 +4 3 0x929f 0xcbbce96f @@ -325167,6 +406459,8 @@ 1 0 4 +0 +4 0x8f34 0x70a1f621 256 @@ -325175,6 +406469,8 @@ 0 0 4 +0 +4 0x27f8 0x30614340 256 @@ -325182,6 +406478,8 @@ 1 0 0 +0 +0 4 0xfb8c 0x6aea721e @@ -325191,6 +406489,8 @@ 0 0 4 +3 +4 0x2266 0xac5f637d 256 @@ -325199,6 +406499,8 @@ 1 0 1 +3 +1 0x9f6a 0x782cc218 256 @@ -325206,6 +406508,8 @@ 0 0 0 +0 +0 3 0xed5b 0x1e915aaa @@ -325214,6 +406518,8 @@ 1 0 0 +4 +1 1 0x3427 0xe4e9833a @@ -325222,6 +406528,8 @@ 1 0 0 +3 +3 4 0xd8a4 0x7cbeaba @@ -325231,6 +406539,8 @@ 0 0 4 +2 +4 0x6b15 0x96270db7 256 @@ -325238,6 +406548,8 @@ 0 0 0 +0 +1 2 0xcae 0xe9f76e02 @@ -325246,6 +406558,8 @@ 1 1 0 +4 +5 2 0xe5ed 0x136cdb5 @@ -325254,6 +406568,8 @@ 1 1 0 +1 +3 4 0xbf55 0x9bcd0594 @@ -325262,6 +406578,8 @@ 0 0 0 +5 +2 2 0x9f48 0xbfd928cb @@ -325270,6 +406588,8 @@ 0 0 0 +1 +4 4 0xc952 0x4fbd5800 @@ -325279,6 +406599,8 @@ 1 0 4 +3 +4 0x81e9 0x84709a95 256 @@ -325286,6 +406608,8 @@ 0 0 0 +3 +5 2 0x41e5 0xe5941198 @@ -325294,6 +406618,8 @@ 1 0 0 +2 +3 4 0x32f8 0xc0ca353 @@ -325302,6 +406628,8 @@ 1 1 0 +1 +0 2 0x7ce6 0x4b2d1adb @@ -325310,6 +406638,8 @@ 0 0 0 +5 +0 3 0x542d 0x361419ac @@ -325318,6 +406648,8 @@ 1 0 0 +0 +5 2 0x46e4 0x920869d8 @@ -325327,6 +406659,8 @@ 0 0 2 +5 +2 0xcb16 0xcff26630 256 @@ -325335,6 +406669,8 @@ 0 0 3 +0 +3 0x3ea5 0xa3663909 256 @@ -325343,6 +406679,8 @@ 0 0 2 +4 +2 0xf431 0x52efdb56 256 @@ -325350,6 +406688,8 @@ 1 0 0 +4 +4 3 0xff95 0xc7029a1c @@ -325359,6 +406699,8 @@ 0 0 1 +2 +1 0xeef5 0x78d19cd 256 @@ -325367,6 +406709,8 @@ 1 0 4 +2 +4 0xa165 0x59eb86e9 256 @@ -325374,6 +406718,8 @@ 0 0 0 +5 +2 1 0xf416 0xf487c7f3 @@ -325382,6 +406728,8 @@ 0 0 0 +5 +1 4 0xa39e 0x7fd2e9fa @@ -325390,6 +406738,8 @@ 0 0 0 +2 +4 4 0x1148 0xc7ea95d6 @@ -325399,6 +406749,8 @@ 0 0 1 +3 +1 0xa912 0xf3c9fa19 256 @@ -325406,6 +406758,8 @@ 1 1 0 +1 +0 3 0x2334 0x212cf03a @@ -325414,6 +406768,8 @@ 1 0 0 +5 +4 2 0x9563 0x873c84c3 @@ -325422,6 +406778,8 @@ 0 0 0 +1 +1 3 0xd3a2 0x2d0a1d1b @@ -325431,6 +406789,8 @@ 1 0 4 +2 +4 0xf4d9 0x606f1fee 256 @@ -325438,6 +406798,8 @@ 0 0 0 +5 +0 4 0x4eaf 0xcb2ba2be @@ -325446,6 +406808,8 @@ 1 1 0 +5 +2 4 0xce0d 0x42306971 @@ -325454,6 +406818,8 @@ 1 0 0 +0 +3 4 0xc045 0xf9d09b4a @@ -325462,6 +406828,8 @@ 0 0 0 +5 +5 1 0xe71b 0x99e69c11 @@ -325470,6 +406838,8 @@ 0 0 0 +3 +4 1 0x4d43 0xf6a6926c @@ -325478,6 +406848,8 @@ 0 0 0 +4 +4 3 0xad39 0x22eb1c82 @@ -325486,6 +406858,8 @@ 0 0 0 +2 +5 4 0x6bbf 0xd55e484f @@ -325495,6 +406869,8 @@ 0 0 1 +0 +1 0x4444 0xc8a11d70 256 @@ -325502,6 +406878,8 @@ 1 1 0 +0 +3 3 0xa651 0xea5edf59 @@ -325510,6 +406888,8 @@ 1 0 0 +3 +3 4 0x615f 0xb7105e7f @@ -325518,6 +406898,8 @@ 1 1 0 +4 +5 1 0x7853 0x81032f96 @@ -325527,6 +406909,8 @@ 0 0 1 +2 +1 0x268f 0xdcb3feb 256 @@ -325534,6 +406918,8 @@ 1 1 0 +5 +0 1 0xce46 0xd9a41e56 @@ -325542,6 +406928,8 @@ 0 0 0 +0 +4 3 0x2eec 0xfc9ec19e @@ -325550,6 +406938,8 @@ 0 0 0 +1 +2 4 0x18cc 0x75ff3926 @@ -325558,6 +406948,8 @@ 1 1 0 +1 +4 2 0x115b 0xed2101f3 @@ -325566,6 +406958,8 @@ 0 0 0 +0 +3 4 0x2956 0xd310cd8 @@ -325574,6 +406968,8 @@ 1 0 0 +2 +0 3 0x1da1 0x4d053e26 @@ -325582,6 +406978,8 @@ 0 0 0 +0 +1 3 0x8618 0xa3e37034 @@ -325590,6 +406988,8 @@ 1 0 0 +0 +0 3 0xbaf6 0xe9cd1120 @@ -325598,6 +406998,8 @@ 0 0 0 +3 +5 1 0x8217 0x9c6dd337 @@ -325606,6 +407008,8 @@ 0 0 0 +2 +3 4 0x3d93 0x9faa4931 @@ -325614,6 +407018,8 @@ 0 0 0 +0 +4 1 0xa1ff 0xa6f6057b @@ -325622,6 +407028,8 @@ 1 1 0 +1 +3 3 0xa218 0x3281a947 @@ -325631,6 +407039,8 @@ 0 0 2 +1 +2 0x4983 0xb46a91e6 256 @@ -325638,6 +407048,8 @@ 0 0 0 +5 +5 2 0x4904 0xd84afe86 @@ -325646,6 +407058,8 @@ 1 0 0 +0 +2 4 0xe465 0x5d4c890e @@ -325654,6 +407068,8 @@ 1 1 0 +5 +1 4 0x842e 0xa6ab636f @@ -325662,6 +407078,8 @@ 1 0 0 +5 +2 3 0x6f1c 0xff13533 @@ -325671,6 +407089,8 @@ 0 0 2 +5 +2 0xe3ac 0x13db93e9 256 @@ -325678,6 +407098,8 @@ 0 0 0 +2 +0 1 0x5631 0x57212793 @@ -325686,6 +407108,8 @@ 0 0 0 +2 +3 3 0xec88 0xe6468db4 @@ -325694,6 +407118,8 @@ 0 0 0 +3 +1 4 0x9893 0x688ab86b @@ -325702,6 +407128,8 @@ 1 1 0 +5 +5 1 0xc0fa 0xf1168dfd @@ -325711,6 +407139,8 @@ 0 0 4 +5 +4 0x608 0x2f409be4 256 @@ -325718,6 +407148,8 @@ 0 0 0 +4 +4 3 0xe20f 0xba9c84a0 @@ -325726,6 +407158,8 @@ 1 0 0 +2 +4 1 0x98b7 0x4efe441d @@ -325735,6 +407169,8 @@ 0 0 3 +4 +3 0x523a 0x71bb0538 256 @@ -325742,6 +407178,8 @@ 1 0 0 +5 +2 3 0x66fd 0x627933aa @@ -325750,6 +407188,8 @@ 0 0 0 +0 +5 4 0x652 0xab5336b9 @@ -325758,6 +407198,8 @@ 0 0 0 +1 +5 4 0x7f5c 0xf686a266 @@ -325767,6 +407209,8 @@ 1 0 1 +1 +1 0x2465 0xcccb2d48 256 @@ -325774,6 +407218,8 @@ 1 0 0 +1 +3 2 0xc323 0x67d58221 @@ -325783,6 +407229,8 @@ 1 0 2 +3 +2 0xc93a 0x511d18ed 256 @@ -325790,6 +407238,8 @@ 0 0 0 +1 +2 2 0x2f2 0x6fe4b36d @@ -325798,6 +407248,8 @@ 1 0 0 +3 +0 2 0xa2e4 0xd70d417c @@ -325807,6 +407259,8 @@ 0 0 2 +5 +2 0xa6fe 0xc55e66bd 256 @@ -325814,6 +407268,8 @@ 1 0 0 +0 +5 2 0xdf57 0x273a2c7e @@ -325823,6 +407279,8 @@ 0 0 3 +2 +3 0x2bc 0xf46c7090 256 @@ -325830,6 +407288,8 @@ 1 0 0 +4 +2 3 0x7dc5 0x5a79c5fc @@ -325838,6 +407298,8 @@ 1 0 0 +4 +0 2 0xe595 0xd59b46e9 @@ -325846,6 +407308,8 @@ 0 0 0 +1 +0 4 0xbcda 0x43dcf828 @@ -325855,6 +407319,8 @@ 0 0 1 +1 +1 0x27e4 0x9bd5f9e 256 @@ -325862,6 +407328,8 @@ 1 0 0 +5 +5 1 0xcfe4 0x7a03f852 @@ -325870,6 +407338,8 @@ 0 0 0 +2 +5 3 0xf931 0x57c42d79 @@ -325878,6 +407348,8 @@ 0 0 0 +0 +5 2 0x1a9 0xcd0fc6e0 @@ -325886,6 +407358,8 @@ 1 0 0 +1 +0 3 0xcf1 0x391272cd @@ -325894,6 +407368,8 @@ 1 0 0 +4 +4 2 0x9d66 0xa2cb1a5e @@ -325902,6 +407378,8 @@ 0 0 0 +3 +0 4 0x21b3 0xe9382bb9 @@ -325911,6 +407389,8 @@ 1 0 2 +3 +2 0x17ef 0xba70ac9d 256 @@ -325918,6 +407398,8 @@ 1 1 0 +5 +0 2 0x2df9 0xf508742f @@ -325926,6 +407408,8 @@ 1 1 0 +0 +5 4 0xe174 0x97e72aab @@ -325934,6 +407418,8 @@ 0 0 0 +0 +5 4 0x777c 0x45b8dd1e @@ -325942,6 +407428,8 @@ 1 0 0 +0 +2 2 0x2043 0xc49e71a7 @@ -325950,6 +407438,8 @@ 1 0 0 +3 +2 2 0x2066 0xcb839021 @@ -325958,6 +407448,8 @@ 1 1 0 +5 +2 4 0xffd7 0xb88d83d4 @@ -325966,6 +407458,8 @@ 1 1 0 +1 +2 3 0xcbd8 0x4848f3d3 @@ -325974,6 +407468,8 @@ 1 0 0 +5 +3 4 0x9aa5 0x553bebb5 @@ -325982,6 +407478,8 @@ 0 0 0 +0 +4 4 0xedb1 0x51b308f2 @@ -325990,6 +407488,8 @@ 1 1 0 +3 +2 4 0xf4be 0x7f9f48f7 @@ -325998,6 +407498,8 @@ 0 0 0 +0 +1 3 0xc5f8 0xd6aea3e7 @@ -326006,6 +407508,8 @@ 1 0 0 +2 +0 1 0x701e 0x5f7ef956 @@ -326014,6 +407518,8 @@ 0 0 0 +1 +0 4 0x72aa 0x127864b9 @@ -326022,6 +407528,8 @@ 0 0 0 +1 +1 3 0x885d 0xa357b4f @@ -326030,6 +407538,8 @@ 0 0 0 +0 +5 1 0xc2d6 0xecf642c4 @@ -326038,6 +407548,8 @@ 1 0 0 +4 +5 3 0x90ef 0x2369049e @@ -326046,6 +407558,8 @@ 1 0 0 +0 +0 4 0x9d35 0xb6fad349 @@ -326054,6 +407568,8 @@ 0 0 0 +1 +4 3 0xed0f 0xb22a5f04 @@ -326062,6 +407578,8 @@ 1 0 0 +0 +0 1 0x56d5 0xb1c285f5 @@ -326070,6 +407588,8 @@ 1 0 0 +1 +2 3 0xec9c 0xf0c48260 @@ -326078,6 +407598,8 @@ 1 0 0 +3 +2 1 0x9d2d 0x4fb260e3 @@ -326086,6 +407608,8 @@ 0 0 0 +5 +5 3 0xcc5e 0xd5d2ef94 @@ -326095,6 +407619,8 @@ 0 0 4 +0 +4 0xc0c3 0xd8ab3d94 256 @@ -326102,6 +407628,8 @@ 1 0 0 +5 +5 3 0x12e9 0xb657505e @@ -326110,6 +407638,8 @@ 0 0 0 +0 +5 4 0x1bf5 0x459d2cbb @@ -326118,6 +407648,8 @@ 0 0 0 +3 +1 4 0xfb25 0xab238595 @@ -326126,6 +407658,8 @@ 0 0 0 +4 +2 3 0x6784 0xe43ae5d3 @@ -326134,6 +407668,8 @@ 1 0 0 +5 +5 2 0x2db5 0x889dfd5f @@ -326142,6 +407678,8 @@ 1 0 0 +1 +1 4 0xac3f 0x43201b8b @@ -326150,6 +407688,8 @@ 1 1 0 +4 +5 2 0x2db6 0x620aa77e @@ -326159,6 +407699,8 @@ 0 0 3 +0 +3 0xe6e 0x4ad768de 256 @@ -326166,6 +407708,8 @@ 0 0 0 +1 +0 2 0xc017 0x9236e248 @@ -326175,6 +407719,8 @@ 0 0 1 +5 +1 0xd996 0xd40e37d9 256 @@ -326182,6 +407728,8 @@ 1 1 0 +1 +2 4 0x353 0x103f91e8 @@ -326190,6 +407738,8 @@ 1 0 0 +4 +2 3 0x5200 0x1163f63d @@ -326198,6 +407748,8 @@ 0 0 0 +3 +3 2 0x1abb 0x28ae07ab @@ -326206,6 +407758,8 @@ 1 0 0 +2 +2 3 0x7eb7 0x9be56c01 @@ -326214,6 +407768,8 @@ 0 0 0 +1 +5 4 0xe43b 0xaaa2c6cf @@ -326222,6 +407778,8 @@ 1 0 0 +0 +4 1 0xcbee 0xb7d2ec9b @@ -326230,6 +407788,8 @@ 1 1 0 +1 +4 4 0x2dab 0x139febc2 @@ -326239,6 +407799,8 @@ 0 0 2 +1 +2 0x7c59 0x7527422a 256 @@ -326246,6 +407808,8 @@ 0 0 0 +5 +1 1 0x7703 0x84bebe96 @@ -326254,6 +407818,8 @@ 1 0 0 +3 +5 4 0x3ca4 0xd381f0e8 @@ -326262,6 +407828,8 @@ 0 0 0 +3 +2 2 0x7fd7 0x6a82bcdf @@ -326270,6 +407838,8 @@ 1 0 0 +5 +1 2 0x5d6f 0x1136a511 @@ -326278,6 +407848,8 @@ 0 0 0 +3 +2 4 0xf620 0x2ccc4fb2 @@ -326286,6 +407858,8 @@ 1 1 0 +0 +1 3 0x93db 0xecfcaeaf @@ -326294,6 +407868,8 @@ 0 0 0 +5 +5 1 0x4378 0x78d33052 @@ -326302,6 +407878,8 @@ 0 0 0 +1 +2 2 0xe9 0x213f932d @@ -326310,6 +407888,8 @@ 0 0 0 +5 +2 4 0x819b 0x90c9826e @@ -326318,6 +407898,8 @@ 1 0 0 +5 +5 4 0xd41d 0xf8cc7bba @@ -326326,6 +407908,8 @@ 0 0 0 +2 +5 4 0xd1f0 0x8334b452 @@ -326334,6 +407918,8 @@ 1 0 0 +4 +0 3 0x56b8 0x7d4cfe03 @@ -326343,6 +407929,8 @@ 1 0 2 +2 +2 0x61a9 0x15cdb7ac 256 @@ -326350,6 +407938,8 @@ 1 1 0 +5 +2 1 0x9eda 0x3bb4634b @@ -326358,6 +407948,8 @@ 1 1 0 +3 +2 4 0x23c0 0xe54cfad0 @@ -326366,6 +407958,8 @@ 1 1 0 +1 +4 4 0x80a7 0x27a2a3df @@ -326374,6 +407968,8 @@ 1 0 0 +5 +3 2 0x40d4 0x6ade058 @@ -326383,6 +407979,8 @@ 1 0 4 +1 +4 0x4f64 0x1b57b2b0 256 @@ -326390,6 +407988,8 @@ 1 1 0 +1 +2 4 0x62a2 0xf8a49c95 @@ -326398,6 +407998,8 @@ 1 0 0 +4 +4 2 0x4ced 0x32def5b2 @@ -326407,6 +408009,8 @@ 0 0 4 +3 +4 0xd048 0x6316182e 256 @@ -326414,6 +408018,8 @@ 0 0 0 +0 +2 1 0x2c34 0x3fc9a9e7 @@ -326422,6 +408028,8 @@ 1 0 0 +3 +5 1 0xbb03 0x9db1095c @@ -326430,6 +408038,8 @@ 1 0 0 +4 +5 3 0x4b2f 0xed766844 @@ -326438,6 +408048,8 @@ 0 0 0 +2 +0 1 0x83a9 0xdca838d9 @@ -326446,6 +408058,8 @@ 1 1 0 +3 +0 2 0xc8ca 0xc32509df @@ -326454,6 +408068,8 @@ 0 0 0 +4 +3 3 0xec70 0x99214694 @@ -326462,6 +408078,8 @@ 1 0 0 +3 +2 2 0x25a0 0xf682b3a4 @@ -326470,6 +408088,8 @@ 1 1 0 +3 +3 4 0x6820 0x8d1cc683 @@ -326478,6 +408098,8 @@ 1 1 0 +2 +4 1 0x8243 0x62fd505b @@ -326486,6 +408108,8 @@ 1 0 0 +4 +0 1 0xea74 0x2d2c0e4b @@ -326494,6 +408118,8 @@ 1 0 0 +5 +5 2 0x7e45 0xbd15da72 @@ -326502,6 +408128,8 @@ 1 0 0 +0 +2 3 0x6ab5 0x34125e12 @@ -326510,6 +408138,8 @@ 1 0 0 +5 +0 2 0x27e4 0x271f8e7f @@ -326518,6 +408148,8 @@ 1 0 0 +5 +4 4 0xa0bb 0xdebef6e @@ -326526,6 +408158,8 @@ 1 1 0 +2 +0 4 0x46ae 0xad40f125 @@ -326535,6 +408169,8 @@ 0 0 4 +3 +4 0xd0cd 0xfa2b724e 256 @@ -326543,6 +408179,8 @@ 0 0 3 +0 +3 0x585b 0x9805b7de 256 @@ -326550,6 +408188,8 @@ 1 0 0 +1 +5 4 0x29c5 0xedad3e02 @@ -326558,6 +408198,8 @@ 0 0 0 +4 +0 3 0x17c7 0x31412fea @@ -326566,6 +408208,8 @@ 1 1 0 +1 +1 4 0x6da1 0xc9b2ce72 @@ -326575,6 +408219,8 @@ 0 0 4 +1 +4 0xe24d 0x58139296 256 @@ -326583,6 +408229,8 @@ 0 0 4 +5 +4 0x3a91 0x37c0b26f 256 @@ -326590,6 +408238,8 @@ 1 0 0 +3 +4 1 0x2b6 0x5de1b1c6 @@ -326598,6 +408248,8 @@ 1 0 0 +4 +2 2 0x5dc1 0x821f1f95 @@ -326606,6 +408258,8 @@ 0 0 0 +2 +2 1 0x3bee 0x1b07528b @@ -326614,6 +408268,8 @@ 1 0 0 +3 +5 1 0x16ad 0xba7aec26 @@ -326622,6 +408278,8 @@ 0 0 0 +0 +3 3 0x386e 0x5c9c0570 @@ -326630,6 +408288,8 @@ 1 1 0 +5 +1 1 0xe8df 0x7b38558e @@ -326638,6 +408298,8 @@ 1 0 0 +3 +0 1 0x4558 0x49fe1430 @@ -326646,6 +408308,8 @@ 1 0 0 +5 +4 4 0xf32c 0xc717989c @@ -326654,6 +408318,8 @@ 1 1 0 +3 +0 2 0x68e4 0x5f6007a1 @@ -326662,6 +408328,8 @@ 0 0 0 +0 +1 1 0x523b 0xdf502d84 @@ -326670,6 +408338,8 @@ 0 0 0 +2 +1 1 0xc89a 0x33305622 @@ -326678,6 +408348,8 @@ 1 0 0 +4 +5 2 0x9e1b 0x5b01a570 @@ -326686,6 +408358,8 @@ 1 0 0 +1 +2 3 0xdc60 0x3bf5c789 @@ -326694,6 +408368,8 @@ 1 1 0 +3 +3 4 0xab53 0x10fd6662 @@ -326702,6 +408378,8 @@ 0 0 0 +1 +1 3 0xd16 0xac62b819 @@ -326710,6 +408388,8 @@ 0 0 0 +2 +3 4 0x16a9 0xc22e76ca @@ -326718,6 +408398,8 @@ 1 0 0 +2 +4 3 0x2daf 0x54ff18de @@ -326726,6 +408408,8 @@ 0 0 0 +4 +1 3 0xbcb 0x33fdabb4 @@ -326734,6 +408418,8 @@ 1 0 0 +2 +5 1 0x4fc2 0xdd4e5b7d @@ -326742,6 +408428,8 @@ 0 0 0 +0 +1 1 0x12a 0x1e196221 @@ -326750,6 +408438,8 @@ 1 1 0 +1 +3 4 0x7278 0x6a8b9206 @@ -326758,6 +408448,8 @@ 1 1 0 +3 +0 1 0x28f2 0x9f29dc21 @@ -326766,6 +408458,8 @@ 0 0 0 +1 +1 3 0x878a 0xab4b6862 @@ -326775,6 +408469,8 @@ 0 0 2 +4 +2 0x13ba 0x774f466c 256 @@ -326782,6 +408478,8 @@ 1 1 0 +5 +1 2 0xb9ec 0x17b257b3 @@ -326791,6 +408489,8 @@ 0 0 3 +3 +3 0x4990 0x1096c533 256 @@ -326798,6 +408498,8 @@ 1 0 0 +0 +1 3 0x2a73 0x244b3ebb @@ -326807,6 +408509,8 @@ 0 0 1 +4 +1 0x9bd2 0xa4aa0640 256 @@ -326814,6 +408518,8 @@ 0 0 0 +2 +3 3 0x2317 0x3fd98d07 @@ -326822,6 +408528,8 @@ 1 1 0 +5 +1 1 0xe0cf 0x85b4b732 @@ -326830,6 +408538,8 @@ 0 0 0 +4 +2 1 0xd036 0xf9ec773a @@ -326838,6 +408548,8 @@ 0 0 0 +2 +5 4 0xa8b0 0x98c62164 @@ -326846,6 +408558,8 @@ 0 0 0 +0 +2 2 0xc655 0x84155051 @@ -326854,6 +408568,8 @@ 0 0 0 +4 +5 3 0x84b8 0xa65ddb72 @@ -326862,6 +408578,8 @@ 1 0 0 +4 +3 1 0x88c7 0x25b78e50 @@ -326870,6 +408588,8 @@ 0 0 0 +2 +2 3 0xbc63 0x383389a5 @@ -326878,6 +408598,8 @@ 1 1 0 +1 +1 2 0x54aa 0x343b371e @@ -326886,6 +408608,8 @@ 1 1 0 +5 +3 3 0x4c02 0xbb175261 @@ -326894,6 +408618,8 @@ 1 1 0 +1 +1 3 0x6ba0 0x55445271 @@ -326902,6 +408628,8 @@ 1 0 0 +0 +1 1 0x155a 0x52cdaf8a @@ -326910,6 +408638,8 @@ 0 0 0 +1 +3 2 0x7b76 0x2a5a9836 @@ -326918,6 +408648,8 @@ 1 0 0 +3 +0 4 0xc131 0xcd396f0a @@ -326926,6 +408658,8 @@ 1 1 0 +4 +4 3 0x1444 0xaacc74ae @@ -326934,6 +408668,8 @@ 1 0 0 +3 +1 1 0x171a 0xc430914c @@ -326942,6 +408678,8 @@ 0 0 0 +5 +4 3 0xec01 0x270d372f @@ -326950,6 +408688,8 @@ 0 0 0 +5 +5 1 0xd4e5 0xcb89f1c8 @@ -326958,6 +408698,8 @@ 1 0 0 +0 +2 4 0xfc79 0x631ff157 @@ -326966,6 +408708,8 @@ 0 0 0 +4 +0 3 0x2a1c 0x98bfad89 @@ -326974,6 +408718,8 @@ 1 0 0 +3 +1 2 0xb284 0x5abeb045 @@ -326983,6 +408729,8 @@ 0 0 2 +2 +2 0xd58b 0xa130c204 256 @@ -326990,6 +408738,8 @@ 1 1 0 +4 +0 3 0x52f4 0x2e47a92e @@ -326998,6 +408748,8 @@ 0 0 0 +5 +2 4 0x5f0a 0xb903581e @@ -327006,6 +408758,8 @@ 0 0 0 +5 +2 4 0x46ec 0xef8740e @@ -327014,6 +408768,8 @@ 1 1 0 +0 +5 3 0xf6ea 0xf22ba0cc @@ -327023,6 +408779,8 @@ 0 0 2 +2 +2 0xb55c 0xf565c87a 256 @@ -327030,6 +408788,8 @@ 1 0 0 +4 +3 2 0x19d 0xa8f0e494 @@ -327038,6 +408798,8 @@ 0 0 0 +4 +5 2 0x91df 0xca766f51 @@ -327047,6 +408809,8 @@ 1 0 3 +3 +3 0x81cc 0x6eb6e9f 256 @@ -327054,6 +408818,8 @@ 1 1 0 +2 +2 4 0x95f5 0x7e8c94cb @@ -327062,6 +408828,8 @@ 0 0 0 +0 +5 2 0x21f4 0xbdb0147c @@ -327070,6 +408838,8 @@ 0 0 0 +2 +3 4 0xea27 0xdd280069 @@ -327079,6 +408849,8 @@ 0 0 1 +2 +1 0x2b39 0xeae271bf 256 @@ -327086,6 +408858,8 @@ 1 1 0 +3 +2 2 0x5bc2 0x3886dc56 @@ -327094,6 +408868,8 @@ 1 0 0 +0 +4 4 0xa7d 0x2491f826 @@ -327102,6 +408878,8 @@ 0 0 0 +1 +2 3 0xef2e 0x2ce61207 @@ -327110,6 +408888,8 @@ 0 0 0 +5 +5 3 0x2ce0 0xba06dfba @@ -327118,6 +408898,8 @@ 1 1 0 +1 +2 2 0x5746 0xb5b2ec4f @@ -327126,6 +408908,8 @@ 0 0 0 +2 +1 3 0x7210 0xbd0c89ee @@ -327134,6 +408918,8 @@ 0 0 0 +5 +4 2 0x7366 0x1e108a73 @@ -327142,6 +408928,8 @@ 1 1 0 +1 +2 2 0xf5d9 0x4270f29c @@ -327150,6 +408938,8 @@ 1 1 0 +1 +2 2 0xa0cb 0x35f92a67 @@ -327158,6 +408948,8 @@ 1 0 0 +5 +1 3 0x35a1 0xd77d7726 @@ -327166,6 +408958,8 @@ 1 0 0 +0 +0 1 0xde0b 0x8554ad69 @@ -327174,6 +408968,8 @@ 1 0 0 +1 +4 2 0x4f45 0x9161335a @@ -327182,6 +408978,8 @@ 1 0 0 +2 +5 4 0x5dae 0xd42c674 @@ -327191,6 +408989,8 @@ 0 0 1 +5 +1 0x9e1f 0xd8338bc3 256 @@ -327199,6 +408999,8 @@ 0 0 3 +3 +3 0xc7ea 0x299100c1 256 @@ -327206,6 +409008,8 @@ 0 0 0 +5 +0 4 0x64fe 0xa952aff3 @@ -327214,6 +409018,8 @@ 0 0 0 +1 +0 3 0xd509 0x99a3d462 @@ -327222,6 +409028,8 @@ 0 0 0 +3 +5 1 0x5384 0x43418cc1 @@ -327230,6 +409038,8 @@ 0 0 0 +5 +0 2 0xd117 0x8e030f09 @@ -327239,6 +409049,8 @@ 0 0 2 +5 +2 0xb967 0xce0107f3 256 @@ -327246,6 +409058,8 @@ 1 0 0 +5 +5 2 0x976c 0xfbce781 @@ -327255,6 +409069,8 @@ 0 0 1 +5 +1 0xeb79 0x353067d0 256 @@ -327262,6 +409078,8 @@ 0 0 0 +1 +1 3 0x4a1a 0x92aae552 @@ -327270,6 +409088,8 @@ 0 0 0 +2 +0 3 0x58f3 0x93fc7a00 @@ -327278,6 +409098,8 @@ 0 0 0 +2 +4 1 0x2f51 0x6df94b6a @@ -327286,6 +409108,8 @@ 0 0 0 +3 +2 2 0xe73d 0xc5eb4ef8 @@ -327294,6 +409118,8 @@ 0 0 0 +5 +0 4 0xcc8 0xb9b2ad4d @@ -327302,6 +409128,8 @@ 1 1 0 +2 +2 4 0xcb 0xeb535f40 @@ -327311,6 +409139,8 @@ 1 0 2 +5 +2 0x2f1d 0x7faf18c0 256 @@ -327318,6 +409148,8 @@ 0 0 0 +3 +4 2 0xee3d 0x8fac0c99 @@ -327326,6 +409158,8 @@ 0 0 0 +3 +3 4 0x65f7 0xe80848a4 @@ -327334,6 +409168,8 @@ 0 0 0 +2 +1 4 0xb03c 0x8857802f @@ -327343,6 +409179,8 @@ 0 0 1 +5 +1 0xba26 0xf21a5ef4 256 @@ -327350,6 +409188,8 @@ 0 0 0 +1 +5 4 0xaa75 0xf8ac1e62 @@ -327358,6 +409198,8 @@ 1 1 0 +5 +0 4 0x37bc 0x31053764 @@ -327366,6 +409208,8 @@ 0 0 0 +4 +3 2 0x1bba 0xae2bb5a3 @@ -327374,6 +409218,8 @@ 0 0 0 +2 +2 1 0x21de 0xba1ab6fd @@ -327382,6 +409228,8 @@ 0 0 0 +3 +4 2 0x207 0xa23248f2 @@ -327390,6 +409238,8 @@ 0 0 0 +1 +4 3 0x66d5 0x46fb7bf6 @@ -327398,6 +409248,8 @@ 0 0 0 +3 +0 1 0x8873 0xb52e0502 @@ -327406,6 +409258,8 @@ 0 0 0 +1 +3 2 0x13a4 0x8e6e3aee @@ -327414,6 +409268,8 @@ 0 0 0 +0 +4 1 0x5c02 0x850d8da6 @@ -327422,6 +409278,8 @@ 1 0 0 +1 +5 2 0xcc2d 0x126a53c1 @@ -327430,6 +409288,8 @@ 1 0 0 +2 +4 1 0xe06 0xaec71777 @@ -327438,6 +409298,8 @@ 0 0 0 +0 +5 4 0xbdd0 0x2f680717 @@ -327446,6 +409308,8 @@ 0 0 0 +5 +3 3 0x14e5 0x287cdb08 @@ -327455,6 +409319,8 @@ 0 0 1 +2 +1 0x1aa6 0xf3d98f6e 256 @@ -327463,6 +409329,8 @@ 0 0 2 +4 +2 0xc4f6 0x509e3a11 256 @@ -327470,6 +409338,8 @@ 0 0 0 +3 +5 1 0x787e 0x92bbd43 @@ -327478,6 +409348,8 @@ 1 1 0 +4 +5 2 0xc491 0x57600b3d @@ -327486,6 +409358,8 @@ 0 0 0 +1 +4 2 0xbef4 0xc4245422 @@ -327494,6 +409368,8 @@ 1 1 0 +5 +1 1 0xe8e6 0x495d04e9 @@ -327502,6 +409378,8 @@ 0 0 0 +0 +0 3 0x4e57 0x7c3881a8 @@ -327510,6 +409388,8 @@ 1 0 0 +2 +0 1 0x9e25 0xd5e0c97 @@ -327519,6 +409399,8 @@ 0 0 1 +5 +1 0x64d9 0x5bb1560f 256 @@ -327526,6 +409408,8 @@ 1 1 0 +0 +3 2 0xee16 0x5758f972 @@ -327534,6 +409418,8 @@ 0 0 0 +3 +0 2 0xb7f4 0x7406dea2 @@ -327542,6 +409428,8 @@ 1 1 0 +3 +4 4 0xcfd7 0xdcd36437 @@ -327551,6 +409439,8 @@ 1 0 2 +0 +2 0x1a5a 0x6d8d50f3 256 @@ -327558,6 +409448,8 @@ 0 0 0 +2 +2 4 0xf855 0x51e126c1 @@ -327567,6 +409459,8 @@ 0 0 4 +3 +4 0xe205 0xa8f3a88b 256 @@ -327574,6 +409468,8 @@ 0 0 0 +1 +2 3 0xb05c 0x7e22bd60 @@ -327582,6 +409478,8 @@ 1 0 0 +0 +2 1 0xc6f3 0x2508a441 @@ -327590,6 +409488,8 @@ 1 1 0 +0 +0 4 0x18e 0x6e4d5ce @@ -327598,6 +409498,8 @@ 1 0 0 +1 +4 4 0x825d 0xe42f6ac9 @@ -327606,6 +409508,8 @@ 1 1 0 +0 +0 4 0x9a7b 0x6b5d25d6 @@ -327614,6 +409518,8 @@ 1 1 0 +4 +5 3 0x78d5 0x3f42049d @@ -327622,6 +409528,8 @@ 1 1 0 +4 +0 2 0x3dcd 0x688382e9 @@ -327630,6 +409538,8 @@ 0 0 0 +5 +4 4 0xeae1 0x32bf1c05 @@ -327638,6 +409548,8 @@ 0 0 0 +3 +0 4 0x169e 0x9fc179 @@ -327647,6 +409559,8 @@ 0 0 4 +4 +4 0xd72a 0xb0afe66a 256 @@ -327655,6 +409569,8 @@ 1 0 4 +4 +4 0x6469 0x8cc97985 256 @@ -327662,6 +409578,8 @@ 1 0 0 +3 +3 4 0x9ad4 0x2e197b43 @@ -327670,6 +409588,8 @@ 0 0 0 +0 +0 3 0xc2b9 0xa4f7a456 @@ -327678,6 +409598,8 @@ 0 0 0 +1 +4 2 0x90da 0x9ea53176 @@ -327687,6 +409609,8 @@ 0 0 2 +1 +2 0xda85 0x8338fb3 256 @@ -327694,6 +409618,8 @@ 0 0 0 +0 +4 2 0x7b23 0x3cd508f9 @@ -327702,6 +409628,8 @@ 0 0 0 +4 +2 2 0xba04 0x6576d7db @@ -327710,6 +409638,8 @@ 0 0 0 +3 +0 2 0x8a61 0x3c08a1a0 @@ -327718,6 +409648,8 @@ 1 0 0 +0 +3 1 0xdbc3 0x9c25a058 @@ -327726,6 +409658,8 @@ 1 0 0 +5 +2 2 0x3bfe 0x5501a56d @@ -327734,6 +409668,8 @@ 1 1 0 +0 +1 2 0x399a 0x529875d6 @@ -327743,6 +409679,8 @@ 0 0 1 +5 +1 0x205a 0xb458036d 256 @@ -327750,6 +409688,8 @@ 0 0 0 +3 +0 4 0x1201 0x40228e2c @@ -327758,6 +409698,8 @@ 1 0 0 +1 +3 4 0xbd75 0xa38a6f58 @@ -327766,6 +409708,8 @@ 1 0 0 +4 +5 2 0xe488 0x7f7826bd @@ -327774,6 +409718,8 @@ 1 0 0 +5 +3 1 0x6d64 0xd5c89797 @@ -327782,6 +409728,8 @@ 1 1 0 +5 +4 1 0x3a69 0x3dd92826 @@ -327790,6 +409738,8 @@ 1 1 0 +0 +5 4 0x63d4 0xb00c0781 @@ -327798,6 +409748,8 @@ 1 1 0 +2 +5 1 0x2b9 0x21e18ff8 @@ -327807,6 +409759,8 @@ 1 0 2 +4 +2 0xb1ea 0x78924200 256 @@ -327814,6 +409768,8 @@ 0 0 0 +2 +3 4 0x6244 0x86676e7d @@ -327822,6 +409778,8 @@ 1 0 0 +5 +4 3 0x2601 0x5f59f589 @@ -327830,6 +409788,8 @@ 0 0 0 +2 +2 4 0xb8e4 0x2ef8a259 @@ -327838,6 +409798,8 @@ 0 0 0 +5 +5 1 0x3a58 0x45af3981 @@ -327847,6 +409809,8 @@ 0 0 2 +3 +2 0xc1e2 0xa1579811 256 @@ -327854,6 +409818,8 @@ 0 0 0 +5 +5 4 0x4094 0xeeca2a3 @@ -327862,6 +409828,8 @@ 1 0 0 +4 +3 1 0x8572 0x5b6a5819 @@ -327871,6 +409839,8 @@ 0 0 3 +2 +3 0x5630 0xd259ead7 256 @@ -327879,6 +409849,8 @@ 0 0 2 +1 +2 0xb4dc 0x9cbe5030 256 @@ -327886,6 +409858,8 @@ 0 0 0 +4 +5 2 0x3b26 0xe0decf33 @@ -327895,6 +409869,8 @@ 0 0 2 +5 +2 0x2fe1 0xd96a2add 256 @@ -327902,6 +409878,8 @@ 0 0 0 +4 +0 1 0x9c20 0x2953cc42 @@ -327910,6 +409888,8 @@ 0 0 0 +3 +3 1 0x70f1 0x702f822c @@ -327919,6 +409899,8 @@ 0 0 3 +2 +3 0x78de 0xf2d57289 256 @@ -327926,6 +409908,8 @@ 0 0 0 +5 +2 3 0xf99d 0xde93a172 @@ -327935,6 +409919,8 @@ 0 0 2 +5 +2 0xec27 0xce7410ad 256 @@ -327943,6 +409929,8 @@ 0 0 1 +0 +1 0xa73 0xb66aa1ce 256 @@ -327950,6 +409938,8 @@ 1 1 0 +5 +2 2 0x65d 0x2788993b @@ -327958,6 +409948,8 @@ 1 1 0 +3 +0 4 0xe575 0x70843c14 @@ -327967,6 +409959,8 @@ 0 0 3 +0 +3 0xcbac 0xa26c6efb 256 @@ -327974,6 +409968,8 @@ 0 0 0 +3 +4 2 0x5069 0x9853b268 @@ -327982,6 +409978,8 @@ 1 1 0 +1 +0 2 0x1848 0x1909716b @@ -327990,6 +409988,8 @@ 1 0 0 +3 +3 4 0x76cc 0xf737463c @@ -327999,6 +409999,8 @@ 0 0 3 +5 +3 0x1f13 0x6c3281cb 256 @@ -328006,6 +410008,8 @@ 0 0 0 +3 +3 4 0xfda0 0x8f46797b @@ -328014,6 +410018,8 @@ 0 0 0 +0 +5 4 0x6a2d 0x7dc6c398 @@ -328022,6 +410028,8 @@ 0 0 0 +4 +2 2 0x31eb 0x513ff052 @@ -328030,6 +410038,8 @@ 1 0 0 +4 +1 1 0x8ec6 0x1c833f04 @@ -328038,6 +410048,8 @@ 0 0 0 +1 +2 2 0xee11 0xda7c4304 @@ -328046,6 +410058,8 @@ 0 0 0 +2 +4 3 0x9d94 0x36f91ce1 @@ -328054,6 +410068,8 @@ 0 0 0 +4 +1 3 0xc219 0x45b50d97 @@ -328062,6 +410078,8 @@ 1 0 0 +2 +1 4 0x46ef 0xdf5cc33 @@ -328070,6 +410088,8 @@ 0 0 0 +3 +3 1 0xf478 0x11869986 @@ -328078,6 +410098,8 @@ 0 0 0 +0 +2 3 0xadc3 0xb088342d @@ -328086,6 +410108,8 @@ 0 0 0 +5 +3 4 0x6434 0xb22a6575 @@ -328094,6 +410118,8 @@ 1 0 0 +0 +5 4 0x100 0x49f269a6 @@ -328102,6 +410128,8 @@ 0 0 0 +0 +1 1 0x84d7 0x1062b481 @@ -328110,6 +410138,8 @@ 0 0 0 +1 +2 2 0x2311 0x3ec4a7e1 @@ -328118,6 +410148,8 @@ 1 1 0 +3 +1 2 0x7afb 0xde211e36 @@ -328126,6 +410158,8 @@ 1 1 0 +2 +0 4 0xb86e 0xa1dda9fa @@ -328134,6 +410168,8 @@ 1 0 0 +0 +2 3 0x7bd1 0xd58f13d3 @@ -328142,6 +410178,8 @@ 1 1 0 +1 +5 2 0x5cbc 0x6a910e05 @@ -328150,6 +410188,8 @@ 1 1 0 +1 +0 3 0xe2a2 0x10ffbc63 @@ -328158,6 +410198,8 @@ 1 1 0 +5 +4 1 0xd0f8 0xea269038 @@ -328167,6 +410209,8 @@ 0 0 4 +4 +4 0x8c47 0x663a1208 256 @@ -328174,6 +410218,8 @@ 1 1 0 +4 +1 1 0x266b 0x412b8f08 @@ -328182,6 +410228,8 @@ 0 0 0 +3 +4 4 0xea9e 0xbc3941a6 @@ -328190,6 +410238,8 @@ 0 0 0 +3 +2 2 0x624e 0x3cf69c14 @@ -328199,6 +410249,8 @@ 1 0 3 +1 +3 0x6d8e 0xaf03abb6 256 @@ -328207,6 +410259,8 @@ 0 0 1 +2 +1 0xf677 0x7ad1b85 256 @@ -328215,6 +410269,8 @@ 1 0 2 +4 +2 0xa3b4 0xc96b5f0c 256 @@ -328222,6 +410278,8 @@ 1 1 0 +4 +0 3 0x35f8 0x168a83a @@ -328230,6 +410288,8 @@ 1 0 0 +2 +1 4 0x4665 0x13fb567f @@ -328238,6 +410298,8 @@ 1 0 0 +3 +4 2 0x3257 0x2a61be86 @@ -328246,6 +410308,8 @@ 1 1 0 +2 +2 4 0xde80 0xdd33aa30 @@ -328254,6 +410318,8 @@ 0 0 0 +2 +3 3 0x4901 0xacffdc49 @@ -328262,6 +410328,8 @@ 1 1 0 +3 +5 4 0xf7f8 0x601c45a9 @@ -328271,6 +410339,8 @@ 0 0 3 +5 +3 0x3ef 0xc17c04e4 256 @@ -328278,6 +410348,8 @@ 1 1 0 +2 +2 4 0xd8a4 0x236bb19a @@ -328286,6 +410358,8 @@ 0 0 0 +1 +5 2 0x564d 0x1bed3086 @@ -328294,6 +410368,8 @@ 0 0 0 +4 +3 3 0x649d 0xa9c1bcd8 @@ -328302,6 +410378,8 @@ 0 0 0 +0 +2 2 0x79ca 0x5720ba4c @@ -328310,6 +410388,8 @@ 1 0 0 +5 +1 2 0xdac 0x6b0e4348 @@ -328318,6 +410398,8 @@ 1 0 0 +5 +4 1 0x31c6 0x13a3ae2d @@ -328326,6 +410408,8 @@ 1 0 0 +3 +1 1 0x4292 0xfb9e571a @@ -328334,6 +410418,8 @@ 0 0 0 +1 +2 4 0xf6ed 0x7269437d @@ -328342,6 +410428,8 @@ 1 0 0 +3 +2 4 0x492a 0xf362503d @@ -328351,6 +410439,8 @@ 0 0 1 +1 +1 0x35d9 0x31a869d9 256 @@ -328358,6 +410448,8 @@ 1 0 0 +2 +4 4 0x4a1a 0x98c4a9d2 @@ -328366,6 +410458,8 @@ 0 0 0 +5 +3 4 0x7cc3 0x9fcd7740 @@ -328375,6 +410469,8 @@ 0 0 2 +3 +2 0x7031 0xf1665c8a 256 @@ -328382,6 +410478,8 @@ 0 0 0 +0 +5 3 0xc1eb 0x6f4474d @@ -328391,6 +410489,8 @@ 1 0 2 +5 +2 0xefeb 0x30ec0ffd 256 @@ -328399,6 +410499,8 @@ 0 0 1 +1 +1 0x5a81 0xa0828bec 256 @@ -328407,6 +410509,8 @@ 0 0 3 +4 +3 0xb691 0x8d6ba266 256 @@ -328414,6 +410518,8 @@ 1 1 0 +2 +2 3 0xa376 0x96fc9cb8 @@ -328423,6 +410529,8 @@ 0 0 1 +2 +1 0xab21 0x3916e275 256 @@ -328431,6 +410539,8 @@ 0 0 4 +5 +4 0x560f 0x5a57507f 256 @@ -328438,6 +410548,8 @@ 1 0 0 +4 +5 3 0x9e4e 0x185fdd23 @@ -328446,6 +410558,8 @@ 0 0 0 +5 +3 1 0x6cee 0x194c1788 @@ -328454,6 +410568,8 @@ 0 0 0 +3 +1 1 0xcd8c 0x1b72a45f @@ -328462,6 +410578,8 @@ 0 0 0 +5 +3 4 0xe32a 0x3caa136e @@ -328470,6 +410588,8 @@ 0 0 0 +1 +0 2 0x257c 0xbf017be8 @@ -328478,6 +410598,8 @@ 0 0 0 +5 +2 1 0xc6ae 0x35dd3882 @@ -328486,6 +410608,8 @@ 1 0 0 +4 +0 3 0xd2a1 0x3302fb2f @@ -328495,6 +410619,8 @@ 0 0 4 +0 +4 0x74b2 0x31ad3cef 256 @@ -328503,6 +410629,8 @@ 0 0 4 +4 +4 0x4a40 0xbb7d94f7 256 @@ -328510,6 +410638,8 @@ 0 0 0 +3 +4 4 0x2d 0x917d266c @@ -328518,6 +410648,8 @@ 1 1 0 +4 +2 1 0x12e0 0xdb00a94b @@ -328526,6 +410658,8 @@ 1 1 0 +2 +2 3 0xda7a 0x5cc6c0d7 @@ -328534,6 +410668,8 @@ 0 0 0 +1 +5 3 0x971f 0x7db2f0ae @@ -328542,6 +410678,8 @@ 1 1 0 +0 +3 2 0xf595 0x7eee4589 @@ -328550,6 +410688,8 @@ 0 0 0 +3 +0 2 0x6878 0x707def43 @@ -328558,6 +410698,8 @@ 1 0 0 +3 +3 1 0x1dbc 0xa2b74e15 @@ -328566,6 +410708,8 @@ 0 0 0 +0 +1 3 0x2f14 0xecf1ba87 @@ -328574,6 +410718,8 @@ 0 0 0 +3 +4 1 0x430 0x95084bd7 @@ -328582,6 +410728,8 @@ 0 0 0 +4 +4 3 0x70f3 0x3afa24ee @@ -328590,6 +410738,8 @@ 0 0 0 +1 +5 3 0x34b0 0xce854947 @@ -328598,6 +410748,8 @@ 1 0 0 +2 +2 1 0x6e1e 0x2c61a815 @@ -328606,6 +410758,8 @@ 1 0 0 +2 +2 1 0x7d3e 0x7e890ebe @@ -328614,6 +410768,8 @@ 1 0 0 +3 +5 2 0xf0ae 0xfd417d9a @@ -328622,6 +410778,8 @@ 1 0 0 +0 +2 3 0x82bc 0xac9f42ae @@ -328630,6 +410788,8 @@ 1 1 0 +4 +0 2 0x2103 0xd3b249c @@ -328639,6 +410799,8 @@ 0 0 2 +2 +2 0xdb6b 0xf7559576 256 @@ -328646,6 +410808,8 @@ 0 0 0 +3 +5 1 0x7564 0x42c06d8b @@ -328654,6 +410818,8 @@ 0 0 0 +1 +1 2 0xe33 0xcadbca7e @@ -328662,6 +410828,8 @@ 1 1 0 +3 +1 2 0x6367 0xe86445f3 @@ -328670,6 +410838,8 @@ 0 0 0 +3 +5 1 0x8c4e 0x7df95c5d @@ -328678,6 +410848,8 @@ 1 1 0 +5 +4 3 0xede9 0x22e42a33 @@ -328686,6 +410858,8 @@ 1 0 0 +2 +2 4 0x8edc 0x2dac598f @@ -328694,6 +410868,8 @@ 1 1 0 +1 +3 3 0x23c5 0xa2182235 @@ -328703,6 +410879,8 @@ 0 0 2 +4 +2 0x44cd 0x4bce255f 256 @@ -328710,6 +410888,8 @@ 1 0 0 +5 +3 4 0xdb9a 0x7b9109e8 @@ -328719,6 +410899,8 @@ 0 0 1 +4 +1 0xbf46 0xa9399950 256 @@ -328726,6 +410908,8 @@ 1 1 0 +1 +2 4 0x9032 0xb72c4fe5 @@ -328735,6 +410919,8 @@ 0 0 1 +0 +1 0x9ad0 0x512196f1 256 @@ -328742,6 +410928,8 @@ 1 0 0 +3 +4 4 0xa344 0x5654b517 @@ -328750,6 +410938,8 @@ 1 1 0 +3 +1 2 0xcf2c 0xed415111 @@ -328758,6 +410948,8 @@ 1 0 0 +1 +4 2 0x1a36 0xebe101ac @@ -328766,6 +410958,8 @@ 1 1 0 +0 +1 1 0xa6a6 0x82f2a5d0 @@ -328775,6 +410969,8 @@ 0 0 1 +1 +1 0x1e56 0x5d0ff592 256 @@ -328782,6 +410978,8 @@ 1 1 0 +5 +1 2 0x6270 0xdcecce3c @@ -328790,6 +410988,8 @@ 1 1 0 +0 +0 4 0x39a3 0x89a1a139 @@ -328798,6 +410998,8 @@ 1 1 0 +4 +3 2 0x8329 0xd3664675 @@ -328807,6 +411009,8 @@ 1 0 4 +5 +4 0xc93f 0xdcc8ee53 256 @@ -328815,6 +411019,8 @@ 0 0 3 +2 +3 0x4f04 0xc8070bd4 256 @@ -328822,6 +411028,8 @@ 0 0 0 +5 +3 4 0x1ff3 0x3011c77a @@ -328830,6 +411038,8 @@ 0 0 0 +3 +4 4 0x9bcd 0x8a31ed58 @@ -328839,6 +411049,8 @@ 0 0 1 +3 +1 0xa056 0xac67b818 256 @@ -328846,6 +411058,8 @@ 0 0 0 +0 +3 4 0x85f4 0x5eec7409 @@ -328854,6 +411068,8 @@ 1 1 0 +5 +1 2 0xd748 0x37edfe7a @@ -328862,6 +411078,8 @@ 0 0 0 +0 +1 2 0x94ec 0x239e923f @@ -328870,6 +411088,8 @@ 0 0 0 +2 +3 1 0x317c 0x606dd0b8 @@ -328878,6 +411098,8 @@ 1 1 0 +4 +2 3 0x6059 0x470ffa3a @@ -328887,6 +411109,8 @@ 0 0 2 +4 +2 0x89de 0x623b4f16 256 @@ -328894,6 +411118,8 @@ 1 1 0 +2 +1 3 0x3f7a 0x82efdd71 @@ -328902,6 +411128,8 @@ 1 1 0 +1 +2 4 0x6622 0xe40afcdb @@ -328910,6 +411138,8 @@ 0 0 0 +5 +5 4 0x86db 0xfa76a3fc @@ -328918,6 +411148,8 @@ 0 0 0 +4 +5 1 0x7829 0x52a3d9c @@ -328926,6 +411158,8 @@ 1 0 0 +5 +3 1 0xfe8c 0xe629ef5c @@ -328934,6 +411168,8 @@ 0 0 0 +0 +0 4 0xf50e 0x6e775160 @@ -328942,6 +411178,8 @@ 0 0 0 +5 +4 3 0x43ef 0xaeef10fc @@ -328950,6 +411188,8 @@ 1 0 0 +0 +5 4 0x4b7e 0x4a410689 @@ -328958,6 +411198,8 @@ 0 0 0 +0 +3 4 0xf2e2 0xa6c1561e @@ -328966,6 +411208,8 @@ 1 1 0 +0 +4 2 0x1ced 0x7ae4a02d @@ -328974,6 +411218,8 @@ 1 1 0 +2 +5 3 0xfebc 0xb7db97b9 @@ -328982,6 +411228,8 @@ 0 0 0 +3 +3 4 0x681a 0xeaa949fa @@ -328990,6 +411238,8 @@ 1 1 0 +1 +3 2 0xbdd4 0xf33999d5 @@ -328999,6 +411249,8 @@ 0 0 3 +5 +3 0x6d6b 0x3c18bd53 256 @@ -329006,6 +411258,8 @@ 0 0 0 +2 +0 4 0xc6ce 0x8773c187 @@ -329014,6 +411268,8 @@ 1 0 0 +2 +5 4 0x7f7e 0xc40129c3 @@ -329022,6 +411278,8 @@ 0 0 0 +2 +2 4 0x40c 0x4de8b71 @@ -329030,6 +411288,8 @@ 1 1 0 +0 +5 1 0xb37d 0xa48d854f @@ -329038,6 +411298,8 @@ 0 0 0 +4 +5 1 0x3459 0x75693a8a @@ -329046,6 +411308,8 @@ 1 0 0 +0 +5 3 0x35c5 0x43522c48 @@ -329055,6 +411319,8 @@ 0 0 1 +4 +1 0xb370 0x6b5ddd69 256 @@ -329062,6 +411328,8 @@ 0 0 0 +1 +5 4 0xb2a3 0x370bdbf5 @@ -329070,6 +411338,8 @@ 0 0 0 +1 +0 2 0xf4b2 0xea005109 @@ -329078,6 +411348,8 @@ 1 1 0 +3 +2 4 0xffed 0x9eae4fdd @@ -329086,6 +411358,8 @@ 0 0 0 +5 +1 2 0xfb2e 0x3233d179 @@ -329094,6 +411368,8 @@ 0 0 0 +5 +5 4 0x53b3 0xb69e6f36 @@ -329102,6 +411378,8 @@ 1 0 0 +4 +5 2 0x45c8 0x34520ce7 @@ -329110,6 +411388,8 @@ 1 1 0 +2 +2 1 0x1eb 0xe80fdad2 @@ -329118,6 +411398,8 @@ 0 0 0 +0 +3 3 0x69e3 0xfaed17f4 @@ -329126,6 +411408,8 @@ 0 0 0 +1 +2 3 0x9e69 0xbbb39aaa @@ -329135,6 +411419,8 @@ 1 0 1 +4 +1 0xa03e 0x80e8403e 256 @@ -329142,6 +411428,8 @@ 0 0 0 +3 +3 4 0xb13d 0xc5daec49 @@ -329150,6 +411438,8 @@ 1 1 0 +2 +1 1 0x1549 0xabfbb06 @@ -329158,6 +411448,8 @@ 0 0 0 +0 +1 4 0xa69d 0x825bf496 @@ -329166,6 +411458,8 @@ 1 0 0 +0 +0 4 0x460 0x70289791 @@ -329174,6 +411468,8 @@ 1 0 0 +3 +5 2 0x767a 0xab1947a9 @@ -329182,6 +411478,8 @@ 0 0 0 +4 +2 1 0x4efb 0x39a40cfd @@ -329191,6 +411489,8 @@ 0 0 4 +3 +4 0xe0a8 0x647773aa 256 @@ -329198,6 +411498,8 @@ 0 0 0 +5 +1 1 0xd92c 0x7528ed7d @@ -329207,6 +411509,8 @@ 0 0 2 +1 +2 0x584d 0xac2d3037 256 @@ -329214,6 +411518,8 @@ 1 1 0 +0 +1 1 0x45e3 0x9be07be2 @@ -329222,6 +411528,8 @@ 1 0 0 +5 +3 4 0x6f25 0xdaaecb3e @@ -329230,6 +411538,8 @@ 0 0 0 +2 +0 3 0xde19 0xe99800e6 @@ -329238,6 +411548,8 @@ 0 0 0 +5 +2 3 0xe05b 0xaa919593 @@ -329246,6 +411558,8 @@ 1 0 0 +3 +4 1 0xa8ac 0x3dcb1959 @@ -329254,6 +411568,8 @@ 0 0 0 +4 +4 2 0xc29f 0xc64b62e4 @@ -329262,6 +411578,8 @@ 1 1 0 +5 +5 3 0x42cf 0x6f242bc4 @@ -329270,6 +411588,8 @@ 1 1 0 +0 +1 3 0xcc4b 0xaed008ce @@ -329278,6 +411598,8 @@ 1 1 0 +1 +5 2 0xf7c0 0xfbdececc @@ -329286,6 +411608,8 @@ 1 1 0 +5 +4 3 0xf819 0x5fe3f64f @@ -329294,6 +411618,8 @@ 1 0 0 +5 +2 2 0x5215 0xdd280155 @@ -329302,6 +411628,8 @@ 1 1 0 +1 +5 2 0xe249 0xe43a604a @@ -329310,6 +411638,8 @@ 0 0 0 +5 +0 2 0xbbef 0x47f0b2b8 @@ -329319,6 +411649,8 @@ 0 0 4 +2 +4 0xc4b9 0xf67a7713 256 @@ -329326,6 +411658,8 @@ 0 0 0 +5 +0 1 0xff53 0x9f1cd64f @@ -329334,6 +411668,8 @@ 1 1 0 +3 +2 4 0xc52c 0x6a30ea39 @@ -329342,6 +411678,8 @@ 0 0 0 +3 +5 1 0x622e 0x21660adf @@ -329350,6 +411688,8 @@ 0 0 0 +1 +5 2 0x9e19 0x495b2e96 @@ -329358,6 +411698,8 @@ 0 0 0 +1 +1 3 0x34f8 0xe21dd9e5 @@ -329366,6 +411708,8 @@ 0 0 0 +0 +4 3 0xc4f9 0xe346e92a @@ -329374,6 +411718,8 @@ 1 0 0 +3 +3 4 0x8844 0x6256cec6 @@ -329382,6 +411728,8 @@ 0 0 0 +4 +0 3 0x9ee1 0xc139c843 @@ -329391,6 +411739,8 @@ 1 0 1 +1 +1 0x7b68 0xeec21b91 256 @@ -329398,6 +411748,8 @@ 0 0 0 +1 +2 2 0xccf4 0xd8983f48 @@ -329406,6 +411758,8 @@ 1 1 0 +4 +0 1 0xbe4d 0x1d2f793f @@ -329414,6 +411768,8 @@ 0 0 0 +0 +0 2 0x244f 0xa90f0381 @@ -329422,6 +411778,8 @@ 1 0 0 +5 +5 2 0x4e2 0x38594d04 @@ -329430,6 +411788,8 @@ 0 0 0 +5 +3 3 0xbcd5 0xb7660719 @@ -329438,6 +411798,8 @@ 0 0 0 +3 +2 2 0xab5c 0xcd1a2a86 @@ -329446,6 +411808,8 @@ 0 0 0 +2 +4 4 0x7be8 0xfd85943b @@ -329454,6 +411818,8 @@ 0 0 0 +4 +1 3 0xbc88 0xecec4b44 @@ -329463,6 +411829,8 @@ 1 0 1 +3 +1 0xeca5 0xa74e982 256 @@ -329470,6 +411838,8 @@ 0 0 0 +5 +4 4 0x4084 0x1294c0ea @@ -329478,6 +411848,8 @@ 1 0 0 +2 +0 4 0x29a2 0x5cdd179c @@ -329487,6 +411859,8 @@ 0 0 2 +3 +2 0x330e 0xc16ef3bd 256 @@ -329495,6 +411869,8 @@ 0 0 1 +3 +1 0x9da1 0x9a6440c5 256 @@ -329502,6 +411878,8 @@ 0 0 0 +3 +2 4 0x124e 0xe2ea6881 @@ -329510,6 +411888,8 @@ 0 0 0 +0 +4 3 0x8e9f 0x7d046749 @@ -329518,6 +411898,8 @@ 0 0 0 +4 +4 2 0x3cf4 0x36fd936e @@ -329526,6 +411908,8 @@ 0 0 0 +0 +4 4 0xa785 0x40f04802 @@ -329534,6 +411918,8 @@ 0 0 0 +1 +5 3 0x6e00 0x198a407b @@ -329542,6 +411928,8 @@ 1 0 0 +4 +3 1 0x9363 0x9c8c47d7 @@ -329550,6 +411938,8 @@ 0 0 0 +2 +0 3 0xffc4 0xe072ffed @@ -329558,6 +411948,8 @@ 1 1 0 +0 +4 1 0x902b 0xd494f19f @@ -329566,6 +411958,8 @@ 1 0 0 +3 +2 4 0xc97c 0x3428f07f @@ -329574,6 +411968,8 @@ 1 0 0 +0 +2 4 0x6270 0xe77918a4 @@ -329582,6 +411978,8 @@ 0 0 0 +0 +1 4 0x4230 0x516f2823 @@ -329590,6 +411988,8 @@ 1 1 0 +1 +1 4 0x1fec 0xafb9d308 @@ -329599,6 +411999,8 @@ 0 0 3 +1 +3 0x97dc 0xba93b85a 256 @@ -329606,6 +412008,8 @@ 1 0 0 +1 +1 3 0x1db3 0x6668f28f @@ -329615,6 +412019,8 @@ 0 0 2 +0 +2 0x62b6 0x251493cd 256 @@ -329622,6 +412028,8 @@ 0 0 0 +0 +3 3 0x1c82 0x25acd184 @@ -329631,6 +412039,8 @@ 0 0 2 +0 +2 0xb371 0x61bc4759 256 @@ -329638,6 +412048,8 @@ 0 0 0 +5 +5 3 0x4df3 0xa8b2ebef @@ -329646,6 +412058,8 @@ 1 0 0 +0 +2 4 0x36bc 0x8f4dca9 @@ -329654,6 +412068,8 @@ 1 0 0 +3 +1 4 0x55f2 0x4ee850b2 @@ -329662,6 +412078,8 @@ 1 0 0 +2 +3 1 0xf55b 0xa84eb02 @@ -329670,6 +412088,8 @@ 1 0 0 +3 +3 1 0x888a 0x4e9dffd9 @@ -329678,6 +412098,8 @@ 0 0 0 +5 +2 3 0x759d 0xd225f0c5 @@ -329687,6 +412109,8 @@ 0 0 3 +0 +3 0xb606 0x83ccb249 256 @@ -329694,6 +412118,8 @@ 0 0 0 +5 +2 2 0xe30f 0x2016563f @@ -329702,6 +412128,8 @@ 0 0 0 +3 +0 2 0x2759 0xdecfccc @@ -329710,6 +412138,8 @@ 1 1 0 +5 +0 3 0x8542 0xad293084 @@ -329718,6 +412148,8 @@ 0 0 0 +3 +1 1 0xef48 0xce741dee @@ -329727,6 +412159,8 @@ 0 0 2 +3 +2 0xe407 0x4f762004 256 @@ -329734,6 +412168,8 @@ 0 0 0 +1 +5 4 0xf724 0x6be41d1c @@ -329742,6 +412178,8 @@ 1 0 0 +5 +0 1 0x726c 0x9a1e5bd7 @@ -329750,6 +412188,8 @@ 1 1 0 +0 +3 3 0x6bdb 0x1da1b298 @@ -329758,6 +412198,8 @@ 0 0 0 +0 +4 1 0x6927 0xcbc3c3e4 @@ -329766,6 +412208,8 @@ 0 0 0 +3 +4 2 0xe375 0xb654fc2 @@ -329774,6 +412218,8 @@ 1 1 0 +2 +5 1 0xe3f4 0xb2813dac @@ -329782,6 +412228,8 @@ 1 1 0 +5 +5 1 0x2af 0xeed7201c @@ -329790,6 +412238,8 @@ 1 1 0 +1 +0 4 0xd993 0xa6791c51 @@ -329798,6 +412248,8 @@ 1 1 0 +1 +2 4 0x174f 0xa131398a @@ -329806,6 +412258,8 @@ 1 1 0 +5 +3 4 0x64d5 0x92299e9a @@ -329814,6 +412268,8 @@ 0 0 0 +4 +2 1 0x7808 0x6d72469f @@ -329822,6 +412278,8 @@ 0 0 0 +4 +5 3 0xb6c0 0xe09a8f9e @@ -329830,6 +412288,8 @@ 0 0 0 +2 +0 4 0xb7b2 0x5ea15d41 @@ -329838,6 +412298,8 @@ 0 0 0 +0 +5 1 0x7838 0x1fecf86 @@ -329846,6 +412308,8 @@ 0 0 0 +3 +5 2 0x74a1 0x9a242cef @@ -329855,6 +412319,8 @@ 1 0 1 +3 +1 0x79bc 0xd928c515 256 @@ -329862,6 +412328,8 @@ 0 0 0 +2 +4 3 0x26a 0xc92de116 @@ -329870,6 +412338,8 @@ 0 0 0 +4 +0 2 0xaf46 0x7a19d13 @@ -329878,6 +412348,8 @@ 0 0 0 +5 +5 1 0xe887 0x5e2fc537 @@ -329886,6 +412358,8 @@ 1 0 0 +5 +1 2 0xfbb 0x80137b04 @@ -329894,6 +412368,8 @@ 1 0 0 +0 +2 2 0x211c 0x9acdf4c2 @@ -329902,6 +412378,8 @@ 1 1 0 +2 +3 3 0x662e 0x54b2ecd8 @@ -329910,6 +412388,8 @@ 1 0 0 +2 +4 1 0x8b38 0xd77f4ab6 @@ -329918,6 +412398,8 @@ 0 0 0 +1 +5 4 0x558c 0xf5a9ec93 @@ -329926,6 +412408,8 @@ 1 1 0 +3 +5 1 0xc35 0x59c32201 @@ -329934,6 +412418,8 @@ 1 1 0 +2 +0 1 0xcca3 0x235b5552 @@ -329942,6 +412428,8 @@ 1 0 0 +5 +2 3 0x3e71 0x89d42842 @@ -329950,6 +412438,8 @@ 1 0 0 +5 +1 3 0x3bc7 0xcc2b40f6 @@ -329958,6 +412448,8 @@ 1 0 0 +0 +3 2 0x9845 0xe038934f @@ -329966,6 +412458,8 @@ 0 0 0 +0 +0 2 0x322e 0xd6ab7309 @@ -329974,6 +412468,8 @@ 1 0 0 +4 +5 3 0x36ac 0xaca4da5 @@ -329983,6 +412479,8 @@ 0 0 3 +4 +3 0x295f 0xc17172c8 256 @@ -329990,6 +412488,8 @@ 1 0 0 +5 +5 2 0x4e6f 0x516ade78 @@ -329998,6 +412498,8 @@ 1 0 0 +1 +0 2 0x5b9f 0xfd8c69c7 @@ -330007,6 +412509,8 @@ 1 0 1 +2 +1 0x3c38 0x50df5cda 256 @@ -330014,6 +412518,8 @@ 1 1 0 +1 +3 3 0x18de 0x387654d4 @@ -330023,6 +412529,8 @@ 1 0 3 +0 +3 0xc340 0x979e59e8 256 @@ -330030,6 +412538,8 @@ 1 0 0 +4 +4 3 0x6228 0x634b84a4 @@ -330038,6 +412548,8 @@ 1 1 0 +4 +3 2 0x9996 0x1d6cd81 @@ -330046,6 +412558,8 @@ 1 1 0 +4 +0 1 0x6b3b 0x46d98d4e @@ -330054,6 +412568,8 @@ 1 1 0 +1 +4 3 0x17b4 0x93afda40 @@ -330062,6 +412578,8 @@ 0 0 0 +4 +5 1 0x410e 0xbacffccb @@ -330071,6 +412589,8 @@ 0 0 4 +2 +4 0x4bb2 0xd1122297 256 @@ -330078,6 +412598,8 @@ 1 1 0 +0 +4 3 0x6937 0x20012e75 @@ -330086,6 +412608,8 @@ 1 1 0 +5 +3 3 0xca9e 0x8121b5ba @@ -330095,6 +412619,8 @@ 1 0 3 +2 +3 0xd8c0 0x41b1740f 256 @@ -330102,6 +412628,8 @@ 1 0 0 +3 +2 1 0x15da 0xd878af8b @@ -330110,6 +412638,8 @@ 0 0 0 +0 +0 3 0x5054 0x6e96529b @@ -330119,6 +412649,8 @@ 1 0 4 +0 +4 0x70a0 0xfe34eb62 256 @@ -330126,6 +412658,8 @@ 0 0 0 +2 +1 4 0x2be2 0x440b8fa @@ -330134,6 +412668,8 @@ 1 1 0 +2 +4 1 0xd6fc 0x78f073b5 @@ -330142,6 +412678,8 @@ 1 0 0 +3 +0 4 0xd33e 0x816cba98 @@ -330150,6 +412688,8 @@ 1 0 0 +0 +0 3 0x8733 0x2b947808 @@ -330158,6 +412698,8 @@ 0 0 0 +1 +2 4 0xf8a1 0x971d2a94 @@ -330166,6 +412708,8 @@ 1 0 0 +5 +5 4 0x331e 0xaa5d6095 @@ -330175,6 +412719,8 @@ 1 0 4 +1 +4 0xa5a2 0x76c4e022 256 @@ -330182,6 +412728,8 @@ 0 0 0 +5 +5 4 0xda76 0xa689254f @@ -330190,6 +412738,8 @@ 1 1 0 +2 +0 1 0x79cc 0x6e18b561 @@ -330198,6 +412748,8 @@ 0 0 0 +5 +2 4 0x196b 0xf5424b76 @@ -330206,6 +412758,8 @@ 0 0 0 +5 +1 4 0xe169 0xd0d700b6 @@ -330215,6 +412769,8 @@ 1 0 4 +0 +4 0x24d1 0xd01c7fb1 256 @@ -330222,6 +412778,8 @@ 0 0 0 +1 +4 3 0x4b18 0x97376337 @@ -330230,6 +412788,8 @@ 1 0 0 +3 +5 4 0x3a4d 0xc9644a91 @@ -330238,6 +412798,8 @@ 0 0 0 +4 +3 3 0x29de 0x3c78daf9 @@ -330247,6 +412809,8 @@ 1 0 2 +1 +2 0x87db 0xa5869df8 256 @@ -330254,6 +412818,8 @@ 0 0 0 +0 +5 4 0x82e 0x7f38d4d4 @@ -330262,6 +412828,8 @@ 0 0 0 +4 +4 2 0x3935 0x9f8bacae @@ -330270,6 +412838,8 @@ 0 0 0 +0 +3 3 0x9208 0xe2733839 @@ -330278,6 +412848,8 @@ 0 0 0 +1 +4 2 0x2be5 0x9b6f71ce @@ -330286,6 +412858,8 @@ 1 0 0 +3 +2 4 0xf6df 0x75271130 @@ -330294,6 +412868,8 @@ 0 0 0 +0 +0 1 0x632a 0x8039fd9f @@ -330302,6 +412878,8 @@ 1 0 0 +1 +1 4 0xf343 0x8d0bfae9 @@ -330310,6 +412888,8 @@ 0 0 0 +2 +2 3 0x3243 0x98678fde @@ -330318,6 +412898,8 @@ 1 1 0 +4 +4 3 0xf9c1 0x91b12f55 @@ -330327,6 +412909,8 @@ 1 0 4 +0 +4 0xd63b 0x1c2caf09 256 @@ -330334,6 +412918,8 @@ 0 0 0 +4 +2 1 0x72c3 0x2aa4682a @@ -330342,6 +412928,8 @@ 1 0 0 +4 +3 3 0x26ca 0x4195bdf1 @@ -330350,6 +412938,8 @@ 0 0 0 +1 +2 3 0xb855 0x9bd388c9 @@ -330358,6 +412948,8 @@ 0 0 0 +1 +5 4 0x88d3 0xd5e4305b @@ -330366,6 +412958,8 @@ 1 0 0 +4 +1 1 0x142c 0x91e10d1c @@ -330374,6 +412968,8 @@ 1 1 0 +2 +0 1 0x8ede 0xcc620259 @@ -330382,6 +412978,8 @@ 1 0 0 +0 +2 3 0xc2 0xbe7003a3 @@ -330390,6 +412988,8 @@ 0 0 0 +4 +4 3 0xc9db 0x55e0a055 @@ -330398,6 +412998,8 @@ 0 0 0 +3 +5 4 0x3e86 0x976cb4b7 @@ -330406,6 +413008,8 @@ 0 0 0 +3 +5 2 0xfc61 0x27a4c468 @@ -330414,6 +413018,8 @@ 1 0 0 +1 +0 4 0xe19a 0x7448c86d @@ -330422,6 +413028,8 @@ 1 1 0 +4 +3 3 0xdaa4 0x59ea9bfa @@ -330430,6 +413038,8 @@ 1 1 0 +5 +1 3 0x4c79 0x8e7d89b @@ -330438,6 +413048,8 @@ 0 0 0 +0 +3 4 0xf950 0x53c9fd66 @@ -330446,6 +413058,8 @@ 1 1 0 +4 +3 3 0x3fec 0x84230094 @@ -330454,6 +413068,8 @@ 0 0 0 +5 +1 2 0x6455 0x508c59c1 @@ -330462,6 +413078,8 @@ 1 0 0 +1 +5 2 0xbf65 0x675608fb @@ -330470,6 +413088,8 @@ 0 0 0 +3 +2 2 0xa4f8 0xbf9c5f1e @@ -330478,6 +413098,8 @@ 0 0 0 +4 +1 3 0xa531 0xcc5efecc @@ -330486,6 +413108,8 @@ 1 1 0 +2 +5 1 0x2a40 0x4d60f791 @@ -330494,6 +413118,8 @@ 0 0 0 +3 +5 4 0x26af 0x980fb4a0 @@ -330502,6 +413128,8 @@ 0 0 0 +1 +5 3 0xf4f9 0xcb7d1247 @@ -330510,6 +413138,8 @@ 1 0 0 +4 +2 2 0xb15e 0x30ba2492 @@ -330518,6 +413148,8 @@ 1 1 0 +0 +5 4 0xd91c 0x252590a2 @@ -330526,6 +413158,8 @@ 1 1 0 +4 +1 1 0x7222 0xde4f2c0 @@ -330534,6 +413168,8 @@ 1 0 0 +2 +5 4 0xc3e8 0x4a0eff32 @@ -330542,6 +413178,8 @@ 1 1 0 +4 +0 2 0x22ab 0x90be6706 @@ -330550,6 +413188,8 @@ 0 0 0 +0 +5 2 0x6781 0x3e0ec43 @@ -330558,6 +413198,8 @@ 1 0 0 +3 +5 2 0xf6b6 0x5e13365d @@ -330566,6 +413208,8 @@ 1 0 0 +0 +2 3 0xc182 0x4cc2655b @@ -330574,6 +413218,8 @@ 1 1 0 +4 +2 2 0x35a6 0x1453281d @@ -330582,6 +413228,8 @@ 0 0 0 +0 +4 1 0x8a5f 0x91f49ed1 @@ -330591,6 +413239,8 @@ 0 0 4 +0 +4 0x7e5c 0xf28a2a17 256 @@ -330599,6 +413249,8 @@ 1 0 2 +4 +2 0x29a7 0xc986ae78 256 @@ -330606,6 +413258,8 @@ 1 1 0 +5 +5 2 0xfc1a 0x84ff5887 @@ -330614,6 +413268,8 @@ 0 0 0 +4 +2 3 0xe624 0x73dfaf62 @@ -330622,6 +413278,8 @@ 1 0 0 +3 +5 2 0x3428 0xb862a9fd @@ -330630,6 +413288,8 @@ 0 0 0 +0 +1 4 0x403c 0x7ed4ac83 @@ -330638,6 +413298,8 @@ 0 0 0 +3 +0 1 0x305 0xfdb845d3 @@ -330647,6 +413309,8 @@ 0 0 4 +4 +4 0x812 0xdff72396 256 @@ -330654,6 +413318,8 @@ 1 0 0 +1 +1 4 0x93e5 0x6f9ab99a @@ -330663,6 +413329,8 @@ 0 0 1 +2 +1 0xa2c 0x727371f7 256 @@ -330670,6 +413338,8 @@ 1 1 0 +4 +3 3 0xa9ba 0xf142f07d @@ -330678,6 +413348,8 @@ 0 0 0 +0 +2 2 0x51f9 0x380b39b5 @@ -330686,6 +413358,8 @@ 1 1 0 +2 +4 4 0x2daf 0xa880538f @@ -330694,6 +413368,8 @@ 1 1 0 +4 +5 2 0xeea5 0x2d2e1eca @@ -330702,6 +413378,8 @@ 0 0 0 +3 +0 4 0xe7c0 0x83a2cb7e @@ -330711,6 +413389,8 @@ 0 0 2 +4 +2 0xa5a4 0x7647128 256 @@ -330718,6 +413398,8 @@ 0 0 0 +2 +3 1 0x6d9c 0xc9dda62e @@ -330726,6 +413408,8 @@ 1 0 0 +1 +0 2 0xbbf9 0x253dc5ca @@ -330735,6 +413419,8 @@ 0 0 3 +2 +3 0xfdf0 0xf0f5cff0 256 @@ -330742,6 +413428,8 @@ 1 0 0 +3 +2 2 0xfd00 0xe613187c @@ -330750,6 +413438,8 @@ 0 0 0 +1 +4 2 0x169a 0x8268f5c0 @@ -330758,6 +413448,8 @@ 0 0 0 +3 +5 4 0x1170 0x9d4d1cb5 @@ -330766,6 +413458,8 @@ 1 0 0 +2 +3 3 0x5ea9 0xc37b64bb @@ -330774,6 +413468,8 @@ 1 1 0 +5 +2 3 0x88ea 0xefb2f2ad @@ -330782,6 +413478,8 @@ 0 0 0 +1 +0 3 0x31f1 0x183bbbc2 @@ -330790,6 +413488,8 @@ 1 0 0 +0 +4 3 0xa6b5 0x715ec344 @@ -330798,6 +413498,8 @@ 0 0 0 +3 +1 2 0x18a5 0xd5e137c2 @@ -330806,6 +413508,8 @@ 0 0 0 +2 +4 3 0x25c 0xc1f59afd @@ -330814,6 +413518,8 @@ 0 0 0 +3 +0 4 0xcfd1 0x2fad1cd6 @@ -330822,6 +413528,8 @@ 1 1 0 +0 +4 1 0x838b 0x2a3f07ee @@ -330830,6 +413538,8 @@ 0 0 0 +4 +0 3 0x3b8d 0x51c21499 @@ -330838,6 +413548,8 @@ 0 0 0 +3 +1 4 0x1d5a 0xf21081af @@ -330846,6 +413558,8 @@ 0 0 0 +3 +4 4 0xdda2 0x2740a0d6 @@ -330854,6 +413568,8 @@ 1 0 0 +2 +2 1 0xe7c7 0xe5558a96 @@ -330862,6 +413578,8 @@ 0 0 0 +3 +3 1 0x2469 0x650a67d2 @@ -330870,6 +413588,8 @@ 1 0 0 +0 +0 1 0x5bdb 0x55576469 @@ -330878,6 +413598,8 @@ 0 0 0 +4 +5 1 0x9479 0x75975267 @@ -330886,6 +413608,8 @@ 1 1 0 +5 +0 1 0xb394 0x6bc3edc4 @@ -330894,6 +413618,8 @@ 1 1 0 +3 +3 1 0x2184 0x73998ea8 @@ -330902,6 +413628,8 @@ 1 1 0 +5 +1 4 0xbc53 0xdd02ecd3 @@ -330910,6 +413638,8 @@ 1 0 0 +5 +3 4 0x8d2e 0xfb8cf166 @@ -330918,6 +413648,8 @@ 0 0 0 +0 +1 4 0xe65e 0x10faffb9 @@ -330926,6 +413658,8 @@ 0 0 0 +1 +3 3 0x75a6 0xe5f2ede9 @@ -330934,6 +413668,8 @@ 1 0 0 +3 +5 2 0xd42a 0x790145 @@ -330942,6 +413678,8 @@ 1 0 0 +3 +3 4 0xad1f 0x2d34f479 @@ -330950,6 +413688,8 @@ 1 0 0 +3 +4 2 0x24cc 0xf0137a91 @@ -330958,6 +413698,8 @@ 1 1 0 +5 +5 1 0xe4d2 0xde7761d5 @@ -330966,6 +413708,8 @@ 0 0 0 +2 +2 3 0xb86a 0xab08c106 @@ -330974,6 +413718,8 @@ 1 0 0 +0 +4 4 0x50e0 0x490b1795 @@ -330982,6 +413728,8 @@ 0 0 0 +3 +2 2 0xda96 0xc283e3c4 @@ -330990,6 +413738,8 @@ 1 1 0 +5 +5 4 0x367e 0x8cef1014 @@ -330998,6 +413748,8 @@ 1 1 0 +0 +2 1 0x5805 0xd690f6c6 @@ -331007,6 +413759,8 @@ 0 0 4 +4 +4 0x64ab 0xe5c71bc4 256 @@ -331014,6 +413768,8 @@ 1 0 0 +2 +2 3 0x34ad 0x19c3d13 @@ -331023,6 +413779,8 @@ 0 0 1 +1 +1 0xee73 0x53c35c83 256 @@ -331030,6 +413788,8 @@ 0 0 0 +1 +3 3 0x622 0x6b532f1d @@ -331038,6 +413798,8 @@ 1 0 0 +4 +3 3 0x6baf 0x6b450257 @@ -331046,6 +413808,8 @@ 0 0 0 +5 +5 4 0x3c1d 0xd520ed8c @@ -331054,6 +413818,8 @@ 1 0 0 +2 +0 4 0x98b2 0x1a5becec @@ -331062,6 +413828,8 @@ 0 0 0 +2 +2 1 0x5297 0xb50887d @@ -331070,7 +413838,9 @@ 1 1 0 -2 +0 +1 +2 0x274c 0x7799b2a5 256 @@ -331078,6 +413848,8 @@ 0 0 0 +3 +3 2 0x3a5c 0xa8c2fbf0 @@ -331086,6 +413858,8 @@ 1 1 0 +3 +5 1 0x8fe2 0xad6693f2 @@ -331095,6 +413869,8 @@ 0 0 1 +5 +1 0xfa2 0x7bce7c33 256 @@ -331102,6 +413878,8 @@ 0 0 0 +2 +1 3 0x3226 0xebf05ccb @@ -331110,6 +413888,8 @@ 0 0 0 +3 +0 2 0x2b3e 0xb6381feb @@ -331118,6 +413898,8 @@ 0 0 0 +1 +0 4 0x26e0 0xe02da299 @@ -331126,6 +413908,8 @@ 0 0 0 +1 +0 3 0xba09 0x733266f2 @@ -331134,6 +413918,8 @@ 0 0 0 +4 +3 1 0x2edf 0xcb23ba03 @@ -331143,6 +413929,8 @@ 0 0 4 +0 +4 0x5700 0x5657f528 256 @@ -331150,6 +413938,8 @@ 0 0 0 +2 +4 3 0xd492 0x1e20d207 @@ -331158,6 +413948,8 @@ 0 0 0 +4 +0 3 0x29b6 0x8cc821 @@ -331166,6 +413958,8 @@ 0 0 0 +4 +5 2 0xe90e 0x24b7f2db @@ -331174,6 +413968,8 @@ 1 0 0 +0 +1 2 0xbc26 0x2ab5920d @@ -331182,6 +413978,8 @@ 0 0 0 +0 +1 1 0x6007 0xaf80aab8 @@ -331190,6 +413988,8 @@ 1 1 0 +0 +5 1 0x306e 0xc26f9283 @@ -331198,6 +413998,8 @@ 0 0 0 +4 +3 2 0xa57e 0x71175ec5 @@ -331206,6 +414008,8 @@ 0 0 0 +0 +0 2 0x5218 0xc692496c @@ -331214,6 +414018,8 @@ 1 0 0 +2 +4 3 0xe529 0x35e1538f @@ -331222,6 +414028,8 @@ 1 1 0 +0 +3 2 0xbdc 0xcfa14a8d @@ -331231,6 +414039,8 @@ 0 0 2 +0 +2 0x42d3 0xbb29077e 256 @@ -331238,6 +414048,8 @@ 1 0 0 +2 +1 4 0x769 0x6b07012a @@ -331246,6 +414058,8 @@ 0 0 0 +4 +3 2 0x73e4 0xdf968ba7 @@ -331254,6 +414068,8 @@ 0 0 0 +4 +5 1 0xab97 0xfc7c171b @@ -331262,6 +414078,8 @@ 1 1 0 +0 +4 3 0x46da 0xd88eca23 @@ -331271,6 +414089,8 @@ 1 0 1 +5 +1 0xcd3d 0xa80f2b5 256 @@ -331278,6 +414098,8 @@ 1 1 0 +0 +5 3 0x4842 0xcccd35d3 @@ -331286,6 +414108,8 @@ 1 1 0 +5 +3 1 0x9092 0x43d12b88 @@ -331294,6 +414118,8 @@ 0 0 0 +5 +2 1 0x94eb 0xad83f8b0 @@ -331303,6 +414129,8 @@ 1 0 2 +1 +2 0xb238 0xb502f9fc 256 @@ -331311,6 +414139,8 @@ 0 0 2 +5 +2 0x9419 0xdd51a69e 256 @@ -331318,6 +414148,8 @@ 0 0 0 +5 +2 4 0xdaa2 0x20335bfa @@ -331326,6 +414158,8 @@ 1 1 0 +0 +2 2 0x5ffa 0xad74885 @@ -331334,6 +414168,8 @@ 1 1 0 +1 +2 2 0xfa5c 0x806fd303 @@ -331342,6 +414178,8 @@ 1 0 0 +1 +2 4 0xb3e3 0x6a1c48eb @@ -331350,6 +414188,8 @@ 0 0 0 +2 +2 1 0x8ef1 0x2af6acf1 @@ -331358,6 +414198,8 @@ 1 0 0 +5 +2 4 0xf99b 0x968dc822 @@ -331367,6 +414209,8 @@ 0 0 2 +5 +2 0xb7c4 0x42008ced 256 @@ -331374,6 +414218,8 @@ 1 1 0 +1 +5 2 0xbbfb 0x3dc459dc @@ -331382,6 +414228,8 @@ 1 1 0 +5 +4 2 0xd6dd 0x59f4bc70 @@ -331390,6 +414238,8 @@ 0 0 0 +0 +1 1 0x30b7 0xfaaa0f46 @@ -331398,6 +414248,8 @@ 1 1 0 +0 +3 3 0x9e12 0xda3e7cbc @@ -331407,6 +414259,8 @@ 0 0 4 +2 +4 0x6183 0xbd515dda 256 @@ -331414,6 +414268,8 @@ 0 0 0 +5 +2 1 0x5767 0x83e75a05 @@ -331422,6 +414278,8 @@ 0 0 0 +0 +4 2 0x439f 0xa8158abf @@ -331430,6 +414288,8 @@ 1 0 0 +5 +3 1 0x7477 0xbdbf5787 @@ -331438,6 +414298,8 @@ 1 1 0 +5 +0 3 0xc5ce 0x670c6d03 @@ -331446,6 +414308,8 @@ 1 1 0 +4 +1 3 0xa142 0x19335188 @@ -331454,6 +414318,8 @@ 0 0 0 +2 +2 4 0x36dd 0x5e9fc728 @@ -331462,6 +414328,8 @@ 1 1 0 +5 +1 1 0x4f58 0x55c122d5 @@ -331470,6 +414338,8 @@ 0 0 0 +3 +2 2 0xfa2 0x68bdf88a @@ -331478,6 +414348,8 @@ 1 0 0 +0 +4 3 0xd6d3 0xf393b25b @@ -331486,6 +414358,8 @@ 0 0 0 +0 +5 3 0x3cc0 0x95ccab6f @@ -331494,6 +414368,8 @@ 0 0 0 +3 +4 4 0x58b5 0xe6d7de8 @@ -331503,6 +414379,8 @@ 1 0 3 +5 +3 0x277a 0x713169bf 256 @@ -331510,6 +414388,8 @@ 1 1 0 +5 +5 3 0xeed1 0x5bb70d8c @@ -331518,6 +414398,8 @@ 0 0 0 +4 +1 2 0x7af4 0x6151d22c @@ -331526,6 +414408,8 @@ 1 0 0 +0 +2 2 0xf525 0x41c44857 @@ -331534,6 +414418,8 @@ 0 0 0 +0 +4 3 0xa717 0xefa9c5af @@ -331542,6 +414428,8 @@ 1 0 0 +2 +3 4 0x2707 0x62de618c @@ -331550,6 +414438,8 @@ 1 1 0 +5 +4 1 0x1fa7 0x534ff6ac @@ -331558,6 +414448,8 @@ 1 1 0 +3 +2 4 0x639f 0xc4e94485 @@ -331567,6 +414459,8 @@ 0 0 3 +4 +3 0x7fc7 0x5ca74631 256 @@ -331574,6 +414468,8 @@ 1 1 0 +0 +0 3 0xd10a 0x3fd0c691 @@ -331583,6 +414479,8 @@ 0 0 3 +0 +3 0x33c3 0x4f1dffe6 256 @@ -331590,6 +414488,8 @@ 1 0 0 +1 +5 3 0xba4c 0xb56849c1 @@ -331598,6 +414498,8 @@ 0 0 0 +3 +3 1 0x6925 0x374e1fee @@ -331607,6 +414509,8 @@ 1 0 3 +2 +3 0x11e2 0x834a7e22 256 @@ -331614,6 +414518,8 @@ 0 0 0 +2 +4 4 0xfb98 0x51f6f66b @@ -331623,6 +414529,8 @@ 0 0 4 +5 +4 0x39e1 0x38a56bbf 256 @@ -331630,6 +414538,8 @@ 0 0 0 +2 +3 4 0x9395 0xcd9a9165 @@ -331638,6 +414548,8 @@ 0 0 0 +4 +4 3 0xa776 0xeecfaf23 @@ -331646,6 +414558,8 @@ 1 0 0 +3 +3 4 0xc955 0xb5b15710 @@ -331655,6 +414569,8 @@ 0 0 4 +0 +4 0xc59b 0xc426c992 256 @@ -331662,6 +414578,8 @@ 0 0 0 +1 +2 3 0xd7e7 0x48ba32f8 @@ -331670,6 +414588,8 @@ 0 0 0 +0 +0 2 0x242c 0xb5689149 @@ -331678,6 +414598,8 @@ 1 1 0 +0 +1 4 0xbec2 0x8851831e @@ -331686,6 +414608,8 @@ 0 0 0 +0 +1 1 0x5af5 0x11af0755 @@ -331694,6 +414618,8 @@ 1 1 0 +2 +5 4 0x7499 0x5e210cef @@ -331702,6 +414628,8 @@ 0 0 0 +1 +5 4 0xc829 0x6fea9659 @@ -331711,6 +414639,8 @@ 1 0 2 +1 +2 0x7ddf 0x170642f9 256 @@ -331718,6 +414648,8 @@ 0 0 0 +1 +1 2 0xc0a0 0x82e3dbdd @@ -331726,6 +414658,8 @@ 1 0 0 +0 +2 3 0x413f 0xf2454e86 @@ -331734,6 +414668,8 @@ 0 0 0 +1 +2 4 0xb91f 0xa58d16d7 @@ -331742,6 +414678,8 @@ 1 1 0 +5 +3 2 0xcb6 0x5b054460 @@ -331750,6 +414688,8 @@ 1 0 0 +1 +0 4 0xe250 0x87b27f28 @@ -331758,6 +414698,8 @@ 0 0 0 +0 +0 4 0x2308 0xe41d253 @@ -331766,6 +414708,8 @@ 0 0 0 +4 +2 1 0x83da 0x7da899c1 @@ -331774,6 +414718,8 @@ 0 0 0 +5 +3 1 0x39da 0x7a90791d @@ -331783,6 +414729,8 @@ 0 0 1 +1 +1 0xd500 0xf7dee4ce 256 @@ -331790,6 +414738,8 @@ 0 0 0 +2 +1 3 0x42ea 0x12302317 @@ -331798,6 +414748,8 @@ 1 1 0 +4 +4 2 0x9800 0x4e7a9c71 @@ -331806,6 +414758,8 @@ 1 0 0 +0 +4 4 0x2051 0xbfabaaa8 @@ -331814,6 +414768,8 @@ 1 0 0 +5 +0 2 0x353a 0xbdae939f @@ -331822,6 +414778,8 @@ 1 1 0 +3 +3 1 0x5725 0x8d250cd6 @@ -331830,6 +414788,8 @@ 0 0 0 +1 +0 3 0xa850 0x972de8f @@ -331839,6 +414799,8 @@ 1 0 3 +5 +3 0x1daf 0x22789d4f 256 @@ -331846,6 +414808,8 @@ 1 1 0 +0 +5 3 0xd2d 0x25d6b2fa @@ -331854,6 +414818,8 @@ 0 0 0 +2 +1 1 0x5a0f 0xeac83d25 @@ -331862,6 +414828,8 @@ 0 0 0 +0 +0 4 0xfa88 0xa596a7dc @@ -331870,6 +414838,8 @@ 0 0 0 +2 +2 3 0x762b 0x2ea75f60 @@ -331878,6 +414848,8 @@ 1 1 0 +5 +0 1 0x670e 0x25ef1794 @@ -331886,6 +414858,8 @@ 0 0 0 +2 +0 1 0xf453 0xf145fbe6 @@ -331894,6 +414868,8 @@ 1 0 0 +5 +0 3 0x36aa 0x3fa9f3bd @@ -331902,6 +414878,8 @@ 0 0 0 +5 +1 1 0x3f3 0x94c18c10 @@ -331910,6 +414888,8 @@ 1 1 0 +4 +4 1 0xef3a 0xd7cd6962 @@ -331918,6 +414898,8 @@ 0 0 0 +4 +0 1 0x26ce 0xe5d8b4da @@ -331926,6 +414908,8 @@ 1 1 0 +2 +0 3 0xa802 0x461b63ba @@ -331935,6 +414919,8 @@ 0 0 4 +1 +4 0x1d20 0x3682cd53 256 @@ -331942,6 +414928,8 @@ 0 0 0 +5 +1 1 0x98e2 0xf0bf4318 @@ -331950,6 +414938,8 @@ 1 1 0 +1 +0 2 0x6706 0xc9ac7db3 @@ -331958,6 +414948,8 @@ 0 0 0 +1 +5 4 0x753c 0x124936dd @@ -331966,6 +414958,8 @@ 1 0 0 +1 +0 3 0x3af3 0xd9abce8d @@ -331974,6 +414968,8 @@ 0 0 0 +1 +3 4 0x21d2 0x2c683024 @@ -331982,6 +414978,8 @@ 0 0 0 +3 +1 1 0x75f4 0x3262d21 @@ -331991,6 +414989,8 @@ 0 0 1 +0 +1 0xf6d5 0x5fbc8bc4 256 @@ -331998,6 +414998,8 @@ 1 1 0 +5 +3 2 0x6965 0x2fa46e64 @@ -332006,6 +415008,8 @@ 1 1 0 +4 +1 2 0x81a4 0x305659b3 @@ -332014,6 +415018,8 @@ 0 0 0 +0 +5 1 0xae29 0x12ab694d @@ -332023,6 +415029,8 @@ 0 0 1 +2 +1 0xeed3 0x444fd63a 256 @@ -332030,6 +415038,8 @@ 1 1 0 +5 +5 2 0x5e60 0x6c8aaa2 @@ -332038,6 +415048,8 @@ 1 0 0 +0 +4 1 0x7d48 0x579e0baf @@ -332046,6 +415058,8 @@ 1 1 0 +0 +3 2 0x4d49 0xad06f7cd @@ -332054,6 +415068,8 @@ 1 1 0 +1 +5 3 0x4a46 0x40055e4b @@ -332063,6 +415079,8 @@ 0 0 3 +5 +3 0x47de 0xdde86a0a 256 @@ -332071,6 +415089,8 @@ 0 0 4 +3 +4 0xa35a 0x9dfe58ae 256 @@ -332078,6 +415098,8 @@ 1 0 0 +4 +1 2 0x4250 0xfcc64dc6 @@ -332086,6 +415108,8 @@ 1 1 0 +3 +3 4 0x9297 0x52d28750 @@ -332095,6 +415119,8 @@ 0 0 1 +4 +1 0x8b2e 0xdbbeb958 256 @@ -332102,6 +415128,8 @@ 0 0 0 +5 +3 4 0x2341 0xb060bb7d @@ -332110,6 +415138,8 @@ 1 0 0 +4 +5 3 0xd32a 0xd27b0446 @@ -332118,6 +415148,8 @@ 0 0 0 +2 +3 1 0x573f 0x567d67d5 @@ -332127,6 +415159,8 @@ 0 0 2 +5 +2 0x773a 0xdd10d671 256 @@ -332134,6 +415168,8 @@ 0 0 0 +0 +5 3 0x6e4 0xae5a4b55 @@ -332142,6 +415178,8 @@ 0 0 0 +2 +0 4 0x1c18 0x5ae1d820 @@ -332150,6 +415188,8 @@ 1 1 0 +4 +1 1 0xce52 0x8fb517a9 @@ -332158,6 +415198,8 @@ 1 1 0 +2 +4 4 0x5bcb 0xbb8d036a @@ -332167,6 +415209,8 @@ 1 0 2 +3 +2 0x8830 0xf779d827 256 @@ -332175,6 +415219,8 @@ 0 0 3 +3 +3 0xfacd 0x3d18e75c 256 @@ -332182,6 +415228,8 @@ 0 0 0 +3 +1 4 0x7d25 0x14104d90 @@ -332190,6 +415238,8 @@ 0 0 0 +4 +0 1 0x28c7 0xaee19585 @@ -332198,6 +415248,8 @@ 0 0 0 +1 +3 3 0xbae2 0x86d88b52 @@ -332206,6 +415258,8 @@ 0 0 0 +3 +5 4 0xdb64 0xda51d81d @@ -332214,6 +415268,8 @@ 1 1 0 +5 +3 4 0x7aec 0xab81879e @@ -332222,6 +415278,8 @@ 0 0 0 +5 +5 1 0xab43 0x54490e15 @@ -332230,6 +415288,8 @@ 0 0 0 +5 +5 2 0x3ddf 0x273db5f2 @@ -332238,6 +415298,8 @@ 1 0 0 +3 +4 2 0x2aba 0xfb9caf3f @@ -332247,6 +415309,8 @@ 1 0 2 +1 +2 0x50b5 0x36d0cfb5 256 @@ -332254,6 +415318,8 @@ 1 0 0 +5 +4 3 0x8bd8 0xb0cf97f1 @@ -332263,6 +415329,8 @@ 0 0 3 +3 +3 0x107b 0xa939a533 256 @@ -332270,6 +415338,8 @@ 0 0 0 +5 +1 1 0xd875 0xde020b07 @@ -332279,6 +415349,8 @@ 1 0 3 +3 +3 0xb460 0xd64f346d 256 @@ -332286,6 +415358,8 @@ 1 1 0 +0 +3 2 0x740b 0x23694d21 @@ -332294,6 +415368,8 @@ 1 0 0 +0 +3 4 0xc26a 0xbdd480e8 @@ -332302,6 +415378,8 @@ 1 0 0 +5 +5 4 0xd9b2 0x67051e08 @@ -332311,6 +415389,8 @@ 0 0 3 +3 +3 0xda17 0xd7b4a254 256 @@ -332318,6 +415398,8 @@ 1 0 0 +1 +5 3 0xa80a 0xc7e631f5 @@ -332326,6 +415408,8 @@ 0 0 0 +2 +2 1 0x7135 0x962adffd @@ -332334,6 +415418,8 @@ 0 0 0 +3 +4 4 0xb02b 0xbd21f43e @@ -332342,6 +415428,8 @@ 1 1 0 +5 +3 1 0xbd4c 0x826a9ad3 @@ -332350,6 +415438,8 @@ 0 0 0 +1 +1 3 0xfccd 0xe6d2b0c7 @@ -332359,6 +415449,8 @@ 0 0 1 +0 +1 0xaba7 0x807c93ce 256 @@ -332366,6 +415458,8 @@ 1 0 0 +0 +4 1 0x71e5 0x3f82cfe7 @@ -332375,6 +415469,8 @@ 0 0 4 +4 +4 0xad3f 0x7947d2d0 256 @@ -332382,6 +415478,8 @@ 0 0 0 +4 +1 2 0x26a8 0x7d1dcd3e @@ -332390,6 +415488,8 @@ 0 0 0 +1 +2 2 0x942d 0x4bfad0d2 @@ -332398,6 +415498,8 @@ 0 0 0 +0 +5 4 0xe90d 0x2c9b4b4c @@ -332406,6 +415508,8 @@ 1 0 0 +2 +1 4 0xd0d8 0x5a73058e @@ -332414,6 +415518,8 @@ 0 0 0 +3 +4 2 0x82 0x9ace5e65 @@ -332422,6 +415528,8 @@ 1 1 0 +5 +5 4 0x8ff2 0x8730de @@ -332430,6 +415538,8 @@ 1 0 0 +2 +0 3 0x5df1 0x214a67f9 @@ -332438,6 +415548,8 @@ 0 0 0 +4 +2 2 0x22c3 0x7c86a1ec @@ -332447,6 +415559,8 @@ 0 0 1 +0 +1 0x569d 0x8251d058 256 @@ -332454,6 +415568,8 @@ 1 1 0 +5 +1 1 0xedd1 0x93a16c1f @@ -332462,6 +415578,8 @@ 1 1 0 +0 +5 1 0xba1 0x636d79c3 @@ -332470,6 +415588,8 @@ 0 0 0 +2 +1 3 0x8ab 0xf80dd1dc @@ -332478,6 +415598,8 @@ 0 0 0 +3 +0 4 0x444e 0xf2d622eb @@ -332486,6 +415608,8 @@ 0 0 0 +5 +1 1 0x53d7 0x26f331c0 @@ -332494,6 +415618,8 @@ 0 0 0 +3 +1 2 0x5dfc 0xeeeb4d0e @@ -332502,6 +415628,8 @@ 1 1 0 +1 +1 2 0x7544 0xfdf3e521 @@ -332510,6 +415638,8 @@ 0 0 0 +4 +3 3 0xf1ac 0x3ef4c49d @@ -332518,6 +415648,8 @@ 1 1 0 +4 +3 3 0x607 0x7567380a @@ -332527,6 +415659,8 @@ 0 0 4 +1 +4 0x38ad 0x3e28fd17 256 @@ -332534,6 +415668,8 @@ 0 0 0 +1 +5 4 0x9635 0xd05f076a @@ -332542,6 +415678,8 @@ 0 0 0 +0 +5 1 0x1bf9 0x4221182f @@ -332550,6 +415688,8 @@ 1 1 0 +4 +5 3 0x5c92 0xbad5961 @@ -332558,6 +415698,8 @@ 0 0 0 +2 +0 4 0xf97e 0x8c762b90 @@ -332566,6 +415708,8 @@ 0 0 0 +4 +1 1 0x1c8a 0x3816432f @@ -332574,6 +415718,8 @@ 0 0 0 +5 +2 1 0x7d91 0xefbd6a86 @@ -332582,6 +415728,8 @@ 1 1 0 +5 +5 1 0xd5fa 0xf7990c98 @@ -332590,6 +415738,8 @@ 1 0 0 +4 +5 3 0x3552 0xa27a9e55 @@ -332599,6 +415749,8 @@ 0 0 3 +4 +3 0xf576 0x5f14f909 256 @@ -332606,6 +415758,8 @@ 1 0 0 +3 +0 2 0x96f6 0x917358ad @@ -332614,6 +415768,8 @@ 1 0 0 +5 +2 3 0x5670 0xbda50e27 @@ -332622,6 +415778,8 @@ 1 0 0 +4 +5 3 0xcf0f 0xed616275 @@ -332630,6 +415788,8 @@ 1 0 0 +0 +0 1 0xf0d1 0x45c3a836 @@ -332638,6 +415798,8 @@ 0 0 0 +4 +1 2 0x66b5 0xc92932b @@ -332647,6 +415809,8 @@ 0 0 3 +2 +3 0xe024 0xdd5167df 256 @@ -332654,6 +415818,8 @@ 0 0 0 +4 +4 3 0x329f 0x71a4599d @@ -332662,6 +415828,8 @@ 1 1 0 +4 +4 3 0x57bc 0x8be87656 @@ -332670,6 +415838,8 @@ 0 0 0 +2 +1 3 0xd895 0x91318a4b @@ -332678,6 +415848,8 @@ 0 0 0 +2 +3 4 0xbcb0 0x21604bcf @@ -332686,6 +415858,8 @@ 0 0 0 +0 +2 1 0x585b 0x2babacc6 @@ -332694,6 +415868,8 @@ 1 1 0 +0 +3 4 0xfb70 0xb1a02a45 @@ -332703,6 +415879,8 @@ 0 0 1 +0 +1 0x3939 0xfdc84711 256 @@ -332711,6 +415889,8 @@ 0 0 1 +3 +1 0xcc50 0xf9dc09f3 256 @@ -332718,6 +415898,8 @@ 0 0 0 +5 +0 2 0x2eb8 0x963cb96e @@ -332726,6 +415908,8 @@ 1 1 0 +0 +5 2 0xab49 0xa594005e @@ -332734,6 +415918,8 @@ 1 0 0 +1 +0 2 0x357d 0xe35195be @@ -332742,6 +415928,8 @@ 1 0 0 +3 +4 1 0x8109 0xd5b70ced @@ -332750,6 +415938,8 @@ 0 0 0 +5 +5 1 0xa380 0x6a37d034 @@ -332758,6 +415948,8 @@ 1 1 0 +5 +2 2 0x7477 0xd8f43be4 @@ -332766,6 +415958,8 @@ 1 1 0 +4 +2 1 0xb206 0xdf46a9f8 @@ -332775,6 +415969,8 @@ 0 0 3 +5 +3 0xe59b 0xa6caca80 256 @@ -332782,6 +415978,8 @@ 1 0 0 +5 +3 1 0x5b9b 0xf3328dea @@ -332790,6 +415988,8 @@ 1 0 0 +3 +5 4 0xe69c 0xe2a3b11a @@ -332798,6 +415998,8 @@ 0 0 0 +3 +1 2 0x76bb 0x4b7cf86a @@ -332806,6 +416008,8 @@ 0 0 0 +1 +4 4 0xb2b2 0x1e31af75 @@ -332814,6 +416018,8 @@ 1 0 0 +1 +2 2 0x9c7f 0x30afc51c @@ -332822,6 +416028,8 @@ 0 0 0 +0 +5 1 0x58b0 0xd9c9236 @@ -332830,6 +416038,8 @@ 0 0 0 +2 +4 1 0x7050 0xf267001a @@ -332838,6 +416048,8 @@ 1 1 0 +3 +5 2 0xa576 0x6134ddc @@ -332846,6 +416058,8 @@ 1 1 0 +2 +5 1 0x4d12 0x676dafeb @@ -332854,6 +416068,8 @@ 1 0 0 +5 +2 1 0x952d 0x2d4503bb @@ -332862,6 +416078,8 @@ 0 0 0 +3 +5 1 0x7fc9 0xeafbad46 @@ -332870,6 +416088,8 @@ 0 0 0 +0 +4 3 0xe67a 0xf3d57d1c @@ -332878,6 +416098,8 @@ 1 1 0 +3 +1 4 0x8af8 0xf6a1c1d6 @@ -332886,6 +416108,8 @@ 1 0 0 +4 +3 2 0x74d3 0x5ccc4f87 @@ -332895,6 +416119,8 @@ 0 0 2 +3 +2 0xda38 0x2ef5b396 256 @@ -332902,6 +416128,8 @@ 0 0 0 +0 +0 3 0x5114 0x36f025e4 @@ -332910,6 +416138,8 @@ 1 1 0 +0 +1 1 0xc8ab 0xbb6de433 @@ -332918,6 +416148,8 @@ 1 0 0 +5 +4 3 0x8ccc 0xde0f3a46 @@ -332926,6 +416158,8 @@ 0 0 0 +2 +0 4 0xe336 0x5284e4f7 @@ -332934,6 +416168,8 @@ 0 0 0 +3 +4 2 0xa9d4 0xa1a9d534 @@ -332942,6 +416178,8 @@ 0 0 0 +4 +0 2 0xf6a0 0x90131d00 @@ -332951,6 +416189,8 @@ 0 0 4 +1 +4 0xb8bc 0x89408dde 256 @@ -332958,6 +416198,8 @@ 0 0 0 +1 +5 2 0x36da 0x1975b0c1 @@ -332966,6 +416208,8 @@ 0 0 0 +0 +2 2 0x7d50 0xb3583faa @@ -332974,6 +416218,8 @@ 0 0 0 +4 +0 2 0x10d2 0x210fa9bc @@ -332982,6 +416228,8 @@ 1 1 0 +3 +0 1 0x9a07 0x2bb75465 @@ -332990,6 +416238,8 @@ 1 0 0 +1 +5 2 0x843d 0x28a7a127 @@ -332998,6 +416248,8 @@ 0 0 0 +5 +2 3 0x70c9 0x957aa214 @@ -333006,6 +416258,8 @@ 1 0 0 +1 +3 2 0xd799 0x1e05a4ac @@ -333014,6 +416268,8 @@ 0 0 0 +1 +4 4 0xfb42 0xa2fc1109 @@ -333022,6 +416278,8 @@ 1 1 0 +3 +3 2 0x8998 0x67b8a6a6 @@ -333030,6 +416288,8 @@ 0 0 0 +4 +5 1 0x19d6 0xfa2b807 @@ -333038,6 +416298,8 @@ 1 0 0 +0 +2 2 0x6767 0x1c5c6752 @@ -333046,6 +416308,8 @@ 0 0 0 +5 +0 1 0xa671 0xd55eb62c @@ -333054,6 +416318,8 @@ 1 1 0 +3 +5 4 0xb36b 0x9a85964 @@ -333062,6 +416328,8 @@ 0 0 0 +3 +5 2 0xe6c3 0xb05833b @@ -333070,6 +416338,8 @@ 0 0 0 +0 +4 2 0xb306 0xab26c19 @@ -333079,6 +416349,8 @@ 0 0 2 +5 +2 0xd8b7 0x84a7ce11 256 @@ -333086,6 +416358,8 @@ 1 1 0 +0 +5 1 0xfaaa 0xbe41309a @@ -333095,6 +416369,8 @@ 0 0 1 +1 +1 0x5f28 0xefc3e09f 256 @@ -333102,6 +416378,8 @@ 0 0 0 +3 +3 2 0x29c 0x84c2e952 @@ -333110,6 +416388,8 @@ 1 0 0 +1 +4 4 0xf692 0xac2ee65d @@ -333118,6 +416398,8 @@ 1 0 0 +0 +1 1 0x4de0 0x997f9cfd @@ -333126,6 +416408,8 @@ 0 0 0 +0 +2 2 0xa5f0 0xc73e5370 @@ -333134,6 +416418,8 @@ 1 1 0 +5 +0 1 0x4ad0 0x6a2d085b @@ -333143,6 +416429,8 @@ 1 0 2 +0 +2 0x9bb8 0x5fde1bb 256 @@ -333150,6 +416438,8 @@ 1 0 0 +5 +4 2 0x10b6 0xcf2bf6a5 @@ -333158,6 +416448,8 @@ 0 0 0 +0 +2 3 0x9f0d 0x4fdec969 @@ -333166,6 +416458,8 @@ 0 0 0 +0 +5 3 0xb4cf 0x16146dfd @@ -333174,6 +416468,8 @@ 1 1 0 +1 +5 4 0x17c4 0x515abb61 @@ -333182,6 +416478,8 @@ 1 0 0 +1 +2 3 0x65e4 0x1392d799 @@ -333190,6 +416488,8 @@ 1 0 0 +0 +1 2 0xcaec 0x3776cec3 @@ -333198,6 +416498,8 @@ 0 0 0 +4 +4 2 0x9674 0xd686f2ad @@ -333206,6 +416508,8 @@ 0 0 0 +0 +1 1 0x86c7 0xff22ca76 @@ -333214,6 +416518,8 @@ 0 0 0 +2 +2 1 0xe398 0xa840b10b @@ -333222,6 +416528,8 @@ 0 0 0 +3 +4 2 0xa8d8 0x20456c9b @@ -333231,6 +416539,8 @@ 1 0 3 +5 +3 0xad25 0x53766903 256 @@ -333238,6 +416548,8 @@ 1 0 0 +5 +3 1 0x1cb1 0xed26f3f1 @@ -333246,6 +416558,8 @@ 0 0 0 +2 +0 1 0xaa4c 0xb74ed0a @@ -333254,6 +416568,8 @@ 0 0 0 +3 +4 1 0xaae9 0xfff3f80d @@ -333262,6 +416578,8 @@ 0 0 0 +1 +1 4 0x257c 0xec5a6783 @@ -333270,6 +416588,8 @@ 0 0 0 +0 +3 1 0xbb8c 0x6df89e2a @@ -333278,6 +416598,8 @@ 0 0 0 +1 +2 4 0xf003 0x311b2768 @@ -333286,6 +416608,8 @@ 1 0 0 +0 +3 3 0x42fd 0x7cf064eb @@ -333294,6 +416618,8 @@ 1 0 0 +4 +2 2 0x1ea1 0xb1181b7a @@ -333302,6 +416628,8 @@ 0 0 0 +3 +4 1 0xc893 0x9e921e33 @@ -333310,6 +416638,8 @@ 0 0 0 +2 +3 3 0x219d 0x8eeebd3f @@ -333318,6 +416648,8 @@ 0 0 0 +4 +4 3 0xca 0x371daa62 @@ -333326,6 +416658,8 @@ 0 0 0 +1 +2 3 0xc23c 0x80f4781f @@ -333334,6 +416668,8 @@ 1 0 0 +3 +4 2 0xc76f 0x13fe9be5 @@ -333342,6 +416678,8 @@ 0 0 0 +0 +0 2 0xf2f5 0xb196c2d3 @@ -333350,6 +416688,8 @@ 0 0 0 +5 +1 2 0x3373 0xb370d006 @@ -333358,6 +416698,8 @@ 1 1 0 +3 +0 1 0xd35a 0xa47f7a7f @@ -333366,6 +416708,8 @@ 1 0 0 +5 +0 3 0x8c40 0x8284de4e @@ -333374,6 +416718,8 @@ 0 0 0 +1 +3 2 0xa23f 0x6ba3d1c4 @@ -333382,6 +416728,8 @@ 0 0 0 +2 +0 3 0x12be 0x2648d3e2 @@ -333390,6 +416738,8 @@ 0 0 0 +5 +2 4 0x88e5 0xb1631b06 @@ -333398,6 +416748,8 @@ 1 1 0 +5 +0 3 0xc7c 0x7869d600 @@ -333407,6 +416759,8 @@ 0 0 2 +3 +2 0xe64e 0xe489cbf8 256 @@ -333414,6 +416768,8 @@ 1 1 0 +3 +2 2 0x4628 0x47615909 @@ -333422,6 +416778,8 @@ 1 0 0 +1 +1 4 0xf463 0x365cdd94 @@ -333431,6 +416789,8 @@ 1 0 2 +0 +2 0xf500 0x1e9bcc18 256 @@ -333438,6 +416798,8 @@ 0 0 0 +2 +4 3 0x2a96 0x9bda14ce @@ -333446,6 +416808,8 @@ 0 0 0 +5 +3 2 0x911b 0x25e41824 @@ -333454,6 +416818,8 @@ 0 0 0 +1 +0 4 0x81b9 0x2407c1fa @@ -333462,6 +416828,8 @@ 1 1 0 +0 +4 1 0xc0fe 0x9d96411b @@ -333470,6 +416838,8 @@ 0 0 0 +0 +2 3 0xfbca 0x92e053d6 @@ -333478,6 +416848,8 @@ 1 0 0 +2 +3 3 0xc3c3 0x528d41fd @@ -333486,6 +416858,8 @@ 0 0 0 +2 +1 3 0x32f7 0xe88bd438 @@ -333494,6 +416868,8 @@ 1 1 0 +0 +0 1 0x8508 0x76db8b9e @@ -333502,6 +416878,8 @@ 0 0 0 +1 +2 4 0xa886 0xc008dad9 @@ -333510,6 +416888,8 @@ 0 0 0 +1 +3 3 0x16a4 0xda2ac9d2 @@ -333519,6 +416899,8 @@ 0 0 3 +5 +3 0xeddd 0xac0a1dea 256 @@ -333526,6 +416908,8 @@ 1 0 0 +3 +2 4 0x79c6 0x2e49dc53 @@ -333534,6 +416918,8 @@ 1 1 0 +4 +4 2 0x21ab 0x6d765f11 @@ -333542,6 +416928,8 @@ 0 0 0 +2 +2 1 0x8c00 0xcb2f1f71 @@ -333550,6 +416938,8 @@ 1 0 0 +1 +4 2 0x5ba6 0xdfc861e6 @@ -333558,6 +416948,8 @@ 1 1 0 +4 +4 1 0x6209 0x6650258a @@ -333567,6 +416959,8 @@ 0 0 4 +2 +4 0x3724 0xbacb5b0 256 @@ -333575,6 +416969,8 @@ 0 0 3 +5 +3 0x3106 0x283d5744 256 @@ -333582,6 +416978,8 @@ 0 0 0 +1 +1 4 0x1edc 0x9d8a2fb1 @@ -333590,6 +416988,8 @@ 0 0 0 +1 +2 2 0x535e 0x399689b2 @@ -333598,6 +416998,8 @@ 0 0 0 +5 +5 4 0x1bcc 0xd2cbb3a @@ -333606,6 +417008,8 @@ 0 0 0 +2 +2 3 0xb0a0 0x6c5943d1 @@ -333614,6 +417018,8 @@ 1 1 0 +4 +4 2 0x38db 0x89e16cb3 @@ -333622,6 +417028,8 @@ 1 1 0 +1 +4 2 0x8698 0x6c764c4a @@ -333630,6 +417038,8 @@ 0 0 0 +0 +1 2 0x73b6 0xdfeb6432 @@ -333639,6 +417049,8 @@ 1 0 4 +2 +4 0x3d9c 0x49018c9d 256 @@ -333646,6 +417058,8 @@ 1 0 0 +3 +1 4 0xd44f 0x4023c7bd @@ -333654,6 +417068,8 @@ 0 0 0 +0 +0 3 0x164a 0xb18b3a4e @@ -333662,6 +417078,8 @@ 0 0 0 +1 +1 2 0x8cb8 0xe6f4e13f @@ -333670,6 +417088,8 @@ 1 1 0 +1 +1 2 0x995a 0x29def0d6 @@ -333678,6 +417098,8 @@ 1 0 0 +5 +2 3 0x1859 0xec65d3b2 @@ -333686,6 +417108,8 @@ 0 0 0 +3 +0 4 0x207 0xb86eb338 @@ -333694,6 +417118,8 @@ 0 0 0 +3 +5 2 0xc07f 0x969fd25 @@ -333702,6 +417128,8 @@ 0 0 0 +3 +2 1 0x8a77 0xc6a189ca @@ -333710,6 +417138,8 @@ 0 0 0 +0 +0 3 0xb10e 0x1aa345aa @@ -333718,6 +417148,8 @@ 0 0 0 +5 +0 3 0xa28d 0x50822c67 @@ -333726,6 +417158,8 @@ 0 0 0 +3 +2 4 0x982d 0x9aacc8d2 @@ -333734,6 +417168,8 @@ 0 0 0 +3 +3 2 0x80ea 0x56c07cbb @@ -333742,6 +417178,8 @@ 0 0 0 +1 +1 4 0xef97 0xbf08c77a @@ -333750,6 +417188,8 @@ 1 1 0 +4 +2 3 0x6344 0x4e0faa36 @@ -333759,6 +417199,8 @@ 0 0 1 +4 +1 0xa7f0 0x8f90d275 256 @@ -333767,6 +417209,8 @@ 0 0 1 +4 +1 0x52a3 0x33b304f7 256 @@ -333775,6 +417219,8 @@ 0 0 2 +3 +2 0x1cc6 0x6bc8e499 256 @@ -333782,6 +417228,8 @@ 1 0 0 +2 +0 1 0x15f3 0xe0f0b4b4 @@ -333790,6 +417238,8 @@ 0 0 0 +1 +2 3 0xb411 0xcf4ab30f @@ -333798,6 +417248,8 @@ 0 0 0 +4 +3 2 0x41d9 0x512ab7c3 @@ -333806,6 +417258,8 @@ 1 0 0 +1 +3 3 0x6323 0x2b6da38e @@ -333814,6 +417268,8 @@ 0 0 0 +4 +2 2 0x5899 0xdccb2e6e @@ -333823,6 +417279,8 @@ 0 0 4 +3 +4 0x60bf 0x3ae8d0e6 256 @@ -333830,6 +417288,8 @@ 1 1 0 +2 +0 1 0xc102 0x68f68b45 @@ -333839,6 +417299,8 @@ 0 0 1 +0 +1 0x435a 0x6cf46470 256 @@ -333846,6 +417308,8 @@ 0 0 0 +2 +3 3 0x8fdb 0x37e694c8 @@ -333854,6 +417318,8 @@ 1 0 0 +2 +4 1 0x13d8 0x4a117bcb @@ -333862,6 +417328,8 @@ 1 1 0 +3 +2 1 0x12fd 0x25c1c90d @@ -333871,6 +417339,8 @@ 0 0 3 +3 +3 0x48cd 0xb2ddc665 256 @@ -333878,6 +417348,8 @@ 0 0 0 +5 +5 4 0x8bf9 0xea456c22 @@ -333886,6 +417358,8 @@ 0 0 0 +2 +2 4 0xe31d 0xce7f1ae5 @@ -333894,6 +417368,8 @@ 0 0 0 +4 +0 2 0x4d36 0x6a2b6146 @@ -333902,6 +417378,8 @@ 1 0 0 +1 +1 4 0x4dde 0x57d141d8 @@ -333910,6 +417388,8 @@ 1 1 0 +2 +4 4 0x31c9 0xc334e9f1 @@ -333918,6 +417398,8 @@ 0 0 0 +3 +4 1 0x193a 0x34f0c678 @@ -333926,6 +417408,8 @@ 1 0 0 +4 +4 3 0x8cd6 0x2931d642 @@ -333934,6 +417418,8 @@ 1 1 0 +0 +3 1 0x673b 0x63e61297 @@ -333942,6 +417428,8 @@ 1 0 0 +4 +1 1 0xa8d5 0x1938cf53 @@ -333950,6 +417438,8 @@ 0 0 0 +1 +1 4 0x95e7 0x60283522 @@ -333959,6 +417449,8 @@ 1 0 3 +4 +3 0x56cb 0x6753216c 256 @@ -333966,6 +417458,8 @@ 0 0 0 +4 +0 1 0xf751 0x1688fe15 @@ -333974,6 +417468,8 @@ 1 0 0 +0 +5 3 0x58e 0xe22f3bda @@ -333983,6 +417479,8 @@ 1 0 2 +3 +2 0xaa58 0x20acf762 256 @@ -333990,6 +417488,8 @@ 0 0 0 +4 +1 2 0x4a64 0x69d14271 @@ -333999,6 +417499,8 @@ 0 0 1 +4 +1 0xde97 0x3dd54837 256 @@ -334006,6 +417508,8 @@ 0 0 0 +3 +1 4 0x5fbd 0x9dc19670 @@ -334015,6 +417519,8 @@ 0 0 1 +3 +1 0x3e30 0x4767e8f0 256 @@ -334022,6 +417528,8 @@ 0 0 0 +1 +1 4 0x6065 0x68456397 @@ -334030,6 +417538,8 @@ 0 0 0 +2 +3 4 0x9c3e 0x4e76c7ac @@ -334038,6 +417548,8 @@ 1 1 0 +3 +3 4 0xf65b 0x42e9412 @@ -334046,6 +417558,8 @@ 1 0 0 +1 +5 3 0xfa50 0x93dd2935 @@ -334055,6 +417569,8 @@ 0 0 4 +5 +4 0xb714 0xa9517b64 256 @@ -334062,6 +417578,8 @@ 1 0 0 +2 +4 4 0x4dcb 0xd3c7471 @@ -334071,6 +417589,8 @@ 0 0 2 +4 +2 0x58e3 0x466b5edb 256 @@ -334078,6 +417598,8 @@ 1 1 0 +2 +2 3 0x8466 0xf546a3da @@ -334086,6 +417608,8 @@ 0 0 0 +5 +5 1 0xee19 0xb1cf0670 @@ -334094,6 +417618,8 @@ 1 1 0 +4 +1 2 0xe6fa 0x5db28a78 @@ -334102,6 +417628,8 @@ 1 1 0 +0 +2 4 0x30c6 0x35370ca1 @@ -334111,6 +417639,8 @@ 1 0 3 +4 +3 0xbc3f 0x99882b04 256 @@ -334119,6 +417649,8 @@ 0 0 2 +3 +2 0xa8fc 0xc2d2f223 256 @@ -334126,6 +417658,8 @@ 0 0 0 +1 +3 4 0xebc7 0x7844229f @@ -334134,6 +417668,8 @@ 0 0 0 +2 +1 3 0x3142 0xdcb7185a @@ -334142,6 +417678,8 @@ 1 0 0 +3 +1 1 0x27a6 0xb0a069f @@ -334150,6 +417688,8 @@ 1 0 0 +2 +3 3 0x11ef 0xea0fba5e @@ -334158,6 +417698,8 @@ 0 0 0 +0 +0 4 0xc509 0xb31373b @@ -334166,6 +417708,8 @@ 0 0 0 +5 +5 2 0xc965 0xbd0a3178 @@ -334174,6 +417718,8 @@ 1 1 0 +1 +3 4 0x5057 0x19374b64 @@ -334182,6 +417728,8 @@ 0 0 0 +1 +2 3 0xb565 0xf50e7137 @@ -334190,6 +417738,8 @@ 0 0 0 +2 +1 1 0x8eb7 0xa0f4551b @@ -334198,6 +417748,8 @@ 1 1 0 +3 +0 4 0xb518 0x5379fc35 @@ -334206,6 +417758,8 @@ 1 0 0 +3 +5 4 0x127c 0x9c536563 @@ -334214,6 +417768,8 @@ 0 0 0 +3 +4 1 0xf8ec 0x63a1f2bd @@ -334222,6 +417778,8 @@ 0 0 0 +3 +5 4 0x7dc 0xcfad58d @@ -334230,6 +417788,8 @@ 0 0 0 +0 +4 2 0x39cd 0xea33778e @@ -334238,6 +417798,8 @@ 0 0 0 +3 +0 2 0x28c0 0x607833fa @@ -334246,6 +417808,8 @@ 1 1 0 +5 +4 3 0xa800 0x37d253d5 @@ -334255,6 +417819,8 @@ 0 0 4 +2 +4 0x6922 0xef6ebaf3 256 @@ -334262,6 +417828,8 @@ 1 0 0 +2 +3 4 0xc864 0x8b05d0b5 @@ -334270,6 +417838,8 @@ 0 0 0 +0 +3 2 0xd3bd 0x77ea0c2a @@ -334278,6 +417848,8 @@ 0 0 0 +1 +2 3 0x6170 0xd6a6221b @@ -334286,6 +417858,8 @@ 1 0 0 +3 +3 4 0x8839 0xfe6784a0 @@ -334294,6 +417868,8 @@ 0 0 0 +5 +5 2 0xf923 0x88f5f234 @@ -334303,6 +417879,8 @@ 0 0 4 +0 +4 0x42bc 0x2f653a1a 256 @@ -334310,6 +417888,8 @@ 0 0 0 +0 +4 2 0x7f35 0x147dbf2e @@ -334318,6 +417898,8 @@ 1 0 0 +0 +3 3 0x8a61 0x578bb13 @@ -334326,6 +417908,8 @@ 0 0 0 +4 +0 3 0x88d9 0x6771e3a6 @@ -334334,6 +417918,8 @@ 0 0 0 +3 +3 1 0xdc29 0xe25d6de2 @@ -334342,6 +417928,8 @@ 0 0 0 +5 +5 1 0x4e24 0xc2a25f6d @@ -334350,6 +417938,8 @@ 1 0 0 +0 +3 1 0x1758 0xd9cd6202 @@ -334358,6 +417948,8 @@ 1 0 0 +0 +2 2 0xf5e6 0xa73ef54f @@ -334366,6 +417958,8 @@ 1 1 0 +2 +5 4 0xac5e 0x42a1ef92 @@ -334374,6 +417968,8 @@ 0 0 0 +4 +5 3 0x554e 0x31fa786e @@ -334382,6 +417978,8 @@ 1 0 0 +4 +5 2 0xc319 0x70bfb6da @@ -334391,6 +417989,8 @@ 0 0 4 +4 +4 0xd964 0xe053af92 256 @@ -334399,6 +417999,8 @@ 0 0 4 +0 +4 0x6ae9 0x8b83d48e 256 @@ -334406,6 +418008,8 @@ 0 0 0 +0 +1 4 0x4a91 0xb4ff4891 @@ -334414,6 +418018,8 @@ 1 1 0 +1 +4 2 0x696c 0x99ab082f @@ -334422,6 +418028,8 @@ 0 0 0 +4 +4 2 0xd197 0x77e852a4 @@ -334430,6 +418038,8 @@ 1 0 0 +1 +4 4 0x9010 0xca33fa8d @@ -334438,6 +418048,8 @@ 0 0 0 +5 +2 3 0x96cd 0x27c0ff5d @@ -334446,6 +418058,8 @@ 1 0 0 +2 +3 1 0x700a 0xc662328b @@ -334454,6 +418068,8 @@ 0 0 0 +0 +4 4 0x31ca 0x6ad95759 @@ -334463,6 +418079,8 @@ 0 0 2 +3 +2 0x4b75 0x9c386ed8 256 @@ -334470,6 +418088,8 @@ 1 1 0 +5 +0 2 0xd71d 0x80b98383 @@ -334478,6 +418098,8 @@ 1 0 0 +1 +1 4 0x8832 0x42ea40b7 @@ -334486,6 +418108,8 @@ 1 1 0 +2 +5 4 0x35c5 0x408fdaba @@ -334495,6 +418119,8 @@ 1 0 3 +5 +3 0xc6f7 0x9140a42f 256 @@ -334502,6 +418128,8 @@ 0 0 0 +4 +0 3 0x9437 0xfa566fed @@ -334511,6 +418139,8 @@ 1 0 1 +4 +1 0x5aa1 0xe22587cd 256 @@ -334518,6 +418148,8 @@ 1 0 0 +1 +2 3 0xec66 0xd5ee3fd0 @@ -334526,6 +418158,8 @@ 0 0 0 +2 +4 4 0xed82 0x127cc0fc @@ -334534,6 +418168,8 @@ 0 0 0 +2 +1 4 0x896b 0x7e345fa9 @@ -334542,6 +418178,8 @@ 1 1 0 +4 +3 2 0x7f8f 0xee47711e @@ -334551,6 +418189,8 @@ 1 0 3 +0 +3 0x495d 0x6bc0f91d 256 @@ -334558,6 +418198,8 @@ 0 0 0 +4 +4 1 0xa27c 0xc1e9188a @@ -334567,6 +418209,8 @@ 0 0 3 +5 +3 0x9de1 0x101934d 256 @@ -334574,6 +418218,8 @@ 1 0 0 +5 +0 2 0x1034 0x3af981c9 @@ -334582,6 +418228,8 @@ 0 0 0 +3 +5 4 0x485d 0xf9ba3d00 @@ -334590,6 +418238,8 @@ 0 0 0 +0 +5 3 0xeea6 0xf683dbc4 @@ -334598,6 +418248,8 @@ 1 1 0 +1 +2 2 0x3395 0xdb3bf49a @@ -334606,6 +418258,8 @@ 0 0 0 +2 +1 4 0xc3eb 0xd44b3c71 @@ -334614,6 +418268,8 @@ 0 0 0 +1 +2 2 0x76b0 0x3f3fcfcd @@ -334622,6 +418278,8 @@ 0 0 0 +4 +0 2 0x3438 0x5d287c16 @@ -334630,6 +418288,8 @@ 1 0 0 +3 +1 2 0xce09 0x574a75d6 @@ -334639,6 +418299,8 @@ 0 0 3 +0 +3 0x630c 0xa8b616d2 256 @@ -334647,6 +418309,8 @@ 0 0 3 +0 +3 0x9c2 0x5e7d775 256 @@ -334654,6 +418318,8 @@ 0 0 0 +5 +3 1 0xf8c7 0x655ab873 @@ -334662,6 +418328,8 @@ 0 0 0 +3 +5 4 0x9bc9 0xe0edb9b4 @@ -334670,6 +418338,8 @@ 0 0 0 +4 +5 1 0x1984 0xe87768e9 @@ -334678,6 +418348,8 @@ 1 0 0 +0 +5 4 0x7faa 0xb60b61df @@ -334686,6 +418358,8 @@ 0 0 0 +4 +1 2 0x1b05 0x81b26f9 @@ -334694,6 +418368,8 @@ 1 0 0 +4 +0 2 0x3f19 0xac9a6a74 @@ -334702,6 +418378,8 @@ 0 0 0 +0 +4 1 0x82bd 0xa686f70c @@ -334710,6 +418388,8 @@ 0 0 0 +4 +5 1 0x4555 0xcc43f6ec @@ -334718,6 +418398,8 @@ 1 0 0 +0 +0 2 0x3d07 0x1b942e40 @@ -334727,6 +418409,8 @@ 0 0 1 +3 +1 0xaee7 0x9b885bb4 256 @@ -334734,6 +418418,8 @@ 1 0 0 +1 +0 2 0x54b 0xe6b208ff @@ -334742,6 +418428,8 @@ 0 0 0 +1 +2 4 0x475f 0x83abcc63 @@ -334750,6 +418438,8 @@ 0 0 0 +5 +3 1 0xb2eb 0x1b4390d7 @@ -334758,6 +418448,8 @@ 0 0 0 +4 +0 2 0x16a7 0x11e89a20 @@ -334766,6 +418458,8 @@ 0 0 0 +3 +2 2 0xf756 0xb64e71a9 @@ -334774,6 +418468,8 @@ 0 0 0 +5 +2 4 0xc38 0x92dca712 @@ -334783,6 +418479,8 @@ 0 0 2 +5 +2 0x9f9f 0x773c4091 256 @@ -334790,6 +418488,8 @@ 0 0 0 +1 +4 2 0x9fce 0x8f8ae3f5 @@ -334798,6 +418498,8 @@ 1 0 0 +5 +4 4 0x9a02 0xa1a112e9 @@ -334806,6 +418508,8 @@ 1 1 0 +3 +5 2 0xb36f 0x3e4abb58 @@ -334814,6 +418518,8 @@ 1 0 0 +2 +3 1 0xa30c 0x2c86c280 @@ -334823,6 +418529,8 @@ 0 0 3 +5 +3 0xe75c 0x13d0164b 256 @@ -334830,6 +418538,8 @@ 0 0 0 +4 +4 1 0x3914 0x7fa0e83b @@ -334838,6 +418548,8 @@ 1 0 0 +1 +0 4 0x66ce 0x5b569faf @@ -334847,6 +418559,8 @@ 0 0 4 +3 +4 0x555b 0xcaea2004 256 @@ -334854,6 +418568,8 @@ 1 1 0 +3 +5 2 0x5aea 0xb096b338 @@ -334863,6 +418579,8 @@ 1 0 2 +3 +2 0x7149 0xeff0c06 256 @@ -334870,6 +418588,8 @@ 1 0 0 +2 +3 4 0x9707 0x6489004 @@ -334878,6 +418598,8 @@ 0 0 0 +0 +4 4 0xd37 0xf6ef28e4 @@ -334886,6 +418608,8 @@ 0 0 0 +0 +3 3 0x302 0x5100949c @@ -334894,6 +418618,8 @@ 1 0 0 +3 +2 2 0x34ff 0xf85437f3 @@ -334902,6 +418628,8 @@ 1 1 0 +0 +2 4 0xc00d 0x7b8f1987 @@ -334910,6 +418638,8 @@ 1 0 0 +5 +2 4 0x2d3a 0x3de92c33 @@ -334918,6 +418648,8 @@ 0 0 0 +5 +3 3 0x55f8 0xdfe1d6c3 @@ -334926,6 +418658,8 @@ 1 1 0 +0 +0 3 0xe17f 0xe60fefc9 @@ -334934,6 +418668,8 @@ 0 0 0 +4 +3 2 0xff40 0xec2d3cd4 @@ -334942,6 +418678,8 @@ 0 0 0 +0 +5 3 0x5e34 0xabdefe45 @@ -334950,6 +418688,8 @@ 0 0 0 +0 +1 2 0xacf6 0xe884f090 @@ -334958,6 +418698,8 @@ 1 0 0 +0 +4 1 0xe013 0x97035c47 @@ -334966,6 +418708,8 @@ 1 1 0 +0 +3 1 0x42e3 0xf8fac71a @@ -334974,6 +418718,8 @@ 1 0 0 +1 +5 3 0x534 0x910f7f9a @@ -334982,6 +418728,8 @@ 1 0 0 +1 +4 3 0xd2fd 0xd635c592 @@ -334990,6 +418738,8 @@ 1 1 0 +4 +2 1 0x9ff8 0x1e2bf46c @@ -334998,6 +418748,8 @@ 0 0 0 +5 +3 1 0xd77d 0x62ad0c56 @@ -335006,6 +418758,8 @@ 1 0 0 +4 +0 1 0x6a56 0x15984bbf @@ -335014,6 +418768,8 @@ 0 0 0 +3 +4 1 0x7daa 0xeb1c4a8d @@ -335022,6 +418778,8 @@ 0 0 0 +4 +5 1 0x88ac 0x65de649d @@ -335030,6 +418788,8 @@ 1 0 0 +2 +4 4 0xf245 0x348af859 @@ -335038,6 +418798,8 @@ 1 0 0 +3 +5 2 0xf954 0xb39207d9 @@ -335046,6 +418808,8 @@ 0 0 0 +0 +4 2 0xd1e6 0x310a1456 @@ -335055,6 +418819,8 @@ 0 0 4 +5 +4 0xc076 0xa685d364 256 @@ -335062,6 +418828,8 @@ 0 0 0 +1 +5 3 0x3c76 0xb01e35ce @@ -335070,6 +418838,8 @@ 1 1 0 +1 +4 3 0xf5d3 0xbbd056c4 @@ -335079,6 +418849,8 @@ 0 0 3 +2 +3 0x9617 0xa88e2c83 256 @@ -335086,6 +418858,8 @@ 0 0 0 +0 +0 1 0x3d50 0x4f98a2c8 @@ -335094,6 +418868,8 @@ 1 0 0 +3 +3 1 0x8b96 0xf7798838 @@ -335102,6 +418878,8 @@ 0 0 0 +3 +4 1 0x35f4 0x1715e18b @@ -335110,6 +418888,8 @@ 1 0 0 +2 +4 1 0x4091 0xeeacc50a @@ -335118,6 +418898,8 @@ 0 0 0 +0 +3 1 0x5e5d 0x63abdf05 @@ -335127,6 +418909,8 @@ 0 0 2 +0 +2 0xaed4 0x9a9556cc 256 @@ -335134,6 +418918,8 @@ 0 0 0 +2 +3 3 0xd002 0x6a0a261e @@ -335142,6 +418928,8 @@ 0 0 0 +4 +0 3 0xd8fa 0x1b24c49b @@ -335150,6 +418938,8 @@ 0 0 0 +4 +5 1 0x502a 0x1de7a377 @@ -335158,6 +418948,8 @@ 0 0 0 +4 +0 2 0xd02e 0xa2b226ef @@ -335166,6 +418958,8 @@ 0 0 0 +1 +5 3 0xb752 0x304cef98 @@ -335174,6 +418968,8 @@ 1 1 0 +4 +1 1 0x5872 0xeee84d36 @@ -335182,6 +418978,8 @@ 1 1 0 +3 +0 4 0x594b 0x59dfbf0f @@ -335190,6 +418988,8 @@ 1 1 0 +3 +3 4 0x3c91 0xab5b8f19 @@ -335198,6 +418998,8 @@ 0 0 0 +1 +0 3 0xb194 0x6a655087 @@ -335206,6 +419008,8 @@ 0 0 0 +3 +5 1 0x5235 0xb574571 @@ -335215,6 +419019,8 @@ 1 0 4 +0 +4 0x38c5 0xbeae3cb5 256 @@ -335222,6 +419028,8 @@ 0 0 0 +5 +1 3 0xc335 0x8bd4be75 @@ -335230,6 +419038,8 @@ 1 1 0 +5 +4 3 0x73cb 0x1ba0e15e @@ -335239,6 +419049,8 @@ 1 0 3 +0 +3 0x47bc 0x1b1d2dc8 256 @@ -335246,6 +419058,8 @@ 1 0 0 +0 +0 3 0x3a5c 0xc99a90ac @@ -335254,6 +419068,8 @@ 0 0 0 +1 +0 4 0x141b 0x5f673910 @@ -335262,6 +419078,8 @@ 1 1 0 +0 +2 2 0xecb4 0x59e9dbed @@ -335270,6 +419088,8 @@ 1 0 0 +0 +2 2 0x5af2 0xb39a8680 @@ -335278,6 +419098,8 @@ 1 0 0 +2 +4 3 0xb37f 0x1d5a52e @@ -335286,6 +419108,8 @@ 1 0 0 +3 +1 4 0xa8ef 0x6702fa9e @@ -335294,6 +419118,8 @@ 1 0 0 +5 +2 2 0xa0b5 0x5ba30c0b @@ -335302,6 +419128,8 @@ 0 0 0 +0 +2 4 0x6c49 0x6fd1bf51 @@ -335311,6 +419139,8 @@ 0 0 4 +0 +4 0x76eb 0x2c2cfc4d 256 @@ -335318,6 +419148,8 @@ 1 0 0 +0 +4 1 0xa115 0x3cc8f488 @@ -335326,6 +419158,8 @@ 1 1 0 +0 +5 4 0xa99a 0x3abb97ee @@ -335334,6 +419168,8 @@ 1 0 0 +2 +5 4 0x59e7 0x69110c83 @@ -335342,6 +419178,8 @@ 0 0 0 +1 +3 4 0x2105 0xac6716cc @@ -335351,6 +419189,8 @@ 0 0 2 +1 +2 0x2af7 0xb134e742 256 @@ -335358,6 +419198,8 @@ 0 0 0 +4 +0 2 0x9206 0x6cc20744 @@ -335366,6 +419208,8 @@ 1 1 0 +2 +2 3 0xfed9 0x4ffbfd9a @@ -335374,6 +419218,8 @@ 0 0 0 +2 +5 4 0xeddf 0xe00e4ce2 @@ -335382,6 +419228,8 @@ 1 1 0 +0 +3 3 0x1644 0x28eefd86 @@ -335390,6 +419238,8 @@ 1 0 0 +2 +0 3 0x35b2 0x39945baf @@ -335398,6 +419248,8 @@ 1 1 0 +1 +0 3 0xd32c 0xdb2db28e @@ -335406,6 +419258,8 @@ 1 1 0 +2 +3 4 0x3ca2 0x55e73f5 @@ -335415,6 +419269,8 @@ 0 0 3 +2 +3 0x566a 0x310d9ded 256 @@ -335422,6 +419278,8 @@ 0 0 0 +3 +4 2 0x2dcf 0x6495e5e9 @@ -335430,6 +419288,8 @@ 1 0 0 +3 +3 1 0x1721 0xe4acf5c4 @@ -335438,6 +419298,8 @@ 0 0 0 +3 +5 1 0x3cff 0xf688a56c @@ -335447,6 +419309,8 @@ 0 0 2 +4 +2 0x2978 0xfc35dd25 256 @@ -335454,6 +419318,8 @@ 1 0 0 +5 +0 4 0xf58a 0x5ab25e23 @@ -335463,6 +419329,8 @@ 0 0 2 +0 +2 0x6364 0x61f88022 256 @@ -335470,6 +419338,8 @@ 0 0 0 +0 +0 1 0x88d6 0x51dee1f8 @@ -335478,6 +419348,8 @@ 0 0 0 +3 +4 4 0x5b13 0x1c5e274f @@ -335486,6 +419358,8 @@ 1 1 0 +0 +0 2 0x1dd1 0x1b40d98a @@ -335494,6 +419368,8 @@ 0 0 0 +3 +1 1 0x5e8b 0xe7a2c475 @@ -335502,6 +419378,8 @@ 1 1 0 +0 +5 1 0xc729 0xffa4b112 @@ -335510,6 +419388,8 @@ 1 1 0 +4 +0 1 0x46a6 0x822428fa @@ -335518,6 +419398,8 @@ 0 0 0 +2 +5 4 0x4fb8 0xf4d6676c @@ -335526,6 +419408,8 @@ 1 1 0 +0 +2 4 0x6c3b 0x20173fe3 @@ -335534,6 +419418,8 @@ 0 0 0 +0 +4 2 0xb1b1 0x74f34f1e @@ -335542,6 +419428,8 @@ 0 0 0 +5 +0 2 0x4e00 0x6136a874 @@ -335550,6 +419438,8 @@ 1 1 0 +3 +3 1 0x5af3 0x63852901 @@ -335558,6 +419448,8 @@ 0 0 0 +3 +4 2 0x130d 0x21833819 @@ -335566,6 +419458,8 @@ 1 0 0 +0 +4 1 0x2dc0 0x49ded432 @@ -335574,6 +419468,8 @@ 0 0 0 +5 +4 1 0x3dad 0x5bc316ba @@ -335582,6 +419478,8 @@ 1 1 0 +4 +3 3 0xbbbf 0x7e8c1809 @@ -335590,6 +419488,8 @@ 1 0 0 +1 +2 4 0x3d48 0x8cd62c74 @@ -335598,6 +419498,8 @@ 1 1 0 +3 +5 2 0x99c0 0x74975415 @@ -335606,6 +419508,8 @@ 1 0 0 +1 +1 2 0x6c2f 0x6d998674 @@ -335614,6 +419518,8 @@ 1 0 0 +4 +3 3 0x936f 0xb66d023f @@ -335622,6 +419528,8 @@ 1 0 0 +2 +0 1 0x3b10 0xf9e1c486 @@ -335630,6 +419538,8 @@ 1 0 0 +2 +2 4 0xf2d7 0xea450df5 @@ -335638,6 +419548,8 @@ 0 0 0 +1 +2 2 0x2eb0 0xcbf264a @@ -335646,6 +419558,8 @@ 1 1 0 +0 +2 4 0xc440 0x9c188c77 @@ -335654,6 +419568,8 @@ 1 0 0 +1 +1 4 0x8c2 0x1ee191bf @@ -335662,6 +419578,8 @@ 0 0 0 +0 +2 2 0x2c80 0xeaa71c11 @@ -335670,6 +419588,8 @@ 0 0 0 +5 +5 3 0x24d7 0xea174a2b @@ -335679,6 +419599,8 @@ 0 0 2 +0 +2 0xac7e 0x4acb616a 256 @@ -335686,6 +419608,8 @@ 0 0 0 +2 +4 1 0xe25c 0x56217ee1 @@ -335694,6 +419618,8 @@ 0 0 0 +0 +3 4 0xb06 0x5e05db9c @@ -335702,6 +419628,8 @@ 1 1 0 +1 +4 4 0x886d 0x31c414df @@ -335710,6 +419638,8 @@ 1 1 0 +0 +2 3 0xf3aa 0x97d57bb6 @@ -335718,6 +419648,8 @@ 0 0 0 +4 +0 3 0x5359 0x8a38935c @@ -335726,6 +419658,8 @@ 1 0 0 +2 +0 4 0xfd76 0xbea6a168 @@ -335734,6 +419668,8 @@ 0 0 0 +2 +2 3 0xdc26 0xf160a69b @@ -335742,6 +419678,8 @@ 0 0 0 +1 +3 4 0x9140 0x1f7e353b @@ -335751,6 +419689,8 @@ 0 0 2 +4 +2 0x42fe 0x579e43a9 256 @@ -335758,6 +419698,8 @@ 0 0 0 +4 +4 1 0x6c1c 0xcd9a5206 @@ -335767,6 +419709,8 @@ 0 0 4 +2 +4 0x7aa4 0xd3cd3f9a 256 @@ -335774,6 +419718,8 @@ 0 0 0 +2 +5 4 0x4f48 0xd43cf83 @@ -335782,6 +419728,8 @@ 1 0 0 +4 +0 3 0xb1e9 0xbe1a410b @@ -335790,6 +419738,8 @@ 0 0 0 +1 +5 2 0xe57b 0xaae2837 @@ -335798,6 +419748,8 @@ 0 0 0 +4 +1 2 0x5152 0x157a6f88 @@ -335806,6 +419758,8 @@ 0 0 0 +5 +0 4 0xfbf0 0xc9eee757 @@ -335814,6 +419768,8 @@ 0 0 0 +1 +3 2 0x890a 0xeb088add @@ -335822,6 +419778,8 @@ 0 0 0 +1 +2 2 0xea10 0xa156067 @@ -335830,6 +419788,8 @@ 0 0 0 +4 +2 1 0x7eb1 0x9cc1fd92 @@ -335838,6 +419798,8 @@ 0 0 0 +0 +5 2 0x17df 0x3c0bcdc9 @@ -335846,6 +419808,8 @@ 0 0 0 +0 +2 2 0x272e 0x83fa3ca5 @@ -335854,6 +419818,8 @@ 1 0 0 +0 +3 2 0xf777 0xa5e554cd @@ -335862,6 +419828,8 @@ 1 0 0 +3 +2 2 0xa772 0xe07a2446 @@ -335870,6 +419838,8 @@ 0 0 0 +3 +1 4 0x5044 0x10b93ac6 @@ -335878,6 +419848,8 @@ 0 0 0 +2 +1 3 0xdcb9 0x30c1e8a7 @@ -335886,6 +419858,8 @@ 1 1 0 +3 +5 4 0xf6c0 0x3d1426c8 @@ -335894,6 +419868,8 @@ 1 0 0 +0 +1 3 0xa1b3 0xb2555118 @@ -335902,6 +419878,8 @@ 1 0 0 +0 +0 2 0x7c7f 0xe675b940 @@ -335910,6 +419888,8 @@ 1 0 0 +0 +1 2 0xc668 0x952a236a @@ -335918,6 +419898,8 @@ 1 1 0 +0 +5 4 0xfd41 0x103738b2 @@ -335926,6 +419908,8 @@ 1 0 0 +1 +2 2 0x45cd 0xf4a3b820 @@ -335934,6 +419918,8 @@ 1 0 0 +0 +3 4 0xfd78 0xe18a7a12 @@ -335942,6 +419928,8 @@ 1 1 0 +1 +0 3 0x7602 0x241a0d09 @@ -335950,6 +419938,8 @@ 0 0 0 +3 +2 1 0x1705 0x17ef1f49 @@ -335958,6 +419948,8 @@ 1 1 0 +1 +5 4 0x229c 0x7db9861b @@ -335966,6 +419958,8 @@ 0 0 0 +3 +3 4 0x49db 0x4b2c145c @@ -335974,6 +419968,8 @@ 0 0 0 +0 +5 2 0x8ef1 0x2340c578 @@ -335982,6 +419978,8 @@ 1 0 0 +4 +2 2 0x9250 0x36263e54 @@ -335991,6 +419989,8 @@ 0 0 2 +5 +2 0x5b9d 0x158972f5 256 @@ -335998,6 +419998,8 @@ 0 0 0 +3 +0 2 0x3a19 0xbd9d2110 @@ -336006,6 +420008,8 @@ 1 1 0 +2 +5 3 0xbf7e 0x7a1e2e5c @@ -336014,6 +420018,8 @@ 1 0 0 +1 +1 4 0xaadf 0xcde54c68 @@ -336022,6 +420028,8 @@ 1 0 0 +0 +5 4 0xffe0 0x6ee28f0d @@ -336030,6 +420038,8 @@ 1 0 0 +5 +2 2 0xbc1d 0x9fc7da04 @@ -336038,6 +420048,8 @@ 1 1 0 +3 +2 4 0x97a2 0x20564248 @@ -336046,6 +420058,8 @@ 0 0 0 +3 +0 1 0x10ee 0xe70617e8 @@ -336054,6 +420068,8 @@ 0 0 0 +5 +3 2 0xc7f 0xdb998aa9 @@ -336062,6 +420078,8 @@ 1 0 0 +4 +3 2 0x7e4c 0x6cdd7bd1 @@ -336070,6 +420088,8 @@ 0 0 0 +0 +2 1 0x5bbd 0x6a3f2a06 @@ -336078,6 +420098,8 @@ 1 1 0 +3 +1 1 0xc7f5 0x408146ec @@ -336087,6 +420109,8 @@ 0 0 3 +2 +3 0x3502 0x1e70a3e6 256 @@ -336094,6 +420118,8 @@ 1 0 0 +1 +5 2 0x22fa 0x7409f13c @@ -336102,6 +420128,8 @@ 1 1 0 +4 +3 1 0x2d3d 0xd3d33e80 @@ -336110,6 +420138,8 @@ 0 0 0 +4 +4 2 0x6869 0xbb0a8754 @@ -336119,6 +420149,8 @@ 1 0 3 +4 +3 0xfa04 0xa11b05f6 256 @@ -336127,6 +420159,8 @@ 0 0 2 +0 +2 0x73c1 0xddc86bfa 256 @@ -336134,6 +420168,8 @@ 1 1 0 +1 +1 3 0x3fbc 0xf71da8c1 @@ -336142,6 +420178,8 @@ 0 0 0 +2 +0 1 0xbfa5 0xc0414a8a @@ -336150,6 +420188,8 @@ 0 0 0 +2 +3 1 0x9533 0xa8f9d202 @@ -336158,6 +420198,8 @@ 0 0 0 +1 +3 2 0x70ae 0x92a63cbc @@ -336166,6 +420208,8 @@ 1 0 0 +0 +3 3 0x18d 0xf69af062 @@ -336174,6 +420218,8 @@ 0 0 0 +0 +0 2 0x2b9a 0x2727c36 @@ -336182,6 +420228,8 @@ 0 0 0 +3 +2 1 0xf22a 0x75b730d @@ -336190,6 +420238,8 @@ 1 1 0 +1 +2 4 0xd44b 0x1d5bc1f0 @@ -336198,6 +420248,8 @@ 0 0 0 +0 +1 1 0x9d6b 0xfe3b4f5 @@ -336206,6 +420258,8 @@ 0 0 0 +4 +3 3 0x3987 0x9ab51550 @@ -336215,6 +420269,8 @@ 1 0 1 +2 +1 0x1d5d 0x69d0ca87 256 @@ -336222,6 +420278,8 @@ 0 0 0 +5 +1 4 0xeb1a 0x2f834aab @@ -336230,6 +420288,8 @@ 1 1 0 +1 +0 4 0xfe36 0x4330fbcc @@ -336238,6 +420298,8 @@ 1 0 0 +5 +4 3 0xbaa2 0x31e7a5a1 @@ -336246,6 +420308,8 @@ 1 1 0 +4 +4 1 0xf3da 0x9823b3c2 @@ -336255,6 +420319,8 @@ 0 0 2 +4 +2 0x518c 0xf819e9a2 256 @@ -336263,6 +420329,8 @@ 0 0 3 +4 +3 0x5100 0xc2aa663e 256 @@ -336270,6 +420338,8 @@ 1 1 0 +2 +5 1 0x5ec2 0x59d2ffce @@ -336278,6 +420348,8 @@ 1 0 0 +5 +5 4 0x5b64 0x8cd6bba0 @@ -336286,6 +420358,8 @@ 1 1 0 +3 +4 1 0xd698 0xc29ff83f @@ -336294,6 +420368,8 @@ 0 0 0 +0 +3 1 0x1b87 0xf5227a88 @@ -336302,6 +420378,8 @@ 1 0 0 +2 +1 4 0x4cad 0x8a7a75fc @@ -336310,6 +420388,8 @@ 1 1 0 +4 +5 1 0xb1ba 0x2a3126ec @@ -336319,6 +420399,8 @@ 0 0 4 +0 +4 0x8f23 0x5e0c81e1 256 @@ -336326,6 +420408,8 @@ 0 0 0 +1 +2 3 0x2b5a 0x35a4054 @@ -336334,6 +420418,8 @@ 0 0 0 +2 +4 3 0x93e 0xb3321e6e @@ -336342,6 +420428,8 @@ 0 0 0 +3 +3 4 0x7b50 0x4d22cdc1 @@ -336351,6 +420439,8 @@ 0 0 2 +4 +2 0xf5dc 0xa23bcc49 256 @@ -336358,6 +420448,8 @@ 0 0 0 +0 +5 3 0xf1a8 0x3fc4f915 @@ -336366,6 +420458,8 @@ 1 1 0 +1 +1 3 0x7bdd 0x100b4521 @@ -336374,6 +420468,8 @@ 0 0 0 +4 +5 1 0x5775 0x7e73fe5b @@ -336382,6 +420478,8 @@ 1 1 0 +4 +3 2 0x80d8 0xee802088 @@ -336390,6 +420488,8 @@ 0 0 0 +5 +4 3 0xf32b 0xc2cf0baa @@ -336398,6 +420498,8 @@ 0 0 0 +0 +0 3 0x3064 0x20c1a7c8 @@ -336406,6 +420508,8 @@ 1 1 0 +0 +3 3 0x4101 0x2d517186 @@ -336414,6 +420518,8 @@ 0 0 0 +5 +0 4 0xe12c 0xb9501b50 @@ -336422,6 +420528,8 @@ 0 0 0 +4 +0 2 0x3514 0xa6cfaf46 @@ -336430,6 +420538,8 @@ 0 0 0 +4 +0 2 0x857f 0x27f2aa88 @@ -336438,6 +420548,8 @@ 1 1 0 +0 +1 3 0x51d4 0x18a16057 @@ -336446,6 +420558,8 @@ 1 0 0 +4 +0 1 0x11b2 0xcfafa93e @@ -336454,6 +420568,8 @@ 1 0 0 +5 +4 1 0x53d3 0x1365a4c9 @@ -336462,6 +420578,8 @@ 0 0 0 +4 +3 2 0xd2d8 0x57a9a502 @@ -336470,6 +420588,8 @@ 1 0 0 +2 +5 4 0x37fb 0xb3b56d1e @@ -336478,6 +420598,8 @@ 0 0 0 +0 +3 2 0x3ce6 0xdf06f469 @@ -336486,6 +420608,8 @@ 0 0 0 +3 +1 1 0x5eb5 0xe16f1785 @@ -336494,6 +420618,8 @@ 1 0 0 +5 +5 2 0xbaf6 0x87d2fc1b @@ -336503,6 +420629,8 @@ 0 0 3 +5 +3 0xb975 0x5bddb661 256 @@ -336511,6 +420639,8 @@ 1 0 2 +0 +2 0x8602 0xf61bcae8 256 @@ -336518,6 +420648,8 @@ 0 0 0 +1 +3 2 0x7bb3 0x8cc066d0 @@ -336527,6 +420659,8 @@ 1 0 2 +1 +2 0xc210 0xff0f3f6 256 @@ -336534,6 +420668,8 @@ 0 0 0 +0 +0 3 0x1c6d 0x663ad793 @@ -336542,6 +420678,8 @@ 0 0 0 +0 +3 1 0x278f 0x7992c112 @@ -336550,6 +420688,8 @@ 0 0 0 +4 +5 3 0x2fb6 0xa871a0ae @@ -336558,6 +420698,8 @@ 1 1 0 +5 +1 2 0x75e 0x60aee80e @@ -336566,6 +420708,8 @@ 1 0 0 +1 +5 3 0xd3f0 0x85ae419e @@ -336574,6 +420718,8 @@ 0 0 0 +2 +5 3 0x4789 0x72cbaa74 @@ -336582,6 +420728,8 @@ 1 0 0 +2 +1 1 0x6acb 0xdc652537 @@ -336590,6 +420738,8 @@ 1 0 0 +4 +3 2 0x9788 0xc9eae37a @@ -336598,6 +420748,8 @@ 0 0 0 +3 +0 1 0xfbfa 0x4560ddfd @@ -336606,6 +420758,8 @@ 0 0 0 +1 +5 2 0x106c 0xa2d1725e @@ -336614,6 +420768,8 @@ 0 0 0 +1 +1 2 0x551b 0xb26b7c9b @@ -336623,6 +420779,8 @@ 0 0 2 +2 +2 0xe271 0xb76ac709 256 @@ -336630,6 +420788,8 @@ 1 1 0 +5 +1 3 0x1a8c 0xcccdf1f8 @@ -336638,6 +420798,8 @@ 0 0 0 +5 +2 2 0x67a8 0xe27921d @@ -336646,6 +420808,8 @@ 0 0 0 +4 +1 2 0x4977 0x70653702 @@ -336655,6 +420819,8 @@ 1 0 4 +5 +4 0xae43 0x50f201e 256 @@ -336662,6 +420828,8 @@ 1 1 0 +1 +4 4 0x93db 0x5152a4f7 @@ -336670,6 +420838,8 @@ 1 0 0 +1 +2 4 0x55f1 0x8e79458a @@ -336678,6 +420848,8 @@ 0 0 0 +3 +2 2 0xd195 0x6146cb5 @@ -336687,6 +420859,8 @@ 1 0 2 +4 +2 0x1033 0xa3e1446a 256 @@ -336694,6 +420868,8 @@ 1 0 0 +2 +4 3 0x645a 0x10c38fa4 @@ -336702,6 +420878,8 @@ 0 0 0 +0 +2 3 0x6d31 0xa65d4246 @@ -336710,6 +420888,8 @@ 0 0 0 +4 +2 1 0x5ccd 0xf1cb1a0d @@ -336718,6 +420898,8 @@ 1 1 0 +3 +0 4 0x28c5 0x55f1f20d @@ -336726,6 +420908,8 @@ 0 0 0 +5 +5 4 0xa96 0x3d7b0b13 @@ -336734,6 +420918,8 @@ 0 0 0 +3 +4 1 0x3448 0x497ecfeb @@ -336742,6 +420928,8 @@ 0 0 0 +5 +3 2 0xceea 0x7744c6a @@ -336750,6 +420938,8 @@ 0 0 0 +2 +5 1 0xff01 0x8b17e61c @@ -336758,6 +420948,8 @@ 0 0 0 +2 +0 3 0x7c1c 0x90dc7cc1 @@ -336766,6 +420958,8 @@ 0 0 0 +1 +0 4 0x75d 0xaf69a250 @@ -336774,6 +420968,8 @@ 1 0 0 +4 +3 3 0x493f 0x6c0bb11b @@ -336782,6 +420978,8 @@ 0 0 0 +0 +1 4 0xc1f8 0x5277bf7e @@ -336790,6 +420988,8 @@ 1 0 0 +5 +5 4 0x602f 0xa25afdd1 @@ -336798,6 +420998,8 @@ 0 0 0 +4 +3 1 0xdeb6 0xff0155b1 @@ -336806,6 +421008,8 @@ 0 0 0 +0 +5 2 0xac4b 0x6b5b9a81 @@ -336814,6 +421018,8 @@ 0 0 0 +3 +2 1 0xdff3 0x6648528a @@ -336822,6 +421028,8 @@ 0 0 0 +0 +0 3 0x2e85 0xd654a6c3 @@ -336830,6 +421038,8 @@ 0 0 0 +3 +1 4 0x1357 0xf38f665d @@ -336838,6 +421048,8 @@ 0 0 0 +0 +1 1 0x7d31 0x1cd4b037 @@ -336847,6 +421059,8 @@ 0 0 4 +0 +4 0x67dd 0x552b600 256 @@ -336854,6 +421068,8 @@ 1 0 0 +0 +1 2 0xe4f2 0xe8052bbd @@ -336862,6 +421078,8 @@ 1 1 0 +5 +0 3 0xbdcb 0xcc6f6e01 @@ -336870,6 +421088,8 @@ 1 1 0 +0 +0 4 0x9b4e 0x8d849874 @@ -336879,6 +421099,8 @@ 0 0 4 +1 +4 0x48f3 0x4d460787 256 @@ -336886,6 +421108,8 @@ 1 1 0 +4 +4 1 0xce89 0x43a432b9 @@ -336894,6 +421118,8 @@ 0 0 0 +4 +5 2 0x6ef7 0xbd05a177 @@ -336902,6 +421128,8 @@ 0 0 0 +0 +5 3 0xf76e 0x8d7c6324 @@ -336910,6 +421138,8 @@ 0 0 0 +1 +4 4 0xa301 0xe7ec7ce5 @@ -336919,6 +421149,8 @@ 0 0 3 +3 +3 0x47c5 0xc8338186 256 @@ -336926,6 +421158,8 @@ 1 1 0 +3 +2 1 0x384c 0x8d1ce7ae @@ -336934,6 +421168,8 @@ 0 0 0 +2 +0 4 0xd1de 0x532add8b @@ -336942,6 +421178,8 @@ 1 1 0 +5 +4 2 0xffb3 0xc979b2cd @@ -336950,6 +421188,8 @@ 1 0 0 +5 +1 3 0xfccd 0x3f362ad1 @@ -336958,6 +421198,8 @@ 0 0 0 +3 +0 2 0xa4f 0x8a2ad9b8 @@ -336966,6 +421208,8 @@ 1 1 0 +0 +3 3 0x686 0xd58d3154 @@ -336974,6 +421218,8 @@ 0 0 0 +5 +0 3 0x3e4 0xaa4c8cb @@ -336982,6 +421228,8 @@ 1 1 0 +0 +4 2 0xa69 0xaf188cfe @@ -336990,6 +421238,8 @@ 0 0 0 +3 +4 1 0xcdb1 0x5ad2fb73 @@ -336998,6 +421248,8 @@ 0 0 0 +2 +0 3 0xc79a 0x2a8d922d @@ -337006,6 +421258,8 @@ 1 1 0 +1 +2 2 0xc955 0x67d22b5f @@ -337014,6 +421268,8 @@ 0 0 0 +1 +4 3 0x3389 0x1656c8db @@ -337022,6 +421278,8 @@ 1 0 0 +3 +0 4 0xbfef 0x57d4c225 @@ -337031,6 +421289,8 @@ 0 0 4 +3 +4 0x43bd 0xd166a810 256 @@ -337039,6 +421299,8 @@ 0 0 2 +2 +2 0x1fc6 0x6ece274f 256 @@ -337046,6 +421308,8 @@ 0 0 0 +2 +4 3 0x8dea 0xaf65c1e1 @@ -337054,6 +421318,8 @@ 1 1 0 +3 +1 4 0xc030 0xa0d9be02 @@ -337062,6 +421328,8 @@ 1 0 0 +4 +5 2 0xf019 0x44176e7f @@ -337070,6 +421338,8 @@ 1 1 0 +0 +3 3 0xa42 0xc8c83988 @@ -337079,6 +421349,8 @@ 1 0 1 +1 +1 0x9452 0xb667a93d 256 @@ -337086,6 +421358,8 @@ 1 1 0 +4 +3 3 0x77e8 0xa38ca4f0 @@ -337094,6 +421368,8 @@ 0 0 0 +0 +4 1 0xc3c 0xec19d06a @@ -337102,6 +421378,8 @@ 0 0 0 +1 +2 4 0x2cad 0x3f4cc4af @@ -337110,6 +421388,8 @@ 1 0 0 +3 +0 4 0x19ec 0x24b275bb @@ -337118,6 +421398,8 @@ 1 1 0 +2 +4 3 0xb1c3 0xe87145f3 @@ -337126,6 +421408,8 @@ 1 0 0 +3 +5 4 0x9b9f 0x4b067771 @@ -337135,6 +421419,8 @@ 0 0 2 +1 +2 0x915a 0x8b03b4be 256 @@ -337142,6 +421428,8 @@ 1 1 0 +2 +2 4 0xed9 0xdbfa6078 @@ -337150,6 +421438,8 @@ 1 0 0 +1 +4 4 0xa9e2 0x19e59a83 @@ -337158,6 +421448,8 @@ 1 0 0 +2 +5 1 0x4510 0xd1fedab0 @@ -337167,6 +421459,8 @@ 0 0 2 +5 +2 0x3196 0xb7dd5bb7 256 @@ -337174,6 +421468,8 @@ 1 1 0 +3 +1 2 0xacf0 0x2759aea @@ -337182,6 +421478,8 @@ 1 0 0 +0 +2 1 0x60c9 0x1d0099eb @@ -337190,6 +421488,8 @@ 1 0 0 +3 +3 2 0x3769 0xfcd5476d @@ -337198,6 +421498,8 @@ 0 0 0 +3 +0 4 0x4d44 0x6f91f0f6 @@ -337206,6 +421508,8 @@ 1 0 0 +2 +2 1 0x727 0xcd99361d @@ -337214,6 +421518,8 @@ 1 0 0 +4 +2 1 0xa7e7 0x922035c8 @@ -337222,6 +421528,8 @@ 1 0 0 +5 +5 1 0xed24 0x6e193014 @@ -337230,6 +421538,8 @@ 1 1 0 +3 +3 2 0xabd0 0x117f5ee6 @@ -337239,6 +421549,8 @@ 1 0 3 +1 +3 0x4f 0x7a7d0c3c 256 @@ -337246,6 +421558,8 @@ 0 0 0 +3 +2 2 0xfafb 0x4b91f301 @@ -337255,6 +421569,8 @@ 0 0 4 +0 +4 0x2e0d 0x90d91dc3 256 @@ -337262,6 +421578,8 @@ 1 1 0 +3 +0 2 0x26a0 0x7511c761 @@ -337270,6 +421588,8 @@ 0 0 0 +2 +2 1 0x24e6 0xc1bface6 @@ -337278,6 +421598,8 @@ 1 0 0 +0 +3 4 0xb8ab 0xc99bcd58 @@ -337286,6 +421608,8 @@ 1 0 0 +2 +3 3 0xc2a4 0xe07d5225 @@ -337294,6 +421618,8 @@ 0 0 0 +0 +1 2 0xaec7 0xd3a53d05 @@ -337303,6 +421629,8 @@ 0 0 4 +0 +4 0xed8 0x9f20a849 256 @@ -337310,6 +421638,8 @@ 1 1 0 +2 +3 4 0x353d 0x88daa4e0 @@ -337318,6 +421648,8 @@ 1 0 0 +0 +2 4 0x3acf 0x29d4d5e2 @@ -337326,6 +421658,8 @@ 0 0 0 +4 +0 2 0xaf5e 0x102683a6 @@ -337334,6 +421668,8 @@ 1 0 0 +3 +2 4 0x7e46 0xf5a84b79 @@ -337343,6 +421679,8 @@ 0 0 2 +4 +2 0x845a 0xfa4eece1 256 @@ -337350,6 +421688,8 @@ 1 0 0 +0 +3 3 0x3254 0x2cf566c4 @@ -337358,6 +421698,8 @@ 1 1 0 +0 +4 3 0x423e 0x15529562 @@ -337366,6 +421708,8 @@ 1 0 0 +5 +2 1 0x4441 0x10d15ddc @@ -337375,6 +421719,8 @@ 1 0 4 +4 +4 0x9eb 0xaf417e7b 256 @@ -337382,6 +421728,8 @@ 1 0 0 +0 +1 4 0x2b28 0x3212e2f1 @@ -337391,6 +421739,8 @@ 0 0 3 +2 +3 0x8ef0 0x5355cff9 256 @@ -337399,6 +421749,8 @@ 1 0 1 +1 +1 0xfaec 0x4d0913b6 256 @@ -337407,6 +421759,8 @@ 0 0 3 +5 +3 0xb76d 0xfba72386 256 @@ -337414,6 +421768,8 @@ 1 0 0 +0 +3 2 0x296f 0x3adf3817 @@ -337422,6 +421778,8 @@ 0 0 0 +0 +0 4 0x9051 0xcd4d7083 @@ -337430,6 +421788,8 @@ 1 0 0 +4 +2 1 0xad82 0x6282b8b4 @@ -337438,6 +421798,8 @@ 1 1 0 +4 +0 3 0x60a2 0x5bb2c9de @@ -337446,6 +421808,8 @@ 0 0 0 +5 +0 4 0x8ff4 0x887cf789 @@ -337454,6 +421818,8 @@ 0 0 0 +0 +2 1 0x36ec 0x3ecf3756 @@ -337462,6 +421828,8 @@ 1 0 0 +4 +3 1 0x8977 0x30cfc4e @@ -337471,6 +421839,8 @@ 0 0 3 +5 +3 0x4a76 0xfbcfa640 256 @@ -337478,6 +421848,8 @@ 0 0 0 +4 +4 2 0x196f 0x6288936f @@ -337486,6 +421858,8 @@ 1 0 0 +3 +1 4 0xbb56 0xe8482e75 @@ -337494,6 +421868,8 @@ 1 0 0 +4 +0 2 0xec06 0x8ab035c2 @@ -337502,6 +421878,8 @@ 0 0 0 +3 +0 2 0x1815 0x84306a45 @@ -337510,6 +421888,8 @@ 1 0 0 +5 +5 4 0x2c06 0xb299da9a @@ -337518,6 +421898,8 @@ 0 0 0 +2 +2 4 0xf2aa 0xc0801ef6 @@ -337526,6 +421908,8 @@ 1 1 0 +3 +0 1 0x55fc 0x3cc41a13 @@ -337534,6 +421918,8 @@ 1 1 0 +0 +4 4 0xab6 0x5987a922 @@ -337542,6 +421928,8 @@ 0 0 0 +3 +3 4 0x534 0x2a3b9b90 @@ -337550,6 +421938,8 @@ 0 0 0 +1 +1 4 0x6ac0 0x6a3ffb53 @@ -337558,6 +421948,8 @@ 1 0 0 +0 +3 4 0xcb7d 0x16594b8 @@ -337566,6 +421958,8 @@ 1 0 0 +5 +1 1 0x29f4 0x3679b09f @@ -337574,6 +421968,8 @@ 0 0 0 +1 +0 4 0xae7d 0x6dea1942 @@ -337582,6 +421978,8 @@ 1 0 0 +1 +4 3 0x3985 0x5f264a48 @@ -337590,6 +421988,8 @@ 0 0 0 +5 +4 2 0xe05 0xb9944989 @@ -337598,6 +421998,8 @@ 0 0 0 +4 +4 2 0x3ba5 0xa53ed8f0 @@ -337606,6 +422008,8 @@ 1 0 0 +1 +0 2 0xb043 0x7a58accb @@ -337614,6 +422018,8 @@ 0 0 0 +5 +1 2 0x4115 0xacf3a63a @@ -337622,6 +422028,8 @@ 0 0 0 +1 +2 2 0xeb9a 0x6d79bac0 @@ -337630,6 +422038,8 @@ 0 0 0 +0 +4 1 0x2eaa 0xd8653aa9 @@ -337638,6 +422048,8 @@ 0 0 0 +0 +4 1 0x876c 0x9562d0ec @@ -337647,6 +422059,8 @@ 0 0 3 +2 +3 0xcae6 0xcce2998e 256 @@ -337654,6 +422068,8 @@ 1 1 0 +4 +0 1 0x511a 0x78d9f5a3 @@ -337663,6 +422079,8 @@ 0 0 2 +4 +2 0x6ceb 0xdadd271 256 @@ -337670,6 +422088,8 @@ 1 0 0 +2 +0 4 0x879c 0x58f90a4b @@ -337679,6 +422099,8 @@ 0 0 4 +5 +4 0xa2c8 0x3a6ce869 256 @@ -337687,6 +422109,8 @@ 0 0 1 +4 +1 0x7635 0xe8004d12 256 @@ -337694,6 +422118,8 @@ 0 0 0 +1 +5 3 0xf626 0x16b8f8f5 @@ -337703,6 +422129,8 @@ 0 0 2 +4 +2 0x49f6 0x5c7a666e 256 @@ -337711,6 +422139,8 @@ 1 0 1 +1 +1 0x7f1d 0xf83b32d3 256 @@ -337718,6 +422148,8 @@ 0 0 0 +4 +5 1 0x5442 0xdeb01a51 @@ -337726,6 +422158,8 @@ 1 0 0 +1 +1 3 0x6e35 0xaf159ea8 @@ -337734,6 +422168,8 @@ 1 0 0 +3 +5 1 0x48d8 0xa94b3a4a @@ -337742,6 +422178,8 @@ 0 0 0 +5 +3 3 0x62c7 0xf68f02e7 @@ -337751,6 +422189,8 @@ 0 0 4 +0 +4 0x1623 0xaa2db30c 256 @@ -337758,6 +422198,8 @@ 0 0 0 +2 +2 4 0x995c 0x1a6f7873 @@ -337766,6 +422208,8 @@ 0 0 0 +4 +1 2 0xa06 0xbab811a3 @@ -337774,6 +422218,8 @@ 1 1 0 +2 +1 1 0xc350 0xd4e15b21 @@ -337783,6 +422229,8 @@ 0 0 3 +4 +3 0x11a6 0x7e49c0b4 256 @@ -337790,6 +422238,8 @@ 0 0 0 +4 +5 1 0x3f47 0xfba3c4d5 @@ -337798,6 +422248,8 @@ 0 0 0 +2 +3 1 0x207 0x7ee4c31d @@ -337806,6 +422258,8 @@ 0 0 0 +1 +5 2 0x9a8f 0xbe2b96b9 @@ -337814,6 +422268,8 @@ 1 1 0 +4 +0 3 0xf634 0xdfc2cf0f @@ -337822,6 +422278,8 @@ 1 0 0 +5 +2 3 0x2119 0xe0da3bf4 @@ -337830,6 +422288,8 @@ 1 1 0 +2 +1 3 0x1e5d 0x549050fb @@ -337838,6 +422298,8 @@ 0 0 0 +2 +5 3 0xedad 0xb4098187 @@ -337846,6 +422308,8 @@ 1 1 0 +4 +3 1 0x2436 0x451d32b9 @@ -337854,6 +422318,8 @@ 1 1 0 +1 +1 4 0x5f61 0xb92c0e28 @@ -337863,6 +422329,8 @@ 0 0 1 +3 +1 0x9b6f 0xa136f408 256 @@ -337870,6 +422338,8 @@ 1 1 0 +3 +3 4 0x5c29 0xc630dff1 @@ -337878,6 +422348,8 @@ 0 0 0 +0 +5 2 0x94d3 0xbf13ce60 @@ -337886,6 +422358,8 @@ 0 0 0 +3 +0 1 0x19b7 0xaa87dc3d @@ -337894,6 +422368,8 @@ 1 1 0 +0 +2 1 0x69f2 0xdae2720b @@ -337902,6 +422378,8 @@ 0 0 0 +0 +4 2 0xbb34 0xbb3934be @@ -337910,6 +422388,8 @@ 0 0 0 +0 +0 2 0x8b62 0x7fccc7d @@ -337918,6 +422398,8 @@ 0 0 0 +0 +1 3 0x44cc 0xda94de81 @@ -337926,6 +422408,8 @@ 0 0 0 +2 +4 4 0x4c01 0x78553b0e @@ -337934,6 +422418,8 @@ 0 0 0 +5 +2 3 0x8f5 0xa25c4ed7 @@ -337942,6 +422428,8 @@ 1 1 0 +1 +0 2 0xf1f0 0xfbc61955 @@ -337950,6 +422438,8 @@ 0 0 0 +3 +4 1 0xc46f 0x9ad9da81 @@ -337958,6 +422448,8 @@ 0 0 0 +0 +5 3 0xcf16 0xffe69e60 @@ -337966,6 +422458,8 @@ 1 1 0 +3 +5 4 0x41d9 0x24e0177e @@ -337974,6 +422468,8 @@ 0 0 0 +2 +2 4 0xed24 0xbc35ecc9 @@ -337982,6 +422478,8 @@ 0 0 0 +3 +3 2 0xcd49 0x62539d48 @@ -337990,6 +422488,8 @@ 0 0 0 +4 +1 2 0xbf0e 0xefdfb529 @@ -337999,6 +422499,8 @@ 0 0 1 +3 +1 0xe46 0x5a6fd6dd 256 @@ -338006,6 +422508,8 @@ 0 0 0 +3 +3 4 0x9002 0x3c324261 @@ -338015,6 +422519,8 @@ 0 0 2 +0 +2 0xfd06 0x42251193 256 @@ -338022,6 +422528,8 @@ 0 0 0 +3 +5 1 0x6d45 0x65ca7d08 @@ -338030,6 +422538,8 @@ 1 0 0 +5 +2 3 0xd2d 0xea687fc3 @@ -338038,6 +422548,8 @@ 1 0 0 +4 +1 2 0x66e8 0xc6125ae8 @@ -338046,6 +422558,8 @@ 0 0 0 +1 +0 2 0x15e 0x1e202ed2 @@ -338054,6 +422568,8 @@ 1 0 0 +5 +5 2 0x4257 0x83a5d8de @@ -338062,6 +422578,8 @@ 0 0 0 +1 +5 2 0x72a2 0xe7742cb6 @@ -338070,6 +422588,8 @@ 0 0 0 +2 +4 4 0x48cc 0x499aaac4 @@ -338078,6 +422598,8 @@ 1 0 0 +5 +4 3 0x7779 0x2b2081d1 @@ -338086,6 +422608,8 @@ 0 0 0 +3 +0 2 0xd538 0x3f316cc8 @@ -338095,6 +422619,8 @@ 0 0 2 +0 +2 0x2e31 0xf6418889 256 @@ -338103,6 +422629,8 @@ 0 0 3 +1 +3 0x645a 0x4b225608 256 @@ -338110,6 +422638,8 @@ 1 1 0 +0 +0 2 0x2785 0x4e5b2506 @@ -338119,6 +422649,8 @@ 0 0 1 +2 +1 0x8f53 0xa3e8926 256 @@ -338126,6 +422658,8 @@ 1 0 0 +0 +3 2 0x5b0e 0xe7ad4f7f @@ -338134,6 +422668,8 @@ 0 0 0 +2 +1 4 0x6e04 0x3bb2f39c @@ -338142,6 +422678,8 @@ 1 0 0 +1 +2 3 0x8d30 0xcb2be254 @@ -338151,6 +422689,8 @@ 1 0 3 +2 +3 0xbbe9 0xd2374174 256 @@ -338158,6 +422698,8 @@ 0 0 0 +1 +2 2 0x70a0 0x9d6388cf @@ -338166,6 +422708,8 @@ 0 0 0 +0 +4 2 0x4620 0xdf979306 @@ -338174,6 +422718,8 @@ 0 0 0 +3 +5 1 0xd117 0x57312ff8 @@ -338182,6 +422728,8 @@ 1 1 0 +0 +3 4 0x58a7 0x12ac03b4 @@ -338190,6 +422738,8 @@ 0 0 0 +4 +1 3 0x8f67 0xdaacea90 @@ -338198,6 +422748,8 @@ 0 0 0 +3 +0 2 0xc345 0x84afa054 @@ -338206,6 +422758,8 @@ 0 0 0 +0 +5 3 0x9472 0x7132effd @@ -338214,6 +422768,8 @@ 1 1 0 +5 +0 1 0x8f03 0xeffc7f38 @@ -338223,6 +422779,8 @@ 0 0 1 +5 +1 0x7a60 0xc9fc92db 256 @@ -338230,6 +422788,8 @@ 1 1 0 +4 +5 3 0x261b 0xf0a45c59 @@ -338238,6 +422798,8 @@ 1 0 0 +3 +1 1 0x7854 0xb49bfc3d @@ -338246,6 +422808,8 @@ 0 0 0 +5 +4 1 0x8b6 0xf36b7991 @@ -338254,6 +422818,8 @@ 1 1 0 +3 +5 4 0x80da 0x97ecc7a4 @@ -338262,6 +422828,8 @@ 1 0 0 +0 +1 2 0x793d 0x850018f1 @@ -338270,6 +422838,8 @@ 1 0 0 +5 +2 2 0xc450 0x6a971d87 @@ -338278,6 +422848,8 @@ 0 0 0 +2 +2 3 0x2860 0x59804353 @@ -338286,6 +422858,8 @@ 1 1 0 +4 +4 3 0x7ce6 0x4729ae92 @@ -338294,6 +422868,8 @@ 1 0 0 +0 +4 2 0xbad6 0xdbea42ae @@ -338302,6 +422878,8 @@ 1 1 0 +0 +1 1 0x41bb 0x362fa3bc @@ -338310,6 +422888,8 @@ 1 1 0 +2 +1 3 0xf40e 0x1e13278 @@ -338318,6 +422898,8 @@ 0 0 0 +5 +0 1 0x88fa 0xb9cdf12f @@ -338326,6 +422908,8 @@ 1 0 0 +2 +5 4 0x7266 0x98aecfee @@ -338334,6 +422918,8 @@ 1 1 0 +5 +1 3 0x1f5f 0x53bf989d @@ -338342,6 +422928,8 @@ 0 0 0 +3 +1 2 0x32b1 0xb40e512a @@ -338350,6 +422938,8 @@ 0 0 0 +0 +0 4 0x7fd6 0x357a6b1c @@ -338358,6 +422948,8 @@ 0 0 0 +3 +0 1 0x304b 0x66ed7d49 @@ -338366,6 +422958,8 @@ 0 0 0 +3 +1 4 0xf999 0xad27b974 @@ -338374,6 +422968,8 @@ 0 0 0 +3 +2 4 0x528b 0xfc4e1413 @@ -338382,6 +422978,8 @@ 1 1 0 +5 +1 1 0x6aa1 0xd9e8f6aa @@ -338390,6 +422988,8 @@ 1 1 0 +3 +5 4 0xb745 0x4a56e8e8 @@ -338399,6 +422999,8 @@ 0 0 1 +5 +1 0xa9f1 0xd8320431 256 @@ -338406,6 +423008,8 @@ 0 0 0 +4 +0 3 0x2676 0xcfd15746 @@ -338414,6 +423018,8 @@ 1 0 0 +3 +3 2 0xaa0e 0x37c8f884 @@ -338422,6 +423028,8 @@ 0 0 0 +3 +1 1 0xacf0 0xb542ab84 @@ -338430,6 +423038,8 @@ 0 0 0 +0 +4 4 0x2f67 0xd71ec6e0 @@ -338438,6 +423048,8 @@ 0 0 0 +0 +3 4 0xdcbe 0x571feacc @@ -338446,6 +423058,8 @@ 1 0 0 +0 +3 2 0xe50d 0xb03dc72 @@ -338454,6 +423068,8 @@ 1 1 0 +1 +1 3 0x3528 0x7950882a @@ -338462,6 +423078,8 @@ 0 0 0 +4 +3 1 0xa91f 0xcf2e9ac9 @@ -338470,6 +423088,8 @@ 0 0 0 +0 +3 1 0x9a48 0x4cd7c075 @@ -338479,6 +423099,8 @@ 0 0 2 +4 +2 0x8286 0x58397224 256 @@ -338486,6 +423108,8 @@ 0 0 0 +4 +1 1 0xc866 0xc663b2fb @@ -338494,6 +423118,8 @@ 1 0 0 +3 +4 1 0x3eb3 0xba87927a @@ -338503,6 +423129,8 @@ 0 0 2 +4 +2 0xb484 0x1c2ef701 256 @@ -338510,6 +423138,8 @@ 1 0 0 +4 +4 3 0x3295 0xa2438732 @@ -338518,6 +423148,8 @@ 0 0 0 +3 +4 2 0x772f 0xdd46fbad @@ -338526,6 +423158,8 @@ 1 1 0 +1 +1 4 0xfb5a 0xfae94939 @@ -338534,6 +423168,8 @@ 0 0 0 +4 +3 3 0xb9d 0x4a26abac @@ -338542,6 +423178,8 @@ 0 0 0 +4 +4 3 0x24f9 0x82fc60fc @@ -338550,6 +423188,8 @@ 0 0 0 +2 +1 1 0xa149 0x832b4a1c @@ -338558,6 +423198,8 @@ 1 0 0 +2 +2 1 0xc47c 0x2492be4f @@ -338567,6 +423209,8 @@ 0 0 2 +0 +2 0xdd93 0x575845d1 256 @@ -338574,6 +423218,8 @@ 0 0 0 +3 +0 4 0xa191 0xedba2c7d @@ -338582,6 +423228,8 @@ 0 0 0 +4 +0 3 0xd3b3 0x74aa7f8 @@ -338590,6 +423238,8 @@ 0 0 0 +5 +3 3 0xfe6f 0xeac4b915 @@ -338598,6 +423248,8 @@ 1 1 0 +5 +5 3 0xeeb9 0x4e53572d @@ -338606,6 +423258,8 @@ 1 1 0 +3 +3 4 0x5e75 0x34ba28b2 @@ -338614,6 +423268,8 @@ 1 0 0 +5 +5 2 0xb12b 0xeb017ca7 @@ -338622,6 +423278,8 @@ 1 0 0 +0 +0 4 0x58e2 0x1e9deb1 @@ -338631,6 +423289,8 @@ 0 0 4 +4 +4 0x4fc 0x8042397b 256 @@ -338638,6 +423298,8 @@ 0 0 0 +3 +4 4 0x132d 0x3026869e @@ -338646,6 +423308,8 @@ 1 1 0 +1 +1 2 0xce03 0x74241d8b @@ -338654,6 +423318,8 @@ 1 0 0 +4 +3 3 0x8197 0xb3e0d3a1 @@ -338662,6 +423328,8 @@ 0 0 0 +1 +3 2 0xd153 0x5313dab5 @@ -338670,6 +423338,8 @@ 0 0 0 +4 +5 1 0xf2e6 0x76521429 @@ -338678,6 +423348,8 @@ 1 0 0 +5 +3 2 0xe763 0x7a581185 @@ -338686,6 +423358,8 @@ 0 0 0 +2 +1 1 0xe82a 0x30b8ca67 @@ -338695,6 +423369,8 @@ 0 0 2 +5 +2 0xce4b 0xc15e4667 256 @@ -338702,6 +423378,8 @@ 0 0 0 +5 +1 2 0x9bc2 0xc3603fb @@ -338710,6 +423388,8 @@ 0 0 0 +0 +4 3 0x53c4 0x7379629 @@ -338718,6 +423398,8 @@ 1 0 0 +3 +3 1 0x8fe4 0x5b8e3cba @@ -338726,6 +423408,8 @@ 0 0 0 +5 +4 2 0x3e46 0xc9a68293 @@ -338734,6 +423418,8 @@ 1 0 0 +0 +2 4 0x96cc 0x83f95e8d @@ -338742,6 +423428,8 @@ 1 0 0 +4 +1 2 0xce1 0xbcc09dbf @@ -338750,6 +423438,8 @@ 0 0 0 +2 +2 3 0x7c82 0x77a07a5c @@ -338758,6 +423448,8 @@ 1 0 0 +5 +3 4 0x4256 0xd740322d @@ -338766,6 +423458,8 @@ 0 0 0 +0 +1 2 0x82fd 0xbd3cc3c4 @@ -338774,6 +423468,8 @@ 1 1 0 +2 +0 4 0xb605 0xcbf8fc4 @@ -338782,6 +423478,8 @@ 0 0 0 +2 +0 3 0x8704 0x6a1b24ec @@ -338790,6 +423488,8 @@ 1 1 0 +1 +2 2 0x7518 0x61946cad @@ -338798,6 +423498,8 @@ 1 0 0 +2 +2 1 0x331a 0x8b8c09a3 @@ -338807,6 +423509,8 @@ 0 0 2 +4 +2 0xb3aa 0x9b07e543 256 @@ -338815,6 +423519,8 @@ 1 0 4 +2 +4 0x90d5 0xfa7a4f49 256 @@ -338822,6 +423528,8 @@ 0 0 0 +0 +2 3 0x4b8e 0x85e86d5d @@ -338830,6 +423538,8 @@ 0 0 0 +0 +3 4 0xfc94 0x3f8b6697 @@ -338838,6 +423548,8 @@ 1 0 0 +3 +3 1 0x29fe 0xb6324dfe @@ -338846,6 +423558,8 @@ 1 0 0 +0 +1 1 0x8a4d 0x3f4eee2d @@ -338854,6 +423568,8 @@ 0 0 0 +1 +4 4 0xb59 0x9b7e5734 @@ -338862,6 +423578,8 @@ 0 0 0 +1 +3 3 0x4fd4 0xda529c79 @@ -338870,6 +423588,8 @@ 1 0 0 +2 +3 3 0x592b 0x730fa1e3 @@ -338878,6 +423598,8 @@ 0 0 0 +3 +3 2 0x7b20 0xda6af0d7 @@ -338886,6 +423608,8 @@ 0 0 0 +3 +1 2 0xff8d 0x352949f3 @@ -338895,6 +423619,8 @@ 0 0 2 +0 +2 0x2693 0x3440f202 256 @@ -338902,6 +423628,8 @@ 1 1 0 +5 +0 2 0x3144 0x922f06ef @@ -338911,6 +423639,8 @@ 0 0 2 +2 +2 0x9c59 0x84a0834f 256 @@ -338918,6 +423648,8 @@ 0 0 0 +3 +0 2 0xc854 0x8a17f64c @@ -338926,6 +423658,8 @@ 0 0 0 +5 +2 1 0xd6f 0x68a61dfc @@ -338934,6 +423668,8 @@ 1 1 0 +5 +4 3 0x2734 0x387ab318 @@ -338942,6 +423678,8 @@ 0 0 0 +2 +0 4 0x882 0x40a3bd0b @@ -338950,6 +423688,8 @@ 1 0 0 +2 +2 1 0x2d21 0x6eb57653 @@ -338958,6 +423698,8 @@ 1 0 0 +4 +1 1 0x552d 0xf695b281 @@ -338967,6 +423709,8 @@ 0 0 1 +3 +1 0xe328 0x49cb6558 256 @@ -338974,6 +423718,8 @@ 0 0 0 +2 +0 1 0xf21e 0xcbf004b2 @@ -338983,6 +423729,8 @@ 1 0 1 +4 +1 0xea66 0x2c1624b0 256 @@ -338991,6 +423739,8 @@ 1 0 4 +5 +4 0xa6fd 0xe8dbbcf6 256 @@ -338998,6 +423748,8 @@ 1 0 0 +0 +1 1 0x3c6c 0xd46037e8 @@ -339006,6 +423758,8 @@ 0 0 0 +4 +4 2 0xf8d7 0x90ccf6b3 @@ -339014,6 +423768,8 @@ 1 0 0 +0 +4 1 0x19c7 0x57e179 @@ -339022,6 +423778,8 @@ 1 0 0 +4 +2 1 0xbd7b 0x1435573e @@ -339030,6 +423788,8 @@ 1 0 0 +5 +0 1 0xd9d0 0xacedeef @@ -339039,6 +423799,8 @@ 0 0 3 +4 +3 0xed05 0x47e22368 256 @@ -339046,6 +423808,8 @@ 0 0 0 +2 +1 1 0x90c 0x149ebb39 @@ -339054,6 +423818,8 @@ 1 0 0 +3 +2 4 0xa395 0xc42a6f2a @@ -339062,6 +423828,8 @@ 0 0 0 +3 +3 2 0x10d 0x9e1b0000 @@ -339070,6 +423838,8 @@ 0 0 0 +0 +2 2 0x16e3 0x8605fc4a @@ -339078,6 +423848,8 @@ 1 1 0 +0 +3 1 0xf52 0xba67279e @@ -339086,6 +423858,8 @@ 0 0 0 +2 +1 4 0xb044 0x83712a0b @@ -339094,6 +423868,8 @@ 1 0 0 +3 +1 4 0xe03b 0x809df1b1 @@ -339102,6 +423878,8 @@ 1 0 0 +3 +0 2 0xe78f 0x6be586e4 @@ -339110,6 +423888,8 @@ 1 1 0 +1 +0 4 0xd3c1 0xf60efe86 @@ -339118,6 +423898,8 @@ 1 0 0 +3 +1 2 0x277a 0x677cab51 @@ -339126,6 +423908,8 @@ 1 0 0 +5 +1 1 0x1988 0x89140f71 @@ -339135,6 +423919,8 @@ 0 0 2 +2 +2 0x526d 0xd3201a4b 256 @@ -339143,6 +423929,8 @@ 1 0 4 +4 +4 0x7056 0xffb9729b 256 @@ -339150,6 +423938,8 @@ 1 0 0 +2 +1 3 0xff0f 0x76f5ee72 @@ -339158,6 +423948,8 @@ 1 1 0 +5 +4 3 0x2ef0 0xb673c55a @@ -339166,6 +423958,8 @@ 1 0 0 +0 +1 3 0xd37a 0x5f53516e @@ -339174,6 +423968,8 @@ 1 0 0 +2 +4 3 0x47c0 0xdf5df7a1 @@ -339182,6 +423978,8 @@ 0 0 0 +3 +2 2 0x9b29 0x54fb3049 @@ -339191,6 +423989,8 @@ 0 0 2 +0 +2 0xc1e8 0xcce242a5 256 @@ -339198,6 +423998,8 @@ 1 0 0 +3 +2 2 0x80d 0xd4ca8291 @@ -339206,6 +424008,8 @@ 0 0 0 +4 +4 2 0xceb6 0xce08dd52 @@ -339214,6 +424018,8 @@ 1 1 0 +2 +1 4 0x266f 0x7f6b07ef @@ -339222,6 +424028,8 @@ 1 1 0 +0 +5 1 0xc3a2 0x98d16825 @@ -339230,6 +424038,8 @@ 0 0 0 +3 +2 1 0x7fb3 0x6abe8e1f @@ -339238,6 +424048,8 @@ 1 0 0 +0 +3 3 0xbc20 0x756eb4e4 @@ -339246,6 +424058,8 @@ 0 0 0 +3 +0 4 0xb98 0x9c93256b @@ -339254,6 +424068,8 @@ 1 1 0 +2 +0 4 0x5209 0x280f1a6e @@ -339262,6 +424078,8 @@ 0 0 0 +5 +1 4 0xf389 0x14350a5e @@ -339270,6 +424088,8 @@ 0 0 0 +2 +2 3 0x1f29 0x71ec6f73 @@ -339278,6 +424098,8 @@ 1 1 0 +2 +2 1 0x345f 0x2775ad1 @@ -339286,6 +424108,8 @@ 0 0 0 +0 +3 4 0x44cb 0xbf6364b @@ -339295,6 +424119,8 @@ 0 0 1 +4 +1 0x26a6 0x6e478d8d 256 @@ -339302,6 +424128,8 @@ 0 0 0 +0 +1 1 0x957a 0xcd294f99 @@ -339310,6 +424138,8 @@ 0 0 0 +4 +3 2 0xf78 0xf1b2a795 @@ -339318,6 +424148,8 @@ 1 1 0 +1 +4 3 0xebc9 0xed8a404d @@ -339327,6 +424159,8 @@ 1 0 3 +2 +3 0x4324 0xc946e205 256 @@ -339334,6 +424168,8 @@ 0 0 0 +5 +1 2 0x1c6f 0x7deb1b2f @@ -339342,6 +424178,8 @@ 0 0 0 +5 +4 2 0x219c 0x145425d0 @@ -339350,6 +424188,8 @@ 0 0 0 +3 +3 1 0xc771 0x2a1fa50a @@ -339358,6 +424198,8 @@ 0 0 0 +5 +1 2 0xc889 0x760d379 @@ -339367,6 +424209,8 @@ 0 0 3 +1 +3 0x5e88 0x817f55a6 256 @@ -339374,6 +424218,8 @@ 1 1 0 +4 +1 3 0x2d10 0xe0d8cff @@ -339382,6 +424228,8 @@ 1 0 0 +0 +2 1 0x2abf 0xf18bc264 @@ -339390,6 +424238,8 @@ 1 1 0 +0 +3 3 0xcea5 0xffd9e565 @@ -339398,6 +424248,8 @@ 1 0 0 +4 +2 1 0xd656 0x1ffe1eb9 @@ -339406,6 +424258,8 @@ 1 0 0 +5 +0 2 0x2388 0xd7fb8cce @@ -339414,6 +424268,8 @@ 0 0 0 +2 +4 4 0x2fcc 0xcb86efe1 @@ -339422,6 +424278,8 @@ 0 0 0 +2 +0 1 0x39db 0xdfb316ad @@ -339431,6 +424289,8 @@ 0 0 1 +5 +1 0x30d3 0x196be221 256 @@ -339438,6 +424298,8 @@ 1 1 0 +0 +4 3 0x1350 0xc63dd381 @@ -339446,6 +424308,8 @@ 1 1 0 +2 +1 3 0x8e9b 0x9c60e405 @@ -339454,6 +424318,8 @@ 0 0 0 +5 +1 4 0xfa4f 0x6943132e @@ -339462,6 +424328,8 @@ 0 0 0 +0 +5 1 0xb360 0xb4fca249 @@ -339470,6 +424338,8 @@ 1 0 0 +2 +1 3 0x900d 0x519ecede @@ -339478,6 +424348,8 @@ 1 0 0 +2 +0 1 0x7b01 0xa1429946 @@ -339486,6 +424358,8 @@ 0 0 0 +3 +0 4 0xdc16 0x4869367f @@ -339494,6 +424368,8 @@ 0 0 0 +0 +4 2 0x82a4 0x51d1cac2 @@ -339502,6 +424378,8 @@ 1 1 0 +4 +1 3 0xe381 0x8955227f @@ -339510,6 +424388,8 @@ 0 0 0 +5 +0 4 0x846 0xddc170ac @@ -339518,6 +424398,8 @@ 1 0 0 +1 +5 4 0xd04f 0xa110ffbe @@ -339526,6 +424408,8 @@ 0 0 0 +3 +1 1 0x376b 0xb5315bbe @@ -339534,6 +424418,8 @@ 1 0 0 +4 +2 1 0x93da 0xe7d36817 @@ -339542,6 +424428,8 @@ 0 0 0 +1 +5 3 0x9985 0xbea42a5e @@ -339551,6 +424439,8 @@ 0 0 1 +4 +1 0xa867 0x23cc6451 256 @@ -339558,6 +424448,8 @@ 0 0 0 +2 +5 4 0xa6f6 0x4fb55741 @@ -339566,6 +424458,8 @@ 1 0 0 +0 +4 3 0x8802 0xffa3586d @@ -339574,6 +424468,8 @@ 1 0 0 +4 +4 3 0x335a 0xc62eb36d @@ -339582,6 +424478,8 @@ 1 0 0 +4 +3 3 0xc82c 0x5ce53dfa @@ -339590,6 +424488,8 @@ 0 0 0 +0 +2 1 0xb73f 0x45692017 @@ -339598,6 +424498,8 @@ 0 0 0 +3 +3 1 0xc00f 0x8714ecae @@ -339607,6 +424509,8 @@ 0 0 2 +4 +2 0xe8c 0x6c7ccb8d 256 @@ -339614,6 +424518,8 @@ 0 0 0 +5 +0 2 0xaa3a 0xa941c7df @@ -339622,6 +424528,8 @@ 0 0 0 +0 +4 2 0x2d15 0x550252f0 @@ -339631,6 +424539,8 @@ 0 0 3 +5 +3 0x85f8 0xeb1755c6 256 @@ -339638,6 +424548,8 @@ 1 1 0 +4 +1 3 0xdd9e 0x419d9cea @@ -339646,6 +424558,8 @@ 0 0 0 +0 +2 1 0x5272 0xe79c7b58 @@ -339654,6 +424568,8 @@ 0 0 0 +5 +0 3 0x2eff 0xc6ca3082 @@ -339662,6 +424578,8 @@ 1 0 0 +2 +2 4 0x358e 0x69a4bc8 @@ -339670,6 +424588,8 @@ 0 0 0 +0 +2 2 0x51ef 0x7ec16787 @@ -339678,6 +424598,8 @@ 0 0 0 +4 +2 1 0x7380 0xc6fa27e6 @@ -339686,6 +424608,8 @@ 1 0 0 +4 +3 3 0xcfe6 0x7ba7f431 @@ -339695,6 +424619,8 @@ 0 0 4 +1 +4 0x3bfa 0xd40e8a28 256 @@ -339702,6 +424628,8 @@ 0 0 0 +4 +0 3 0xb88 0x30c0499e @@ -339710,6 +424638,8 @@ 0 0 0 +2 +1 1 0x12ae 0x8f81494a @@ -339718,6 +424648,8 @@ 1 1 0 +0 +1 3 0x37d 0xd9edafe6 @@ -339726,6 +424658,8 @@ 0 0 0 +3 +1 1 0x3d00 0x87c9abbc @@ -339735,6 +424669,8 @@ 0 0 3 +0 +3 0x99f3 0x6dc3b09a 256 @@ -339742,6 +424678,8 @@ 1 1 0 +2 +0 1 0xc400 0x80b664d8 @@ -339750,6 +424688,8 @@ 0 0 0 +4 +4 3 0x81e6 0x6121208f @@ -339759,6 +424699,8 @@ 0 0 2 +4 +2 0x79b9 0x7a32f15b 256 @@ -339766,6 +424708,8 @@ 1 0 0 +4 +4 1 0x28d6 0x7f4bb1bb @@ -339774,6 +424718,8 @@ 0 0 0 +0 +4 4 0x755c 0x84ca4a4f @@ -339782,6 +424728,8 @@ 0 0 0 +2 +5 3 0x3474 0x12244cce @@ -339790,6 +424738,8 @@ 0 0 0 +3 +4 4 0x503c 0x14a35b8b @@ -339798,6 +424748,8 @@ 1 1 0 +4 +2 2 0xe678 0x31dd42cd @@ -339806,6 +424758,8 @@ 1 1 0 +3 +3 2 0xc000 0x41164c71 @@ -339815,6 +424769,8 @@ 1 0 2 +0 +2 0x5f0f 0x59adc47 256 @@ -339822,6 +424778,8 @@ 0 0 0 +1 +1 2 0x33f 0xd76b68 @@ -339830,6 +424788,8 @@ 1 0 0 +5 +3 1 0x297b 0xfa44755d @@ -339838,6 +424798,8 @@ 0 0 0 +0 +2 4 0xdd8d 0x6b32b3f3 @@ -339846,6 +424808,8 @@ 0 0 0 +5 +0 3 0x1cb3 0x17ba377a @@ -339854,6 +424818,8 @@ 1 1 0 +4 +4 3 0x6e03 0x2ec665a0 @@ -339862,6 +424828,8 @@ 0 0 0 +5 +4 4 0x76d7 0x178826a3 @@ -339870,6 +424838,8 @@ 0 0 0 +5 +4 4 0x4710 0xfc155af3 @@ -339878,6 +424848,8 @@ 0 0 0 +1 +2 4 0x76f2 0xd70689eb @@ -339886,6 +424858,8 @@ 0 0 0 +0 +2 4 0x41aa 0x9dc4cb22 @@ -339894,6 +424868,8 @@ 0 0 0 +4 +1 1 0x4df9 0xed504d5f @@ -339902,6 +424878,8 @@ 1 1 0 +3 +1 2 0x4848 0xf1a84d61 @@ -339911,6 +424889,8 @@ 0 0 2 +1 +2 0xa057 0x7fa59a8f 256 @@ -339918,6 +424898,8 @@ 0 0 0 +0 +3 4 0xf174 0xe2fe7870 @@ -339926,6 +424908,8 @@ 1 1 0 +3 +4 4 0x61a 0x7c78124d @@ -339934,6 +424918,8 @@ 1 0 0 +1 +5 4 0x2545 0xf40df61c @@ -339942,6 +424928,8 @@ 1 1 0 +2 +4 1 0x272 0xd2013e4c @@ -339950,6 +424938,8 @@ 1 0 0 +1 +5 2 0x32b1 0x76412c97 @@ -339958,6 +424948,8 @@ 1 0 0 +5 +0 1 0x20d2 0xc0ad1337 @@ -339967,6 +424959,8 @@ 0 0 1 +5 +1 0x5ce2 0x82fd8983 256 @@ -339974,6 +424968,8 @@ 1 1 0 +2 +4 3 0x1120 0xe03e01f8 @@ -339982,6 +424978,8 @@ 1 0 0 +0 +0 3 0x23b3 0x515853bb @@ -339990,6 +424988,8 @@ 1 1 0 +3 +4 2 0x52d3 0x4932b8ad @@ -339998,6 +424998,8 @@ 0 0 0 +1 +0 4 0x8df6 0xc543eae5 @@ -340006,6 +425008,8 @@ 0 0 0 +3 +5 4 0x91e7 0x38605108 @@ -340014,6 +425018,8 @@ 1 0 0 +5 +4 2 0x86ae 0xba4a31ed @@ -340023,6 +425029,8 @@ 0 0 4 +3 +4 0x503c 0x7606671 256 @@ -340030,6 +425038,8 @@ 0 0 0 +0 +2 2 0xe54d 0x5990d8db @@ -340038,6 +425048,8 @@ 0 0 0 +5 +1 2 0x4c4a 0xc92a99d4 @@ -340046,6 +425058,8 @@ 1 0 0 +5 +5 1 0xff92 0xf417d205 @@ -340054,6 +425068,8 @@ 0 0 0 +3 +5 1 0x2441 0x474d7909 @@ -340062,6 +425078,8 @@ 1 0 0 +5 +4 1 0x6b66 0x25dd3741 @@ -340070,6 +425088,8 @@ 0 0 0 +0 +3 3 0xe9c9 0xefd8cc47 @@ -340078,6 +425098,8 @@ 1 0 0 +3 +5 2 0x59c0 0xa5ca9b04 @@ -340086,6 +425108,8 @@ 1 0 0 +2 +5 4 0x4760 0xfdee88dc @@ -340094,6 +425118,8 @@ 0 0 0 +0 +2 1 0x6d25 0x6b29563e @@ -340103,6 +425129,8 @@ 0 0 1 +0 +1 0xca35 0xaaf0182e 256 @@ -340110,6 +425138,8 @@ 1 0 0 +4 +2 1 0x6d9f 0xe14f5966 @@ -340118,6 +425148,8 @@ 1 0 0 +3 +2 2 0x3213 0x3fa26e44 @@ -340127,6 +425159,8 @@ 1 0 3 +4 +3 0x3e3f 0x1cdbf001 256 @@ -340134,6 +425168,8 @@ 1 1 0 +2 +1 4 0x91bb 0xb1f62a4d @@ -340142,6 +425178,8 @@ 0 0 0 +2 +4 3 0x7d18 0xc60e5fec @@ -340151,6 +425189,8 @@ 0 0 3 +0 +3 0xb493 0x4412eead 256 @@ -340159,6 +425199,8 @@ 0 0 4 +3 +4 0x11f4 0x14560030 256 @@ -340166,6 +425208,8 @@ 1 1 0 +3 +4 2 0xf17d 0x51594603 @@ -340174,6 +425218,8 @@ 0 0 0 +1 +4 4 0x5e85 0xa75828c6 @@ -340182,6 +425228,8 @@ 0 0 0 +2 +4 3 0x6b82 0x1ce0fe2e @@ -340190,6 +425238,8 @@ 0 0 0 +3 +3 1 0x8da6 0xdc5c3f0c @@ -340198,6 +425248,8 @@ 1 0 0 +2 +1 3 0x7b41 0x357d6be6 @@ -340206,6 +425258,8 @@ 0 0 0 +0 +2 3 0x39d1 0x3a038382 @@ -340214,6 +425268,8 @@ 1 0 0 +2 +5 4 0xc592 0x49cf88cd @@ -340222,6 +425278,8 @@ 0 0 0 +5 +3 4 0x9470 0x394113e @@ -340231,6 +425289,8 @@ 0 0 1 +2 +1 0xc0f0 0x31a5f687 256 @@ -340238,6 +425298,8 @@ 0 0 0 +4 +2 2 0x712f 0xba2f9411 @@ -340246,6 +425308,8 @@ 1 0 0 +0 +1 1 0x7493 0x1821d21c @@ -340254,6 +425318,8 @@ 0 0 0 +5 +2 3 0x1e2a 0xa1cd325c @@ -340262,6 +425328,8 @@ 1 1 0 +4 +4 1 0x7b0c 0x47674609 @@ -340270,6 +425338,8 @@ 1 0 0 +5 +3 3 0x7b2f 0x7c29f250 @@ -340278,6 +425348,8 @@ 1 1 0 +4 +2 2 0xfc77 0x5da5a654 @@ -340286,6 +425358,8 @@ 0 0 0 +1 +3 3 0x201c 0x632a9bca @@ -340294,6 +425368,8 @@ 1 1 0 +3 +3 4 0xadf4 0xd05f4df6 @@ -340302,6 +425378,8 @@ 0 0 0 +5 +1 1 0xc93f 0x4a5de690 @@ -340310,6 +425388,8 @@ 1 1 0 +1 +0 4 0xf8cb 0xcdedcfb3 @@ -340318,6 +425398,8 @@ 1 0 0 +2 +5 3 0xde45 0xdd77564f @@ -340326,6 +425408,8 @@ 1 0 0 +5 +2 2 0xefe0 0x4ef94610 @@ -340335,6 +425419,8 @@ 1 0 2 +3 +2 0xe301 0xfb96b4b5 256 @@ -340342,6 +425428,8 @@ 1 1 0 +0 +1 1 0x4990 0xafc920b2 @@ -340350,6 +425438,8 @@ 0 0 0 +4 +3 3 0xe8b0 0x9b97ca14 @@ -340359,6 +425449,8 @@ 1 0 1 +1 +1 0x76f 0x9a93324a 256 @@ -340366,6 +425458,8 @@ 0 0 0 +4 +1 1 0x2023 0x6895c3f4 @@ -340374,6 +425468,8 @@ 1 1 0 +0 +4 4 0x8634 0x344c1a83 @@ -340382,6 +425478,8 @@ 0 0 0 +0 +4 3 0x1c80 0x5ec32e2e @@ -340390,6 +425488,8 @@ 0 0 0 +3 +0 2 0x2aa0 0x99aa4b39 @@ -340398,6 +425498,8 @@ 0 0 0 +0 +5 4 0x330c 0xd7d7cc41 @@ -340407,6 +425509,8 @@ 1 0 2 +4 +2 0xbbd1 0x56e9569f 256 @@ -340414,6 +425518,8 @@ 0 0 0 +5 +5 2 0xc80f 0xc576f644 @@ -340422,6 +425528,8 @@ 0 0 0 +1 +1 3 0x2bd3 0xa5b83717 @@ -340430,6 +425538,8 @@ 1 0 0 +4 +2 2 0xc90a 0xd99a1929 @@ -340438,6 +425548,8 @@ 0 0 0 +1 +5 3 0x536b 0xd18978c5 @@ -340446,6 +425558,8 @@ 1 1 0 +5 +2 1 0x8611 0xce4fe2ca @@ -340454,6 +425568,8 @@ 1 1 0 +0 +0 3 0x6a5e 0x87dd186a @@ -340463,6 +425579,8 @@ 0 0 1 +5 +1 0x1856 0x4cd8a331 256 @@ -340470,6 +425588,8 @@ 1 0 0 +1 +1 3 0xc983 0x7c86c2e3 @@ -340478,6 +425598,8 @@ 0 0 0 +5 +5 3 0x5eab 0x69d28c0c @@ -340487,6 +425609,8 @@ 1 0 4 +1 +4 0x9f37 0xafd51574 256 @@ -340494,6 +425618,8 @@ 1 1 0 +5 +4 3 0xfeb2 0x795eddb6 @@ -340503,6 +425629,8 @@ 0 0 1 +2 +1 0x1e7f 0x5167b7c4 256 @@ -340510,6 +425638,8 @@ 0 0 0 +4 +4 1 0x97c 0xb66c04e7 @@ -340518,6 +425648,8 @@ 1 1 0 +0 +2 4 0xf590 0x7b43e65f @@ -340526,6 +425658,8 @@ 0 0 0 +0 +5 2 0x9923 0x10dc6f71 @@ -340534,6 +425668,8 @@ 0 0 0 +0 +2 3 0xe332 0x2f5f1335 @@ -340542,6 +425678,8 @@ 0 0 0 +3 +0 4 0x62df 0xebf835b9 @@ -340550,6 +425688,8 @@ 0 0 0 +3 +0 1 0xc909 0x8af77aef @@ -340558,6 +425698,8 @@ 1 0 0 +3 +0 1 0xfeb 0x67d82178 @@ -340566,6 +425708,8 @@ 0 0 0 +5 +3 2 0xfe30 0x742353e9 @@ -340574,6 +425718,8 @@ 1 1 0 +0 +1 4 0x9995 0xcb7d662f @@ -340582,6 +425728,8 @@ 1 0 0 +5 +4 4 0xa134 0x608dc87b @@ -340591,6 +425739,8 @@ 1 0 2 +4 +2 0xba57 0x423157a1 256 @@ -340599,6 +425749,8 @@ 0 0 4 +2 +4 0x741c 0x53dbd941 256 @@ -340606,6 +425758,8 @@ 1 0 0 +2 +0 3 0x5899 0x4c03e5aa @@ -340614,6 +425768,8 @@ 1 0 0 +3 +0 4 0xeddb 0x8865f322 @@ -340622,6 +425778,8 @@ 0 0 0 +0 +0 3 0x91f9 0x938c2ee1 @@ -340630,6 +425788,8 @@ 0 0 0 +3 +0 1 0xe41 0xbd62fcba @@ -340639,6 +425799,8 @@ 0 0 3 +4 +3 0xdba9 0xae770ca5 256 @@ -340646,6 +425808,8 @@ 0 0 0 +2 +4 3 0x3681 0x576e639d @@ -340654,6 +425818,8 @@ 1 1 0 +5 +5 1 0xadb 0xcce84294 @@ -340662,6 +425828,8 @@ 0 0 0 +3 +2 4 0xd106 0x9a754383 @@ -340670,6 +425838,8 @@ 0 0 0 +2 +3 4 0x9019 0x7f652cd @@ -340678,6 +425848,8 @@ 0 0 0 +5 +3 3 0x2457 0x8684042f @@ -340686,6 +425858,8 @@ 1 1 0 +2 +3 1 0x9ad4 0x782479a7 @@ -340694,6 +425868,8 @@ 1 0 0 +1 +1 2 0x9272 0x920fa1b3 @@ -340702,6 +425878,8 @@ 0 0 0 +5 +0 1 0x45a8 0xdfc4ee1 @@ -340710,6 +425888,8 @@ 0 0 0 +0 +4 3 0xcb7f 0x172825bf @@ -340718,6 +425898,8 @@ 1 1 0 +1 +4 3 0xde6e 0xcc3c96fb @@ -340726,6 +425908,8 @@ 1 0 0 +5 +4 1 0x79ef 0x2b6aee15 @@ -340734,6 +425918,8 @@ 1 0 0 +2 +1 1 0x913b 0xbbc7159f @@ -340742,6 +425928,8 @@ 1 1 0 +2 +0 4 0x24e 0x57505854 @@ -340750,6 +425938,8 @@ 0 0 0 +3 +4 4 0x67ad 0x75df9328 @@ -340758,6 +425948,8 @@ 1 1 0 +2 +3 3 0xa39 0xb76d94ae @@ -340766,6 +425958,8 @@ 0 0 0 +3 +2 2 0x74ce 0x98ad92b3 @@ -340774,6 +425968,8 @@ 1 0 0 +2 +5 1 0x1485 0xf8a7f7e6 @@ -340782,6 +425978,8 @@ 1 0 0 +1 +0 4 0x4366 0x3df05469 @@ -340790,6 +425988,8 @@ 0 0 0 +0 +5 2 0x19f5 0xc0f45287 @@ -340799,6 +425999,8 @@ 1 0 2 +2 +2 0xdcfa 0x7b0cc6ed 256 @@ -340806,6 +426008,8 @@ 0 0 0 +4 +4 1 0x5f9f 0x1d9ae06f @@ -340814,6 +426018,8 @@ 1 0 0 +0 +5 1 0xfb40 0x26265ce2 @@ -340823,6 +426029,8 @@ 0 0 4 +3 +4 0xa522 0xbed210c4 256 @@ -340830,6 +426038,8 @@ 0 0 0 +0 +1 1 0xe1c9 0x10f73d27 @@ -340839,6 +426049,8 @@ 0 0 1 +0 +1 0x3a8a 0x911eba77 256 @@ -340846,6 +426058,8 @@ 0 0 0 +3 +4 4 0x92a6 0x5e8a25e7 @@ -340854,6 +426068,8 @@ 0 0 0 +3 +3 4 0xd2b5 0x84fba366 @@ -340862,6 +426078,8 @@ 0 0 0 +0 +2 1 0x7de2 0x6ba21f62 @@ -340870,6 +426088,8 @@ 1 1 0 +3 +1 2 0x7503 0x6da67323 @@ -340879,6 +426099,8 @@ 1 0 3 +1 +3 0x19cc 0xc943eeb 256 @@ -340886,6 +426108,8 @@ 0 0 0 +0 +5 2 0x8dc7 0x3deaaa93 @@ -340894,6 +426118,8 @@ 1 0 0 +1 +4 3 0xafb5 0x7a64ce95 @@ -340902,6 +426128,8 @@ 0 0 0 +2 +1 3 0xdfad 0xccfd28a7 @@ -340910,6 +426138,8 @@ 0 0 0 +2 +3 4 0x4eed 0x7a7ab623 @@ -340918,6 +426148,8 @@ 0 0 0 +2 +2 3 0x448e 0x91e7d6b9 @@ -340927,6 +426159,8 @@ 0 0 2 +3 +2 0xf2da 0x15717882 256 @@ -340934,6 +426168,8 @@ 0 0 0 +4 +1 2 0x511a 0xc3df6cf9 @@ -340942,6 +426178,8 @@ 1 1 0 +4 +5 3 0x1587 0xfdff36f9 @@ -340950,6 +426188,8 @@ 0 0 0 +4 +3 1 0x5351 0xe9dc2e4b @@ -340958,6 +426198,8 @@ 0 0 0 +3 +1 1 0x1ffa 0x8dba4027 @@ -340967,6 +426209,8 @@ 0 0 3 +4 +3 0xd4d2 0xfb605c 256 @@ -340974,6 +426218,8 @@ 1 1 0 +3 +2 1 0xb83c 0xe97c9e04 @@ -340982,6 +426228,8 @@ 0 0 0 +4 +4 3 0xeba3 0x56bc294e @@ -340991,6 +426239,8 @@ 1 0 1 +1 +1 0x7935 0x1d2b4257 256 @@ -340998,6 +426248,8 @@ 0 0 0 +5 +4 1 0x19fb 0x83904a46 @@ -341006,6 +426258,8 @@ 1 0 0 +3 +4 4 0x3968 0xbe723087 @@ -341014,6 +426268,8 @@ 0 0 0 +0 +3 3 0xee44 0xf184d6e7 @@ -341022,6 +426278,8 @@ 0 0 0 +4 +0 1 0x72b1 0xaf9ecaa0 @@ -341030,6 +426288,8 @@ 1 1 0 +5 +0 4 0x5c61 0xb519cb0f @@ -341038,6 +426298,8 @@ 0 0 0 +5 +3 4 0x9281 0xfe2f8a8f @@ -341046,6 +426308,8 @@ 1 0 0 +0 +4 3 0xbbb5 0x948ede22 @@ -341054,6 +426318,8 @@ 0 0 0 +5 +5 2 0x11f6 0x307d4746 @@ -341062,6 +426328,8 @@ 1 1 0 +3 +5 2 0xc7f0 0x10b840c2 @@ -341070,6 +426338,8 @@ 1 1 0 +5 +0 1 0x7017 0x672363f1 @@ -341078,6 +426348,8 @@ 1 0 0 +1 +5 2 0x5bf2 0xf656a2d7 @@ -341086,6 +426358,8 @@ 1 0 0 +2 +5 4 0xc31a 0x35d1b020 @@ -341094,6 +426368,8 @@ 0 0 0 +0 +0 3 0xb34a 0xf4333098 @@ -341102,6 +426378,8 @@ 0 0 0 +0 +0 1 0x658b 0xbd058688 @@ -341110,6 +426388,8 @@ 0 0 0 +5 +1 4 0xb0c1 0x600d20ae @@ -341118,6 +426398,8 @@ 1 0 0 +4 +5 2 0x3193 0xfa8dc8d3 @@ -341126,6 +426408,8 @@ 1 1 0 +3 +2 2 0xb00f 0xa853c6a2 @@ -341134,6 +426418,8 @@ 1 0 0 +3 +5 4 0xf7e9 0x30925909 @@ -341142,6 +426428,8 @@ 0 0 0 +1 +0 2 0xa970 0x6db608b @@ -341150,6 +426438,8 @@ 0 0 0 +4 +5 1 0x4600 0x8a782c45 @@ -341158,6 +426448,8 @@ 1 1 0 +2 +0 1 0xec79 0xb55341a4 @@ -341167,6 +426459,8 @@ 1 0 2 +4 +2 0x716f 0xa6cb9b55 256 @@ -341175,6 +426469,8 @@ 0 0 1 +0 +1 0xc2e3 0xf801d996 256 @@ -341182,6 +426478,8 @@ 1 1 0 +4 +3 3 0x98a2 0x15d6351 @@ -341191,6 +426489,8 @@ 0 0 1 +2 +1 0x75cd 0xd2a38011 256 @@ -341198,6 +426498,8 @@ 0 0 0 +0 +0 2 0xaaa 0x2587b1ff @@ -341206,6 +426508,8 @@ 0 0 0 +2 +5 3 0x6faa 0xfc993993 @@ -341214,6 +426518,8 @@ 0 0 0 +2 +5 1 0x78a9 0xd6c9ec05 @@ -341222,6 +426528,8 @@ 1 1 0 +1 +1 3 0x322d 0xafc43ed @@ -341230,6 +426538,8 @@ 0 0 0 +1 +2 4 0x2683 0x9f4eb730 @@ -341238,6 +426548,8 @@ 1 1 0 +0 +0 1 0x5bc7 0x21d8bf4a @@ -341247,6 +426559,8 @@ 0 0 1 +4 +1 0xd12a 0x59478482 256 @@ -341254,6 +426568,8 @@ 1 1 0 +2 +3 4 0x3ed7 0x361289a1 @@ -341262,6 +426578,8 @@ 1 0 0 +5 +3 4 0x5733 0x78c3c060 @@ -341271,6 +426589,8 @@ 0 0 4 +0 +4 0xea59 0x2852fc2e 256 @@ -341278,6 +426598,8 @@ 0 0 0 +0 +1 4 0x296d 0x9d1b0cc2 @@ -341286,6 +426608,8 @@ 0 0 0 +4 +3 1 0x9a44 0xe8366130 @@ -341295,6 +426619,8 @@ 0 0 2 +3 +2 0x77e 0xe31365ef 256 @@ -341302,6 +426628,8 @@ 1 1 0 +3 +4 2 0x756b 0xd0785935 @@ -341310,6 +426638,8 @@ 0 0 0 +0 +0 4 0xef59 0x37ecf172 @@ -341318,6 +426648,8 @@ 1 0 0 +2 +2 3 0xce13 0x7c3f5c26 @@ -341326,6 +426658,8 @@ 0 0 0 +2 +1 3 0x76a9 0xdbe970c8 @@ -341334,6 +426668,8 @@ 0 0 0 +0 +0 3 0x7ca4 0x97a90b56 @@ -341342,6 +426678,8 @@ 1 1 0 +1 +4 3 0xac3e 0x2c85e22a @@ -341350,6 +426688,8 @@ 0 0 0 +3 +2 2 0xf2ce 0x9da09325 @@ -341358,6 +426698,8 @@ 0 0 0 +4 +2 1 0x699f 0x59789937 @@ -341366,6 +426708,8 @@ 1 1 0 +0 +1 2 0xe248 0xd4cb154a @@ -341374,6 +426718,8 @@ 0 0 0 +2 +1 3 0x8ea0 0xe9212bfd @@ -341382,6 +426728,8 @@ 0 0 0 +2 +1 1 0xa862 0xd0bd1859 @@ -341390,6 +426738,8 @@ 1 1 0 +3 +0 2 0x356e 0x62fd4047 @@ -341398,6 +426748,8 @@ 1 1 0 +5 +2 4 0x3847 0x6e57d2cd @@ -341406,6 +426758,8 @@ 1 1 0 +3 +0 1 0xb153 0x4e98d39b @@ -341414,6 +426768,8 @@ 1 0 0 +3 +3 1 0x617d 0x41c4c612 @@ -341422,6 +426778,8 @@ 0 0 0 +5 +5 1 0x1a48 0x13a67a21 @@ -341430,6 +426788,8 @@ 1 0 0 +3 +4 2 0x2484 0x1496c10a @@ -341438,6 +426798,8 @@ 1 1 0 +2 +0 1 0xbe8a 0x1907b2f7 @@ -341446,6 +426808,8 @@ 1 0 0 +0 +1 4 0x3a2 0x3cfd94ff @@ -341454,6 +426818,8 @@ 0 0 0 +4 +5 3 0x8acb 0x3eddd1fe @@ -341462,6 +426828,8 @@ 1 0 0 +3 +2 4 0xcb90 0xb9f8d191 @@ -341470,6 +426838,8 @@ 0 0 0 +0 +2 1 0x8632 0xc1fb5667 @@ -341478,6 +426848,8 @@ 0 0 0 +3 +4 1 0x9615 0x5597ba8b @@ -341486,6 +426858,8 @@ 0 0 0 +0 +5 3 0x917c 0x6f9ae521 @@ -341494,6 +426868,8 @@ 1 1 0 +0 +3 3 0x7077 0x18089c88 @@ -341502,6 +426878,8 @@ 1 0 0 +0 +0 4 0xf6c7 0xe710db47 @@ -341510,6 +426888,8 @@ 0 0 0 +1 +0 3 0xbde0 0x7e659d7b @@ -341518,6 +426898,8 @@ 0 0 0 +2 +2 1 0x1627 0xb9484c2b @@ -341527,6 +426909,8 @@ 0 0 1 +1 +1 0x28e 0x7004c58f 256 @@ -341534,6 +426918,8 @@ 0 0 0 +3 +4 1 0xdcb2 0x6d9f55b8 @@ -341542,6 +426928,8 @@ 0 0 0 +5 +2 2 0x4995 0x7276edcb @@ -341550,6 +426938,8 @@ 1 1 0 +0 +1 4 0x6f13 0xe8a9982c @@ -341558,6 +426948,8 @@ 1 1 0 +0 +2 4 0xbec5 0x598b6500 @@ -341566,6 +426958,8 @@ 0 0 0 +4 +1 3 0x5728 0x4f8874a7 @@ -341574,6 +426968,8 @@ 1 0 0 +2 +1 4 0x44bd 0x4a658fd1 @@ -341582,6 +426978,8 @@ 0 0 0 +0 +5 1 0x7d56 0x959a9c90 @@ -341590,6 +426988,8 @@ 0 0 0 +4 +2 3 0xfbaf 0x5ad6e5f1 @@ -341598,6 +426998,8 @@ 1 0 0 +4 +4 3 0x32ea 0xe2743c89 @@ -341606,6 +427008,8 @@ 0 0 0 +1 +1 4 0xfde8 0xe1de4901 @@ -341614,6 +427018,8 @@ 0 0 0 +3 +4 1 0xbd6c 0x190b8e3e @@ -341622,6 +427028,8 @@ 1 0 0 +5 +1 3 0xf00f 0x3b63f322 @@ -341631,6 +427039,8 @@ 1 0 1 +4 +1 0xede9 0xf00b35d1 256 @@ -341638,6 +427048,8 @@ 0 0 0 +1 +0 4 0xfa15 0xab62509f @@ -341646,6 +427058,8 @@ 0 0 0 +2 +3 3 0x2d52 0xf0114ee @@ -341654,6 +427068,8 @@ 0 0 0 +2 +2 3 0x3730 0xc6fe8c2f @@ -341663,6 +427079,8 @@ 0 0 2 +3 +2 0x72c4 0xeee802d9 256 @@ -341670,6 +427088,8 @@ 1 0 0 +3 +1 2 0xbab4 0xec692696 @@ -341679,6 +427099,8 @@ 0 0 2 +3 +2 0x79a9 0xa97678ec 256 @@ -341686,6 +427108,8 @@ 1 0 0 +4 +0 2 0xa4b 0x13dc904e @@ -341694,6 +427118,8 @@ 1 1 0 +2 +2 4 0x96d5 0x80450e86 @@ -341702,6 +427128,8 @@ 0 0 0 +0 +0 3 0xdfa6 0x87a68f7f @@ -341710,6 +427138,8 @@ 1 0 0 +1 +0 4 0x3f17 0xe110710e @@ -341718,6 +427148,8 @@ 1 0 0 +5 +4 4 0xf691 0xf3be07fa @@ -341726,6 +427158,8 @@ 1 1 0 +5 +1 3 0xb0d8 0x9619bebd @@ -341734,6 +427168,8 @@ 0 0 0 +5 +3 4 0x8eef 0x8ae87a7e @@ -341743,6 +427179,8 @@ 0 0 2 +1 +2 0x5078 0xef7d4a1c 256 @@ -341750,6 +427188,8 @@ 1 1 0 +2 +2 3 0xf75b 0x84f2c942 @@ -341758,6 +427198,8 @@ 1 1 0 +4 +4 1 0x9241 0xa0d9527b @@ -341766,6 +427208,8 @@ 1 0 0 +3 +2 4 0x58ee 0x47a6c70d @@ -341774,6 +427218,8 @@ 0 0 0 +2 +4 1 0x8213 0x4373d890 @@ -341783,6 +427229,8 @@ 0 0 1 +1 +1 0xb9cb 0x158685ab 256 @@ -341791,6 +427239,8 @@ 0 0 1 +3 +1 0xf6ad 0x7ab91464 256 @@ -341798,6 +427248,8 @@ 0 0 0 +0 +5 1 0xc0d2 0x53063a7f @@ -341806,6 +427258,8 @@ 0 0 0 +5 +3 2 0x9ae9 0x725e1f7f @@ -341814,6 +427268,8 @@ 0 0 0 +1 +3 3 0xadc4 0xd585ee0 @@ -341822,6 +427278,8 @@ 1 0 0 +1 +3 4 0xc049 0x2a7ca22c @@ -341830,6 +427288,8 @@ 0 0 0 +0 +5 2 0x86cb 0x60640b0 @@ -341838,6 +427298,8 @@ 0 0 0 +2 +0 1 0xdf6f 0x7baae21d @@ -341846,6 +427308,8 @@ 1 1 0 +5 +5 2 0xda2e 0x882d97f3 @@ -341855,6 +427319,8 @@ 1 0 2 +1 +2 0x40dc 0xc5b79cec 256 @@ -341862,6 +427328,8 @@ 1 0 0 +5 +4 1 0x60bf 0xa4eb22e4 @@ -341870,6 +427338,8 @@ 1 0 0 +3 +4 4 0x7f6c 0xa463b3d0 @@ -341878,6 +427348,8 @@ 1 1 0 +4 +2 2 0xe9de 0x809bc58b @@ -341887,6 +427359,8 @@ 1 0 2 +1 +2 0x2650 0xdeea5af7 256 @@ -341894,6 +427368,8 @@ 1 0 0 +3 +0 2 0x7930 0xc82c11bd @@ -341903,6 +427379,8 @@ 0 0 3 +0 +3 0x636c 0x463686fb 256 @@ -341911,6 +427389,8 @@ 0 0 4 +1 +4 0xf15 0xcd268cf1 256 @@ -341918,6 +427398,8 @@ 1 1 0 +5 +5 1 0x7e3e 0x88bdab63 @@ -341926,6 +427408,8 @@ 1 1 0 +4 +5 2 0x738a 0xb2d87c18 @@ -341934,6 +427418,8 @@ 0 0 0 +0 +5 2 0x8b37 0xc8d7d1fe @@ -341942,6 +427428,8 @@ 0 0 0 +5 +4 4 0xe203 0x11b350d1 @@ -341951,6 +427439,8 @@ 0 0 1 +1 +1 0x7c2d 0x49be6bd 256 @@ -341958,6 +427448,8 @@ 0 0 0 +1 +0 4 0xd5e0 0xb7a6f42b @@ -341966,6 +427458,8 @@ 1 1 0 +5 +1 1 0xa475 0x2770c1ce @@ -341974,6 +427468,8 @@ 0 0 0 +1 +3 4 0xc5b1 0x56df9c66 @@ -341982,6 +427478,8 @@ 0 0 0 +2 +0 1 0x5e9f 0xe4c740bb @@ -341990,6 +427488,8 @@ 0 0 0 +4 +3 1 0xeab5 0x257046ad @@ -341998,6 +427498,8 @@ 1 1 0 +2 +1 3 0x854a 0x4f3ffdbf @@ -342007,6 +427509,8 @@ 1 0 1 +0 +1 0x9fab 0xd4626516 256 @@ -342014,6 +427518,8 @@ 0 0 0 +1 +2 4 0xbb0e 0x250eeda0 @@ -342022,6 +427528,8 @@ 0 0 0 +2 +0 3 0x46d 0xe752510f @@ -342030,6 +427538,8 @@ 0 0 0 +2 +5 4 0x15de 0xb2a9114f @@ -342038,6 +427548,8 @@ 1 0 0 +2 +4 1 0xbd48 0x2fc9ead9 @@ -342046,6 +427558,8 @@ 1 0 0 +0 +3 3 0x4789 0x14b6773b @@ -342054,6 +427568,8 @@ 0 0 0 +1 +2 2 0x10f9 0x3a058b8f @@ -342062,6 +427578,8 @@ 1 1 0 +3 +3 4 0xf7bd 0xc3746e68 @@ -342070,6 +427588,8 @@ 0 0 0 +3 +3 2 0x784a 0x124de0cf @@ -342078,6 +427598,8 @@ 1 0 0 +2 +5 3 0xcb43 0x2dc51da3 @@ -342086,6 +427608,8 @@ 1 0 0 +1 +2 3 0x7ae0 0xca882703 @@ -342094,6 +427618,8 @@ 0 0 0 +4 +5 1 0x709b 0xbc5f4c74 @@ -342102,6 +427628,8 @@ 1 1 0 +0 +5 1 0x524e 0x911c928b @@ -342110,6 +427638,8 @@ 1 0 0 +1 +4 3 0x26c 0xc82de197 @@ -342118,6 +427648,8 @@ 1 0 0 +0 +3 2 0xdceb 0xab8f143f @@ -342126,6 +427658,8 @@ 0 0 0 +1 +5 2 0x1a6d 0x71d73193 @@ -342134,6 +427668,8 @@ 1 0 0 +2 +1 3 0x212 0x6461efc8 @@ -342142,6 +427678,8 @@ 0 0 0 +3 +2 1 0xaa62 0xd51c803c @@ -342150,6 +427688,8 @@ 1 1 0 +0 +4 4 0x6167 0x46fe3192 @@ -342158,6 +427698,8 @@ 1 1 0 +1 +4 4 0xc6bb 0xfa58d4f4 @@ -342167,6 +427709,8 @@ 1 0 4 +1 +4 0x1840 0x1064cf2d 256 @@ -342174,6 +427718,8 @@ 0 0 0 +2 +0 3 0xa97e 0xa6de0163 @@ -342182,6 +427728,8 @@ 0 0 0 +5 +2 2 0x3296 0xffe10529 @@ -342190,6 +427738,8 @@ 0 0 0 +1 +1 2 0xb073 0x6e6a6474 @@ -342198,6 +427748,8 @@ 0 0 0 +4 +0 3 0x9a7d 0x444037ae @@ -342206,6 +427758,8 @@ 0 0 0 +1 +2 4 0xf87f 0xfe3072bf @@ -342215,6 +427769,8 @@ 1 0 4 +4 +4 0xda24 0x38c891b9 256 @@ -342222,6 +427778,8 @@ 0 0 0 +3 +1 4 0x7a13 0x6124a76c @@ -342230,6 +427788,8 @@ 0 0 0 +5 +5 4 0xc8b0 0x9e7474e8 @@ -342238,6 +427798,8 @@ 1 0 0 +0 +3 1 0x9fc3 0x484a020f @@ -342246,6 +427808,8 @@ 1 0 0 +3 +1 2 0x8f26 0x1558c221 @@ -342255,6 +427819,8 @@ 0 0 4 +0 +4 0x1cd 0x42387750 256 @@ -342262,6 +427828,8 @@ 1 0 0 +2 +2 3 0x6054 0xfcddcdf8 @@ -342270,6 +427838,8 @@ 0 0 0 +5 +3 2 0x28b8 0xc93f4e9 @@ -342278,6 +427848,8 @@ 1 1 0 +5 +2 4 0x3a28 0x6f9ebef7 @@ -342286,6 +427858,8 @@ 1 1 0 +4 +3 1 0x7509 0x5bc3c6a5 @@ -342294,6 +427868,8 @@ 1 1 0 +0 +1 3 0x414d 0xc7ce4b21 @@ -342302,6 +427878,8 @@ 0 0 0 +0 +3 4 0x67fc 0xeae13b4f @@ -342310,6 +427888,8 @@ 0 0 0 +1 +4 2 0xb882 0x4a3ad425 @@ -342318,6 +427898,8 @@ 0 0 0 +5 +2 3 0xf338 0xc13920ea @@ -342326,6 +427908,8 @@ 1 0 0 +1 +4 4 0x9ffd 0x52c640e @@ -342335,6 +427919,8 @@ 0 0 2 +0 +2 0xe7f4 0x2bafb7dc 256 @@ -342342,6 +427928,8 @@ 0 0 0 +0 +1 1 0x98e6 0x4b76f8b2 @@ -342350,6 +427938,8 @@ 0 0 0 +0 +5 4 0x4259 0x79e5a3fb @@ -342359,6 +427949,8 @@ 0 0 2 +4 +2 0x39e2 0xeeb431bf 256 @@ -342366,6 +427958,8 @@ 0 0 0 +5 +5 4 0x59b2 0x9d333a4f @@ -342374,6 +427968,8 @@ 0 0 0 +2 +5 1 0xbccb 0xba0f34ab @@ -342382,6 +427978,8 @@ 1 0 0 +4 +0 3 0xe994 0x22054cb2 @@ -342390,6 +427988,8 @@ 0 0 0 +5 +3 2 0x9c28 0x5d2363c @@ -342398,6 +427998,8 @@ 0 0 0 +5 +3 3 0x253a 0x161fd920 @@ -342406,6 +428008,8 @@ 0 0 0 +2 +1 3 0x1167 0x81af4b0e @@ -342414,6 +428018,8 @@ 1 1 0 +1 +0 4 0x3ac2 0xb13e89fd @@ -342422,6 +428028,8 @@ 0 0 0 +3 +5 2 0xb81d 0x25a9820c @@ -342430,6 +428038,8 @@ 1 1 0 +5 +1 1 0xfd3 0x6775570a @@ -342438,6 +428048,8 @@ 1 1 0 +2 +3 3 0xcc05 0xb2618688 @@ -342447,6 +428059,8 @@ 1 0 4 +0 +4 0xb98c 0x1acb751b 256 @@ -342455,6 +428069,8 @@ 0 0 4 +1 +4 0x5e63 0x69ee7d72 256 @@ -342462,6 +428078,8 @@ 1 1 0 +3 +2 1 0xa495 0xa1f1c51 @@ -342470,6 +428088,8 @@ 0 0 0 +4 +0 2 0x9c59 0x9230f0e7 @@ -342479,6 +428099,8 @@ 0 0 1 +3 +1 0x81a3 0xd77c780f 256 @@ -342486,6 +428108,8 @@ 0 0 0 +1 +0 3 0xa7af 0x8dc31351 @@ -342495,6 +428119,8 @@ 0 0 2 +2 +2 0xeb9 0x382472c8 256 @@ -342502,6 +428128,8 @@ 1 0 0 +0 +2 3 0x9a85 0xcfcf73e0 @@ -342510,6 +428138,8 @@ 0 0 0 +4 +1 1 0xfb36 0xb629c4e7 @@ -342519,6 +428149,8 @@ 0 0 1 +4 +1 0xa71a 0x10dfa96c 256 @@ -342527,6 +428159,8 @@ 0 0 2 +2 +2 0xbafb 0x41850a18 256 @@ -342535,6 +428169,8 @@ 0 0 1 +4 +1 0xa650 0x1dbc0482 256 @@ -342543,6 +428179,8 @@ 1 0 1 +5 +1 0x605b 0x12c38206 256 @@ -342550,6 +428188,8 @@ 0 0 0 +5 +0 1 0x6da2 0xa2a4d570 @@ -342558,6 +428198,8 @@ 1 0 0 +2 +5 1 0xcaab 0x8d20e263 @@ -342566,6 +428208,8 @@ 1 0 0 +0 +5 4 0xce06 0x85b2123e @@ -342574,6 +428218,8 @@ 0 0 0 +2 +1 3 0xc50 0xdfd1341c @@ -342582,6 +428228,8 @@ 1 0 0 +0 +1 1 0x3489 0x5a84d0ad @@ -342590,6 +428238,8 @@ 0 0 0 +4 +4 2 0xb321 0x756c419e @@ -342598,6 +428248,8 @@ 0 0 0 +3 +2 2 0x1461 0xc8adc274 @@ -342606,6 +428258,8 @@ 1 0 0 +1 +1 3 0x9853 0xfe295e84 @@ -342614,6 +428268,8 @@ 1 0 0 +5 +1 4 0x494b 0xa96a27d1 @@ -342623,6 +428279,8 @@ 0 0 4 +4 +4 0xa9fd 0x6eb6eb1f 256 @@ -342630,6 +428288,8 @@ 0 0 0 +0 +0 2 0x5910 0x5727d35 @@ -342639,6 +428299,8 @@ 0 0 2 +4 +2 0xef0a 0x3de0ca55 256 @@ -342646,6 +428308,8 @@ 0 0 0 +0 +4 4 0x1a77 0xf7d942b3 @@ -342655,6 +428319,8 @@ 0 0 3 +4 +3 0xff1f 0xd8da21f 256 @@ -342662,6 +428328,8 @@ 0 0 0 +5 +5 1 0xfb82 0xb64f90d9 @@ -342671,6 +428339,8 @@ 1 0 1 +0 +1 0x98f0 0x419a9f86 256 @@ -342678,6 +428348,8 @@ 0 0 0 +2 +3 4 0x725d 0x67d69393 @@ -342687,6 +428359,8 @@ 0 0 3 +3 +3 0xd507 0x4aaed7ed 256 @@ -342694,6 +428368,8 @@ 0 0 0 +4 +1 1 0x69f 0xc6626bde @@ -342702,6 +428378,8 @@ 0 0 0 +0 +2 4 0x9a80 0x68bd8f80 @@ -342711,6 +428389,8 @@ 1 0 3 +4 +3 0xd6a2 0x2693caf7 256 @@ -342719,6 +428399,8 @@ 0 0 3 +2 +3 0x9aa3 0x4959b0da 256 @@ -342726,6 +428408,8 @@ 1 0 0 +5 +3 4 0xd23a 0x6b6fdb29 @@ -342734,6 +428418,8 @@ 0 0 0 +4 +0 3 0x6ca2 0xf9a4020f @@ -342742,6 +428428,8 @@ 1 0 0 +4 +4 1 0xd44e 0x8c30d1e7 @@ -342750,6 +428438,8 @@ 0 0 0 +3 +3 2 0x5a8c 0x9780f5ba @@ -342759,6 +428449,8 @@ 1 0 1 +5 +1 0xe562 0x639f10da 256 @@ -342766,6 +428458,8 @@ 1 1 0 +4 +1 1 0x21fd 0x386561be @@ -342774,6 +428468,8 @@ 0 0 0 +1 +4 3 0x7f67 0xfda928c4 @@ -342782,6 +428478,8 @@ 0 0 0 +1 +3 2 0x4c61 0xd41d80fd @@ -342790,6 +428488,8 @@ 0 0 0 +0 +0 4 0xdabf 0x5d108527 @@ -342798,6 +428498,8 @@ 0 0 0 +1 +5 3 0x24de 0x44eff126 @@ -342806,6 +428508,8 @@ 0 0 0 +0 +1 1 0xd3f4 0xf4514bf3 @@ -342814,6 +428518,8 @@ 0 0 0 +4 +0 3 0xb0c9 0x10b3be56 @@ -342823,6 +428529,8 @@ 0 0 2 +0 +2 0xe352 0x8f04d1f0 256 @@ -342830,6 +428538,8 @@ 1 0 0 +1 +2 2 0x8318 0x198c7ab8 @@ -342839,6 +428549,8 @@ 0 0 1 +4 +1 0x210b 0xdaf14195 256 @@ -342846,6 +428558,8 @@ 0 0 0 +0 +5 1 0xfd0 0xa2c0a8eb @@ -342854,6 +428568,8 @@ 1 0 0 +5 +3 2 0xf22a 0xc37a17dc @@ -342862,6 +428578,8 @@ 1 0 0 +1 +5 4 0x826a 0x14160bcd @@ -342870,6 +428588,8 @@ 1 1 0 +5 +4 3 0x4e0b 0x741805a @@ -342878,6 +428598,8 @@ 0 0 0 +2 +2 4 0x9cc8 0x2227277b @@ -342886,6 +428608,8 @@ 0 0 0 +0 +1 4 0xb80f 0xf9416c81 @@ -342894,6 +428618,8 @@ 1 1 0 +2 +1 4 0x62d5 0x21327a32 @@ -342902,6 +428628,8 @@ 0 0 0 +5 +5 2 0xf6d9 0x792bc7ce @@ -342910,6 +428638,8 @@ 1 1 0 +3 +2 1 0x759e 0xd4d20941 @@ -342918,6 +428648,8 @@ 0 0 0 +0 +0 3 0x54c7 0x374d9021 @@ -342926,6 +428658,8 @@ 1 1 0 +4 +4 2 0xeca 0x387a4e73 @@ -342934,6 +428668,8 @@ 1 1 0 +0 +0 2 0xc008 0xc5e230fc @@ -342942,6 +428678,8 @@ 1 0 0 +2 +0 3 0x2244 0xb3487ad2 @@ -342950,6 +428688,8 @@ 0 0 0 +3 +5 4 0xbdb1 0x58f96393 @@ -342958,6 +428698,8 @@ 0 0 0 +4 +3 2 0xded 0x6c95b49 @@ -342967,6 +428709,8 @@ 0 0 4 +5 +4 0x1472 0x3dc659f7 256 @@ -342975,6 +428719,8 @@ 1 0 3 +0 +3 0xca94 0xe6d46090 256 @@ -342983,6 +428729,8 @@ 0 0 1 +3 +1 0x755f 0xcb6905bc 256 @@ -342990,6 +428738,8 @@ 1 1 0 +3 +4 4 0x83cf 0x28f6b95c @@ -342998,6 +428748,8 @@ 1 1 0 +4 +0 3 0x57a8 0x78a4262c @@ -343006,6 +428758,8 @@ 1 1 0 +5 +0 4 0x42d1 0x1178433b @@ -343014,6 +428768,8 @@ 0 0 0 +4 +1 3 0xe95f 0x3f3fcfa7 @@ -343023,6 +428779,8 @@ 0 0 3 +0 +3 0xf202 0x2bdb4889 256 @@ -343030,6 +428788,8 @@ 0 0 0 +3 +4 1 0x7b56 0xabff7dbb @@ -343039,6 +428799,8 @@ 0 0 1 +4 +1 0x4b28 0xacf26109 256 @@ -343046,6 +428808,8 @@ 0 0 0 +5 +3 1 0xf51e 0xbe8f08ce @@ -343054,6 +428818,8 @@ 0 0 0 +0 +5 4 0x3f64 0xa6b9bfae @@ -343062,6 +428828,8 @@ 1 1 0 +3 +1 2 0x4690 0x362c0d0d @@ -343070,6 +428838,8 @@ 1 1 0 +3 +2 1 0xcd2 0xce0ded53 @@ -343078,6 +428848,8 @@ 0 0 0 +5 +2 4 0x184d 0x301ebad0 @@ -343086,6 +428858,8 @@ 1 0 0 +1 +5 3 0xe6ee 0x433e0de8 @@ -343094,6 +428868,8 @@ 1 0 0 +2 +5 3 0xd049 0x1d64c73b @@ -343103,6 +428879,8 @@ 0 0 4 +3 +4 0xbd3c 0x72046963 256 @@ -343111,6 +428889,8 @@ 1 0 4 +3 +4 0x5a93 0x39b34900 256 @@ -343118,6 +428898,8 @@ 1 1 0 +5 +0 3 0x93ea 0xc961c1f3 @@ -343126,6 +428908,8 @@ 1 1 0 +5 +4 3 0xbe4f 0xe5fe09a @@ -343134,6 +428918,8 @@ 1 1 0 +1 +3 4 0x99c0 0xeae77864 @@ -343142,6 +428928,8 @@ 0 0 0 +5 +5 3 0x3f2d 0xb5366a42 @@ -343150,6 +428938,8 @@ 0 0 0 +0 +2 3 0x6958 0xd0e16c23 @@ -343159,6 +428949,8 @@ 0 0 4 +1 +4 0xe5ba 0xc6a347b7 256 @@ -343166,6 +428958,8 @@ 0 0 0 +4 +2 2 0x7ad7 0xeddaf687 @@ -343174,6 +428968,8 @@ 1 1 0 +4 +0 3 0x1ff 0xe91f65f6 @@ -343183,6 +428979,8 @@ 0 0 4 +4 +4 0x2f7e 0xabc82e4b 256 @@ -343190,6 +428988,8 @@ 1 0 0 +4 +0 2 0x6543 0x5b58ecaf @@ -343198,6 +428998,8 @@ 0 0 0 +1 +0 3 0xa0f5 0x3dd2bd69 @@ -343206,6 +429008,8 @@ 0 0 0 +2 +2 4 0xb2e5 0x96d829cb @@ -343214,6 +429018,8 @@ 0 0 0 +5 +3 3 0xf942 0xb9547270 @@ -343222,6 +429028,8 @@ 1 1 0 +1 +5 4 0xf493 0x2ef4bb60 @@ -343230,6 +429038,8 @@ 0 0 0 +2 +2 1 0xb26 0x1334be29 @@ -343238,6 +429048,8 @@ 0 0 0 +0 +0 4 0x5df4 0xef8ed88 @@ -343247,6 +429059,8 @@ 1 0 3 +1 +3 0xd6f 0x2b1630a3 256 @@ -343254,6 +429068,8 @@ 0 0 0 +0 +4 1 0xe06 0x5bf98f17 @@ -343262,6 +429078,8 @@ 0 0 0 +2 +0 1 0x3bb 0x4bd8dbf @@ -343271,6 +429089,8 @@ 0 0 3 +2 +3 0xdf24 0x7b76a6bc 256 @@ -343278,6 +429098,8 @@ 1 1 0 +2 +0 1 0xd053 0xe83b57f1 @@ -343286,6 +429108,8 @@ 0 0 0 +4 +0 2 0xb848 0x53892a32 @@ -343294,6 +429118,8 @@ 0 0 0 +5 +5 4 0x4f88 0x6aabd13e @@ -343302,6 +429128,8 @@ 0 0 0 +1 +4 3 0x51f 0xca6b462c @@ -343310,6 +429138,8 @@ 1 1 0 +4 +5 1 0xf1b8 0xeccbc121 @@ -343318,6 +429148,8 @@ 0 0 0 +5 +5 4 0xb0e4 0xbaebd668 @@ -343326,6 +429158,8 @@ 0 0 0 +2 +5 4 0x66c9 0x1573760d @@ -343334,6 +429168,8 @@ 0 0 0 +0 +0 4 0x9ecf 0x35b69abb @@ -343343,6 +429179,8 @@ 1 0 1 +3 +1 0x53a4 0xc5e44524 256 @@ -343350,6 +429188,8 @@ 1 0 0 +5 +2 3 0xa827 0xef042041 @@ -343358,6 +429198,8 @@ 0 0 0 +2 +5 1 0x704d 0x88481cd1 @@ -343367,6 +429209,8 @@ 0 0 3 +5 +3 0x396c 0x57a82418 256 @@ -343374,6 +429218,8 @@ 0 0 0 +1 +2 3 0x4457 0x22c4d1f0 @@ -343382,6 +429228,8 @@ 1 1 0 +4 +2 1 0x7288 0xa5e45f9d @@ -343391,6 +429239,8 @@ 0 0 3 +4 +3 0x421c 0xd40d8537 256 @@ -343398,6 +429248,8 @@ 0 0 0 +2 +5 4 0xb4af 0x1979a23 @@ -343406,6 +429258,8 @@ 1 0 0 +0 +1 3 0xebbf 0x4b84aba9 @@ -343415,6 +429269,8 @@ 0 0 1 +3 +1 0xf6d6 0xc5458873 256 @@ -343423,6 +429279,8 @@ 0 0 3 +1 +3 0xd83d 0xff296f4a 256 @@ -343430,6 +429288,8 @@ 1 1 0 +4 +4 3 0x58a7 0xa7557693 @@ -343439,6 +429299,8 @@ 0 0 4 +3 +4 0xa798 0xe6e62357 256 @@ -343447,6 +429309,8 @@ 1 0 1 +2 +1 0x6d50 0x6e453da0 256 @@ -343454,6 +429318,8 @@ 0 0 0 +5 +2 3 0x86c9 0x3573c282 @@ -343462,6 +429328,8 @@ 0 0 0 +3 +4 4 0x33c5 0xf241599b @@ -343470,6 +429338,8 @@ 1 1 0 +2 +2 1 0xf6b 0xd9733df9 @@ -343478,6 +429348,8 @@ 0 0 0 +2 +5 1 0xf45d 0xaf7aa516 @@ -343486,6 +429358,8 @@ 0 0 0 +2 +1 1 0xd6b4 0x37d59ff9 @@ -343494,6 +429368,8 @@ 0 0 0 +4 +1 2 0x5ba7 0x303b2234 @@ -343502,6 +429378,8 @@ 0 0 0 +3 +2 4 0x7a2c 0x9a5b2a8f @@ -343510,6 +429388,8 @@ 0 0 0 +4 +1 3 0x4706 0x847524ea @@ -343518,6 +429398,8 @@ 1 0 0 +1 +0 2 0xe3dd 0x26fb59a8 @@ -343526,6 +429408,8 @@ 1 1 0 +0 +5 4 0x6af8 0x70c460f6 @@ -343535,6 +429419,8 @@ 0 0 4 +1 +4 0x6ca8 0xbe7569b9 256 @@ -343543,6 +429429,8 @@ 0 0 3 +2 +3 0x7d29 0x16558e37 256 @@ -343550,6 +429438,8 @@ 1 0 0 +3 +3 1 0xe890 0xc0bd5d50 @@ -343558,6 +429448,8 @@ 0 0 0 +0 +0 4 0x1263 0x49801e2f @@ -343566,6 +429458,8 @@ 1 1 0 +0 +5 3 0xe926 0x6eed0f21 @@ -343574,6 +429468,8 @@ 1 1 0 +5 +2 3 0xf14c 0xaf225609 @@ -343582,6 +429478,8 @@ 0 0 0 +1 +3 4 0xb5f9 0xd72ea00 @@ -343590,6 +429488,8 @@ 1 1 0 +2 +3 1 0x72cf 0xcd4ef6da @@ -343598,6 +429498,8 @@ 1 0 0 +0 +4 2 0x9317 0x9eea72f7 @@ -343606,6 +429508,8 @@ 1 1 0 +0 +4 4 0x1e2c 0x4772ea52 @@ -343614,6 +429518,8 @@ 0 0 0 +5 +5 1 0x9899 0xb9d6fc3b @@ -343622,6 +429528,8 @@ 0 0 0 +1 +5 2 0xe21c 0x25d971dd @@ -343630,6 +429538,8 @@ 0 0 0 +3 +3 4 0xc0b7 0xc6854cd6 @@ -343638,6 +429548,8 @@ 1 1 0 +0 +4 2 0xa75e 0x44ca2f3e @@ -343646,6 +429558,8 @@ 0 0 0 +5 +2 1 0x1c6a 0x7b61db1b @@ -343654,6 +429568,8 @@ 0 0 0 +1 +2 4 0xb484 0x2d41bdc9 @@ -343662,6 +429578,8 @@ 0 0 0 +0 +1 1 0xf40f 0xc12943bd @@ -343670,6 +429588,8 @@ 0 0 0 +4 +4 3 0x3256 0x3b165c9f @@ -343679,6 +429599,8 @@ 0 0 1 +0 +1 0xa66b 0xac5e5562 256 @@ -343686,6 +429608,8 @@ 1 1 0 +0 +5 4 0x3133 0xa25da422 @@ -343694,6 +429618,8 @@ 1 0 0 +5 +2 1 0x384b 0x143a2d35 @@ -343702,6 +429628,8 @@ 1 1 0 +0 +0 2 0x5307 0xde516605 @@ -343710,6 +429638,8 @@ 1 0 0 +0 +1 3 0x2882 0xe7ffdc9e @@ -343719,6 +429649,8 @@ 1 0 1 +5 +1 0xf232 0x7697773 256 @@ -343726,6 +429658,8 @@ 1 0 0 +5 +4 4 0xe65e 0xca95da27 @@ -343734,6 +429668,8 @@ 1 1 0 +4 +5 3 0xa087 0x4fa792aa @@ -343742,6 +429678,8 @@ 1 1 0 +0 +4 3 0xa1ab 0x42a31044 @@ -343750,6 +429688,8 @@ 0 0 0 +4 +1 1 0xf603 0x1e2b7e1 @@ -343758,6 +429698,8 @@ 1 1 0 +5 +2 4 0x9826 0x9664ca37 @@ -343766,6 +429708,8 @@ 1 0 0 +4 +4 3 0xc1e4 0xe8d4165 @@ -343774,6 +429718,8 @@ 1 0 0 +0 +2 1 0x3c08 0x23446a4d @@ -343782,6 +429728,8 @@ 0 0 0 +0 +4 4 0xac6c 0x740c9bc9 @@ -343791,6 +429739,8 @@ 0 0 4 +3 +4 0x6289 0xd7390b9b 256 @@ -343798,6 +429748,8 @@ 1 0 0 +3 +3 4 0x7579 0xfc952db9 @@ -343806,6 +429758,8 @@ 0 0 0 +4 +1 2 0x4d5d 0xd71055b8 @@ -343814,6 +429768,8 @@ 0 0 0 +5 +5 3 0x21e5 0x1ef59578 @@ -343822,6 +429778,8 @@ 0 0 0 +3 +3 2 0x93d4 0x261e7beb @@ -343830,6 +429788,8 @@ 1 1 0 +5 +2 1 0x3584 0xff87cdff @@ -343838,6 +429798,8 @@ 1 1 0 +1 +2 3 0x812f 0xd83b920a @@ -343847,6 +429809,8 @@ 0 0 1 +5 +1 0x2ccb 0x788bb8f4 256 @@ -343854,6 +429818,8 @@ 1 0 0 +0 +4 4 0x1beb 0x7473195b @@ -343862,6 +429828,8 @@ 0 0 0 +0 +1 4 0x4b18 0xaf2abd86 @@ -343870,6 +429838,8 @@ 0 0 0 +1 +3 2 0x5e31 0x76f36e39 @@ -343878,6 +429848,8 @@ 1 1 0 +2 +2 1 0x906c 0x9029c1d5 @@ -343886,6 +429858,8 @@ 1 1 0 +2 +0 3 0x5457 0x58f63ec3 @@ -343894,6 +429868,8 @@ 0 0 0 +2 +4 3 0x29db 0xf5464a89 @@ -343902,6 +429878,8 @@ 0 0 0 +0 +0 3 0x568e 0xc4a6b69e @@ -343910,6 +429888,8 @@ 1 0 0 +5 +3 2 0xba4f 0x8a5b6ded @@ -343919,6 +429899,8 @@ 0 0 4 +0 +4 0x6b5b 0x69f1098c 256 @@ -343926,6 +429908,8 @@ 0 0 0 +0 +2 4 0x4d0c 0x60a7476 @@ -343934,6 +429918,8 @@ 0 0 0 +4 +1 3 0xd55c 0xba318b07 @@ -343942,6 +429928,8 @@ 0 0 0 +2 +5 4 0x4c1c 0x1636278 @@ -343950,6 +429938,8 @@ 0 0 0 +2 +1 1 0xf079 0x54c8886c @@ -343958,6 +429948,8 @@ 1 1 0 +1 +1 2 0xafcb 0xab9bc51d @@ -343967,6 +429959,8 @@ 1 0 1 +5 +1 0xedde 0x30c32c75 256 @@ -343974,6 +429968,8 @@ 1 0 0 +0 +3 2 0x2fd 0x63d5e732 @@ -343983,6 +429979,8 @@ 0 0 3 +2 +3 0xaacf 0x72dee418 256 @@ -343990,6 +429988,8 @@ 0 0 0 +0 +5 1 0x79ee 0x5486cb76 @@ -343999,6 +429999,8 @@ 1 0 2 +4 +2 0x789d 0x8db44961 256 @@ -344007,6 +430009,8 @@ 0 0 1 +0 +1 0x117b 0x95af7e9a 256 @@ -344014,6 +430018,8 @@ 0 0 0 +0 +1 2 0x7d24 0x94cdc5e3 @@ -344023,6 +430029,8 @@ 0 0 3 +5 +3 0x8f34 0x3dee7f0f 256 @@ -344030,6 +430038,8 @@ 1 1 0 +5 +0 4 0xf437 0x55688665 @@ -344038,6 +430048,8 @@ 0 0 0 +0 +4 1 0xc864 0x43387d55 @@ -344046,6 +430058,8 @@ 0 0 0 +3 +4 4 0xce10 0x814e2fac @@ -344054,6 +430068,8 @@ 1 1 0 +1 +0 4 0x2a07 0xba292a13 @@ -344062,6 +430078,8 @@ 0 0 0 +3 +5 4 0xa818 0x2edda017 @@ -344070,6 +430088,8 @@ 0 0 0 +5 +4 3 0xa2a8 0x79670567 @@ -344079,6 +430099,8 @@ 0 0 3 +1 +3 0xe4c6 0x814498b5 256 @@ -344086,6 +430108,8 @@ 1 1 0 +4 +5 1 0xb1bf 0x4fe8fbab @@ -344094,6 +430118,8 @@ 1 0 0 +0 +1 3 0x6980 0x57aa7134 @@ -344102,6 +430128,8 @@ 0 0 0 +2 +4 1 0xf958 0x83943c4b @@ -344110,6 +430138,8 @@ 0 0 0 +3 +0 1 0x97ff 0xa2bcdf31 @@ -344118,6 +430148,8 @@ 0 0 0 +4 +4 1 0x1eba 0xbb542e85 @@ -344126,6 +430158,8 @@ 0 0 0 +3 +3 4 0x3b9b 0x9be3685e @@ -344134,6 +430168,8 @@ 0 0 0 +0 +4 1 0x8d65 0xdba7ed6e @@ -344142,6 +430178,8 @@ 1 1 0 +4 +4 1 0x72a2 0x90a7e791 @@ -344151,6 +430189,8 @@ 0 0 3 +4 +3 0x341 0xd32aa3c4 256 @@ -344158,6 +430198,8 @@ 0 0 0 +4 +1 1 0x7ac7 0x3e350f4f @@ -344166,6 +430208,8 @@ 1 0 0 +0 +4 1 0x6069 0xf9e8451b @@ -344174,6 +430218,8 @@ 1 1 0 +3 +0 1 0x766f 0x1b1703d0 @@ -344182,6 +430228,8 @@ 0 0 0 +5 +0 2 0x9f50 0x255af832 @@ -344191,6 +430239,8 @@ 0 0 4 +1 +4 0xe132 0xe61a70b3 256 @@ -344198,6 +430248,8 @@ 1 0 0 +5 +1 4 0x9067 0x41956af7 @@ -344207,6 +430259,8 @@ 0 0 4 +2 +4 0xba8c 0x73c10010 256 @@ -344214,6 +430268,8 @@ 0 0 0 +2 +3 4 0x9113 0xc79dc111 @@ -344223,6 +430279,8 @@ 0 0 3 +1 +3 0xdc58 0x83483e7a 256 @@ -344230,6 +430288,8 @@ 0 0 0 +3 +0 4 0xc958 0xb6a6d1de @@ -344238,6 +430298,8 @@ 0 0 0 +1 +2 4 0x5039 0xa19192bc @@ -344246,6 +430308,8 @@ 1 0 0 +0 +1 1 0xd3bf 0xdaaff40f @@ -344254,6 +430318,8 @@ 1 0 0 +4 +0 1 0xaa57 0xd48dbc72 @@ -344263,6 +430329,8 @@ 0 0 4 +5 +4 0x2e 0xcfa70479 256 @@ -344270,6 +430338,8 @@ 1 0 0 +5 +0 4 0x2321 0x2696d81f @@ -344278,6 +430348,8 @@ 0 0 0 +0 +1 1 0x1d48 0xa7c07497 @@ -344286,6 +430358,8 @@ 1 1 0 +2 +4 1 0xa1de 0x9631afc6 @@ -344294,6 +430368,8 @@ 1 0 0 +5 +5 2 0x62a4 0xcb087ab2 @@ -344302,6 +430378,8 @@ 1 1 0 +4 +5 3 0xbf94 0xb5a1cef9 @@ -344311,6 +430389,8 @@ 0 0 2 +1 +2 0xe125 0xa7fd312b 256 @@ -344318,6 +430398,8 @@ 1 0 0 +0 +2 4 0xc9f0 0x311b69b @@ -344326,6 +430408,8 @@ 0 0 0 +5 +2 3 0x6b1 0xb9e6d199 @@ -344334,6 +430418,8 @@ 1 0 0 +5 +0 1 0x805d 0x277c07 @@ -344343,6 +430429,8 @@ 1 0 4 +3 +4 0x8748 0xbe00eba1 256 @@ -344350,6 +430438,8 @@ 0 0 0 +0 +3 2 0x86b8 0xdb87ecd5 @@ -344358,6 +430448,8 @@ 0 0 0 +5 +3 2 0x3719 0xbd5cdd39 @@ -344366,6 +430458,8 @@ 1 0 0 +0 +2 2 0x1de5 0x1d54acac @@ -344374,6 +430468,8 @@ 1 0 0 +1 +3 4 0xf36a 0x601b33bf @@ -344382,6 +430478,8 @@ 0 0 0 +0 +2 3 0xb635 0x69c7d966 @@ -344390,6 +430488,8 @@ 0 0 0 +2 +2 4 0x2d 0x7568e4a6 @@ -344399,6 +430499,8 @@ 0 0 1 +1 +1 0x76e4 0x7d6bb80b 256 @@ -344406,6 +430508,8 @@ 1 1 0 +0 +0 3 0x6da7 0x577e859e @@ -344415,6 +430519,8 @@ 0 0 3 +3 +3 0xe3e5 0xd30d2232 256 @@ -344422,6 +430528,8 @@ 0 0 0 +4 +0 2 0xba58 0x236e74b0 @@ -344430,6 +430538,8 @@ 0 0 0 +5 +5 3 0xaa1c 0x70513ce5 @@ -344439,6 +430549,8 @@ 1 0 2 +4 +2 0x50d7 0x87de9cd9 256 @@ -344446,6 +430558,8 @@ 0 0 0 +4 +2 2 0x2819 0xc4d29143 @@ -344454,6 +430568,8 @@ 1 0 0 +1 +4 4 0x82a 0x9cd88142 @@ -344463,6 +430579,8 @@ 1 0 3 +0 +3 0xb53d 0xc45286b7 256 @@ -344470,6 +430588,8 @@ 1 1 0 +0 +1 3 0x7829 0x99156a4b @@ -344478,6 +430598,8 @@ 0 0 0 +1 +4 2 0x4ae6 0x4b5ee4e4 @@ -344486,6 +430608,8 @@ 0 0 0 +4 +2 3 0x2e89 0xcf929428 @@ -344494,6 +430618,8 @@ 1 0 0 +2 +5 1 0xf09d 0xbecb7ef3 @@ -344503,6 +430629,8 @@ 1 0 1 +2 +1 0x99c2 0x3fc7cb56 256 @@ -344511,6 +430639,8 @@ 0 0 2 +0 +2 0x4fec 0x6a5f9e4d 256 @@ -344518,6 +430648,8 @@ 1 1 0 +5 +3 3 0xa616 0x2fdce9f7 @@ -344526,6 +430658,8 @@ 0 0 0 +2 +2 3 0xa6c2 0x9a1e00b6 @@ -344534,6 +430668,8 @@ 1 1 0 +1 +5 3 0xd95b 0x8b01512f @@ -344542,6 +430678,8 @@ 0 0 0 +5 +4 4 0x6bb2 0xf8dc3142 @@ -344550,6 +430688,8 @@ 1 0 0 +2 +2 3 0x3c12 0x351737ac @@ -344558,6 +430698,8 @@ 0 0 0 +2 +2 4 0x1a9f 0x5fc63d43 @@ -344566,6 +430708,8 @@ 1 1 0 +1 +3 4 0x4fbd 0xe5a3c25f @@ -344574,6 +430718,8 @@ 1 1 0 +3 +1 1 0xd3f7 0x8d5d39c1 @@ -344582,6 +430728,8 @@ 0 0 0 +4 +2 1 0x5379 0xd188c1c5 @@ -344591,6 +430739,8 @@ 0 0 1 +0 +1 0xd894 0x336c40fa 256 @@ -344598,6 +430748,8 @@ 1 0 0 +0 +4 2 0xd2b4 0xe2127bdc @@ -344607,6 +430759,8 @@ 1 0 2 +2 +2 0x67c1 0xd8f75ea4 256 @@ -344614,6 +430768,8 @@ 1 1 0 +4 +5 1 0x8361 0x7bb3d862 @@ -344622,6 +430778,8 @@ 0 0 0 +3 +1 1 0x5c37 0x23c6f915 @@ -344630,6 +430788,8 @@ 0 0 0 +5 +5 2 0xdbcc 0xf63cefd3 @@ -344639,6 +430799,8 @@ 0 0 4 +0 +4 0x60ad 0x88b236fb 256 @@ -344647,6 +430809,8 @@ 1 0 3 +0 +3 0xbdb8 0xc5c0580c 256 @@ -344654,6 +430818,8 @@ 1 0 0 +4 +0 2 0xb694 0xee142fd9 @@ -344662,6 +430828,8 @@ 1 0 0 +3 +4 2 0x7fae 0x9cf6d1b2 @@ -344670,6 +430838,8 @@ 0 0 0 +5 +1 3 0x202f 0x41b800d4 @@ -344678,6 +430848,8 @@ 1 0 0 +3 +5 1 0x4102 0xf2c18702 @@ -344686,6 +430858,8 @@ 1 0 0 +5 +5 1 0x800d 0xe4d68ff5 @@ -344694,6 +430868,8 @@ 0 0 0 +1 +5 4 0xa5d6 0xfe2bc1c3 @@ -344702,6 +430878,8 @@ 0 0 0 +0 +5 2 0x6b8f 0x31cd912f @@ -344710,6 +430888,8 @@ 1 1 0 +1 +4 2 0xedfa 0xb8de2c09 @@ -344718,6 +430898,8 @@ 0 0 0 +5 +2 3 0xe3d9 0x3f5fb7 @@ -344726,6 +430908,8 @@ 1 0 0 +5 +1 2 0xfb20 0xbf21baae @@ -344734,6 +430918,8 @@ 1 1 0 +4 +0 2 0x53cd 0x745447f0 @@ -344742,6 +430928,8 @@ 0 0 0 +0 +5 3 0x8c69 0xaf1f3c3d @@ -344750,6 +430938,8 @@ 1 0 0 +0 +2 3 0x58c7 0xe9912c71 @@ -344758,6 +430948,8 @@ 0 0 0 +0 +4 3 0xc31d 0x88f673bd @@ -344766,6 +430958,8 @@ 0 0 0 +5 +2 3 0xe679 0x4576858e @@ -344774,6 +430968,8 @@ 0 0 0 +3 +3 2 0x16f6 0xdc6c6e95 @@ -344782,6 +430978,8 @@ 0 0 0 +4 +0 1 0x45df 0x6b230619 @@ -344790,6 +430988,8 @@ 0 0 0 +0 +2 2 0xc65d 0x7c1a8858 @@ -344799,6 +430999,8 @@ 1 0 3 +5 +3 0xb39c 0x52cec551 256 @@ -344806,6 +431008,8 @@ 0 0 0 +1 +3 3 0x9e0d 0x7ed8dc63 @@ -344814,6 +431018,8 @@ 1 0 0 +5 +0 2 0xac52 0x6c39a6cd @@ -344823,6 +431029,8 @@ 0 0 4 +2 +4 0x4396 0xeff3492b 256 @@ -344831,6 +431039,8 @@ 0 0 1 +1 +1 0xff2e 0xf6fab78c 256 @@ -344838,6 +431048,8 @@ 1 1 0 +5 +0 3 0x63ff 0xe938bc7a @@ -344847,6 +431059,8 @@ 0 0 4 +0 +4 0x4616 0xa232f3a8 256 @@ -344854,6 +431068,8 @@ 1 1 0 +4 +1 1 0x9562 0xa12b7e80 @@ -344862,6 +431078,8 @@ 1 0 0 +3 +2 4 0x147c 0x2ba09f85 @@ -344870,6 +431088,8 @@ 1 0 0 +1 +5 2 0x8a5f 0xc1f4a60 @@ -344879,6 +431099,8 @@ 1 0 1 +5 +1 0xa6c0 0xf6b468bb 256 @@ -344886,6 +431108,8 @@ 1 0 0 +0 +2 1 0xade9 0xa5e9437f @@ -344894,6 +431118,8 @@ 0 0 0 +0 +3 2 0xbee4 0x7da9ab99 @@ -344902,6 +431128,8 @@ 0 0 0 +0 +5 4 0x17af 0x1f6aec7d @@ -344910,6 +431138,8 @@ 1 0 0 +4 +5 2 0xb1a3 0xe54e6847 @@ -344918,6 +431148,8 @@ 0 0 0 +4 +2 1 0x666f 0xa6931e4a @@ -344926,6 +431158,8 @@ 1 0 0 +5 +0 3 0x25ac 0x7be93e05 @@ -344934,6 +431168,8 @@ 1 1 0 +4 +0 3 0xf946 0x7e3582ed @@ -344942,6 +431178,8 @@ 1 1 0 +0 +5 3 0xe48e 0x77a6674c @@ -344951,6 +431189,8 @@ 1 0 1 +5 +1 0x9d1c 0xc290aa38 256 @@ -344958,6 +431198,8 @@ 0 0 0 +0 +3 4 0xb420 0x901ee1a6 @@ -344967,6 +431209,8 @@ 0 0 2 +1 +2 0x88e0 0x6bc6f0c3 256 @@ -344974,6 +431218,8 @@ 0 0 0 +4 +1 3 0xad05 0x78ef789d @@ -344982,6 +431228,8 @@ 1 0 0 +4 +1 3 0x82b0 0x7ae93a69 @@ -344991,6 +431239,8 @@ 1 0 4 +5 +4 0x9e81 0x9c4f5b44 256 @@ -344998,6 +431248,8 @@ 0 0 0 +3 +3 4 0x49a8 0x5728ba54 @@ -345006,6 +431258,8 @@ 1 1 0 +0 +3 4 0x6816 0xe0f2c58a @@ -345014,6 +431268,8 @@ 0 0 0 +4 +2 1 0xd866 0x185c175a @@ -345022,6 +431278,8 @@ 1 0 0 +1 +5 4 0x926a 0x6092ce47 @@ -345030,6 +431288,8 @@ 0 0 0 +5 +2 4 0x3f73 0x4d0086 @@ -345038,6 +431298,8 @@ 0 0 0 +3 +3 1 0x4fc8 0x6f4deb84 @@ -345046,6 +431308,8 @@ 1 1 0 +2 +3 4 0x4ddc 0x65e97c1e @@ -345054,6 +431318,8 @@ 0 0 0 +0 +0 3 0x7780 0x73f42ba9 @@ -345063,6 +431329,8 @@ 0 0 1 +5 +1 0x7620 0x2d7c2beb 256 @@ -345070,6 +431338,8 @@ 0 0 0 +1 +5 3 0xa948 0x9d5c3790 @@ -345078,6 +431348,8 @@ 1 1 0 +3 +2 4 0xac4b 0xa7b3dbaf @@ -345086,6 +431358,8 @@ 1 0 0 +4 +4 2 0x9d4b 0x5f235ab0 @@ -345094,6 +431368,8 @@ 0 0 0 +5 +5 2 0x29f4 0x991fd12f @@ -345103,6 +431379,8 @@ 0 0 4 +0 +4 0xf7be 0xe278d19c 256 @@ -345110,6 +431388,8 @@ 0 0 0 +5 +5 4 0x3073 0x7ed96d5e @@ -345118,6 +431398,8 @@ 0 0 0 +0 +3 4 0xc405 0xd92134b8 @@ -345126,6 +431408,8 @@ 1 0 0 +5 +3 2 0xc7f7 0xb15c3dc1 @@ -345134,6 +431418,8 @@ 0 0 0 +3 +0 4 0xb4a9 0xc6bfabc0 @@ -345142,6 +431428,8 @@ 1 1 0 +3 +3 1 0xaa19 0xaf59eacb @@ -345150,6 +431438,8 @@ 1 1 0 +1 +1 3 0xb715 0xfed7ff3 @@ -345158,6 +431448,8 @@ 0 0 0 +2 +2 3 0xdae5 0x6cd926b0 @@ -345167,6 +431459,8 @@ 0 0 1 +0 +1 0x3a83 0xb06b19de 256 @@ -345174,6 +431468,8 @@ 0 0 0 +3 +1 2 0x3b35 0x40edeb98 @@ -345182,6 +431478,8 @@ 0 0 0 +1 +1 3 0xf7dd 0x5062ccb4 @@ -345190,6 +431488,8 @@ 0 0 0 +5 +0 3 0x5dea 0x75140ea6 @@ -345198,6 +431498,8 @@ 1 0 0 +2 +4 1 0x41eb 0xc8313b8f @@ -345206,6 +431508,8 @@ 0 0 0 +0 +3 2 0xf16a 0x5ca08a48 @@ -345215,6 +431519,8 @@ 0 0 3 +5 +3 0x74b 0x527b0126 256 @@ -345222,6 +431528,8 @@ 1 0 0 +0 +3 1 0x6333 0x908d8a78 @@ -345230,6 +431538,8 @@ 0 0 0 +3 +1 2 0xa7b3 0xf50260ca @@ -345238,6 +431548,8 @@ 0 0 0 +0 +0 2 0x5726 0x2c3b726b @@ -345246,6 +431558,8 @@ 0 0 0 +5 +3 3 0x6e97 0xd4477c2a @@ -345254,6 +431568,8 @@ 1 1 0 +3 +1 4 0xb644 0x79d5c167 @@ -345262,6 +431578,8 @@ 1 1 0 +3 +4 4 0x3e26 0x6005aa5d @@ -345270,6 +431588,8 @@ 0 0 0 +2 +4 3 0x5478 0x5fcb1435 @@ -345278,6 +431598,8 @@ 1 0 0 +1 +5 4 0xbd5d 0xb9711785 @@ -345286,6 +431608,8 @@ 1 0 0 +1 +3 3 0xc021 0x53a097aa @@ -345294,6 +431618,8 @@ 1 1 0 +0 +4 3 0x53a3 0x53a7bab8 @@ -345302,6 +431628,8 @@ 1 1 0 +1 +4 2 0xa263 0x67313942 @@ -345310,6 +431638,8 @@ 1 1 0 +2 +3 3 0x11c5 0x5776e69 @@ -345318,6 +431648,8 @@ 0 0 0 +0 +3 4 0xc9e 0x53ad5b0b @@ -345326,6 +431658,8 @@ 0 0 0 +4 +0 3 0xa713 0x3d9fcfee @@ -345334,6 +431668,8 @@ 1 1 0 +2 +0 4 0x182f 0x488f9ddd @@ -345342,6 +431678,8 @@ 0 0 0 +3 +2 4 0xd78a 0x4cadbe65 @@ -345350,6 +431688,8 @@ 1 0 0 +1 +3 2 0x2977 0xf42fa3ab @@ -345359,6 +431699,8 @@ 1 0 4 +3 +4 0x3f83 0x581f0d14 256 @@ -345366,6 +431708,8 @@ 1 0 0 +1 +0 3 0x4bbe 0xfc0de004 @@ -345374,6 +431718,8 @@ 1 1 0 +5 +2 4 0xd2d8 0x490ae086 @@ -345383,6 +431729,8 @@ 1 0 1 +1 +1 0x4e9 0xc66c5f7 256 @@ -345390,6 +431738,8 @@ 0 0 0 +4 +5 2 0x34ce 0x8cce0d24 @@ -345399,6 +431749,8 @@ 0 0 4 +2 +4 0x70c8 0x2679731c 256 @@ -345406,6 +431758,8 @@ 1 0 0 +4 +1 2 0x6d92 0xbb79473e @@ -345414,6 +431768,8 @@ 1 0 0 +0 +5 1 0xee3f 0x3a6c0260 @@ -345422,6 +431778,8 @@ 0 0 0 +1 +0 2 0xb05f 0xbb631573 @@ -345430,6 +431788,8 @@ 1 1 0 +2 +4 3 0x60f9 0x2df6cc09 @@ -345439,6 +431799,8 @@ 0 0 1 +1 +1 0x62f4 0x1cc54612 256 @@ -345447,6 +431809,8 @@ 0 0 1 +4 +1 0xb387 0xc5007cc6 256 @@ -345454,6 +431818,8 @@ 0 0 0 +0 +5 3 0x2762 0xb9c9c322 @@ -345462,6 +431828,8 @@ 1 1 0 +0 +0 2 0x215a 0x782ab6d7 @@ -345470,6 +431838,8 @@ 1 0 0 +1 +1 3 0x3f76 0x1e6244d0 @@ -345478,6 +431848,8 @@ 0 0 0 +1 +5 3 0xae8e 0x3c3cebab @@ -345487,6 +431859,8 @@ 1 0 3 +3 +3 0x1f71 0x73ba4807 256 @@ -345494,6 +431868,8 @@ 1 1 0 +1 +5 2 0xccdc 0xb42e0e14 @@ -345503,6 +431879,8 @@ 0 0 4 +4 +4 0xdaaa 0x84e25a2a 256 @@ -345511,6 +431889,8 @@ 0 0 2 +1 +2 0x34a4 0x7a38e075 256 @@ -345518,6 +431898,8 @@ 0 0 0 +0 +2 2 0x746d 0x4db80499 @@ -345526,6 +431908,8 @@ 0 0 0 +3 +2 2 0xaadf 0x69fccb14 @@ -345534,6 +431918,8 @@ 0 0 0 +5 +0 4 0xc6c8 0xf74da004 @@ -345543,6 +431929,8 @@ 0 0 3 +0 +3 0xe0cc 0x8cebdd0f 256 @@ -345550,6 +431938,8 @@ 1 1 0 +5 +3 4 0x55b7 0x2759491a @@ -345558,6 +431948,8 @@ 1 0 0 +3 +3 1 0xa2ed 0xd6aafa5e @@ -345567,6 +431959,8 @@ 0 0 4 +0 +4 0xfd50 0x7efb61bf 256 @@ -345574,6 +431968,8 @@ 0 0 0 +3 +5 4 0xb5d2 0xe3a974f8 @@ -345582,6 +431978,8 @@ 1 1 0 +5 +0 2 0xa15d 0x115a2be9 @@ -345590,6 +431988,8 @@ 1 0 0 +4 +3 2 0x79b8 0x70d142a8 @@ -345598,6 +431998,8 @@ 1 1 0 +1 +0 3 0x5be4 0x69a241d5 @@ -345606,6 +432008,8 @@ 1 1 0 +4 +4 3 0x2d0b 0xda0a31c @@ -345614,6 +432018,8 @@ 1 0 0 +5 +1 3 0xd1f7 0x6ab5a44b @@ -345622,6 +432028,8 @@ 0 0 0 +4 +0 3 0xb1a5 0x30f1acc8 @@ -345630,6 +432038,8 @@ 0 0 0 +2 +3 4 0x8cb1 0x69afddd0 @@ -345638,6 +432048,8 @@ 1 0 0 +1 +1 2 0x528e 0x2255e44e @@ -345646,6 +432058,8 @@ 1 0 0 +1 +5 3 0xda02 0x28fed7fa @@ -345654,6 +432068,8 @@ 1 1 0 +3 +3 2 0xd5f1 0xf5933ea4 @@ -345663,6 +432079,8 @@ 0 0 1 +3 +1 0x3b7b 0x5d22ad0d 256 @@ -345670,6 +432088,8 @@ 0 0 0 +2 +5 3 0x3021 0x4348aeaa @@ -345678,6 +432098,8 @@ 0 0 0 +0 +1 1 0xa01c 0x311c6534 @@ -345686,6 +432108,8 @@ 1 1 0 +5 +4 1 0xcbb9 0x159906c7 @@ -345694,6 +432118,8 @@ 1 0 0 +0 +3 3 0x375 0x1b9a7d4d @@ -345702,6 +432128,8 @@ 1 0 0 +4 +4 2 0xf960 0x310b3d65 @@ -345711,6 +432139,8 @@ 1 0 4 +5 +4 0x7da3 0xfc3e761b 256 @@ -345718,6 +432148,8 @@ 0 0 0 +1 +0 2 0xfba2 0x56b867e8 @@ -345726,6 +432158,8 @@ 0 0 0 +0 +2 1 0xefb3 0xdd5a26fc @@ -345735,6 +432169,8 @@ 0 0 3 +0 +3 0x686d 0x66ca5343 256 @@ -345742,6 +432178,8 @@ 0 0 0 +1 +5 4 0xb267 0x8520500d @@ -345750,6 +432188,8 @@ 0 0 0 +5 +2 3 0x5905 0x47a02fab @@ -345759,6 +432199,8 @@ 0 0 1 +2 +1 0xbf0f 0x8eff8c0b 256 @@ -345766,6 +432208,8 @@ 1 1 0 +5 +2 4 0xbe7e 0x76b6e1dd @@ -345774,6 +432218,8 @@ 0 0 0 +2 +1 3 0xa296 0xd1bd57e2 @@ -345782,6 +432228,8 @@ 0 0 0 +2 +2 4 0x4566 0xb04f6c83 @@ -345790,6 +432238,8 @@ 0 0 0 +5 +2 4 0xd19d 0x6685129a @@ -345799,6 +432249,8 @@ 0 0 4 +5 +4 0x2b65 0x48c8a088 256 @@ -345806,6 +432258,8 @@ 1 0 0 +3 +4 1 0xed00 0x2d069043 @@ -345814,6 +432268,8 @@ 1 1 0 +2 +3 1 0xf06e 0x3e16afde @@ -345822,6 +432278,8 @@ 0 0 0 +0 +4 3 0x90dd 0x38b1d894 @@ -345830,6 +432288,8 @@ 1 1 0 +1 +5 4 0x781c 0x3754fa14 @@ -345838,6 +432298,8 @@ 1 0 0 +4 +2 2 0x4659 0xaeaf8af7 @@ -345847,6 +432309,8 @@ 0 0 3 +4 +3 0xbb55 0xd6cbb546 256 @@ -345854,6 +432318,8 @@ 1 1 0 +1 +4 4 0xc5ff 0x2046deee @@ -345863,6 +432329,8 @@ 0 0 1 +0 +1 0x580e 0xf44434bb 256 @@ -345870,6 +432338,8 @@ 1 0 0 +5 +0 4 0x3a49 0xf4e8132c @@ -345878,6 +432348,8 @@ 0 0 0 +5 +3 1 0x24fb 0xb89c6856 @@ -345886,6 +432358,8 @@ 0 0 0 +1 +5 4 0x33dd 0x3c93dcfc @@ -345894,6 +432368,8 @@ 1 0 0 +1 +0 2 0x1faa 0x86a4af72 @@ -345902,6 +432378,8 @@ 1 1 0 +4 +2 1 0x82c1 0x855831c6 @@ -345910,6 +432388,8 @@ 1 0 0 +5 +5 4 0xc7b4 0x8fb5e21d @@ -345919,6 +432399,8 @@ 0 0 3 +0 +3 0x306f 0xd98eecc7 256 @@ -345926,6 +432408,8 @@ 0 0 0 +0 +0 4 0xfba1 0xefbc76e2 @@ -345934,6 +432418,8 @@ 0 0 0 +0 +4 4 0x3c34 0xa1154e96 @@ -345942,6 +432428,8 @@ 0 0 0 +1 +1 3 0xe350 0x6699218d @@ -345950,6 +432438,8 @@ 1 1 0 +3 +4 2 0x536a 0xe5121eb3 @@ -345959,6 +432449,8 @@ 0 0 4 +1 +4 0xd79b 0xcd0cb4f 256 @@ -345966,6 +432458,8 @@ 0 0 0 +5 +5 4 0xb51b 0xe1df18a0 @@ -345975,6 +432469,8 @@ 1 0 1 +3 +1 0x409c 0xefcf5130 256 @@ -345982,6 +432478,8 @@ 1 0 0 +0 +2 2 0x542c 0xe84d0653 @@ -345990,6 +432488,8 @@ 1 0 0 +3 +0 4 0x6b4 0x9b1b891e @@ -345998,6 +432498,8 @@ 0 0 0 +2 +0 4 0x606 0xb541506c @@ -346006,6 +432508,8 @@ 0 0 0 +0 +5 2 0xb42c 0xa7d5b482 @@ -346014,6 +432518,8 @@ 1 0 0 +4 +2 1 0xa57e 0xc549738d @@ -346022,6 +432528,8 @@ 0 0 0 +2 +3 1 0xcfbe 0x78fb692 @@ -346030,6 +432538,8 @@ 0 0 0 +4 +4 3 0xfb5 0x1f327119 @@ -346038,6 +432548,8 @@ 1 0 0 +5 +4 3 0x29e3 0x436c11a1 @@ -346046,6 +432558,8 @@ 0 0 0 +0 +1 2 0x7349 0x249035f2 @@ -346054,6 +432568,8 @@ 0 0 0 +0 +2 3 0x9b0c 0x622ec090 @@ -346062,6 +432578,8 @@ 0 0 0 +5 +5 1 0xc693 0xfa2f7aac @@ -346070,6 +432588,8 @@ 1 0 0 +1 +1 4 0x6129 0xf5afbcc0 @@ -346078,6 +432598,8 @@ 0 0 0 +5 +3 1 0x872b 0x74b7fccc @@ -346086,6 +432608,8 @@ 1 1 0 +0 +2 4 0xea3c 0xf49e220d @@ -346094,6 +432618,8 @@ 0 0 0 +1 +4 4 0x3d8b 0x702ae9dc @@ -346102,6 +432628,8 @@ 1 0 0 +1 +3 2 0x6a8a 0xf825a9a4 @@ -346111,6 +432639,8 @@ 1 0 4 +1 +4 0x8166 0x4ef4852a 256 @@ -346118,6 +432648,8 @@ 1 0 0 +1 +5 3 0xd866 0xdfdd86ed @@ -346127,6 +432659,8 @@ 0 0 1 +5 +1 0x1570 0x1a7ed31e 256 @@ -346134,6 +432668,8 @@ 0 0 0 +1 +3 4 0x9327 0x27b68b41 @@ -346142,6 +432678,8 @@ 1 1 0 +1 +4 4 0xc494 0x817e29cb @@ -346150,6 +432688,8 @@ 0 0 0 +4 +2 2 0x6b04 0x88d23c22 @@ -346158,6 +432698,8 @@ 0 0 0 +5 +3 1 0x1e56 0xb5bafdb8 @@ -346166,6 +432708,8 @@ 0 0 0 +0 +1 2 0x5852 0xa607c102 @@ -346175,6 +432719,8 @@ 0 0 2 +3 +2 0x281d 0xf99ed7b 256 @@ -346182,6 +432728,8 @@ 0 0 0 +0 +0 3 0x2cc0 0xec6933cd @@ -346190,6 +432738,8 @@ 0 0 0 +0 +0 4 0x8df3 0xe3a4249d @@ -346198,6 +432748,8 @@ 1 0 0 +3 +0 4 0x8975 0xf5064005 @@ -346206,6 +432758,8 @@ 1 0 0 +2 +3 4 0x9a6e 0xe22a91cd @@ -346214,6 +432768,8 @@ 1 0 0 +2 +0 3 0x9487 0xf31a4f86 @@ -346222,6 +432778,8 @@ 0 0 0 +0 +2 2 0x46f4 0x303bc7c7 @@ -346230,6 +432788,8 @@ 1 1 0 +5 +2 2 0x58be 0xeed5d200 @@ -346238,6 +432798,8 @@ 0 0 0 +1 +5 3 0xa737 0xf2e2c688 @@ -346246,6 +432808,8 @@ 1 0 0 +4 +2 2 0x45fb 0x56691f85 @@ -346254,6 +432818,8 @@ 0 0 0 +0 +5 3 0x9ced 0x454c8883 @@ -346262,6 +432828,8 @@ 1 0 0 +5 +2 1 0x3ab6 0x79c084bc @@ -346270,6 +432838,8 @@ 1 1 0 +4 +5 2 0xc461 0x4a343042 @@ -346278,6 +432848,8 @@ 1 0 0 +0 +4 4 0x84d2 0x9431ec26 @@ -346286,6 +432858,8 @@ 0 0 0 +1 +4 4 0x4966 0x226c713a @@ -346294,6 +432868,8 @@ 0 0 0 +4 +1 3 0x6018 0xb6df4a2a @@ -346303,6 +432879,8 @@ 1 0 2 +2 +2 0x741b 0x1c0cd659 256 @@ -346310,6 +432888,8 @@ 0 0 0 +1 +4 3 0x2713 0xd3fffa97 @@ -346318,6 +432898,8 @@ 0 0 0 +0 +0 4 0x6f08 0x6ee93a60 @@ -346326,6 +432908,8 @@ 0 0 0 +4 +3 2 0x9e0b 0x7a0a713e @@ -346334,6 +432918,8 @@ 1 0 0 +0 +1 4 0xbaa7 0x83937906 @@ -346342,6 +432928,8 @@ 0 0 0 +3 +1 2 0x477b 0x5ff20241 @@ -346350,6 +432938,8 @@ 1 1 0 +3 +3 2 0xde4c 0xbfbb7226 @@ -346358,6 +432948,8 @@ 1 0 0 +3 +4 4 0xf1a9 0x954a6fb7 @@ -346366,6 +432958,8 @@ 1 1 0 +4 +3 3 0x9012 0x319c6575 @@ -346374,6 +432968,8 @@ 0 0 0 +2 +4 3 0x7b73 0x28ff64 @@ -346382,6 +432978,8 @@ 0 0 0 +3 +1 1 0x851f 0x1d3850f6 @@ -346390,6 +432988,8 @@ 1 1 0 +1 +3 3 0x1e69 0xd9170d09 @@ -346398,6 +432998,8 @@ 1 1 0 +0 +5 1 0x450f 0x6f8a230b @@ -346406,6 +433008,8 @@ 1 0 0 +4 +2 3 0x2bfa 0x11116ffd @@ -346414,6 +433018,8 @@ 0 0 0 +3 +2 2 0x7d59 0xb997c91d @@ -346423,6 +433029,8 @@ 0 0 3 +4 +3 0xb67d 0x153f13c7 256 @@ -346430,6 +433038,8 @@ 1 0 0 +1 +4 3 0x170c 0xbc41ae84 @@ -346439,6 +433049,8 @@ 1 0 4 +2 +4 0xce19 0xcf8fc0bf 256 @@ -346447,6 +433059,8 @@ 0 0 2 +4 +2 0xb0de 0x8787709d 256 @@ -346454,6 +433068,8 @@ 1 1 0 +2 +1 3 0x4418 0xe8e8ec52 @@ -346462,6 +433078,8 @@ 1 1 0 +4 +1 1 0xe891 0x80439920 @@ -346471,6 +433089,8 @@ 0 0 1 +2 +1 0x71e8 0x8b85da92 256 @@ -346479,6 +433099,8 @@ 0 0 3 +1 +3 0x5d73 0xdd924a11 256 @@ -346486,6 +433108,8 @@ 0 0 0 +5 +0 2 0x9c65 0x55b1f51 @@ -346495,6 +433119,8 @@ 0 0 2 +5 +2 0x787d 0xf1d89b4d 256 @@ -346502,6 +433128,8 @@ 0 0 0 +4 +0 1 0xa376 0xde3df9d3 @@ -346510,6 +433138,8 @@ 1 1 0 +5 +0 2 0xe0d5 0xf103673e @@ -346518,6 +433148,8 @@ 1 0 0 +5 +2 4 0x7c62 0x58143243 @@ -346526,6 +433158,8 @@ 0 0 0 +2 +2 3 0x34ce 0xcb815a85 @@ -346534,6 +433168,8 @@ 0 0 0 +5 +4 2 0xd9c2 0x5f99eeac @@ -346542,6 +433178,8 @@ 0 0 0 +2 +4 4 0xcbd0 0x4f4521f0 @@ -346550,6 +433188,8 @@ 1 1 0 +5 +4 1 0x4adf 0x7be90501 @@ -346558,6 +433198,8 @@ 0 0 0 +0 +4 3 0xb027 0xc3598e91 @@ -346567,6 +433209,8 @@ 1 0 2 +3 +2 0x87b8 0xcb5fdcf9 256 @@ -346574,6 +433218,8 @@ 1 1 0 +4 +2 1 0x6c8 0xf597b9ad @@ -346583,6 +433229,8 @@ 0 0 4 +0 +4 0xad1b 0x79a80d2f 256 @@ -346590,6 +433238,8 @@ 1 0 0 +0 +5 4 0xe491 0x1077064e @@ -346598,6 +433248,8 @@ 1 1 0 +3 +5 2 0x2820 0xa82641f2 @@ -346606,6 +433258,8 @@ 0 0 0 +4 +0 1 0xc7d2 0x17f233e @@ -346614,6 +433268,8 @@ 1 0 0 +3 +4 2 0xfc0 0xd44f5b1a @@ -346622,6 +433278,8 @@ 0 0 0 +5 +4 3 0xa549 0xd608005d @@ -346630,6 +433288,8 @@ 1 1 0 +2 +2 1 0x6cf2 0x497f2abe @@ -346639,6 +433299,8 @@ 1 0 2 +2 +2 0x9f0 0xe98b1173 256 @@ -346646,6 +433308,8 @@ 0 0 0 +5 +2 2 0xeace 0xdbff7355 @@ -346654,6 +433318,8 @@ 0 0 0 +1 +2 3 0x7ea4 0x7be3364e @@ -346663,6 +433329,8 @@ 0 0 3 +5 +3 0x46f3 0xdd6b77f9 256 @@ -346671,6 +433339,8 @@ 1 0 4 +2 +4 0x2d27 0xdc479386 256 @@ -346678,6 +433348,8 @@ 0 0 0 +0 +0 3 0x1ef4 0x65334f22 @@ -346687,6 +433359,8 @@ 1 0 2 +4 +2 0x5f55 0x330400ee 256 @@ -346694,6 +433368,8 @@ 0 0 0 +1 +3 3 0x8a25 0xc0aa7e7a @@ -346702,6 +433378,8 @@ 1 1 0 +1 +4 2 0x8a44 0x436be484 @@ -346710,6 +433388,8 @@ 0 0 0 +2 +3 4 0x44ac 0x2d08ef61 @@ -346718,6 +433398,8 @@ 0 0 0 +5 +2 3 0x7311 0x6a10dfe4 @@ -346726,6 +433408,8 @@ 1 1 0 +1 +3 3 0x177e 0x7a6d3a9 @@ -346735,6 +433419,8 @@ 0 0 2 +0 +2 0x446a 0xc454c5a3 256 @@ -346742,6 +433428,8 @@ 1 1 0 +0 +2 3 0x14b9 0xa3e6d40a @@ -346750,6 +433438,8 @@ 0 0 0 +2 +5 3 0x6de9 0x9d252f73 @@ -346758,6 +433448,8 @@ 0 0 0 +5 +3 1 0xd67a 0x8dc4237a @@ -346767,6 +433459,8 @@ 1 0 1 +2 +1 0x77c 0x6e8a6b8d 256 @@ -346774,6 +433468,8 @@ 1 1 0 +0 +2 4 0x74d2 0x60f19bb4 @@ -346782,6 +433478,8 @@ 1 0 0 +4 +2 2 0x6de 0xb421ffb8 @@ -346790,6 +433488,8 @@ 0 0 0 +0 +1 1 0x3173 0xf9de2c16 @@ -346799,6 +433499,8 @@ 0 0 1 +5 +1 0xd87f 0xe2161479 256 @@ -346806,6 +433508,8 @@ 0 0 0 +0 +4 4 0x8d4 0xed8aa362 @@ -346814,6 +433518,8 @@ 1 1 0 +0 +1 2 0x27de 0xd3662e @@ -346822,6 +433528,8 @@ 1 1 0 +5 +0 3 0xaa0 0x45ca651a @@ -346830,6 +433538,8 @@ 1 0 0 +5 +5 2 0x1930 0xd0d9bc7a @@ -346839,6 +433549,8 @@ 0 0 3 +1 +3 0x1fa1 0x89e41e43 256 @@ -346846,6 +433558,8 @@ 1 1 0 +5 +1 1 0x84a0 0x5a0c25b6 @@ -346854,6 +433568,8 @@ 0 0 0 +3 +3 4 0xdb2b 0x75a21fb @@ -346862,6 +433578,8 @@ 0 0 0 +2 +2 4 0x7e15 0xebc183e4 @@ -346870,6 +433588,8 @@ 1 0 0 +3 +5 2 0x40fd 0x3d409330 @@ -346878,6 +433598,8 @@ 1 0 0 +5 +4 3 0x9622 0xb48abcf3 @@ -346886,6 +433608,8 @@ 0 0 0 +5 +5 1 0x293f 0x7600e72e @@ -346894,6 +433618,8 @@ 1 1 0 +5 +3 2 0x168c 0x78478d48 @@ -346902,6 +433628,8 @@ 0 0 0 +1 +1 4 0x67bf 0xc5d34276 @@ -346910,6 +433638,8 @@ 0 0 0 +4 +3 1 0xf9a5 0x8b609f47 @@ -346918,6 +433648,8 @@ 0 0 0 +2 +4 3 0x9f99 0x377588d4 @@ -346926,6 +433658,8 @@ 1 0 0 +1 +4 3 0x7988 0x4fd50434 @@ -346934,6 +433668,8 @@ 0 0 0 +0 +5 4 0x2e4 0x5f34929 @@ -346942,6 +433678,8 @@ 0 0 0 +0 +5 1 0x290e 0x85f9c3ee @@ -346950,6 +433688,8 @@ 0 0 0 +4 +3 1 0xe8a2 0x1dadca59 @@ -346958,6 +433698,8 @@ 1 0 0 +3 +1 1 0x2e71 0xb610583b @@ -346966,6 +433708,8 @@ 1 1 0 +2 +4 1 0x3851 0xfa51be2e @@ -346975,6 +433719,8 @@ 0 0 3 +0 +3 0x9894 0x5ac0c2ef 256 @@ -346982,6 +433728,8 @@ 1 1 0 +4 +2 2 0xdbd0 0xa82f90b9 @@ -346990,6 +433738,8 @@ 1 0 0 +0 +4 1 0x4aa1 0x1c5a8a65 @@ -346999,6 +433749,8 @@ 0 0 2 +1 +2 0x42bc 0x29dc069a 256 @@ -347006,6 +433758,8 @@ 1 0 0 +0 +3 1 0xc762 0xdbc2e667 @@ -347014,6 +433768,8 @@ 1 1 0 +3 +0 1 0x3d67 0x422929d3 @@ -347022,6 +433778,8 @@ 0 0 0 +0 +2 3 0xb3d6 0xc1f57513 @@ -347030,6 +433788,8 @@ 0 0 0 +4 +2 1 0x8239 0xad759310 @@ -347038,6 +433798,8 @@ 0 0 0 +0 +2 3 0xb5d2 0xc91150cd @@ -347046,6 +433808,8 @@ 1 1 0 +2 +4 3 0x368f 0x3a546621 @@ -347054,6 +433818,8 @@ 1 1 0 +4 +5 1 0x7692 0xa6e01fe5 @@ -347062,6 +433828,8 @@ 0 0 0 +0 +0 4 0x5ac9 0xe4f200bb @@ -347070,6 +433838,8 @@ 1 0 0 +5 +1 3 0xc25 0x4577589 @@ -347079,6 +433849,8 @@ 0 0 4 +0 +4 0x719f 0x85113ce 256 @@ -347086,6 +433858,8 @@ 1 1 0 +3 +0 1 0xb852 0xa8ee797c @@ -347095,6 +433869,8 @@ 0 0 1 +3 +1 0xf604 0x5eb09f05 256 @@ -347102,6 +433878,8 @@ 0 0 0 +0 +2 2 0x580f 0x66e66a5c @@ -347110,6 +433888,8 @@ 1 1 0 +3 +0 2 0x11c9 0x6c4fbe71 @@ -347118,6 +433898,8 @@ 1 1 0 +0 +2 1 0xa6a 0xe62ef813 @@ -347127,6 +433909,8 @@ 1 0 1 +3 +1 0x51cc 0x2cf4e2c7 256 @@ -347134,6 +433918,8 @@ 0 0 0 +0 +1 1 0x40bd 0xe948e20e @@ -347142,6 +433928,8 @@ 0 0 0 +0 +5 1 0x31a9 0xee4ac000 @@ -347150,6 +433938,8 @@ 0 0 0 +4 +5 3 0x4cfb 0x10eefeb4 @@ -347158,6 +433948,8 @@ 1 1 0 +5 +5 1 0x4aca 0xdceed6cf @@ -347166,6 +433958,8 @@ 0 0 0 +5 +5 4 0x489a 0x50394f12 @@ -347174,6 +433968,8 @@ 0 0 0 +5 +4 4 0x4bc4 0xf441b642 @@ -347182,6 +433978,8 @@ 0 0 0 +1 +4 2 0x8854 0x45ab4421 @@ -347190,6 +433988,8 @@ 1 1 0 +1 +5 2 0x5362 0x48ba2035 @@ -347198,6 +433998,8 @@ 1 0 0 +3 +0 1 0xb7e3 0xabd71f4b @@ -347206,6 +434008,8 @@ 1 0 0 +1 +2 2 0xf17b 0x7f1ba0f8 @@ -347214,6 +434018,8 @@ 0 0 0 +1 +0 4 0xba80 0x937dbdf6 @@ -347222,6 +434028,8 @@ 0 0 0 +0 +5 1 0x3bb1 0x9abf267d @@ -347231,6 +434039,8 @@ 0 0 2 +1 +2 0xe0 0x2d164806 256 @@ -347238,6 +434048,8 @@ 1 0 0 +3 +2 4 0xbdb6 0xd9b2bbf7 @@ -347246,6 +434058,8 @@ 1 0 0 +5 +3 4 0x731f 0x7533470b @@ -347254,6 +434068,8 @@ 1 1 0 +5 +2 3 0xc649 0xa799cb29 @@ -347262,6 +434078,8 @@ 0 0 0 +1 +0 4 0xfc2d 0x6b2fad93 @@ -347270,6 +434088,8 @@ 1 0 0 +1 +4 4 0x2739 0xb4dc8dda @@ -347278,6 +434098,8 @@ 1 1 0 +3 +1 4 0x8969 0xbca02ed1 @@ -347286,6 +434108,8 @@ 0 0 0 +2 +1 4 0xd99 0x1995fabd @@ -347294,6 +434118,8 @@ 0 0 0 +3 +1 1 0xcdca 0x46f532f4 @@ -347303,6 +434129,8 @@ 0 0 4 +5 +4 0xf815 0xab062b1a 256 @@ -347311,6 +434139,8 @@ 1 0 2 +5 +2 0xdcc 0x601dac8d 256 @@ -347318,6 +434148,8 @@ 0 0 0 +4 +0 3 0x72d0 0x65918b39 @@ -347326,6 +434158,8 @@ 1 1 0 +1 +5 3 0xdd8d 0xf50b273b @@ -347334,6 +434168,8 @@ 0 0 0 +0 +0 1 0x9357 0xf7c48cd @@ -347342,6 +434178,8 @@ 1 1 0 +5 +1 1 0x6769 0xbc5bb539 @@ -347351,6 +434189,8 @@ 0 0 2 +3 +2 0xb289 0x504c6c72 256 @@ -347358,6 +434198,8 @@ 1 0 0 +5 +2 3 0x5327 0xb2d99510 @@ -347366,6 +434208,8 @@ 1 0 0 +1 +4 2 0xe57a 0xa7c98baf @@ -347375,6 +434219,8 @@ 0 0 4 +2 +4 0x4a17 0x531e876f 256 @@ -347382,6 +434228,8 @@ 0 0 0 +2 +0 1 0xe7eb 0x28c959b4 @@ -347390,6 +434238,8 @@ 1 1 0 +0 +3 2 0x8641 0xef13fa3d @@ -347398,6 +434248,8 @@ 1 1 0 +1 +5 4 0x7f0 0xea46c541 @@ -347406,6 +434258,8 @@ 0 0 0 +0 +1 4 0x7766 0x55696f2b @@ -347414,6 +434268,8 @@ 0 0 0 +2 +4 4 0x4fdd 0x3cdeb89f @@ -347422,6 +434278,8 @@ 1 1 0 +4 +2 1 0xece2 0xc8a0f27f @@ -347430,6 +434288,8 @@ 1 0 0 +3 +0 1 0x36e2 0xc2f95edf @@ -347438,6 +434298,8 @@ 0 0 0 +5 +3 4 0x42e9 0x404ea1e2 @@ -347446,6 +434308,8 @@ 0 0 0 +0 +4 2 0xdea4 0xeaa7fad6 @@ -347454,6 +434318,8 @@ 0 0 0 +1 +0 4 0x3553 0xddba2ac7 @@ -347463,6 +434329,8 @@ 0 0 4 +2 +4 0x57e7 0x37b78ac2 256 @@ -347470,6 +434338,8 @@ 0 0 0 +5 +3 2 0xe591 0x871ddf84 @@ -347478,6 +434348,8 @@ 1 0 0 +2 +5 4 0xbaf3 0x2891b5c6 @@ -347486,6 +434358,8 @@ 0 0 0 +5 +1 1 0xd9a 0xc4d96dea @@ -347494,6 +434368,8 @@ 1 0 0 +0 +0 1 0x57c9 0xebb05573 @@ -347502,6 +434378,8 @@ 1 0 0 +4 +3 1 0xe5fe 0xbd02de80 @@ -347510,6 +434388,8 @@ 1 0 0 +1 +2 4 0x174b 0x4576f50 @@ -347519,6 +434399,8 @@ 0 0 2 +1 +2 0xd737 0xeaa8c57a 256 @@ -347526,6 +434408,8 @@ 0 0 0 +0 +3 2 0xf7c2 0x2851a2cd @@ -347534,6 +434418,8 @@ 1 0 0 +5 +4 2 0xd6eb 0x27ac5af @@ -347543,6 +434429,8 @@ 1 0 4 +5 +4 0xc54 0x6879da68 256 @@ -347550,6 +434438,8 @@ 0 0 0 +2 +0 4 0xe0cb 0x97c147a9 @@ -347558,6 +434448,8 @@ 1 1 0 +4 +2 3 0x3c9a 0x2e7ce5d7 @@ -347566,6 +434458,8 @@ 0 0 0 +1 +0 3 0x49f0 0x3105c134 @@ -347575,6 +434469,8 @@ 1 0 1 +3 +1 0x5e09 0x278f1e25 256 @@ -347582,6 +434478,8 @@ 1 1 0 +5 +5 3 0xb366 0xf3a8831e @@ -347590,6 +434488,8 @@ 1 1 0 +2 +5 4 0x5357 0x9fc74175 @@ -347598,6 +434498,8 @@ 0 0 0 +2 +3 4 0xde3d 0x2c2c79df @@ -347606,6 +434508,8 @@ 1 1 0 +0 +3 3 0x607f 0x4a04e22a @@ -347614,6 +434518,8 @@ 1 1 0 +4 +3 1 0xf3d 0x9d3e58b5 @@ -347622,6 +434528,8 @@ 1 0 0 +5 +2 3 0x20e8 0xb5a61715 @@ -347631,6 +434539,8 @@ 0 0 2 +4 +2 0x395a 0xeec026d8 256 @@ -347638,6 +434548,8 @@ 1 1 0 +4 +5 3 0x3028 0x9a7bb597 @@ -347646,6 +434558,8 @@ 0 0 0 +5 +5 3 0xab79 0xa2e949e9 @@ -347655,6 +434569,8 @@ 0 0 3 +0 +3 0x9531 0x25eccd36 256 @@ -347662,6 +434578,8 @@ 1 0 0 +2 +3 3 0x51b3 0xcd693d57 @@ -347670,6 +434588,8 @@ 1 0 0 +3 +4 1 0x1efc 0x2096e273 @@ -347678,6 +434598,8 @@ 0 0 0 +0 +4 3 0xab0e 0x206b30c9 @@ -347686,6 +434608,8 @@ 1 1 0 +3 +3 2 0x4762 0xfdacbfa3 @@ -347695,6 +434619,8 @@ 1 0 3 +4 +3 0xa1ac 0xf31fcb20 256 @@ -347702,6 +434628,8 @@ 0 0 0 +1 +5 3 0x558c 0xe86dd404 @@ -347711,6 +434639,8 @@ 0 0 4 +2 +4 0xf835 0x1c792b27 256 @@ -347718,6 +434648,8 @@ 0 0 0 +3 +2 2 0x8ad 0x83643859 @@ -347726,6 +434658,8 @@ 0 0 0 +5 +0 2 0x1a0 0xf2b1df2d @@ -347734,6 +434668,8 @@ 1 0 0 +5 +1 3 0xbef 0x34228aa7 @@ -347743,6 +434679,8 @@ 0 0 4 +5 +4 0x9838 0xd56f6512 256 @@ -347750,6 +434688,8 @@ 1 1 0 +5 +1 4 0x613d 0x21d04bd0 @@ -347758,6 +434698,8 @@ 0 0 0 +0 +1 3 0x6d52 0x6922b10d @@ -347766,6 +434708,8 @@ 1 0 0 +3 +5 4 0x3d08 0xe8e900cb @@ -347774,6 +434718,8 @@ 0 0 0 +2 +5 4 0xfc33 0x43dc187e @@ -347782,6 +434728,8 @@ 0 0 0 +5 +1 3 0x6b29 0xc103b788 @@ -347790,6 +434738,8 @@ 0 0 0 +2 +1 3 0x9a70 0x9303e86d @@ -347798,6 +434748,8 @@ 0 0 0 +2 +5 1 0xa07a 0x6aae1232 @@ -347806,6 +434758,8 @@ 0 0 0 +0 +2 2 0xaeba 0xc9d8c491 @@ -347814,6 +434768,8 @@ 1 0 0 +5 +3 2 0x7cfb 0x79bdbf8a @@ -347822,6 +434778,8 @@ 1 1 0 +2 +2 4 0xddc 0x8c5c2ad0 @@ -347830,6 +434788,8 @@ 1 0 0 +5 +0 2 0x674b 0x410109ed @@ -347839,6 +434799,8 @@ 0 0 1 +3 +1 0x9026 0xeae4c184 256 @@ -347846,6 +434808,8 @@ 0 0 0 +0 +4 3 0x7109 0xe75bf6c @@ -347854,6 +434818,8 @@ 1 1 0 +5 +0 2 0xa8c7 0x934eec67 @@ -347862,6 +434828,8 @@ 0 0 0 +4 +2 3 0x42e1 0x7e7be32e @@ -347870,6 +434838,8 @@ 0 0 0 +5 +0 2 0x5063 0x2695c7f9 @@ -347878,6 +434848,8 @@ 1 1 0 +0 +4 4 0x8139 0x42d9c2a1 @@ -347886,6 +434858,8 @@ 0 0 0 +0 +3 1 0xfa01 0x88eb3a66 @@ -347894,6 +434868,8 @@ 0 0 0 +2 +1 1 0x3051 0xbeac4f0 @@ -347902,6 +434878,8 @@ 1 0 0 +2 +3 4 0x3a37 0xa71847ef @@ -347910,6 +434888,8 @@ 0 0 0 +1 +0 2 0x35fc 0x8a03ca15 @@ -347918,6 +434898,8 @@ 0 0 0 +5 +0 2 0x7692 0x2376e211 @@ -347926,6 +434908,8 @@ 0 0 0 +2 +5 3 0xc8bf 0x501b72a8 @@ -347934,6 +434918,8 @@ 0 0 0 +4 +3 2 0xa322 0xd5739c73 @@ -347942,6 +434928,8 @@ 1 1 0 +5 +5 1 0x4bb 0x90e80ed @@ -347950,6 +434938,8 @@ 0 0 0 +2 +5 1 0x4db3 0xff19b399 @@ -347958,6 +434948,8 @@ 0 0 0 +5 +0 3 0x98b 0xf8e4da9e @@ -347966,6 +434958,8 @@ 0 0 0 +0 +0 3 0x3fb7 0x78132d31 @@ -347975,6 +434969,8 @@ 0 0 3 +2 +3 0x22d5 0x60c90028 256 @@ -347982,6 +434978,8 @@ 1 0 0 +1 +1 4 0xc486 0x6873f8b7 @@ -347991,6 +434989,8 @@ 0 0 1 +0 +1 0x97d1 0x92907757 256 @@ -347998,6 +434998,8 @@ 1 1 0 +1 +4 3 0x7b02 0x978576eb @@ -348006,6 +435008,8 @@ 0 0 0 +3 +5 4 0xd4ab 0xd38a5f7e @@ -348014,6 +435018,8 @@ 0 0 0 +1 +4 2 0x29f5 0x328d3e9d @@ -348023,6 +435029,8 @@ 0 0 4 +5 +4 0x178 0x13ee348c 256 @@ -348030,6 +435038,8 @@ 0 0 0 +5 +2 4 0x5ea2 0x7b110802 @@ -348038,6 +435048,8 @@ 0 0 0 +2 +2 4 0x2e20 0xbca16d36 @@ -348047,6 +435059,8 @@ 0 0 1 +2 +1 0xb19e 0x4feff29a 256 @@ -348054,6 +435068,8 @@ 0 0 0 +2 +2 1 0x6811 0x2241fbda @@ -348063,6 +435079,8 @@ 0 0 2 +0 +2 0xcbb6 0xeb092cdf 256 @@ -348070,6 +435088,8 @@ 1 0 0 +1 +0 2 0x29d0 0x29d77fd2 @@ -348079,6 +435099,8 @@ 0 0 2 +2 +2 0x591f 0x58613f2c 256 @@ -348086,6 +435108,8 @@ 0 0 0 +2 +0 4 0x58aa 0xa4ab0f38 @@ -348095,6 +435119,8 @@ 0 0 4 +1 +4 0x66dc 0xca66c1b4 256 @@ -348102,6 +435128,8 @@ 1 0 0 +2 +1 1 0x45c3 0x8a37c17c @@ -348111,6 +435139,8 @@ 0 0 3 +4 +3 0x189c 0xe961044c 256 @@ -348118,6 +435148,8 @@ 0 0 0 +2 +0 3 0x8d48 0xd0ae593d @@ -348126,6 +435158,8 @@ 0 0 0 +0 +2 3 0x3124 0xa1951134 @@ -348134,6 +435168,8 @@ 0 0 0 +0 +1 4 0xa4ec 0x535fc208 @@ -348142,6 +435178,8 @@ 1 1 0 +0 +5 3 0xf322 0x3707cf4f @@ -348150,6 +435188,8 @@ 1 1 0 +0 +1 4 0x23bd 0xd31620ad @@ -348158,6 +435198,8 @@ 1 1 0 +0 +2 4 0xbabb 0x8e2dda3a @@ -348166,6 +435208,8 @@ 1 1 0 +4 +0 3 0x6a2a 0x6944a703 @@ -348174,6 +435218,8 @@ 1 1 0 +0 +4 1 0x4a80 0x2161ed56 @@ -348182,6 +435228,8 @@ 1 1 0 +3 +4 2 0xa6f 0x8337bf71 @@ -348190,6 +435238,8 @@ 1 0 0 +3 +2 4 0x4a2b 0x70380805 @@ -348198,6 +435248,8 @@ 1 1 0 +4 +0 2 0x7d4f 0x6e06b767 @@ -348206,6 +435258,8 @@ 0 0 0 +2 +4 4 0xf144 0xb31c5f6 @@ -348215,6 +435269,8 @@ 0 0 4 +1 +4 0x436e 0x25301bfd 256 @@ -348222,6 +435278,8 @@ 1 1 0 +4 +3 2 0xb5e4 0xe3845f8a @@ -348230,6 +435288,8 @@ 1 1 0 +2 +1 4 0x8c3d 0x42a2b113 @@ -348238,6 +435298,8 @@ 1 1 0 +3 +3 2 0x7862 0xfc22ad34 @@ -348246,6 +435308,8 @@ 0 0 0 +1 +4 3 0x8ab4 0x419a8925 @@ -348254,6 +435318,8 @@ 1 1 0 +5 +4 1 0x597a 0x1f55cb51 @@ -348262,6 +435328,8 @@ 0 0 0 +0 +1 4 0x860b 0x7aa16c64 @@ -348270,6 +435338,8 @@ 0 0 0 +3 +3 4 0xbfa4 0xf0c78b0e @@ -348279,6 +435349,8 @@ 0 0 1 +2 +1 0xcfbe 0x21d7a21f 256 @@ -348286,6 +435358,8 @@ 0 0 0 +0 +0 4 0xda7c 0xfb85133b @@ -348294,6 +435368,8 @@ 0 0 0 +5 +4 4 0xce03 0xd772663f @@ -348302,6 +435378,8 @@ 1 1 0 +5 +5 3 0xd648 0xca7a15cc @@ -348310,6 +435388,8 @@ 0 0 0 +2 +4 1 0x9e60 0x6e2e26f7 @@ -348318,6 +435398,8 @@ 1 0 0 +3 +1 1 0xf339 0x174e1364 @@ -348326,6 +435408,8 @@ 0 0 0 +1 +4 4 0x96ad 0xab04d92c @@ -348334,6 +435418,8 @@ 1 0 0 +4 +1 3 0x5c49 0x8f4b1b64 @@ -348342,6 +435428,8 @@ 1 1 0 +4 +0 1 0x1ab7 0xb00c859a @@ -348350,6 +435438,8 @@ 1 0 0 +4 +4 3 0x882e 0x229f0869 @@ -348359,6 +435449,8 @@ 1 0 4 +0 +4 0xa93e 0x1bbc35a3 256 @@ -348366,6 +435458,8 @@ 0 0 0 +0 +1 3 0x1fd 0x6169aedc @@ -348374,6 +435468,8 @@ 0 0 0 +0 +3 2 0xfa80 0x3dd023ae @@ -348382,6 +435478,8 @@ 1 1 0 +5 +2 2 0x8c5d 0xb8e0bf8a @@ -348390,6 +435488,8 @@ 0 0 0 +0 +5 4 0xe860 0xd8b1d861 @@ -348398,6 +435498,8 @@ 0 0 0 +2 +4 1 0xb70b 0xaac652dd @@ -348406,6 +435508,8 @@ 1 1 0 +4 +1 1 0x812b 0xa404f1b6 @@ -348414,6 +435518,8 @@ 0 0 0 +5 +4 2 0x4e17 0x428853e5 @@ -348422,6 +435528,8 @@ 0 0 0 +1 +5 2 0x73c6 0xfc7fecc @@ -348430,6 +435538,8 @@ 0 0 0 +1 +5 2 0xfff1 0x93e860e @@ -348438,6 +435548,8 @@ 1 0 0 +4 +2 2 0xba2a 0x5c947ea3 @@ -348446,6 +435558,8 @@ 0 0 0 +5 +0 3 0xd4c9 0xa47e9445 @@ -348454,6 +435568,8 @@ 0 0 0 +3 +0 2 0xbcd2 0xced3162a @@ -348462,6 +435578,8 @@ 0 0 0 +0 +5 3 0xa356 0x93293140 @@ -348470,6 +435588,8 @@ 1 1 0 +5 +0 1 0xf4fb 0xe7f78feb @@ -348478,6 +435598,8 @@ 0 0 0 +1 +1 4 0x3f49 0x2b0d3a8 @@ -348486,6 +435608,8 @@ 0 0 0 +0 +3 2 0x2774 0x78c8d0d7 @@ -348494,6 +435618,8 @@ 0 0 0 +0 +0 1 0x8d4b 0x1d43d6d7 @@ -348502,6 +435628,8 @@ 1 1 0 +4 +1 1 0x2a72 0x9ace11c0 @@ -348510,6 +435638,8 @@ 1 1 0 +5 +0 1 0x8dbe 0xb1e0497b @@ -348518,6 +435648,8 @@ 0 0 0 +4 +2 1 0xed8f 0xde136729 @@ -348526,6 +435658,8 @@ 1 1 0 +2 +1 4 0x1e3c 0x17948626 @@ -348534,6 +435668,8 @@ 0 0 0 +2 +3 3 0x4a21 0xe91eab0f @@ -348542,6 +435678,8 @@ 1 0 0 +5 +3 1 0x4636 0xe1ddb745 @@ -348550,6 +435688,8 @@ 0 0 0 +3 +2 4 0xa907 0xc6b324ba @@ -348558,6 +435698,8 @@ 0 0 0 +3 +5 1 0x9894 0x740f6715 @@ -348566,6 +435708,8 @@ 1 1 0 +1 +0 3 0x788e 0x397141de @@ -348574,6 +435718,8 @@ 1 1 0 +2 +1 3 0x4fc5 0x5a9ea9a @@ -348582,6 +435728,8 @@ 1 1 0 +3 +4 4 0x7285 0xc777d49b @@ -348590,6 +435738,8 @@ 1 0 0 +5 +5 1 0x88da 0x19df8ab8 @@ -348598,6 +435748,8 @@ 1 1 0 +4 +2 1 0xf968 0x49ee076a @@ -348607,6 +435759,8 @@ 0 0 3 +1 +3 0x2058 0x1bb0c2b7 256 @@ -348614,6 +435768,8 @@ 0 0 0 +5 +3 4 0xcfc0 0x830f5872 @@ -348622,6 +435778,8 @@ 0 0 0 +3 +4 2 0xc3dd 0xc18dfa30 @@ -348631,6 +435789,8 @@ 1 0 4 +3 +4 0x2539 0x5e74a662 256 @@ -348638,6 +435798,8 @@ 0 0 0 +3 +4 2 0x4c5b 0x31c05fb9 @@ -348647,6 +435809,8 @@ 0 0 1 +5 +1 0x32b1 0xd000ed58 256 @@ -348654,6 +435818,8 @@ 1 0 0 +2 +2 3 0xb821 0x4e00c52d @@ -348662,6 +435828,8 @@ 1 1 0 +5 +2 4 0xc548 0xe6e6e668 @@ -348671,6 +435839,8 @@ 0 0 2 +1 +2 0x7960 0x76e67b20 256 @@ -348678,6 +435848,8 @@ 0 0 0 +3 +4 4 0x9d45 0x4d25dfd9 @@ -348686,6 +435858,8 @@ 0 0 0 +2 +2 3 0xbe9d 0xb7d86f41 @@ -348694,6 +435868,8 @@ 1 0 0 +3 +2 4 0x242d 0x68249d80 @@ -348702,6 +435878,8 @@ 0 0 0 +0 +0 1 0x596 0x51907bad @@ -348710,6 +435888,8 @@ 1 0 0 +0 +5 1 0x221a 0xc1991c87 @@ -348718,6 +435898,8 @@ 0 0 0 +3 +4 2 0x2efe 0xada1d2ec @@ -348727,6 +435909,8 @@ 1 0 1 +5 +1 0xdff5 0x1c789931 256 @@ -348735,6 +435919,8 @@ 1 0 1 +3 +1 0x7f3e 0x162692b6 256 @@ -348742,6 +435928,8 @@ 1 0 0 +2 +0 3 0x9a41 0x91fd0a6 @@ -348750,6 +435938,8 @@ 1 0 0 +1 +1 2 0x5da4 0x2c89f463 @@ -348758,6 +435948,8 @@ 0 0 0 +2 +1 1 0xe24a 0xde0aa684 @@ -348766,6 +435958,8 @@ 0 0 0 +2 +3 3 0x44b3 0x72ae4191 @@ -348774,6 +435968,8 @@ 0 0 0 +5 +2 4 0xb5a0 0xdc03910f @@ -348782,6 +435978,8 @@ 0 0 0 +5 +5 1 0xe2a7 0xe3e97b46 @@ -348790,6 +435988,8 @@ 1 1 0 +0 +0 3 0x43e0 0x7bce6ae8 @@ -348798,6 +435998,8 @@ 0 0 0 +5 +5 3 0x2270 0x8c34ca64 @@ -348806,6 +436008,8 @@ 1 1 0 +0 +4 3 0x934b 0x4b199699 @@ -348814,6 +436018,8 @@ 1 1 0 +2 +5 1 0x2a7 0x5c8f04c8 @@ -348822,6 +436028,8 @@ 1 0 0 +1 +0 2 0xb713 0x21ef896b @@ -348830,6 +436038,8 @@ 0 0 0 +1 +2 3 0x32e1 0xff2b4057 @@ -348838,6 +436048,8 @@ 0 0 0 +1 +2 3 0xf360 0x319e6bb0 @@ -348846,6 +436058,8 @@ 1 1 0 +1 +4 2 0x6c41 0x36aaadf8 @@ -348854,6 +436068,8 @@ 0 0 0 +4 +0 1 0x19b 0x1b95269e @@ -348862,6 +436078,8 @@ 1 1 0 +3 +1 2 0xb85c 0xcfeab85c @@ -348870,6 +436088,8 @@ 0 0 0 +0 +0 3 0xb0de 0x3ff9aedf @@ -348879,6 +436099,8 @@ 0 0 1 +5 +1 0x4492 0x6b1cb994 256 @@ -348886,6 +436108,8 @@ 0 0 0 +2 +1 1 0x4a7f 0x8d5a645b @@ -348894,6 +436118,8 @@ 1 0 0 +4 +5 1 0x1995 0xaf334db6 @@ -348902,6 +436128,8 @@ 1 1 0 +5 +0 4 0x2aae 0xef37111a @@ -348910,6 +436138,8 @@ 1 1 0 +4 +0 3 0x569a 0xd7ffd0db @@ -348918,6 +436148,8 @@ 0 0 0 +5 +3 2 0xc8ca 0x1ade9e9b @@ -348926,6 +436158,8 @@ 0 0 0 +4 +0 1 0x195 0xe68bda9b @@ -348934,6 +436168,8 @@ 0 0 0 +5 +3 2 0x77b1 0x79b3131b @@ -348942,6 +436178,8 @@ 1 0 0 +0 +0 2 0xbfa0 0x14c2683a @@ -348950,6 +436188,8 @@ 0 0 0 +2 +4 1 0x6079 0xf04c1e63 @@ -348958,6 +436198,8 @@ 0 0 0 +0 +5 2 0xa80e 0x16daa536 @@ -348967,6 +436209,8 @@ 0 0 3 +4 +3 0xf52 0x92aead7a 256 @@ -348974,6 +436218,8 @@ 1 0 0 +4 +4 3 0x67f6 0x34e5c7dc @@ -348982,6 +436228,8 @@ 1 1 0 +3 +0 4 0x8d4c 0x935356c2 @@ -348990,6 +436238,8 @@ 1 0 0 +5 +5 4 0x7a9d 0xffef9cfa @@ -348998,6 +436248,8 @@ 1 0 0 +0 +0 3 0x2d80 0xefa822d0 @@ -349006,6 +436258,8 @@ 1 0 0 +5 +0 3 0xd09a 0x28d324b4 @@ -349014,6 +436268,8 @@ 0 0 0 +0 +3 1 0x698 0xaf173e96 @@ -349023,6 +436279,8 @@ 1 0 1 +5 +1 0x3e 0x9201a3 256 @@ -349030,6 +436288,8 @@ 1 0 0 +0 +2 3 0x8385 0xc2b74d2f @@ -349038,6 +436298,8 @@ 0 0 0 +0 +3 1 0xccc7 0xad95dd1b @@ -349046,6 +436308,8 @@ 1 0 0 +4 +2 2 0x4046 0x5cd81369 @@ -349054,6 +436318,8 @@ 1 1 0 +0 +0 1 0x888 0xcc511cbc @@ -349063,6 +436329,8 @@ 0 0 2 +2 +2 0xa8d6 0x9b048c2f 256 @@ -349070,6 +436338,8 @@ 0 0 0 +1 +4 3 0xc988 0x7f29e539 @@ -349078,6 +436348,8 @@ 0 0 0 +4 +4 1 0x8a32 0x9242db14 @@ -349086,6 +436358,8 @@ 0 0 0 +2 +2 4 0x6d4d 0xc1be1e13 @@ -349094,6 +436368,8 @@ 1 1 0 +5 +2 3 0x5430 0xa1105908 @@ -349102,6 +436378,8 @@ 1 1 0 +0 +5 1 0x7640 0xdeca8dda @@ -349111,6 +436389,8 @@ 0 0 3 +0 +3 0x602a 0x889262e8 256 @@ -349118,6 +436398,8 @@ 1 0 0 +1 +3 4 0xcdaf 0xf08908ac @@ -349126,6 +436408,8 @@ 1 1 0 +1 +0 4 0x4893 0xbd375a58 @@ -349134,6 +436418,8 @@ 0 0 0 +1 +2 3 0xaaa2 0xe8ab88a2 @@ -349143,6 +436429,8 @@ 1 0 4 +2 +4 0xcfdf 0x7a534aca 256 @@ -349150,6 +436438,8 @@ 0 0 0 +4 +4 3 0x8d6a 0xab6fdbaa @@ -349158,6 +436448,8 @@ 1 1 0 +1 +0 4 0x819f 0xb0095b9a @@ -349167,6 +436459,8 @@ 0 0 3 +0 +3 0x12ac 0x7a174327 256 @@ -349174,6 +436468,8 @@ 1 1 0 +4 +2 3 0xea8a 0x9b493926 @@ -349182,6 +436478,8 @@ 1 0 0 +1 +4 4 0x2067 0xd28cb4ae @@ -349190,6 +436488,8 @@ 0 0 0 +2 +1 4 0xa33f 0x2203ec32 @@ -349198,6 +436498,8 @@ 1 0 0 +2 +1 3 0x6304 0x8fd08dbf @@ -349206,6 +436508,8 @@ 0 0 0 +5 +2 3 0x2c2d 0x7baec30 @@ -349214,6 +436518,8 @@ 0 0 0 +0 +5 4 0x394c 0x384f1681 @@ -349222,6 +436528,8 @@ 1 0 0 +4 +2 1 0x65e2 0x69916b59 @@ -349230,6 +436538,8 @@ 1 0 0 +0 +5 2 0xf687 0xe0b6e637 @@ -349238,6 +436548,8 @@ 1 0 0 +0 +0 2 0x1014 0x6b1961fe @@ -349246,6 +436558,8 @@ 1 1 0 +5 +2 1 0x46e 0xf5f738f0 @@ -349254,6 +436568,8 @@ 1 0 0 +1 +3 4 0x2da2 0xdacea5f4 @@ -349262,6 +436578,8 @@ 1 0 0 +0 +1 4 0x17ca 0xd84dc8c7 @@ -349270,6 +436588,8 @@ 0 0 0 +4 +2 3 0xbd38 0xb192270c @@ -349278,6 +436598,8 @@ 0 0 0 +2 +3 4 0x910 0xa84d99a5 @@ -349286,6 +436608,8 @@ 0 0 0 +2 +0 1 0xe2b9 0xa858e42f @@ -349294,6 +436618,8 @@ 0 0 0 +4 +2 2 0x7115 0x21294e86 @@ -349302,6 +436628,8 @@ 1 1 0 +2 +3 1 0x25e7 0xda81d130 @@ -349310,6 +436638,8 @@ 0 0 0 +3 +3 1 0x6140 0x3488e38f @@ -349318,6 +436648,8 @@ 0 0 0 +1 +4 3 0x325c 0xdf4f4a96 @@ -349326,6 +436658,8 @@ 1 0 0 +4 +3 2 0x33b0 0x1c60b801 @@ -349334,6 +436668,8 @@ 0 0 0 +1 +1 2 0x1a38 0xf58c89ea @@ -349342,6 +436678,8 @@ 1 1 0 +0 +3 4 0xc3e8 0xd47ba6e5 @@ -349350,6 +436688,8 @@ 1 1 0 +5 +0 2 0x93df 0x44906ffb @@ -349358,6 +436698,8 @@ 1 1 0 +1 +0 4 0xd4a5 0xaa655745 @@ -349366,6 +436708,8 @@ 1 1 0 +5 +0 3 0xc684 0xd20832de @@ -349374,6 +436718,8 @@ 1 0 0 +2 +4 4 0x5e84 0x88ff88f0 @@ -349382,6 +436728,8 @@ 1 1 0 +5 +3 3 0xe0ff 0x42731a8f @@ -349390,6 +436738,8 @@ 0 0 0 +1 +3 4 0xe6e9 0xa9736cfe @@ -349398,6 +436748,8 @@ 1 0 0 +3 +5 1 0xfbb2 0xac40a39f @@ -349406,6 +436758,8 @@ 0 0 0 +0 +3 4 0x36e2 0x9a9741ec @@ -349414,6 +436768,8 @@ 1 0 0 +2 +1 1 0x534 0x3576e4b0 @@ -349423,6 +436779,8 @@ 0 0 3 +0 +3 0xe5f5 0x5326c2d3 256 @@ -349430,6 +436788,8 @@ 1 1 0 +1 +2 2 0x94c5 0x73f5b8a8 @@ -349438,6 +436798,8 @@ 1 1 0 +3 +3 1 0xc495 0xcd754101 @@ -349446,6 +436808,8 @@ 1 0 0 +2 +5 4 0x8ca4 0xadb7b218 @@ -349454,6 +436818,8 @@ 1 0 0 +4 +1 1 0x21b6 0x40ce53e8 @@ -349462,6 +436828,8 @@ 0 0 0 +3 +1 1 0x42af 0xf705864c @@ -349471,6 +436839,8 @@ 0 0 2 +5 +2 0x5b7a 0xedac281d 256 @@ -349479,6 +436849,8 @@ 0 0 3 +0 +3 0xf72c 0x11b34203 256 @@ -349486,6 +436858,8 @@ 1 0 0 +2 +0 1 0x1563 0x94e4ac63 @@ -349495,6 +436869,8 @@ 0 0 1 +3 +1 0x90dd 0xe0eea641 256 @@ -349502,6 +436878,8 @@ 0 0 0 +3 +4 2 0x8197 0x432d469c @@ -349511,6 +436889,8 @@ 1 0 4 +0 +4 0x2fc 0x73f44c07 256 @@ -349518,6 +436898,8 @@ 0 0 0 +1 +1 3 0xa8b4 0x3f073d98 @@ -349527,6 +436909,8 @@ 0 0 3 +2 +3 0xc73a 0x4723f5a4 256 @@ -349534,6 +436918,8 @@ 0 0 0 +4 +2 3 0x2358 0xd94658ef @@ -349542,6 +436928,8 @@ 0 0 0 +2 +1 1 0x3fa4 0xa23d8146 @@ -349550,6 +436938,8 @@ 0 0 0 +3 +1 1 0x329e 0xcbbe8107 @@ -349558,6 +436948,8 @@ 0 0 0 +0 +3 3 0x6f89 0xe63aad76 @@ -349566,6 +436958,8 @@ 0 0 0 +5 +4 4 0xaf64 0x8d954eed @@ -349574,6 +436968,8 @@ 0 0 0 +4 +1 2 0xb154 0x7e3b0c73 @@ -349582,6 +436978,8 @@ 1 1 0 +1 +3 4 0xd996 0x21ad911d @@ -349590,6 +436988,8 @@ 0 0 0 +1 +3 3 0x978d 0x5cf4e1d1 @@ -349598,6 +436998,8 @@ 0 0 0 +3 +1 4 0x2550 0x79c1424d @@ -349607,6 +437009,8 @@ 0 0 1 +4 +1 0x2b9a 0x63525530 256 @@ -349614,6 +437018,8 @@ 1 1 0 +3 +2 2 0x1270 0x8314a8a6 @@ -349622,6 +437028,8 @@ 1 1 0 +3 +2 4 0xa651 0x68b0b474 @@ -349630,6 +437038,8 @@ 0 0 0 +5 +1 4 0x77a2 0xb51d7720 @@ -349638,6 +437048,8 @@ 1 1 0 +5 +4 2 0xa0fb 0xf2ef1b48 @@ -349646,6 +437058,8 @@ 0 0 0 +0 +1 4 0x4327 0x9b769f44 @@ -349654,6 +437068,8 @@ 0 0 0 +2 +2 4 0x522f 0x4a539081 @@ -349663,6 +437079,8 @@ 1 0 2 +5 +2 0xd805 0xc619995 256 @@ -349671,6 +437089,8 @@ 1 0 1 +1 +1 0x7447 0xdfd26dc 256 @@ -349678,6 +437098,8 @@ 0 0 0 +5 +5 1 0xde68 0xb72142c8 @@ -349687,6 +437109,8 @@ 1 0 2 +1 +2 0xe4b9 0xf1181a38 256 @@ -349694,6 +437118,8 @@ 0 0 0 +3 +0 2 0x61b 0xe1abced8 @@ -349703,6 +437129,8 @@ 0 0 1 +5 +1 0x856c 0xa2aca37c 256 @@ -349710,6 +437138,8 @@ 1 0 0 +3 +2 1 0xb8df 0xfde5d1df @@ -349718,6 +437148,8 @@ 0 0 0 +4 +5 1 0x1a0c 0x6e98530f @@ -349726,6 +437158,8 @@ 1 0 0 +1 +5 4 0x890 0x341e22e6 @@ -349734,6 +437168,8 @@ 1 0 0 +3 +2 1 0xda7a 0x418639ca @@ -349742,6 +437178,8 @@ 1 1 0 +1 +0 3 0xc7d3 0x90947094 @@ -349750,6 +437188,8 @@ 0 0 0 +2 +5 1 0x983 0xeb1bfc3 @@ -349758,6 +437198,8 @@ 1 0 0 +4 +0 1 0xb486 0x586d75d2 @@ -349767,6 +437209,8 @@ 0 0 1 +3 +1 0x1eb0 0x68f012d0 256 @@ -349775,6 +437219,8 @@ 0 0 3 +2 +3 0xc4af 0x61c94734 256 @@ -349783,6 +437229,8 @@ 0 0 1 +5 +1 0x8e0c 0x3e371db0 256 @@ -349790,6 +437238,8 @@ 1 0 0 +0 +1 1 0x64d9 0xbd1dfc3d @@ -349798,6 +437248,8 @@ 0 0 0 +0 +5 1 0x5749 0x8f8d9ef3 @@ -349806,6 +437258,8 @@ 0 0 0 +5 +5 3 0x8bad 0x3d9fcf85 @@ -349814,6 +437268,8 @@ 1 1 0 +1 +4 4 0xd66d 0xd4406c1 @@ -349822,6 +437278,8 @@ 1 0 0 +4 +2 2 0xf0 0x6df63e0d @@ -349830,6 +437288,8 @@ 1 1 0 +4 +0 1 0x75d3 0x7a947820 @@ -349838,6 +437298,8 @@ 1 0 0 +4 +3 2 0xabbc 0x12fd0811 @@ -349846,6 +437308,8 @@ 1 1 0 +1 +0 4 0x4fac 0x384e0355 @@ -349854,6 +437318,8 @@ 1 1 0 +5 +2 3 0x18cb 0x72a0c042 @@ -349862,6 +437328,8 @@ 0 0 0 +0 +5 1 0x9cad 0x62f87da1 @@ -349870,6 +437338,8 @@ 0 0 0 +5 +5 3 0xe16 0xf4b3f6c @@ -349878,6 +437348,8 @@ 1 0 0 +5 +2 1 0x3b03 0x764d8f61 @@ -349886,6 +437358,8 @@ 0 0 0 +4 +1 2 0xbba8 0x70dccab5 @@ -349894,6 +437368,8 @@ 0 0 0 +0 +4 1 0x7020 0xc97f0762 @@ -349902,6 +437378,8 @@ 0 0 0 +2 +3 1 0x9709 0x83f53a81 @@ -349910,6 +437388,8 @@ 0 0 0 +5 +4 2 0x1cb 0x74660797 @@ -349918,6 +437398,8 @@ 0 0 0 +5 +5 4 0x1492 0xd47ba943 @@ -349926,6 +437408,8 @@ 0 0 0 +4 +1 3 0x3a40 0xbcb18f80 @@ -349934,6 +437418,8 @@ 0 0 0 +4 +2 2 0x4bcd 0x3bd705f9 @@ -349942,6 +437428,8 @@ 0 0 0 +2 +2 1 0x5381 0xa5229bc7 @@ -349950,6 +437438,8 @@ 0 0 0 +5 +5 3 0xbcba 0x9e6bfad5 @@ -349958,6 +437448,8 @@ 1 0 0 +1 +1 4 0xb803 0xddf6c46c @@ -349966,6 +437458,8 @@ 0 0 0 +2 +0 1 0x7590 0x4c42955f @@ -349974,6 +437468,8 @@ 0 0 0 +1 +3 2 0x6069 0x7db87480 @@ -349983,6 +437479,8 @@ 0 0 3 +4 +3 0xe728 0x6cfd4150 256 @@ -349990,6 +437488,8 @@ 1 0 0 +4 +1 1 0x2cae 0x4837ed6b @@ -349998,6 +437498,8 @@ 1 1 0 +5 +2 4 0xcc14 0xb08daf74 @@ -350006,6 +437508,8 @@ 1 0 0 +4 +1 2 0x58d2 0x56545ee7 @@ -350014,6 +437518,8 @@ 0 0 0 +2 +0 1 0xc52d 0xd6257d82 @@ -350022,6 +437528,8 @@ 1 1 0 +5 +2 4 0xf606 0x4cb11294 @@ -350030,6 +437538,8 @@ 1 1 0 +1 +3 4 0xb444 0x11321f44 @@ -350038,6 +437548,8 @@ 0 0 0 +1 +2 2 0xdf74 0xd22f1dca @@ -350046,6 +437558,8 @@ 1 0 0 +5 +3 2 0xc018 0xf0e7dad5 @@ -350054,6 +437568,8 @@ 1 1 0 +5 +5 4 0xf65f 0x37581e69 @@ -350062,6 +437578,8 @@ 1 0 0 +0 +0 4 0x8aa6 0xbaa43a8e @@ -350070,6 +437588,8 @@ 0 0 0 +5 +0 2 0x1703 0x1bd7b35c @@ -350078,6 +437598,8 @@ 0 0 0 +2 +3 4 0xd513 0x500cefba @@ -350086,6 +437608,8 @@ 0 0 0 +3 +1 2 0x42f0 0x17d812ac @@ -350094,6 +437618,8 @@ 1 0 0 +4 +1 1 0x94e1 0xf9fb4d07 @@ -350102,6 +437628,8 @@ 1 0 0 +3 +3 2 0x7106 0x6d74ac52 @@ -350111,6 +437639,8 @@ 0 0 3 +0 +3 0x9929 0xc5bbec80 256 @@ -350118,6 +437648,8 @@ 1 1 0 +0 +5 2 0x34d1 0xb1018ae9 @@ -350126,6 +437658,8 @@ 1 1 0 +5 +1 4 0x9c9b 0x3115a2e4 @@ -350134,6 +437668,8 @@ 1 0 0 +1 +4 4 0x3fbb 0x498f00db @@ -350142,6 +437678,8 @@ 0 0 0 +3 +5 4 0x2805 0xa3f4027d @@ -350150,6 +437688,8 @@ 1 0 0 +0 +2 1 0xad1a 0xe1a0d1cb @@ -350159,6 +437699,8 @@ 0 0 3 +1 +3 0xc362 0x28ec73c 256 @@ -350166,6 +437708,8 @@ 0 0 0 +5 +1 2 0x93b8 0xe2a23e28 @@ -350174,6 +437718,8 @@ 1 1 0 +4 +3 1 0xa485 0x9f0aae1 @@ -350182,6 +437728,8 @@ 1 1 0 +5 +4 1 0xca7e 0x45b326fc @@ -350190,6 +437738,8 @@ 0 0 0 +3 +2 4 0xbb20 0x2e46fcba @@ -350198,6 +437748,8 @@ 1 1 0 +0 +3 1 0xad1 0x1fc2550 @@ -350207,6 +437759,8 @@ 0 0 3 +1 +3 0xb2ef 0xdbecb2f5 256 @@ -350214,6 +437768,8 @@ 1 0 0 +1 +2 4 0x8c34 0x8650c8e3 @@ -350222,6 +437778,8 @@ 1 1 0 +5 +3 2 0xfac 0x7f22dfbd @@ -350230,6 +437788,8 @@ 0 0 0 +0 +5 2 0x399c 0x83f7a507 @@ -350239,6 +437799,8 @@ 0 0 4 +4 +4 0x7eca 0xc2063286 256 @@ -350246,6 +437808,8 @@ 1 1 0 +5 +0 3 0xa076 0x7ecbac8c @@ -350254,6 +437818,8 @@ 0 0 0 +1 +3 3 0xbe5f 0x5c2fb200 @@ -350262,6 +437828,8 @@ 1 0 0 +5 +0 4 0xd31a 0x22850b76 @@ -350270,6 +437838,8 @@ 0 0 0 +1 +0 4 0x4606 0x1fc48cef @@ -350278,6 +437848,8 @@ 0 0 0 +0 +5 2 0x98b3 0xb9bcad61 @@ -350286,6 +437858,8 @@ 1 0 0 +1 +3 4 0xf487 0x6a5bfcd5 @@ -350295,6 +437869,8 @@ 1 0 3 +5 +3 0xfe4f 0xc7525cdd 256 @@ -350302,6 +437878,8 @@ 1 0 0 +1 +2 4 0x45ba 0xeb0998c2 @@ -350310,6 +437888,8 @@ 1 0 0 +0 +1 4 0xa95f 0x9253e58f @@ -350319,6 +437899,8 @@ 0 0 2 +5 +2 0xc91d 0xafda32fb 256 @@ -350326,6 +437908,8 @@ 0 0 0 +4 +0 2 0x51ca 0xf299637d @@ -350334,6 +437918,8 @@ 0 0 0 +3 +3 4 0xe443 0xed2d21c6 @@ -350342,6 +437928,8 @@ 1 0 0 +5 +1 3 0x56d5 0x7a7da937 @@ -350350,6 +437938,8 @@ 1 0 0 +4 +5 2 0x54c2 0x4e0add11 @@ -350358,6 +437948,8 @@ 1 1 0 +4 +1 1 0xefb7 0x72930b65 @@ -350366,6 +437958,8 @@ 0 0 0 +5 +4 2 0x859d 0x6b631e70 @@ -350374,6 +437968,8 @@ 1 1 0 +1 +4 4 0xc1f7 0xf865ec12 @@ -350382,6 +437978,8 @@ 0 0 0 +0 +2 3 0x3a13 0x430c6517 @@ -350390,6 +437988,8 @@ 0 0 0 +1 +3 2 0xe78b 0xc6b75588 @@ -350398,6 +437998,8 @@ 1 1 0 +1 +4 4 0xb92c 0x9478f871 @@ -350406,6 +438008,8 @@ 1 0 0 +5 +3 4 0xe46f 0xba9a39af @@ -350414,6 +438018,8 @@ 0 0 0 +3 +0 2 0x3bba 0xd8809930 @@ -350422,6 +438028,8 @@ 0 0 0 +4 +5 2 0x67e7 0xdc7d65fd @@ -350431,6 +438039,8 @@ 1 0 4 +5 +4 0x42ed 0xd773e327 256 @@ -350439,6 +438049,8 @@ 0 0 2 +4 +2 0x2475 0xa1ef98e1 256 @@ -350446,6 +438058,8 @@ 0 0 0 +1 +1 4 0x507 0xe1b8215e @@ -350454,6 +438068,8 @@ 0 0 0 +5 +0 4 0xb236 0xfce69c8 @@ -350462,6 +438078,8 @@ 0 0 0 +1 +0 3 0x5e46 0x60dd5484 @@ -350471,6 +438089,8 @@ 0 0 3 +0 +3 0xa393 0x86881c56 256 @@ -350478,6 +438098,8 @@ 1 0 0 +2 +1 3 0x1695 0x6d5b6109 @@ -350487,6 +438109,8 @@ 0 0 3 +3 +3 0x6e69 0x2b6e287b 256 @@ -350494,6 +438118,8 @@ 1 1 0 +4 +3 3 0x8a9f 0xa947f85d @@ -350503,6 +438129,8 @@ 1 0 3 +1 +3 0xca4d 0xce7de4f6 256 @@ -350510,6 +438138,8 @@ 0 0 0 +0 +3 2 0xecaa 0xd6291e0a @@ -350518,6 +438148,8 @@ 0 0 0 +2 +5 3 0xb822 0xde5f5439 @@ -350526,6 +438158,8 @@ 0 0 0 +5 +1 4 0xa215 0xae66e1d @@ -350534,6 +438168,8 @@ 1 0 0 +0 +5 2 0x2abd 0xdff10723 @@ -350542,6 +438178,8 @@ 0 0 0 +2 +2 1 0x38c1 0x27f3f8ff @@ -350550,6 +438188,8 @@ 0 0 0 +1 +5 4 0xa669 0x75652795 @@ -350558,6 +438198,8 @@ 1 1 0 +4 +2 3 0x39ac 0x5cf1a2b0 @@ -350567,6 +438209,8 @@ 0 0 2 +3 +2 0xd487 0x51336402 256 @@ -350574,6 +438218,8 @@ 0 0 0 +1 +2 3 0x1a89 0xebcb0d2c @@ -350582,6 +438228,8 @@ 0 0 0 +3 +5 2 0x1c62 0x137b9ccc @@ -350590,6 +438238,8 @@ 1 0 0 +2 +4 1 0x8961 0x45596c71 @@ -350599,6 +438249,8 @@ 0 0 3 +2 +3 0xf3b3 0x9c237286 256 @@ -350606,6 +438258,8 @@ 1 1 0 +2 +3 4 0xa641 0x49c0bcff @@ -350614,6 +438268,8 @@ 0 0 0 +0 +5 2 0x5df0 0xc96443d6 @@ -350622,6 +438278,8 @@ 1 0 0 +4 +1 2 0x11dd 0xc52eb26a @@ -350630,6 +438288,8 @@ 1 0 0 +5 +0 2 0x89ed 0xee84cba3 @@ -350638,6 +438298,8 @@ 0 0 0 +0 +2 3 0x4094 0x3ec6cfd0 @@ -350646,6 +438308,8 @@ 1 1 0 +0 +3 3 0xf67b 0x12dd2ff1 @@ -350654,6 +438318,8 @@ 1 0 0 +1 +3 2 0xaf85 0xf631aeef @@ -350662,6 +438328,8 @@ 1 0 0 +4 +1 1 0x7e8b 0x4e29c410 @@ -350670,6 +438338,8 @@ 1 1 0 +4 +1 2 0x94d4 0x99352613 @@ -350678,6 +438348,8 @@ 0 0 0 +2 +0 3 0x15eb 0xefcb481 @@ -350686,6 +438358,8 @@ 1 1 0 +3 +0 1 0x9067 0x224f8665 @@ -350694,6 +438368,8 @@ 1 0 0 +0 +3 3 0x8cfc 0xdacd8efc @@ -350702,6 +438378,8 @@ 0 0 0 +2 +5 4 0xabaf 0x183548 @@ -350710,6 +438388,8 @@ 0 0 0 +1 +2 3 0x7d17 0x529a95c9 @@ -350718,6 +438398,8 @@ 1 0 0 +3 +5 4 0x4cae 0xd23b3b6e @@ -350726,6 +438408,8 @@ 0 0 0 +4 +1 2 0x3bda 0xb6a99ea9 @@ -350734,6 +438418,8 @@ 1 1 0 +5 +4 1 0x49fe 0xda48cecc @@ -350742,6 +438428,8 @@ 0 0 0 +4 +0 1 0x3d42 0x5ae86a3c @@ -350751,6 +438439,8 @@ 1 0 3 +4 +3 0x93ba 0x48f6a07d 256 @@ -350759,6 +438449,8 @@ 1 0 1 +4 +1 0xf862 0xf3c95b4 256 @@ -350766,6 +438458,8 @@ 0 0 0 +2 +3 3 0xa1eb 0xfd7786e6 @@ -350775,6 +438469,8 @@ 0 0 3 +3 +3 0xa114 0x97a26538 256 @@ -350782,6 +438478,8 @@ 1 0 0 +1 +1 2 0x3f4c 0xd40e7b44 @@ -350790,6 +438488,8 @@ 1 0 0 +2 +5 3 0x492a 0x74b93f7c @@ -350798,6 +438498,8 @@ 1 0 0 +5 +4 4 0x46f0 0x8ae468bb @@ -350806,6 +438508,8 @@ 1 0 0 +4 +3 3 0x41e6 0x3497fa44 @@ -350814,6 +438518,8 @@ 0 0 0 +0 +3 1 0xba2e 0xe7922539 @@ -350822,6 +438528,8 @@ 0 0 0 +4 +2 1 0x8267 0xcbde55f4 @@ -350830,6 +438538,8 @@ 1 0 0 +0 +2 4 0x76de 0xf479c2b9 @@ -350838,6 +438548,8 @@ 1 0 0 +0 +0 3 0xda94 0x5e48c346 @@ -350846,6 +438558,8 @@ 1 1 0 +4 +3 3 0x7c68 0x671bfc97 @@ -350854,6 +438568,8 @@ 1 0 0 +4 +4 1 0xb433 0x8108a633 @@ -350862,6 +438578,8 @@ 0 0 0 +5 +0 4 0x41b6 0xe12f3e3c @@ -350871,6 +438589,8 @@ 0 0 3 +1 +3 0xf6a1 0x71ecd5e0 256 @@ -350878,6 +438598,8 @@ 0 0 0 +0 +1 3 0x13fe 0x813c264c @@ -350886,6 +438608,8 @@ 1 1 0 +5 +5 4 0x4546 0x84f6a5 @@ -350895,6 +438619,8 @@ 1 0 1 +2 +1 0xb3a3 0x2e46d381 256 @@ -350902,6 +438628,8 @@ 0 0 0 +0 +0 2 0xf641 0xd48c54c0 @@ -350910,6 +438638,8 @@ 1 0 0 +4 +4 3 0x356c 0x2fe970ef @@ -350918,6 +438648,8 @@ 1 0 0 +4 +2 1 0x5f29 0xdcb3c9ca @@ -350926,6 +438658,8 @@ 1 0 0 +1 +4 3 0x69 0x9db93bf @@ -350934,6 +438668,8 @@ 0 0 0 +0 +0 4 0xdf85 0x8a8f47a7 @@ -350942,6 +438678,8 @@ 1 1 0 +4 +0 2 0xd2e4 0x686c82a5 @@ -350950,6 +438688,8 @@ 1 1 0 +0 +0 2 0x348e 0xaf51c0d3 @@ -350958,6 +438698,8 @@ 1 0 0 +1 +2 4 0xcb9a 0x5b56317a @@ -350966,6 +438708,8 @@ 0 0 0 +0 +2 2 0x333d 0xf5ecdb7 @@ -350974,6 +438718,8 @@ 1 1 0 +3 +2 2 0x421d 0x8cc6cd39 @@ -350982,6 +438728,8 @@ 1 1 0 +3 +2 1 0x4d07 0x1cf0e74 @@ -350990,6 +438738,8 @@ 0 0 0 +3 +4 2 0xaf53 0xe751a23b @@ -350998,6 +438748,8 @@ 0 0 0 +3 +0 2 0x4163 0x27f806a0 @@ -351006,6 +438758,8 @@ 1 1 0 +2 +5 4 0x2d36 0xd82c7ea0 @@ -351014,6 +438768,8 @@ 0 0 0 +2 +2 4 0x9004 0xef09f993 @@ -351023,6 +438779,8 @@ 0 0 2 +5 +2 0x1b8d 0x812f2501 256 @@ -351030,6 +438788,8 @@ 0 0 0 +0 +3 4 0x5e8b 0xd58b6480 @@ -351038,6 +438798,8 @@ 1 0 0 +5 +4 4 0x185d 0x1fc0653e @@ -351046,6 +438808,8 @@ 1 1 0 +3 +4 2 0x875c 0x92468fa6 @@ -351054,6 +438818,8 @@ 1 0 0 +2 +2 1 0x4de6 0xec4e3189 @@ -351062,6 +438828,8 @@ 1 0 0 +5 +1 1 0x465e 0x380f6cae @@ -351070,6 +438838,8 @@ 1 0 0 +1 +3 4 0x19af 0x4fb61966 @@ -351078,6 +438848,8 @@ 0 0 0 +5 +4 2 0x3a03 0xef6b1c34 @@ -351087,6 +438859,8 @@ 0 0 3 +2 +3 0x1d39 0x22531ff5 256 @@ -351095,6 +438869,8 @@ 0 0 2 +1 +2 0xc46c 0x3e812c96 256 @@ -351102,6 +438878,8 @@ 1 1 0 +2 +2 3 0x314c 0x78e1c44f @@ -351110,6 +438888,8 @@ 1 0 0 +4 +5 3 0x5d92 0xfd53f19 @@ -351118,6 +438898,8 @@ 0 0 0 +0 +2 3 0x4b88 0x4abca5e3 @@ -351127,6 +438909,8 @@ 0 0 4 +1 +4 0xdfe 0x8fdccb9a 256 @@ -351134,6 +438918,8 @@ 1 0 0 +0 +5 1 0xaa6f 0xcbb83f25 @@ -351143,6 +438929,8 @@ 0 0 1 +5 +1 0xfc30 0x41e08b00 256 @@ -351151,6 +438939,8 @@ 0 0 1 +2 +1 0xe40c 0x3681dae 256 @@ -351158,6 +438948,8 @@ 1 0 0 +3 +2 2 0xacda 0x399d065a @@ -351166,6 +438958,8 @@ 1 0 0 +3 +1 4 0x599e 0x82826165 @@ -351174,6 +438968,8 @@ 1 0 0 +3 +5 2 0x4c28 0xbc3be044 @@ -351182,6 +438978,8 @@ 0 0 0 +3 +2 4 0xf1e5 0x9169d20d @@ -351190,6 +438988,8 @@ 1 1 0 +0 +0 2 0xc6e2 0x94d32f58 @@ -351198,6 +438998,8 @@ 1 0 0 +2 +3 1 0x260b 0xe2bbebe8 @@ -351206,6 +439008,8 @@ 1 1 0 +5 +5 4 0xd231 0x8f93864c @@ -351215,6 +439019,8 @@ 1 0 3 +4 +3 0xb0a6 0xe04fa7ce 256 @@ -351222,6 +439028,8 @@ 0 0 0 +5 +5 2 0x958 0x29d1c003 @@ -351230,6 +439038,8 @@ 1 1 0 +2 +1 3 0xcc2f 0xb9262c12 @@ -351239,6 +439049,8 @@ 0 0 3 +0 +3 0xdb94 0x4054a452 256 @@ -351246,6 +439058,8 @@ 1 1 0 +5 +3 4 0x43a3 0xd37f614b @@ -351254,6 +439068,8 @@ 1 0 0 +3 +1 2 0x97af 0x1a6fd74d @@ -351263,6 +439079,8 @@ 1 0 2 +3 +2 0x445a 0x955c9bd7 256 @@ -351270,6 +439088,8 @@ 1 0 0 +5 +2 3 0xf913 0x13c4ddb9 @@ -351278,6 +439098,8 @@ 1 0 0 +0 +1 1 0x21ff 0xd21d43f9 @@ -351287,6 +439109,8 @@ 0 0 4 +3 +4 0x3e99 0x80d411d3 256 @@ -351295,6 +439119,8 @@ 1 0 3 +4 +3 0x95de 0x230ddc33 256 @@ -351302,6 +439128,8 @@ 1 0 0 +0 +4 2 0xec20 0x89e23be8 @@ -351310,6 +439138,8 @@ 0 0 0 +1 +2 2 0xbbe3 0x91dd480c @@ -351318,6 +439148,8 @@ 1 1 0 +0 +4 1 0xb24d 0xb86c51b3 @@ -351327,6 +439159,8 @@ 0 0 1 +4 +1 0xeb97 0x2e82a538 256 @@ -351334,6 +439168,8 @@ 1 0 0 +2 +4 1 0xf100 0x71cd166b @@ -351343,6 +439179,8 @@ 0 0 2 +0 +2 0x72e4 0xd861972b 256 @@ -351350,6 +439188,8 @@ 0 0 0 +5 +1 2 0xea2 0x723cea4f @@ -351358,6 +439198,8 @@ 1 0 0 +4 +5 1 0x3adc 0xd714b82b @@ -351366,6 +439208,8 @@ 0 0 0 +1 +0 2 0x2786 0xb08ddd50 @@ -351374,6 +439218,8 @@ 0 0 0 +4 +4 2 0x7368 0x8d61d839 @@ -351383,6 +439229,8 @@ 0 0 3 +3 +3 0xcd10 0xfbc29e23 256 @@ -351390,6 +439238,8 @@ 1 1 0 +3 +5 2 0xab9f 0x340d6754 @@ -351398,6 +439248,8 @@ 0 0 0 +5 +3 4 0x513f 0x27dc9290 @@ -351407,6 +439259,8 @@ 0 0 2 +5 +2 0x4248 0x89110f56 256 @@ -351414,6 +439268,8 @@ 0 0 0 +2 +4 3 0x85bd 0xb6c841f @@ -351422,6 +439278,8 @@ 1 0 0 +5 +0 2 0xd628 0x9c1616dd @@ -351430,6 +439288,8 @@ 1 0 0 +0 +0 4 0xc7a6 0x2191595d @@ -351438,6 +439298,8 @@ 1 1 0 +0 +1 3 0x63bf 0xf8bc1da3 @@ -351446,6 +439308,8 @@ 0 0 0 +2 +0 1 0x4794 0xc4891d5 @@ -351454,6 +439318,8 @@ 0 0 0 +5 +4 4 0x25db 0x914c5c7c @@ -351462,6 +439328,8 @@ 0 0 0 +0 +5 3 0x4a49 0x64e2747c @@ -351470,6 +439338,8 @@ 1 1 0 +5 +2 1 0x323c 0xa0c93628 @@ -351478,6 +439348,8 @@ 1 0 0 +1 +2 2 0x5833 0x7d4b2271 @@ -351486,6 +439358,8 @@ 0 0 0 +0 +0 2 0xf8b6 0x5484107b @@ -351494,6 +439368,8 @@ 0 0 0 +3 +0 4 0x3b28 0x9dd59875 @@ -351502,6 +439378,8 @@ 1 1 0 +3 +1 2 0x66e4 0x3f1c7fb6 @@ -351510,6 +439388,8 @@ 0 0 0 +5 +0 2 0x49a7 0x2668fc75 @@ -351518,6 +439398,8 @@ 0 0 0 +4 +1 3 0x5efe 0x945f610 @@ -351526,6 +439408,8 @@ 0 0 0 +4 +3 3 0xcf4b 0x21125176 @@ -351534,6 +439418,8 @@ 0 0 0 +2 +0 1 0x7a9c 0xa17b631 @@ -351542,6 +439428,8 @@ 0 0 0 +3 +4 1 0x1f98 0x47e844bd @@ -351550,6 +439438,8 @@ 1 0 0 +4 +1 3 0x2e33 0x3e81781f @@ -351558,6 +439448,8 @@ 0 0 0 +5 +2 2 0x367 0xa168b861 @@ -351566,6 +439458,8 @@ 1 1 0 +5 +0 1 0x55cc 0xe4947011 @@ -351574,6 +439468,8 @@ 1 1 0 +0 +2 3 0xf7bf 0xdea5b942 @@ -351582,6 +439478,8 @@ 1 0 0 +5 +4 1 0xa9cb 0x54e982aa @@ -351591,6 +439489,8 @@ 1 0 4 +4 +4 0x8c3e 0xa92cf450 256 @@ -351598,6 +439498,8 @@ 1 1 0 +5 +3 4 0xd57b 0x42cd0b2f @@ -351606,6 +439508,8 @@ 0 0 0 +4 +5 1 0x5576 0x211e175b @@ -351614,6 +439518,8 @@ 1 0 0 +1 +2 3 0xbf5a 0xc37ee78e @@ -351622,6 +439528,8 @@ 0 0 0 +3 +0 2 0xf48e 0x60c2811d @@ -351630,6 +439538,8 @@ 0 0 0 +2 +3 1 0x78a1 0x969cc0aa @@ -351638,6 +439548,8 @@ 0 0 0 +2 +5 1 0xb5a9 0xec3ff06b @@ -351646,6 +439558,8 @@ 1 1 0 +4 +3 3 0x9aa5 0x60355bef @@ -351654,6 +439568,8 @@ 0 0 0 +0 +5 2 0xaa40 0xef9bf00a @@ -351663,6 +439579,8 @@ 0 0 1 +5 +1 0x3f6f 0xced59047 256 @@ -351670,6 +439588,8 @@ 1 0 0 +2 +1 3 0x5cc5 0x9ac8f84f @@ -351678,6 +439598,8 @@ 1 0 0 +4 +0 1 0x257a 0x4b16d78e @@ -351686,6 +439608,8 @@ 0 0 0 +1 +3 2 0xd4f1 0x1c6a8ed9 @@ -351694,6 +439618,8 @@ 1 0 0 +0 +3 1 0x9531 0xdec05d82 @@ -351702,6 +439628,8 @@ 0 0 0 +1 +3 4 0x2c9c 0x75098b49 @@ -351710,6 +439638,8 @@ 0 0 0 +3 +5 1 0x1b97 0xaf7d5122 @@ -351718,6 +439648,8 @@ 1 1 0 +5 +3 4 0xead1 0x24287081 @@ -351726,6 +439658,8 @@ 1 0 0 +5 +5 3 0x2b45 0xdb859872 @@ -351734,6 +439668,8 @@ 0 0 0 +3 +4 2 0xa660 0xae222b0 @@ -351742,6 +439678,8 @@ 0 0 0 +1 +1 3 0xf73f 0x678c49b2 @@ -351750,6 +439688,8 @@ 1 0 0 +2 +4 3 0xf5a 0xaf37bd8c @@ -351758,6 +439698,8 @@ 0 0 0 +0 +2 3 0xb61e 0xd2131179 @@ -351766,6 +439708,8 @@ 1 1 0 +5 +4 4 0x40c7 0xd0f2dcc8 @@ -351774,6 +439718,8 @@ 1 0 0 +4 +0 3 0x700b 0xe7087cef @@ -351782,6 +439728,8 @@ 0 0 0 +1 +2 2 0xf448 0xb3450a28 @@ -351790,6 +439738,8 @@ 0 0 0 +3 +0 4 0x5d52 0x66896741 @@ -351798,6 +439748,8 @@ 1 0 0 +2 +5 1 0x5ab8 0xd55bf621 @@ -351807,6 +439759,8 @@ 0 0 3 +3 +3 0x3a1c 0x91de4b77 256 @@ -351814,6 +439768,8 @@ 1 1 0 +4 +2 3 0x51c9 0x41732328 @@ -351822,6 +439778,8 @@ 0 0 0 +1 +5 4 0x7976 0x40217165 @@ -351830,6 +439788,8 @@ 0 0 0 +1 +3 2 0x5d1d 0x8f162355 @@ -351838,6 +439798,8 @@ 0 0 0 +2 +2 4 0x87a5 0xeae0ad66 @@ -351846,6 +439808,8 @@ 0 0 0 +0 +3 1 0x882f 0x157e1203 @@ -351854,6 +439818,8 @@ 1 1 0 +5 +0 2 0xea08 0x352babcf @@ -351862,6 +439828,8 @@ 0 0 0 +1 +5 4 0x78b0 0x6206191 @@ -351870,6 +439838,8 @@ 0 0 0 +3 +2 2 0x7107 0x3c2b40a9 @@ -351878,6 +439848,8 @@ 1 1 0 +5 +0 3 0xf588 0x84fb6481 @@ -351886,6 +439858,8 @@ 0 0 0 +0 +0 2 0xe512 0x17ab7399 @@ -351894,6 +439868,8 @@ 1 0 0 +1 +3 2 0x612d 0xc9ca8610 @@ -351902,6 +439878,8 @@ 1 0 0 +5 +3 3 0x58a8 0x6c960876 @@ -351910,6 +439888,8 @@ 1 1 0 +2 +1 1 0x2546 0x5c4b4592 @@ -351918,6 +439898,8 @@ 1 0 0 +0 +4 4 0x10b9 0x7687c0fe @@ -351926,6 +439908,8 @@ 0 0 0 +0 +2 4 0x6e2f 0x1d90facd @@ -351934,6 +439918,8 @@ 0 0 0 +3 +4 1 0x4872 0x1ddab093 @@ -351942,6 +439928,8 @@ 0 0 0 +3 +5 2 0xa030 0x365bf84f @@ -351950,6 +439938,8 @@ 0 0 0 +2 +1 1 0xb1ba 0xe90265ac @@ -351958,6 +439948,8 @@ 0 0 0 +4 +2 1 0xbea 0x2cd33fa3 @@ -351966,6 +439958,8 @@ 1 0 0 +1 +3 4 0x2a22 0x1fe83605 @@ -351974,6 +439968,8 @@ 0 0 0 +2 +5 4 0xafc 0xa19117d9 @@ -351982,6 +439978,8 @@ 0 0 0 +5 +3 4 0x4c58 0x3772d93d @@ -351990,6 +439988,8 @@ 0 0 0 +5 +4 4 0x18bd 0xe3664746 @@ -351998,6 +439998,8 @@ 0 0 0 +0 +2 2 0xd678 0xa654ef80 @@ -352006,6 +440008,8 @@ 0 0 0 +1 +0 4 0x98ee 0xf86c6d3 @@ -352014,6 +440018,8 @@ 1 0 0 +2 +2 3 0xa945 0xea46b7b4 @@ -352022,6 +440028,8 @@ 1 1 0 +1 +4 4 0x5a95 0x79521c9a @@ -352030,6 +440038,8 @@ 1 0 0 +5 +0 3 0x4192 0xcab53493 @@ -352038,6 +440048,8 @@ 1 0 0 +5 +4 4 0x3dd1 0x5c638d4f @@ -352046,6 +440058,8 @@ 0 0 0 +4 +5 3 0x14d 0x8ba1ea55 @@ -352054,6 +440068,8 @@ 0 0 0 +2 +1 3 0x758f 0xd78f9a62 @@ -352062,6 +440078,8 @@ 0 0 0 +5 +3 3 0xd59a 0x128ce55c @@ -352070,6 +440088,8 @@ 1 1 0 +3 +3 1 0xa45b 0xd637d6fd @@ -352079,6 +440099,8 @@ 0 0 2 +5 +2 0xa08e 0x591c441e 256 @@ -352086,6 +440108,8 @@ 1 1 0 +4 +0 3 0x7ef0 0x6a22fa3e @@ -352094,6 +440118,8 @@ 0 0 0 +1 +4 2 0xb67e 0xec80abd5 @@ -352102,6 +440128,8 @@ 0 0 0 +2 +0 4 0x302e 0x2dc379bc @@ -352110,6 +440138,8 @@ 0 0 0 +0 +4 4 0x26fa 0xfc0daa38 @@ -352118,6 +440148,8 @@ 1 1 0 +0 +3 4 0x8f0f 0xb93a2662 @@ -352126,6 +440158,8 @@ 1 1 0 +3 +4 1 0xf3e0 0xcb36e9f2 @@ -352134,6 +440168,8 @@ 1 0 0 +4 +4 3 0x2fd9 0x5321b8e0 @@ -352142,6 +440178,8 @@ 0 0 0 +1 +4 2 0xd0c8 0x8e46c929 @@ -352150,6 +440188,8 @@ 1 1 0 +5 +0 3 0x6feb 0x8597b671 @@ -352158,6 +440198,8 @@ 0 0 0 +4 +3 1 0x9098 0xe02edbc @@ -352167,6 +440209,8 @@ 0 0 1 +1 +1 0xac31 0x17cbc23d 256 @@ -352174,6 +440218,8 @@ 1 1 0 +0 +2 1 0xb009 0x6b776235 @@ -352182,6 +440228,8 @@ 0 0 0 +2 +0 3 0xf889 0x201bc897 @@ -352191,6 +440239,8 @@ 1 0 2 +3 +2 0x7a75 0xa51d0557 256 @@ -352198,6 +440248,8 @@ 1 1 0 +0 +5 4 0x4e1c 0x569cf696 @@ -352206,6 +440258,8 @@ 1 1 0 +2 +1 1 0xf4f2 0xaa8c02ed @@ -352214,6 +440268,8 @@ 0 0 0 +1 +0 2 0x8335 0xb2be943c @@ -352222,6 +440278,8 @@ 0 0 0 +2 +0 4 0x580e 0xe8d71e5b @@ -352230,6 +440288,8 @@ 1 1 0 +3 +1 1 0xdfa2 0x51e50d9b @@ -352238,6 +440298,8 @@ 0 0 0 +5 +2 4 0xc4be 0x2afef42e @@ -352246,6 +440308,8 @@ 1 0 0 +2 +5 1 0x1fa8 0xa0a71068 @@ -352254,6 +440318,8 @@ 1 1 0 +2 +3 1 0x40e4 0x86389f1e @@ -352262,6 +440328,8 @@ 1 0 0 +1 +4 4 0x7215 0x9f0d26a1 @@ -352270,6 +440338,8 @@ 1 0 0 +3 +5 1 0x609b 0xc9d47bdf @@ -352279,6 +440349,8 @@ 0 0 2 +2 +2 0xbd9 0x6c131e12 256 @@ -352286,6 +440358,8 @@ 0 0 0 +5 +5 2 0xd3da 0x2f182991 @@ -352294,6 +440368,8 @@ 0 0 0 +0 +2 4 0x4cba 0x7725c517 @@ -352302,6 +440378,8 @@ 0 0 0 +3 +5 2 0x50fb 0xec667af6 @@ -352311,6 +440389,8 @@ 0 0 3 +3 +3 0x2cb9 0xa05220cd 256 @@ -352319,6 +440399,8 @@ 0 0 3 +4 +3 0x1a39 0xa21826b1 256 @@ -352327,6 +440409,8 @@ 1 0 4 +0 +4 0x7ff2 0xa4871e79 256 @@ -352334,6 +440418,8 @@ 0 0 0 +4 +0 1 0xa855 0x7b6903e0 @@ -352342,6 +440428,8 @@ 0 0 0 +2 +0 3 0xc33e 0x4c8f312b @@ -352350,6 +440438,8 @@ 0 0 0 +2 +0 3 0xa31 0xeb8f3fb @@ -352359,6 +440449,8 @@ 0 0 1 +3 +1 0xdee8 0x1fd5381 256 @@ -352366,6 +440458,8 @@ 1 1 0 +1 +2 3 0x88b2 0x5d3257bb @@ -352374,6 +440468,8 @@ 0 0 0 +0 +0 2 0x9a59 0xf6467e26 @@ -352382,6 +440478,8 @@ 0 0 0 +4 +1 2 0x7b59 0x30a27df6 @@ -352390,6 +440488,8 @@ 0 0 0 +5 +2 2 0x6200 0x79b5ce4a @@ -352398,6 +440498,8 @@ 0 0 0 +5 +2 3 0x73c4 0xb7811d17 @@ -352406,6 +440508,8 @@ 1 0 0 +0 +3 3 0xca5f 0xfd9a9e32 @@ -352414,6 +440518,8 @@ 0 0 0 +3 +2 4 0xf750 0xaf51fcb8 @@ -352422,6 +440528,8 @@ 0 0 0 +4 +1 2 0x96d2 0xb01009c6 @@ -352431,6 +440539,8 @@ 0 0 3 +3 +3 0x9ff7 0x5df12a3e 256 @@ -352438,6 +440548,8 @@ 0 0 0 +0 +5 4 0xcb1d 0x1ad58c6d @@ -352446,6 +440558,8 @@ 1 0 0 +0 +2 3 0x7695 0x5ce646df @@ -352454,6 +440568,8 @@ 1 1 0 +4 +5 1 0x903b 0xb4b1a5f0 @@ -352462,6 +440578,8 @@ 1 1 0 +1 +1 4 0x4c2b 0xeb1f3207 @@ -352470,6 +440588,8 @@ 0 0 0 +4 +0 2 0xd3a4 0xf1c1eb71 @@ -352478,6 +440598,8 @@ 0 0 0 +2 +4 3 0x4f8 0x1459a6ce @@ -352486,6 +440608,8 @@ 1 1 0 +5 +4 1 0xcd5c 0x8f7f8386 @@ -352494,6 +440618,8 @@ 0 0 0 +4 +2 1 0xdbc1 0x78aba98d @@ -352502,6 +440628,8 @@ 1 0 0 +5 +3 3 0x90aa 0xa10b2c85 @@ -352510,6 +440638,8 @@ 0 0 0 +4 +3 1 0xc03 0x2410d0a3 @@ -352518,6 +440648,8 @@ 1 1 0 +5 +1 1 0x14df 0x3ebb007d @@ -352527,6 +440659,8 @@ 0 0 2 +4 +2 0xa6e2 0x322857ac 256 @@ -352534,6 +440668,8 @@ 1 1 0 +5 +4 3 0xabdc 0x1abfdf22 @@ -352542,6 +440678,8 @@ 1 1 0 +2 +1 3 0xacd 0xf2fde400 @@ -352550,6 +440688,8 @@ 1 1 0 +1 +1 3 0x6480 0xa583a585 @@ -352558,6 +440698,8 @@ 1 1 0 +1 +4 4 0x40f7 0x47c6c3dd @@ -352566,6 +440708,8 @@ 0 0 0 +4 +3 3 0xb1d2 0x4aa9301f @@ -352574,6 +440718,8 @@ 0 0 0 +0 +4 3 0xe43b 0xc2726ca1 @@ -352582,6 +440728,8 @@ 0 0 0 +1 +5 4 0x4bc4 0xaec2b0a8 @@ -352591,6 +440739,8 @@ 0 0 2 +3 +2 0x45a6 0xdeaf574b 256 @@ -352599,6 +440749,8 @@ 0 0 2 +1 +2 0x6c20 0x1067f1a7 256 @@ -352606,6 +440758,8 @@ 1 1 0 +0 +3 1 0x6614 0xc0f2a3f9 @@ -352614,6 +440768,8 @@ 0 0 0 +3 +0 2 0x9155 0x9f94c348 @@ -352622,6 +440778,8 @@ 0 0 0 +4 +1 1 0xd342 0xd3f428b9 @@ -352630,6 +440788,8 @@ 0 0 0 +0 +5 4 0xd4f5 0x1a3b300b @@ -352638,6 +440798,8 @@ 0 0 0 +4 +5 3 0x6e25 0x7d8483f4 @@ -352647,6 +440809,8 @@ 1 0 3 +3 +3 0xf12b 0x661aa941 256 @@ -352654,6 +440818,8 @@ 0 0 0 +5 +1 4 0x7e8c 0xd1b89f75 @@ -352662,6 +440828,8 @@ 0 0 0 +5 +4 1 0x1350 0xb529e75a @@ -352670,6 +440838,8 @@ 1 1 0 +5 +3 2 0x70bf 0x3ef4fbed @@ -352679,6 +440849,8 @@ 0 0 2 +0 +2 0xbd74 0xfda570d5 256 @@ -352687,6 +440859,8 @@ 0 0 4 +5 +4 0xa0ce 0xaa76ef06 256 @@ -352695,6 +440869,8 @@ 0 0 1 +3 +1 0x8045 0x9bc1ba1f 256 @@ -352702,6 +440878,8 @@ 1 0 0 +5 +1 4 0x40b4 0xd8e258ec @@ -352711,6 +440889,8 @@ 0 0 2 +3 +2 0xac7a 0xd655d40d 256 @@ -352718,6 +440898,8 @@ 0 0 0 +2 +2 1 0xdec0 0x831f3610 @@ -352726,6 +440908,8 @@ 0 0 0 +0 +1 1 0x315f 0x6c41317b @@ -352734,6 +440918,8 @@ 1 1 0 +5 +5 4 0x4a8 0x61c7ee73 @@ -352742,6 +440928,8 @@ 1 1 0 +0 +3 4 0x19b 0x1f46d9be @@ -352750,6 +440938,8 @@ 1 0 0 +5 +0 3 0x24f8 0x4e70157d @@ -352758,6 +440948,8 @@ 0 0 0 +1 +3 2 0x8b28 0xaa87180b @@ -352767,6 +440959,8 @@ 0 0 2 +2 +2 0x90b9 0x204ef812 256 @@ -352774,6 +440968,8 @@ 1 0 0 +0 +1 3 0x717c 0x5b769a2c @@ -352782,6 +440978,8 @@ 0 0 0 +0 +1 2 0xb280 0x368c3ace @@ -352790,6 +440988,8 @@ 0 0 0 +4 +0 3 0x59db 0x3a041644 @@ -352799,6 +440999,8 @@ 1 0 1 +5 +1 0x96ab 0x1d380983 256 @@ -352806,6 +441008,8 @@ 1 1 0 +1 +5 4 0x7d95 0xd09c172 @@ -352814,6 +441018,8 @@ 1 0 0 +4 +2 1 0x61cd 0xf86415f6 @@ -352822,6 +441028,8 @@ 1 1 0 +1 +2 2 0x4cd9 0x9b3c26c @@ -352831,6 +441039,8 @@ 0 0 4 +5 +4 0xd1e8 0xd240b4cf 256 @@ -352839,6 +441049,8 @@ 0 0 4 +4 +4 0x1aef 0x957fe69a 256 @@ -352846,6 +441058,8 @@ 0 0 0 +4 +2 1 0x1761 0x18ae8cd1 @@ -352854,6 +441068,8 @@ 1 0 0 +5 +1 4 0x90d8 0xf4bbb942 @@ -352862,6 +441078,8 @@ 0 0 0 +0 +3 4 0x45a1 0x2425063d @@ -352870,6 +441088,8 @@ 0 0 0 +1 +0 2 0x76ad 0x87261bf9 @@ -352879,6 +441099,8 @@ 0 0 4 +3 +4 0xba98 0xfa90d01e 256 @@ -352887,6 +441109,8 @@ 0 0 2 +2 +2 0x2d2a 0x4a5eddfe 256 @@ -352894,6 +441118,8 @@ 0 0 0 +1 +5 2 0x5c6e 0x76d1027 @@ -352902,6 +441128,8 @@ 1 1 0 +2 +1 3 0xc2f0 0x14327134 @@ -352910,6 +441138,8 @@ 0 0 0 +1 +1 3 0xfb71 0xcf14cca7 @@ -352918,6 +441148,8 @@ 0 0 0 +5 +5 3 0x5be5 0x9f84bfc2 @@ -352926,6 +441158,8 @@ 0 0 0 +0 +3 4 0x2175 0xd5b6687d @@ -352934,6 +441168,8 @@ 1 0 0 +0 +3 3 0x6f78 0x82f23cb9 @@ -352942,6 +441178,8 @@ 0 0 0 +4 +3 3 0xbaa4 0x951e17b2 @@ -352950,6 +441188,8 @@ 1 0 0 +3 +4 1 0x6c0e 0x25983f59 @@ -352958,6 +441198,8 @@ 1 1 0 +5 +0 1 0x60ec 0x69b63076 @@ -352966,6 +441208,8 @@ 1 1 0 +3 +1 2 0x70ed 0xc817ab59 @@ -352974,6 +441218,8 @@ 1 1 0 +1 +1 4 0x54e3 0x8b04b27e @@ -352982,6 +441228,8 @@ 0 0 0 +2 +2 1 0x17 0x8228ad67 @@ -352990,6 +441238,8 @@ 1 1 0 +0 +0 4 0xd6d8 0x282912aa @@ -352998,6 +441248,8 @@ 0 0 0 +3 +5 2 0xa976 0x51412f3c @@ -353006,6 +441258,8 @@ 1 1 0 +5 +2 2 0x2052 0x95566381 @@ -353014,6 +441268,8 @@ 0 0 0 +0 +1 4 0x2964 0x19af2795 @@ -353023,6 +441279,8 @@ 0 0 2 +5 +2 0xc82c 0xeaa7f6f 256 @@ -353030,6 +441288,8 @@ 1 1 0 +5 +4 2 0x9c77 0xdb02e8fe @@ -353038,6 +441298,8 @@ 0 0 0 +2 +3 3 0xe545 0x947382b8 @@ -353046,6 +441308,8 @@ 1 1 0 +0 +0 2 0xf726 0xc5073fb1 @@ -353054,6 +441318,8 @@ 0 0 0 +0 +3 2 0x4dd5 0x64e10020 @@ -353062,6 +441328,8 @@ 0 0 0 +5 +2 2 0xc76 0xf39ed7df @@ -353070,6 +441338,8 @@ 0 0 0 +5 +3 4 0x2c3a 0x6fa7e558 @@ -353078,6 +441348,8 @@ 0 0 0 +5 +5 2 0x396c 0x4777f163 @@ -353086,6 +441358,8 @@ 1 1 0 +4 +5 1 0xab9a 0x7870eb3c @@ -353094,6 +441368,8 @@ 0 0 0 +1 +2 2 0x52a 0xbeaaa715 @@ -353102,6 +441378,8 @@ 0 0 0 +5 +1 3 0x3c55 0xa1bec575 @@ -353110,6 +441388,8 @@ 1 0 0 +5 +3 3 0x1dda 0x919a5414 @@ -353119,6 +441399,8 @@ 0 0 4 +2 +4 0x591e 0xb22c93ea 256 @@ -353126,6 +441408,8 @@ 0 0 0 +4 +5 3 0xf724 0xb42c2266 @@ -353134,6 +441418,8 @@ 1 0 0 +3 +1 2 0x245c 0x9bfdf754 @@ -353143,6 +441429,8 @@ 0 0 1 +4 +1 0x96a0 0x9d9ca016 256 @@ -353150,6 +441438,8 @@ 1 1 0 +0 +1 3 0xc71 0x40890e38 @@ -353158,6 +441448,8 @@ 0 0 0 +2 +3 1 0x6fa1 0xa9157071 @@ -353166,6 +441458,8 @@ 1 0 0 +3 +2 4 0xc6c7 0x8bc18dbd @@ -353174,6 +441468,8 @@ 0 0 0 +2 +2 4 0xc6a 0x2edd0c69 @@ -353182,6 +441478,8 @@ 1 1 0 +0 +3 4 0x6d11 0xfee63598 @@ -353191,6 +441489,8 @@ 1 0 1 +1 +1 0x903d 0x62c715c0 256 @@ -353199,6 +441499,8 @@ 0 0 1 +4 +1 0xc2db 0x915d33a7 256 @@ -353206,6 +441508,8 @@ 1 0 0 +2 +4 4 0x7f8f 0x99722cb2 @@ -353214,6 +441518,8 @@ 0 0 0 +2 +5 4 0xf0c4 0xc383ee87 @@ -353222,6 +441528,8 @@ 0 0 0 +1 +5 4 0xad36 0xa0bc7bd7 @@ -353231,6 +441539,8 @@ 0 0 3 +1 +3 0x9c4b 0x2a460e2b 256 @@ -353238,6 +441548,8 @@ 0 0 0 +4 +3 1 0x355e 0x859ec65a @@ -353246,6 +441558,8 @@ 0 0 0 +1 +0 4 0x97e7 0x5a57347c @@ -353254,6 +441568,8 @@ 0 0 0 +5 +2 3 0x4b7b 0x16cf9fd4 @@ -353262,6 +441578,8 @@ 1 0 0 +5 +1 3 0xefde 0x63b710b5 @@ -353270,6 +441588,8 @@ 0 0 0 +4 +2 3 0xddb 0x39a818d6 @@ -353278,6 +441598,8 @@ 1 0 0 +0 +5 3 0xe6a8 0x15a21921 @@ -353286,6 +441608,8 @@ 1 0 0 +0 +5 3 0xa9ff 0xc1af2157 @@ -353294,6 +441618,8 @@ 0 0 0 +1 +1 2 0xb908 0x7fd3e19f @@ -353302,6 +441628,8 @@ 1 0 0 +0 +4 4 0x90c7 0xf84e1e98 @@ -353310,6 +441638,8 @@ 1 1 0 +3 +1 4 0xefe3 0xb9e56019 @@ -353318,6 +441648,8 @@ 0 0 0 +1 +5 2 0xed69 0x9d39a199 @@ -353326,6 +441658,8 @@ 0 0 0 +3 +2 2 0xaee1 0x2f8ec5e @@ -353334,6 +441668,8 @@ 1 0 0 +5 +3 3 0x5116 0xc74de9c7 @@ -353343,6 +441679,8 @@ 0 0 4 +2 +4 0x4fe8 0x9472b7ab 256 @@ -353350,6 +441688,8 @@ 0 0 0 +4 +4 2 0x773a 0x3b3a111 @@ -353358,6 +441698,8 @@ 1 0 0 +5 +3 4 0x38de 0x99815baa @@ -353366,6 +441708,8 @@ 0 0 0 +5 +0 2 0x5ba0 0x75ba865e @@ -353374,6 +441718,8 @@ 0 0 0 +5 +0 3 0x5d9a 0xb6a2e804 @@ -353382,6 +441728,8 @@ 0 0 0 +5 +3 1 0x3853 0x6001e514 @@ -353390,6 +441738,8 @@ 0 0 0 +0 +0 2 0x8b9f 0x50bafe6c @@ -353398,6 +441748,8 @@ 0 0 0 +5 +2 2 0x4dca 0x7e5bd5af @@ -353406,6 +441758,8 @@ 1 0 0 +2 +5 1 0xc6c2 0x27477b2a @@ -353414,6 +441768,8 @@ 0 0 0 +5 +1 3 0x7cd4 0xe9a081c6 @@ -353422,6 +441778,8 @@ 1 0 0 +5 +3 1 0xa9e7 0xdc7fbbb7 @@ -353430,6 +441788,8 @@ 1 0 0 +0 +5 4 0xc2e7 0x2e666fdf @@ -353438,6 +441798,8 @@ 1 1 0 +2 +3 1 0x87f8 0x4db72454 @@ -353446,6 +441808,8 @@ 0 0 0 +3 +1 2 0xd4a6 0xfa47dd96 @@ -353454,6 +441818,8 @@ 0 0 0 +3 +2 4 0x5e7b 0xb8d65f2f @@ -353462,6 +441828,8 @@ 1 1 0 +1 +1 4 0x7e18 0x814125f9 @@ -353470,6 +441838,8 @@ 1 0 0 +0 +1 3 0xb63f 0x65ce2ed6 @@ -353478,6 +441848,8 @@ 0 0 0 +5 +1 1 0xfaae 0x6a1b6aed @@ -353486,6 +441858,8 @@ 1 0 0 +3 +0 2 0xc4b0 0x8d887929 @@ -353494,6 +441868,8 @@ 0 0 0 +3 +1 1 0x59fe 0xa746c0ce @@ -353502,6 +441878,8 @@ 0 0 0 +0 +1 3 0x1154 0x627d6b6 @@ -353511,6 +441889,8 @@ 0 0 4 +0 +4 0xfbcf 0x3d7ae01b 256 @@ -353518,6 +441898,8 @@ 0 0 0 +2 +1 3 0x467 0x25f112c0 @@ -353526,6 +441908,8 @@ 0 0 0 +5 +1 1 0xc9d5 0xc9685cdc @@ -353534,6 +441918,8 @@ 0 0 0 +2 +5 1 0x7d53 0x88c0d9b6 @@ -353542,6 +441928,8 @@ 0 0 0 +1 +5 3 0xa34e 0xc7cc9d65 @@ -353551,6 +441939,8 @@ 0 0 2 +4 +2 0x8d9a 0xe7189059 256 @@ -353558,6 +441948,8 @@ 1 1 0 +5 +0 4 0xc454 0x68dbc0b1 @@ -353566,6 +441958,8 @@ 0 0 0 +1 +2 4 0x64f 0xf12f9518 @@ -353574,6 +441968,8 @@ 1 1 0 +5 +2 2 0x4d46 0x556b330c @@ -353582,6 +441978,8 @@ 0 0 0 +2 +3 1 0xef8 0xcb693bc1 @@ -353591,6 +441989,8 @@ 0 0 4 +4 +4 0xce79 0x15e36c1a 256 @@ -353598,6 +441998,8 @@ 1 0 0 +0 +1 4 0x665a 0x9978c679 @@ -353606,6 +442008,8 @@ 0 0 0 +2 +1 4 0x94d3 0xb7c91620 @@ -353614,6 +442018,8 @@ 1 0 0 +5 +1 4 0x4fc6 0x2cb7a4bb @@ -353623,6 +442029,8 @@ 1 0 1 +3 +1 0xe66a 0x5fe8db6e 256 @@ -353630,6 +442038,8 @@ 1 0 0 +0 +4 2 0xae6e 0xfc03d1c2 @@ -353638,6 +442048,8 @@ 0 0 0 +5 +1 4 0x8f55 0xe19872ac @@ -353646,6 +442058,8 @@ 0 0 0 +1 +0 4 0x4196 0xede39ffd @@ -353654,6 +442068,8 @@ 1 1 0 +1 +4 3 0x9a95 0xa42e2c8f @@ -353662,6 +442078,8 @@ 1 1 0 +2 +4 4 0xa87a 0xa001ce7a @@ -353670,6 +442088,8 @@ 1 1 0 +1 +3 3 0xd36d 0xda4a7328 @@ -353678,6 +442098,8 @@ 0 0 0 +2 +5 4 0xbf14 0x5e2d0e39 @@ -353686,6 +442108,8 @@ 0 0 0 +3 +0 2 0xb647 0x9e96d5ba @@ -353694,6 +442118,8 @@ 0 0 0 +1 +2 2 0x3d2a 0x4998103d @@ -353702,6 +442128,8 @@ 1 0 0 +3 +2 2 0x8da3 0xdf09078 @@ -353710,6 +442138,8 @@ 1 0 0 +4 +5 3 0x94a0 0xa7384ba8 @@ -353719,6 +442149,8 @@ 0 0 1 +3 +1 0x5c5d 0xe897cd39 256 @@ -353726,6 +442158,8 @@ 1 1 0 +0 +2 1 0x2e9c 0x7ec73a93 @@ -353735,6 +442169,8 @@ 1 0 2 +3 +2 0xed22 0x60937bee 256 @@ -353742,6 +442178,8 @@ 0 0 0 +3 +1 4 0x5287 0x54a0b66a @@ -353750,6 +442188,8 @@ 1 0 0 +2 +4 4 0xd6b5 0xd02998f2 @@ -353758,6 +442198,8 @@ 1 1 0 +0 +2 4 0x3cbe 0x89e9f285 @@ -353767,6 +442209,8 @@ 1 0 4 +0 +4 0xfc14 0xf3ace099 256 @@ -353774,6 +442218,8 @@ 0 0 0 +2 +0 1 0xf4e3 0x70b48329 @@ -353782,6 +442228,8 @@ 1 0 0 +3 +2 2 0x1fc6 0xc8f197a0 @@ -353790,6 +442238,8 @@ 0 0 0 +3 +5 1 0xae5 0x6e841d30 @@ -353798,6 +442248,8 @@ 0 0 0 +3 +4 4 0x6dcc 0x6e2942d9 @@ -353806,6 +442258,8 @@ 0 0 0 +0 +1 4 0x7fe 0x2136cd5 @@ -353814,6 +442268,8 @@ 1 0 0 +2 +3 4 0x9e67 0xdbdbb7d4 @@ -353822,6 +442278,8 @@ 0 0 0 +1 +2 4 0x69c4 0x64a75d46 @@ -353830,6 +442288,8 @@ 0 0 0 +1 +5 4 0xe5b6 0x53e60acb @@ -353838,6 +442298,8 @@ 0 0 0 +4 +3 1 0xe2a3 0xd5d008ed @@ -353847,6 +442309,8 @@ 0 0 4 +4 +4 0xf713 0x4f2c25f4 256 @@ -353854,6 +442318,8 @@ 0 0 0 +2 +2 3 0x8ea8 0x240f682 @@ -353862,6 +442328,8 @@ 0 0 0 +5 +5 1 0x2a9b 0xd32a0d1 @@ -353870,6 +442338,8 @@ 0 0 0 +1 +3 4 0x5ece 0x9ba988f9 @@ -353878,6 +442348,8 @@ 1 1 0 +0 +1 3 0xc8fa 0x1001a202 @@ -353886,6 +442358,8 @@ 1 1 0 +4 +4 1 0x841 0x9d77fa14 @@ -353894,6 +442368,8 @@ 1 0 0 +4 +5 1 0xe249 0xbcb12d9b @@ -353902,6 +442378,8 @@ 1 1 0 +1 +3 3 0x6db 0x17aa896b @@ -353910,6 +442388,8 @@ 1 0 0 +0 +2 2 0x8464 0xc7780931 @@ -353919,6 +442399,8 @@ 1 0 3 +3 +3 0x5c37 0x88db36b4 256 @@ -353927,6 +442409,8 @@ 1 0 4 +3 +4 0x5f6e 0xe8e76f5a 256 @@ -353934,6 +442418,8 @@ 0 0 0 +4 +1 3 0xb7ac 0x4b45582f @@ -353942,6 +442428,8 @@ 1 0 0 +3 +0 2 0x4a71 0x76326b90 @@ -353950,6 +442438,8 @@ 0 0 0 +1 +1 3 0x26e7 0xa6244f7d @@ -353958,6 +442448,8 @@ 1 1 0 +5 +5 4 0x5280 0x9f2c6857 @@ -353966,6 +442458,8 @@ 0 0 0 +3 +3 2 0xd2f1 0xa72cb8d3 @@ -353974,6 +442468,8 @@ 1 1 0 +5 +0 4 0x4f46 0xafc65166 @@ -353982,6 +442478,8 @@ 1 1 0 +0 +1 4 0x9efb 0x5ea5e06f @@ -353990,6 +442488,8 @@ 0 0 0 +3 +3 4 0xc86a 0xa93c0729 @@ -353999,6 +442499,8 @@ 1 0 2 +3 +2 0x493b 0x55e1f325 256 @@ -354006,6 +442508,8 @@ 0 0 0 +5 +2 1 0xfbc0 0xf271def3 @@ -354014,6 +442518,8 @@ 0 0 0 +2 +2 4 0x17fe 0x274fb63e @@ -354022,6 +442528,8 @@ 0 0 0 +4 +0 1 0x639 0x47cc0540 @@ -354030,6 +442538,8 @@ 0 0 0 +0 +5 2 0x9402 0xd9ec5836 @@ -354038,6 +442548,8 @@ 1 1 0 +2 +5 3 0x5fd5 0x4fd87d31 @@ -354047,6 +442559,8 @@ 1 0 2 +0 +2 0x2830 0xe13fa276 256 @@ -354054,6 +442568,8 @@ 1 0 0 +2 +0 4 0x9272 0x2d2cf654 @@ -354063,6 +442579,8 @@ 1 0 4 +3 +4 0xcbe6 0x53d97fd2 256 @@ -354070,6 +442588,8 @@ 1 0 0 +0 +0 4 0x67ca 0x47ed274 @@ -354078,6 +442598,8 @@ 0 0 0 +3 +2 2 0xebc9 0x25cd7563 @@ -354087,6 +442609,8 @@ 1 0 3 +2 +3 0x9282 0x7de9d0c5 256 @@ -354094,6 +442618,8 @@ 1 0 0 +2 +3 3 0x663 0x8b79185c @@ -354102,6 +442628,8 @@ 1 0 0 +5 +1 4 0x65cd 0x5031d15e @@ -354110,6 +442638,8 @@ 1 1 0 +5 +2 3 0x26c 0x58eb7c8c @@ -354119,6 +442649,8 @@ 0 0 4 +3 +4 0x433a 0x3a364175 256 @@ -354126,6 +442658,8 @@ 1 0 0 +2 +1 3 0x9030 0x75946dd7 @@ -354134,6 +442668,8 @@ 1 0 0 +0 +3 1 0x3a60 0x7f604042 @@ -354143,6 +442679,8 @@ 1 0 1 +5 +1 0x5222 0x93f6560d 256 @@ -354150,6 +442688,8 @@ 1 0 0 +3 +2 2 0x8667 0xe1182b0f @@ -354158,6 +442698,8 @@ 1 0 0 +1 +1 4 0x7478 0x2a128fe8 @@ -354166,6 +442708,8 @@ 1 1 0 +5 +1 1 0xa6a5 0xddbd98c3 @@ -354174,6 +442718,8 @@ 1 1 0 +1 +0 2 0x747b 0x78e3880a @@ -354182,6 +442728,8 @@ 0 0 0 +0 +3 1 0x490b 0x7010bd0c @@ -354190,6 +442738,8 @@ 0 0 0 +0 +5 2 0x580b 0x8028d72d @@ -354198,6 +442748,8 @@ 1 1 0 +5 +5 4 0x2558 0x872c04ac @@ -354206,6 +442758,8 @@ 1 0 0 +4 +2 1 0xbbbe 0x11c50f5a @@ -354214,6 +442768,8 @@ 0 0 0 +1 +2 2 0x127d 0x725fe04b @@ -354222,6 +442778,8 @@ 0 0 0 +4 +3 2 0x3d1f 0xa3cc3c74 @@ -354230,6 +442788,8 @@ 0 0 0 +1 +4 2 0xcffe 0x45f8b9b6 @@ -354238,6 +442798,8 @@ 1 0 0 +3 +4 2 0x88e4 0x9e320981 @@ -354246,6 +442808,8 @@ 0 0 0 +5 +0 1 0x342b 0x3254705a @@ -354254,6 +442818,8 @@ 0 0 0 +3 +0 1 0xf8a3 0x75582b1c @@ -354263,6 +442829,8 @@ 0 0 1 +2 +1 0x5bf4 0xdd29da6 256 @@ -354270,6 +442838,8 @@ 1 0 0 +1 +4 4 0xa368 0xd43d3080 @@ -354278,6 +442848,8 @@ 1 0 0 +1 +4 4 0xfbf 0x936fcd40 @@ -354286,6 +442858,8 @@ 1 0 0 +2 +2 3 0x49c1 0x93283c0b @@ -354294,6 +442868,8 @@ 0 0 0 +4 +0 3 0xfc02 0x32418cdd @@ -354303,6 +442879,8 @@ 0 0 2 +2 +2 0x2aa5 0x27aa3149 256 @@ -354310,6 +442888,8 @@ 1 1 0 +3 +5 4 0xc497 0x54fdf1fe @@ -354319,6 +442899,8 @@ 0 0 2 +1 +2 0xed75 0x2640a0b2 256 @@ -354326,6 +442908,8 @@ 0 0 0 +0 +3 4 0x3b65 0x33ad809f @@ -354334,6 +442918,8 @@ 1 0 0 +3 +1 1 0x713c 0x470af28 @@ -354342,6 +442928,8 @@ 1 0 0 +3 +3 4 0xad00 0xa13dde75 @@ -354350,6 +442938,8 @@ 0 0 0 +4 +4 3 0xca09 0xd419498 @@ -354358,6 +442948,8 @@ 0 0 0 +5 +5 4 0xb975 0x4e5c3244 @@ -354366,6 +442958,8 @@ 1 0 0 +0 +3 4 0x7934 0x4ad7b81f @@ -354374,6 +442968,8 @@ 1 0 0 +0 +2 1 0xb55a 0xf5842e2d @@ -354382,6 +442978,8 @@ 0 0 0 +4 +2 1 0x6da 0xf12262cf @@ -354390,6 +442988,8 @@ 1 0 0 +3 +2 4 0x46d5 0xb2666ea6 @@ -354398,6 +442998,8 @@ 1 1 0 +0 +1 4 0xd0d9 0xa86c1514 @@ -354406,6 +443008,8 @@ 0 0 0 +5 +1 4 0xc0a2 0x64c27f58 @@ -354414,6 +443018,8 @@ 0 0 0 +4 +3 1 0xfdbb 0xa0ecb43b @@ -354423,6 +443029,8 @@ 0 0 4 +0 +4 0xa24f 0x3dd88808 256 @@ -354430,6 +443038,8 @@ 0 0 0 +1 +0 4 0xe593 0xe769d087 @@ -354438,6 +443048,8 @@ 1 1 0 +3 +3 2 0xeb95 0xbc956a87 @@ -354446,6 +443058,8 @@ 1 1 0 +5 +3 4 0xbcda 0x97f4ef22 @@ -354454,6 +443068,8 @@ 1 1 0 +5 +1 2 0x97dd 0xbe7a910f @@ -354463,6 +443079,8 @@ 0 0 4 +2 +4 0x4f4c 0xb033379a 256 @@ -354470,6 +443088,8 @@ 0 0 0 +1 +1 4 0x9ada 0x8be41f4e @@ -354479,6 +443099,8 @@ 1 0 3 +1 +3 0x7a42 0xccf80e76 256 @@ -354486,6 +443108,8 @@ 0 0 0 +2 +4 1 0x406e 0x135fa289 @@ -354494,6 +443118,8 @@ 0 0 0 +3 +4 2 0x2d1b 0x26df07de @@ -354502,6 +443128,8 @@ 0 0 0 +1 +2 3 0x2665 0x63b8bef5 @@ -354511,6 +443139,8 @@ 0 0 1 +4 +1 0xa1f0 0x965b93cf 256 @@ -354518,6 +443148,8 @@ 0 0 0 +4 +3 1 0x16fd 0x29ee80dc @@ -354526,6 +443158,8 @@ 0 0 0 +2 +1 4 0xb65b 0xbe9b2333 @@ -354534,6 +443168,8 @@ 0 0 0 +3 +1 2 0xcf39 0x2dbf733a @@ -354542,6 +443178,8 @@ 1 0 0 +2 +1 4 0x7968 0x828b2db5 @@ -354550,6 +443188,8 @@ 0 0 0 +4 +0 1 0xaea2 0x8fa0e07e @@ -354558,6 +443198,8 @@ 1 1 0 +4 +5 3 0xc781 0x81081047 @@ -354567,6 +443209,8 @@ 0 0 4 +4 +4 0x57f8 0x3ea672ea 256 @@ -354574,6 +443218,8 @@ 1 0 0 +2 +3 4 0xc900 0xed64346b @@ -354582,6 +443228,8 @@ 1 0 0 +5 +2 4 0x5c10 0xda49b850 @@ -354591,6 +443239,8 @@ 1 0 1 +5 +1 0xf15e 0x42c35359 256 @@ -354599,6 +443249,8 @@ 0 0 4 +0 +4 0xe19b 0x6810b52f 256 @@ -354607,6 +443259,8 @@ 1 0 2 +0 +2 0x7a78 0xee581046 256 @@ -354614,6 +443268,8 @@ 1 1 0 +3 +3 4 0xcd9 0x68eb07fc @@ -354623,6 +443279,8 @@ 1 0 1 +4 +1 0x20a1 0x4b93f190 256 @@ -354630,6 +443288,8 @@ 0 0 0 +2 +0 3 0x63a6 0xa916b6ee @@ -354638,6 +443298,8 @@ 0 0 0 +0 +2 1 0x5a07 0x2d9d83ab @@ -354646,6 +443308,8 @@ 0 0 0 +5 +5 4 0x8213 0x1c93d17c @@ -354654,6 +443318,8 @@ 0 0 0 +4 +0 3 0xe3f1 0xf6646dd2 @@ -354662,6 +443328,8 @@ 0 0 0 +0 +2 3 0xc530 0x327be54a @@ -354670,6 +443338,8 @@ 1 0 0 +3 +1 4 0x9ecc 0x9482f451 @@ -354678,6 +443348,8 @@ 1 0 0 +5 +1 1 0xaa2c 0xef3eceeb @@ -354687,6 +443359,8 @@ 0 0 3 +3 +3 0x23d 0x2ba524c6 256 @@ -354694,6 +443368,8 @@ 1 0 0 +2 +3 1 0xa2da 0xf4e591aa @@ -354702,6 +443378,8 @@ 0 0 0 +0 +5 1 0xdd3 0x21b140b4 @@ -354710,6 +443388,8 @@ 0 0 0 +1 +4 3 0x4cb2 0xe07ea35e @@ -354718,6 +443398,8 @@ 1 1 0 +0 +2 1 0xc602 0xea2bd138 @@ -354726,6 +443408,8 @@ 0 0 0 +3 +4 1 0x6195 0xaaf2953a @@ -354734,6 +443418,8 @@ 0 0 0 +3 +3 4 0x2fc5 0xfdabd0aa @@ -354742,6 +443428,8 @@ 0 0 0 +4 +4 3 0x1087 0xb240d536 @@ -354750,6 +443438,8 @@ 1 1 0 +5 +4 1 0x18d0 0x2936a2ac @@ -354758,6 +443448,8 @@ 1 0 0 +3 +2 4 0x60ca 0xbac5e6ad @@ -354767,6 +443459,8 @@ 0 0 4 +1 +4 0xe178 0xa6d5bc9e 256 @@ -354774,6 +443468,8 @@ 1 1 0 +5 +0 2 0xd1ce 0xec643640 @@ -354783,6 +443479,8 @@ 1 0 3 +4 +3 0xe6db 0xab61b8ee 256 @@ -354790,6 +443488,8 @@ 0 0 0 +0 +5 1 0xba97 0x9b310 @@ -354798,6 +443498,8 @@ 0 0 0 +0 +0 1 0xf947 0x992f9d33 @@ -354806,6 +443508,8 @@ 0 0 0 +2 +5 1 0x7de9 0xf999eca0 @@ -354814,6 +443518,8 @@ 0 0 0 +4 +0 2 0xef55 0x30d19cb1 @@ -354822,6 +443528,8 @@ 0 0 0 +0 +2 2 0xaa70 0xa5926c86 @@ -354830,6 +443538,8 @@ 1 0 0 +0 +5 2 0x661b 0xf342c749 @@ -354838,6 +443548,8 @@ 1 0 0 +5 +5 1 0xc357 0x3056f9cb @@ -354846,6 +443558,8 @@ 0 0 0 +5 +5 2 0xfdc3 0x2c531173 @@ -354854,6 +443568,8 @@ 0 0 0 +4 +4 2 0xf122 0x1d73db5a @@ -354862,6 +443578,8 @@ 0 0 0 +1 +0 2 0x115d 0x48c12c95 @@ -354870,6 +443588,8 @@ 1 0 0 +0 +2 4 0x7eb3 0xf362e2ef @@ -354878,6 +443598,8 @@ 0 0 0 +0 +3 1 0xf60 0x2095272f @@ -354886,6 +443608,8 @@ 0 0 0 +1 +2 3 0xa717 0xbc88af1f @@ -354894,6 +443618,8 @@ 1 1 0 +2 +1 1 0xd6bf 0x4a3e2a10 @@ -354902,6 +443628,8 @@ 1 1 0 +0 +4 2 0xdeb4 0xff13d908 @@ -354910,6 +443638,8 @@ 0 0 0 +1 +3 4 0xcc71 0xd49f55cd @@ -354918,6 +443648,8 @@ 1 1 0 +3 +2 4 0x6ba7 0xb37bed1a @@ -354926,6 +443658,8 @@ 0 0 0 +5 +4 3 0xb104 0xb082b5e2 @@ -354934,6 +443668,8 @@ 0 0 0 +4 +0 1 0x2908 0x416677ae @@ -354942,6 +443678,8 @@ 0 0 0 +1 +5 3 0x6480 0xf00ba87f @@ -354950,6 +443688,8 @@ 1 1 0 +5 +3 1 0xcdc9 0x4006008a @@ -354958,6 +443698,8 @@ 0 0 0 +1 +0 2 0x56dc 0x3c6128a0 @@ -354966,6 +443708,8 @@ 0 0 0 +3 +2 4 0x475b 0x17e680be @@ -354974,6 +443718,8 @@ 0 0 0 +4 +2 3 0xbc40 0x88e698f4 @@ -354982,6 +443728,8 @@ 0 0 0 +3 +2 4 0x3add 0x9e1b398e @@ -354990,6 +443738,8 @@ 1 1 0 +2 +2 1 0xf913 0x3ef0fe37 @@ -354998,6 +443748,8 @@ 0 0 0 +2 +2 4 0x8bc7 0x5d0d9edf @@ -355006,6 +443758,8 @@ 0 0 0 +2 +3 3 0x86a2 0xea6a403f @@ -355014,6 +443768,8 @@ 1 1 0 +0 +4 3 0xbb76 0xbc50bfd3 @@ -355022,6 +443778,8 @@ 1 0 0 +0 +0 2 0xc578 0x334c4986 @@ -355030,6 +443788,8 @@ 0 0 0 +5 +3 4 0xf1f7 0x983bdd4f @@ -355038,6 +443798,8 @@ 0 0 0 +4 +4 3 0xafe2 0xe1b39706 @@ -355046,6 +443808,8 @@ 0 0 0 +2 +3 1 0x196e 0x1d37b327 @@ -355054,6 +443818,8 @@ 1 1 0 +4 +1 3 0xce23 0x4754e663 @@ -355062,6 +443828,8 @@ 1 1 0 +4 +4 3 0x6ee4 0xe9b10c44 @@ -355071,6 +443839,8 @@ 1 0 1 +1 +1 0x61f6 0x704fa75 256 @@ -355078,6 +443848,8 @@ 0 0 0 +1 +5 3 0xa015 0x9fa7fb69 @@ -355086,6 +443858,8 @@ 1 1 0 +3 +3 1 0x6491 0x27de6e98 @@ -355094,6 +443868,8 @@ 1 1 0 +5 +3 3 0xb564 0xb69b6783 @@ -355102,6 +443878,8 @@ 0 0 0 +3 +2 2 0xe97e 0x6f119ab5 @@ -355111,6 +443889,8 @@ 0 0 4 +1 +4 0x8fd6 0x46d6d167 256 @@ -355118,6 +443898,8 @@ 0 0 0 +1 +0 4 0xc775 0x22d6e9c @@ -355126,6 +443908,8 @@ 0 0 0 +5 +1 3 0xa598 0xfa2d0222 @@ -355134,6 +443918,8 @@ 1 0 0 +3 +5 1 0xf13e 0x1e0ac32c @@ -355142,6 +443928,8 @@ 0 0 0 +0 +0 4 0x560f 0x75ca78a3 @@ -355151,6 +443939,8 @@ 0 0 2 +1 +2 0xf6b4 0x8836ed52 256 @@ -355158,6 +443948,8 @@ 0 0 0 +4 +4 1 0xd068 0xbdb16176 @@ -355166,6 +443958,8 @@ 1 1 0 +1 +3 4 0xf4ad 0xd4fa9232 @@ -355174,6 +443968,8 @@ 1 1 0 +4 +3 1 0x93a7 0xa9f99fcc @@ -355182,6 +443978,8 @@ 0 0 0 +2 +4 1 0xf724 0x8732e491 @@ -355190,6 +443988,8 @@ 1 1 0 +5 +3 1 0x18f1 0xc2d1f77b @@ -355198,6 +443998,8 @@ 0 0 0 +2 +5 4 0x4d6b 0x9eee2130 @@ -355206,6 +444008,8 @@ 1 0 0 +3 +4 2 0x5b0e 0x6ec26b73 @@ -355214,6 +444018,8 @@ 0 0 0 +3 +3 4 0x3fdf 0x964daf20 @@ -355222,6 +444028,8 @@ 0 0 0 +5 +0 4 0x313b 0x5c2ad885 @@ -355230,6 +444038,8 @@ 0 0 0 +5 +0 1 0x4a9a 0xe8ec61f5 @@ -355238,6 +444048,8 @@ 1 0 0 +2 +0 1 0x7f1e 0x4f7777d1 @@ -355246,6 +444058,8 @@ 1 0 0 +3 +1 1 0xe1ed 0x866b59c @@ -355254,6 +444068,8 @@ 0 0 0 +4 +2 1 0x967e 0xf5cb4843 @@ -355262,6 +444078,8 @@ 1 0 0 +3 +5 4 0xa47 0xabaa9307 @@ -355270,6 +444088,8 @@ 1 0 0 +4 +0 3 0xa04e 0x9e6fd989 @@ -355278,6 +444098,8 @@ 1 1 0 +3 +0 1 0x3e1d 0x7f294424 @@ -355287,6 +444109,8 @@ 0 0 1 +5 +1 0x1f16 0xd5648fa6 256 @@ -355294,6 +444118,8 @@ 1 0 0 +5 +3 4 0xc182 0xd9035b43 @@ -355302,6 +444128,8 @@ 0 0 0 +1 +2 4 0xb9c7 0xae4ee101 @@ -355311,6 +444139,8 @@ 0 0 4 +1 +4 0x9cdf 0x90fe9c4c 256 @@ -355318,6 +444148,8 @@ 1 0 0 +4 +4 1 0x6f90 0xbe551071 @@ -355327,6 +444159,8 @@ 1 0 4 +3 +4 0x7d38 0xc6445548 256 @@ -355334,6 +444168,8 @@ 0 0 0 +4 +3 3 0x351f 0x94dc5b28 @@ -355342,6 +444178,8 @@ 0 0 0 +4 +2 1 0x4010 0x2dbef6bf @@ -355351,6 +444189,8 @@ 0 0 1 +1 +1 0x494e 0xf477abf2 256 @@ -355358,6 +444198,8 @@ 0 0 0 +0 +3 2 0xad9d 0xf14530d8 @@ -355366,6 +444208,8 @@ 0 0 0 +4 +0 3 0xec7f 0xcec7572d @@ -355374,6 +444218,8 @@ 1 0 0 +3 +5 1 0x825c 0x4fa4cdf @@ -355382,6 +444228,8 @@ 1 1 0 +0 +1 1 0x9abe 0xd040f3e8 @@ -355390,6 +444238,8 @@ 0 0 0 +3 +5 2 0xc311 0xb7d94fd8 @@ -355398,6 +444248,8 @@ 1 0 0 +0 +1 3 0x576c 0x8ed5f4c @@ -355406,6 +444258,8 @@ 1 1 0 +4 +1 2 0xb4e4 0x3856f092 @@ -355414,6 +444268,8 @@ 1 0 0 +1 +4 4 0x80e1 0x79303c19 @@ -355422,6 +444278,8 @@ 1 1 0 +1 +4 3 0x8b15 0xbb37cf70 @@ -355430,6 +444288,8 @@ 0 0 0 +0 +0 3 0xef90 0x23f0864f @@ -355438,6 +444298,8 @@ 0 0 0 +0 +0 1 0x1763 0x93f19f42 @@ -355447,6 +444309,8 @@ 0 0 2 +5 +2 0xd536 0xefc18deb 256 @@ -355454,6 +444318,8 @@ 0 0 0 +0 +3 1 0x78c0 0x697bcdf6 @@ -355463,6 +444329,8 @@ 0 0 1 +5 +1 0x1e4b 0x62abb51 256 @@ -355470,6 +444338,8 @@ 1 0 0 +5 +1 2 0xae65 0x6549c562 @@ -355478,6 +444348,8 @@ 0 0 0 +5 +0 4 0x1997 0xababbe97 @@ -355486,6 +444358,8 @@ 1 0 0 +1 +5 4 0xdfcb 0xb6ea163d @@ -355494,6 +444368,8 @@ 1 1 0 +5 +1 3 0x951d 0xe3e08abf @@ -355502,6 +444378,8 @@ 0 0 0 +1 +0 3 0xbe8e 0xd2ac3099 @@ -355510,6 +444388,8 @@ 1 0 0 +3 +4 2 0xa26d 0x97709c58 @@ -355518,6 +444398,8 @@ 1 1 0 +0 +4 1 0xe5bd 0xde5bc10c @@ -355526,6 +444408,8 @@ 1 0 0 +2 +0 3 0x9f3d 0x8ebf140b @@ -355535,6 +444419,8 @@ 0 0 3 +4 +3 0xd6d1 0x7ead344b 256 @@ -355542,6 +444428,8 @@ 1 1 0 +1 +3 4 0x2a 0xb159d84b @@ -355550,6 +444438,8 @@ 1 1 0 +5 +2 3 0xc9e6 0x8e95533c @@ -355558,6 +444448,8 @@ 1 1 0 +5 +1 3 0x46e8 0x6e8babc5 @@ -355567,6 +444459,8 @@ 0 0 4 +5 +4 0x7680 0xc9b233e3 256 @@ -355574,6 +444468,8 @@ 0 0 0 +2 +0 1 0x5c9 0x71c48853 @@ -355582,6 +444478,8 @@ 0 0 0 +4 +3 3 0x312d 0x4560da36 @@ -355590,6 +444488,8 @@ 0 0 0 +4 +4 3 0x3f07 0xfcc8fea0 @@ -355598,6 +444498,8 @@ 1 0 0 +4 +2 1 0xdeb7 0x7768377 @@ -355607,6 +444509,8 @@ 1 0 3 +1 +3 0x223e 0x5d83db2f 256 @@ -355614,6 +444518,8 @@ 0 0 0 +1 +3 3 0x312c 0x2d4e9d61 @@ -355622,6 +444528,8 @@ 0 0 0 +0 +1 4 0xa367 0x140bf9d @@ -355631,6 +444539,8 @@ 0 0 4 +0 +4 0xc70d 0xde5c14b2 256 @@ -355638,6 +444548,8 @@ 1 0 0 +3 +1 4 0x1a61 0x3596b41b @@ -355646,6 +444558,8 @@ 0 0 0 +0 +0 2 0x8064 0xad8796ad @@ -355654,6 +444568,8 @@ 1 0 0 +1 +0 2 0x1a16 0x8048cd1e @@ -355662,6 +444578,8 @@ 0 0 0 +3 +1 1 0x2f41 0xa4c8bd36 @@ -355670,6 +444588,8 @@ 1 0 0 +0 +5 3 0x5f47 0xbe9760cd @@ -355678,6 +444598,8 @@ 0 0 0 +1 +0 4 0x29be 0x6de33493 @@ -355686,6 +444608,8 @@ 1 0 0 +4 +0 1 0x2e4d 0x84a7e157 @@ -355694,6 +444618,8 @@ 0 0 0 +5 +5 1 0x60fa 0xcd5299c5 @@ -355702,6 +444628,8 @@ 0 0 0 +0 +3 2 0x4677 0x5c80b2b7 @@ -355711,6 +444639,8 @@ 1 0 1 +3 +1 0x8607 0x6bbe4e62 256 @@ -355718,6 +444648,8 @@ 1 0 0 +3 +3 1 0xc196 0xf8e25973 @@ -355726,6 +444658,8 @@ 1 1 0 +5 +2 2 0x7bdc 0xef4951b1 @@ -355735,6 +444669,8 @@ 0 0 3 +1 +3 0xe02b 0x20227742 256 @@ -355743,6 +444679,8 @@ 0 0 2 +5 +2 0x22dc 0xdb9c3619 256 @@ -355750,6 +444688,8 @@ 1 1 0 +0 +4 3 0x3596 0x526248a2 @@ -355759,6 +444699,8 @@ 1 0 2 +4 +2 0x464e 0x77b7173c 256 @@ -355766,6 +444708,8 @@ 1 0 0 +2 +3 3 0x30c8 0x564f181f @@ -355775,6 +444719,8 @@ 0 0 3 +5 +3 0x82e8 0x7882ba12 256 @@ -355782,6 +444728,8 @@ 0 0 0 +5 +2 4 0x5c5d 0x5c9d74e2 @@ -355790,6 +444738,8 @@ 1 0 0 +1 +3 2 0x616d 0xb59872fc @@ -355798,6 +444748,8 @@ 1 0 0 +2 +5 1 0x6d2f 0xe2560bf7 @@ -355807,6 +444759,8 @@ 0 0 1 +5 +1 0x1fad 0xed2e1c8b 256 @@ -355815,6 +444769,8 @@ 1 0 1 +3 +1 0x2eb6 0x35ed853f 256 @@ -355822,6 +444778,8 @@ 1 1 0 +5 +1 1 0xe6cc 0xed53f96a @@ -355831,6 +444789,8 @@ 0 0 1 +1 +1 0xda1d 0x3bd8e304 256 @@ -355838,6 +444798,8 @@ 1 1 0 +3 +4 1 0xd907 0x201147aa @@ -355846,6 +444808,8 @@ 0 0 0 +2 +5 4 0x3384 0xb5ac9d84 @@ -355854,6 +444818,8 @@ 0 0 0 +2 +5 4 0x4af4 0x96131143 @@ -355862,6 +444828,8 @@ 1 0 0 +4 +5 1 0x9182 0xb02d8f84 @@ -355870,6 +444838,8 @@ 1 1 0 +4 +3 3 0x443b 0x80ae6adb @@ -355878,6 +444848,8 @@ 1 1 0 +0 +5 2 0x91b7 0x4fbaf186 @@ -355886,6 +444858,8 @@ 1 1 0 +0 +4 4 0x5c67 0x3212081d @@ -355894,6 +444868,8 @@ 0 0 0 +0 +5 2 0xb226 0x15717272 @@ -355902,6 +444878,8 @@ 0 0 0 +1 +3 4 0x1960 0xca5c5d34 @@ -355910,6 +444888,8 @@ 0 0 0 +3 +4 1 0x963f 0x4aacb3ae @@ -355918,6 +444898,8 @@ 0 0 0 +4 +4 3 0x3563 0xb5b0df79 @@ -355927,6 +444909,8 @@ 0 0 3 +4 +3 0x8bf 0xbeb8f547 256 @@ -355934,6 +444918,8 @@ 1 1 0 +4 +4 1 0x7c52 0xbe910171 @@ -355942,6 +444928,8 @@ 0 0 0 +1 +2 2 0xfe79 0xa03b59c7 @@ -355950,6 +444938,8 @@ 1 1 0 +3 +1 4 0xab4d 0x58ecd3f5 @@ -355958,6 +444948,8 @@ 1 0 0 +0 +0 1 0x8e5a 0xfa6d2f43 @@ -355966,6 +444958,8 @@ 1 1 0 +2 +1 4 0xc733 0x9c6c0d42 @@ -355974,6 +444968,8 @@ 0 0 0 +5 +0 2 0xb171 0xed317faf @@ -355983,6 +444979,8 @@ 0 0 4 +1 +4 0xcf57 0x181b2625 256 @@ -355990,6 +444988,8 @@ 1 0 0 +0 +1 4 0xbd90 0xc3aa59f9 @@ -355998,6 +444998,8 @@ 1 1 0 +2 +5 1 0x2fac 0x9c47f180 @@ -356006,6 +445008,8 @@ 1 0 0 +2 +1 4 0x902c 0x476360c8 @@ -356015,6 +445019,8 @@ 1 0 3 +5 +3 0x28d5 0x1fcdcd2b 256 @@ -356022,6 +445028,8 @@ 1 0 0 +4 +3 2 0x1d24 0x57674a7d @@ -356030,6 +445038,8 @@ 1 1 0 +3 +2 4 0xd06a 0xd7b4c4de @@ -356038,6 +445048,8 @@ 1 0 0 +2 +0 1 0xdb4f 0x761be2dc @@ -356046,6 +445058,8 @@ 1 1 0 +3 +3 1 0x8746 0x2cd84e74 @@ -356054,6 +445068,8 @@ 0 0 0 +4 +5 3 0x8882 0xd5fe0d19 @@ -356062,6 +445078,8 @@ 0 0 0 +0 +5 3 0xe35e 0x67702da7 @@ -356070,6 +445088,8 @@ 0 0 0 +3 +0 1 0xac44 0x115d3e57 @@ -356078,6 +445098,8 @@ 0 0 0 +5 +3 2 0xf836 0xf407c00e @@ -356086,6 +445108,8 @@ 1 1 0 +2 +1 4 0xa813 0x527f755e @@ -356094,6 +445118,8 @@ 0 0 0 +4 +4 1 0x3dd4 0x6336306c @@ -356102,6 +445128,8 @@ 1 0 0 +3 +1 2 0x4036 0x8b707308 @@ -356110,6 +445138,8 @@ 1 0 0 +5 +2 3 0x344b 0x92d30077 @@ -356118,6 +445148,8 @@ 1 1 0 +2 +2 4 0x4176 0xd32bf6bd @@ -356126,6 +445158,8 @@ 1 1 0 +3 +3 2 0xf067 0x230ca0cb @@ -356134,6 +445168,8 @@ 0 0 0 +0 +4 2 0x2830 0x6bc3c796 @@ -356142,6 +445178,8 @@ 0 0 0 +3 +1 4 0xf80e 0x6867685b @@ -356150,6 +445188,8 @@ 1 1 0 +0 +2 3 0x432b 0x9006e127 @@ -356158,6 +445198,8 @@ 1 1 0 +5 +3 1 0x49db 0x70879d57 @@ -356166,6 +445208,8 @@ 1 1 0 +4 +4 3 0x3324 0xf2a0f3e7 @@ -356174,6 +445218,8 @@ 0 0 0 +0 +2 2 0xdf19 0x40998f9 @@ -356182,6 +445228,8 @@ 1 1 0 +3 +3 4 0x205f 0x34604424 @@ -356190,6 +445238,8 @@ 1 1 0 +4 +4 3 0x6110 0xd3e88218 @@ -356198,6 +445248,8 @@ 1 1 0 +3 +4 1 0xacc3 0x3a07a6bb @@ -356206,6 +445258,8 @@ 0 0 0 +5 +3 4 0x423f 0x4c4c1b4f @@ -356215,6 +445269,8 @@ 1 0 2 +4 +2 0x94df 0xff0246d4 256 @@ -356222,6 +445278,8 @@ 0 0 0 +0 +0 4 0x10f4 0xa64dbca5 @@ -356230,6 +445288,8 @@ 0 0 0 +5 +4 3 0x7829 0xc442e57b @@ -356238,6 +445298,8 @@ 1 1 0 +5 +3 1 0x7b94 0xb9e38a92 @@ -356247,6 +445309,8 @@ 0 0 1 +4 +1 0x1b1c 0xb45fb6cd 256 @@ -356254,6 +445318,8 @@ 0 0 0 +2 +2 3 0xff31 0x1ea3cdd6 @@ -356263,6 +445329,8 @@ 0 0 1 +2 +1 0xa303 0x4b001b29 256 @@ -356271,6 +445339,8 @@ 1 0 1 +0 +1 0x75d 0x944e23ca 256 @@ -356278,6 +445348,8 @@ 1 1 0 +1 +4 3 0x946e 0x94dbd69a @@ -356286,6 +445358,8 @@ 0 0 0 +1 +4 4 0xd2f5 0x9f936d81 @@ -356294,6 +445368,8 @@ 1 1 0 +0 +3 3 0xb38c 0xfe216050 @@ -356303,6 +445379,8 @@ 1 0 3 +5 +3 0xd493 0xb0b22c69 256 @@ -356310,6 +445388,8 @@ 1 1 0 +3 +1 4 0x466f 0xfaf40790 @@ -356318,6 +445398,8 @@ 0 0 0 +2 +0 4 0xa2a2 0xda24c5 @@ -356326,6 +445408,8 @@ 0 0 0 +4 +4 1 0xfa55 0x3d8eea57 @@ -356334,6 +445418,8 @@ 0 0 0 +5 +4 4 0xb72c 0x32ab6210 @@ -356342,6 +445428,8 @@ 1 1 0 +1 +1 2 0xf5c6 0x346cc408 @@ -356350,6 +445438,8 @@ 1 0 0 +2 +0 3 0x94f9 0xff054db1 @@ -356358,6 +445448,8 @@ 1 1 0 +5 +4 4 0xc2bc 0x26f123fb @@ -356366,6 +445458,8 @@ 1 1 0 +1 +0 3 0xfa6b 0x72445478 @@ -356374,6 +445468,8 @@ 1 1 0 +0 +2 4 0xd4f6 0xde02823a @@ -356382,6 +445478,8 @@ 1 0 0 +3 +5 1 0xa2c8 0xa469987d @@ -356390,6 +445488,8 @@ 0 0 0 +1 +0 2 0xde1e 0x95ee133d @@ -356398,6 +445498,8 @@ 1 1 0 +3 +0 1 0xa2d2 0x5bb70f8f @@ -356406,6 +445508,8 @@ 1 0 0 +4 +1 1 0xa311 0xed20e787 @@ -356415,6 +445519,8 @@ 0 0 3 +0 +3 0xa253 0xb0eee1df 256 @@ -356422,6 +445528,8 @@ 1 1 0 +3 +1 2 0x6cc9 0xb7fb5609 @@ -356430,6 +445538,8 @@ 0 0 0 +3 +5 1 0x29a5 0xbe996c4e @@ -356438,6 +445548,8 @@ 1 0 0 +5 +4 4 0x7e84 0xf7cfcbce @@ -356446,6 +445558,8 @@ 1 1 0 +3 +3 2 0xcd67 0xf782dac1 @@ -356454,6 +445568,8 @@ 1 1 0 +4 +3 3 0x35ed 0xae73f318 @@ -356462,6 +445578,8 @@ 0 0 0 +1 +0 4 0x7b05 0xd094548d @@ -356471,6 +445589,8 @@ 0 0 4 +5 +4 0xc6b1 0x2147b916 256 @@ -356478,6 +445598,8 @@ 1 1 0 +3 +3 2 0x6e23 0xecd30b55 @@ -356486,6 +445608,8 @@ 0 0 0 +1 +4 2 0x3252 0xa2e38487 @@ -356494,6 +445618,8 @@ 1 1 0 +0 +2 2 0x866 0x2aa1ee46 @@ -356502,6 +445628,8 @@ 0 0 0 +3 +2 2 0xdc0d 0x19967e64 @@ -356510,6 +445638,8 @@ 0 0 0 +5 +0 4 0x493 0x7a4193b7 @@ -356518,6 +445648,8 @@ 0 0 0 +0 +4 4 0x40fd 0x9b6a642a @@ -356527,6 +445659,8 @@ 1 0 1 +2 +1 0x6004 0x4ba14029 256 @@ -356534,6 +445668,8 @@ 0 0 0 +5 +3 2 0x5413 0x19d63000 @@ -356542,6 +445678,8 @@ 0 0 0 +4 +3 2 0xc39 0xa17ee979 @@ -356550,6 +445688,8 @@ 0 0 0 +5 +0 1 0xa5c0 0x36625c87 @@ -356558,6 +445698,8 @@ 1 0 0 +1 +0 4 0x23f5 0xea1462a3 @@ -356566,6 +445708,8 @@ 0 0 0 +5 +1 1 0x209d 0xb9a4b364 @@ -356574,6 +445718,8 @@ 0 0 0 +2 +5 4 0x95d1 0xbac1b50f @@ -356582,6 +445728,8 @@ 1 1 0 +0 +4 3 0x5d97 0x2e3dcfd0 @@ -356590,6 +445738,8 @@ 0 0 0 +1 +0 3 0xcdc7 0x8f22db5a @@ -356599,6 +445749,8 @@ 0 0 4 +2 +4 0x55b9 0xb9e65df2 256 @@ -356606,6 +445758,8 @@ 0 0 0 +4 +1 1 0xdadf 0xc5c33a99 @@ -356614,6 +445768,8 @@ 0 0 0 +2 +1 1 0xd3d6 0x99113cc3 @@ -356623,6 +445779,8 @@ 0 0 3 +5 +3 0xbcb4 0x7a60091e 256 @@ -356631,6 +445789,8 @@ 0 0 1 +1 +1 0x5b37 0x49aeda2d 256 @@ -356638,6 +445798,8 @@ 1 0 0 +1 +2 2 0x9258 0x5ec3b487 @@ -356646,6 +445808,8 @@ 1 0 0 +5 +0 1 0x98b5 0x6ca4e3c6 @@ -356654,6 +445818,8 @@ 0 0 0 +3 +0 4 0xa25f 0x43deaefc @@ -356662,6 +445828,8 @@ 0 0 0 +1 +4 3 0xe6f2 0x2eb40da9 @@ -356670,6 +445838,8 @@ 1 0 0 +4 +2 1 0xbd47 0x44dbaa05 @@ -356678,6 +445848,8 @@ 1 0 0 +2 +1 3 0x5a9d 0x2ad2057e @@ -356687,6 +445859,8 @@ 1 0 3 +2 +3 0xa726 0x857ac701 256 @@ -356694,6 +445868,8 @@ 1 0 0 +3 +3 2 0x905 0xd5f9584a @@ -356702,6 +445878,8 @@ 0 0 0 +4 +5 2 0xeca8 0x722663e9 @@ -356710,6 +445888,8 @@ 0 0 0 +0 +1 1 0xbc30 0xb745d3c0 @@ -356718,6 +445898,8 @@ 1 0 0 +3 +3 2 0xbd1e 0xd24865ce @@ -356727,6 +445909,8 @@ 0 0 2 +4 +2 0x548a 0x95d60cf0 256 @@ -356735,6 +445919,8 @@ 1 0 4 +2 +4 0x343c 0x921ad032 256 @@ -356742,6 +445928,8 @@ 0 0 0 +4 +0 3 0x3048 0x7c52b48b @@ -356750,6 +445938,8 @@ 1 0 0 +1 +5 3 0x6023 0x79ab4984 @@ -356758,6 +445948,8 @@ 0 0 0 +4 +1 1 0x8d6d 0x84d4aa5b @@ -356766,6 +445958,8 @@ 0 0 0 +4 +0 1 0xcf48 0x7c93156b @@ -356774,6 +445968,8 @@ 1 1 0 +0 +3 3 0x2657 0xefe9b258 @@ -356783,6 +445979,8 @@ 0 0 3 +3 +3 0xc66 0x404a38b8 256 @@ -356790,6 +445988,8 @@ 1 1 0 +2 +1 4 0x8772 0xab6616c2 @@ -356799,6 +445999,8 @@ 0 0 2 +1 +2 0xa2da 0x7530f89a 256 @@ -356807,6 +446009,8 @@ 0 0 3 +2 +3 0xeabf 0x62adb557 256 @@ -356814,6 +446018,8 @@ 1 1 0 +2 +0 3 0xaf36 0x3e34e753 @@ -356822,6 +446028,8 @@ 1 0 0 +4 +4 1 0x1ad9 0x153e5277 @@ -356830,6 +446038,8 @@ 0 0 0 +5 +0 1 0x6a53 0x3a134477 @@ -356838,6 +446048,8 @@ 1 0 0 +4 +5 2 0x5986 0x6d31f5ec @@ -356847,6 +446059,8 @@ 0 0 1 +3 +1 0x98b4 0xf04e9bcf 256 @@ -356854,6 +446068,8 @@ 1 1 0 +4 +1 2 0xd195 0xd7ecdffe @@ -356862,6 +446078,8 @@ 1 0 0 +2 +0 3 0x718f 0xa5808d6f @@ -356870,6 +446088,8 @@ 0 0 0 +5 +0 3 0x7fd9 0xd9fdddfa @@ -356878,6 +446098,8 @@ 1 1 0 +5 +5 4 0x1021 0x87593e6d @@ -356887,6 +446109,8 @@ 0 0 1 +1 +1 0x8f7c 0xd5ee04ce 256 @@ -356895,6 +446119,8 @@ 0 0 1 +0 +1 0xaf42 0x967eace9 256 @@ -356902,6 +446128,8 @@ 0 0 0 +5 +2 3 0x75d7 0xfcfa314b @@ -356910,6 +446138,8 @@ 0 0 0 +3 +5 1 0xbfe1 0xfbbd8440 @@ -356919,6 +446149,8 @@ 0 0 4 +5 +4 0x2fb5 0x8fd670af 256 @@ -356926,6 +446158,8 @@ 0 0 0 +2 +5 4 0x739f 0x3d9de33 @@ -356935,6 +446169,8 @@ 0 0 4 +4 +4 0x2cf9 0x8d1b3310 256 @@ -356943,6 +446179,8 @@ 0 0 2 +1 +2 0x382b 0xc2cbad77 256 @@ -356950,6 +446188,8 @@ 0 0 0 +3 +5 2 0x8133 0x932f09d5 @@ -356958,6 +446198,8 @@ 1 0 0 +2 +5 1 0x8e1c 0x28a21371 @@ -356966,6 +446208,8 @@ 1 1 0 +2 +0 3 0x8660 0xfe6184f0 @@ -356974,6 +446218,8 @@ 0 0 0 +1 +5 2 0x787b 0x3ecb4368 @@ -356982,6 +446228,8 @@ 1 0 0 +4 +2 3 0xde23 0x86bf5faa @@ -356990,6 +446238,8 @@ 1 1 0 +5 +1 1 0xae0f 0x5abceb4f @@ -356998,6 +446248,8 @@ 1 0 0 +0 +0 4 0xce5f 0x74e20639 @@ -357006,6 +446258,8 @@ 0 0 0 +0 +3 3 0x936c 0xa6d07063 @@ -357015,6 +446269,8 @@ 0 0 4 +4 +4 0xc23d 0x27ffce48 256 @@ -357022,6 +446278,8 @@ 0 0 0 +1 +1 3 0xf8 0x87a1918a @@ -357030,6 +446288,8 @@ 1 1 0 +4 +1 2 0x8885 0x542c4139 @@ -357038,6 +446298,8 @@ 0 0 0 +2 +5 4 0x2e30 0x108afc64 @@ -357046,6 +446308,8 @@ 1 0 0 +3 +5 4 0x9314 0x2a183568 @@ -357054,6 +446318,8 @@ 0 0 0 +5 +3 4 0xbdc 0x9dc976e5 @@ -357062,6 +446328,8 @@ 1 0 0 +0 +0 2 0x519a 0xf65b7704 @@ -357070,6 +446338,8 @@ 0 0 0 +4 +4 1 0xd3f6 0xc4737942 @@ -357078,6 +446348,8 @@ 0 0 0 +5 +3 2 0x67b1 0xe7354273 @@ -357086,6 +446358,8 @@ 1 0 0 +5 +2 4 0x9b67 0xc4a67c3a @@ -357094,6 +446368,8 @@ 0 0 0 +0 +3 2 0xb4ee 0x59759027 @@ -357102,6 +446378,8 @@ 0 0 0 +3 +3 2 0xf7de 0xb03d33d @@ -357110,6 +446388,8 @@ 0 0 0 +3 +1 1 0x5386 0x1b8ed2dc @@ -357118,6 +446398,8 @@ 0 0 0 +2 +2 3 0x5b 0x44c1e4f7 @@ -357126,6 +446408,8 @@ 1 1 0 +3 +0 2 0xf5b0 0xd20422e3 @@ -357134,6 +446418,8 @@ 1 1 0 +1 +3 3 0xba96 0x236a573c @@ -357142,6 +446428,8 @@ 1 0 0 +3 +2 2 0x33a8 0x32250a9 @@ -357150,6 +446438,8 @@ 0 0 0 +5 +3 2 0xa8a9 0xe93f8279 @@ -357158,6 +446448,8 @@ 0 0 0 +4 +5 3 0x38f5 0x17129100 @@ -357166,6 +446458,8 @@ 0 0 0 +4 +4 3 0xa4c8 0x7d7d6167 @@ -357174,6 +446468,8 @@ 1 1 0 +3 +1 2 0x8f83 0xfeceed7d @@ -357182,6 +446478,8 @@ 1 0 0 +0 +4 3 0xb6a7 0xfddb7c84 @@ -357190,6 +446488,8 @@ 1 1 0 +1 +4 4 0x3f36 0x1d32f43a @@ -357198,6 +446498,8 @@ 0 0 0 +5 +1 2 0x92fe 0x1804ba6f @@ -357207,6 +446509,8 @@ 0 0 1 +1 +1 0x9022 0x138c03e0 256 @@ -357215,6 +446519,8 @@ 0 0 2 +1 +2 0xd91a 0x1f6f203e 256 @@ -357222,6 +446528,8 @@ 0 0 0 +5 +2 4 0x1664 0x8c837d93 @@ -357230,6 +446538,8 @@ 1 1 0 +2 +2 4 0x1db0 0x33d1003c @@ -357238,6 +446548,8 @@ 0 0 0 +0 +4 4 0xadae 0xd4a7c1c2 @@ -357246,6 +446558,8 @@ 1 0 0 +2 +5 4 0xf190 0x46899cc7 @@ -357254,6 +446568,8 @@ 0 0 0 +5 +0 1 0xd9f6 0xb90084b1 @@ -357262,6 +446578,8 @@ 1 1 0 +4 +5 1 0x4aef 0x34678224 @@ -357270,6 +446588,8 @@ 0 0 0 +4 +4 3 0x9e02 0x59cc157b @@ -357278,6 +446598,8 @@ 0 0 0 +0 +1 3 0xa2ba 0x598346a1 @@ -357286,6 +446608,8 @@ 0 0 0 +1 +1 3 0x8cdb 0xee25d081 @@ -357294,6 +446618,8 @@ 0 0 0 +0 +1 2 0xdd04 0xb17b4111 @@ -357302,6 +446628,8 @@ 0 0 0 +4 +0 3 0x2e96 0x2b2fba7f @@ -357310,6 +446638,8 @@ 1 1 0 +4 +2 2 0x1432 0x482b8f85 @@ -357318,6 +446648,8 @@ 0 0 0 +4 +3 2 0x95b2 0xf8fce3c0 @@ -357326,6 +446658,8 @@ 0 0 0 +1 +0 3 0xedc8 0xba1359e4 @@ -357334,6 +446668,8 @@ 1 0 0 +5 +4 2 0xd14e 0xc9456857 @@ -357343,6 +446679,8 @@ 1 0 1 +1 +1 0x53db 0x2e8eafd 256 @@ -357350,6 +446688,8 @@ 1 1 0 +4 +0 3 0x8b79 0x18117b59 @@ -357358,6 +446698,8 @@ 0 0 0 +3 +3 1 0x1ab 0x1ee8a560 @@ -357366,6 +446708,8 @@ 1 0 0 +1 +2 3 0x5f1d 0xcea041bf @@ -357375,6 +446719,8 @@ 0 0 4 +3 +4 0x8e0c 0xc7ca011f 256 @@ -357382,6 +446728,8 @@ 1 0 0 +3 +4 2 0x800a 0xd873cdd7 @@ -357391,6 +446739,8 @@ 0 0 3 +1 +3 0xe183 0x8baacd01 256 @@ -357398,6 +446748,8 @@ 1 0 0 +0 +5 1 0x5604 0x48280e97 @@ -357406,6 +446758,8 @@ 1 0 0 +4 +3 1 0x539 0xf8283de1 @@ -357414,6 +446768,8 @@ 0 0 0 +0 +1 3 0x25d9 0xc2eb0d76 @@ -357422,6 +446778,8 @@ 0 0 0 +3 +4 1 0x70e4 0x5cb4f3b2 @@ -357430,6 +446788,8 @@ 0 0 0 +2 +4 3 0xc6b8 0x28b5bfec @@ -357438,6 +446798,8 @@ 1 1 0 +1 +5 3 0x8f94 0x737aecd3 @@ -357446,6 +446808,8 @@ 1 1 0 +1 +4 4 0x7753 0x35891f21 @@ -357454,6 +446818,8 @@ 1 1 0 +4 +4 3 0x27e9 0x93998fbc @@ -357462,6 +446828,8 @@ 1 0 0 +5 +1 1 0xf8d 0x560d74ef @@ -357471,6 +446839,8 @@ 1 0 1 +5 +1 0x3068 0x9b8029a3 256 @@ -357478,6 +446848,8 @@ 0 0 0 +2 +3 4 0x4a0d 0x271a7bf5 @@ -357487,6 +446859,8 @@ 0 0 2 +4 +2 0xa6a2 0x8dc6e0dc 256 @@ -357494,6 +446868,8 @@ 0 0 0 +0 +0 2 0x6ac5 0xb2671ebd @@ -357502,6 +446878,8 @@ 1 0 0 +2 +3 1 0xa0f3 0xf24a3513 @@ -357511,6 +446889,8 @@ 0 0 1 +5 +1 0x8ce 0x3d39a222 256 @@ -357518,6 +446898,8 @@ 0 0 0 +0 +4 2 0x7d5 0xed622de9 @@ -357526,6 +446908,8 @@ 1 0 0 +1 +3 4 0xb463 0x3098c07b @@ -357534,6 +446918,8 @@ 1 1 0 +1 +3 3 0x7e79 0x50a165d3 @@ -357543,6 +446929,8 @@ 0 0 2 +3 +2 0xd8df 0xed4d44f 256 @@ -357550,6 +446938,8 @@ 1 1 0 +2 +1 3 0xfe77 0x692793e7 @@ -357558,6 +446948,8 @@ 0 0 0 +1 +1 2 0x8dde 0x5ba52d2e @@ -357567,6 +446959,8 @@ 0 0 3 +1 +3 0x7c18 0xaeeb4dea 256 @@ -357574,6 +446968,8 @@ 1 1 0 +4 +2 1 0x2a6b 0xa9993311 @@ -357582,6 +446978,8 @@ 1 1 0 +1 +2 4 0x1e78 0xc3286fba @@ -357590,6 +446988,8 @@ 1 0 0 +5 +5 4 0x326d 0xc265ea2f @@ -357598,6 +446998,8 @@ 1 0 0 +1 +4 4 0xccb 0x629c4642 @@ -357606,6 +447008,8 @@ 0 0 0 +0 +5 3 0x9ed7 0xe9c3e1b2 @@ -357615,6 +447019,8 @@ 0 0 3 +5 +3 0x678c 0x2b6e6f97 256 @@ -357622,6 +447028,8 @@ 1 0 0 +0 +4 4 0xf77c 0x3b83128b @@ -357630,6 +447038,8 @@ 1 1 0 +3 +3 4 0xe01 0x4a9ebef6 @@ -357638,6 +447048,8 @@ 0 0 0 +4 +1 1 0xd7ed 0xe54fe8b3 @@ -357646,6 +447058,8 @@ 0 0 0 +1 +2 2 0x3f7f 0xa3a13526 @@ -357654,6 +447068,8 @@ 1 0 0 +2 +0 3 0xda65 0x35adf556 @@ -357662,6 +447078,8 @@ 0 0 0 +1 +2 2 0xc925 0xde42060c @@ -357670,6 +447088,8 @@ 1 0 0 +5 +5 3 0x6a3a 0xed5db6db @@ -357679,6 +447099,8 @@ 1 0 4 +1 +4 0x7620 0xdecfa6b2 256 @@ -357686,6 +447108,8 @@ 0 0 0 +1 +3 3 0x7313 0x258fec7a @@ -357694,6 +447118,8 @@ 1 0 0 +4 +2 3 0xfcb4 0x51a86f4f @@ -357702,6 +447128,8 @@ 0 0 0 +2 +5 1 0x8d1 0xb60ad336 @@ -357710,6 +447138,8 @@ 0 0 0 +0 +1 4 0x46a2 0xac5d8101 @@ -357718,6 +447148,8 @@ 1 0 0 +2 +2 4 0x1ab4 0x8db0dba7 @@ -357726,6 +447158,8 @@ 0 0 0 +2 +2 1 0xccdb 0x7060f0b1 @@ -357734,6 +447168,8 @@ 0 0 0 +0 +3 2 0x91d8 0xbe4b6253 @@ -357742,6 +447178,8 @@ 0 0 0 +1 +1 3 0x78ec 0xe70dfff2 @@ -357751,6 +447189,8 @@ 1 0 2 +5 +2 0x4c6e 0x9777956f 256 @@ -357758,6 +447198,8 @@ 1 0 0 +2 +0 4 0xfbde 0xb9659e82 @@ -357766,6 +447208,8 @@ 1 1 0 +4 +3 2 0x7324 0x6d044315 @@ -357775,6 +447219,8 @@ 0 0 4 +4 +4 0xa5ab 0x34f9118 256 @@ -357782,6 +447228,8 @@ 0 0 0 +4 +1 1 0xac83 0x569dd40 @@ -357790,6 +447238,8 @@ 1 0 0 +0 +2 1 0x7f91 0xb5c55188 @@ -357798,6 +447248,8 @@ 1 1 0 +2 +3 1 0x97f 0xd58e28a2 @@ -357806,6 +447258,8 @@ 1 0 0 +5 +0 1 0x28d6 0xa6ed0415 @@ -357814,6 +447268,8 @@ 0 0 0 +2 +2 3 0x7b6a 0xbcec00c0 @@ -357822,6 +447278,8 @@ 0 0 0 +0 +0 2 0x7a2c 0x111e4f01 @@ -357830,6 +447288,8 @@ 0 0 0 +3 +1 1 0xc887 0xa5ccb096 @@ -357838,6 +447298,8 @@ 0 0 0 +0 +5 3 0x7929 0xe0501168 @@ -357846,6 +447308,8 @@ 0 0 0 +5 +3 4 0xe4aa 0x115d2746 @@ -357854,6 +447318,8 @@ 0 0 0 +0 +4 3 0x8d60 0xc344dd4b @@ -357863,6 +447329,8 @@ 0 0 2 +1 +2 0x8d27 0x16b69aa4 256 @@ -357871,6 +447339,8 @@ 0 0 2 +3 +2 0xffcb 0x323b9791 256 @@ -357878,6 +447348,8 @@ 0 0 0 +0 +4 1 0x89ea 0x4924b3d2 @@ -357886,6 +447358,8 @@ 1 1 0 +1 +4 2 0x9742 0x90480137 @@ -357895,6 +447369,8 @@ 0 0 2 +5 +2 0xd48f 0x3bb58108 256 @@ -357902,6 +447378,8 @@ 1 1 0 +1 +3 4 0x11a3 0xcbe01fae @@ -357910,6 +447388,8 @@ 0 0 0 +5 +1 3 0xa5b3 0xc7816a00 @@ -357918,6 +447398,8 @@ 0 0 0 +0 +1 2 0xb2a1 0xeb3d10ab @@ -357926,6 +447408,8 @@ 0 0 0 +3 +0 1 0x74f4 0x13df0b04 @@ -357934,6 +447418,8 @@ 0 0 0 +4 +0 3 0xef1e 0x1048b5ca @@ -357943,6 +447429,8 @@ 0 0 3 +3 +3 0x4795 0xbdfa5ede 256 @@ -357950,6 +447438,8 @@ 1 0 0 +0 +3 2 0x9a38 0x6da18ddd @@ -357958,6 +447448,8 @@ 0 0 0 +4 +1 2 0x7a40 0x63985cf @@ -357966,6 +447458,8 @@ 1 1 0 +5 +3 2 0x5842 0xcf5a006 @@ -357975,6 +447469,8 @@ 1 0 4 +0 +4 0xd762 0xddd29003 256 @@ -357983,6 +447479,8 @@ 1 0 4 +2 +4 0xc804 0x485814ed 256 @@ -357990,6 +447488,8 @@ 1 0 0 +3 +5 4 0x2d99 0x9bc84fb9 @@ -357998,6 +447498,8 @@ 1 1 0 +5 +2 1 0x786f 0xdeff2cf5 @@ -358006,6 +447508,8 @@ 1 1 0 +4 +1 2 0xde95 0xd3fa25ee @@ -358014,6 +447518,8 @@ 1 0 0 +1 +1 2 0xd84a 0xf900f0e7 @@ -358023,6 +447529,8 @@ 1 0 4 +0 +4 0x439b 0x370b643 256 @@ -358031,6 +447539,8 @@ 0 0 3 +0 +3 0x72bb 0xb124651b 256 @@ -358038,6 +447548,8 @@ 0 0 0 +1 +0 3 0x1307 0x3fc6a69d @@ -358047,6 +447559,8 @@ 0 0 2 +3 +2 0x5052 0x8385ef2d 256 @@ -358054,6 +447568,8 @@ 1 0 0 +2 +5 1 0xfe1d 0xcf1e8224 @@ -358062,6 +447578,8 @@ 1 1 0 +4 +5 3 0xa0cc 0x568dbfd1 @@ -358070,6 +447588,8 @@ 0 0 0 +0 +4 3 0xef83 0xbe75ee04 @@ -358078,6 +447598,8 @@ 1 1 0 +0 +3 1 0xa090 0xbe204298 @@ -358086,6 +447608,8 @@ 1 0 0 +5 +2 1 0x881e 0x21557c99 @@ -358094,6 +447618,8 @@ 0 0 0 +5 +1 3 0x553d 0xda4ab81a @@ -358102,6 +447628,8 @@ 0 0 0 +1 +2 2 0x34df 0x41b0723e @@ -358110,6 +447638,8 @@ 1 0 0 +0 +5 3 0x8469 0xacdddef3 @@ -358118,6 +447648,8 @@ 1 0 0 +3 +4 2 0x91d4 0xeb9656cf @@ -358126,6 +447658,8 @@ 0 0 0 +3 +2 4 0x110d 0x1e607561 @@ -358134,6 +447668,8 @@ 0 0 0 +4 +1 2 0x66b3 0x6cfbc0b6 @@ -358142,6 +447678,8 @@ 1 1 0 +3 +0 4 0x2482 0x243ffb4b @@ -358150,6 +447688,8 @@ 1 0 0 +4 +2 1 0xd239 0x8239a0ca @@ -358158,6 +447698,8 @@ 0 0 0 +5 +5 1 0x907f 0x2af70be8 @@ -358167,6 +447709,8 @@ 1 0 4 +2 +4 0x463b 0x7c2e045a 256 @@ -358175,6 +447719,8 @@ 0 0 2 +1 +2 0x2c89 0x17711561 256 @@ -358183,6 +447729,8 @@ 0 0 3 +0 +3 0x3c97 0x5cb5db73 256 @@ -358190,6 +447738,8 @@ 1 1 0 +4 +4 1 0xa02e 0xff3ffd97 @@ -358198,6 +447748,8 @@ 0 0 0 +5 +1 2 0x9b64 0xcc173c6e @@ -358206,6 +447758,8 @@ 0 0 0 +3 +4 1 0x1c83 0xd6bd534f @@ -358214,6 +447768,8 @@ 0 0 0 +5 +4 4 0x7e9f 0xd225abc5 @@ -358222,6 +447778,8 @@ 1 1 0 +2 +2 3 0x4b7e 0x27d7afda @@ -358230,6 +447788,8 @@ 0 0 0 +5 +5 1 0x8639 0x614a67ee @@ -358238,6 +447798,8 @@ 1 1 0 +1 +1 3 0x4182 0xba9a01ac @@ -358247,6 +447809,8 @@ 0 0 2 +2 +2 0xd975 0xa94c2e1b 256 @@ -358254,6 +447818,8 @@ 0 0 0 +0 +5 4 0x9fc8 0xbf7a2412 @@ -358262,6 +447828,8 @@ 1 1 0 +5 +0 1 0xaf72 0x8a94f1ab @@ -358270,6 +447838,8 @@ 1 0 0 +3 +1 4 0x59dd 0x77495726 @@ -358278,6 +447848,8 @@ 0 0 0 +2 +3 4 0x8a1a 0xad3e4e35 @@ -358286,6 +447858,8 @@ 1 1 0 +5 +1 3 0x4ac5 0x5a4c7bf5 @@ -358294,6 +447868,8 @@ 1 1 0 +1 +3 4 0x873b 0xdf52115e @@ -358302,6 +447878,8 @@ 0 0 0 +0 +0 2 0xbf38 0x5da1d61e @@ -358310,6 +447888,8 @@ 0 0 0 +1 +0 2 0xc76e 0xd3a0b079 @@ -358318,6 +447898,8 @@ 0 0 0 +3 +1 4 0x1a8a 0x7a206cc9 @@ -358326,6 +447908,8 @@ 1 1 0 +0 +0 3 0xf052 0xaa9e23fb @@ -358335,6 +447919,8 @@ 0 0 2 +0 +2 0x50d5 0x26f3a469 256 @@ -358342,6 +447928,8 @@ 1 0 0 +1 +4 3 0x4f98 0xdc5f549d @@ -358350,6 +447938,8 @@ 0 0 0 +5 +2 2 0x7e04 0x7d4aff77 @@ -358358,6 +447948,8 @@ 0 0 0 +1 +5 4 0x15dd 0x3b784f0d @@ -358366,6 +447958,8 @@ 0 0 0 +0 +0 2 0x6724 0xa761311c @@ -358374,6 +447968,8 @@ 0 0 0 +5 +4 2 0x1554 0xb9484d6f @@ -358382,6 +447978,8 @@ 0 0 0 +5 +2 1 0xb730 0xc52bd411 @@ -358390,6 +447988,8 @@ 0 0 0 +4 +2 2 0x1048 0xf1dd86c @@ -358398,6 +447998,8 @@ 0 0 0 +0 +0 3 0xefab 0xeb4b0445 @@ -358406,6 +448008,8 @@ 1 1 0 +4 +4 2 0x6b40 0xa4bae861 @@ -358414,6 +448018,8 @@ 1 1 0 +5 +5 3 0xa008 0xa80766f4 @@ -358422,6 +448028,8 @@ 0 0 0 +5 +0 3 0x274d 0x997d15dd @@ -358430,6 +448038,8 @@ 0 0 0 +4 +1 3 0xfef3 0x68931b57 @@ -358438,6 +448048,8 @@ 0 0 0 +3 +0 2 0xc81e 0xb52efe69 @@ -358446,6 +448058,8 @@ 0 0 0 +0 +4 1 0x36a0 0xbfc82632 @@ -358454,6 +448068,8 @@ 1 1 0 +5 +0 2 0x1f36 0x1dbc7399 @@ -358462,6 +448078,8 @@ 1 1 0 +2 +4 3 0x147 0xb6cedd2b @@ -358470,6 +448088,8 @@ 0 0 0 +5 +5 4 0xb7ce 0x6475256 @@ -358478,6 +448098,8 @@ 1 1 0 +5 +2 1 0xa907 0xf67c2756 @@ -358487,6 +448109,8 @@ 1 0 1 +0 +1 0x126e 0x8af919df 256 @@ -358495,6 +448119,8 @@ 0 0 3 +2 +3 0x342 0xc77252aa 256 @@ -358503,6 +448129,8 @@ 0 0 3 +0 +3 0x2288 0xf62d0b99 256 @@ -358510,6 +448138,8 @@ 1 1 0 +2 +0 4 0x485c 0x8a556c87 @@ -358518,6 +448148,8 @@ 1 1 0 +3 +5 1 0xf2c1 0x57fab0d9 @@ -358526,6 +448158,8 @@ 1 0 0 +1 +2 4 0xce9d 0x95f962e @@ -358534,6 +448168,8 @@ 0 0 0 +0 +4 4 0x414f 0x88ce07a6 @@ -358542,6 +448178,8 @@ 0 0 0 +0 +2 2 0xbff9 0xd9bd5147 @@ -358550,6 +448188,8 @@ 0 0 0 +5 +2 2 0x78d3 0x34a956d6 @@ -358558,6 +448198,8 @@ 1 1 0 +2 +1 4 0x4d10 0x3a9384a2 @@ -358566,6 +448208,8 @@ 1 1 0 +3 +3 1 0x6c46 0xd5f4e3a4 @@ -358575,6 +448219,8 @@ 0 0 1 +3 +1 0xe4c9 0xf87ac303 256 @@ -358582,6 +448228,8 @@ 0 0 0 +0 +3 3 0xdcd7 0xc3bf608e @@ -358590,6 +448238,8 @@ 0 0 0 +1 +4 3 0xd3b9 0x7540b39a @@ -358599,6 +448249,8 @@ 0 0 1 +2 +1 0x9a01 0x549099a0 256 @@ -358606,6 +448258,8 @@ 1 1 0 +4 +4 2 0xdc36 0x6526354a @@ -358614,6 +448268,8 @@ 1 0 0 +5 +2 1 0x77de 0xa49761ee @@ -358622,6 +448278,8 @@ 0 0 0 +2 +2 3 0x2231 0x7e879da7 @@ -358630,6 +448288,8 @@ 1 0 0 +3 +3 4 0x83ff 0x54268b77 @@ -358638,6 +448298,8 @@ 1 0 0 +0 +4 2 0xaf46 0xcbd901b6 @@ -358646,6 +448308,8 @@ 0 0 0 +2 +1 4 0x208b 0x560f3275 @@ -358655,6 +448319,8 @@ 0 0 3 +2 +3 0xb289 0x91af20ba 256 @@ -358662,6 +448328,8 @@ 0 0 0 +5 +5 1 0x8092 0x30b5ec72 @@ -358670,6 +448338,8 @@ 1 1 0 +3 +2 4 0xfb92 0xadc5c2d6 @@ -358678,6 +448348,8 @@ 1 1 0 +4 +0 3 0x9550 0x76806607 @@ -358686,6 +448358,8 @@ 0 0 0 +4 +4 1 0xbf32 0xeba26c42 @@ -358694,6 +448368,8 @@ 0 0 0 +4 +3 2 0x642d 0x44ee5c64 @@ -358702,6 +448378,8 @@ 1 0 0 +1 +2 3 0x9691 0xf518dfa7 @@ -358710,6 +448388,8 @@ 0 0 0 +0 +4 1 0xe10d 0xf03b438e @@ -358719,6 +448399,8 @@ 0 0 2 +0 +2 0xc4c1 0x84f6f26c 256 @@ -358726,6 +448408,8 @@ 0 0 0 +0 +2 4 0x2831 0xc7c1ad0e @@ -358734,6 +448418,8 @@ 0 0 0 +2 +3 1 0x75b6 0x58e6e3ef @@ -358742,6 +448428,8 @@ 0 0 0 +5 +4 2 0xdc18 0x29bad9b4 @@ -358750,6 +448438,8 @@ 1 1 0 +3 +1 1 0x7471 0x4a26203e @@ -358758,6 +448448,8 @@ 1 1 0 +5 +0 4 0x255 0x5210ca2f @@ -358766,6 +448458,8 @@ 0 0 0 +1 +1 3 0x1557 0x24145f84 @@ -358774,6 +448468,8 @@ 1 0 0 +2 +0 4 0xe06c 0x263fbc49 @@ -358782,6 +448478,8 @@ 0 0 0 +2 +3 1 0xa407 0x1297dd0e @@ -358791,6 +448489,8 @@ 0 0 4 +2 +4 0xfbd2 0x31825fc8 256 @@ -358798,6 +448498,8 @@ 0 0 0 +0 +5 3 0xf1d6 0x5d3bea91 @@ -358806,6 +448508,8 @@ 0 0 0 +5 +1 2 0x4bf3 0x42ed5dc5 @@ -358814,6 +448518,8 @@ 1 1 0 +4 +3 2 0xb401 0x55ba8d1 @@ -358822,6 +448528,8 @@ 0 0 0 +0 +3 4 0x43e 0x23f7382e @@ -358830,6 +448538,8 @@ 0 0 0 +5 +4 1 0x4f24 0x87b35898 @@ -358838,6 +448548,8 @@ 0 0 0 +1 +2 4 0x7fa5 0xfcbb278b @@ -358846,6 +448558,8 @@ 1 1 0 +2 +3 4 0x4717 0x4662437f @@ -358855,6 +448569,8 @@ 0 0 1 +1 +1 0x8fd8 0xee1543fe 256 @@ -358862,6 +448578,8 @@ 0 0 0 +3 +5 1 0x3abc 0xed845335 @@ -358870,6 +448588,8 @@ 1 0 0 +4 +2 2 0xf47c 0x75250197 @@ -358879,6 +448599,8 @@ 0 0 2 +2 +2 0x599d 0x6f47f97e 256 @@ -358886,6 +448608,8 @@ 0 0 0 +0 +2 4 0x472e 0x311862ae @@ -358894,6 +448618,8 @@ 0 0 0 +1 +3 3 0x36d7 0x4c6146eb @@ -358903,6 +448629,8 @@ 0 0 2 +3 +2 0x7a7f 0x37bc9f03 256 @@ -358910,6 +448638,8 @@ 1 1 0 +2 +3 4 0x4e39 0xbd693198 @@ -358919,6 +448649,8 @@ 0 0 2 +0 +2 0x857e 0x90e938b9 256 @@ -358926,6 +448658,8 @@ 0 0 0 +5 +4 3 0x6b9d 0x5dca0978 @@ -358934,6 +448668,8 @@ 1 1 0 +1 +3 2 0xf7b9 0x29666ade @@ -358942,6 +448678,8 @@ 1 0 0 +5 +5 3 0x4e7e 0x67e1b0e0 @@ -358950,6 +448688,8 @@ 0 0 0 +5 +4 4 0x5961 0xc5738e86 @@ -358958,6 +448698,8 @@ 0 0 0 +2 +3 3 0x8e4a 0x8d020152 @@ -358966,6 +448708,8 @@ 0 0 0 +5 +5 2 0x34 0x5649349e @@ -358974,6 +448718,8 @@ 0 0 0 +0 +1 3 0x5e60 0xb742cd63 @@ -358982,6 +448728,8 @@ 0 0 0 +4 +3 3 0xc879 0xcaf062fa @@ -358990,6 +448738,8 @@ 0 0 0 +0 +4 2 0x7449 0x3398127b @@ -358999,6 +448749,8 @@ 0 0 3 +5 +3 0x7460 0x4ebec16f 256 @@ -359006,6 +448758,8 @@ 0 0 0 +4 +5 3 0x8bc1 0xe80b3718 @@ -359014,6 +448768,8 @@ 1 0 0 +2 +1 3 0xe133 0xba0482c5 @@ -359022,6 +448778,8 @@ 0 0 0 +3 +5 1 0x4505 0x758a7829 @@ -359030,6 +448788,8 @@ 1 0 0 +2 +0 4 0xbe62 0x7723964d @@ -359038,6 +448798,8 @@ 0 0 0 +0 +3 1 0x9d92 0xd47d235b @@ -359046,6 +448808,8 @@ 0 0 0 +4 +3 2 0x9ccb 0x8d12492b @@ -359055,6 +448819,8 @@ 0 0 3 +3 +3 0x161f 0x47f53f64 256 @@ -359062,6 +448828,8 @@ 1 1 0 +4 +3 3 0xc4f4 0xbf3ed351 @@ -359070,6 +448838,8 @@ 1 1 0 +0 +4 1 0xaba6 0x76563b91 @@ -359078,6 +448848,8 @@ 1 1 0 +3 +3 1 0x99ca 0xe342f573 @@ -359086,6 +448858,8 @@ 1 1 0 +4 +3 2 0x2310 0x3aaa2f48 @@ -359094,6 +448868,8 @@ 0 0 0 +1 +5 3 0x3fbc 0xc2f1825b @@ -359102,6 +448878,8 @@ 0 0 0 +0 +1 2 0xe134 0x9b8fa3d1 @@ -359110,6 +448888,8 @@ 0 0 0 +0 +0 4 0x13ee 0xe3af4398 @@ -359118,6 +448898,8 @@ 1 0 0 +5 +2 2 0x66d3 0x543b7959 @@ -359126,6 +448908,8 @@ 1 1 0 +1 +1 2 0xb45f 0x5e8dbdaf @@ -359135,6 +448919,8 @@ 0 0 3 +0 +3 0x1e18 0x7490a148 256 @@ -359142,6 +448928,8 @@ 0 0 0 +5 +0 4 0xf6a8 0x44afad9e @@ -359150,6 +448938,8 @@ 1 1 0 +3 +3 2 0x72b2 0x8ad5210d @@ -359158,6 +448948,8 @@ 1 0 0 +5 +1 1 0xa52 0xa83648c2 @@ -359166,6 +448958,8 @@ 1 0 0 +0 +2 4 0xb5f4 0xd1b0deac @@ -359175,6 +448969,8 @@ 0 0 1 +1 +1 0xb368 0x18fdc2a6 256 @@ -359182,6 +448978,8 @@ 0 0 0 +1 +4 4 0x805 0xe100a4e @@ -359190,6 +448988,8 @@ 0 0 0 +1 +2 2 0xf8d9 0x18d0e9eb @@ -359198,6 +448998,8 @@ 0 0 0 +5 +3 4 0xed27 0xbe00e591 @@ -359206,6 +449008,8 @@ 0 0 0 +5 +5 1 0x4cb 0xdc121a5d @@ -359214,6 +449018,8 @@ 1 0 0 +5 +2 1 0xf17e 0x35737169 @@ -359222,6 +449028,8 @@ 0 0 0 +5 +4 1 0x3439 0xd96148e8 @@ -359230,6 +449038,8 @@ 1 1 0 +3 +0 1 0xdf65 0x4c84c4c4 @@ -359238,6 +449048,8 @@ 0 0 0 +1 +3 2 0xcf5c 0xba40955f @@ -359246,6 +449058,8 @@ 1 1 0 +0 +0 3 0xb6bd 0x47274362 @@ -359254,6 +449068,8 @@ 1 1 0 +4 +4 2 0xd6f9 0x175d4fea @@ -359262,6 +449078,8 @@ 1 1 0 +4 +4 1 0x8e4a 0x7a24fb90 @@ -359270,6 +449088,8 @@ 1 1 0 +2 +0 3 0x4d 0xb3d05b82 @@ -359278,6 +449098,8 @@ 0 0 0 +5 +3 1 0xe038 0x6549baba @@ -359286,6 +449108,8 @@ 0 0 0 +0 +0 2 0x8a03 0xb41c944c @@ -359294,6 +449118,8 @@ 0 0 0 +5 +2 2 0xe394 0xb700ed52 @@ -359302,6 +449128,8 @@ 1 1 0 +0 +4 4 0xac3c 0x5a98a2f3 @@ -359311,6 +449139,8 @@ 0 0 2 +5 +2 0x253f 0xa2eb155e 256 @@ -359318,6 +449148,8 @@ 1 0 0 +1 +4 4 0x163e 0x1d6e62c2 @@ -359326,6 +449158,8 @@ 1 1 0 +0 +3 1 0x1858 0x4784ac90 @@ -359334,6 +449168,8 @@ 1 0 0 +3 +2 1 0x8065 0xe4af7d8b @@ -359342,6 +449178,8 @@ 0 0 0 +0 +4 3 0x7dfd 0xb42a2085 @@ -359350,6 +449188,8 @@ 0 0 0 +1 +0 2 0xa104 0xacbe7d0d @@ -359358,6 +449198,8 @@ 0 0 0 +0 +1 4 0xa11a 0x7fd4c079 @@ -359366,6 +449208,8 @@ 1 1 0 +0 +1 3 0x718d 0x6de6f04f @@ -359375,6 +449219,8 @@ 0 0 2 +5 +2 0x2ee5 0x9b3dd84c 256 @@ -359382,6 +449228,8 @@ 1 1 0 +0 +5 2 0x7c7d 0x200720e4 @@ -359391,6 +449239,8 @@ 0 0 3 +0 +3 0xe0dd 0x5c5f1699 256 @@ -359398,6 +449248,8 @@ 0 0 0 +1 +0 4 0x54ac 0x214ef40e @@ -359407,6 +449259,8 @@ 0 0 4 +2 +4 0x9fb0 0x3577b540 256 @@ -359414,6 +449268,8 @@ 1 1 0 +2 +5 4 0x248e 0x5c8e6d34 @@ -359422,6 +449278,8 @@ 1 1 0 +0 +3 1 0x56e2 0xf747380a @@ -359430,6 +449288,8 @@ 1 0 0 +4 +2 2 0x757b 0xfd7f087a @@ -359438,6 +449298,8 @@ 1 0 0 +5 +4 1 0xbb54 0xed34b0d6 @@ -359446,6 +449308,8 @@ 1 0 0 +1 +3 3 0xe336 0x6f0fc678 @@ -359454,6 +449318,8 @@ 1 0 0 +1 +1 4 0xe1c8 0xe630c795 @@ -359462,6 +449328,8 @@ 0 0 0 +2 +1 4 0x110c 0x83bd424b @@ -359470,6 +449338,8 @@ 1 0 0 +0 +0 4 0x2e8d 0x1876af4 @@ -359478,6 +449348,8 @@ 1 1 0 +1 +3 2 0xb7f1 0x4dc34a0 @@ -359486,6 +449358,8 @@ 1 1 0 +4 +2 3 0x9502 0xefe2d2d5 @@ -359494,6 +449368,8 @@ 0 0 0 +0 +3 4 0xb6f9 0xf199e495 @@ -359502,6 +449378,8 @@ 0 0 0 +1 +2 2 0x58de 0x711fa062 @@ -359510,6 +449388,8 @@ 1 1 0 +3 +1 2 0x5166 0xb0bf0b02 @@ -359518,6 +449398,8 @@ 0 0 0 +4 +4 1 0xb471 0xb65e665f @@ -359526,6 +449408,8 @@ 1 1 0 +0 +1 1 0xcde7 0xb31b3a2d @@ -359534,6 +449418,8 @@ 0 0 0 +0 +0 4 0xdbff 0xae8d2176 @@ -359542,6 +449428,8 @@ 1 0 0 +3 +2 2 0xd92 0x6d1c95bb @@ -359550,6 +449438,8 @@ 1 1 0 +0 +1 4 0x976d 0x2ff50d0e @@ -359559,6 +449449,8 @@ 0 0 3 +2 +3 0xe589 0x51112a25 256 @@ -359566,6 +449458,8 @@ 0 0 0 +2 +2 4 0xef5a 0x5dbf09dd @@ -359574,6 +449468,8 @@ 0 0 0 +3 +3 2 0x8d28 0x3620866b @@ -359582,6 +449478,8 @@ 0 0 0 +4 +5 2 0x7638 0xffd420fa @@ -359590,6 +449488,8 @@ 1 0 0 +4 +2 3 0xb2da 0x4a23d467 @@ -359598,6 +449498,8 @@ 0 0 0 +1 +4 2 0xf2b6 0x6e7a9e17 @@ -359606,6 +449508,8 @@ 1 1 0 +3 +4 2 0x26ea 0x90150e93 @@ -359615,6 +449519,8 @@ 0 0 1 +2 +1 0xcd16 0x20f6163d 256 @@ -359622,6 +449528,8 @@ 1 1 0 +4 +5 2 0x45a8 0xed8635cf @@ -359630,6 +449538,8 @@ 1 0 0 +5 +3 2 0x2876 0xbfea7c66 @@ -359638,6 +449548,8 @@ 0 0 0 +4 +5 3 0xec03 0x5bed0367 @@ -359646,6 +449558,8 @@ 1 1 0 +2 +5 1 0x1b1e 0x456105ea @@ -359654,6 +449568,8 @@ 0 0 0 +0 +3 3 0xd5a6 0x8f9eb262 @@ -359662,6 +449578,8 @@ 1 1 0 +4 +4 3 0x6255 0x7827cc8c @@ -359670,6 +449588,8 @@ 1 1 0 +5 +5 2 0x8dd0 0x99305de7 @@ -359678,6 +449598,8 @@ 1 1 0 +0 +1 1 0xe211 0x739ef03c @@ -359686,6 +449608,8 @@ 0 0 0 +4 +5 2 0xc435 0x710adcb3 @@ -359695,6 +449619,8 @@ 0 0 1 +3 +1 0x5021 0x60791e4d 256 @@ -359702,6 +449628,8 @@ 1 1 0 +0 +5 4 0x64f4 0x5e1c6315 @@ -359710,6 +449638,8 @@ 1 0 0 +5 +2 4 0x78d6 0xb0989a7f @@ -359719,6 +449649,8 @@ 0 0 1 +2 +1 0x2407 0x74af7f2d 256 @@ -359726,6 +449658,8 @@ 0 0 0 +5 +0 2 0x7040 0x3984ccd @@ -359734,6 +449668,8 @@ 1 1 0 +1 +1 2 0x8447 0x6e3b8595 @@ -359742,6 +449678,8 @@ 1 1 0 +0 +2 2 0x8d36 0x919f7dc4 @@ -359750,6 +449688,8 @@ 1 1 0 +0 +5 3 0x82c4 0x16f4acb8 @@ -359758,6 +449698,8 @@ 0 0 0 +0 +4 4 0x8ecf 0xc173dd8b @@ -359766,6 +449708,8 @@ 0 0 0 +2 +2 1 0xc69d 0x9e0f1c23 @@ -359774,6 +449718,8 @@ 0 0 0 +2 +5 3 0xd412 0xa0ce6a8c @@ -359782,6 +449728,8 @@ 1 1 0 +1 +3 4 0x207a 0x5fc2d4dd @@ -359790,6 +449738,8 @@ 0 0 0 +1 +0 3 0x927b 0x71332da9 @@ -359798,6 +449748,8 @@ 1 1 0 +2 +4 4 0x7e96 0xbdb19dc8 @@ -359806,6 +449758,8 @@ 1 0 0 +4 +5 2 0xf7da 0xc5dda28a @@ -359814,6 +449768,8 @@ 0 0 0 +3 +5 1 0x915a 0x2525347d @@ -359822,6 +449778,8 @@ 0 0 0 +4 +1 3 0xb3b1 0x327ac0ad @@ -359830,6 +449788,8 @@ 1 0 0 +5 +5 4 0x42f2 0x1f865753 @@ -359839,6 +449799,8 @@ 0 0 3 +1 +3 0x66f5 0x5c532e12 256 @@ -359847,6 +449809,8 @@ 0 0 1 +4 +1 0x4b79 0x2799c2b1 256 @@ -359854,6 +449818,8 @@ 1 0 0 +4 +4 3 0xe7cc 0xa2d742e6 @@ -359862,6 +449828,8 @@ 0 0 0 +1 +0 3 0xad0a 0xd1d4609e @@ -359871,6 +449839,8 @@ 0 0 3 +2 +3 0xc0a1 0x6d3d04f7 256 @@ -359879,6 +449849,8 @@ 1 0 1 +3 +1 0x9893 0xe530c163 256 @@ -359886,6 +449858,8 @@ 0 0 0 +5 +1 4 0x85ee 0x850df82b @@ -359894,6 +449868,8 @@ 1 1 0 +3 +2 1 0xa015 0xb726d33b @@ -359902,6 +449878,8 @@ 0 0 0 +5 +2 3 0x9ed4 0x9bc9cbda @@ -359910,6 +449888,8 @@ 0 0 0 +1 +0 3 0x38e5 0x3c3cc934 @@ -359918,6 +449898,8 @@ 1 1 0 +5 +5 1 0x2ecc 0x4ddaaf1a @@ -359926,6 +449908,8 @@ 0 0 0 +5 +0 1 0x908b 0xbb5f383 @@ -359934,6 +449918,8 @@ 1 1 0 +2 +4 1 0xc8a4 0x884d7a88 @@ -359942,6 +449928,8 @@ 0 0 0 +0 +4 3 0xc161 0x5e70cb4c @@ -359950,6 +449938,8 @@ 0 0 0 +5 +4 1 0x5b4d 0xa808806f @@ -359958,6 +449948,8 @@ 1 1 0 +3 +2 4 0x1ee2 0x92e81be @@ -359967,6 +449959,8 @@ 1 0 3 +3 +3 0xc1f5 0x27000f57 256 @@ -359975,6 +449969,8 @@ 0 0 3 +4 +3 0x8ec9 0x661b93f5 256 @@ -359983,6 +449979,8 @@ 0 0 4 +1 +4 0x4f68 0x474a61b8 256 @@ -359990,6 +449988,8 @@ 0 0 0 +1 +1 2 0x281e 0xf210373d @@ -359999,6 +449999,8 @@ 0 0 4 +5 +4 0x2694 0x23977c80 256 @@ -360006,6 +450008,8 @@ 1 0 0 +3 +1 1 0xae28 0xf88d45dd @@ -360014,6 +450018,8 @@ 1 0 0 +3 +0 4 0x7f3a 0x69f2b569 @@ -360022,6 +450028,8 @@ 1 1 0 +4 +3 2 0xdd88 0x40e66f81 @@ -360030,6 +450038,8 @@ 0 0 0 +5 +2 2 0x25cf 0xf61ad5dd @@ -360038,6 +450048,8 @@ 0 0 0 +2 +3 1 0xf475 0x47143a5f @@ -360046,6 +450058,8 @@ 0 0 0 +5 +3 3 0xed18 0x137d52d1 @@ -360054,6 +450068,8 @@ 0 0 0 +5 +0 1 0x2b4c 0x9f4ce7e1 @@ -360062,6 +450078,8 @@ 0 0 0 +4 +3 2 0xb374 0x427dfd74 @@ -360070,6 +450088,8 @@ 1 1 0 +5 +2 3 0xae1b 0xeb954b69 @@ -360078,6 +450098,8 @@ 1 0 0 +5 +1 4 0xd56a 0x7c187adb @@ -360086,6 +450108,8 @@ 1 1 0 +0 +0 3 0x2c92 0x7287b044 @@ -360094,6 +450118,8 @@ 1 0 0 +5 +5 2 0x4b3b 0xee22d9f8 @@ -360102,6 +450128,8 @@ 1 0 0 +2 +4 4 0x17c3 0xf3aab519 @@ -360110,6 +450138,8 @@ 0 0 0 +2 +4 3 0x6cf9 0xb18988de @@ -360119,6 +450149,8 @@ 0 0 4 +0 +4 0x33cd 0xb1f64e6b 256 @@ -360126,6 +450158,8 @@ 1 0 0 +5 +3 4 0x7b66 0x1a6b861f @@ -360134,6 +450168,8 @@ 1 1 0 +0 +0 1 0x2184 0x32c57040 @@ -360142,6 +450178,8 @@ 0 0 0 +4 +4 2 0x3b24 0x12a70154 @@ -360151,6 +450189,8 @@ 0 0 4 +4 +4 0x40d6 0xdd72c5c0 256 @@ -360158,6 +450198,8 @@ 0 0 0 +5 +3 4 0x5d6c 0xe9d99e7c @@ -360166,6 +450208,8 @@ 0 0 0 +1 +3 2 0x8c94 0x52cc2f2b @@ -360174,6 +450218,8 @@ 1 1 0 +3 +2 4 0x7848 0xec4a6ee5 @@ -360183,6 +450229,8 @@ 0 0 3 +2 +3 0x6b8e 0x1638be4e 256 @@ -360190,6 +450238,8 @@ 1 0 0 +5 +5 1 0x70cd 0xecefab48 @@ -360199,6 +450249,8 @@ 1 0 4 +5 +4 0x6a5 0x50f8fd57 256 @@ -360206,6 +450258,8 @@ 0 0 0 +1 +3 2 0x5b2d 0xb2b616e2 @@ -360214,6 +450268,8 @@ 1 0 0 +1 +1 4 0xd43b 0xa9332d8a @@ -360222,6 +450278,8 @@ 0 0 0 +2 +2 3 0x1649 0xb323d4c0 @@ -360230,6 +450288,8 @@ 0 0 0 +1 +4 2 0x159c 0x1a680b51 @@ -360238,6 +450298,8 @@ 1 0 0 +0 +5 4 0xef87 0xbd71e5c7 @@ -360246,6 +450308,8 @@ 0 0 0 +4 +3 2 0x33f5 0x9b8c61db @@ -360254,6 +450318,8 @@ 0 0 0 +5 +5 4 0x6335 0x527abaf2 @@ -360262,6 +450328,8 @@ 0 0 0 +5 +4 3 0x1d8b 0xb293269c @@ -360270,6 +450338,8 @@ 1 0 0 +0 +1 3 0x4cbd 0x64cc6b09 @@ -360278,6 +450348,8 @@ 1 1 0 +0 +2 3 0x7fa7 0x24696f6a @@ -360286,6 +450358,8 @@ 0 0 0 +1 +1 3 0xb1b0 0x4f475999 @@ -360294,6 +450368,8 @@ 0 0 0 +2 +2 3 0x766c 0x71096c13 @@ -360302,6 +450378,8 @@ 0 0 0 +0 +5 3 0xecf2 0x52663f5d @@ -360310,6 +450388,8 @@ 1 0 0 +5 +5 1 0x92fc 0x80c6a600 @@ -360319,6 +450399,8 @@ 0 0 2 +1 +2 0x44ab 0xd38f5e70 256 @@ -360326,6 +450408,8 @@ 1 0 0 +5 +5 4 0xd33f 0xe2c4d8a9 @@ -360334,6 +450418,8 @@ 0 0 0 +5 +3 2 0x6234 0x788723c5 @@ -360342,6 +450428,8 @@ 1 0 0 +5 +4 1 0x77d1 0xdb453124 @@ -360350,6 +450438,8 @@ 1 0 0 +0 +5 2 0xb223 0x4e16f2eb @@ -360358,6 +450448,8 @@ 1 0 0 +0 +4 2 0x876e 0x23fdc853 @@ -360366,6 +450458,8 @@ 1 1 0 +0 +2 2 0x8a48 0x33c68db2 @@ -360374,6 +450468,8 @@ 1 0 0 +4 +3 3 0xc4fd 0xd0e2ac26 @@ -360383,6 +450479,8 @@ 0 0 3 +2 +3 0x627b 0x605ab7ad 256 @@ -360391,6 +450489,8 @@ 0 0 4 +3 +4 0xfc07 0x35d76120 256 @@ -360398,6 +450498,8 @@ 1 1 0 +0 +1 4 0xe677 0x6ca96791 @@ -360406,6 +450508,8 @@ 0 0 0 +3 +3 1 0x8b64 0x29d90c96 @@ -360415,6 +450519,8 @@ 0 0 1 +2 +1 0x6b58 0x21ed7263 256 @@ -360422,6 +450528,8 @@ 1 0 0 +3 +4 1 0x14d7 0xbcd6387d @@ -360430,6 +450538,8 @@ 0 0 0 +3 +1 2 0xd2b2 0x909dd078 @@ -360439,6 +450549,8 @@ 1 0 1 +0 +1 0xf4dd 0xdb0c691b 256 @@ -360446,6 +450558,8 @@ 1 1 0 +1 +3 2 0x3aac 0xde3e11b9 @@ -360454,6 +450568,8 @@ 0 0 0 +5 +2 4 0xa17 0x5bd04855 @@ -360462,6 +450578,8 @@ 0 0 0 +3 +3 4 0xe19d 0xf23ce27a @@ -360470,6 +450588,8 @@ 0 0 0 +0 +3 1 0xa0dd 0x165436ff @@ -360478,6 +450598,8 @@ 1 0 0 +0 +0 2 0x2fd9 0x16b9ba26 @@ -360486,6 +450608,8 @@ 1 0 0 +2 +1 1 0x9812 0xe198a3bf @@ -360494,6 +450618,8 @@ 0 0 0 +2 +1 1 0xd6b9 0xae28e50f @@ -360502,6 +450628,8 @@ 1 1 0 +4 +4 1 0x19c4 0x21a018a7 @@ -360510,6 +450638,8 @@ 0 0 0 +5 +1 1 0xc991 0xcfa51509 @@ -360518,6 +450648,8 @@ 0 0 0 +1 +1 4 0xb604 0xf5baf35f @@ -360526,6 +450658,8 @@ 1 0 0 +0 +4 2 0x2683 0x14291d4f @@ -360534,6 +450668,8 @@ 1 1 0 +5 +4 3 0x3c0a 0x9ba4658c @@ -360542,6 +450678,8 @@ 0 0 0 +2 +2 3 0x2260 0xc9336366 @@ -360550,6 +450688,8 @@ 0 0 0 +4 +0 3 0xb87e 0xae884713 @@ -360559,6 +450699,8 @@ 0 0 3 +0 +3 0x93fa 0xc28d0a7f 256 @@ -360566,6 +450708,8 @@ 0 0 0 +5 +3 4 0xd641 0x4c503a73 @@ -360574,6 +450718,8 @@ 0 0 0 +3 +5 4 0xe6f 0xab731a48 @@ -360582,6 +450728,8 @@ 1 1 0 +5 +1 4 0x3e95 0x92d6c7d4 @@ -360590,6 +450738,8 @@ 0 0 0 +2 +3 1 0xfe24 0xdde0faec @@ -360598,6 +450748,8 @@ 1 1 0 +0 +1 2 0x65ef 0x6149d6f7 @@ -360607,6 +450759,8 @@ 1 0 3 +2 +3 0x435f 0x9819da3b 256 @@ -360615,6 +450769,8 @@ 0 0 3 +5 +3 0x999b 0xbb3d5dd3 256 @@ -360622,6 +450778,8 @@ 1 0 0 +0 +2 2 0xac76 0xbade9844 @@ -360630,6 +450788,8 @@ 1 0 0 +5 +5 4 0x8719 0x24cd5f2a @@ -360638,6 +450798,8 @@ 1 0 0 +1 +3 4 0x8719 0xbff490e7 @@ -360646,6 +450808,8 @@ 0 0 0 +0 +5 2 0x831 0xf56061c2 @@ -360655,6 +450819,8 @@ 0 0 3 +3 +3 0x6ba6 0x78873e74 256 @@ -360662,6 +450828,8 @@ 1 1 0 +3 +0 1 0x5d58 0x6cd78841 @@ -360670,6 +450838,8 @@ 0 0 0 +2 +1 3 0x3f51 0x5f560fb8 @@ -360678,6 +450848,8 @@ 0 0 0 +2 +0 3 0x8942 0xcad1f0e @@ -360686,6 +450858,8 @@ 1 0 0 +2 +1 4 0xc974 0x78fa30bf @@ -360694,6 +450868,8 @@ 0 0 0 +2 +2 4 0x8a82 0x7308ec0e @@ -360702,6 +450878,8 @@ 0 0 0 +1 +3 4 0xf373 0xb3412022 @@ -360710,6 +450888,8 @@ 0 0 0 +4 +3 3 0xe45d 0xf2d3894 @@ -360718,6 +450898,8 @@ 0 0 0 +5 +4 1 0x9b36 0x6f7d44f6 @@ -360726,6 +450908,8 @@ 0 0 0 +1 +4 2 0xa208 0x5cc3a77a @@ -360734,6 +450918,8 @@ 1 1 0 +1 +1 2 0xfc40 0x1fe51a68 @@ -360742,6 +450928,8 @@ 0 0 0 +1 +3 3 0xcb8 0x72b35565 @@ -360750,6 +450938,8 @@ 0 0 0 +1 +5 2 0x116d 0x18940c7e @@ -360758,6 +450948,8 @@ 1 0 0 +4 +0 3 0x37a4 0xcac5089e @@ -360766,6 +450958,8 @@ 1 0 0 +2 +4 3 0x7bdd 0xd37a29e8 @@ -360774,6 +450968,8 @@ 1 0 0 +2 +3 3 0x687e 0x2ccbd626 @@ -360782,6 +450978,8 @@ 0 0 0 +5 +1 1 0x60c 0xb03f4fe1 @@ -360790,6 +450988,8 @@ 1 0 0 +3 +4 4 0xdcbc 0x4992e8d5 @@ -360798,6 +450998,8 @@ 1 0 0 +0 +0 2 0xd0ec 0x12248c8b @@ -360806,6 +451008,8 @@ 1 0 0 +0 +2 2 0x7841 0xe85acae7 @@ -360814,6 +451018,8 @@ 1 0 0 +5 +3 2 0xc9b8 0xea6e4e70 @@ -360822,6 +451028,8 @@ 1 0 0 +5 +5 4 0xcf46 0xe18f2be @@ -360830,6 +451038,8 @@ 1 1 0 +5 +0 2 0xd227 0x5ab3b737 @@ -360838,6 +451048,8 @@ 0 0 0 +3 +2 4 0x2d 0x1b57594b @@ -360846,6 +451058,8 @@ 0 0 0 +5 +0 4 0xe008 0xa96edcaa @@ -360854,6 +451068,8 @@ 1 1 0 +3 +0 1 0xcbd1 0x77319555 @@ -360862,6 +451078,8 @@ 0 0 0 +0 +4 1 0xa166 0x86da75f @@ -360870,6 +451088,8 @@ 0 0 0 +5 +4 3 0xbcf 0x15596ef5 @@ -360878,6 +451098,8 @@ 1 1 0 +2 +4 4 0xdfcb 0x7c29e79 @@ -360886,6 +451108,8 @@ 1 1 0 +5 +1 4 0x1cde 0x12bcc87 @@ -360894,6 +451118,8 @@ 0 0 0 +4 +4 2 0x2552 0x3d60f697 @@ -360902,6 +451128,8 @@ 0 0 0 +5 +1 4 0xe7a1 0xbb88b1c @@ -360910,6 +451138,8 @@ 0 0 0 +1 +2 4 0xdc4d 0x82ce19d1 @@ -360918,6 +451148,8 @@ 1 0 0 +4 +2 1 0x6731 0xd44b29fc @@ -360926,6 +451158,8 @@ 0 0 0 +2 +4 3 0x2c65 0x574581e2 @@ -360934,6 +451168,8 @@ 1 0 0 +1 +5 2 0xffab 0xf08ac0e4 @@ -360942,6 +451178,8 @@ 1 1 0 +4 +4 3 0xf347 0xe342dbd9 @@ -360950,6 +451188,8 @@ 0 0 0 +5 +5 3 0x647f 0xc247fbc2 @@ -360958,6 +451198,8 @@ 1 0 0 +5 +4 1 0xfb23 0x64fc8ed8 @@ -360967,6 +451209,8 @@ 0 0 4 +1 +4 0xb6a4 0x15965e70 256 @@ -360974,6 +451218,8 @@ 0 0 0 +3 +3 2 0x6e37 0x896e581 @@ -360982,6 +451228,8 @@ 0 0 0 +2 +5 4 0x903f 0xde460889 @@ -360991,6 +451239,8 @@ 0 0 4 +4 +4 0xc429 0xce9a25 256 @@ -360998,6 +451248,8 @@ 0 0 0 +3 +5 4 0x618 0x34955ab4 @@ -361007,6 +451259,8 @@ 0 0 2 +3 +2 0x22e0 0x8c4f46e0 256 @@ -361014,6 +451268,8 @@ 1 1 0 +1 +0 2 0xde5e 0x345358d2 @@ -361022,6 +451278,8 @@ 1 0 0 +0 +2 2 0xc64e 0x62ffea9a @@ -361030,6 +451288,8 @@ 0 0 0 +2 +1 1 0xb16e 0x79a64904 @@ -361038,6 +451298,8 @@ 1 0 0 +5 +2 3 0xb8 0x3921b674 @@ -361046,6 +451308,8 @@ 1 0 0 +0 +1 4 0xc13c 0x4c94a20e @@ -361054,6 +451318,8 @@ 1 1 0 +4 +4 3 0x14f4 0x98a0fbe4 @@ -361062,6 +451328,8 @@ 0 0 0 +0 +5 4 0xbd58 0x51f9497c @@ -361070,6 +451338,8 @@ 0 0 0 +3 +4 1 0xe55d 0x56581787 @@ -361078,6 +451348,8 @@ 1 0 0 +1 +1 4 0x9d8 0x4314db9a @@ -361086,6 +451358,8 @@ 1 1 0 +1 +4 4 0xa371 0x5323ea73 @@ -361094,6 +451368,8 @@ 0 0 0 +5 +5 3 0x6f29 0xb6c2445b @@ -361102,6 +451378,8 @@ 1 1 0 +1 +2 4 0x919c 0x9cfdb1a1 @@ -361110,6 +451388,8 @@ 0 0 0 +5 +4 3 0x7963 0x29351084 @@ -361118,6 +451398,8 @@ 1 0 0 +4 +5 1 0xf9d1 0x7e74c6da @@ -361127,6 +451409,8 @@ 0 0 4 +5 +4 0xcde8 0x16b0e1e4 256 @@ -361134,6 +451418,8 @@ 1 0 0 +1 +0 2 0x33d2 0x770e7cbc @@ -361142,6 +451428,8 @@ 0 0 0 +5 +1 2 0xab79 0xe2b02bd8 @@ -361150,6 +451438,8 @@ 0 0 0 +1 +1 4 0xeb1c 0x487e4500 @@ -361158,6 +451448,8 @@ 1 1 0 +1 +0 3 0xf9c8 0xb080d91a @@ -361166,6 +451458,8 @@ 1 1 0 +0 +4 1 0x997c 0x2ed4de79 @@ -361174,6 +451468,8 @@ 0 0 0 +0 +1 1 0x13f6 0x26c24149 @@ -361182,6 +451478,8 @@ 0 0 0 +1 +4 2 0x673b 0x739bc0de @@ -361190,6 +451488,8 @@ 0 0 0 +5 +4 4 0xa1ff 0xc20f4c38 @@ -361198,6 +451498,8 @@ 1 1 0 +5 +2 3 0x88c9 0xa9d85bbb @@ -361206,6 +451508,8 @@ 1 1 0 +0 +2 2 0x4f1d 0x7df07ce8 @@ -361214,6 +451518,8 @@ 1 1 0 +4 +5 1 0x7b2a 0xb171e6a8 @@ -361222,6 +451528,8 @@ 1 0 0 +5 +0 1 0xc2c1 0xe284b016 @@ -361230,6 +451538,8 @@ 0 0 0 +4 +2 3 0xbe92 0x98906feb @@ -361239,6 +451549,8 @@ 0 0 1 +3 +1 0x97b4 0xbf4980e0 256 @@ -361246,6 +451558,8 @@ 1 0 0 +4 +4 2 0x21d8 0x9c809c27 @@ -361254,6 +451568,8 @@ 1 0 0 +0 +5 1 0xdde5 0xe97152ae @@ -361262,6 +451578,8 @@ 0 0 0 +0 +3 2 0xcb12 0x3da29332 @@ -361270,6 +451588,8 @@ 0 0 0 +0 +3 4 0x22ed 0xe985c2c6 @@ -361279,6 +451599,8 @@ 0 0 2 +2 +2 0x92b4 0x6dff7f4 256 @@ -361286,6 +451608,8 @@ 0 0 0 +0 +3 2 0xc6c3 0xa8d037f6 @@ -361295,6 +451619,8 @@ 0 0 1 +5 +1 0x33e4 0x3f69d157 256 @@ -361302,6 +451628,8 @@ 1 1 0 +3 +5 1 0x4fcd 0xe2d09c55 @@ -361311,6 +451639,8 @@ 0 0 4 +0 +4 0xb0c3 0x3d3a90f1 256 @@ -361318,6 +451648,8 @@ 1 1 0 +5 +3 3 0x44ae 0xc7d53c4b @@ -361326,6 +451658,8 @@ 0 0 0 +3 +0 4 0xa523 0x123f7cdf @@ -361334,6 +451668,8 @@ 1 0 0 +2 +4 4 0x5a05 0x802172fd @@ -361342,6 +451678,8 @@ 0 0 0 +4 +0 1 0x93ba 0x2414a401 @@ -361350,6 +451688,8 @@ 0 0 0 +0 +5 1 0x91 0xd6431004 @@ -361359,6 +451699,8 @@ 1 0 4 +2 +4 0x15e7 0xcc651e89 256 @@ -361366,6 +451708,8 @@ 0 0 0 +0 +0 1 0xd37b 0x87f07d5d @@ -361374,6 +451718,8 @@ 0 0 0 +1 +1 4 0xc26 0xc4bfbfc1 @@ -361382,6 +451728,8 @@ 0 0 0 +5 +4 1 0xd25d 0x6ed3d93a @@ -361390,6 +451738,8 @@ 1 0 0 +2 +5 4 0x702f 0x9487852f @@ -361398,6 +451748,8 @@ 1 1 0 +0 +0 3 0xef8a 0xa6efc8ec @@ -361406,6 +451758,8 @@ 1 0 0 +1 +4 4 0xf90c 0xf993152a @@ -361414,6 +451768,8 @@ 1 1 0 +3 +1 4 0xe841 0xe9cf052f @@ -361422,6 +451778,8 @@ 0 0 0 +3 +0 2 0x2186 0xdd2a7c52 @@ -361430,6 +451788,8 @@ 0 0 0 +5 +1 1 0x4159 0x660a9712 @@ -361438,6 +451798,8 @@ 1 0 0 +0 +5 2 0x7bfe 0x172602cd @@ -361446,6 +451808,8 @@ 1 1 0 +5 +1 2 0x26f0 0xe719ead2 @@ -361454,6 +451818,8 @@ 1 1 0 +4 +4 3 0x93fa 0x1d78fb18 @@ -361462,6 +451828,8 @@ 0 0 0 +0 +0 1 0x59cb 0xf0c46059 @@ -361470,6 +451838,8 @@ 1 0 0 +2 +4 1 0x54f 0xd58e231 @@ -361478,6 +451848,8 @@ 1 0 0 +2 +5 4 0x9330 0x6bc7f953 @@ -361487,6 +451859,8 @@ 0 0 4 +5 +4 0xe23 0x96a77cd 256 @@ -361494,6 +451868,8 @@ 0 0 0 +5 +2 3 0x8012 0x347a1adf @@ -361502,6 +451878,8 @@ 1 1 0 +1 +4 2 0xd69f 0x458c7784 @@ -361510,6 +451888,8 @@ 1 0 0 +0 +3 4 0xd7e3 0x746a1545 @@ -361518,6 +451898,8 @@ 1 1 0 +0 +0 1 0xd148 0x3213cd4f @@ -361526,6 +451908,8 @@ 1 0 0 +4 +0 2 0x7910 0x161ac398 @@ -361534,6 +451918,8 @@ 1 1 0 +3 +5 4 0x8c75 0x59aa311d @@ -361542,6 +451928,8 @@ 1 1 0 +0 +3 1 0xef70 0xcfc0d02c @@ -361551,6 +451939,8 @@ 0 0 2 +2 +2 0x7baa 0xd10da93a 256 @@ -361558,6 +451948,8 @@ 1 1 0 +0 +0 3 0xfb14 0xcbad2581 @@ -361566,6 +451958,8 @@ 1 0 0 +3 +4 1 0xf34b 0xa75ac220 @@ -361574,6 +451968,8 @@ 0 0 0 +2 +5 4 0x18da 0xb7105e52 @@ -361582,6 +451978,8 @@ 0 0 0 +2 +3 4 0x554b 0x7ed4808e @@ -361590,6 +451988,8 @@ 0 0 0 +5 +2 3 0x847a 0xfc9e599d @@ -361598,6 +451998,8 @@ 1 0 0 +4 +1 3 0xba20 0xd38a3112 @@ -361606,6 +452008,8 @@ 0 0 0 +2 +3 4 0xdf3f 0xa46c1bb4 @@ -361614,6 +452018,8 @@ 1 0 0 +0 +5 2 0x5558 0xa42ef602 @@ -361622,6 +452028,8 @@ 0 0 0 +0 +2 3 0xe11e 0x62edd2ec @@ -361630,6 +452038,8 @@ 1 1 0 +0 +4 2 0x8c29 0x3f21f13a @@ -361638,6 +452048,8 @@ 1 1 0 +1 +3 2 0x10c5 0xa4008d0d @@ -361646,6 +452058,8 @@ 0 0 0 +3 +5 1 0xdd13 0x4a323f91 @@ -361655,6 +452069,8 @@ 1 0 4 +3 +4 0xea56 0xcc3a1fb0 256 @@ -361662,6 +452078,8 @@ 1 1 0 +2 +4 4 0xc32a 0x79552ac3 @@ -361670,6 +452088,8 @@ 1 0 0 +3 +4 1 0xb533 0x2df27dd0 @@ -361678,6 +452098,8 @@ 0 0 0 +5 +4 3 0xbd9 0x4f8487 @@ -361687,6 +452109,8 @@ 1 0 3 +4 +3 0x927b 0xce2f2de8 256 @@ -361695,6 +452119,8 @@ 1 0 1 +0 +1 0x7999 0x351b2157 256 @@ -361702,6 +452128,8 @@ 0 0 0 +2 +1 4 0x3c40 0xd94dd94f @@ -361710,6 +452138,8 @@ 1 1 0 +5 +1 4 0x7242 0x2750934e @@ -361719,6 +452149,8 @@ 1 0 4 +5 +4 0x43af 0xbdf7de87 256 @@ -361726,6 +452158,8 @@ 1 0 0 +1 +3 4 0x66ae 0xe302d25a @@ -361734,6 +452168,8 @@ 1 0 0 +2 +0 4 0x1ce8 0x85b77677 @@ -361742,6 +452178,8 @@ 1 0 0 +4 +5 3 0xe1a1 0x3f38b72f @@ -361750,6 +452188,8 @@ 0 0 0 +1 +2 3 0xe3c6 0xbbed5ebc @@ -361758,6 +452198,8 @@ 0 0 0 +0 +2 4 0x5ae0 0x78179359 @@ -361766,6 +452208,8 @@ 0 0 0 +0 +1 1 0x2da7 0x2928094 @@ -361774,6 +452218,8 @@ 1 0 0 +2 +0 1 0x72b8 0x68515a81 @@ -361783,6 +452229,8 @@ 0 0 1 +5 +1 0x33ad 0x888c2d72 256 @@ -361791,6 +452239,8 @@ 0 0 4 +1 +4 0xcae 0xc34aa1c7 256 @@ -361798,6 +452248,8 @@ 0 0 0 +3 +5 4 0x1880 0x75a72e57 @@ -361807,6 +452259,8 @@ 0 0 2 +5 +2 0x63d7 0xe9a1bb2e 256 @@ -361814,6 +452268,8 @@ 0 0 0 +4 +2 1 0xd3a4 0xb5e44bb3 @@ -361823,6 +452279,8 @@ 1 0 1 +4 +1 0x2863 0xbd7e9d15 256 @@ -361830,6 +452288,8 @@ 1 1 0 +3 +4 2 0xc539 0xf8fd381 @@ -361838,6 +452298,8 @@ 0 0 0 +1 +4 3 0x7ce 0xc0b2da52 @@ -361846,6 +452308,8 @@ 1 0 0 +5 +5 3 0x7f0d 0x6f6087f0 @@ -361854,6 +452318,8 @@ 1 1 0 +0 +2 2 0x5273 0x70e69dbb @@ -361862,6 +452328,8 @@ 1 1 0 +4 +5 3 0x98f2 0x435af807 @@ -361871,6 +452339,8 @@ 0 0 1 +4 +1 0xf678 0x2d125d22 256 @@ -361878,6 +452348,8 @@ 1 1 0 +1 +4 3 0xb6c 0xd7d1278c @@ -361886,6 +452358,8 @@ 1 0 0 +1 +2 4 0x1f33 0xf4847915 @@ -361895,6 +452369,8 @@ 0 0 2 +3 +2 0x3188 0x20b9b355 256 @@ -361902,6 +452378,8 @@ 0 0 0 +3 +0 2 0x720b 0xb6d5557f @@ -361910,6 +452388,8 @@ 1 0 0 +4 +5 3 0x2b7 0xb2127084 @@ -361918,6 +452398,8 @@ 1 0 0 +0 +2 1 0x48b8 0x8c72661c @@ -361926,6 +452408,8 @@ 0 0 0 +0 +5 3 0xcc04 0x24250f62 @@ -361934,6 +452418,8 @@ 1 1 0 +4 +3 3 0x2b53 0x31b863e3 @@ -361942,6 +452428,8 @@ 0 0 0 +3 +4 4 0xfa73 0xf5c938d1 @@ -361950,6 +452438,8 @@ 0 0 0 +0 +3 3 0x87e2 0x19d4af26 @@ -361958,6 +452448,8 @@ 0 0 0 +3 +1 1 0x6daa 0xa85842da @@ -361966,6 +452458,8 @@ 1 0 0 +1 +3 2 0xc0a 0xd5b3f0b1 @@ -361975,6 +452469,8 @@ 0 0 4 +2 +4 0x8d73 0x3f6f958d 256 @@ -361982,6 +452478,8 @@ 0 0 0 +4 +3 1 0xa90d 0x15bee28a @@ -361990,6 +452488,8 @@ 0 0 0 +1 +1 2 0xd002 0x366eaaa6 @@ -361998,6 +452498,8 @@ 1 1 0 +2 +5 4 0xd797 0xea22ab89 @@ -362006,6 +452508,8 @@ 1 0 0 +3 +3 4 0x6147 0xf4150072 @@ -362014,6 +452518,8 @@ 0 0 0 +4 +2 3 0x2f6a 0x5e071e48 @@ -362022,6 +452528,8 @@ 1 1 0 +0 +4 1 0x85ef 0xd06ed411 @@ -362030,6 +452538,8 @@ 1 0 0 +5 +3 4 0x8f1f 0x30ba08ad @@ -362038,6 +452548,8 @@ 0 0 0 +2 +0 1 0xe38a 0xe20e9b25 @@ -362046,6 +452558,8 @@ 0 0 0 +0 +1 4 0x75e4 0x43388b6b @@ -362054,6 +452568,8 @@ 0 0 0 +0 +1 3 0x8b33 0xce6c1e64 @@ -362062,6 +452578,8 @@ 1 1 0 +5 +1 4 0x731f 0xef78425f @@ -362071,6 +452589,8 @@ 0 0 4 +0 +4 0x939b 0x55b4ef73 256 @@ -362078,6 +452598,8 @@ 0 0 0 +0 +4 1 0x8044 0xb27f7480 @@ -362086,6 +452608,8 @@ 0 0 0 +3 +2 1 0xa84a 0x8721fccf @@ -362094,6 +452618,8 @@ 0 0 0 +2 +5 1 0xcf09 0x31ed0c6 @@ -362102,6 +452628,8 @@ 1 1 0 +0 +5 1 0xa9a6 0xb38af26d @@ -362110,6 +452638,8 @@ 1 1 0 +1 +0 2 0x846 0x195de13e @@ -362118,6 +452648,8 @@ 0 0 0 +0 +4 4 0x620c 0x3da3ea91 @@ -362126,6 +452658,8 @@ 0 0 0 +0 +2 4 0x24c5 0x5432c77f @@ -362135,6 +452669,8 @@ 0 0 1 +3 +1 0xe26 0xddababf2 256 @@ -362142,6 +452678,8 @@ 1 0 0 +4 +0 3 0x7557 0x536a76cc @@ -362150,6 +452688,8 @@ 1 0 0 +5 +4 3 0xcec1 0xf46a69c5 @@ -362158,6 +452698,8 @@ 1 1 0 +1 +2 2 0xad67 0x49547bf @@ -362166,6 +452708,8 @@ 0 0 0 +2 +1 1 0xee91 0xe9f0a6b5 @@ -362174,6 +452718,8 @@ 0 0 0 +5 +2 2 0x97b5 0x5005f7ac @@ -362183,6 +452729,8 @@ 1 0 2 +2 +2 0x2dcc 0xa3a9025f 256 @@ -362190,6 +452738,8 @@ 1 0 0 +0 +0 2 0x2f93 0xcdc11f79 @@ -362198,6 +452748,8 @@ 1 0 0 +1 +5 4 0x1bb7 0x1b629b21 @@ -362207,6 +452759,8 @@ 0 0 4 +5 +4 0x6486 0x33c03bcd 256 @@ -362214,6 +452768,8 @@ 0 0 0 +5 +1 3 0x4e72 0xe95485f0 @@ -362222,6 +452778,8 @@ 0 0 0 +3 +3 1 0xdca3 0x79d610d8 @@ -362230,6 +452788,8 @@ 0 0 0 +5 +5 1 0xe76b 0xbeb88959 @@ -362238,6 +452798,8 @@ 0 0 0 +5 +0 1 0xe38 0x2000a750 @@ -362246,6 +452808,8 @@ 1 1 0 +5 +0 3 0x3d03 0x3d22498e @@ -362254,6 +452818,8 @@ 0 0 0 +5 +1 4 0x5535 0x5fb1b9b3 @@ -362262,6 +452828,8 @@ 0 0 0 +5 +3 3 0x3971 0xcacba7c1 @@ -362270,6 +452838,8 @@ 0 0 0 +4 +5 3 0xd82b 0x85e71907 @@ -362278,6 +452848,8 @@ 1 0 0 +4 +0 2 0x9813 0x7ae178b1 @@ -362286,6 +452858,8 @@ 1 0 0 +2 +4 4 0x9b5f 0x6147a777 @@ -362295,6 +452869,8 @@ 1 0 4 +4 +4 0x9fab 0x208b13f9 256 @@ -362302,6 +452878,8 @@ 0 0 0 +3 +3 2 0x3160 0x521d3778 @@ -362310,6 +452888,8 @@ 1 1 0 +5 +1 4 0xd7a7 0x59690df0 @@ -362318,6 +452898,8 @@ 0 0 0 +3 +4 2 0xa1ef 0xb0532c5e @@ -362326,6 +452908,8 @@ 0 0 0 +5 +5 4 0xd57e 0x4a0ef1ab @@ -362334,6 +452918,8 @@ 1 1 0 +5 +1 3 0x32e2 0x5cd14bdd @@ -362342,6 +452928,8 @@ 1 1 0 +5 +3 4 0x2c84 0x5ae63356 @@ -362350,6 +452938,8 @@ 0 0 0 +3 +3 1 0x33f9 0x9ed92157 @@ -362358,6 +452948,8 @@ 1 0 0 +0 +5 3 0x5c5 0x84d2f60 @@ -362367,6 +452959,8 @@ 0 0 2 +1 +2 0x7315 0xe1f50719 256 @@ -362375,6 +452969,8 @@ 0 0 1 +0 +1 0x7ae4 0x58932b4 256 @@ -362382,6 +452978,8 @@ 1 0 0 +0 +4 4 0xbb04 0x80bf59c3 @@ -362390,6 +452988,8 @@ 0 0 0 +5 +5 2 0x19f 0xac47d83 @@ -362398,6 +452998,8 @@ 1 0 0 +0 +3 3 0xf6d6 0x47e8cc27 @@ -362406,6 +453008,8 @@ 1 1 0 +4 +1 3 0x7929 0x1277d449 @@ -362414,6 +453018,8 @@ 1 1 0 +4 +3 1 0xab4c 0x64b2982c @@ -362422,6 +453028,8 @@ 0 0 0 +5 +2 2 0xa7db 0x7635398e @@ -362430,6 +453038,8 @@ 0 0 0 +3 +0 2 0x456 0xb762a576 @@ -362438,6 +453048,8 @@ 1 1 0 +3 +2 2 0xd272 0x1d6f1343 @@ -362447,6 +453059,8 @@ 0 0 4 +4 +4 0x6f80 0xf0f7ae6d 256 @@ -362454,6 +453068,8 @@ 1 0 0 +3 +1 4 0x7e78 0x7c455735 @@ -362462,6 +453078,8 @@ 1 0 0 +1 +3 3 0xaa49 0x36c1dafa @@ -362470,6 +453088,8 @@ 0 0 0 +5 +3 4 0xc0e0 0xf1244228 @@ -362478,6 +453098,8 @@ 1 0 0 +3 +2 4 0x4f10 0xc669c990 @@ -362486,6 +453108,8 @@ 1 1 0 +5 +0 4 0x7c90 0xc4420063 @@ -362494,6 +453118,8 @@ 0 0 0 +4 +1 2 0x3c02 0x4b17f7e2 @@ -362502,6 +453128,8 @@ 0 0 0 +2 +2 4 0x4324 0x6a4f228 @@ -362511,6 +453139,8 @@ 0 0 1 +5 +1 0x91be 0x6c7af453 256 @@ -362518,6 +453148,8 @@ 1 0 0 +1 +3 2 0x5d39 0xe024d4cf @@ -362526,6 +453158,8 @@ 0 0 0 +4 +2 1 0x745d 0x14b8f60b @@ -362534,6 +453168,8 @@ 1 0 0 +0 +0 4 0x75d0 0x2cca959d @@ -362542,6 +453178,8 @@ 0 0 0 +5 +2 2 0xec85 0xf4687f02 @@ -362550,6 +453188,8 @@ 0 0 0 +5 +2 3 0xb7d6 0x5fec8703 @@ -362558,6 +453198,8 @@ 1 1 0 +3 +1 2 0x8219 0xa7d20b29 @@ -362566,6 +453208,8 @@ 1 0 0 +3 +3 1 0x74c5 0x580a1327 @@ -362575,6 +453219,8 @@ 0 0 1 +1 +1 0xf57d 0xa3a05258 256 @@ -362582,6 +453228,8 @@ 1 0 0 +5 +4 3 0x9324 0xb13e3063 @@ -362591,6 +453239,8 @@ 1 0 2 +3 +2 0x266b 0xb90de58e 256 @@ -362598,6 +453248,8 @@ 1 0 0 +2 +5 1 0x19cc 0x2c9d9e81 @@ -362606,6 +453258,8 @@ 1 0 0 +2 +2 3 0xfa6b 0x8c46801e @@ -362614,6 +453268,8 @@ 1 1 0 +3 +0 4 0xc478 0x60f91b71 @@ -362622,6 +453278,8 @@ 1 0 0 +1 +2 3 0x8e 0x4f24b107 @@ -362630,6 +453288,8 @@ 1 0 0 +3 +5 1 0xee0e 0x40714742 @@ -362638,6 +453298,8 @@ 0 0 0 +4 +3 2 0x16cd 0x83bf3094 @@ -362647,6 +453309,8 @@ 0 0 3 +3 +3 0x75a4 0x52155d61 256 @@ -362654,6 +453318,8 @@ 0 0 0 +0 +3 1 0xe52c 0xf4dd097e @@ -362662,6 +453328,8 @@ 1 1 0 +2 +2 1 0x491d 0xce351048 @@ -362670,6 +453338,8 @@ 0 0 0 +0 +3 4 0x46 0x69dbc452 @@ -362678,6 +453348,8 @@ 0 0 0 +2 +2 3 0x71c3 0x3f5a30c1 @@ -362686,6 +453358,8 @@ 0 0 0 +1 +2 4 0x7c73 0xa2ddc93b @@ -362694,6 +453368,8 @@ 1 0 0 +5 +3 3 0x9ecd 0x55b93fcb @@ -362702,6 +453378,8 @@ 0 0 0 +4 +4 3 0xac35 0xd1cb2584 @@ -362710,6 +453388,8 @@ 0 0 0 +2 +3 4 0xbef5 0xebac1a7f @@ -362718,6 +453398,8 @@ 1 1 0 +2 +2 4 0x4568 0x4709df0f @@ -362727,6 +453409,8 @@ 0 0 2 +2 +2 0x1396 0xdb28b024 256 @@ -362734,6 +453418,8 @@ 0 0 0 +5 +2 1 0x6151 0x50115902 @@ -362742,6 +453428,8 @@ 0 0 0 +5 +1 4 0xe89c 0xaf1c03b5 @@ -362750,6 +453438,8 @@ 0 0 0 +0 +5 1 0x4707 0x51b97abb @@ -362758,6 +453448,8 @@ 0 0 0 +0 +2 3 0x6766 0xa22c356b @@ -362766,6 +453458,8 @@ 0 0 0 +4 +2 2 0xbc57 0x85047114 @@ -362774,6 +453468,8 @@ 1 1 0 +4 +1 3 0x3265 0x3379a508 @@ -362782,6 +453478,8 @@ 0 0 0 +2 +0 4 0xd4b6 0xdd0d2207 @@ -362790,6 +453488,8 @@ 0 0 0 +0 +2 1 0x7e07 0x998a07a9 @@ -362798,6 +453498,8 @@ 0 0 0 +5 +2 3 0xfdf2 0xd7535924 @@ -362806,6 +453508,8 @@ 0 0 0 +5 +4 4 0xbd7c 0xd87e3971 @@ -362814,6 +453518,8 @@ 0 0 0 +5 +1 1 0xa150 0xd4e2f49c @@ -362822,6 +453528,8 @@ 1 1 0 +3 +2 4 0xdf64 0xac9d2a @@ -362830,6 +453538,8 @@ 0 0 0 +0 +4 1 0x39c3 0xb45f7f9c @@ -362838,6 +453548,8 @@ 1 1 0 +1 +0 3 0x36fc 0xc1f0477c @@ -362846,6 +453558,8 @@ 0 0 0 +5 +3 4 0xa87e 0x28002d0c @@ -362854,6 +453568,8 @@ 0 0 0 +1 +2 2 0x55d7 0xce99627b @@ -362862,6 +453578,8 @@ 1 1 0 +2 +5 3 0x3596 0xf4710172 @@ -362870,6 +453588,8 @@ 1 1 0 +4 +3 3 0x31de 0x618df810 @@ -362879,6 +453599,8 @@ 0 0 3 +2 +3 0x1cb3 0xab981b21 256 @@ -362886,6 +453608,8 @@ 0 0 0 +4 +3 2 0xfbb7 0xf027e8e5 @@ -362894,6 +453618,8 @@ 1 0 0 +4 +0 2 0xb3ad 0x6bad88b8 @@ -362902,6 +453628,8 @@ 0 0 0 +3 +1 2 0x9aa5 0xc8f43e8c @@ -362910,6 +453638,8 @@ 0 0 0 +4 +1 2 0x8720 0xe2051bb @@ -362918,6 +453648,8 @@ 0 0 0 +0 +3 4 0xa266 0xdf5deca0 @@ -362926,6 +453658,8 @@ 1 1 0 +2 +1 4 0xe103 0x700d9aa @@ -362934,6 +453668,8 @@ 1 1 0 +2 +0 3 0xfe42 0x61b2395 @@ -362942,6 +453678,8 @@ 0 0 0 +3 +2 1 0x2931 0xa13ac0a2 @@ -362950,6 +453688,8 @@ 1 1 0 +5 +0 1 0xd797 0x9dedb05d @@ -362958,6 +453698,8 @@ 1 1 0 +1 +3 3 0x3b 0xec12a83e @@ -362967,6 +453709,8 @@ 1 0 2 +1 +2 0x8085 0xf2ee3c44 256 @@ -362974,6 +453718,8 @@ 0 0 0 +4 +3 2 0xb007 0xdbc5b8a3 @@ -362982,6 +453728,8 @@ 1 1 0 +1 +5 4 0xc494 0x6122adaa @@ -362991,6 +453739,8 @@ 1 0 3 +1 +3 0x7759 0xf77685d 256 @@ -362998,6 +453748,8 @@ 0 0 0 +0 +1 3 0xb591 0xa2a7cc68 @@ -363007,6 +453759,8 @@ 0 0 4 +3 +4 0xd687 0x141dc264 256 @@ -363015,6 +453769,8 @@ 1 0 4 +5 +4 0x4299 0x6c985a48 256 @@ -363022,6 +453778,8 @@ 0 0 0 +0 +3 1 0x7ae1 0xc5af428e @@ -363030,6 +453788,8 @@ 1 1 0 +0 +2 4 0xb0dd 0xe4827d7f @@ -363038,6 +453798,8 @@ 1 0 0 +3 +3 1 0x1661 0x333590f7 @@ -363047,6 +453809,8 @@ 1 0 2 +0 +2 0xc879 0x89d5f7a 256 @@ -363054,6 +453818,8 @@ 0 0 0 +2 +3 4 0x6b4a 0xb00a7042 @@ -363062,6 +453828,8 @@ 1 1 0 +1 +1 3 0x665b 0xe117e9a9 @@ -363070,6 +453838,8 @@ 0 0 0 +5 +5 1 0x46b5 0x445fc5f1 @@ -363078,6 +453848,8 @@ 1 0 0 +2 +0 1 0x649e 0x55246fe5 @@ -363086,6 +453858,8 @@ 0 0 0 +2 +4 4 0x9eb7 0x81b90bc3 @@ -363094,6 +453868,8 @@ 0 0 0 +3 +2 2 0x9bc6 0x5bc3fd96 @@ -363102,6 +453878,8 @@ 1 1 0 +1 +5 3 0x6b44 0x39ee2076 @@ -363110,6 +453888,8 @@ 0 0 0 +1 +1 3 0x910 0x108646a6 @@ -363118,6 +453898,8 @@ 1 1 0 +2 +0 1 0x8258 0x553fb4fc @@ -363126,6 +453908,8 @@ 0 0 0 +0 +2 1 0x4b5d 0xb4fe2b72 @@ -363134,6 +453918,8 @@ 0 0 0 +0 +0 3 0x1ea8 0x65232744 @@ -363142,6 +453928,8 @@ 1 0 0 +0 +0 3 0x2d48 0x2f23b1b4 @@ -363150,6 +453938,8 @@ 1 1 0 +5 +3 4 0x9547 0xd12516ec @@ -363158,6 +453948,8 @@ 1 1 0 +3 +4 2 0x12d5 0xa5473e00 @@ -363166,6 +453958,8 @@ 0 0 0 +1 +5 3 0xb8a9 0x4c6a208c @@ -363174,6 +453968,8 @@ 0 0 0 +0 +5 2 0xdaf3 0x66694a5e @@ -363182,6 +453978,8 @@ 1 0 0 +3 +3 1 0x9008 0x32516a7 @@ -363190,6 +453988,8 @@ 0 0 0 +5 +3 4 0x9afd 0x9c088f6a @@ -363198,6 +453998,8 @@ 0 0 0 +5 +1 1 0xfca3 0x7f3e6f51 @@ -363206,6 +454008,8 @@ 0 0 0 +2 +0 3 0x381d 0xf1c527c7 @@ -363215,6 +454019,8 @@ 0 0 4 +1 +4 0x8d84 0x47a8711c 256 @@ -363222,6 +454028,8 @@ 0 0 0 +3 +5 1 0x36ca 0x7f52562f @@ -363230,6 +454038,8 @@ 0 0 0 +3 +3 4 0x4f71 0x42c6ea19 @@ -363238,6 +454048,8 @@ 1 0 0 +4 +4 1 0x8090 0x1fdabb67 @@ -363246,6 +454058,8 @@ 1 0 0 +2 +2 4 0x5546 0x22d17776 @@ -363254,6 +454068,8 @@ 1 0 0 +2 +1 4 0xa806 0x7bee6f2c @@ -363262,6 +454078,8 @@ 1 0 0 +0 +1 4 0xf1fe 0x521090e1 @@ -363270,6 +454088,8 @@ 1 1 0 +1 +0 2 0xe60e 0xbe1474e6 @@ -363278,6 +454098,8 @@ 1 1 0 +5 +2 2 0x5c0c 0xfae997c @@ -363286,6 +454108,8 @@ 0 0 0 +5 +0 3 0xb3de 0x1d68dc9e @@ -363294,6 +454118,8 @@ 1 1 0 +4 +5 2 0xc5db 0x55d2350c @@ -363302,6 +454128,8 @@ 0 0 0 +3 +2 1 0xf3de 0xa0f2d943 @@ -363310,6 +454138,8 @@ 0 0 0 +3 +4 4 0x9998 0x4bd901db @@ -363319,6 +454149,8 @@ 0 0 2 +4 +2 0x57c7 0xd2277810 256 @@ -363326,6 +454158,8 @@ 1 1 0 +1 +3 3 0x6d59 0xf787c1a5 @@ -363334,6 +454168,8 @@ 1 1 0 +4 +1 1 0xe73b 0xee716466 @@ -363342,6 +454178,8 @@ 0 0 0 +5 +2 3 0x10e5 0x6fa845cd @@ -363350,6 +454188,8 @@ 0 0 0 +2 +3 3 0x9ef2 0x68210d2 @@ -363358,6 +454198,8 @@ 0 0 0 +1 +0 4 0x7977 0x362e4e6e @@ -363366,6 +454208,8 @@ 1 0 0 +5 +3 2 0x9f9d 0xfbed7601 @@ -363374,6 +454218,8 @@ 0 0 0 +4 +5 1 0x536d 0xe99172f7 @@ -363382,6 +454228,8 @@ 0 0 0 +5 +4 3 0x2eab 0xb51c9a11 @@ -363390,6 +454238,8 @@ 0 0 0 +5 +1 4 0xc9e2 0x2de54e1b @@ -363399,6 +454249,8 @@ 0 0 2 +1 +2 0xcbc 0x8a717722 256 @@ -363406,6 +454258,8 @@ 0 0 0 +1 +1 2 0xb4cb 0x3f1338de @@ -363414,6 +454268,8 @@ 0 0 0 +1 +1 3 0xb590 0xb52f26ed @@ -363423,6 +454279,8 @@ 0 0 4 +5 +4 0x79c7 0x7adade03 256 @@ -363430,6 +454288,8 @@ 0 0 0 +3 +1 2 0x40b9 0x90428528 @@ -363438,6 +454298,8 @@ 0 0 0 +2 +5 3 0x5c63 0xe0e50eff @@ -363446,6 +454308,8 @@ 0 0 0 +0 +4 3 0xbd4c 0xbca59e37 @@ -363454,6 +454318,8 @@ 1 0 0 +4 +4 2 0x48fb 0xd1de11f1 @@ -363462,6 +454328,8 @@ 0 0 0 +3 +4 1 0x7f62 0xffed5bb9 @@ -363470,6 +454338,8 @@ 1 1 0 +5 +0 4 0x15dd 0x18d84616 @@ -363479,6 +454349,8 @@ 0 0 3 +5 +3 0x2124 0x6701a751 256 @@ -363487,6 +454359,8 @@ 1 0 3 +5 +3 0x5604 0x30873ecf 256 @@ -363494,6 +454368,8 @@ 1 0 0 +4 +5 3 0x39dd 0x79260d89 @@ -363502,6 +454378,8 @@ 1 1 0 +5 +5 4 0x776e 0x94857328 @@ -363510,6 +454388,8 @@ 0 0 0 +4 +0 3 0xb86f 0x790d024b @@ -363518,6 +454398,8 @@ 0 0 0 +0 +0 2 0xd39 0x2aba0016 @@ -363527,6 +454409,8 @@ 0 0 4 +0 +4 0xfd5f 0xe82225f1 256 @@ -363534,6 +454418,8 @@ 0 0 0 +2 +4 4 0x1a40 0x674d69eb @@ -363543,6 +454429,8 @@ 0 0 1 +1 +1 0x8fad 0x682fc7b0 256 @@ -363551,6 +454439,8 @@ 0 0 4 +1 +4 0x589a 0x2c06cabb 256 @@ -363558,6 +454448,8 @@ 0 0 0 +5 +5 1 0x5274 0xaedb6647 @@ -363567,6 +454459,8 @@ 0 0 3 +0 +3 0x9be8 0x873f1b0a 256 @@ -363574,6 +454468,8 @@ 0 0 0 +3 +1 4 0xa571 0xa556898d @@ -363582,6 +454478,8 @@ 0 0 0 +2 +1 1 0xff5a 0xd40f3c8f @@ -363590,6 +454488,8 @@ 1 0 0 +5 +4 1 0xe582 0x2a53eab7 @@ -363598,6 +454498,8 @@ 0 0 0 +2 +0 1 0xad50 0x2c85c51b @@ -363606,6 +454508,8 @@ 0 0 0 +0 +3 2 0x201a 0x87eb158e @@ -363614,6 +454518,8 @@ 1 1 0 +4 +1 1 0x76b8 0xd6b19e3b @@ -363622,6 +454528,8 @@ 0 0 0 +0 +5 3 0xad46 0x4303ac82 @@ -363631,6 +454539,8 @@ 1 0 4 +1 +4 0xb65d 0x690808de 256 @@ -363638,6 +454548,8 @@ 0 0 0 +5 +2 1 0x4eae 0xb69122f5 @@ -363646,6 +454558,8 @@ 1 0 0 +3 +1 4 0x31bf 0x9ac20adb @@ -363654,6 +454568,8 @@ 1 1 0 +1 +2 4 0x648b 0x802c0ccc @@ -363662,6 +454578,8 @@ 0 0 0 +0 +4 2 0x7b34 0x683bbd85 @@ -363670,6 +454588,8 @@ 1 1 0 +5 +0 1 0xb145 0xeee9bf23 @@ -363678,6 +454598,8 @@ 0 0 0 +5 +3 2 0xf06f 0x545ce6b5 @@ -363686,6 +454608,8 @@ 0 0 0 +3 +3 2 0xbc32 0x3917c84f @@ -363694,6 +454618,8 @@ 1 1 0 +4 +2 1 0x60fe 0x48d459dd @@ -363702,6 +454628,8 @@ 1 0 0 +2 +2 4 0xe125 0xf9cff187 @@ -363710,6 +454638,8 @@ 0 0 0 +0 +0 3 0x6960 0x623de0ac @@ -363719,6 +454649,8 @@ 0 0 2 +3 +2 0x539c 0x9a194285 256 @@ -363726,6 +454658,8 @@ 0 0 0 +4 +5 1 0x98b1 0xaf212770 @@ -363734,6 +454668,8 @@ 1 1 0 +0 +3 4 0x46cd 0x4fae0d8f @@ -363742,6 +454678,8 @@ 1 1 0 +3 +0 4 0x77e4 0x86ec3df4 @@ -363750,6 +454688,8 @@ 1 1 0 +0 +5 4 0x7ef2 0xd7763951 @@ -363758,6 +454698,8 @@ 0 0 0 +1 +0 2 0x8a14 0x42e2fca8 @@ -363766,6 +454708,8 @@ 0 0 0 +3 +5 1 0x10d9 0x910f0e17 @@ -363775,6 +454719,8 @@ 0 0 4 +4 +4 0xd711 0x5d18a5a9 256 @@ -363782,6 +454728,8 @@ 0 0 0 +5 +0 3 0x317b 0xd1365a2 @@ -363790,6 +454738,8 @@ 0 0 0 +3 +0 1 0x6ddd 0xd4dbd513 @@ -363798,6 +454748,8 @@ 0 0 0 +4 +0 1 0x9560 0xfdb2981c @@ -363806,6 +454758,8 @@ 0 0 0 +3 +1 1 0x38a0 0xf9d3cede @@ -363814,6 +454768,8 @@ 1 0 0 +3 +4 2 0xda96 0x8d6e6a5a @@ -363822,6 +454778,8 @@ 0 0 0 +0 +4 1 0x8996 0x7907530a @@ -363831,6 +454789,8 @@ 0 0 1 +3 +1 0xb1c7 0x5f5a32b2 256 @@ -363838,6 +454798,8 @@ 0 0 0 +3 +0 4 0x8b22 0x5ecd6298 @@ -363846,6 +454808,8 @@ 1 1 0 +5 +1 3 0xea18 0x3a3d89da @@ -363854,6 +454818,8 @@ 1 0 0 +2 +0 3 0xf747 0x5249b196 @@ -363862,6 +454828,8 @@ 1 1 0 +5 +3 2 0x63c2 0xf17be289 @@ -363871,6 +454839,8 @@ 1 0 3 +1 +3 0x1a4d 0x8dacb2da 256 @@ -363878,6 +454848,8 @@ 1 1 0 +3 +3 1 0x8b7b 0x86fea850 @@ -363886,6 +454858,8 @@ 0 0 0 +2 +3 4 0xa1d4 0x14e79bbb @@ -363895,6 +454869,8 @@ 0 0 2 +5 +2 0x977d 0xdaef88ff 256 @@ -363903,6 +454879,8 @@ 0 0 2 +1 +2 0x4e1a 0x35601ca2 256 @@ -363910,6 +454888,8 @@ 1 0 0 +5 +5 3 0x86f5 0xf6279dce @@ -363918,6 +454898,8 @@ 1 1 0 +5 +4 1 0xe4be 0xb1fe14ee @@ -363926,6 +454908,8 @@ 0 0 0 +5 +5 1 0xeaf4 0xe7a75412 @@ -363934,6 +454918,8 @@ 1 1 0 +2 +3 4 0xcada 0x10a6ef5 @@ -363942,6 +454928,8 @@ 1 1 0 +4 +2 2 0xa3f3 0xb25e5519 @@ -363951,6 +454939,8 @@ 1 0 4 +1 +4 0x3fbd 0xde9379da 256 @@ -363958,6 +454948,8 @@ 0 0 0 +1 +2 4 0xa145 0x4a6e434a @@ -363966,6 +454958,8 @@ 0 0 0 +5 +0 1 0xdc68 0xca024a1b @@ -363975,6 +454969,8 @@ 0 0 4 +0 +4 0x611c 0xe096a07e 256 @@ -363982,6 +454978,8 @@ 0 0 0 +1 +3 4 0x1859 0xb3831eb1 @@ -363990,6 +454988,8 @@ 0 0 0 +5 +0 4 0x5753 0x7ac6102c @@ -363998,6 +454998,8 @@ 0 0 0 +4 +1 2 0x7c6 0x6bea3c3 @@ -364006,6 +455008,8 @@ 0 0 0 +1 +1 4 0x2219 0xbc4efd4a @@ -364014,6 +455018,8 @@ 1 1 0 +3 +1 4 0x5f4f 0xe0006d99 @@ -364022,6 +455028,8 @@ 0 0 0 +5 +5 4 0xc99 0x43686c72 @@ -364030,6 +455038,8 @@ 0 0 0 +2 +5 3 0xf84e 0x25513fd0 @@ -364038,6 +455048,8 @@ 1 0 0 +0 +5 2 0xe723 0x6b4c8f6a @@ -364046,6 +455058,8 @@ 0 0 0 +4 +3 2 0x6a6a 0x1dd28900 @@ -364054,6 +455068,8 @@ 0 0 0 +0 +0 1 0xeea3 0xc4ef3924 @@ -364062,6 +455078,8 @@ 0 0 0 +4 +0 1 0x1138 0x5cd311c8 @@ -364070,6 +455088,8 @@ 0 0 0 +5 +2 1 0xfa6d 0x86ec6209 @@ -364078,6 +455098,8 @@ 0 0 0 +4 +2 2 0x8187 0x570dbed2 @@ -364087,6 +455109,8 @@ 0 0 1 +5 +1 0x8a93 0x21c0ce39 256 @@ -364094,6 +455118,8 @@ 0 0 0 +1 +4 2 0xa7c9 0xbb8f6d92 @@ -364102,6 +455128,8 @@ 1 1 0 +1 +1 2 0x16c8 0xdbd1b4a9 @@ -364111,6 +455139,8 @@ 0 0 4 +0 +4 0x2844 0xe6034238 256 @@ -364118,6 +455148,8 @@ 0 0 0 +5 +5 1 0x3750 0x593b8edf @@ -364126,6 +455158,8 @@ 0 0 0 +1 +2 4 0x3b12 0x6272cdcd @@ -364134,6 +455168,8 @@ 0 0 0 +3 +4 2 0x5b50 0xa3cfd720 @@ -364142,6 +455178,8 @@ 0 0 0 +0 +3 1 0x3c8a 0x3048d30d @@ -364151,6 +455189,8 @@ 0 0 1 +2 +1 0xa8cb 0x16ac6982 256 @@ -364158,6 +455198,8 @@ 0 0 0 +4 +5 1 0x9737 0x735a0a1e @@ -364166,6 +455208,8 @@ 0 0 0 +3 +3 2 0xf7c5 0x34544c31 @@ -364174,6 +455218,8 @@ 1 1 0 +5 +0 2 0x7a58 0x73faa266 @@ -364183,6 +455229,8 @@ 1 0 1 +5 +1 0x5642 0x410808a2 256 @@ -364190,6 +455238,8 @@ 1 0 0 +2 +0 1 0xe00c 0x4d853f74 @@ -364198,6 +455248,8 @@ 1 0 0 +1 +3 3 0x5cb5 0xcb43db20 @@ -364206,6 +455258,8 @@ 0 0 0 +0 +0 3 0xe70a 0x58c72991 @@ -364214,6 +455268,8 @@ 1 1 0 +5 +2 2 0x320b 0x1c608d4b @@ -364223,6 +455279,8 @@ 0 0 3 +2 +3 0x5a2f 0xf88e0110 256 @@ -364230,6 +455288,8 @@ 1 0 0 +1 +3 3 0x5d61 0xf2dab11b @@ -364238,6 +455298,8 @@ 1 0 0 +2 +2 1 0xc203 0xa28fef06 @@ -364246,6 +455308,8 @@ 1 0 0 +5 +4 3 0xcbe1 0x552d88 @@ -364254,6 +455318,8 @@ 0 0 0 +4 +2 3 0xaf65 0xe2edf39a @@ -364262,6 +455328,8 @@ 1 1 0 +0 +0 1 0xcbfc 0x9b6957f5 @@ -364271,6 +455339,8 @@ 1 0 1 +0 +1 0xa0c5 0xdafb51be 256 @@ -364278,6 +455348,8 @@ 1 0 0 +4 +3 1 0xd2c 0xdd1abd4f @@ -364286,6 +455358,8 @@ 0 0 0 +5 +3 2 0xbd8b 0x3a6fddce @@ -364294,6 +455368,8 @@ 0 0 0 +1 +4 2 0x11ff 0x84ed2460 @@ -364302,6 +455378,8 @@ 0 0 0 +1 +4 3 0xb063 0x1874c7e5 @@ -364310,6 +455388,8 @@ 1 1 0 +0 +3 1 0xffb8 0x631af330 @@ -364318,6 +455398,8 @@ 1 0 0 +3 +4 4 0x4837 0xc7f2ce72 @@ -364326,6 +455408,8 @@ 0 0 0 +3 +1 2 0xc6f0 0x8ae66790 @@ -364334,6 +455418,8 @@ 1 1 0 +5 +5 3 0x91e3 0x11ca02f9 @@ -364342,6 +455428,8 @@ 0 0 0 +5 +4 1 0x856c 0x8dde4321 @@ -364351,6 +455439,8 @@ 0 0 1 +1 +1 0x16e7 0xea2bb264 256 @@ -364359,6 +455449,8 @@ 0 0 2 +2 +2 0x826c 0x30131c2a 256 @@ -364366,6 +455458,8 @@ 1 1 0 +1 +2 4 0xe3fa 0x9d66a2d0 @@ -364374,6 +455468,8 @@ 1 1 0 +4 +4 3 0x808c 0x629dc15 @@ -364382,6 +455478,8 @@ 1 1 0 +5 +0 3 0xa069 0xec3a80f1 @@ -364390,6 +455488,8 @@ 1 0 0 +0 +0 3 0xd499 0x8b7b3fee @@ -364398,6 +455498,8 @@ 1 0 0 +5 +0 2 0x30ae 0x5aa750d8 @@ -364406,6 +455508,8 @@ 0 0 0 +2 +4 1 0xd7d6 0x9a5df313 @@ -364414,6 +455518,8 @@ 1 0 0 +4 +5 1 0xe54a 0x7c269351 @@ -364422,6 +455528,8 @@ 0 0 0 +2 +1 4 0x197 0x9edbca74 @@ -364430,6 +455538,8 @@ 0 0 0 +3 +1 2 0xd8ce 0xdf40434a @@ -364438,6 +455548,8 @@ 1 0 0 +1 +3 4 0x13b6 0x84f59f82 @@ -364446,6 +455558,8 @@ 0 0 0 +1 +2 4 0xea5c 0x8420d466 @@ -364454,6 +455568,8 @@ 0 0 0 +3 +3 2 0xd1ff 0x2ad4c77c @@ -364462,6 +455578,8 @@ 1 1 0 +3 +0 4 0xf642 0x6270c903 @@ -364470,6 +455588,8 @@ 0 0 0 +5 +4 1 0xb08b 0x8ed0f3cd @@ -364478,6 +455598,8 @@ 1 1 0 +1 +1 3 0x8fda 0xff1434f9 @@ -364486,6 +455608,8 @@ 0 0 0 +5 +1 1 0x16cf 0x2c9beb88 @@ -364495,6 +455619,8 @@ 0 0 2 +4 +2 0x9bae 0x25f3137b 256 @@ -364502,6 +455628,8 @@ 0 0 0 +2 +5 1 0xc1c4 0xc9fd6865 @@ -364510,6 +455638,8 @@ 0 0 0 +2 +3 1 0x53b6 0x466d6341 @@ -364518,6 +455648,8 @@ 1 1 0 +4 +3 1 0x79af 0x3e58a739 @@ -364526,6 +455658,8 @@ 0 0 0 +1 +0 3 0x9ad 0x4d5abecf @@ -364534,6 +455668,8 @@ 0 0 0 +5 +2 4 0x5362 0xb4ed0e67 @@ -364542,6 +455678,8 @@ 1 1 0 +2 +1 4 0xc0eb 0x7b346f55 @@ -364550,6 +455688,8 @@ 0 0 0 +4 +0 1 0x5f7b 0x2bd28cbd @@ -364558,6 +455698,8 @@ 0 0 0 +1 +5 4 0xf83a 0x4de4afd @@ -364566,6 +455708,8 @@ 0 0 0 +3 +5 1 0x703d 0xa2b35675 @@ -364574,6 +455718,8 @@ 0 0 0 +5 +0 2 0xde75 0x9caf6a6b @@ -364582,6 +455728,8 @@ 0 0 0 +4 +5 1 0x755b 0x1f831ae2 @@ -364590,6 +455738,8 @@ 1 1 0 +3 +3 1 0x44fc 0xecda2aff @@ -364598,6 +455748,8 @@ 1 0 0 +2 +4 3 0x7785 0xdae2d4b5 @@ -364606,6 +455758,8 @@ 0 0 0 +0 +0 3 0xcd7f 0xf96661b2 @@ -364614,6 +455768,8 @@ 1 0 0 +2 +4 3 0xcbd0 0x8e26572a @@ -364622,6 +455778,8 @@ 0 0 0 +4 +2 2 0x2080 0x8da8418e @@ -364630,6 +455788,8 @@ 1 0 0 +2 +3 3 0x1a6c 0xc15444a6 @@ -364639,6 +455799,8 @@ 1 0 1 +4 +1 0x3d36 0xd1c0b33e 256 @@ -364646,6 +455808,8 @@ 1 0 0 +1 +4 3 0x7105 0xd882444c @@ -364654,6 +455818,8 @@ 1 1 0 +2 +1 4 0x4735 0x4ec8fe20 @@ -364662,6 +455828,8 @@ 1 1 0 +3 +1 1 0x6400 0xf125381 @@ -364670,6 +455838,8 @@ 0 0 0 +4 +2 1 0x9771 0x9cd9594a @@ -364678,6 +455848,8 @@ 0 0 0 +0 +3 4 0xce30 0xd4c36f74 @@ -364686,6 +455858,8 @@ 1 1 0 +5 +2 3 0x3163 0x6e4ff841 @@ -364695,6 +455869,8 @@ 0 0 1 +4 +1 0xa66d 0xee787dd0 256 @@ -364702,6 +455878,8 @@ 1 0 0 +3 +0 4 0xc3fa 0xb0dbc4d6 @@ -364710,6 +455888,8 @@ 0 0 0 +0 +0 1 0xa7f0 0x1696551 @@ -364718,6 +455898,8 @@ 1 0 0 +5 +5 2 0x50d9 0xc23dd524 @@ -364726,6 +455908,8 @@ 0 0 0 +0 +2 2 0xae6d 0x936c7e2 @@ -364734,6 +455918,8 @@ 1 0 0 +5 +2 3 0xfef2 0x8a6128c9 @@ -364742,6 +455928,8 @@ 1 1 0 +2 +0 3 0x1591 0x69614659 @@ -364750,6 +455938,8 @@ 0 0 0 +3 +1 2 0xe73b 0x7615673a @@ -364758,6 +455948,8 @@ 0 0 0 +5 +5 4 0x5d10 0x863b9f76 @@ -364766,6 +455958,8 @@ 0 0 0 +5 +4 4 0xc0f 0xbffbc589 @@ -364774,6 +455968,8 @@ 1 0 0 +3 +5 2 0xeb 0xb28ef7d8 @@ -364782,6 +455978,8 @@ 0 0 0 +1 +2 4 0x6a68 0x280e480a @@ -364790,6 +455988,8 @@ 1 1 0 +5 +2 1 0x2b28 0x3671571c @@ -364798,6 +455998,8 @@ 1 0 0 +5 +0 3 0x7853 0x78051bc5 @@ -364806,6 +456008,8 @@ 1 1 0 +4 +1 2 0x9fb3 0xd4832b23 @@ -364815,6 +456019,8 @@ 0 0 4 +1 +4 0x5ea4 0x6171a4d4 256 @@ -364822,6 +456028,8 @@ 1 0 0 +3 +1 4 0x756b 0xaef59885 @@ -364830,6 +456038,8 @@ 1 0 0 +3 +2 2 0x98df 0x9ee4c86f @@ -364839,6 +456049,8 @@ 1 0 1 +3 +1 0x7e57 0x6fa1a949 256 @@ -364846,6 +456058,8 @@ 0 0 0 +1 +3 4 0xfcab 0x81eaf2f3 @@ -364854,6 +456068,8 @@ 1 1 0 +5 +5 1 0x4bee 0x3803e56a @@ -364862,6 +456078,8 @@ 1 0 0 +3 +2 4 0x6cf8 0xb9719fc2 @@ -364870,6 +456088,8 @@ 1 0 0 +1 +1 2 0x8637 0x4e8c019f @@ -364878,6 +456098,8 @@ 0 0 0 +5 +4 4 0x2bf1 0xfa93a8a0 @@ -364886,6 +456108,8 @@ 0 0 0 +2 +4 4 0xbedf 0x35a0a951 @@ -364895,6 +456119,8 @@ 0 0 2 +5 +2 0xfe1 0x6deb1e9 256 @@ -364902,6 +456128,8 @@ 1 0 0 +1 +3 3 0xdca9 0xff8bc9c7 @@ -364910,6 +456138,8 @@ 0 0 0 +2 +1 4 0x81ee 0x3e7b056f @@ -364919,6 +456149,8 @@ 0 0 2 +3 +2 0xd578 0x4d998203 256 @@ -364926,6 +456158,8 @@ 0 0 0 +4 +5 1 0x75ec 0xcb2b30b9 @@ -364934,6 +456168,8 @@ 0 0 0 +0 +5 1 0x4e3c 0x61af9cc5 @@ -364943,6 +456179,8 @@ 1 0 2 +5 +2 0x6db0 0x959b7348 256 @@ -364950,6 +456188,8 @@ 1 0 0 +0 +2 3 0xcc72 0x53c37f58 @@ -364958,6 +456198,8 @@ 0 0 0 +3 +3 4 0x83b0 0xe2a33696 @@ -364966,6 +456208,8 @@ 0 0 0 +3 +4 1 0x1fa6 0x83b25b50 @@ -364974,6 +456218,8 @@ 1 1 0 +0 +2 4 0x40e1 0x9c1df6c4 @@ -364982,6 +456228,8 @@ 1 0 0 +0 +3 1 0x7c4d 0x3cf85466 @@ -364990,6 +456238,8 @@ 1 1 0 +0 +2 2 0xe3d9 0xe570cf4f @@ -364998,6 +456248,8 @@ 1 1 0 +2 +5 3 0xed24 0xb080fe4c @@ -365006,6 +456258,8 @@ 0 0 0 +3 +0 4 0x47b 0x6f57d233 @@ -365014,6 +456268,8 @@ 0 0 0 +4 +1 1 0xe405 0xe66e284a @@ -365023,6 +456279,8 @@ 0 0 1 +4 +1 0xd252 0x3e115791 256 @@ -365030,6 +456288,8 @@ 1 0 0 +0 +2 1 0xb35a 0x6ebeb9c9 @@ -365038,6 +456298,8 @@ 0 0 0 +3 +4 4 0xb094 0x29b5a4fb @@ -365046,6 +456308,8 @@ 0 0 0 +5 +1 1 0x89ed 0xf75ddcdb @@ -365054,6 +456318,8 @@ 0 0 0 +5 +3 1 0x7620 0xfdeb5826 @@ -365062,6 +456328,8 @@ 1 0 0 +5 +2 1 0xb981 0x9d860551 @@ -365070,6 +456338,8 @@ 0 0 0 +0 +0 4 0x1697 0xe77aa204 @@ -365078,6 +456348,8 @@ 0 0 0 +5 +1 3 0xbf34 0x36bc6b33 @@ -365086,6 +456358,8 @@ 0 0 0 +4 +0 3 0xd3d7 0x4db95304 @@ -365094,6 +456368,8 @@ 0 0 0 +3 +0 2 0x1867 0xc9e6fc8d @@ -365103,6 +456379,8 @@ 0 0 1 +2 +1 0xf58d 0x33851ac9 256 @@ -365110,6 +456388,8 @@ 1 0 0 +3 +5 1 0x958a 0x9df8bdcd @@ -365118,6 +456398,8 @@ 1 1 0 +3 +3 4 0xd0b1 0xb1a26241 @@ -365126,6 +456408,8 @@ 1 0 0 +0 +2 2 0xf4a0 0xf11e9aea @@ -365134,6 +456418,8 @@ 1 0 0 +3 +1 1 0x26fe 0xb3f5f03b @@ -365142,6 +456428,8 @@ 0 0 0 +5 +3 2 0x9e90 0xdb7a24c1 @@ -365151,6 +456439,8 @@ 0 0 2 +5 +2 0x1005 0xaf756569 256 @@ -365158,6 +456448,8 @@ 1 1 0 +2 +4 3 0x1710 0x71e6bdcc @@ -365166,6 +456458,8 @@ 0 0 0 +0 +2 4 0xae1d 0x1c5407c0 @@ -365174,6 +456468,8 @@ 0 0 0 +3 +1 2 0xda6b 0x87d483ae @@ -365182,6 +456478,8 @@ 0 0 0 +2 +2 4 0x6930 0x7655d176 @@ -365191,6 +456489,8 @@ 0 0 2 +2 +2 0xd0bc 0x1621d247 256 @@ -365198,6 +456498,8 @@ 0 0 0 +5 +1 4 0xbb65 0x4f1a2699 @@ -365206,6 +456508,8 @@ 1 0 0 +1 +1 4 0x871b 0x72bd231c @@ -365214,6 +456518,8 @@ 1 1 0 +4 +5 1 0xf5c1 0x935d7f17 @@ -365223,6 +456529,8 @@ 0 0 2 +2 +2 0x5090 0x9618ca69 256 @@ -365230,6 +456538,8 @@ 1 0 0 +1 +1 4 0x4240 0xfdbf28df @@ -365238,6 +456548,8 @@ 1 0 0 +1 +5 3 0x9661 0x51c06c6b @@ -365247,6 +456559,8 @@ 0 0 2 +0 +2 0xd70e 0x8076fd5c 256 @@ -365254,6 +456568,8 @@ 0 0 0 +4 +4 1 0x6e1a 0x40190665 @@ -365262,6 +456578,8 @@ 1 1 0 +2 +0 3 0x9d95 0xf6f46371 @@ -365270,6 +456588,8 @@ 1 1 0 +2 +4 4 0x1aed 0x51af9fb6 @@ -365278,6 +456598,8 @@ 1 1 0 +0 +1 3 0x6b03 0x114260c9 @@ -365286,6 +456608,8 @@ 1 0 0 +1 +4 4 0x90fd 0xd6cc5539 @@ -365294,6 +456618,8 @@ 0 0 0 +5 +2 3 0xf6aa 0xcfd564f5 @@ -365303,6 +456629,8 @@ 0 0 2 +2 +2 0xa117 0xfe4e7e38 256 @@ -365310,6 +456638,8 @@ 1 0 0 +5 +3 4 0x76d 0xc349616f @@ -365318,6 +456648,8 @@ 0 0 0 +5 +3 1 0x83a3 0x7c5f11b8 @@ -365326,6 +456658,8 @@ 0 0 0 +4 +4 1 0x2981 0x71e8609a @@ -365334,6 +456668,8 @@ 1 1 0 +3 +5 4 0x77aa 0x1cdd156b @@ -365342,6 +456678,8 @@ 1 1 0 +2 +4 3 0xd24d 0x8a5fdc0 @@ -365350,6 +456688,8 @@ 0 0 0 +1 +3 2 0x125f 0x7d9ee0b8 @@ -365358,6 +456698,8 @@ 0 0 0 +2 +4 1 0x189c 0x9984d28b @@ -365366,6 +456708,8 @@ 1 0 0 +1 +1 4 0xa95d 0x6dfe1812 @@ -365374,6 +456718,8 @@ 0 0 0 +3 +1 2 0x7205 0xca34d018 @@ -365382,6 +456728,8 @@ 1 1 0 +1 +1 3 0x56c2 0xa3183729 @@ -365390,6 +456738,8 @@ 0 0 0 +5 +5 2 0x6c92 0x66f536ae @@ -365398,6 +456748,8 @@ 0 0 0 +5 +4 1 0x3362 0xc1605bce @@ -365406,6 +456758,8 @@ 1 1 0 +0 +5 1 0xfeb1 0xf8408f3d @@ -365414,6 +456768,8 @@ 0 0 0 +2 +2 4 0x6a73 0xadf65c4a @@ -365422,6 +456778,8 @@ 1 1 0 +2 +0 1 0x7dfc 0xb4bbadda @@ -365430,6 +456788,8 @@ 1 0 0 +3 +2 4 0xe1d 0xb58c650d @@ -365438,6 +456798,8 @@ 1 0 0 +2 +3 1 0xd5eb 0x17a09563 @@ -365447,6 +456809,8 @@ 0 0 1 +4 +1 0x73f 0x3afeaaff 256 @@ -365454,6 +456818,8 @@ 0 0 0 +0 +5 3 0x2d0d 0xc86ef70d @@ -365462,6 +456828,8 @@ 0 0 0 +5 +4 2 0x6e54 0x1e55ba27 @@ -365470,6 +456838,8 @@ 0 0 0 +5 +0 2 0xc33e 0xffbed17c @@ -365478,6 +456848,8 @@ 1 1 0 +2 +5 4 0x36e4 0xe03f0222 @@ -365486,6 +456858,8 @@ 1 0 0 +5 +5 4 0x61d7 0xa2b64a29 @@ -365494,6 +456868,8 @@ 1 0 0 +5 +4 1 0xe061 0x7eaae320 @@ -365502,6 +456878,8 @@ 0 0 0 +5 +3 1 0xedda 0x512719c7 @@ -365510,6 +456888,8 @@ 0 0 0 +5 +2 1 0x6e2 0xfec80807 @@ -365519,6 +456899,8 @@ 1 0 1 +3 +1 0xa890 0x445ca734 256 @@ -365526,6 +456908,8 @@ 1 1 0 +5 +1 4 0x9960 0x4cd10759 @@ -365535,6 +456919,8 @@ 1 0 4 +2 +4 0xe402 0xda48ea9c 256 @@ -365542,6 +456928,8 @@ 0 0 0 +5 +3 2 0xd43 0x2450bcf5 @@ -365551,6 +456939,8 @@ 0 0 3 +2 +3 0x9fa3 0xbc74968c 256 @@ -365558,6 +456948,8 @@ 1 1 0 +4 +5 2 0xab14 0xa32f9ed3 @@ -365566,6 +456958,8 @@ 0 0 0 +3 +3 4 0xee3f 0x26043a9d @@ -365574,6 +456968,8 @@ 1 0 0 +3 +3 2 0x850b 0x39c21438 @@ -365582,6 +456978,8 @@ 0 0 0 +0 +0 1 0x1e2b 0x12441d85 @@ -365590,6 +456988,8 @@ 0 0 0 +0 +0 4 0x9621 0x44581eab @@ -365598,6 +456998,8 @@ 0 0 0 +3 +1 1 0xc313 0xd4682a7f @@ -365606,6 +457008,8 @@ 1 0 0 +1 +4 3 0x50c8 0x568f5169 @@ -365614,6 +457018,8 @@ 1 1 0 +1 +1 3 0xc0e3 0x77fd5c2e @@ -365622,6 +457028,8 @@ 1 0 0 +2 +3 1 0xb17e 0x42255d4d @@ -365630,6 +457038,8 @@ 1 1 0 +1 +3 4 0xc13c 0x6e22627f @@ -365638,6 +457048,8 @@ 0 0 0 +0 +2 2 0xb444 0x2682a285 @@ -365646,6 +457058,8 @@ 1 0 0 +4 +3 1 0xd80b 0x7e09158a @@ -365654,6 +457068,8 @@ 1 0 0 +2 +0 1 0x7c7f 0x6e753dab @@ -365662,6 +457078,8 @@ 0 0 0 +1 +1 3 0xdd86 0x629a15a0 @@ -365670,6 +457088,8 @@ 1 1 0 +5 +2 4 0xed5a 0x66d09aef @@ -365678,6 +457098,8 @@ 0 0 0 +5 +0 2 0x6cd3 0xca5fc7d2 @@ -365686,6 +457108,8 @@ 1 1 0 +4 +4 2 0x6395 0x2ad0ff8f @@ -365694,6 +457118,8 @@ 0 0 0 +2 +0 3 0x95ed 0xb1e15532 @@ -365702,6 +457128,8 @@ 0 0 0 +5 +2 3 0x4976 0xef01a3a7 @@ -365710,6 +457138,8 @@ 1 0 0 +0 +3 4 0x4e9b 0xfbd8a721 @@ -365718,6 +457148,8 @@ 0 0 0 +1 +4 2 0x6714 0x4b3e62f @@ -365727,6 +457159,8 @@ 0 0 1 +3 +1 0x655a 0xcc4b3293 256 @@ -365734,6 +457168,8 @@ 0 0 0 +5 +0 3 0x255f 0xa1bd3257 @@ -365742,6 +457178,8 @@ 0 0 0 +2 +5 4 0x2e27 0x92e403b6 @@ -365751,6 +457189,8 @@ 0 0 2 +2 +2 0x934a 0xe3e6a03d 256 @@ -365758,6 +457198,8 @@ 0 0 0 +3 +2 2 0xbd3a 0xd4f11501 @@ -365766,6 +457208,8 @@ 1 1 0 +0 +0 3 0x4ad 0x100b302b @@ -365774,6 +457218,8 @@ 1 1 0 +2 +3 4 0x415c 0xa7690195 @@ -365783,6 +457229,8 @@ 0 0 1 +1 +1 0xdb4 0x3b4351c4 256 @@ -365790,6 +457238,8 @@ 1 0 0 +4 +1 1 0xb850 0x3c09b47f @@ -365798,6 +457248,8 @@ 0 0 0 +2 +4 1 0xabf4 0x6a72155e @@ -365806,6 +457258,8 @@ 1 1 0 +3 +4 4 0xb9f6 0x7fa1e8c1 @@ -365814,6 +457268,8 @@ 0 0 0 +5 +5 2 0xb09a 0x7009de76 @@ -365822,6 +457278,8 @@ 0 0 0 +3 +3 4 0x3b83 0x4140d25e @@ -365830,6 +457288,8 @@ 0 0 0 +3 +2 2 0x6178 0x5e683f3c @@ -365839,6 +457299,8 @@ 0 0 3 +2 +3 0x4566 0x9d31db1 256 @@ -365846,6 +457308,8 @@ 0 0 0 +1 +4 4 0x4bf 0xb62447d9 @@ -365854,6 +457318,8 @@ 0 0 0 +5 +5 1 0xe3a9 0xa7c7c6c9 @@ -365862,6 +457328,8 @@ 1 0 0 +1 +4 4 0x516f 0x8031dff0 @@ -365870,6 +457338,8 @@ 0 0 0 +4 +4 3 0xe8fd 0xc4e8a15d @@ -365878,6 +457348,8 @@ 1 1 0 +5 +0 3 0x183e 0xd26ecafb @@ -365887,6 +457359,8 @@ 0 0 1 +4 +1 0x8f8c 0x4f7bfb28 256 @@ -365894,6 +457368,8 @@ 0 0 0 +2 +5 1 0x441e 0x2a9aef05 @@ -365902,6 +457378,8 @@ 0 0 0 +4 +2 1 0xa4ed 0xea6adffa @@ -365910,6 +457388,8 @@ 1 0 0 +4 +5 1 0x360a 0x3dd72e63 @@ -365918,6 +457398,8 @@ 0 0 0 +0 +1 3 0xc6e 0x46f08147 @@ -365926,6 +457408,8 @@ 1 1 0 +1 +1 2 0xd1e7 0xdc67c673 @@ -365934,6 +457418,8 @@ 1 0 0 +5 +5 1 0x9e26 0xa4f9b9ee @@ -365942,6 +457428,8 @@ 0 0 0 +3 +1 2 0x516b 0xeaaea287 @@ -365950,6 +457438,8 @@ 1 1 0 +5 +2 4 0x9bd5 0x9197072d @@ -365958,6 +457448,8 @@ 0 0 0 +0 +3 3 0x9eae 0xdedbb882 @@ -365967,6 +457459,8 @@ 0 0 4 +4 +4 0xd92f 0xaf9d226c 256 @@ -365974,6 +457468,8 @@ 1 1 0 +5 +2 3 0xa5b5 0x2827f700 @@ -365982,6 +457478,8 @@ 1 0 0 +5 +2 1 0x99e9 0x96a575c3 @@ -365990,6 +457488,8 @@ 0 0 0 +0 +3 4 0xc537 0x1085588f @@ -365999,6 +457499,8 @@ 1 0 4 +0 +4 0x887a 0x5962f08d 256 @@ -366006,6 +457508,8 @@ 1 0 0 +3 +2 4 0x9fec 0x7f3ad91e @@ -366014,6 +457518,8 @@ 0 0 0 +0 +3 1 0xc7a0 0xdba9c2ab @@ -366022,6 +457528,8 @@ 1 0 0 +3 +3 4 0x1263 0xe452aa47 @@ -366030,6 +457538,8 @@ 1 1 0 +5 +2 3 0xb032 0x882edada @@ -366038,6 +457548,8 @@ 0 0 0 +4 +1 3 0x8cd3 0x6ec3d80e @@ -366046,6 +457558,8 @@ 1 1 0 +3 +1 1 0x7a01 0x1232d983 @@ -366055,6 +457569,8 @@ 0 0 3 +5 +3 0xa1c5 0x9d3da32d 256 @@ -366062,6 +457578,8 @@ 1 1 0 +1 +3 3 0xa26e 0xf6780b34 @@ -366070,6 +457588,8 @@ 0 0 0 +1 +0 3 0xab57 0xb4561b18 @@ -366078,6 +457598,8 @@ 0 0 0 +5 +1 1 0x58a0 0xc8b04f54 @@ -366086,6 +457608,8 @@ 0 0 0 +1 +2 4 0x3d95 0x857573e3 @@ -366094,6 +457618,8 @@ 1 0 0 +4 +4 1 0x5f69 0x62db06b2 @@ -366102,6 +457628,8 @@ 0 0 0 +2 +3 4 0x4740 0x1ac358ff @@ -366110,6 +457638,8 @@ 0 0 0 +4 +1 2 0xca32 0xc93c4eae @@ -366118,6 +457648,8 @@ 1 0 0 +2 +3 4 0xa4c9 0x821048b8 @@ -366126,6 +457658,8 @@ 0 0 0 +0 +5 2 0xb9d3 0xbe5386c4 @@ -366135,6 +457669,8 @@ 0 0 2 +3 +2 0x183b 0x162fed12 256 @@ -366142,6 +457678,8 @@ 0 0 0 +0 +0 4 0x22a6 0xb1d32ad6 @@ -366150,6 +457688,8 @@ 0 0 0 +0 +0 1 0x74e9 0x7997329f @@ -366158,6 +457698,8 @@ 0 0 0 +5 +2 3 0xbe20 0xee34675c @@ -366166,6 +457708,8 @@ 1 0 0 +1 +1 2 0x5c9f 0xaa82088d @@ -366174,6 +457718,8 @@ 0 0 0 +5 +5 4 0x453 0xf7ae6ee6 @@ -366183,6 +457729,8 @@ 0 0 2 +4 +2 0xa61a 0x3842696a 256 @@ -366191,6 +457739,8 @@ 1 0 3 +1 +3 0x67a7 0x83a90a78 256 @@ -366198,6 +457748,8 @@ 0 0 0 +0 +3 1 0x60a5 0xa5a92606 @@ -366207,6 +457759,8 @@ 1 0 4 +5 +4 0x55b3 0xfd9ecaae 256 @@ -366215,6 +457769,8 @@ 0 0 3 +4 +3 0x7828 0x9d6b310 256 @@ -366222,6 +457778,8 @@ 0 0 0 +4 +1 3 0x292a 0x62bcb500 @@ -366231,6 +457789,8 @@ 1 0 2 +4 +2 0x5917 0xe5c3eff0 256 @@ -366238,6 +457798,8 @@ 0 0 0 +0 +2 3 0xf1f6 0xe0fd4b38 @@ -366246,6 +457808,8 @@ 1 0 0 +1 +5 3 0xbda1 0xf4451a3e @@ -366255,6 +457819,8 @@ 0 0 3 +2 +3 0x517f 0x9a030edc 256 @@ -366262,6 +457828,8 @@ 0 0 0 +0 +3 1 0xebdc 0x59161b0a @@ -366271,6 +457839,8 @@ 0 0 1 +1 +1 0x9fdd 0xf77ac31b 256 @@ -366279,6 +457849,8 @@ 0 0 2 +2 +2 0xfea4 0x3abe22de 256 @@ -366286,6 +457858,8 @@ 1 0 0 +4 +5 3 0xea5e 0x4d2e8a23 @@ -366294,6 +457868,8 @@ 0 0 0 +0 +4 4 0x97bd 0x32a6a668 @@ -366302,6 +457878,8 @@ 1 1 0 +0 +3 4 0xa1c9 0xcf5a2a27 @@ -366310,6 +457888,8 @@ 1 1 0 +5 +0 4 0x7bdb 0x1b8bf77 @@ -366318,6 +457898,8 @@ 0 0 0 +1 +5 3 0x9c4e 0x8afc27ad @@ -366327,6 +457909,8 @@ 0 0 3 +2 +3 0xf04c 0x45ead6ab 256 @@ -366334,6 +457918,8 @@ 1 1 0 +2 +0 4 0xbed3 0xdae563b7 @@ -366342,6 +457928,8 @@ 1 1 0 +5 +1 1 0x8e1b 0x3f634e5c @@ -366350,6 +457938,8 @@ 1 0 0 +2 +1 3 0xfe39 0x39a442d9 @@ -366358,6 +457948,8 @@ 0 0 0 +5 +4 2 0xab96 0x6870403c @@ -366366,6 +457958,8 @@ 0 0 0 +3 +5 4 0x4fab 0x9ee31add @@ -366375,6 +457969,8 @@ 0 0 2 +1 +2 0x1663 0x46ec5eed 256 @@ -366383,6 +457979,8 @@ 0 0 3 +1 +3 0xb28c 0x5cecf0f5 256 @@ -366390,6 +457988,8 @@ 1 0 0 +4 +2 1 0xeb46 0xf0b20dec @@ -366398,6 +457998,8 @@ 0 0 0 +0 +4 1 0xee9b 0xf751e18d @@ -366407,6 +458009,8 @@ 0 0 3 +1 +3 0x9cfa 0x839b8513 256 @@ -366414,6 +458018,8 @@ 0 0 0 +3 +4 1 0x7727 0x8d7cf4a0 @@ -366422,6 +458028,8 @@ 0 0 0 +0 +2 4 0x96fe 0xb3b5525b @@ -366431,6 +458039,8 @@ 1 0 1 +0 +1 0x44bd 0xb6b753cf 256 @@ -366438,6 +458048,8 @@ 1 1 0 +0 +1 2 0x2936 0xdb76f790 @@ -366446,6 +458058,8 @@ 1 0 0 +1 +4 4 0x8588 0x66ab7987 @@ -366454,6 +458068,8 @@ 0 0 0 +0 +5 4 0x63e8 0x423378f3 @@ -366462,6 +458078,8 @@ 0 0 0 +0 +4 2 0xcca2 0xcb2b344e @@ -366470,6 +458088,8 @@ 1 1 0 +0 +3 3 0x6517 0x8b1e7b8a @@ -366478,6 +458098,8 @@ 1 0 0 +1 +0 2 0x1f78 0x1a9bb81b @@ -366486,6 +458108,8 @@ 1 0 0 +0 +3 1 0xc6e1 0xe34cbf23 @@ -366494,6 +458118,8 @@ 1 1 0 +0 +4 1 0x38b3 0xafb61334 @@ -366502,6 +458128,8 @@ 0 0 0 +0 +0 2 0x62a5 0x7996350e @@ -366510,6 +458138,8 @@ 0 0 0 +2 +3 4 0x3f84 0x5149e06f @@ -366518,6 +458148,8 @@ 0 0 0 +0 +5 1 0xaa83 0x423e9385 @@ -366526,6 +458158,8 @@ 0 0 0 +2 +4 1 0xace1 0x3677b1 @@ -366534,6 +458168,8 @@ 1 1 0 +0 +0 1 0xa495 0xa6d41e06 @@ -366542,6 +458178,8 @@ 1 0 0 +3 +1 2 0xeb8f 0x5397519d @@ -366550,6 +458188,8 @@ 1 0 0 +3 +5 2 0x5c5f 0x575f9b02 @@ -366558,6 +458198,8 @@ 1 0 0 +0 +1 1 0x422 0x4421d85c @@ -366566,6 +458208,8 @@ 0 0 0 +3 +1 1 0x16f5 0x5afe8b90 @@ -366574,6 +458218,8 @@ 1 0 0 +5 +1 4 0x9f54 0x4bc97e9d @@ -366583,6 +458229,8 @@ 1 0 3 +5 +3 0xe18a 0x6213bef4 256 @@ -366591,6 +458239,8 @@ 0 0 2 +4 +2 0x3f2f 0xcb9b8af0 256 @@ -366598,6 +458248,8 @@ 0 0 0 +5 +1 2 0xe12c 0xca99836a @@ -366606,6 +458258,8 @@ 1 0 0 +1 +2 3 0x82e2 0xb28ce255 @@ -366614,6 +458268,8 @@ 0 0 0 +3 +3 2 0xabc0 0x5b5faa3c @@ -366622,6 +458278,8 @@ 1 1 0 +5 +0 1 0x5b8f 0x1a228ea2 @@ -366630,6 +458288,8 @@ 0 0 0 +2 +1 1 0x80a0 0xf7dc7964 @@ -366638,6 +458298,8 @@ 0 0 0 +0 +3 4 0x6e49 0x7e4bd37a @@ -366646,6 +458308,8 @@ 0 0 0 +0 +1 2 0x50d7 0x2f6e1d37 @@ -366654,6 +458318,8 @@ 1 1 0 +5 +1 1 0x7d12 0xb1445739 @@ -366662,6 +458328,8 @@ 1 0 0 +3 +2 4 0x9d2b 0x73d7617c @@ -366670,6 +458338,8 @@ 0 0 0 +4 +1 3 0xff26 0x6fd5caec @@ -366678,6 +458348,8 @@ 0 0 0 +5 +1 1 0x42f8 0xe9a8fcda @@ -366686,6 +458358,8 @@ 1 1 0 +2 +5 4 0x3a70 0xbd278d3c @@ -366694,6 +458368,8 @@ 0 0 0 +4 +4 1 0x5d2e 0x54eb6a4e @@ -366702,6 +458378,8 @@ 1 1 0 +1 +3 2 0x4a43 0xccf38df3 @@ -366710,6 +458388,8 @@ 1 0 0 +4 +4 1 0x887f 0xca6dcbac @@ -366718,6 +458398,8 @@ 1 1 0 +2 +2 1 0x4125 0x31c8bba6 @@ -366726,6 +458408,8 @@ 1 0 0 +2 +1 4 0x3932 0xbdcf8883 @@ -366734,6 +458418,8 @@ 0 0 0 +3 +5 4 0x9c9e 0x2907575f @@ -366742,6 +458428,8 @@ 1 0 0 +0 +1 4 0x29bb 0x5b994e9d @@ -366750,6 +458438,8 @@ 1 1 0 +5 +1 2 0x1f3a 0x5a941d91 @@ -366758,6 +458448,8 @@ 0 0 0 +3 +2 4 0x22a9 0x188ca173 @@ -366766,6 +458458,8 @@ 0 0 0 +5 +3 4 0x3148 0x7b5c35e4 @@ -366774,6 +458468,8 @@ 1 0 0 +5 +5 1 0xe5e7 0x48838541 @@ -366783,6 +458479,8 @@ 0 0 4 +1 +4 0x7dfc 0x1c9dd922 256 @@ -366790,6 +458488,8 @@ 1 0 0 +0 +0 4 0x2acb 0xfdf5d8d3 @@ -366798,6 +458498,8 @@ 1 0 0 +3 +3 1 0x31b 0xccb8c9f1 @@ -366806,6 +458508,8 @@ 0 0 0 +1 +5 2 0xdb59 0x6cc8c98e @@ -366814,6 +458518,8 @@ 1 1 0 +3 +1 4 0xdadd 0xc11bfb2e @@ -366822,6 +458528,8 @@ 1 1 0 +0 +2 3 0x6138 0x4433932b @@ -366831,6 +458539,8 @@ 0 0 2 +3 +2 0x4a6a 0x7af02808 256 @@ -366839,6 +458549,8 @@ 0 0 2 +2 +2 0xdc12 0x71649753 256 @@ -366846,6 +458558,8 @@ 1 0 0 +5 +3 3 0xf355 0x2a2b683a @@ -366854,6 +458568,8 @@ 0 0 0 +5 +2 4 0xe5a0 0xbab4f480 @@ -366862,6 +458578,8 @@ 0 0 0 +3 +4 4 0xcd61 0x85299724 @@ -366870,6 +458588,8 @@ 1 0 0 +0 +3 3 0xa79c 0x1d38e4a1 @@ -366878,6 +458598,8 @@ 0 0 0 +2 +4 1 0xf34e 0xcd8b0dde @@ -366886,6 +458608,8 @@ 1 1 0 +2 +4 3 0x9b2 0xbeb7e77b @@ -366894,6 +458618,8 @@ 1 0 0 +0 +1 1 0xc209 0xcbefd34a @@ -366902,6 +458628,8 @@ 0 0 0 +1 +5 3 0xa3eb 0x7b958d0f @@ -366911,6 +458639,8 @@ 0 0 3 +5 +3 0xca88 0x1d16c5d 256 @@ -366918,6 +458648,8 @@ 0 0 0 +0 +0 4 0x4779 0x888c37b6 @@ -366927,6 +458659,8 @@ 0 0 4 +5 +4 0xadfc 0xe649020e 256 @@ -366934,6 +458668,8 @@ 0 0 0 +3 +4 1 0x69c9 0x93b5281f @@ -366942,6 +458678,8 @@ 1 1 0 +3 +2 4 0x410e 0x20a4695b @@ -366950,6 +458688,8 @@ 0 0 0 +1 +3 4 0xeb84 0xff6a3620 @@ -366958,6 +458698,8 @@ 0 0 0 +0 +3 3 0xe5ce 0x2aad4ad1 @@ -366967,6 +458709,8 @@ 0 0 4 +0 +4 0x5e32 0xfcd1e12d 256 @@ -366974,6 +458718,8 @@ 1 0 0 +4 +4 1 0xc8cb 0xfefb6871 @@ -366982,6 +458728,8 @@ 1 0 0 +0 +0 3 0xe1ad 0xeb0df05e @@ -366990,6 +458738,8 @@ 0 0 0 +4 +4 1 0xeb66 0xff3f32c @@ -366998,6 +458748,8 @@ 0 0 0 +3 +5 1 0x3e9b 0xec04784b @@ -367007,6 +458759,8 @@ 0 0 4 +1 +4 0xe97d 0xa957febb 256 @@ -367014,6 +458768,8 @@ 1 0 0 +5 +1 2 0x82f 0x62efd1 @@ -367022,6 +458778,8 @@ 1 0 0 +0 +1 3 0x2fec 0x54cdcc90 @@ -367030,6 +458788,8 @@ 0 0 0 +3 +5 1 0x1f2e 0x9fed8bf6 @@ -367038,6 +458798,8 @@ 0 0 0 +1 +2 4 0x9c03 0xe9fee84e @@ -367046,6 +458808,8 @@ 1 0 0 +4 +4 3 0x3921 0x6022d7b @@ -367054,6 +458818,8 @@ 1 1 0 +3 +4 1 0xff90 0xc61c0646 @@ -367062,6 +458828,8 @@ 1 1 0 +0 +1 2 0x16c2 0xe7ab8e49 @@ -367070,6 +458838,8 @@ 1 1 0 +3 +3 4 0x1210 0x439ffaff @@ -367078,6 +458848,8 @@ 0 0 0 +0 +4 1 0x3e70 0xdfa31a76 @@ -367086,6 +458858,8 @@ 1 0 0 +0 +0 4 0xdb19 0xbaa88a20 @@ -367094,6 +458868,8 @@ 1 0 0 +5 +4 2 0x6d9a 0xb4d608e8 @@ -367102,6 +458878,8 @@ 1 0 0 +4 +1 1 0xa27f 0xe51360ce @@ -367110,6 +458888,8 @@ 0 0 0 +1 +0 3 0xfa7b 0x9306378c @@ -367118,6 +458898,8 @@ 0 0 0 +5 +4 2 0x26ab 0xafcd14bf @@ -367126,6 +458908,8 @@ 1 1 0 +3 +2 2 0x3121 0x5cc01713 @@ -367134,6 +458918,8 @@ 0 0 0 +4 +0 2 0x1175 0xa8ea93c5 @@ -367142,6 +458928,8 @@ 0 0 0 +0 +2 3 0xf571 0xdd34b57d @@ -367151,6 +458939,8 @@ 0 0 2 +0 +2 0x7d79 0x81146343 256 @@ -367158,6 +458948,8 @@ 1 0 0 +2 +3 4 0xc3f6 0xc7009615 @@ -367166,6 +458958,8 @@ 0 0 0 +5 +2 4 0xc154 0x680116be @@ -367174,6 +458968,8 @@ 1 0 0 +5 +4 3 0xb69c 0xf6792bc8 @@ -367182,6 +458978,8 @@ 1 1 0 +0 +4 1 0x324 0xcabeceda @@ -367190,6 +458988,8 @@ 0 0 0 +5 +5 3 0xf19c 0x1ffe9d06 @@ -367198,6 +458998,8 @@ 0 0 0 +1 +2 3 0x55ff 0x71fff42d @@ -367206,6 +459008,8 @@ 0 0 0 +4 +4 2 0x3c23 0x80a7f0f2 @@ -367214,6 +459018,8 @@ 0 0 0 +5 +0 4 0x50ee 0x212a6116 @@ -367222,6 +459028,8 @@ 1 1 0 +0 +4 2 0x1723 0x35f5b2ec @@ -367230,6 +459038,8 @@ 1 1 0 +4 +5 3 0x211 0x938a3dca @@ -367238,6 +459048,8 @@ 1 1 0 +1 +0 2 0x9391 0x5b0a64bd @@ -367246,6 +459058,8 @@ 0 0 0 +2 +5 1 0xbed9 0xae53fb5f @@ -367254,6 +459068,8 @@ 1 0 0 +0 +5 3 0x7c48 0xb816a916 @@ -367263,6 +459079,8 @@ 0 0 1 +3 +1 0xce50 0xe144fc4a 256 @@ -367270,6 +459088,8 @@ 1 1 0 +4 +5 2 0xda3b 0xce66f8a1 @@ -367278,6 +459098,8 @@ 0 0 0 +3 +1 1 0x2297 0x4c8d2550 @@ -367286,6 +459108,8 @@ 0 0 0 +0 +5 1 0xf0b2 0xaf5bf210 @@ -367294,6 +459118,8 @@ 1 0 0 +0 +1 1 0xf419 0x54779e3d @@ -367302,6 +459128,8 @@ 1 0 0 +5 +4 2 0x238c 0xe962c1e7 @@ -367310,6 +459138,8 @@ 0 0 0 +1 +1 2 0xcfa1 0xe88dd999 @@ -367318,6 +459148,8 @@ 1 1 0 +4 +2 2 0x7d35 0x47720bb1 @@ -367326,6 +459158,8 @@ 1 1 0 +0 +0 3 0x5db4 0xfcb595f4 @@ -367334,6 +459168,8 @@ 1 1 0 +4 +0 2 0xd3d6 0x29adb02c @@ -367342,6 +459178,8 @@ 1 1 0 +3 +1 4 0xcc1c 0xa110383f @@ -367350,6 +459188,8 @@ 1 0 0 +4 +0 3 0xb1af 0x3b35d4ff @@ -367358,6 +459198,8 @@ 0 0 0 +1 +2 3 0x98a6 0xc7d52cfa @@ -367366,6 +459208,8 @@ 0 0 0 +4 +2 3 0xc6b0 0x46cd8c15 @@ -367375,6 +459219,8 @@ 0 0 1 +2 +1 0x31c5 0x256bbc71 256 @@ -367382,6 +459228,8 @@ 0 0 0 +4 +4 3 0xc51b 0x1bdb1c21 @@ -367390,6 +459238,8 @@ 1 0 0 +1 +0 4 0x2345 0xe1a9b7e4 @@ -367398,6 +459248,8 @@ 0 0 0 +1 +5 2 0xe121 0x687e91b0 @@ -367406,6 +459258,8 @@ 0 0 0 +1 +0 3 0x16a2 0x466be605 @@ -367414,6 +459268,8 @@ 0 0 0 +5 +0 1 0xa0ef 0x2d26ec8c @@ -367422,6 +459278,8 @@ 1 1 0 +1 +2 4 0xfbf3 0x47b5cf6c @@ -367430,6 +459288,8 @@ 0 0 0 +5 +1 4 0x431 0xa9f50763 @@ -367439,6 +459299,8 @@ 0 0 1 +2 +1 0x25c8 0x784b70eb 256 @@ -367447,6 +459309,8 @@ 1 0 1 +1 +1 0x5070 0xc839cfe8 256 @@ -367454,6 +459318,8 @@ 1 0 0 +3 +4 2 0xe3cf 0x8e8cc9c9 @@ -367463,6 +459329,8 @@ 0 0 3 +5 +3 0xfdb1 0xe1bac53c 256 @@ -367470,6 +459338,8 @@ 1 1 0 +3 +5 2 0xb68f 0x4d49abef @@ -367479,6 +459349,8 @@ 1 0 4 +0 +4 0x94f9 0xfa61ee03 256 @@ -367486,6 +459358,8 @@ 0 0 0 +0 +2 4 0xfad6 0x31691303 @@ -367494,6 +459368,8 @@ 0 0 0 +1 +5 2 0x7ccf 0x4e392ac8 @@ -367502,6 +459378,8 @@ 1 0 0 +1 +2 2 0x409b 0xb95c2690 @@ -367510,6 +459388,8 @@ 0 0 0 +1 +4 2 0xb851 0xdb71a4f0 @@ -367518,6 +459398,8 @@ 1 1 0 +3 +1 2 0x9b8 0x5c832170 @@ -367526,6 +459408,8 @@ 0 0 0 +1 +1 3 0xf67e 0x6125f9ab @@ -367535,6 +459419,8 @@ 0 0 3 +0 +3 0x2a56 0xda291812 256 @@ -367542,6 +459428,8 @@ 0 0 0 +2 +1 3 0x68fa 0x9152c9b5 @@ -367551,6 +459439,8 @@ 0 0 4 +3 +4 0x8967 0xd6e588fc 256 @@ -367558,6 +459448,8 @@ 0 0 0 +5 +3 3 0xb29b 0x2e246118 @@ -367566,6 +459458,8 @@ 1 1 0 +3 +4 2 0x3b44 0x3766511a @@ -367574,6 +459468,8 @@ 1 1 0 +5 +1 3 0x422e 0x9e6c06f3 @@ -367583,6 +459479,8 @@ 0 0 3 +0 +3 0x6d0e 0x82e08db7 256 @@ -367590,6 +459488,8 @@ 1 1 0 +4 +4 2 0x1ce9 0x9e2ecaa7 @@ -367598,6 +459498,8 @@ 1 1 0 +3 +1 1 0x71a 0xffc2e067 @@ -367606,6 +459508,8 @@ 1 1 0 +3 +2 2 0xe99 0xfe6d4823 @@ -367614,6 +459518,8 @@ 0 0 0 +5 +5 3 0x8693 0x4af790fb @@ -367622,6 +459528,8 @@ 0 0 0 +3 +1 2 0xe7ea 0xc0a4d5d9 @@ -367630,6 +459538,8 @@ 0 0 0 +1 +5 4 0x41cb 0xebf1fb9 @@ -367638,6 +459548,8 @@ 1 0 0 +1 +3 4 0x110b 0x5c00c38 @@ -367647,6 +459559,8 @@ 1 0 3 +4 +3 0x279a 0x542c254f 256 @@ -367654,6 +459568,8 @@ 1 0 0 +3 +4 4 0xec1e 0x8ada98fd @@ -367662,6 +459578,8 @@ 1 0 0 +0 +2 3 0x1b7c 0xd6c2e4d3 @@ -367670,6 +459588,8 @@ 0 0 0 +4 +4 3 0x5a20 0x6fd182f @@ -367678,6 +459598,8 @@ 1 1 0 +1 +1 2 0x7c8f 0xfe770cb8 @@ -367686,6 +459608,8 @@ 0 0 0 +5 +5 3 0xbbec 0x2bfd0793 @@ -367694,6 +459618,8 @@ 1 1 0 +0 +0 2 0x9013 0x23cca550 @@ -367702,6 +459628,8 @@ 0 0 0 +2 +2 4 0xe9c1 0xfd443bfd @@ -367710,6 +459638,8 @@ 1 1 0 +2 +1 4 0x8157 0xb726a36f @@ -367718,6 +459648,8 @@ 1 1 0 +0 +5 4 0xd301 0x3612302a @@ -367726,6 +459658,8 @@ 1 0 0 +2 +2 4 0xcc71 0x51e59b98 @@ -367734,6 +459668,8 @@ 1 1 0 +5 +3 2 0x38de 0x4d159ea0 @@ -367742,6 +459678,8 @@ 0 0 0 +4 +3 1 0xb2bc 0xa6432cbe @@ -367750,6 +459688,8 @@ 0 0 0 +1 +4 3 0x169f 0xca73f01 @@ -367759,6 +459699,8 @@ 1 0 2 +1 +2 0x45bd 0xa1779ceb 256 @@ -367766,6 +459708,8 @@ 0 0 0 +0 +5 1 0xc958 0xc334230c @@ -367774,6 +459718,8 @@ 0 0 0 +3 +0 4 0xc275 0x7bdf0f8d @@ -367783,6 +459729,8 @@ 1 0 1 +4 +1 0xfbda 0x867ea868 256 @@ -367790,6 +459738,8 @@ 0 0 0 +2 +4 3 0x64c1 0x2f8dbc81 @@ -367798,6 +459748,8 @@ 0 0 0 +1 +0 4 0x67fd 0x4362659b @@ -367806,6 +459758,8 @@ 0 0 0 +0 +2 2 0x6b84 0x3bd7d33f @@ -367814,6 +459768,8 @@ 1 1 0 +1 +4 2 0xdb9a 0x3d48d967 @@ -367823,6 +459779,8 @@ 0 0 1 +2 +1 0x6dea 0x44d07a13 256 @@ -367830,6 +459788,8 @@ 0 0 0 +4 +1 3 0xf683 0x96a6129e @@ -367838,6 +459798,8 @@ 1 0 0 +0 +4 2 0x1798 0xdafa5ea1 @@ -367846,6 +459808,8 @@ 1 1 0 +1 +0 2 0xaa36 0x91a73494 @@ -367854,6 +459818,8 @@ 0 0 0 +2 +2 1 0xe5f7 0xe35bb5aa @@ -367862,6 +459828,8 @@ 0 0 0 +5 +0 2 0xdf0e 0xe57639f9 @@ -367871,6 +459839,8 @@ 0 0 2 +3 +2 0x4902 0xb641cd1f 256 @@ -367878,6 +459848,8 @@ 0 0 0 +5 +4 1 0xa7bf 0xd4b6b79c @@ -367886,6 +459858,8 @@ 1 1 0 +4 +2 1 0xaf86 0x29446a11 @@ -367895,6 +459869,8 @@ 0 0 2 +3 +2 0x91c7 0x3f920750 256 @@ -367902,6 +459878,8 @@ 1 1 0 +0 +3 4 0x4b 0xafa9615a @@ -367910,6 +459888,8 @@ 0 0 0 +0 +2 4 0x5e2 0x4ad0b195 @@ -367919,6 +459899,8 @@ 0 0 2 +4 +2 0x81a3 0x2cdc4339 256 @@ -367927,6 +459909,8 @@ 0 0 3 +2 +3 0xbc4e 0xbea0de3a 256 @@ -367935,6 +459919,8 @@ 0 0 3 +3 +3 0x41b8 0xc7b999b8 256 @@ -367942,6 +459928,8 @@ 0 0 0 +5 +5 4 0x7860 0xf07d9d44 @@ -367951,6 +459939,8 @@ 0 0 1 +1 +1 0xd821 0x549caf99 256 @@ -367958,6 +459948,8 @@ 1 1 0 +5 +3 1 0xccb9 0xa625322f @@ -367966,6 +459958,8 @@ 0 0 0 +1 +2 3 0x8af2 0x368e716c @@ -367974,6 +459968,8 @@ 0 0 0 +0 +5 4 0xbf50 0x2c14e0c @@ -367982,6 +459978,8 @@ 0 0 0 +1 +2 3 0x503e 0x12ec008e @@ -367990,6 +459988,8 @@ 0 0 0 +3 +4 1 0x8954 0x93d34e55 @@ -367998,6 +459998,8 @@ 1 1 0 +1 +1 3 0x3c6b 0xfb8ecd5a @@ -368006,6 +460008,8 @@ 1 1 0 +4 +2 2 0xaf0 0xbcf66ed @@ -368015,6 +460019,8 @@ 0 0 3 +2 +3 0xa7a3 0xe1919da4 256 @@ -368022,6 +460028,8 @@ 1 0 0 +1 +5 4 0xc77 0x2df8fe8d @@ -368031,6 +460039,8 @@ 0 0 4 +3 +4 0x8929 0xbbeeb5a1 256 @@ -368038,6 +460048,8 @@ 0 0 0 +0 +4 1 0x7608 0x51975c0f @@ -368046,6 +460058,8 @@ 1 0 0 +4 +0 1 0xee6e 0xdab54b23 @@ -368055,6 +460069,8 @@ 1 0 2 +4 +2 0x460d 0x5bf7875a 256 @@ -368062,6 +460078,8 @@ 1 0 0 +4 +3 3 0xe096 0x882d6a15 @@ -368070,6 +460088,8 @@ 0 0 0 +0 +2 2 0x2875 0xae2a178 @@ -368078,6 +460098,8 @@ 0 0 0 +5 +3 3 0xc0b6 0xb31d8181 @@ -368086,6 +460108,8 @@ 1 1 0 +2 +1 3 0xf158 0x7bae6dd5 @@ -368094,6 +460118,8 @@ 1 0 0 +3 +2 4 0x94aa 0x517e9ff9 @@ -368103,6 +460129,8 @@ 0 0 2 +3 +2 0x2abd 0x8b090b61 256 @@ -368110,6 +460138,8 @@ 0 0 0 +4 +4 3 0xcf0a 0xe4de63d5 @@ -368118,6 +460148,8 @@ 1 1 0 +0 +5 1 0xe900 0x842e2900 @@ -368126,6 +460158,8 @@ 0 0 0 +3 +5 2 0x93f8 0x8d7c49bb @@ -368134,6 +460168,8 @@ 0 0 0 +3 +3 2 0xa33a 0x3b050202 @@ -368142,6 +460178,8 @@ 1 0 0 +5 +1 3 0x904f 0x7985a6a6 @@ -368150,6 +460188,8 @@ 0 0 0 +0 +4 4 0x9831 0xaced5b55 @@ -368158,6 +460198,8 @@ 1 0 0 +0 +5 3 0x67e1 0x809d3677 @@ -368166,6 +460208,8 @@ 1 0 0 +0 +3 1 0x4a2e 0x4cd1d9c9 @@ -368174,6 +460218,8 @@ 1 0 0 +1 +3 2 0x8b7b 0x407159c4 @@ -368182,6 +460228,8 @@ 1 0 0 +5 +1 1 0x3aa0 0x535f2f94 @@ -368191,6 +460239,8 @@ 0 0 4 +5 +4 0xe724 0xccaa197b 256 @@ -368198,6 +460248,8 @@ 0 0 0 +3 +2 4 0x321 0xc600c5b1 @@ -368206,6 +460258,8 @@ 1 0 0 +4 +0 2 0xc9e5 0x33d8ebd9 @@ -368214,6 +460268,8 @@ 1 0 0 +1 +1 4 0x58a8 0x1860b1bd @@ -368222,6 +460278,8 @@ 0 0 0 +1 +5 2 0xca1c 0x64bd6c52 @@ -368230,6 +460288,8 @@ 1 0 0 +5 +2 2 0x329b 0x94967544 @@ -368238,6 +460298,8 @@ 1 0 0 +3 +3 1 0x7eef 0x3557cbcf @@ -368246,6 +460308,8 @@ 1 1 0 +1 +1 2 0x7475 0x6b768ce9 @@ -368254,6 +460318,8 @@ 0 0 0 +2 +1 3 0x9256 0x22c020e9 @@ -368262,6 +460328,8 @@ 0 0 0 +5 +1 4 0xc7f1 0x3e462dd0 @@ -368270,6 +460338,8 @@ 0 0 0 +2 +5 1 0x40c0 0x30bdf8ef @@ -368278,6 +460348,8 @@ 0 0 0 +3 +5 4 0x9256 0x7f489c5f @@ -368286,6 +460358,8 @@ 1 0 0 +5 +0 4 0xb4cc 0x8e18103d @@ -368294,6 +460368,8 @@ 0 0 0 +4 +3 2 0x638b 0xa4bdf391 @@ -368303,6 +460379,8 @@ 0 0 2 +4 +2 0xcdd2 0x6f1e4551 256 @@ -368310,6 +460388,8 @@ 1 1 0 +0 +3 3 0x13a9 0x584cc12e @@ -368318,6 +460398,8 @@ 1 0 0 +1 +2 4 0x6516 0xf30a5f7f @@ -368326,6 +460408,8 @@ 0 0 0 +1 +5 3 0xff90 0x9c7ceeb1 @@ -368334,6 +460418,8 @@ 0 0 0 +3 +4 4 0x7649 0x45808b31 @@ -368342,6 +460428,8 @@ 1 0 0 +4 +3 3 0xa934 0xbcff5548 @@ -368350,6 +460438,8 @@ 0 0 0 +0 +4 3 0xb43a 0x788a0df8 @@ -368358,6 +460448,8 @@ 1 0 0 +5 +0 3 0x8f2 0x320e4ff9 @@ -368366,6 +460458,8 @@ 0 0 0 +5 +5 1 0x36b0 0x5a62f2a6 @@ -368374,6 +460468,8 @@ 0 0 0 +2 +0 1 0x7a46 0x3328d98f @@ -368382,6 +460478,8 @@ 0 0 0 +4 +4 3 0x9d0c 0xdc0f7ec6 @@ -368390,6 +460488,8 @@ 0 0 0 +1 +3 4 0x64bc 0xe451d798 @@ -368398,6 +460498,8 @@ 1 1 0 +4 +2 1 0x722d 0x4b761334 @@ -368406,6 +460508,8 @@ 1 1 0 +1 +5 3 0xc3b4 0xb64a68cb @@ -368414,6 +460518,8 @@ 0 0 0 +3 +3 2 0x9efe 0xf3a5a5eb @@ -368422,6 +460528,8 @@ 0 0 0 +2 +5 4 0xd238 0x972fb1b1 @@ -368430,6 +460538,8 @@ 1 0 0 +3 +5 4 0x4185 0x5d7155e @@ -368438,6 +460548,8 @@ 0 0 0 +5 +0 1 0x2d2 0x9a513c9a @@ -368446,6 +460558,8 @@ 1 1 0 +4 +2 2 0xb4d6 0xd3e3321d @@ -368454,6 +460568,8 @@ 1 1 0 +5 +3 3 0x5b1b 0x23a11336 @@ -368462,6 +460578,8 @@ 0 0 0 +0 +3 3 0x8576 0xc247ffb0 @@ -368470,6 +460588,8 @@ 1 0 0 +1 +4 3 0x7f4c 0xf971897a @@ -368478,6 +460598,8 @@ 1 1 0 +1 +5 2 0xd71f 0xd8e30888 @@ -368486,6 +460608,8 @@ 0 0 0 +0 +5 2 0xab76 0xae34fbc2 @@ -368495,6 +460619,8 @@ 0 0 1 +0 +1 0xfdd6 0xb29d81fd 256 @@ -368503,6 +460629,8 @@ 0 0 3 +0 +3 0x264d 0xd9190cda 256 @@ -368511,6 +460639,8 @@ 0 0 1 +2 +1 0x4677 0x1503f384 256 @@ -368518,6 +460648,8 @@ 0 0 0 +5 +4 3 0x6968 0x8b947953 @@ -368526,6 +460658,8 @@ 0 0 0 +5 +2 1 0x41c9 0x8be18f67 @@ -368535,6 +460669,8 @@ 0 0 1 +3 +1 0x885c 0x17d7a81b 256 @@ -368542,6 +460678,8 @@ 0 0 0 +4 +4 2 0xf6e 0xa9c3046c @@ -368550,6 +460688,8 @@ 0 0 0 +2 +0 1 0x801a 0x4dd4e275 @@ -368558,6 +460698,8 @@ 0 0 0 +1 +4 4 0xb1c5 0x542cc18c @@ -368566,6 +460708,8 @@ 0 0 0 +4 +0 1 0xdd9f 0x410281c1 @@ -368574,6 +460718,8 @@ 0 0 0 +1 +5 3 0xbd0f 0xaef9f573 @@ -368582,6 +460728,8 @@ 1 0 0 +2 +5 1 0x419c 0xc01d2d @@ -368590,6 +460738,8 @@ 0 0 0 +4 +2 1 0x6c52 0x34be8c08 @@ -368598,6 +460748,8 @@ 1 1 0 +0 +4 2 0x5cca 0xdaef843c @@ -368606,6 +460758,8 @@ 1 1 0 +0 +1 2 0xf609 0x12713d7c @@ -368614,6 +460768,8 @@ 0 0 0 +3 +2 2 0xc9cf 0x858cc3d9 @@ -368622,6 +460778,8 @@ 0 0 0 +5 +2 1 0xb420 0xf6923c1 @@ -368630,6 +460788,8 @@ 0 0 0 +0 +1 1 0x22c9 0x336294e6 @@ -368638,6 +460798,8 @@ 0 0 0 +4 +2 3 0x8381 0xc6193a77 @@ -368647,6 +460809,8 @@ 0 0 4 +5 +4 0x3b38 0xf1c9e110 256 @@ -368655,6 +460819,8 @@ 1 0 4 +4 +4 0x4d42 0x13ac02fa 256 @@ -368662,6 +460828,8 @@ 0 0 0 +4 +0 1 0xa6ea 0x9e6d3643 @@ -368670,6 +460838,8 @@ 0 0 0 +3 +2 1 0x41c5 0xe0ebad3e @@ -368678,6 +460848,8 @@ 1 0 0 +0 +1 4 0x746a 0x5c8d980b @@ -368686,6 +460858,8 @@ 1 0 0 +0 +0 2 0x1622 0xb92060d5 @@ -368694,6 +460868,8 @@ 1 1 0 +3 +3 2 0xdf02 0xbb235a85 @@ -368702,6 +460878,8 @@ 0 0 0 +2 +4 1 0x922d 0x1549ed21 @@ -368710,6 +460888,8 @@ 1 1 0 +4 +3 2 0x584 0xa063d62d @@ -368718,6 +460898,8 @@ 1 1 0 +0 +5 3 0x2b79 0x395eac83 @@ -368726,6 +460908,8 @@ 1 1 0 +3 +0 1 0x41cf 0xdc2f673d @@ -368734,6 +460918,8 @@ 0 0 0 +2 +1 4 0xde0b 0x7f46db28 @@ -368742,6 +460928,8 @@ 0 0 0 +4 +0 1 0x78eb 0xb9dcfb27 @@ -368750,6 +460938,8 @@ 0 0 0 +3 +0 2 0xff94 0xa7da53a2 @@ -368758,6 +460948,8 @@ 0 0 0 +0 +3 3 0x53bf 0x8a4fac23 @@ -368766,6 +460958,8 @@ 1 1 0 +1 +1 3 0xf9e3 0xad9e097b @@ -368774,6 +460968,8 @@ 1 0 0 +5 +5 4 0xbd85 0x227dfbe9 @@ -368782,6 +460978,8 @@ 1 0 0 +1 +4 2 0x666c 0xf40f592f @@ -368790,6 +460988,8 @@ 0 0 0 +3 +5 1 0x35b 0x10f563aa @@ -368798,6 +460998,8 @@ 1 0 0 +4 +1 2 0x7eb6 0x88de9357 @@ -368806,6 +461008,8 @@ 1 0 0 +4 +5 2 0xd53e 0xf9e0d862 @@ -368814,6 +461018,8 @@ 1 0 0 +5 +1 1 0x621e 0xfa8bffbd @@ -368822,6 +461028,8 @@ 0 0 0 +1 +3 4 0xf861 0x8d231f4f @@ -368831,6 +461039,8 @@ 0 0 2 +2 +2 0x3cc0 0x2a3f2cd7 256 @@ -368839,6 +461049,8 @@ 0 0 1 +1 +1 0xeec1 0x7fde7915 256 @@ -368846,6 +461058,8 @@ 1 0 0 +3 +5 1 0x7241 0xd9aa5b1d @@ -368854,6 +461068,8 @@ 0 0 0 +4 +1 3 0x7ff8 0xe6996c3a @@ -368863,6 +461079,8 @@ 1 0 3 +2 +3 0x87ca 0x8201444c 256 @@ -368870,6 +461088,8 @@ 0 0 0 +2 +5 1 0x8523 0xf5b2daf7 @@ -368878,6 +461098,8 @@ 1 0 0 +3 +3 4 0x420a 0x295dcede @@ -368887,6 +461109,8 @@ 1 0 2 +4 +2 0xf4dc 0x980422eb 256 @@ -368894,6 +461118,8 @@ 0 0 0 +3 +0 4 0x5611 0x190f5861 @@ -368902,6 +461128,8 @@ 1 0 0 +5 +4 4 0xd6ae 0x8b53806f @@ -368911,6 +461139,8 @@ 0 0 4 +4 +4 0x9973 0x64011cd1 256 @@ -368919,6 +461149,8 @@ 1 0 2 +3 +2 0x9cd1 0x103f8af 256 @@ -368926,6 +461158,8 @@ 1 1 0 +2 +2 4 0x1335 0xede8c7dc @@ -368934,6 +461168,8 @@ 0 0 0 +1 +0 4 0xfc56 0x4bb45620 @@ -368942,6 +461178,8 @@ 0 0 0 +4 +4 1 0xae0 0xfe4dcc2f @@ -368951,6 +461189,8 @@ 0 0 2 +4 +2 0x3baa 0x5bfaa0b5 256 @@ -368959,6 +461199,8 @@ 1 0 2 +5 +2 0xee77 0x92f1e3b9 256 @@ -368966,6 +461208,8 @@ 1 0 0 +4 +0 2 0xa134 0x99ef446a @@ -368975,6 +461219,8 @@ 0 0 1 +1 +1 0xf97d 0x48db6084 256 @@ -368982,6 +461228,8 @@ 1 0 0 +5 +0 2 0xbd21 0x52418d14 @@ -368990,6 +461238,8 @@ 1 1 0 +1 +0 2 0xc936 0x7c69b9a5 @@ -368998,6 +461248,8 @@ 1 1 0 +4 +0 3 0xb607 0xbc727b41 @@ -369006,6 +461258,8 @@ 1 1 0 +4 +2 1 0x6812 0x8bc0cfce @@ -369015,6 +461269,8 @@ 0 0 3 +1 +3 0xfd08 0xcb6a5934 256 @@ -369022,6 +461278,8 @@ 0 0 0 +5 +4 2 0x697 0xdc0fd895 @@ -369030,6 +461288,8 @@ 1 1 0 +4 +0 2 0xf86d 0xcc571ab6 @@ -369038,6 +461298,8 @@ 1 0 0 +3 +2 4 0x756c 0xb84d8c04 @@ -369046,6 +461308,8 @@ 0 0 0 +1 +5 3 0x153c 0xe7bafc03 @@ -369055,6 +461319,8 @@ 1 0 4 +2 +4 0x2815 0xaac4ae6 256 @@ -369062,6 +461328,8 @@ 0 0 0 +0 +4 4 0xbab5 0x4bf8ac7d @@ -369070,6 +461338,8 @@ 0 0 0 +5 +4 2 0x6d93 0x9f902f5b @@ -369079,6 +461349,8 @@ 0 0 1 +3 +1 0x713e 0x1ce15df1 256 @@ -369086,6 +461358,8 @@ 1 0 0 +5 +5 3 0x1c00 0xb44d622c @@ -369095,6 +461369,8 @@ 0 0 1 +5 +1 0xa395 0xee983621 256 @@ -369102,6 +461378,8 @@ 1 1 0 +2 +0 3 0xd9c5 0xd9dfceb2 @@ -369110,6 +461388,8 @@ 0 0 0 +5 +4 2 0x870c 0xdac7255f @@ -369119,6 +461399,8 @@ 1 0 1 +5 +1 0xabff 0xd4434861 256 @@ -369126,6 +461408,8 @@ 1 1 0 +5 +1 4 0x95a0 0x241873fa @@ -369134,6 +461418,8 @@ 0 0 0 +3 +0 4 0x3ce9 0x1d71cb54 @@ -369142,6 +461428,8 @@ 0 0 0 +5 +2 3 0x2319 0x3487ee92 @@ -369150,6 +461438,8 @@ 0 0 0 +2 +3 4 0xc2be 0xc41b9804 @@ -369158,6 +461448,8 @@ 0 0 0 +5 +2 4 0x84aa 0x94789f50 @@ -369167,6 +461459,8 @@ 0 0 3 +5 +3 0x5301 0xe2fc1a46 256 @@ -369174,6 +461468,8 @@ 0 0 0 +0 +1 2 0xf2eb 0xae912a6a @@ -369183,6 +461479,8 @@ 0 0 2 +3 +2 0x3600 0xc9a8b3d9 256 @@ -369190,6 +461488,8 @@ 0 0 0 +3 +2 4 0x5c36 0x1010f49e @@ -369198,6 +461498,8 @@ 0 0 0 +1 +2 3 0xce89 0xfb0e9f7a @@ -369207,6 +461509,8 @@ 0 0 4 +1 +4 0xb6ac 0x3390ef22 256 @@ -369214,6 +461518,8 @@ 0 0 0 +5 +1 4 0xe06b 0x338ed7f9 @@ -369222,6 +461528,8 @@ 1 1 0 +0 +5 3 0x9755 0x214ee799 @@ -369230,6 +461538,8 @@ 0 0 0 +4 +1 3 0x5ee 0xc62bb7c4 @@ -369238,6 +461548,8 @@ 0 0 0 +2 +4 3 0xe4f 0x2d3d7d83 @@ -369247,6 +461559,8 @@ 0 0 3 +2 +3 0x5149 0xb88db1df 256 @@ -369254,6 +461568,8 @@ 1 0 0 +3 +2 2 0x117b 0xe939a461 @@ -369262,6 +461578,8 @@ 1 0 0 +3 +4 1 0x8efc 0x722a3eb2 @@ -369270,6 +461588,8 @@ 0 0 0 +3 +1 1 0x5483 0x5c5ba857 @@ -369278,6 +461598,8 @@ 0 0 0 +1 +2 4 0x7e61 0x24636485 @@ -369286,6 +461608,8 @@ 1 0 0 +0 +0 3 0xb0c7 0xd2158490 @@ -369294,6 +461618,8 @@ 0 0 0 +5 +4 1 0x6f69 0x84ec0d0 @@ -369302,6 +461628,8 @@ 0 0 0 +1 +1 4 0x6b72 0xf821063a @@ -369310,6 +461638,8 @@ 1 0 0 +2 +3 1 0x86a0 0x2d53e8e6 @@ -369318,6 +461648,8 @@ 1 0 0 +0 +2 1 0xf8c0 0xfe8f666 @@ -369326,6 +461658,8 @@ 0 0 0 +3 +5 4 0x48a4 0x96a8b324 @@ -369334,6 +461668,8 @@ 1 0 0 +1 +4 4 0x1bc9 0x9633c2cf @@ -369342,6 +461678,8 @@ 1 0 0 +2 +5 4 0xc0c6 0x53b5cae4 @@ -369350,6 +461688,8 @@ 0 0 0 +1 +3 2 0xfcd2 0x2e099fcc @@ -369359,6 +461699,8 @@ 0 0 4 +5 +4 0x81b2 0x3f1bc185 256 @@ -369366,6 +461708,8 @@ 0 0 0 +2 +1 1 0xd841 0xb86ec2a6 @@ -369374,6 +461718,8 @@ 0 0 0 +1 +2 4 0xc23a 0xcb40ba59 @@ -369382,6 +461728,8 @@ 1 1 0 +0 +5 1 0xbaab 0xb0485d86 @@ -369390,6 +461738,8 @@ 0 0 0 +2 +3 1 0x8a6d 0x48dce7a1 @@ -369398,6 +461748,8 @@ 0 0 0 +4 +4 1 0x96e9 0x880a09e4 @@ -369406,6 +461758,8 @@ 1 1 0 +2 +0 3 0x8098 0x95ab14f3 @@ -369414,6 +461768,8 @@ 0 0 0 +1 +1 2 0x1d7a 0x572092f5 @@ -369422,6 +461778,8 @@ 0 0 0 +1 +4 4 0xda6f 0xab21678f @@ -369430,6 +461788,8 @@ 0 0 0 +3 +1 4 0x442 0xdcb8c010 @@ -369438,6 +461798,8 @@ 0 0 0 +4 +1 3 0x4a2e 0x24269b66 @@ -369446,6 +461808,8 @@ 0 0 0 +1 +3 4 0x39a1 0x93fa8989 @@ -369454,6 +461818,8 @@ 1 0 0 +0 +5 2 0x1051 0x549dbd3e @@ -369462,6 +461828,8 @@ 0 0 0 +0 +5 4 0xd6c6 0x8050192a @@ -369470,6 +461838,8 @@ 1 1 0 +1 +3 2 0xc174 0xee78698c @@ -369478,6 +461848,8 @@ 0 0 0 +5 +1 1 0x6d32 0xfcb18ee5 @@ -369486,6 +461858,8 @@ 0 0 0 +0 +2 4 0x4156 0x61fb2799 @@ -369494,6 +461868,8 @@ 0 0 0 +3 +4 4 0x2271 0x89296 @@ -369502,6 +461878,8 @@ 0 0 0 +2 +0 1 0xd48d 0x6dce46a8 @@ -369510,6 +461888,8 @@ 0 0 0 +1 +5 3 0x48d7 0x9e4f2e48 @@ -369518,6 +461898,8 @@ 1 0 0 +5 +3 3 0xc302 0x7eaa39c1 @@ -369526,6 +461908,8 @@ 0 0 0 +1 +2 2 0xf067 0x6fe372b6 @@ -369534,6 +461918,8 @@ 1 1 0 +3 +4 2 0xa1a1 0x4449d1fc @@ -369542,6 +461928,8 @@ 0 0 0 +1 +2 3 0x6855 0x321c0531 @@ -369550,6 +461938,8 @@ 1 0 0 +3 +3 2 0xcc31 0xb85b9a00 @@ -369558,6 +461948,8 @@ 1 1 0 +2 +5 1 0x21be 0x963ebfc8 @@ -369566,6 +461958,8 @@ 1 0 0 +1 +1 2 0x5c12 0xe8214b3e @@ -369574,6 +461968,8 @@ 1 1 0 +1 +1 2 0x696 0xc065b06e @@ -369582,6 +461978,8 @@ 0 0 0 +0 +4 3 0x726f 0xee6965cb @@ -369590,6 +461988,8 @@ 0 0 0 +1 +4 4 0x944a 0x86ee1736 @@ -369598,6 +461998,8 @@ 0 0 0 +0 +5 3 0x317e 0xbe38b17f @@ -369606,6 +462008,8 @@ 1 0 0 +3 +1 2 0xf171 0x5b00f0f7 @@ -369614,6 +462018,8 @@ 0 0 0 +3 +3 4 0xb0e6 0x5fee9c87 @@ -369622,6 +462028,8 @@ 0 0 0 +2 +4 4 0xcc97 0xbb05198d @@ -369630,6 +462038,8 @@ 1 0 0 +1 +3 4 0xd2e4 0x2e8ba32d @@ -369638,6 +462048,8 @@ 1 0 0 +3 +4 2 0x825b 0x9c97259b @@ -369646,6 +462058,8 @@ 1 1 0 +5 +2 2 0x4961 0x3f510829 @@ -369655,6 +462069,8 @@ 0 0 2 +4 +2 0x7d9d 0x72fec2e9 256 @@ -369662,6 +462078,8 @@ 1 1 0 +0 +5 2 0x9c7c 0x6efde965 @@ -369670,6 +462088,8 @@ 0 0 0 +5 +3 3 0xca61 0x2d381a7b @@ -369678,6 +462098,8 @@ 0 0 0 +3 +1 2 0x353f 0xfce1cdec @@ -369686,6 +462108,8 @@ 0 0 0 +4 +3 2 0xd49c 0x8051afd8 @@ -369694,6 +462118,8 @@ 0 0 0 +5 +5 4 0x5d62 0x3e233fbf @@ -369702,6 +462128,8 @@ 1 1 0 +4 +1 1 0xf620 0xbaeb1b83 @@ -369710,6 +462138,8 @@ 0 0 0 +1 +3 2 0x58a4 0x7d074900 @@ -369718,6 +462148,8 @@ 1 1 0 +0 +4 1 0x30df 0xe37050d3 @@ -369726,6 +462158,8 @@ 0 0 0 +5 +3 3 0x54c8 0x5b214087 @@ -369734,6 +462168,8 @@ 0 0 0 +1 +1 2 0xd6c8 0x57e74f1b @@ -369742,6 +462178,8 @@ 1 1 0 +3 +5 2 0x1ee1 0xab0b37af @@ -369750,6 +462188,8 @@ 0 0 0 +0 +5 3 0xaa 0x6b28dac5 @@ -369758,6 +462198,8 @@ 1 0 0 +3 +2 2 0xbf77 0x461b92dd @@ -369767,6 +462209,8 @@ 0 0 4 +3 +4 0x1d32 0xef4d0707 256 @@ -369774,6 +462218,8 @@ 1 1 0 +0 +5 1 0x589f 0xeeb9f436 @@ -369782,6 +462228,8 @@ 1 1 0 +2 +4 4 0xbeb8 0x9b18cf64 @@ -369790,6 +462238,8 @@ 1 0 0 +3 +4 1 0x63c2 0xffe664d @@ -369798,6 +462248,8 @@ 1 0 0 +3 +3 2 0x9b0e 0xa54d3b35 @@ -369806,6 +462258,8 @@ 1 0 0 +3 +2 1 0xc162 0xb0be65f7 @@ -369815,6 +462269,8 @@ 0 0 4 +4 +4 0x4a82 0xc9a6cc34 256 @@ -369822,6 +462278,8 @@ 0 0 0 +5 +4 3 0xaac5 0x76c1b7a0 @@ -369830,6 +462288,8 @@ 0 0 0 +0 +1 2 0x1e01 0xe4ca3cf2 @@ -369838,6 +462298,8 @@ 0 0 0 +4 +0 3 0x2b81 0xae663330 @@ -369846,6 +462308,8 @@ 0 0 0 +4 +1 3 0xfe23 0x643c52e9 @@ -369854,6 +462318,8 @@ 1 0 0 +0 +2 1 0x1904 0x4cbfefde @@ -369862,6 +462328,8 @@ 1 1 0 +3 +2 2 0xfb85 0x619cab64 @@ -369871,6 +462339,8 @@ 1 0 4 +1 +4 0x85b5 0xe865980c 256 @@ -369878,6 +462348,8 @@ 0 0 0 +0 +3 2 0xaa8 0xf8cbb00e @@ -369886,6 +462358,8 @@ 1 0 0 +0 +1 4 0x2798 0xeda81e4b @@ -369895,6 +462369,8 @@ 1 0 1 +2 +1 0x85f8 0x26b97711 256 @@ -369902,6 +462378,8 @@ 0 0 0 +3 +5 4 0xf4b4 0x297ca6e9 @@ -369910,6 +462388,8 @@ 1 0 0 +3 +2 2 0x1e84 0xf513bc26 @@ -369918,6 +462398,8 @@ 0 0 0 +0 +1 3 0x36dc 0xb8a68219 @@ -369926,6 +462408,8 @@ 1 0 0 +5 +2 1 0x506e 0x322b9323 @@ -369934,6 +462418,8 @@ 1 1 0 +3 +5 1 0xf5a5 0x3eb7c10d @@ -369942,6 +462428,8 @@ 1 1 0 +0 +4 2 0x7816 0x7de928eb @@ -369950,6 +462438,8 @@ 0 0 0 +3 +3 2 0xb2fe 0xeb778cb4 @@ -369958,6 +462448,8 @@ 0 0 0 +4 +2 1 0x57c5 0x7ce4dd8 @@ -369966,6 +462458,8 @@ 0 0 0 +3 +0 2 0xad5 0xff0ad20e @@ -369974,6 +462468,8 @@ 1 0 0 +5 +0 2 0x4623 0x827a8c57 @@ -369982,6 +462478,8 @@ 1 0 0 +2 +5 3 0x6086 0xfc5c23ea @@ -369990,6 +462488,8 @@ 0 0 0 +4 +5 3 0x687c 0x3cbf1ca @@ -369998,6 +462498,8 @@ 1 0 0 +5 +4 4 0x1ae6 0x815891a6 @@ -370006,6 +462508,8 @@ 0 0 0 +5 +2 1 0x488 0xc24d8295 @@ -370014,6 +462518,8 @@ 0 0 0 +5 +1 2 0xfe29 0x7bf50638 @@ -370022,6 +462528,8 @@ 0 0 0 +5 +1 4 0xda9d 0x9f471d3c @@ -370031,6 +462539,8 @@ 1 0 3 +4 +3 0x586e 0x58a5417e 256 @@ -370038,6 +462548,8 @@ 0 0 0 +3 +5 1 0x9856 0xb86e893b @@ -370046,6 +462558,8 @@ 0 0 0 +5 +1 2 0x3221 0x3ac2bb84 @@ -370054,6 +462568,8 @@ 1 0 0 +3 +0 2 0xca92 0x8624e5cc @@ -370063,6 +462579,8 @@ 0 0 1 +0 +1 0x6616 0x1d9bbdf4 256 @@ -370070,6 +462588,8 @@ 1 1 0 +5 +5 2 0xc4cc 0x88cfeb6 @@ -370079,6 +462599,8 @@ 0 0 3 +1 +3 0xcfd1 0x8951ef1e 256 @@ -370086,6 +462608,8 @@ 1 0 0 +1 +4 3 0xbf93 0x17a03532 @@ -370094,6 +462618,8 @@ 0 0 0 +5 +0 3 0xff79 0x916cae2 @@ -370102,6 +462628,8 @@ 0 0 0 +2 +3 1 0xbec3 0x51b0bfa1 @@ -370110,6 +462638,8 @@ 1 1 0 +2 +3 1 0x6eec 0x91c41612 @@ -370118,6 +462648,8 @@ 1 0 0 +0 +3 4 0x4a5c 0x87566d7c @@ -370126,6 +462658,8 @@ 0 0 0 +3 +0 1 0x96b1 0x55a8965a @@ -370135,6 +462669,8 @@ 1 0 1 +2 +1 0x7cec 0x9354ed14 256 @@ -370142,6 +462678,8 @@ 0 0 0 +4 +0 2 0x9cbb 0x3f1d5e9f @@ -370151,6 +462689,8 @@ 0 0 3 +4 +3 0xb796 0xd9be97da 256 @@ -370158,6 +462698,8 @@ 0 0 0 +5 +3 3 0xf6d6 0x823b5de1 @@ -370166,6 +462708,8 @@ 0 0 0 +3 +4 2 0x2957 0x3020d6a @@ -370174,6 +462718,8 @@ 0 0 0 +1 +3 3 0x29ea 0x6a097920 @@ -370182,6 +462728,8 @@ 0 0 0 +2 +2 3 0x2acc 0x59a5ef01 @@ -370190,6 +462738,8 @@ 0 0 0 +0 +5 2 0xebaa 0xb014ea18 @@ -370198,6 +462748,8 @@ 0 0 0 +1 +4 3 0x6f59 0x31e578a1 @@ -370206,6 +462758,8 @@ 1 0 0 +0 +3 3 0xffc3 0x1966fae3 @@ -370214,6 +462768,8 @@ 1 0 0 +5 +2 2 0xfa64 0xd95bef61 @@ -370222,6 +462778,8 @@ 1 0 0 +1 +3 2 0xe2f6 0x6c6fd806 @@ -370230,6 +462788,8 @@ 1 0 0 +4 +3 1 0xda07 0x349be1a8 @@ -370239,6 +462799,8 @@ 0 0 3 +4 +3 0x7917 0xe2dc261f 256 @@ -370246,6 +462808,8 @@ 1 1 0 +5 +0 4 0x2c5d 0xca0eaa95 @@ -370254,6 +462818,8 @@ 0 0 0 +5 +2 1 0xd768 0x1f60f1f2 @@ -370262,6 +462828,8 @@ 1 1 0 +4 +0 2 0x3613 0xf57eb297 @@ -370270,6 +462838,8 @@ 0 0 0 +4 +5 3 0xa720 0x1f91b4f4 @@ -370278,6 +462848,8 @@ 0 0 0 +0 +0 4 0x1e3d 0xddc170d3 @@ -370286,6 +462858,8 @@ 0 0 0 +4 +1 2 0xc365 0x637b6784 @@ -370294,6 +462868,8 @@ 1 1 0 +4 +3 1 0x648d 0x3764df8b @@ -370302,6 +462878,8 @@ 0 0 0 +3 +5 1 0xc8e4 0x3f650d84 @@ -370310,6 +462888,8 @@ 1 0 0 +1 +4 3 0x983 0x7744caf4 @@ -370319,6 +462899,8 @@ 0 0 4 +5 +4 0x9224 0x31757d1a 256 @@ -370326,6 +462908,8 @@ 1 1 0 +0 +4 4 0xcd28 0x13ed3037 @@ -370334,6 +462918,8 @@ 1 1 0 +0 +2 4 0xd5d7 0x89dd7c3a @@ -370343,6 +462929,8 @@ 0 0 4 +1 +4 0x69a2 0xbde11136 256 @@ -370350,6 +462938,8 @@ 1 0 0 +0 +2 1 0x384a 0x6aa900cd @@ -370359,6 +462949,8 @@ 1 0 4 +1 +4 0x7203 0xa8bf8a 256 @@ -370366,6 +462958,8 @@ 0 0 0 +4 +4 3 0xa9b8 0x6720eb4f @@ -370375,6 +462969,8 @@ 0 0 2 +3 +2 0xcf51 0x1aa99f00 256 @@ -370382,6 +462978,8 @@ 1 0 0 +4 +4 2 0xe02 0x267bcdec @@ -370390,6 +462988,8 @@ 1 0 0 +1 +5 2 0x3b60 0xa2e2bc3d @@ -370398,6 +462998,8 @@ 0 0 0 +3 +3 4 0x7610 0x6bf20656 @@ -370406,6 +463008,8 @@ 1 1 0 +2 +1 3 0xaa50 0xa52d1cbc @@ -370414,6 +463018,8 @@ 0 0 0 +0 +0 1 0x3847 0x34b2c3d3 @@ -370422,6 +463028,8 @@ 1 1 0 +3 +4 4 0x36f9 0x5b3e10a4 @@ -370430,6 +463038,8 @@ 1 1 0 +0 +1 1 0xbe75 0x4221e757 @@ -370439,6 +463049,8 @@ 0 0 2 +1 +2 0xf704 0x810a4788 256 @@ -370446,6 +463058,8 @@ 1 1 0 +1 +3 4 0xd82e 0xd3e923fd @@ -370454,6 +463068,8 @@ 0 0 0 +2 +0 4 0x8b8b 0xcf85563 @@ -370462,6 +463078,8 @@ 1 0 0 +0 +2 4 0x7d1b 0x4cd7053d @@ -370470,6 +463088,8 @@ 0 0 0 +0 +5 3 0xee70 0xb9d966aa @@ -370478,6 +463098,8 @@ 0 0 0 +3 +0 1 0x74d2 0xb5dc0b64 @@ -370487,6 +463109,8 @@ 1 0 3 +2 +3 0x6e1 0x760656f3 256 @@ -370494,6 +463118,8 @@ 0 0 0 +3 +4 1 0xf316 0x9d2aac2e @@ -370502,6 +463128,8 @@ 0 0 0 +2 +4 1 0x7c7b 0x333abdf6 @@ -370510,6 +463138,8 @@ 0 0 0 +3 +0 2 0xfa74 0xf072cdfc @@ -370518,6 +463148,8 @@ 0 0 0 +5 +0 3 0x8d3d 0x8a81bc52 @@ -370527,6 +463159,8 @@ 0 0 1 +3 +1 0xef0e 0xd2e4ad57 256 @@ -370534,6 +463168,8 @@ 1 0 0 +5 +2 4 0x52 0x77b98cc1 @@ -370542,6 +463178,8 @@ 0 0 0 +0 +4 3 0x7bec 0xb5446ff4 @@ -370551,6 +463189,8 @@ 1 0 2 +4 +2 0x29f8 0x574ad5c6 256 @@ -370558,6 +463198,8 @@ 0 0 0 +2 +2 1 0xdeca 0x166fdbb9 @@ -370566,6 +463208,8 @@ 1 0 0 +4 +4 2 0x4 0x9ac8444e @@ -370574,6 +463218,8 @@ 0 0 0 +4 +5 2 0x26ec 0xc12d4571 @@ -370583,6 +463229,8 @@ 0 0 2 +5 +2 0xbfa3 0x36932d4f 256 @@ -370590,6 +463238,8 @@ 0 0 0 +4 +0 2 0xef3a 0x8b8e3e9f @@ -370598,6 +463248,8 @@ 0 0 0 +1 +2 2 0x6291 0x12669735 @@ -370606,6 +463258,8 @@ 1 1 0 +2 +4 1 0xf256 0x45b29de9 @@ -370614,6 +463268,8 @@ 0 0 0 +3 +4 2 0xc722 0x24257336 @@ -370622,6 +463278,8 @@ 1 1 0 +2 +5 3 0xd99c 0xead72e57 @@ -370630,6 +463288,8 @@ 0 0 0 +2 +5 4 0xef3a 0x2a5a562a @@ -370638,6 +463298,8 @@ 0 0 0 +3 +5 2 0x4e2b 0x63daacb7 @@ -370647,6 +463309,8 @@ 0 0 1 +3 +1 0xbea8 0xedbce47b 256 @@ -370654,6 +463318,8 @@ 0 0 0 +4 +3 3 0x41f0 0x3afe5101 @@ -370662,6 +463328,8 @@ 1 0 0 +0 +1 4 0xe775 0xb7ee21c6 @@ -370670,6 +463338,8 @@ 0 0 0 +2 +5 4 0x9f65 0xb22da413 @@ -370678,6 +463348,8 @@ 1 0 0 +1 +3 4 0x31f0 0x9d969760 @@ -370686,6 +463358,8 @@ 1 0 0 +2 +4 3 0x3882 0x7160ca21 @@ -370694,6 +463368,8 @@ 0 0 0 +1 +4 4 0xec14 0xd793254f @@ -370702,6 +463378,8 @@ 1 1 0 +0 +1 1 0xdba 0x26401d78 @@ -370711,6 +463389,8 @@ 1 0 4 +1 +4 0x4d7c 0x667d45f5 256 @@ -370719,6 +463399,8 @@ 1 0 2 +2 +2 0xe385 0x6df409c2 256 @@ -370726,6 +463408,8 @@ 0 0 0 +2 +1 1 0xd025 0x9b680bfc @@ -370734,6 +463418,8 @@ 1 0 0 +2 +4 1 0x416c 0xbb8163b9 @@ -370742,6 +463428,8 @@ 1 0 0 +0 +1 1 0x3c89 0x6d77d6ca @@ -370750,6 +463438,8 @@ 1 1 0 +4 +0 3 0x9794 0xe62b5790 @@ -370758,6 +463448,8 @@ 0 0 0 +0 +0 2 0x3437 0xf5367c7a @@ -370766,6 +463458,8 @@ 0 0 0 +0 +5 1 0xbf06 0xf4fa80ac @@ -370774,6 +463468,8 @@ 1 1 0 +5 +4 3 0xd3eb 0xb238a261 @@ -370782,6 +463478,8 @@ 1 1 0 +5 +0 1 0x9b21 0x439c6ed @@ -370790,6 +463488,8 @@ 1 1 0 +0 +1 4 0x410e 0x307b7710 @@ -370798,6 +463498,8 @@ 1 1 0 +0 +5 4 0x434e 0x23c86d0f @@ -370806,6 +463508,8 @@ 0 0 0 +4 +3 3 0xe430 0xebe1e869 @@ -370814,6 +463518,8 @@ 1 0 0 +2 +1 3 0xeec9 0x45d888d5 @@ -370822,6 +463528,8 @@ 1 1 0 +5 +3 4 0xbe7 0xa4fa5a25 @@ -370830,6 +463538,8 @@ 0 0 0 +2 +1 3 0x4cc4 0x7eba6a62 @@ -370838,6 +463548,8 @@ 0 0 0 +2 +2 4 0x4e5b 0xa2b5d642 @@ -370847,6 +463559,8 @@ 0 0 4 +5 +4 0x270f 0x828cca08 256 @@ -370854,6 +463568,8 @@ 1 0 0 +5 +0 3 0xbaf6 0xa83b620d @@ -370862,6 +463578,8 @@ 1 0 0 +4 +0 3 0x5548 0x8d38f8a @@ -370871,6 +463589,8 @@ 1 0 4 +1 +4 0xa468 0x4ff5b16f 256 @@ -370878,6 +463598,8 @@ 1 0 0 +2 +5 1 0x9837 0x94d59275 @@ -370886,6 +463608,8 @@ 1 1 0 +2 +5 3 0x4c40 0xe41f6a07 @@ -370894,6 +463618,8 @@ 0 0 0 +1 +0 3 0x8365 0xbb84f1e4 @@ -370902,6 +463628,8 @@ 0 0 0 +2 +2 3 0x2c8c 0xbfebd4e1 @@ -370910,6 +463638,8 @@ 0 0 0 +5 +4 2 0x849f 0x72b8ce5c @@ -370918,6 +463648,8 @@ 1 0 0 +5 +5 2 0xfbe9 0x4cb6f345 @@ -370926,6 +463658,8 @@ 0 0 0 +0 +5 4 0x87a4 0x673372d @@ -370934,6 +463668,8 @@ 0 0 0 +5 +3 1 0x87a9 0x717f1d0f @@ -370942,6 +463678,8 @@ 1 1 0 +1 +4 2 0x788a 0xceaa3333 @@ -370950,6 +463688,8 @@ 0 0 0 +4 +0 2 0x436e 0xa1a283df @@ -370958,6 +463698,8 @@ 1 0 0 +4 +4 1 0x24ea 0x9a5b37c8 @@ -370966,6 +463708,8 @@ 0 0 0 +3 +0 4 0x19d5 0xff3f60be @@ -370974,6 +463718,8 @@ 1 1 0 +1 +2 3 0x7cbd 0x8f68d0ba @@ -370983,6 +463729,8 @@ 0 0 2 +3 +2 0xcf94 0xb4d40e5 256 @@ -370990,6 +463738,8 @@ 0 0 0 +1 +0 4 0x7338 0x83fa001c @@ -370998,6 +463748,8 @@ 0 0 0 +4 +1 2 0x132b 0x2c47ac19 @@ -371006,6 +463758,8 @@ 0 0 0 +1 +2 2 0xf160 0x518a3c9 @@ -371014,6 +463768,8 @@ 0 0 0 +3 +2 2 0x6de1 0x93b42a2b @@ -371022,6 +463778,8 @@ 0 0 0 +0 +0 2 0x6f42 0x1fe7ac94 @@ -371031,6 +463789,8 @@ 0 0 4 +3 +4 0x7ed1 0x919c522f 256 @@ -371039,6 +463799,8 @@ 1 0 1 +4 +1 0xc3e 0x9c75077e 256 @@ -371046,6 +463808,8 @@ 0 0 0 +0 +4 3 0x8802 0xc25887be @@ -371054,6 +463818,8 @@ 0 0 0 +1 +0 2 0x29ee 0x2fe8e14a @@ -371062,6 +463828,8 @@ 1 0 0 +4 +3 3 0x8987 0x6a48f39d @@ -371070,6 +463838,8 @@ 1 0 0 +3 +1 4 0x4d36 0x9ce667ab @@ -371079,6 +463849,8 @@ 0 0 2 +2 +2 0xb32a 0xa4243410 256 @@ -371086,6 +463858,8 @@ 1 0 0 +4 +1 1 0xfd7c 0xa7c431d4 @@ -371094,6 +463868,8 @@ 0 0 0 +2 +4 1 0xf4d4 0xd2e5bdba @@ -371102,6 +463878,8 @@ 1 1 0 +5 +1 2 0xdcc7 0x5c9400e3 @@ -371111,6 +463889,8 @@ 0 0 1 +4 +1 0x6e08 0xb7f35ec0 256 @@ -371119,6 +463899,8 @@ 0 0 3 +5 +3 0xd2a7 0x958a40bb 256 @@ -371126,6 +463908,8 @@ 1 1 0 +0 +0 1 0xf287 0xb700820 @@ -371134,6 +463918,8 @@ 0 0 0 +1 +3 3 0xa697 0x4cdca2c7 @@ -371142,6 +463928,8 @@ 1 1 0 +5 +4 2 0x4123 0x3e09e91f @@ -371150,6 +463938,8 @@ 1 1 0 +4 +1 3 0xec0b 0x4a8f7fe8 @@ -371158,6 +463948,8 @@ 0 0 0 +1 +2 4 0x6e03 0xc36704c @@ -371166,6 +463958,8 @@ 1 0 0 +1 +2 2 0xc046 0xc380e633 @@ -371174,6 +463968,8 @@ 1 0 0 +3 +1 2 0x3aad 0xf44f4e02 @@ -371182,6 +463978,8 @@ 0 0 0 +2 +1 1 0x8a5 0xd46c840c @@ -371190,6 +463988,8 @@ 0 0 0 +1 +0 2 0xdd4e 0x26965461 @@ -371198,6 +463998,8 @@ 0 0 0 +0 +3 1 0x6c09 0xec2e0244 @@ -371206,6 +464008,8 @@ 1 1 0 +0 +4 4 0x39a1 0xf8a831c7 @@ -371214,6 +464018,8 @@ 1 0 0 +0 +0 1 0x5cce 0xdd64a8fc @@ -371222,6 +464028,8 @@ 0 0 0 +0 +4 4 0xdf26 0xce238ed1 @@ -371230,6 +464038,8 @@ 0 0 0 +0 +4 4 0x3c02 0x7b952c92 @@ -371238,6 +464048,8 @@ 1 0 0 +3 +3 1 0x214d 0xde231107 @@ -371246,6 +464058,8 @@ 0 0 0 +0 +3 4 0xc819 0x6befab36 @@ -371255,6 +464069,8 @@ 0 0 1 +1 +1 0x5bf1 0x9c19107 256 @@ -371262,6 +464078,8 @@ 1 1 0 +5 +2 2 0x4ad2 0x894b69bc @@ -371270,6 +464088,8 @@ 1 1 0 +5 +0 2 0x7737 0xa1f53b1e @@ -371278,6 +464098,8 @@ 0 0 0 +5 +5 1 0x865f 0xa54db86d @@ -371287,6 +464109,8 @@ 1 0 2 +2 +2 0x5c30 0xc1e7973d 256 @@ -371294,6 +464118,8 @@ 0 0 0 +0 +5 3 0x1f37 0xed3ea004 @@ -371302,6 +464128,8 @@ 1 0 0 +3 +1 1 0x423f 0x454f6478 @@ -371310,6 +464138,8 @@ 1 1 0 +5 +5 4 0x8df2 0x72e14ad1 @@ -371318,6 +464148,8 @@ 1 1 0 +3 +0 4 0x8a6e 0xd26786f1 @@ -371326,6 +464158,8 @@ 0 0 0 +5 +2 2 0x2102 0x43eccdf0 @@ -371335,6 +464169,8 @@ 0 0 1 +4 +1 0x97c3 0x28b521fb 256 @@ -371342,6 +464178,8 @@ 0 0 0 +5 +1 1 0x6154 0xab7c1da1 @@ -371350,6 +464188,8 @@ 1 0 0 +5 +4 1 0xfa5f 0xd5f3cfef @@ -371358,6 +464198,8 @@ 0 0 0 +2 +0 1 0x8ece 0x18fca4ce @@ -371366,6 +464208,8 @@ 0 0 0 +1 +3 3 0x6076 0xbcabeb45 @@ -371374,6 +464218,8 @@ 1 0 0 +1 +2 3 0x67bb 0xe22472f6 @@ -371382,6 +464228,8 @@ 0 0 0 +4 +1 2 0x7c87 0xc24dd06b @@ -371390,6 +464238,8 @@ 1 1 0 +4 +4 1 0x7bf1 0xc3f4b1fe @@ -371398,6 +464248,8 @@ 0 0 0 +0 +3 1 0xa508 0xcad4197a @@ -371406,6 +464258,8 @@ 0 0 0 +2 +4 1 0x4293 0xd1b69926 @@ -371414,6 +464268,8 @@ 0 0 0 +1 +2 4 0xace0 0x87c685c7 @@ -371422,6 +464278,8 @@ 1 0 0 +5 +2 1 0xe7a6 0x39c7cdb @@ -371430,6 +464288,8 @@ 1 1 0 +1 +4 4 0xccd5 0xd3d1a506 @@ -371438,6 +464298,8 @@ 1 1 0 +1 +4 3 0x2255 0xef7f25ee @@ -371446,6 +464308,8 @@ 0 0 0 +0 +5 1 0x4b00 0xfb74b70a @@ -371454,6 +464318,8 @@ 1 1 0 +3 +2 2 0xa31a 0xa2c47af2 @@ -371462,6 +464328,8 @@ 1 1 0 +2 +5 1 0x6a3f 0xc53f802b @@ -371471,6 +464339,8 @@ 0 0 2 +5 +2 0x31b5 0x6b8395d0 256 @@ -371479,6 +464349,8 @@ 0 0 1 +5 +1 0x8bf3 0xb45c6525 256 @@ -371486,6 +464358,8 @@ 1 0 0 +1 +5 4 0xc05b 0x3f744ab6 @@ -371494,6 +464368,8 @@ 1 1 0 +1 +0 4 0xa256 0x5b468db1 @@ -371503,6 +464379,8 @@ 0 0 3 +5 +3 0x8a6 0xd2fb4b63 256 @@ -371510,6 +464388,8 @@ 0 0 0 +4 +1 1 0x492f 0xcfe2bb0e @@ -371518,6 +464398,8 @@ 1 1 0 +4 +0 2 0x376 0x749ae25e @@ -371526,6 +464408,8 @@ 0 0 0 +5 +3 1 0x9adb 0x1e5d9155 @@ -371534,6 +464418,8 @@ 0 0 0 +4 +2 2 0xa871 0x8614b323 @@ -371542,6 +464428,8 @@ 0 0 0 +0 +1 3 0x2ec 0x4e4e57df @@ -371550,6 +464438,8 @@ 0 0 0 +3 +4 1 0x9a88 0xb6a9849f @@ -371558,6 +464448,8 @@ 0 0 0 +0 +0 4 0x510d 0x61ed02f2 @@ -371566,6 +464458,8 @@ 0 0 0 +2 +5 3 0xd500 0x8cc80dd6 @@ -371575,6 +464469,8 @@ 0 0 3 +0 +3 0x29de 0x86ef9217 256 @@ -371582,6 +464478,8 @@ 1 1 0 +2 +3 4 0xe65b 0x93a416d6 @@ -371590,6 +464488,8 @@ 0 0 0 +0 +0 3 0xbbd3 0x5ee78545 @@ -371599,6 +464499,8 @@ 0 0 1 +0 +1 0xe331 0x1607d23b 256 @@ -371607,6 +464509,8 @@ 0 0 1 +5 +1 0x4ffb 0xe667d477 256 @@ -371614,6 +464518,8 @@ 1 0 0 +4 +3 2 0x911c 0x1915be6c @@ -371622,6 +464528,8 @@ 0 0 0 +3 +5 2 0xd3d7 0xd7c6c3d5 @@ -371630,6 +464538,8 @@ 1 0 0 +2 +4 1 0x52e8 0x478da60a @@ -371638,6 +464548,8 @@ 0 0 0 +5 +0 3 0xfe21 0xfbc6f812 @@ -371646,6 +464558,8 @@ 0 0 0 +0 +4 2 0x8b1b 0x9d2bfd1d @@ -371654,6 +464568,8 @@ 0 0 0 +0 +5 4 0xf103 0x8c2068a4 @@ -371662,6 +464578,8 @@ 1 1 0 +3 +1 2 0x18ae 0xee720973 @@ -371670,6 +464588,8 @@ 1 1 0 +3 +5 1 0x7638 0x340c6774 @@ -371678,6 +464598,8 @@ 1 0 0 +1 +0 2 0x4712 0x4ccb44ab @@ -371686,6 +464608,8 @@ 0 0 0 +4 +0 1 0x7461 0x63607976 @@ -371694,6 +464618,8 @@ 0 0 0 +0 +4 4 0xaf46 0xb8541c54 @@ -371703,6 +464629,8 @@ 0 0 3 +3 +3 0xc594 0xa4557fba 256 @@ -371710,6 +464638,8 @@ 0 0 0 +5 +3 2 0xf022 0x1c3d168a @@ -371718,6 +464648,8 @@ 0 0 0 +4 +1 2 0xdafd 0x314d8bea @@ -371726,6 +464658,8 @@ 0 0 0 +3 +2 1 0x17a7 0x6e0110c @@ -371734,6 +464668,8 @@ 0 0 0 +4 +2 3 0x417c 0xed9b33a9 @@ -371742,6 +464678,8 @@ 1 1 0 +5 +0 4 0x3ac2 0xef8a73d1 @@ -371750,6 +464688,8 @@ 1 0 0 +0 +4 1 0xbf81 0x6caf3f38 @@ -371758,6 +464698,8 @@ 1 1 0 +4 +4 2 0x7a5a 0xb4a434e9 @@ -371767,6 +464709,8 @@ 1 0 3 +3 +3 0xc694 0x8964fff8 256 @@ -371774,6 +464718,8 @@ 1 0 0 +0 +1 3 0xc7c1 0x70b931ef @@ -371782,6 +464728,8 @@ 1 0 0 +4 +1 1 0x73f4 0x64e2463b @@ -371790,6 +464738,8 @@ 1 1 0 +4 +0 2 0x3788 0xe28f8e6 @@ -371798,6 +464748,8 @@ 0 0 0 +0 +3 4 0x14c9 0x64d98bd3 @@ -371806,6 +464758,8 @@ 1 1 0 +3 +5 4 0xfab7 0x12b9eaa5 @@ -371814,6 +464768,8 @@ 0 0 0 +5 +4 4 0x2190 0x98c83722 @@ -371822,6 +464778,8 @@ 1 0 0 +1 +1 3 0xb9ad 0xa163264a @@ -371831,6 +464789,8 @@ 0 0 2 +3 +2 0x86b4 0x11f7e2ef 256 @@ -371838,6 +464798,8 @@ 1 0 0 +5 +5 4 0x3cd2 0xfad2781d @@ -371846,6 +464808,8 @@ 1 0 0 +1 +1 4 0xdcb7 0x4b295630 @@ -371854,6 +464818,8 @@ 1 1 0 +4 +0 1 0x564c 0x7aa3ad6d @@ -371862,6 +464828,8 @@ 0 0 0 +1 +2 4 0x765a 0x6f80aa4e @@ -371870,6 +464838,8 @@ 0 0 0 +5 +4 4 0xcea7 0xdaec8d2e @@ -371878,6 +464848,8 @@ 0 0 0 +1 +5 2 0x26bf 0x2f07c202 @@ -371886,6 +464858,8 @@ 0 0 0 +0 +2 4 0x6a 0xe458a69 @@ -371895,6 +464869,8 @@ 1 0 2 +3 +2 0xd101 0xbd4afcdb 256 @@ -371902,6 +464878,8 @@ 0 0 0 +0 +5 1 0xbed6 0xd91e5d8 @@ -371910,6 +464888,8 @@ 1 0 0 +3 +0 1 0xbb31 0xf3d00c68 @@ -371918,6 +464898,8 @@ 0 0 0 +3 +2 1 0x2d65 0x57061068 @@ -371926,6 +464908,8 @@ 1 0 0 +2 +2 4 0x2fbc 0x84d91721 @@ -371934,6 +464918,8 @@ 0 0 0 +3 +1 2 0x51a3 0x80f278d6 @@ -371943,6 +464929,8 @@ 1 0 2 +2 +2 0xf821 0x351a0f43 256 @@ -371950,6 +464938,8 @@ 0 0 0 +4 +3 2 0x7aa 0xa1ada46a @@ -371958,6 +464948,8 @@ 1 0 0 +0 +0 3 0xa52d 0x4dfac356 @@ -371966,6 +464958,8 @@ 0 0 0 +0 +3 3 0x136d 0xd927c236 @@ -371974,6 +464968,8 @@ 0 0 0 +2 +2 1 0x9a6c 0x1dd11dbe @@ -371982,6 +464978,8 @@ 1 0 0 +2 +1 1 0x2b28 0xa76a619f @@ -371990,6 +464988,8 @@ 0 0 0 +0 +2 3 0x75ea 0x4236ae6 @@ -371999,6 +464999,8 @@ 1 0 1 +4 +1 0x5c3a 0x6a1d72b3 256 @@ -372006,6 +465008,8 @@ 1 0 0 +4 +5 3 0x21b8 0x4973e92c @@ -372014,6 +465018,8 @@ 0 0 0 +4 +0 2 0xeaa 0x45d1553a @@ -372023,6 +465029,8 @@ 0 0 4 +3 +4 0x2c1d 0x97caf761 256 @@ -372030,6 +465038,8 @@ 0 0 0 +0 +1 4 0x8d68 0xabce5948 @@ -372039,6 +465049,8 @@ 0 0 1 +0 +1 0x2186 0x6e046174 256 @@ -372046,6 +465058,8 @@ 0 0 0 +4 +5 3 0x5398 0x8ea4104 @@ -372054,6 +465068,8 @@ 1 1 0 +2 +0 4 0xfda3 0x120edb77 @@ -372062,6 +465078,8 @@ 1 0 0 +3 +2 4 0x4fac 0x621d2606 @@ -372071,6 +465089,8 @@ 0 0 1 +3 +1 0xa4e9 0xdba61bbb 256 @@ -372079,6 +465099,8 @@ 1 0 1 +2 +1 0x2f56 0x28b14581 256 @@ -372086,6 +465108,8 @@ 0 0 0 +1 +1 4 0xb4ec 0xaa6d194c @@ -372094,6 +465118,8 @@ 1 1 0 +3 +0 4 0x42da 0xc893f07b @@ -372102,6 +465128,8 @@ 1 0 0 +2 +1 3 0x3180 0xbfa013a6 @@ -372111,6 +465139,8 @@ 1 0 1 +4 +1 0xad9 0x2ede6a0b 256 @@ -372118,6 +465148,8 @@ 0 0 0 +5 +3 3 0x1bed 0x9dc6ca3d @@ -372126,6 +465158,8 @@ 1 0 0 +0 +1 1 0x8d03 0xd39c72c1 @@ -372134,6 +465168,8 @@ 0 0 0 +4 +3 1 0xa027 0x54b95334 @@ -372142,6 +465178,8 @@ 1 1 0 +3 +4 4 0xbe6c 0x33e1a974 @@ -372150,6 +465188,8 @@ 1 1 0 +0 +5 3 0x905b 0x8b64e16a @@ -372158,6 +465198,8 @@ 0 0 0 +1 +4 4 0x5da2 0x751c95e1 @@ -372166,6 +465208,8 @@ 0 0 0 +3 +2 1 0xa4c5 0xf0bfc20e @@ -372174,6 +465218,8 @@ 0 0 0 +0 +3 3 0xa9a1 0xb12dd4e0 @@ -372183,6 +465229,8 @@ 0 0 1 +2 +1 0x35f0 0x33e488f3 256 @@ -372190,6 +465238,8 @@ 1 1 0 +4 +2 2 0xf002 0x336b296a @@ -372198,6 +465248,8 @@ 0 0 0 +2 +2 1 0x3b01 0x5a58fbf6 @@ -372206,6 +465258,8 @@ 1 0 0 +0 +4 3 0x1c7 0x3a5930cf @@ -372214,6 +465268,8 @@ 1 1 0 +5 +2 1 0xcf93 0xfcbe8f9 @@ -372222,6 +465278,8 @@ 0 0 0 +2 +5 1 0x493 0x9d1db43f @@ -372230,6 +465288,8 @@ 0 0 0 +1 +1 3 0xad49 0xc4e6bcae @@ -372238,6 +465298,8 @@ 1 0 0 +1 +0 4 0x6c62 0x7832f7e3 @@ -372246,6 +465308,8 @@ 0 0 0 +3 +5 1 0xe776 0x1f34f55d @@ -372254,6 +465318,8 @@ 0 0 0 +1 +2 3 0xedbf 0x991120a8 @@ -372263,6 +465329,8 @@ 0 0 1 +4 +1 0x69d7 0x8af1fde4 256 @@ -372270,6 +465338,8 @@ 0 0 0 +4 +3 1 0x70bf 0x3cab63a0 @@ -372279,6 +465349,8 @@ 1 0 3 +0 +3 0xd0fb 0x1c060e29 256 @@ -372286,6 +465358,8 @@ 0 0 0 +2 +2 4 0x51c2 0xd2f3131d @@ -372294,6 +465368,8 @@ 0 0 0 +3 +2 1 0xd237 0xa500fbb2 @@ -372302,6 +465378,8 @@ 0 0 0 +3 +1 4 0x99ce 0x8221847e @@ -372310,6 +465388,8 @@ 1 0 0 +3 +0 4 0xa4fe 0x8d8fbf1 @@ -372318,6 +465398,8 @@ 1 0 0 +0 +5 3 0xeb69 0xf3973ae @@ -372326,6 +465408,8 @@ 0 0 0 +3 +2 2 0x7271 0xcb3bdbc @@ -372334,6 +465418,8 @@ 1 1 0 +0 +5 2 0x12b7 0xe5880eb1 @@ -372342,6 +465428,8 @@ 1 0 0 +4 +5 2 0x866d 0xe58d73cc @@ -372350,6 +465438,8 @@ 0 0 0 +1 +4 4 0x7e3b 0x6b32c3a @@ -372358,6 +465448,8 @@ 0 0 0 +5 +2 1 0x4f31 0x51266416 @@ -372366,6 +465458,8 @@ 0 0 0 +5 +0 1 0x6307 0x5cf28f60 @@ -372374,6 +465468,8 @@ 1 0 0 +4 +0 1 0x52b9 0xa2587a98 @@ -372382,6 +465478,8 @@ 1 0 0 +5 +2 1 0xacec 0xff50a825 @@ -372390,6 +465488,8 @@ 0 0 0 +4 +0 1 0xad7e 0xdd9a918f @@ -372398,6 +465498,8 @@ 0 0 0 +1 +2 3 0x57a9 0x9db649ce @@ -372406,6 +465508,8 @@ 0 0 0 +4 +1 3 0x58a2 0x9fff2b8e @@ -372414,6 +465518,8 @@ 0 0 0 +3 +2 4 0xee37 0x481d7338 @@ -372422,6 +465528,8 @@ 0 0 0 +0 +3 3 0xc25a 0x1e32c4a9 @@ -372431,6 +465539,8 @@ 1 0 1 +3 +1 0xd980 0x8c30a6d1 256 @@ -372438,6 +465548,8 @@ 1 0 0 +5 +4 3 0x603f 0x99d045aa @@ -372446,6 +465558,8 @@ 0 0 0 +1 +1 2 0x8e63 0x75f6d98d @@ -372454,6 +465568,8 @@ 0 0 0 +0 +0 4 0x88a 0x4de1e650 @@ -372462,6 +465578,8 @@ 0 0 0 +1 +0 2 0x10ca 0x19759aa8 @@ -372470,6 +465588,8 @@ 0 0 0 +1 +4 2 0x603f 0x74b66dfc @@ -372479,6 +465599,8 @@ 0 0 2 +5 +2 0xa5a5 0xda179ddc 256 @@ -372486,6 +465608,8 @@ 0 0 0 +5 +2 1 0xf3d1 0x88a0f924 @@ -372494,6 +465618,8 @@ 0 0 0 +0 +1 4 0x76e 0xa2621792 @@ -372502,6 +465628,8 @@ 1 0 0 +1 +3 2 0x26eb 0xb9b8f2e1 @@ -372510,6 +465638,8 @@ 0 0 0 +1 +3 3 0xbf5d 0xa8176f23 @@ -372519,6 +465649,8 @@ 0 0 1 +5 +1 0x577f 0x9fd7b90e 256 @@ -372526,6 +465658,8 @@ 0 0 0 +0 +2 4 0x29f2 0x54ad0e1f @@ -372534,6 +465668,8 @@ 1 0 0 +0 +4 1 0xfa33 0x47b7d81c @@ -372543,6 +465679,8 @@ 0 0 1 +3 +1 0xda04 0xb244b672 256 @@ -372550,6 +465688,8 @@ 1 0 0 +1 +2 4 0xee40 0xfd2003bd @@ -372558,6 +465698,8 @@ 1 0 0 +5 +3 4 0x990d 0x87679dbf @@ -372567,6 +465709,8 @@ 0 0 4 +2 +4 0x5c77 0x80fa0f03 256 @@ -372574,6 +465718,8 @@ 1 1 0 +4 +2 2 0x3672 0xe5835ac3 @@ -372582,6 +465728,8 @@ 1 1 0 +5 +3 2 0x3caa 0xb8f2d5a8 @@ -372590,6 +465738,8 @@ 1 1 0 +0 +0 1 0x50e8 0xda76af74 @@ -372599,6 +465749,8 @@ 0 0 3 +4 +3 0x38de 0xbb3a88af 256 @@ -372606,6 +465758,8 @@ 0 0 0 +2 +5 1 0x7297 0x763dbce3 @@ -372615,6 +465769,8 @@ 1 0 4 +4 +4 0x2496 0x914832e9 256 @@ -372623,6 +465779,8 @@ 0 0 1 +1 +1 0x40fd 0x3392163 256 @@ -372630,6 +465788,8 @@ 1 0 0 +0 +3 3 0x3075 0xc912788e @@ -372638,6 +465798,8 @@ 0 0 0 +3 +2 2 0xe9f4 0x18ad2305 @@ -372647,6 +465809,8 @@ 0 0 2 +3 +2 0x11dc 0xa44ee16a 256 @@ -372654,6 +465818,8 @@ 0 0 0 +0 +4 2 0x989b 0x3187d17a @@ -372662,6 +465828,8 @@ 1 0 0 +0 +4 4 0xd280 0x66472939 @@ -372670,6 +465838,8 @@ 1 1 0 +0 +1 2 0x32f5 0x7656c8e8 @@ -372678,6 +465848,8 @@ 0 0 0 +5 +2 4 0xebf0 0x99cb009f @@ -372686,6 +465858,8 @@ 0 0 0 +1 +2 3 0x66b5 0xb8165efc @@ -372695,6 +465869,8 @@ 0 0 2 +4 +2 0xf360 0xab559b56 256 @@ -372702,6 +465878,8 @@ 0 0 0 +0 +2 3 0x479b 0x45213124 @@ -372710,6 +465888,8 @@ 0 0 0 +3 +4 2 0x45b4 0x19c50eac @@ -372718,6 +465898,8 @@ 0 0 0 +3 +2 1 0x90cd 0x47ea2c18 @@ -372726,6 +465908,8 @@ 0 0 0 +0 +3 3 0xe11e 0xcab07709 @@ -372734,6 +465918,8 @@ 1 1 0 +5 +5 1 0xabc0 0xdf8d4cd9 @@ -372742,6 +465928,8 @@ 1 1 0 +5 +5 2 0x7ad5 0x8bded8d8 @@ -372750,6 +465938,8 @@ 1 1 0 +1 +3 4 0x2271 0x930665ac @@ -372759,6 +465949,8 @@ 0 0 3 +0 +3 0xb5dc 0xcbe95e2d 256 @@ -372766,6 +465958,8 @@ 1 0 0 +5 +3 1 0x1694 0xb689865 @@ -372774,6 +465968,8 @@ 1 1 0 +1 +1 3 0x1739 0x36ffac76 @@ -372783,6 +465979,8 @@ 0 0 4 +4 +4 0xf4a3 0xc48f2d54 256 @@ -372790,6 +465988,8 @@ 0 0 0 +4 +2 1 0x63e0 0x9cf95114 @@ -372798,6 +465998,8 @@ 0 0 0 +2 +0 1 0xe537 0x9cb04b28 @@ -372806,6 +466008,8 @@ 0 0 0 +3 +1 4 0x97c8 0x89a3adf7 @@ -372815,6 +466019,8 @@ 1 0 4 +1 +4 0xc10f 0xf4e5f90 256 @@ -372823,6 +466029,8 @@ 1 0 2 +2 +2 0x3496 0xf658a399 256 @@ -372831,6 +466039,8 @@ 0 0 2 +0 +2 0xab4d 0x69d1fc82 256 @@ -372839,6 +466049,8 @@ 0 0 4 +4 +4 0x3606 0xf8087bd4 256 @@ -372847,6 +466059,8 @@ 0 0 2 +0 +2 0x8d4d 0x88e25b5 256 @@ -372854,6 +466068,8 @@ 0 0 0 +5 +3 1 0x2805 0xe64d9764 @@ -372862,6 +466078,8 @@ 0 0 0 +2 +0 3 0xe9cb 0xf9b25839 @@ -372870,6 +466088,8 @@ 0 0 0 +0 +3 3 0x2a91 0xc14b75a5 @@ -372878,6 +466098,8 @@ 0 0 0 +2 +4 4 0xcb09 0x8f0afbcd @@ -372886,6 +466108,8 @@ 1 0 0 +3 +4 1 0x87fa 0x6e4bc407 @@ -372894,6 +466118,8 @@ 0 0 0 +1 +1 2 0xc39d 0x5210a7cd @@ -372902,6 +466128,8 @@ 0 0 0 +1 +3 3 0x5ba9 0xe54613bb @@ -372910,6 +466138,8 @@ 0 0 0 +5 +5 4 0xd53c 0x152c4c59 @@ -372918,6 +466148,8 @@ 1 0 0 +4 +2 1 0x7e8c 0xd7539ea @@ -372926,6 +466158,8 @@ 1 1 0 +0 +5 2 0xb4db 0xbc28de09 @@ -372934,6 +466168,8 @@ 1 0 0 +2 +2 4 0xc25b 0xef4d9355 @@ -372942,6 +466178,8 @@ 0 0 0 +2 +2 3 0x4831 0xdc497248 @@ -372950,6 +466188,8 @@ 0 0 0 +4 +2 2 0x1e70 0xa05cf0bd @@ -372959,6 +466199,8 @@ 1 0 1 +3 +1 0x6e3e 0xf0d6d401 256 @@ -372966,6 +466208,8 @@ 0 0 0 +5 +2 2 0x90d7 0xec0a94cf @@ -372975,6 +466219,8 @@ 1 0 1 +1 +1 0xc38a 0x3effa8cf 256 @@ -372982,6 +466228,8 @@ 1 0 0 +5 +3 2 0xe593 0x353e8d75 @@ -372990,6 +466238,8 @@ 0 0 0 +4 +1 3 0xf09c 0x5aac8d82 @@ -372998,6 +466248,8 @@ 0 0 0 +4 +5 2 0xcae0 0x39f2c63f @@ -373006,6 +466258,8 @@ 1 1 0 +3 +2 1 0xd36a 0x78bae51e @@ -373015,6 +466269,8 @@ 0 0 3 +5 +3 0xb712 0x5de49862 256 @@ -373022,6 +466278,8 @@ 0 0 0 +4 +3 1 0xb168 0x6afda226 @@ -373031,6 +466289,8 @@ 0 0 2 +5 +2 0x8314 0x8e0d9adf 256 @@ -373038,6 +466298,8 @@ 0 0 0 +3 +2 4 0xb2ed 0xae3ba055 @@ -373046,6 +466308,8 @@ 0 0 0 +1 +0 3 0x488 0xe6aa0c33 @@ -373055,6 +466319,8 @@ 0 0 3 +1 +3 0xf2f4 0x3792d288 256 @@ -373062,6 +466328,8 @@ 1 1 0 +2 +4 1 0x46e 0x352d84dc @@ -373070,6 +466338,8 @@ 1 0 0 +4 +3 2 0xc212 0x9f261845 @@ -373078,6 +466348,8 @@ 0 0 0 +5 +0 1 0xabd4 0xa8f1b479 @@ -373086,6 +466358,8 @@ 1 0 0 +1 +2 2 0xaed9 0x4690fc2 @@ -373095,6 +466369,8 @@ 0 0 1 +4 +1 0x4c6e 0x5112d975 256 @@ -373102,6 +466378,8 @@ 1 1 0 +5 +4 1 0x6ab9 0xd189b434 @@ -373110,6 +466388,8 @@ 0 0 0 +3 +5 1 0x9caf 0x26f223ba @@ -373118,6 +466398,8 @@ 0 0 0 +4 +3 3 0x4110 0xcad5fa5 @@ -373126,6 +466408,8 @@ 0 0 0 +0 +0 1 0xc9a 0xa34eac4c @@ -373134,6 +466418,8 @@ 0 0 0 +0 +0 3 0x8efc 0xd47cb6de @@ -373142,6 +466428,8 @@ 0 0 0 +0 +1 3 0x3dd4 0xb6feada3 @@ -373151,6 +466439,8 @@ 0 0 1 +3 +1 0x86e 0xebd54355 256 @@ -373158,6 +466448,8 @@ 0 0 0 +1 +5 2 0xcbe4 0x91229174 @@ -373166,6 +466458,8 @@ 1 0 0 +5 +4 3 0x93a0 0xd64cadab @@ -373174,6 +466468,8 @@ 1 0 0 +0 +0 1 0xf0ff 0xd1c865f8 @@ -373183,6 +466479,8 @@ 0 0 2 +4 +2 0xb81b 0xdf8a97b4 256 @@ -373190,6 +466488,8 @@ 0 0 0 +0 +4 1 0xa424 0x359857e0 @@ -373198,6 +466498,8 @@ 0 0 0 +1 +3 2 0x1a76 0xb42a79b7 @@ -373206,6 +466508,8 @@ 1 0 0 +3 +3 2 0xd38f 0x1a54f3b3 @@ -373215,6 +466519,8 @@ 0 0 2 +5 +2 0xb4d 0xb892e25a 256 @@ -373222,6 +466528,8 @@ 1 1 0 +3 +2 1 0x1fb9 0x8ecb552 @@ -373230,6 +466538,8 @@ 0 0 0 +0 +4 2 0xb82b 0xdbc6fdd3 @@ -373238,6 +466548,8 @@ 1 0 0 +1 +3 4 0xe993 0xc00dd628 @@ -373246,6 +466558,8 @@ 1 1 0 +0 +2 1 0x3dfc 0xbe2decfb @@ -373254,6 +466568,8 @@ 0 0 0 +2 +4 1 0x1f61 0x2d29b0a5 @@ -373263,6 +466579,8 @@ 0 0 1 +3 +1 0x412d 0x301396be 256 @@ -373271,6 +466589,8 @@ 0 0 4 +5 +4 0x9555 0x4b303142 256 @@ -373278,6 +466598,8 @@ 0 0 0 +2 +0 3 0xab4c 0x1bdf4bf6 @@ -373286,6 +466608,8 @@ 1 1 0 +1 +1 2 0x9e14 0x91d04bc1 @@ -373294,6 +466618,8 @@ 0 0 0 +0 +2 3 0xd541 0x549e46ae @@ -373303,6 +466629,8 @@ 0 0 2 +0 +2 0x766a 0x1871b5d2 256 @@ -373310,6 +466638,8 @@ 1 1 0 +5 +0 3 0xb64a 0x5d08475b @@ -373318,6 +466648,8 @@ 0 0 0 +2 +5 3 0x9aeb 0xfab80984 @@ -373327,6 +466659,8 @@ 1 0 1 +2 +1 0x137e 0xf3f8cd48 256 @@ -373334,6 +466668,8 @@ 1 0 0 +4 +3 3 0x5ec9 0x69435cbe @@ -373342,6 +466678,8 @@ 1 0 0 +3 +4 2 0x77be 0xf501bbff @@ -373350,6 +466688,8 @@ 0 0 0 +3 +3 4 0xb8b5 0xfb6dd615 @@ -373358,6 +466698,8 @@ 0 0 0 +3 +4 2 0x35b6 0xd73719e8 @@ -373366,6 +466708,8 @@ 0 0 0 +0 +3 1 0xef49 0x864e9ded @@ -373374,6 +466718,8 @@ 1 1 0 +4 +3 1 0xd564 0xad1e79dd @@ -373382,6 +466728,8 @@ 1 1 0 +4 +3 1 0x5a2e 0xa16c23ee @@ -373390,6 +466738,8 @@ 1 1 0 +5 +4 1 0xcecf 0x8b9aadc6 @@ -373398,6 +466748,8 @@ 0 0 0 +1 +3 2 0x35ab 0xcb0c7b18 @@ -373406,6 +466758,8 @@ 0 0 0 +1 +4 3 0x5d08 0x2d4f0360 @@ -373414,6 +466768,8 @@ 1 0 0 +0 +5 4 0xe02a 0xd7ebd86c @@ -373422,6 +466778,8 @@ 0 0 0 +3 +4 4 0xa1bc 0xbc30853e @@ -373430,6 +466788,8 @@ 1 1 0 +4 +0 1 0xa1f8 0x93d87a9 @@ -373438,6 +466798,8 @@ 1 1 0 +3 +5 2 0x164a 0x4bbed9a @@ -373446,6 +466808,8 @@ 0 0 0 +0 +4 3 0x4cdd 0x53eec8a3 @@ -373455,6 +466819,8 @@ 0 0 4 +4 +4 0xdd19 0x7802908 256 @@ -373462,6 +466828,8 @@ 1 1 0 +5 +1 3 0x3b5b 0xbf86a669 @@ -373470,6 +466838,8 @@ 0 0 0 +2 +3 4 0x2976 0x41228fe @@ -373479,6 +466849,8 @@ 0 0 2 +2 +2 0x3523 0xd2e6674e 256 @@ -373486,6 +466858,8 @@ 0 0 0 +5 +0 3 0x3ceb 0xac9a6b26 @@ -373494,6 +466868,8 @@ 0 0 0 +4 +2 3 0x3442 0xcc2056cc @@ -373502,6 +466878,8 @@ 1 0 0 +5 +1 2 0xf3ab 0xcb9a67ce @@ -373510,6 +466888,8 @@ 0 0 0 +1 +4 2 0xddca 0xce19c110 @@ -373518,6 +466898,8 @@ 1 1 0 +5 +0 3 0x8ca4 0xb2323575 @@ -373526,6 +466908,8 @@ 0 0 0 +1 +1 2 0x5600 0xa65e92f5 @@ -373534,6 +466918,8 @@ 1 0 0 +0 +0 2 0xfd81 0x6e3d3a9f @@ -373542,6 +466928,8 @@ 0 0 0 +3 +0 1 0x1fea 0xf8b15c3c @@ -373550,6 +466938,8 @@ 1 1 0 +4 +3 1 0x620b 0x6b9cc0c6 @@ -373559,6 +466949,8 @@ 1 0 3 +2 +3 0xd9b7 0xfd16ba04 256 @@ -373566,6 +466958,8 @@ 1 0 0 +3 +2 2 0x6a7e 0x94160807 @@ -373574,6 +466968,8 @@ 1 0 0 +2 +3 3 0xd8ee 0x70804ad5 @@ -373582,6 +466978,8 @@ 0 0 0 +2 +5 4 0xe58 0x76b6c076 @@ -373591,6 +466989,8 @@ 0 0 4 +5 +4 0x9a12 0xe7609ca 256 @@ -373598,6 +466998,8 @@ 1 1 0 +0 +1 4 0xbce5 0x274479e @@ -373606,6 +467008,8 @@ 0 0 0 +2 +4 1 0xce5f 0xe3970854 @@ -373614,6 +467018,8 @@ 1 1 0 +4 +0 1 0xae7e 0x6b77e50d @@ -373622,6 +467028,8 @@ 0 0 0 +4 +4 1 0x2164 0xd6f5ae15 @@ -373631,6 +467039,8 @@ 0 0 4 +3 +4 0x52dc 0x2860de11 256 @@ -373639,6 +467049,8 @@ 0 0 2 +5 +2 0x27b4 0x82f7de4c 256 @@ -373646,6 +467058,8 @@ 1 1 0 +1 +3 3 0xc19e 0x7606f0c3 @@ -373654,6 +467068,8 @@ 0 0 0 +4 +1 3 0xfb60 0xdcc289e1 @@ -373662,6 +467078,8 @@ 0 0 0 +0 +2 1 0x99b6 0xaac0036d @@ -373670,6 +467088,8 @@ 1 1 0 +3 +1 1 0x6f2 0x67a3ac44 @@ -373678,6 +467098,8 @@ 1 1 0 +0 +0 4 0x1cde 0xf7f4da8b @@ -373686,6 +467108,8 @@ 1 1 0 +3 +0 4 0x1f5f 0x53313ddd @@ -373694,6 +467118,8 @@ 1 0 0 +1 +1 4 0x1869 0x70db2bd8 @@ -373702,6 +467128,8 @@ 0 0 0 +0 +5 1 0xa36d 0xb09d0a90 @@ -373710,6 +467138,8 @@ 0 0 0 +2 +4 1 0x54ec 0x201578f0 @@ -373718,6 +467148,8 @@ 1 0 0 +3 +2 1 0x41c8 0xb56b1572 @@ -373726,6 +467158,8 @@ 0 0 0 +5 +2 4 0x458c 0xd6f5aceb @@ -373734,6 +467168,8 @@ 0 0 0 +1 +1 4 0x606f 0x58d2752e @@ -373742,6 +467178,8 @@ 1 1 0 +1 +5 3 0x2da0 0xbf59ea38 @@ -373751,6 +467189,8 @@ 0 0 2 +2 +2 0xc3d2 0xe91cbc9a 256 @@ -373759,6 +467199,8 @@ 0 0 2 +4 +2 0x463d 0x81a6bfa 256 @@ -373766,6 +467208,8 @@ 0 0 0 +5 +4 1 0x71a1 0x75e69406 @@ -373775,6 +467219,8 @@ 0 0 4 +5 +4 0xf5de 0xd1fd53e1 256 @@ -373782,6 +467228,8 @@ 1 0 0 +5 +5 3 0x72cd 0xa6f16480 @@ -373790,6 +467238,8 @@ 0 0 0 +4 +0 3 0xc84b 0x9f7da09b @@ -373799,6 +467249,8 @@ 0 0 2 +3 +2 0x600 0xa1e07627 256 @@ -373806,6 +467258,8 @@ 0 0 0 +5 +0 2 0xd9d0 0x5d299d88 @@ -373814,6 +467268,8 @@ 0 0 0 +3 +5 2 0x2ed9 0xabe7097c @@ -373823,6 +467279,8 @@ 1 0 1 +1 +1 0xf31 0x7bb8ece7 256 @@ -373830,6 +467288,8 @@ 0 0 0 +5 +3 1 0xf208 0xf8db81fc @@ -373838,6 +467298,8 @@ 0 0 0 +0 +3 2 0x26c4 0x440469e7 @@ -373846,6 +467308,8 @@ 1 0 0 +5 +3 3 0x490c 0xa07437c7 @@ -373854,6 +467318,8 @@ 0 0 0 +3 +3 2 0x49ae 0x3bb225a4 @@ -373862,6 +467328,8 @@ 0 0 0 +0 +3 2 0xcd7c 0xc276fb50 @@ -373870,6 +467338,8 @@ 1 0 0 +0 +2 3 0x9d32 0x44b99863 @@ -373878,6 +467348,8 @@ 0 0 0 +5 +5 3 0x9cb6 0x7af29732 @@ -373886,6 +467358,8 @@ 1 1 0 +3 +0 4 0x55eb 0x42d8be24 @@ -373894,6 +467368,8 @@ 0 0 0 +0 +3 3 0x62d6 0xc75a669d @@ -373902,6 +467378,8 @@ 1 0 0 +0 +1 3 0xf2b6 0x26b27366 @@ -373911,6 +467389,8 @@ 0 0 4 +2 +4 0xbde8 0x161cd73f 256 @@ -373918,6 +467398,8 @@ 0 0 0 +1 +4 2 0x96f3 0xb781dcfe @@ -373926,6 +467408,8 @@ 0 0 0 +0 +2 3 0x17d7 0x6f32e922 @@ -373934,6 +467418,8 @@ 0 0 0 +0 +0 2 0x974e 0xb491a60f @@ -373942,6 +467428,8 @@ 0 0 0 +4 +3 1 0x17ed 0x33aeea21 @@ -373950,6 +467438,8 @@ 0 0 0 +1 +5 3 0x7093 0x88786a6d @@ -373958,6 +467448,8 @@ 0 0 0 +3 +5 1 0x56de 0x561f50c @@ -373966,6 +467458,8 @@ 1 1 0 +1 +5 3 0x8b0f 0x3824387a @@ -373974,6 +467468,8 @@ 1 0 0 +1 +2 3 0x925 0x5826b4d6 @@ -373982,6 +467478,8 @@ 1 1 0 +0 +0 2 0xd25c 0xa17df6b6 @@ -373990,6 +467488,8 @@ 1 1 0 +0 +0 1 0x4e5a 0x890ecfe1 @@ -373999,6 +467499,8 @@ 1 0 4 +0 +4 0x5f8 0x2505a42 256 @@ -374006,6 +467508,8 @@ 1 0 0 +3 +3 2 0x74b3 0xaa7090b8 @@ -374014,6 +467518,8 @@ 0 0 0 +1 +0 2 0x7cef 0xfd909d59 @@ -374022,6 +467528,8 @@ 1 1 0 +5 +5 1 0x7f9d 0x9b612eee @@ -374030,6 +467538,8 @@ 0 0 0 +1 +3 4 0x2db6 0xb5f3c992 @@ -374038,6 +467548,8 @@ 1 0 0 +0 +5 2 0x209f 0x4d4f9eb1 @@ -374046,6 +467558,8 @@ 1 0 0 +3 +1 1 0xfcfa 0x680550fa @@ -374054,6 +467568,8 @@ 0 0 0 +0 +5 1 0x5806 0xa7c91aa @@ -374062,6 +467578,8 @@ 0 0 0 +5 +2 2 0xca11 0x8792f149 @@ -374070,6 +467588,8 @@ 1 1 0 +1 +1 2 0xd90d 0x2d2dafff @@ -374078,6 +467598,8 @@ 0 0 0 +0 +2 4 0x7723 0x624cb0ef @@ -374086,6 +467608,8 @@ 1 1 0 +5 +2 1 0xff0f 0x98888544 @@ -374094,6 +467618,8 @@ 0 0 0 +4 +1 1 0xfb3f 0x7a450825 @@ -374102,6 +467628,8 @@ 0 0 0 +1 +2 3 0x5b23 0x79903e59 @@ -374111,6 +467639,8 @@ 1 0 2 +3 +2 0x114e 0x8d922768 256 @@ -374119,6 +467649,8 @@ 0 0 3 +4 +3 0xa4e6 0x3f4b3929 256 @@ -374126,6 +467658,8 @@ 0 0 0 +5 +2 2 0xc6b8 0x7a2274e8 @@ -374134,6 +467668,8 @@ 0 0 0 +0 +1 1 0xbf64 0x2a866c2 @@ -374142,6 +467678,8 @@ 0 0 0 +2 +0 4 0xe334 0x21fb1e61 @@ -374150,6 +467688,8 @@ 0 0 0 +2 +1 4 0x8fd0 0x948eb135 @@ -374158,6 +467698,8 @@ 0 0 0 +5 +4 1 0x315a 0xfcf8062a @@ -374166,6 +467708,8 @@ 1 0 0 +0 +2 4 0x14ca 0xec49a9c3 @@ -374174,6 +467718,8 @@ 1 0 0 +1 +5 2 0xfc8c 0x4590a9c3 @@ -374182,6 +467728,8 @@ 1 1 0 +2 +3 3 0x4696 0xdada0dc2 @@ -374190,6 +467738,8 @@ 0 0 0 +0 +0 4 0x12e1 0x58d9d43c @@ -374198,6 +467748,8 @@ 1 1 0 +1 +1 3 0xdae1 0xf1ccd820 @@ -374206,6 +467758,8 @@ 0 0 0 +5 +0 4 0xbefd 0xd799214 @@ -374214,6 +467768,8 @@ 1 0 0 +0 +3 2 0xee2a 0xddca7484 @@ -374222,6 +467778,8 @@ 1 0 0 +1 +2 4 0x7e4c 0xd3bc0422 @@ -374230,6 +467788,8 @@ 0 0 0 +0 +2 1 0xb18d 0x11c9ae60 @@ -374238,6 +467798,8 @@ 1 1 0 +4 +0 2 0x36dc 0xa40e845d @@ -374247,6 +467809,8 @@ 0 0 2 +3 +2 0x555 0x45f7f677 256 @@ -374254,6 +467818,8 @@ 0 0 0 +3 +4 1 0xf8a0 0xff0c475b @@ -374262,6 +467828,8 @@ 1 1 0 +2 +0 4 0x5b06 0x4657e15c @@ -374271,6 +467839,8 @@ 1 0 1 +5 +1 0x7e1b 0x7cce8a4c 256 @@ -374278,6 +467848,8 @@ 0 0 0 +0 +5 1 0x13ef 0x558ca231 @@ -374286,6 +467858,8 @@ 1 0 0 +4 +2 3 0x7176 0x1a37f53 @@ -374294,6 +467868,8 @@ 0 0 0 +5 +3 2 0x71b3 0xa7f7fc5d @@ -374302,6 +467878,8 @@ 0 0 0 +1 +1 4 0x7f9d 0xac80d180 @@ -374310,6 +467888,8 @@ 1 0 0 +5 +5 4 0xfa6c 0x95a512d2 @@ -374318,6 +467898,8 @@ 1 0 0 +0 +1 3 0xd258 0x7f2af551 @@ -374326,6 +467908,8 @@ 1 1 0 +1 +0 4 0x69a 0x88e876b7 @@ -374334,6 +467918,8 @@ 0 0 0 +0 +2 4 0x317a 0xbdf31d8b @@ -374342,6 +467928,8 @@ 1 0 0 +1 +3 2 0x62b5 0x5cabd9eb @@ -374350,6 +467938,8 @@ 0 0 0 +5 +3 4 0x97dd 0xcec8b706 @@ -374358,6 +467948,8 @@ 1 1 0 +2 +3 3 0xa752 0x8a7470bb @@ -374366,6 +467958,8 @@ 0 0 0 +4 +1 2 0xfc1e 0xbc962811 @@ -374374,6 +467968,8 @@ 1 1 0 +4 +2 2 0x81b3 0xa9bc0aa8 @@ -374383,6 +467979,8 @@ 0 0 3 +3 +3 0x35c7 0xa300aecf 256 @@ -374391,6 +467989,8 @@ 0 0 4 +1 +4 0x30d8 0x575397c8 256 @@ -374398,6 +467998,8 @@ 0 0 0 +1 +2 4 0x6856 0x93538c85 @@ -374407,6 +468009,8 @@ 0 0 4 +3 +4 0xd8a6 0xfa38f9c3 256 @@ -374414,6 +468018,8 @@ 0 0 0 +4 +5 1 0x16a7 0xf855deca @@ -374422,6 +468028,8 @@ 1 1 0 +5 +2 4 0x3181 0xddf29cef @@ -374431,6 +468039,8 @@ 1 0 1 +3 +1 0x3a5c 0x30f52ff8 256 @@ -374438,6 +468048,8 @@ 1 0 0 +0 +3 4 0x9ae8 0xee3db5f @@ -374447,6 +468059,8 @@ 0 0 2 +0 +2 0x73bd 0xd2ce1b5f 256 @@ -374454,6 +468068,8 @@ 0 0 0 +2 +1 4 0xa590 0x5c7d3f56 @@ -374462,6 +468078,8 @@ 1 0 0 +1 +0 3 0x3a65 0x78631a6d @@ -374470,6 +468088,8 @@ 0 0 0 +4 +5 1 0x787a 0x265532f4 @@ -374478,6 +468098,8 @@ 0 0 0 +1 +3 3 0xe720 0xa1034581 @@ -374486,6 +468108,8 @@ 1 1 0 +3 +4 1 0x7929 0x8a7b4b7e @@ -374494,6 +468118,8 @@ 0 0 0 +2 +4 4 0xfbda 0xf2674e64 @@ -374502,6 +468128,8 @@ 1 0 0 +3 +1 2 0x6383 0xf25efeec @@ -374510,6 +468138,8 @@ 0 0 0 +2 +4 4 0xd817 0xe8476a7 @@ -374518,6 +468148,8 @@ 1 1 0 +2 +1 3 0xd273 0x53cb2243 @@ -374526,6 +468158,8 @@ 1 1 0 +5 +2 2 0xb530 0x2d3506da @@ -374534,6 +468168,8 @@ 1 1 0 +5 +1 4 0x44cb 0x385cc415 @@ -374542,6 +468178,8 @@ 1 1 0 +0 +1 1 0x11db 0xd879528c @@ -374550,6 +468188,8 @@ 1 0 0 +5 +5 2 0x47d3 0x67386b52 @@ -374559,6 +468199,8 @@ 0 0 1 +1 +1 0xa031 0x93b46837 256 @@ -374566,6 +468208,8 @@ 1 0 0 +5 +3 3 0x896a 0x3c0aa79d @@ -374574,6 +468218,8 @@ 0 0 0 +0 +1 1 0xbd28 0x344e5f72 @@ -374583,6 +468229,8 @@ 0 0 1 +5 +1 0x89c1 0x26ed22b3 256 @@ -374590,6 +468238,8 @@ 0 0 0 +1 +4 4 0xdd3e 0xaf9c20d0 @@ -374598,6 +468248,8 @@ 0 0 0 +5 +0 4 0xc0e9 0x59bba254 @@ -374606,6 +468258,8 @@ 1 1 0 +1 +0 3 0x5cad 0x886deb3b @@ -374615,6 +468269,8 @@ 0 0 2 +4 +2 0x723c 0x49e975d1 256 @@ -374623,6 +468279,8 @@ 0 0 2 +2 +2 0xd5d 0x71c57b33 256 @@ -374630,6 +468288,8 @@ 0 0 0 +3 +3 4 0xeafb 0x2aa8d9d8 @@ -374638,6 +468298,8 @@ 0 0 0 +0 +5 3 0x3b80 0xc9f9e607 @@ -374646,6 +468308,8 @@ 0 0 0 +4 +1 3 0x56c 0x42e6131e @@ -374654,6 +468318,8 @@ 0 0 0 +1 +2 2 0x403a 0x9c6ed37a @@ -374662,6 +468328,8 @@ 0 0 0 +0 +5 1 0xca12 0xebc82e9c @@ -374670,6 +468338,8 @@ 1 0 0 +2 +2 4 0x2e4e 0x2e6600f6 @@ -374678,6 +468348,8 @@ 0 0 0 +3 +1 2 0xb2f0 0x444fd4cd @@ -374687,6 +468359,8 @@ 0 0 1 +2 +1 0x405c 0x69eb1056 256 @@ -374694,6 +468368,8 @@ 1 0 0 +3 +4 2 0x2bbf 0xa98ffc34 @@ -374703,6 +468379,8 @@ 0 0 2 +5 +2 0x17cf 0x1e8dbf71 256 @@ -374710,6 +468388,8 @@ 1 1 0 +3 +1 2 0x6697 0xe9b7419b @@ -374718,6 +468398,8 @@ 0 0 0 +3 +4 2 0xb532 0x15781cbb @@ -374726,6 +468408,8 @@ 0 0 0 +5 +2 3 0x5de3 0xf744b277 @@ -374734,6 +468418,8 @@ 1 1 0 +1 +0 3 0xb911 0xf2fe9547 @@ -374742,6 +468428,8 @@ 0 0 0 +2 +0 1 0x405d 0x9265b2cf @@ -374750,6 +468438,8 @@ 0 0 0 +5 +4 1 0x5952 0x6e625039 @@ -374758,6 +468448,8 @@ 0 0 0 +2 +1 3 0x1433 0xb1772ecc @@ -374766,6 +468458,8 @@ 1 0 0 +0 +0 2 0xe71a 0x1f4a52d9 @@ -374775,6 +468469,8 @@ 0 0 2 +2 +2 0x76e9 0xdcd54595 256 @@ -374782,6 +468478,8 @@ 1 0 0 +0 +0 2 0x4f26 0xf8523df7 @@ -374790,6 +468488,8 @@ 1 0 0 +5 +2 4 0xcd70 0x82835303 @@ -374798,6 +468498,8 @@ 0 0 0 +5 +4 4 0x2c0b 0xcd21aa20 @@ -374806,6 +468508,8 @@ 0 0 0 +4 +4 1 0xcfd1 0x6aec818a @@ -374814,6 +468518,8 @@ 1 1 0 +4 +2 3 0xc648 0x12e58b53 @@ -374822,6 +468528,8 @@ 0 0 0 +5 +4 1 0x9c27 0x2496e041 @@ -374830,6 +468538,8 @@ 1 0 0 +2 +1 3 0x6626 0xb75f1fab @@ -374839,6 +468549,8 @@ 1 0 1 +3 +1 0x23f2 0x24de8600 256 @@ -374846,6 +468558,8 @@ 0 0 0 +0 +4 1 0xf7f8 0x1fb747e5 @@ -374854,6 +468568,8 @@ 0 0 0 +0 +1 3 0xb27f 0xb5462798 @@ -374862,6 +468578,8 @@ 0 0 0 +0 +2 1 0x9927 0x86a562d7 @@ -374870,6 +468588,8 @@ 0 0 0 +1 +2 4 0x1d24 0xe4c8910 @@ -374878,6 +468598,8 @@ 1 0 0 +3 +2 2 0xa139 0xa78ccac6 @@ -374886,6 +468608,8 @@ 0 0 0 +1 +2 2 0xfac8 0xe71252e7 @@ -374894,6 +468618,8 @@ 1 0 0 +4 +4 3 0xb799 0x26a43931 @@ -374902,6 +468628,8 @@ 1 0 0 +5 +2 1 0xc8f6 0x86bd6ee1 @@ -374910,6 +468638,8 @@ 0 0 0 +5 +2 4 0xa282 0xe1e48336 @@ -374918,6 +468648,8 @@ 0 0 0 +5 +3 2 0x3ffb 0x9c0fa783 @@ -374926,6 +468658,8 @@ 1 0 0 +4 +4 2 0xe33a 0xe54baff7 @@ -374934,6 +468668,8 @@ 1 0 0 +1 +3 3 0x7652 0xdbc06ad8 @@ -374942,6 +468678,8 @@ 0 0 0 +2 +2 4 0xf4ee 0x8b030928 @@ -374950,6 +468688,8 @@ 1 0 0 +2 +0 3 0x16d5 0x9dc88d52 @@ -374958,6 +468698,8 @@ 1 0 0 +3 +0 4 0x3b2b 0xcbc31311 @@ -374966,6 +468708,8 @@ 0 0 0 +5 +3 1 0x200f 0xb2493f8b @@ -374974,6 +468718,8 @@ 1 0 0 +4 +3 2 0x4119 0x991a3712 @@ -374983,6 +468729,8 @@ 0 0 2 +4 +2 0xc64f 0xc6593e5b 256 @@ -374990,6 +468738,8 @@ 1 0 0 +1 +5 3 0x858a 0xb83ca6ad @@ -374998,6 +468748,8 @@ 0 0 0 +1 +1 4 0x6b3e 0x129c6387 @@ -375007,6 +468759,8 @@ 0 0 2 +4 +2 0xe4 0x28dca155 256 @@ -375014,6 +468768,8 @@ 1 0 0 +1 +1 3 0x31c1 0x5be0a639 @@ -375022,6 +468778,8 @@ 1 0 0 +0 +1 1 0x3518 0xf2edb32d @@ -375030,6 +468788,8 @@ 0 0 0 +2 +4 1 0x860 0xc1caa48b @@ -375038,6 +468798,8 @@ 0 0 0 +2 +1 1 0x7960 0x45864fd4 @@ -375046,6 +468808,8 @@ 0 0 0 +1 +2 4 0x1a21 0xc5be38f4 @@ -375055,6 +468819,8 @@ 0 0 3 +4 +3 0xd7e7 0x94646daf 256 @@ -375063,6 +468829,8 @@ 0 0 2 +5 +2 0xacb3 0x596a8ba9 256 @@ -375070,6 +468838,8 @@ 0 0 0 +1 +0 4 0xf30e 0xba1e707a @@ -375078,6 +468848,8 @@ 0 0 0 +0 +4 1 0xab9c 0xb01f37e5 @@ -375086,6 +468858,8 @@ 1 1 0 +5 +3 1 0x8086 0xed50ae3e @@ -375094,6 +468868,8 @@ 0 0 0 +4 +4 2 0x4f59 0x9e395774 @@ -375102,6 +468878,8 @@ 0 0 0 +2 +4 4 0xc6fb 0x4c476c10 @@ -375110,6 +468888,8 @@ 0 0 0 +0 +2 2 0x782c 0x7553edbf @@ -375118,6 +468898,8 @@ 1 1 0 +5 +4 1 0x6438 0x93980562 @@ -375126,6 +468908,8 @@ 1 0 0 +0 +4 4 0x2faa 0x3a5c378f @@ -375135,6 +468919,8 @@ 0 0 4 +2 +4 0x440b 0x9b5c8f14 256 @@ -375142,6 +468928,8 @@ 0 0 0 +0 +2 3 0x733 0x27431973 @@ -375150,6 +468938,8 @@ 0 0 0 +5 +0 2 0xc1ed 0xe119888d @@ -375158,6 +468948,8 @@ 0 0 0 +1 +4 4 0x1ec8 0x317bef79 @@ -375166,6 +468958,8 @@ 1 0 0 +3 +5 2 0xa841 0xdfcd2347 @@ -375175,6 +468969,8 @@ 0 0 2 +1 +2 0xe850 0x9692c214 256 @@ -375183,6 +468979,8 @@ 0 0 2 +5 +2 0xbc5d 0xd8b83508 256 @@ -375190,6 +468988,8 @@ 1 1 0 +0 +2 1 0x497c 0x411a0744 @@ -375198,6 +468998,8 @@ 1 0 0 +3 +0 1 0x9434 0x84f6a1c4 @@ -375206,6 +469008,8 @@ 0 0 0 +0 +5 3 0x2996 0x4dfc369e @@ -375214,6 +469018,8 @@ 0 0 0 +0 +3 4 0xfa3b 0x93a60035 @@ -375222,6 +469028,8 @@ 1 1 0 +4 +5 1 0xbaf0 0x216d3685 @@ -375230,6 +469038,8 @@ 1 1 0 +1 +2 2 0x45ef 0xae682d48 @@ -375238,6 +469048,8 @@ 1 1 0 +0 +1 2 0xa451 0x2c50920c @@ -375246,6 +469058,8 @@ 0 0 0 +2 +3 1 0x3cbb 0xc104747b @@ -375254,6 +469068,8 @@ 0 0 0 +5 +1 4 0x6b5e 0xd45321fd @@ -375263,6 +469079,8 @@ 0 0 2 +5 +2 0x64d7 0x5f119304 256 @@ -375270,6 +469088,8 @@ 1 1 0 +2 +3 1 0x107b 0x9828c323 @@ -375278,6 +469098,8 @@ 1 0 0 +3 +4 2 0xd403 0x337b1f20 @@ -375287,6 +469109,8 @@ 1 0 3 +0 +3 0x1aa8 0xb6b0f0c0 256 @@ -375294,6 +469118,8 @@ 1 1 0 +1 +3 3 0x8c98 0xd693579c @@ -375303,6 +469129,8 @@ 0 0 2 +3 +2 0xe3e0 0x5ab60ec0 256 @@ -375310,6 +469138,8 @@ 0 0 0 +0 +3 1 0xd48c 0xfccc847b @@ -375318,6 +469148,8 @@ 0 0 0 +4 +2 1 0x57b5 0x662d2758 @@ -375326,6 +469158,8 @@ 1 1 0 +3 +0 4 0xfd0 0xfa05348a @@ -375334,6 +469168,8 @@ 1 0 0 +4 +0 2 0xcf22 0xf92a33c0 @@ -375342,6 +469178,8 @@ 0 0 0 +5 +1 2 0xaecb 0xed02a1fd @@ -375350,6 +469188,8 @@ 0 0 0 +3 +1 4 0xb48e 0x1132ffc9 @@ -375358,6 +469198,8 @@ 1 0 0 +3 +2 2 0x5625 0xec30c138 @@ -375366,6 +469208,8 @@ 0 0 0 +5 +5 4 0x1cf8 0x666b8332 @@ -375374,6 +469218,8 @@ 0 0 0 +0 +4 2 0x3202 0x83b6dfac @@ -375382,6 +469228,8 @@ 1 0 0 +4 +0 2 0x77cc 0xd80f0876 @@ -375390,6 +469238,8 @@ 0 0 0 +0 +1 1 0x6d96 0x900be65e @@ -375398,6 +469248,8 @@ 1 0 0 +5 +4 1 0x39f3 0xc9d23a51 @@ -375406,6 +469258,8 @@ 0 0 0 +2 +5 4 0xc9e5 0x6583ccdf @@ -375415,6 +469269,8 @@ 0 0 4 +0 +4 0xf324 0x6e73632e 256 @@ -375422,6 +469278,8 @@ 1 0 0 +5 +1 3 0xcd14 0xb8b3532c @@ -375430,6 +469288,8 @@ 1 1 0 +0 +4 2 0xc15f 0xcac7dc2b @@ -375439,6 +469299,8 @@ 0 0 4 +4 +4 0x6801 0xcd4004e7 256 @@ -375446,6 +469308,8 @@ 1 1 0 +0 +1 2 0xe864 0x2f9969d2 @@ -375454,6 +469318,8 @@ 1 0 0 +5 +1 2 0x5bbc 0x3f6af380 @@ -375462,6 +469328,8 @@ 0 0 0 +4 +1 2 0x7c8e 0xbd7dbba9 @@ -375471,6 +469339,8 @@ 0 0 4 +4 +4 0x77f5 0xced47f45 256 @@ -375478,6 +469348,8 @@ 1 1 0 +0 +2 2 0xcc44 0x53d16ec9 @@ -375486,6 +469358,8 @@ 0 0 0 +2 +3 1 0x66db 0xedb016ae @@ -375494,6 +469368,8 @@ 0 0 0 +5 +5 2 0xf3ef 0x9106e82e @@ -375502,6 +469378,8 @@ 0 0 0 +3 +0 1 0x7bb 0x85300a03 @@ -375510,6 +469388,8 @@ 1 0 0 +3 +0 4 0x1ac0 0xae64526f @@ -375518,6 +469398,8 @@ 0 0 0 +2 +1 4 0xb65e 0x2c1da2ef @@ -375526,6 +469408,8 @@ 0 0 0 +2 +0 1 0x53c9 0xf72d60c2 @@ -375535,6 +469419,8 @@ 0 0 4 +3 +4 0x6a79 0x6378f22b 256 @@ -375542,6 +469428,8 @@ 1 0 0 +0 +4 2 0xa6c4 0xe784ec3f @@ -375550,6 +469438,8 @@ 1 0 0 +3 +0 4 0x1d7 0x286dbdda @@ -375558,6 +469448,8 @@ 1 0 0 +5 +4 2 0x2fb4 0xdfd310c8 @@ -375566,6 +469458,8 @@ 0 0 0 +5 +5 2 0x1b0 0xe06f5963 @@ -375574,6 +469468,8 @@ 0 0 0 +3 +0 2 0x3b51 0xbf0be1a5 @@ -375582,6 +469478,8 @@ 1 1 0 +0 +2 3 0x7910 0x4c81d405 @@ -375591,6 +469489,8 @@ 1 0 4 +1 +4 0x2d7d 0x4bf226eb 256 @@ -375599,6 +469499,8 @@ 0 0 3 +5 +3 0x7498 0x3671e08c 256 @@ -375606,6 +469508,8 @@ 1 0 0 +0 +4 4 0x9b3b 0x921f32fd @@ -375614,6 +469518,8 @@ 0 0 0 +1 +3 3 0xc1b8 0xbc9e5f97 @@ -375622,6 +469528,8 @@ 0 0 0 +1 +5 3 0x1877 0x9d45f112 @@ -375630,6 +469538,8 @@ 0 0 0 +0 +5 1 0x7cbd 0xd2a2ce3b @@ -375638,6 +469548,8 @@ 0 0 0 +2 +3 1 0x719d 0x230b58df @@ -375646,6 +469558,8 @@ 1 1 0 +1 +0 4 0x2359 0xfbd35ec9 @@ -375654,6 +469568,8 @@ 0 0 0 +3 +3 1 0xe0b8 0xfe076cc6 @@ -375662,6 +469578,8 @@ 0 0 0 +3 +0 4 0x552c 0xaade1b5e @@ -375670,6 +469588,8 @@ 0 0 0 +2 +4 3 0x7d99 0xb76c7969 @@ -375678,6 +469598,8 @@ 1 0 0 +0 +4 1 0x2da4 0xcb68cb7e @@ -375686,6 +469608,8 @@ 1 1 0 +0 +2 2 0xd56b 0xd765b57a @@ -375695,6 +469619,8 @@ 0 0 1 +4 +1 0xe1fd 0x3e93c1a2 256 @@ -375702,6 +469628,8 @@ 1 0 0 +0 +3 4 0x996f 0x2799ae8e @@ -375710,6 +469638,8 @@ 0 0 0 +5 +4 2 0xea5b 0x62144ec0 @@ -375719,6 +469649,8 @@ 0 0 2 +3 +2 0x8d1 0x8e3b48e6 256 @@ -375727,6 +469659,8 @@ 0 0 4 +0 +4 0x2428 0xd56a7034 256 @@ -375734,6 +469668,8 @@ 1 1 0 +1 +2 2 0x47e6 0xa66d3446 @@ -375742,6 +469678,8 @@ 1 1 0 +5 +5 2 0xd95f 0xeafb3c9a @@ -375750,6 +469688,8 @@ 0 0 0 +2 +4 3 0x9689 0x182f0c34 @@ -375758,6 +469698,8 @@ 0 0 0 +0 +0 2 0x2346 0xb601deb3 @@ -375767,6 +469709,8 @@ 0 0 4 +4 +4 0xf5f2 0x3623b8d9 256 @@ -375774,6 +469718,8 @@ 1 1 0 +2 +1 4 0xd79c 0x4c1d32e2 @@ -375782,6 +469728,8 @@ 1 1 0 +2 +2 3 0x34ec 0xf9268414 @@ -375790,6 +469738,8 @@ 1 1 0 +3 +5 1 0x8e50 0x9d05de1a @@ -375798,6 +469748,8 @@ 0 0 0 +5 +3 4 0x1695 0x8b58df86 @@ -375806,6 +469758,8 @@ 1 0 0 +5 +5 3 0xc2e 0xa89ad945 @@ -375814,6 +469768,8 @@ 1 1 0 +1 +5 2 0xc5b6 0x46e590e4 @@ -375822,6 +469778,8 @@ 1 0 0 +4 +0 2 0xe3bd 0xc812d63c @@ -375831,6 +469789,8 @@ 0 0 3 +5 +3 0x9539 0x8ccde7f2 256 @@ -375838,6 +469798,8 @@ 1 0 0 +5 +2 2 0xe7fa 0xbadfad6a @@ -375846,6 +469808,8 @@ 1 1 0 +0 +5 2 0xa807 0x53be6793 @@ -375854,6 +469818,8 @@ 1 1 0 +0 +0 3 0xba31 0x308f3458 @@ -375862,6 +469828,8 @@ 1 1 0 +5 +3 1 0x5dd9 0xecd4d120 @@ -375870,6 +469838,8 @@ 0 0 0 +4 +3 1 0x1494 0xc59b4fc8 @@ -375878,6 +469848,8 @@ 1 1 0 +0 +4 3 0x1b8 0xa044781c @@ -375886,6 +469858,8 @@ 0 0 0 +3 +0 2 0xb5fa 0x26a21f77 @@ -375894,6 +469868,8 @@ 0 0 0 +5 +2 2 0xf762 0x35353faf @@ -375903,6 +469879,8 @@ 1 0 3 +5 +3 0xe2b9 0x3da8f9fb 256 @@ -375910,6 +469888,8 @@ 1 1 0 +4 +0 3 0xf5ed 0xcd6d6f84 @@ -375918,6 +469898,8 @@ 1 1 0 +0 +3 3 0xe13a 0x63aa6004 @@ -375926,6 +469908,8 @@ 0 0 0 +2 +4 1 0xe6b8 0xe9bc821d @@ -375934,6 +469918,8 @@ 0 0 0 +3 +5 1 0xe01d 0x487915da @@ -375943,6 +469929,8 @@ 1 0 4 +3 +4 0x8d5 0xada9b8f 256 @@ -375951,6 +469939,8 @@ 0 0 2 +1 +2 0x5642 0x6fa95bc0 256 @@ -375958,6 +469948,8 @@ 1 0 0 +4 +4 1 0xff52 0xf549c3a @@ -375966,6 +469958,8 @@ 0 0 0 +4 +3 1 0x74b4 0x3e72c774 @@ -375974,6 +469968,8 @@ 1 0 0 +3 +3 2 0x7d7b 0x452facd2 @@ -375982,6 +469978,8 @@ 1 1 0 +2 +4 1 0x90ad 0x6fbab42a @@ -375990,6 +469988,8 @@ 1 1 0 +1 +4 3 0x8158 0xa40474e4 @@ -375998,6 +469998,8 @@ 1 1 0 +5 +4 2 0xaf15 0x994294a6 @@ -376006,6 +470008,8 @@ 0 0 0 +1 +3 4 0x7dde 0x9733029d @@ -376015,6 +470019,8 @@ 0 0 2 +2 +2 0xbc51 0x518ee354 256 @@ -376022,6 +470028,8 @@ 0 0 0 +3 +3 4 0xd50b 0x8a6c42fd @@ -376030,6 +470038,8 @@ 0 0 0 +0 +0 2 0xc3a8 0x9206c9c1 @@ -376038,6 +470048,8 @@ 1 0 0 +0 +5 1 0xced0 0xf8c1e641 @@ -376046,6 +470058,8 @@ 1 0 0 +0 +5 4 0x21e8 0xcbb5c75d @@ -376054,6 +470068,8 @@ 1 0 0 +2 +4 4 0xda95 0x363af5e7 @@ -376062,6 +470078,8 @@ 0 0 0 +4 +5 2 0xf197 0xfc52c594 @@ -376070,6 +470088,8 @@ 0 0 0 +2 +2 4 0x73ae 0x51f2ddba @@ -376078,6 +470098,8 @@ 1 0 0 +1 +3 3 0xd7cb 0xa8de77c3 @@ -376087,6 +470109,8 @@ 0 0 3 +2 +3 0xf9d5 0xb74f7295 256 @@ -376094,6 +470118,8 @@ 1 0 0 +5 +5 4 0x365 0x4912f7a @@ -376102,6 +470128,8 @@ 0 0 0 +5 +2 3 0x89b6 0x3bf337bb @@ -376110,6 +470138,8 @@ 1 0 0 +5 +2 3 0x44e0 0x8b0db086 @@ -376118,6 +470148,8 @@ 1 1 0 +3 +4 4 0x95be 0xf99f8211 @@ -376126,6 +470158,8 @@ 0 0 0 +2 +1 4 0x9107 0xd4a12106 @@ -376134,6 +470168,8 @@ 0 0 0 +0 +0 3 0x7d35 0xd83021a5 @@ -376143,6 +470179,8 @@ 0 0 2 +5 +2 0xf8d8 0x9d24f46a 256 @@ -376150,6 +470188,8 @@ 0 0 0 +1 +1 2 0xd39a 0x57e2cc47 @@ -376158,6 +470198,8 @@ 0 0 0 +3 +1 2 0xf2f5 0xc0dfb75b @@ -376167,6 +470209,8 @@ 0 0 2 +1 +2 0x7f79 0x235449b9 256 @@ -376174,6 +470218,8 @@ 0 0 0 +5 +0 1 0x5bb3 0x1abee12a @@ -376182,6 +470228,8 @@ 0 0 0 +5 +1 3 0x88d4 0x2ee11722 @@ -376190,6 +470238,8 @@ 0 0 0 +3 +5 4 0xa010 0x449366e6 @@ -376198,6 +470248,8 @@ 0 0 0 +0 +1 3 0x3787 0xd24698e5 @@ -376206,6 +470258,8 @@ 0 0 0 +0 +2 1 0x752f 0x99c1b0c4 @@ -376214,6 +470268,8 @@ 1 0 0 +0 +5 3 0x886d 0x813c2368 @@ -376222,6 +470278,8 @@ 0 0 0 +0 +0 1 0xe114 0x96fb6075 @@ -376230,6 +470288,8 @@ 1 0 0 +1 +5 2 0x1f89 0x51e8004e @@ -376238,6 +470298,8 @@ 0 0 0 +1 +2 3 0xb196 0xafa3c3db @@ -376246,6 +470308,8 @@ 1 0 0 +1 +2 2 0xeb26 0xbe5467b3 @@ -376254,6 +470318,8 @@ 1 1 0 +2 +2 1 0x7f49 0x7b5fac0b @@ -376263,6 +470329,8 @@ 0 0 4 +5 +4 0x2f27 0xd3f65086 256 @@ -376271,6 +470339,8 @@ 0 0 4 +0 +4 0x5455 0x9b598770 256 @@ -376278,6 +470348,8 @@ 1 1 0 +0 +5 3 0xd973 0x587ec6a8 @@ -376286,6 +470358,8 @@ 0 0 0 +2 +5 3 0xf3a0 0x1ead9bc @@ -376294,6 +470368,8 @@ 1 1 0 +2 +5 4 0x9432 0xa012b8ae @@ -376302,6 +470378,8 @@ 0 0 0 +4 +1 3 0x9489 0xcb5ae267 @@ -376310,6 +470388,8 @@ 1 1 0 +2 +2 4 0xd32c 0xe40e4a2c @@ -376319,6 +470399,8 @@ 0 0 3 +2 +3 0xd75c 0x19248c0a 256 @@ -376326,6 +470408,8 @@ 1 1 0 +0 +1 2 0x65c6 0x84dcd409 @@ -376334,6 +470418,8 @@ 1 1 0 +1 +1 4 0xfdaa 0x9c30b466 @@ -376342,6 +470428,8 @@ 0 0 0 +0 +2 3 0xbede 0xdc0ed3db @@ -376350,6 +470438,8 @@ 0 0 0 +1 +3 3 0x2217 0x8a83585b @@ -376358,6 +470448,8 @@ 1 1 0 +2 +0 3 0xd474 0xac493336 @@ -376366,6 +470458,8 @@ 0 0 0 +0 +0 3 0x6e02 0x6aa5e776 @@ -376375,6 +470469,8 @@ 0 0 4 +4 +4 0xfb9c 0x84e90df6 256 @@ -376382,6 +470478,8 @@ 0 0 0 +0 +1 4 0xdae7 0xdb614702 @@ -376390,6 +470488,8 @@ 0 0 0 +5 +1 1 0x8fb0 0x5868b998 @@ -376399,6 +470499,8 @@ 0 0 2 +5 +2 0x2a81 0xd08226fa 256 @@ -376406,6 +470508,8 @@ 0 0 0 +5 +3 3 0xc25a 0xe606be9b @@ -376414,6 +470518,8 @@ 0 0 0 +0 +0 1 0xc612 0xc85b808d @@ -376422,6 +470528,8 @@ 0 0 0 +0 +3 2 0xfd34 0x4628c320 @@ -376431,6 +470539,8 @@ 0 0 2 +5 +2 0xa12c 0xd113e7e6 256 @@ -376439,6 +470549,8 @@ 0 0 4 +4 +4 0x431 0x64ba4678 256 @@ -376446,6 +470558,8 @@ 0 0 0 +0 +4 1 0xc3d4 0x8bfd1b1b @@ -376454,6 +470568,8 @@ 0 0 0 +0 +1 3 0x419a 0xa53ad222 @@ -376462,6 +470578,8 @@ 1 0 0 +4 +5 2 0x630f 0xb7e8fe36 @@ -376471,6 +470589,8 @@ 0 0 1 +0 +1 0xbc29 0xdae0713 256 @@ -376478,6 +470598,8 @@ 0 0 0 +0 +0 1 0x42e2 0xb0006d10 @@ -376486,6 +470608,8 @@ 0 0 0 +4 +2 2 0xc851 0x5e151be8 @@ -376494,6 +470618,8 @@ 1 1 0 +2 +1 3 0xb9a2 0x190eaeef @@ -376502,6 +470628,8 @@ 1 0 0 +0 +5 1 0x2e5d 0x16429b4c @@ -376510,6 +470638,8 @@ 1 0 0 +0 +4 1 0xa69e 0x8a0df18f @@ -376519,6 +470649,8 @@ 1 0 3 +5 +3 0xd415 0x35e2e819 256 @@ -376527,6 +470659,8 @@ 0 0 2 +4 +2 0xa04e 0x3b1256d7 256 @@ -376534,6 +470668,8 @@ 1 0 0 +0 +4 4 0x2f23 0xebe8e40e @@ -376542,6 +470678,8 @@ 0 0 0 +5 +1 4 0x9ffc 0xb81f4891 @@ -376551,6 +470689,8 @@ 1 0 1 +5 +1 0x7934 0x67a8d2ba 256 @@ -376558,6 +470698,8 @@ 1 1 0 +5 +5 3 0x15f0 0x70555806 @@ -376566,6 +470708,8 @@ 0 0 0 +0 +1 1 0x51bf 0x3c3c158f @@ -376574,6 +470718,8 @@ 1 0 0 +5 +5 1 0x1d09 0xf65a069 @@ -376582,6 +470728,8 @@ 1 0 0 +3 +1 1 0xd7b0 0x7c705c58 @@ -376590,6 +470738,8 @@ 1 0 0 +3 +2 1 0x17e2 0xdc69dacf @@ -376598,6 +470748,8 @@ 0 0 0 +1 +4 3 0x3300 0x820c8749 @@ -376606,6 +470758,8 @@ 0 0 0 +0 +2 1 0x3520 0x7f3ebd8a @@ -376614,6 +470768,8 @@ 0 0 0 +3 +5 1 0x96ab 0x2c6de68 @@ -376622,6 +470778,8 @@ 1 1 0 +2 +0 4 0x35b9 0xceb89bfc @@ -376630,6 +470788,8 @@ 0 0 0 +1 +5 3 0x503 0xd919b349 @@ -376638,6 +470798,8 @@ 1 0 0 +5 +2 2 0x9893 0x904dd85c @@ -376646,6 +470808,8 @@ 0 0 0 +1 +2 3 0x3625 0x8ef87750 @@ -376654,6 +470818,8 @@ 0 0 0 +2 +1 4 0x491d 0x39dc92ee @@ -376662,6 +470828,8 @@ 1 1 0 +1 +0 2 0x64f7 0x1958d0fe @@ -376671,6 +470839,8 @@ 0 0 4 +4 +4 0x1cd8 0x9ede8f88 256 @@ -376678,6 +470848,8 @@ 0 0 0 +2 +3 1 0x34f2 0xdb28ce56 @@ -376686,6 +470858,8 @@ 0 0 0 +4 +1 2 0x5aed 0x59c4d51e @@ -376694,6 +470868,8 @@ 0 0 0 +5 +3 3 0x45a3 0xbf63c253 @@ -376702,6 +470878,8 @@ 0 0 0 +0 +3 3 0x8170 0x3dc5f557 @@ -376710,6 +470888,8 @@ 0 0 0 +1 +0 4 0xa98e 0xc16c94f9 @@ -376718,6 +470898,8 @@ 0 0 0 +3 +4 4 0x7812 0x9fc9cd53 @@ -376726,6 +470908,8 @@ 0 0 0 +4 +1 3 0xf20c 0x56964e20 @@ -376734,6 +470918,8 @@ 1 0 0 +5 +5 4 0x8ba5 0x7f3f2d07 @@ -376742,6 +470928,8 @@ 0 0 0 +4 +0 1 0xe8a7 0xee0658ab @@ -376750,6 +470938,8 @@ 0 0 0 +3 +5 1 0x4e54 0x64578c29 @@ -376758,6 +470948,8 @@ 1 0 0 +2 +5 4 0x89d3 0x7191e736 @@ -376766,6 +470958,8 @@ 0 0 0 +5 +2 2 0x310a 0xb1ab0bfb @@ -376775,6 +470969,8 @@ 0 0 2 +0 +2 0xfb7e 0xbf012bd2 256 @@ -376782,6 +470978,8 @@ 1 0 0 +5 +2 1 0xc071 0x7d8d3e09 @@ -376791,6 +470989,8 @@ 0 0 1 +5 +1 0xafbe 0xf621a132 256 @@ -376798,6 +470998,8 @@ 0 0 0 +2 +2 3 0x609c 0x7013a4bf @@ -376806,6 +471008,8 @@ 0 0 0 +0 +3 2 0x630a 0x3a67605d @@ -376814,6 +471018,8 @@ 0 0 0 +5 +4 4 0x1e11 0x81de1edc @@ -376822,6 +471028,8 @@ 0 0 0 +3 +0 4 0xdfef 0x2d97242d @@ -376830,6 +471038,8 @@ 0 0 0 +0 +2 3 0x5561 0xf0ecab62 @@ -376838,6 +471048,8 @@ 1 1 0 +0 +4 2 0x2d02 0x59a0d7d2 @@ -376846,6 +471058,8 @@ 1 0 0 +1 +2 3 0xe41 0xc1b09c8b @@ -376854,6 +471068,8 @@ 0 0 0 +4 +4 3 0x57c4 0x1368167d @@ -376863,6 +471079,8 @@ 0 0 4 +3 +4 0x8179 0x73cc32a2 256 @@ -376871,6 +471089,8 @@ 0 0 1 +2 +1 0xb6bf 0x947a48a0 256 @@ -376878,6 +471098,8 @@ 0 0 0 +0 +3 1 0x2523 0xcced88b9 @@ -376886,6 +471108,8 @@ 1 1 0 +2 +5 3 0xd018 0xdf242e69 @@ -376894,6 +471118,8 @@ 0 0 0 +0 +4 1 0xa483 0x534e6a4f @@ -376902,6 +471128,8 @@ 0 0 0 +0 +2 2 0x6855 0x4df2e5e1 @@ -376910,6 +471138,8 @@ 1 0 0 +2 +0 1 0xed2d 0xa2f2044a @@ -376919,6 +471149,8 @@ 0 0 3 +0 +3 0x3910 0x72928b24 256 @@ -376926,6 +471158,8 @@ 0 0 0 +4 +3 1 0x64f0 0x900ed04b @@ -376934,6 +471168,8 @@ 0 0 0 +1 +5 3 0x9ef5 0x125c2b19 @@ -376942,6 +471178,8 @@ 0 0 0 +5 +4 2 0x74a7 0xb0bd74cb @@ -376950,6 +471188,8 @@ 0 0 0 +3 +4 1 0xcf8f 0x4c8a189a @@ -376958,6 +471198,8 @@ 0 0 0 +5 +2 4 0x8813 0xb58c891a @@ -376967,6 +471209,8 @@ 0 0 4 +2 +4 0x46ec 0x6cefe840 256 @@ -376974,6 +471218,8 @@ 1 1 0 +5 +1 1 0xc838 0xa0d3f684 @@ -376983,6 +471229,8 @@ 0 0 1 +5 +1 0xc9b4 0x860c7303 256 @@ -376990,6 +471238,8 @@ 0 0 0 +2 +2 4 0x35d4 0x330c84f8 @@ -376998,6 +471248,8 @@ 1 1 0 +4 +0 2 0x4045 0x91b96ae6 @@ -377006,6 +471258,8 @@ 0 0 0 +5 +2 3 0x169b 0x73fcc001 @@ -377014,6 +471268,8 @@ 1 0 0 +2 +4 1 0x1723 0xaa686a51 @@ -377022,6 +471278,8 @@ 1 0 0 +1 +1 2 0x9b72 0x2185bb5c @@ -377030,6 +471288,8 @@ 0 0 0 +1 +0 2 0xb44f 0xc2efd321 @@ -377038,6 +471298,8 @@ 0 0 0 +1 +5 2 0x2e23 0xaaec3b6a @@ -377046,6 +471308,8 @@ 1 0 0 +3 +3 4 0xe9c5 0x4498cf58 @@ -377054,6 +471318,8 @@ 1 0 0 +2 +2 1 0xad54 0x70bf1b60 @@ -377062,6 +471328,8 @@ 0 0 0 +2 +3 3 0xeb8e 0x83f3c6c5 @@ -377070,6 +471338,8 @@ 0 0 0 +4 +3 2 0x6aa2 0x77684b41 @@ -377079,6 +471349,8 @@ 0 0 3 +3 +3 0xfc13 0xe5dce370 256 @@ -377086,6 +471358,8 @@ 0 0 0 +4 +4 1 0xb160 0x941919b4 @@ -377094,6 +471368,8 @@ 0 0 0 +5 +2 4 0xcffc 0xcff27c00 @@ -377102,6 +471378,8 @@ 0 0 0 +3 +3 4 0x43b1 0x109bd182 @@ -377110,6 +471388,8 @@ 1 1 0 +2 +5 1 0xdde2 0xd81a0ea @@ -377118,6 +471398,8 @@ 1 0 0 +2 +5 3 0xafd4 0x926a34c1 @@ -377126,6 +471408,8 @@ 0 0 0 +3 +1 2 0xe9a9 0xabd48fc3 @@ -377134,6 +471418,8 @@ 1 1 0 +0 +5 1 0x935f 0x4904ce9f @@ -377142,6 +471428,8 @@ 0 0 0 +4 +4 1 0x21d2 0x5045b922 @@ -377150,6 +471438,8 @@ 0 0 0 +0 +2 3 0x3a6c 0xd3eb5c35 @@ -377158,6 +471448,8 @@ 0 0 0 +5 +4 4 0x7289 0x9e38f238 @@ -377166,6 +471458,8 @@ 0 0 0 +0 +3 2 0xc8d4 0x4bdbf04c @@ -377174,6 +471468,8 @@ 0 0 0 +0 +1 2 0x14b4 0xfcfbc8f2 @@ -377182,6 +471478,8 @@ 0 0 0 +5 +4 4 0x34d4 0xc988a602 @@ -377190,6 +471488,8 @@ 0 0 0 +3 +5 4 0xddb 0x10409a79 @@ -377198,6 +471498,8 @@ 1 0 0 +4 +3 1 0xb69 0x9f23065a @@ -377206,6 +471508,8 @@ 0 0 0 +0 +5 1 0xd2b1 0xe5b6600 @@ -377214,6 +471518,8 @@ 0 0 0 +5 +1 2 0xa298 0xa67a97b3 @@ -377223,6 +471529,8 @@ 0 0 4 +0 +4 0x8923 0x5d25e7c2 256 @@ -377231,6 +471539,8 @@ 0 0 3 +0 +3 0xbb3c 0x42d49807 256 @@ -377238,6 +471548,8 @@ 1 1 0 +2 +5 4 0x5d15 0xfcc8ccf0 @@ -377246,6 +471558,8 @@ 0 0 0 +4 +5 3 0x2edf 0x55baaf22 @@ -377255,6 +471569,8 @@ 0 0 4 +1 +4 0xd136 0xa1f3fe79 256 @@ -377262,6 +471578,8 @@ 1 1 0 +0 +3 1 0xdd71 0x27da9647 @@ -377270,6 +471588,8 @@ 1 1 0 +1 +5 3 0x22e2 0x2e80f52b @@ -377278,6 +471598,8 @@ 1 1 0 +3 +3 4 0x94b4 0xfbadb4f6 @@ -377286,6 +471608,8 @@ 0 0 0 +0 +2 3 0x6e4d 0xe653f725 @@ -377294,6 +471618,8 @@ 1 0 0 +2 +3 1 0x1d 0x29512224 @@ -377302,6 +471628,8 @@ 1 0 0 +2 +1 4 0x15b7 0x48c2f7bd @@ -377310,6 +471638,8 @@ 1 1 0 +0 +1 2 0x2546 0x5cfb0370 @@ -377319,6 +471649,8 @@ 0 0 1 +5 +1 0x2a01 0xdf2f8496 256 @@ -377326,6 +471658,8 @@ 0 0 0 +5 +4 2 0xfd37 0x8606e0c2 @@ -377334,6 +471668,8 @@ 0 0 0 +1 +5 3 0x44b6 0xb22c4bec @@ -377342,6 +471678,8 @@ 0 0 0 +1 +4 2 0x70d4 0x5a157af @@ -377350,6 +471688,8 @@ 0 0 0 +2 +4 3 0xbb2d 0x22d55c8b @@ -377359,6 +471699,8 @@ 0 0 1 +3 +1 0xa763 0x3e63f1b9 256 @@ -377366,6 +471708,8 @@ 1 0 0 +4 +4 2 0xe780 0x1f1f008e @@ -377374,6 +471718,8 @@ 0 0 0 +2 +2 4 0xbb 0xc9f03ddd @@ -377382,6 +471728,8 @@ 1 0 0 +4 +4 1 0xce65 0xee6f7f2d @@ -377390,6 +471738,8 @@ 1 1 0 +1 +1 2 0xc6e8 0x22f05c6c @@ -377398,6 +471748,8 @@ 1 0 0 +5 +3 1 0xcc71 0x8717b024 @@ -377406,6 +471758,8 @@ 0 0 0 +2 +5 3 0x5690 0x2f776d30 @@ -377414,6 +471768,8 @@ 1 0 0 +5 +5 4 0xfeb1 0x1a9e1f98 @@ -377422,6 +471778,8 @@ 0 0 0 +0 +0 2 0x2276 0xb1bdae @@ -377431,6 +471789,8 @@ 0 0 2 +4 +2 0x18d1 0x1d5cfeda 256 @@ -377438,6 +471798,8 @@ 0 0 0 +2 +5 4 0x9459 0x81af95fc @@ -377446,6 +471808,8 @@ 0 0 0 +5 +1 2 0x8f2e 0x85e4bde5 @@ -377454,6 +471818,8 @@ 1 0 0 +5 +2 1 0x3836 0x37a9da49 @@ -377462,6 +471828,8 @@ 0 0 0 +4 +0 1 0xd7d2 0xde132f34 @@ -377470,6 +471838,8 @@ 1 0 0 +5 +4 4 0x4e79 0xd61a7824 @@ -377479,6 +471849,8 @@ 0 0 3 +4 +3 0xb02f 0x24735810 256 @@ -377486,6 +471858,8 @@ 1 1 0 +0 +4 3 0xffa8 0xf2dc9cdb @@ -377494,6 +471868,8 @@ 1 0 0 +0 +2 4 0x77b0 0x7babc848 @@ -377502,6 +471878,8 @@ 0 0 0 +1 +4 4 0x8c0f 0xb2cc030b @@ -377510,6 +471888,8 @@ 1 0 0 +0 +2 3 0xfd5d 0x7780deef @@ -377518,6 +471898,8 @@ 1 0 0 +0 +2 1 0x3983 0xd6122c61 @@ -377526,6 +471908,8 @@ 0 0 0 +0 +5 2 0x784d 0xdb5a422 @@ -377535,6 +471919,8 @@ 0 0 4 +2 +4 0x3678 0x49e53787 256 @@ -377542,6 +471928,8 @@ 1 1 0 +0 +3 3 0xd8f5 0x6cab98ab @@ -377550,6 +471938,8 @@ 0 0 0 +1 +3 3 0x4005 0x3805b721 @@ -377559,6 +471949,8 @@ 1 0 2 +3 +2 0x9744 0xef48daab 256 @@ -377566,6 +471958,8 @@ 1 0 0 +4 +4 3 0x4862 0x5c13360b @@ -377575,6 +471969,8 @@ 0 0 4 +3 +4 0x8c32 0x8722d5d8 256 @@ -377582,6 +471978,8 @@ 0 0 0 +0 +3 2 0x8b4d 0xf7afeeee @@ -377590,6 +471988,8 @@ 1 1 0 +5 +2 2 0x37b2 0xed0e846d @@ -377598,6 +471998,8 @@ 1 0 0 +1 +2 3 0xeb3d 0x9e995bd7 @@ -377606,6 +472008,8 @@ 0 0 0 +2 +5 4 0xbd0d 0x1c61d90a @@ -377614,6 +472018,8 @@ 1 0 0 +1 +2 3 0xe9ae 0xa6e249d5 @@ -377622,6 +472028,8 @@ 0 0 0 +3 +0 4 0x7826 0xdcd8709f @@ -377630,6 +472038,8 @@ 1 0 0 +3 +3 2 0xa3b7 0xfd3409a4 @@ -377638,6 +472048,8 @@ 0 0 0 +0 +0 1 0x5a0d 0x7561d54 @@ -377646,6 +472058,8 @@ 1 1 0 +0 +1 4 0xe4d8 0x52be7dd @@ -377654,6 +472068,8 @@ 0 0 0 +1 +5 3 0xd294 0xbc7109e5 @@ -377663,6 +472079,8 @@ 0 0 1 +5 +1 0x49a8 0xa0c2c5ce 256 @@ -377671,6 +472089,8 @@ 0 0 2 +4 +2 0xa34a 0x2b105601 256 @@ -377678,6 +472098,8 @@ 1 1 0 +3 +2 2 0xfcee 0x76b7d7bd @@ -377686,6 +472108,8 @@ 0 0 0 +5 +2 3 0x30e4 0x9e12f7eb @@ -377694,6 +472118,8 @@ 0 0 0 +5 +5 2 0x9a50 0xd8d91e82 @@ -377702,6 +472128,8 @@ 1 1 0 +0 +3 2 0x44d4 0x7ca0bf66 @@ -377710,6 +472138,8 @@ 1 0 0 +5 +2 3 0xf35e 0xb2b1ad6b @@ -377718,6 +472148,8 @@ 1 1 0 +1 +1 4 0xfd1c 0x784da7e3 @@ -377726,6 +472158,8 @@ 1 0 0 +0 +0 3 0xca42 0xf4ba54e6 @@ -377734,6 +472168,8 @@ 0 0 0 +4 +3 1 0xc8ea 0xd5020b86 @@ -377742,6 +472178,8 @@ 0 0 0 +0 +1 1 0x6558 0xc72e800a @@ -377750,6 +472188,8 @@ 0 0 0 +5 +5 4 0x2fe9 0xca7f8f8e @@ -377758,6 +472198,8 @@ 0 0 0 +0 +4 3 0xb4cc 0xc0f4aeac @@ -377766,6 +472208,8 @@ 0 0 0 +0 +0 1 0xcff5 0xa22fe90f @@ -377775,6 +472219,8 @@ 0 0 4 +2 +4 0x67b 0x9d4fa47d 256 @@ -377782,6 +472228,8 @@ 0 0 0 +2 +2 3 0x3b12 0x25cbb23a @@ -377790,6 +472238,8 @@ 1 0 0 +4 +4 1 0x3d9a 0x6857cdda @@ -377799,6 +472249,8 @@ 0 0 3 +3 +3 0x92d1 0xe6ad48ac 256 @@ -377806,6 +472258,8 @@ 1 1 0 +2 +0 4 0x980c 0xa195d8a6 @@ -377814,6 +472268,8 @@ 0 0 0 +4 +5 2 0xe84d 0x5a73bf04 @@ -377822,6 +472278,8 @@ 1 0 0 +0 +1 3 0xa03c 0x39ed25f2 @@ -377830,6 +472288,8 @@ 0 0 0 +2 +5 4 0xf2a3 0x28b73cb6 @@ -377838,6 +472298,8 @@ 1 1 0 +4 +0 2 0x878c 0xc8bbf80d @@ -377846,6 +472308,8 @@ 1 1 0 +5 +3 4 0x46f2 0xbd449c04 @@ -377854,6 +472318,8 @@ 1 1 0 +0 +1 1 0xf96 0x5715069d @@ -377862,6 +472328,8 @@ 1 1 0 +2 +5 4 0xd85e 0x1986ebbe @@ -377870,6 +472338,8 @@ 1 0 0 +4 +4 2 0x29e3 0xb3b7a8f6 @@ -377878,6 +472348,8 @@ 1 0 0 +5 +5 3 0x37b5 0x296d8008 @@ -377886,6 +472358,8 @@ 0 0 0 +3 +5 2 0xf370 0xa32100a6 @@ -377894,6 +472368,8 @@ 1 0 0 +4 +2 2 0xd294 0x50f0ff0e @@ -377902,6 +472378,8 @@ 1 1 0 +4 +5 1 0xfcbb 0xa276873a @@ -377910,6 +472388,8 @@ 1 0 0 +0 +5 4 0xfdc9 0x221b880f @@ -377918,6 +472398,8 @@ 1 0 0 +0 +5 3 0x933c 0xbff08116 @@ -377926,6 +472408,8 @@ 1 1 0 +2 +5 4 0xeb81 0xe6c6aade @@ -377934,6 +472418,8 @@ 1 0 0 +5 +2 3 0x450c 0xdadc5941 @@ -377942,6 +472428,8 @@ 0 0 0 +1 +0 2 0x4787 0x52da276b @@ -377950,6 +472438,8 @@ 1 1 0 +4 +4 1 0x57b 0x74cdfdd3 @@ -377959,6 +472449,8 @@ 0 0 4 +1 +4 0xba25 0x810c4013 256 @@ -377967,6 +472459,8 @@ 0 0 2 +2 +2 0x2c2e 0x78d4c1fe 256 @@ -377974,6 +472468,8 @@ 0 0 0 +0 +2 3 0x6ac8 0x83b7cc4b @@ -377982,6 +472478,8 @@ 1 1 0 +4 +3 2 0x16cc 0x4ea7b0d1 @@ -377990,6 +472488,8 @@ 0 0 0 +3 +2 2 0xce44 0x7cf17523 @@ -377998,6 +472498,8 @@ 0 0 0 +4 +2 3 0xf21a 0xbb88088b @@ -378006,6 +472508,8 @@ 0 0 0 +3 +1 2 0xf866 0xb1a57485 @@ -378014,6 +472518,8 @@ 0 0 0 +0 +0 2 0x6f58 0xdd46a786 @@ -378023,6 +472529,8 @@ 0 0 1 +2 +1 0xed9a 0x8f353db 256 @@ -378030,6 +472538,8 @@ 1 0 0 +5 +2 2 0x6da6 0xd8035304 @@ -378039,6 +472549,8 @@ 1 0 2 +2 +2 0x614c 0xcad26ea9 256 @@ -378046,6 +472558,8 @@ 0 0 0 +4 +0 1 0xcb16 0xf1fc4790 @@ -378054,6 +472568,8 @@ 1 0 0 +5 +1 4 0x2eca 0x15892643 @@ -378062,6 +472578,8 @@ 1 1 0 +4 +3 3 0x3175 0x82a8de9b @@ -378070,6 +472588,8 @@ 1 1 0 +5 +4 1 0xe7e3 0x4b67340f @@ -378078,6 +472598,8 @@ 1 1 0 +3 +2 2 0x4e3c 0xcc52249e @@ -378086,6 +472608,8 @@ 1 1 0 +0 +5 3 0x725a 0xdafedfc6 @@ -378094,6 +472618,8 @@ 0 0 0 +0 +2 4 0x56a2 0xe6e9bf1c @@ -378102,6 +472628,8 @@ 0 0 0 +4 +4 3 0x12f8 0xff7e07cf @@ -378110,6 +472638,8 @@ 1 1 0 +5 +1 4 0xc87a 0x22b6a405 @@ -378118,6 +472648,8 @@ 1 1 0 +3 +0 1 0xb46e 0xfaa5e88c @@ -378126,6 +472658,8 @@ 0 0 0 +4 +3 2 0xafe4 0x7f6b38d3 @@ -378134,6 +472668,8 @@ 0 0 0 +2 +2 4 0xb974 0x7b569477 @@ -378142,6 +472678,8 @@ 1 1 0 +2 +2 3 0x8aa9 0x3f508ab3 @@ -378150,6 +472688,8 @@ 0 0 0 +2 +1 4 0x82f8 0xc0a71b8 @@ -378158,6 +472698,8 @@ 1 1 0 +0 +1 2 0x8b72 0x924098d5 @@ -378166,6 +472708,8 @@ 0 0 0 +2 +3 1 0xef87 0xbbe81507 @@ -378174,6 +472718,8 @@ 1 1 0 +5 +0 3 0x9168 0x30ec7026 @@ -378182,6 +472728,8 @@ 1 1 0 +4 +1 1 0x4616 0x1db77866 @@ -378190,6 +472738,8 @@ 0 0 0 +2 +1 1 0x2f54 0x75b6460a @@ -378198,6 +472748,8 @@ 1 0 0 +5 +2 2 0x1e03 0x4e2621b3 @@ -378206,6 +472758,8 @@ 0 0 0 +1 +0 2 0xaa64 0x1ec296b5 @@ -378214,6 +472768,8 @@ 1 1 0 +3 +3 4 0xf2a 0x7237fbe @@ -378222,6 +472778,8 @@ 0 0 0 +3 +5 4 0x2bdb 0x6351035a @@ -378230,6 +472788,8 @@ 0 0 0 +1 +3 4 0xfe57 0x6d41e812 @@ -378238,6 +472798,8 @@ 0 0 0 +2 +4 3 0x9c32 0xb827ddcd @@ -378246,6 +472808,8 @@ 1 1 0 +2 +3 3 0x9fc9 0x8b7c0947 @@ -378255,6 +472819,8 @@ 0 0 2 +5 +2 0xa97b 0x29343285 256 @@ -378262,6 +472828,8 @@ 0 0 0 +0 +1 3 0xb2e8 0xee8fdf7c @@ -378270,6 +472838,8 @@ 1 0 0 +4 +1 2 0x322b 0x792607da @@ -378278,6 +472848,8 @@ 1 1 0 +5 +4 3 0xab86 0xd600611e @@ -378286,6 +472858,8 @@ 0 0 0 +2 +0 1 0x67a6 0xaaae898d @@ -378295,6 +472869,8 @@ 1 0 1 +3 +1 0xa5ff 0xf1052036 256 @@ -378302,6 +472878,8 @@ 1 1 0 +1 +0 3 0x34bb 0x94ce3592 @@ -378310,6 +472888,8 @@ 1 1 0 +0 +1 4 0x13e5 0x81bfff2d @@ -378319,6 +472899,8 @@ 0 0 2 +4 +2 0xc66b 0xb658ad78 256 @@ -378326,6 +472908,8 @@ 1 0 0 +3 +0 4 0x2656 0x99e55b17 @@ -378334,6 +472918,8 @@ 1 1 0 +3 +0 4 0xeb20 0xf6766fe3 @@ -378342,6 +472928,8 @@ 1 0 0 +0 +2 4 0xc61e 0xb9b4e10c @@ -378351,6 +472939,8 @@ 0 0 3 +0 +3 0x5447 0x16f75c5a 256 @@ -378359,6 +472949,8 @@ 0 0 2 +5 +2 0x6bcc 0x6a1967e2 256 @@ -378366,6 +472958,8 @@ 1 1 0 +3 +3 1 0xa727 0x8c4fc08c @@ -378374,6 +472968,8 @@ 0 0 0 +0 +3 4 0xfc24 0xd123b50f @@ -378382,6 +472978,8 @@ 1 1 0 +4 +2 1 0x99d5 0x5faf03fd @@ -378390,6 +472988,8 @@ 1 0 0 +2 +4 1 0xe074 0x5bf2da72 @@ -378398,6 +472998,8 @@ 1 0 0 +4 +3 3 0x8150 0xbe332971 @@ -378406,6 +473008,8 @@ 1 1 0 +5 +3 1 0x206b 0x72d33874 @@ -378414,6 +473018,8 @@ 0 0 0 +5 +0 2 0xb78b 0x5a9e13b4 @@ -378422,6 +473028,8 @@ 1 0 0 +2 +0 1 0xb0a3 0xf2ac64b7 @@ -378430,6 +473038,8 @@ 0 0 0 +4 +5 1 0xa00a 0x25530b86 @@ -378438,6 +473048,8 @@ 1 1 0 +2 +4 1 0xae28 0x856be179 @@ -378447,6 +473059,8 @@ 0 0 3 +5 +3 0x1280 0xb2cd7f9 256 @@ -378454,6 +473068,8 @@ 0 0 0 +1 +2 3 0x7689 0x635343cf @@ -378463,6 +473079,8 @@ 0 0 4 +1 +4 0x92df 0x4a46824f 256 @@ -378470,6 +473088,8 @@ 1 0 0 +2 +2 3 0x6e2d 0x3de91cdd @@ -378478,6 +473098,8 @@ 0 0 0 +1 +3 3 0xa16f 0xd1864d74 @@ -378486,6 +473108,8 @@ 0 0 0 +5 +5 1 0xd031 0xd6f214c8 @@ -378494,6 +473118,8 @@ 1 0 0 +0 +1 2 0x3b76 0xc52098f5 @@ -378502,6 +473128,8 @@ 0 0 0 +1 +5 2 0x31f9 0xd2fe8141 @@ -378510,6 +473138,8 @@ 0 0 0 +2 +0 4 0xd1b 0x4a585232 @@ -378518,6 +473148,8 @@ 0 0 0 +5 +3 4 0xbaeb 0xeda35012 @@ -378526,6 +473158,8 @@ 0 0 0 +3 +4 2 0x939e 0x5ad0e2ff @@ -378534,6 +473168,8 @@ 1 1 0 +5 +3 2 0x5c52 0x51e1a1fa @@ -378542,6 +473178,8 @@ 1 1 0 +1 +5 3 0xffbd 0xc6e71e40 @@ -378550,6 +473188,8 @@ 1 1 0 +5 +5 3 0xef58 0x5a51d644 @@ -378558,6 +473198,8 @@ 0 0 0 +2 +5 1 0xd76c 0x9b5f77b7 @@ -378566,6 +473208,8 @@ 1 1 0 +3 +0 2 0x9032 0x32fa5a02 @@ -378574,6 +473218,8 @@ 0 0 0 +3 +1 2 0xfc4e 0x637fba5 @@ -378582,6 +473228,8 @@ 0 0 0 +0 +3 4 0x7156 0x1f7a5d4f @@ -378590,6 +473238,8 @@ 0 0 0 +4 +4 1 0x6a8e 0x994be672 @@ -378598,6 +473248,8 @@ 0 0 0 +5 +5 2 0x4000 0x5d992268 @@ -378607,6 +473259,8 @@ 0 0 3 +5 +3 0xebbb 0x6460dd32 256 @@ -378615,6 +473269,8 @@ 1 0 1 +0 +1 0x991f 0xe2f847c5 256 @@ -378622,6 +473278,8 @@ 1 1 0 +5 +5 1 0xcdb9 0xd79417e @@ -378631,6 +473289,8 @@ 1 0 1 +3 +1 0x1156 0x15a07a15 256 @@ -378638,6 +473298,8 @@ 1 1 0 +4 +3 1 0x3375 0xaf5882f1 @@ -378647,6 +473309,8 @@ 0 0 1 +4 +1 0x71e0 0x7d9a6ec5 256 @@ -378654,6 +473318,8 @@ 1 1 0 +0 +0 2 0x18f 0x8eea5af2 @@ -378662,6 +473328,8 @@ 1 0 0 +2 +0 1 0xdae8 0x557e65cf @@ -378670,6 +473338,8 @@ 0 0 0 +0 +1 1 0xef95 0x44b5580b @@ -378679,6 +473349,8 @@ 1 0 2 +1 +2 0x21b1 0xad39a98c 256 @@ -378686,6 +473358,8 @@ 0 0 0 +0 +4 1 0xd519 0x4ad48e15 @@ -378694,6 +473368,8 @@ 0 0 0 +4 +0 1 0xf1db 0x13c54f37 @@ -378702,6 +473378,8 @@ 1 1 0 +4 +3 3 0x6375 0xc5c55540 @@ -378710,6 +473388,8 @@ 1 1 0 +2 +5 4 0xbea5 0xd042df5b @@ -378718,6 +473398,8 @@ 1 1 0 +5 +0 4 0x2870 0xf952f23f @@ -378726,6 +473408,8 @@ 0 0 0 +2 +5 3 0x8e0f 0x462caa21 @@ -378734,6 +473418,8 @@ 0 0 0 +2 +4 3 0x58cc 0x548774d2 @@ -378743,6 +473429,8 @@ 0 0 1 +3 +1 0xd48e 0x6f936823 256 @@ -378750,6 +473438,8 @@ 0 0 0 +0 +1 3 0x7088 0xf2d04372 @@ -378758,6 +473448,8 @@ 0 0 0 +1 +1 3 0x56e1 0xffdf1aac @@ -378766,6 +473458,8 @@ 0 0 0 +1 +0 2 0x7978 0x7845479e @@ -378774,6 +473468,8 @@ 0 0 0 +4 +0 1 0x90ca 0x4c3c4b45 @@ -378782,6 +473478,8 @@ 0 0 0 +4 +2 3 0xe8d8 0xd95586c6 @@ -378790,6 +473488,8 @@ 1 0 0 +4 +5 3 0x6717 0x5e275f2c @@ -378799,6 +473499,8 @@ 0 0 4 +5 +4 0xbdb2 0x5cb15bb3 256 @@ -378806,6 +473508,8 @@ 1 1 0 +5 +4 3 0xfa22 0x1a6c87d @@ -378815,6 +473519,8 @@ 0 0 4 +0 +4 0xcb0f 0x2f45ab34 256 @@ -378822,6 +473528,8 @@ 0 0 0 +5 +4 2 0x89e5 0x395ffafd @@ -378830,6 +473538,8 @@ 0 0 0 +0 +0 1 0xb9f0 0x3bbdab8e @@ -378838,6 +473548,8 @@ 0 0 0 +0 +1 2 0x6535 0xa6d96266 @@ -378846,6 +473558,8 @@ 1 1 0 +4 +5 1 0x23c1 0x6c54e056 @@ -378855,6 +473569,8 @@ 1 0 2 +3 +2 0xae62 0xa1fecd3f 256 @@ -378863,6 +473579,8 @@ 1 0 2 +5 +2 0xef95 0xe21592ee 256 @@ -378870,6 +473588,8 @@ 0 0 0 +2 +4 1 0x1729 0xd73ddbc @@ -378878,6 +473598,8 @@ 0 0 0 +1 +3 2 0x5e2a 0x550fe5e1 @@ -378886,6 +473608,8 @@ 0 0 0 +3 +3 4 0x4622 0xdc777c7b @@ -378894,6 +473618,8 @@ 0 0 0 +0 +0 1 0x7a3e 0x29d97d4c @@ -378902,6 +473628,8 @@ 0 0 0 +0 +4 1 0xf522 0xbe3851a4 @@ -378910,6 +473638,8 @@ 1 0 0 +1 +4 4 0xb885 0xd8a2ed49 @@ -378918,6 +473648,8 @@ 0 0 0 +0 +4 2 0x6995 0xcdd0010f @@ -378926,6 +473658,8 @@ 1 1 0 +5 +4 2 0x4b56 0x7d479fda @@ -378935,6 +473669,8 @@ 1 0 4 +5 +4 0x5c3b 0x125ec63a 256 @@ -378942,6 +473678,8 @@ 1 0 0 +0 +0 3 0x623a 0x4600dc9b @@ -378950,6 +473688,8 @@ 0 0 0 +1 +3 4 0xbc70 0x801190d8 @@ -378958,6 +473698,8 @@ 1 0 0 +4 +0 3 0x6ce9 0x69fe62ab @@ -378966,6 +473708,8 @@ 0 0 0 +0 +0 2 0x4f67 0x2b637cf4 @@ -378974,6 +473718,8 @@ 0 0 0 +0 +0 4 0xdafb 0x82aea59f @@ -378982,6 +473728,8 @@ 0 0 0 +4 +5 3 0xfa97 0x265e8210 @@ -378990,6 +473738,8 @@ 1 0 0 +0 +0 4 0x1598 0x73af888f @@ -378998,6 +473748,8 @@ 0 0 0 +2 +5 3 0xe386 0xc0a5d9f6 @@ -379006,6 +473758,8 @@ 1 0 0 +0 +1 2 0xcf80 0x95168f2 @@ -379014,6 +473768,8 @@ 0 0 0 +2 +2 4 0x49d2 0x7fb7ba42 @@ -379022,6 +473778,8 @@ 0 0 0 +2 +0 3 0xf0e 0x15157a28 @@ -379030,6 +473788,8 @@ 1 1 0 +3 +1 4 0x3a05 0xa32c2695 @@ -379039,6 +473799,8 @@ 0 0 2 +0 +2 0xcbdc 0x3eae0568 256 @@ -379046,6 +473808,8 @@ 0 0 0 +4 +4 2 0x3cff 0x8b171fac @@ -379054,6 +473818,8 @@ 1 0 0 +1 +4 2 0xefe8 0x911a9e42 @@ -379062,6 +473828,8 @@ 0 0 0 +0 +1 1 0xe62f 0x46382e17 @@ -379070,6 +473838,8 @@ 1 1 0 +0 +2 1 0x5263 0xc5ce51a3 @@ -379078,6 +473848,8 @@ 1 0 0 +0 +2 2 0xbbe5 0x35a845fa @@ -379086,6 +473858,8 @@ 1 1 0 +4 +4 1 0xe53b 0x4f24437 @@ -379094,6 +473868,8 @@ 0 0 0 +2 +5 4 0x59f6 0x2ca903f0 @@ -379102,6 +473878,8 @@ 1 0 0 +1 +0 4 0x7219 0x7e12095a @@ -379110,6 +473888,8 @@ 1 1 0 +3 +1 4 0x3d74 0x9ed7cefc @@ -379118,6 +473898,8 @@ 1 1 0 +1 +3 2 0x94f7 0x483274a7 @@ -379126,6 +473908,8 @@ 1 0 0 +2 +4 4 0x612e 0x33fa4e92 @@ -379134,6 +473918,8 @@ 1 0 0 +1 +2 4 0x306c 0x53848a9a @@ -379142,6 +473928,8 @@ 1 1 0 +5 +4 2 0xd3b3 0x446a10dc @@ -379150,6 +473938,8 @@ 1 0 0 +3 +1 4 0x2b6 0x68fcd336 @@ -379159,6 +473949,8 @@ 1 0 2 +1 +2 0xe2e5 0xa2de556f 256 @@ -379166,6 +473958,8 @@ 1 0 0 +3 +1 2 0x9cf 0x32af1c94 @@ -379174,6 +473968,8 @@ 0 0 0 +2 +4 3 0x529e 0xf84a5e3c @@ -379182,6 +473978,8 @@ 0 0 0 +4 +4 2 0x185b 0x7a00814 @@ -379190,6 +473988,8 @@ 0 0 0 +2 +3 4 0xf097 0x251ddcbb @@ -379199,6 +473999,8 @@ 0 0 4 +4 +4 0xe797 0xcc297a8b 256 @@ -379206,6 +474008,8 @@ 0 0 0 +4 +5 1 0x900a 0x8b57cf1a @@ -379214,6 +474018,8 @@ 1 1 0 +5 +1 4 0x122a 0xf70dc0d2 @@ -379222,6 +474028,8 @@ 1 1 0 +4 +2 1 0x281c 0x3c3c4742 @@ -379230,6 +474038,8 @@ 0 0 0 +2 +0 1 0x2a27 0xb07f2453 @@ -379238,6 +474048,8 @@ 0 0 0 +3 +2 4 0x1bbf 0x93ebf6d9 @@ -379247,6 +474059,8 @@ 0 0 3 +0 +3 0xff2b 0xb7f525d5 256 @@ -379254,6 +474068,8 @@ 1 0 0 +5 +2 2 0x9448 0x8cd92be @@ -379262,6 +474078,8 @@ 0 0 0 +5 +0 2 0x7750 0x287fd599 @@ -379270,6 +474088,8 @@ 0 0 0 +4 +5 1 0xb32b 0x59b9fc72 @@ -379278,6 +474098,8 @@ 0 0 0 +2 +0 1 0xe43a 0xd6c68eca @@ -379286,6 +474108,8 @@ 1 0 0 +2 +3 4 0xae1e 0x36822864 @@ -379294,6 +474118,8 @@ 1 1 0 +2 +0 4 0x64c 0x284a11d0 @@ -379302,6 +474128,8 @@ 0 0 0 +2 +4 4 0x7e73 0xec84604 @@ -379310,6 +474138,8 @@ 1 0 0 +0 +0 1 0x337a 0xa6913b2c @@ -379318,6 +474148,8 @@ 1 0 0 +1 +0 3 0x7416 0x743f1028 @@ -379326,6 +474158,8 @@ 1 0 0 +3 +5 2 0xf989 0x9f351327 @@ -379334,6 +474168,8 @@ 1 1 0 +2 +2 4 0x752 0x8a333387 @@ -379342,6 +474178,8 @@ 0 0 0 +4 +2 2 0x3b1 0x7f2b0081 @@ -379351,6 +474189,8 @@ 0 0 4 +5 +4 0xca0d 0xb1446b2a 256 @@ -379358,6 +474198,8 @@ 1 0 0 +1 +5 4 0x5750 0x4b12549b @@ -379366,6 +474208,8 @@ 1 1 0 +3 +5 4 0xe571 0x1dedf3f @@ -379375,6 +474219,8 @@ 0 0 1 +2 +1 0xf18d 0x76a1e540 256 @@ -379382,6 +474228,8 @@ 1 1 0 +4 +2 3 0xbff8 0x22ef395d @@ -379390,6 +474238,8 @@ 1 1 0 +2 +5 4 0xdf36 0x95876a2a @@ -379399,6 +474249,8 @@ 0 0 4 +5 +4 0x4ce8 0x9f706c97 256 @@ -379406,6 +474258,8 @@ 1 1 0 +1 +5 4 0x13a8 0x4cc8b184 @@ -379414,6 +474268,8 @@ 1 0 0 +0 +1 2 0xe8a 0x202bb67 @@ -379422,6 +474278,8 @@ 0 0 0 +4 +4 3 0x20a9 0xb0e400dd @@ -379430,6 +474288,8 @@ 0 0 0 +3 +4 2 0x1b40 0xc3c7f5ca @@ -379438,6 +474298,8 @@ 0 0 0 +4 +5 1 0xfa18 0x9da1d16f @@ -379446,6 +474308,8 @@ 0 0 0 +0 +0 1 0xdc1f 0xdf6c4a86 @@ -379455,6 +474319,8 @@ 1 0 1 +4 +1 0x7b26 0x84152e99 256 @@ -379463,6 +474329,8 @@ 0 0 2 +4 +2 0x2a18 0x34a40b6c 256 @@ -379470,6 +474338,8 @@ 0 0 0 +3 +0 1 0xd641 0x182a90c4 @@ -379479,6 +474349,8 @@ 0 0 3 +0 +3 0x32b4 0x69c2a099 256 @@ -379486,6 +474358,8 @@ 0 0 0 +4 +3 1 0x2264 0xfcf82f31 @@ -379494,6 +474368,8 @@ 1 1 0 +2 +3 3 0x9176 0xcff70e60 @@ -379502,6 +474378,8 @@ 0 0 0 +3 +1 1 0xac6a 0x9fc80036 @@ -379510,6 +474388,8 @@ 0 0 0 +2 +3 3 0x1bee 0x41f770fa @@ -379518,6 +474398,8 @@ 0 0 0 +0 +5 1 0x689 0xb6e662e @@ -379526,6 +474408,8 @@ 1 1 0 +5 +5 4 0xaaa7 0x21b7e424 @@ -379534,6 +474418,8 @@ 1 1 0 +1 +5 3 0x8d2f 0x2388b59f @@ -379542,6 +474428,8 @@ 0 0 0 +2 +0 3 0x22d4 0x30dc0aa9 @@ -379550,6 +474438,8 @@ 1 0 0 +5 +4 2 0x175 0xb336eff7 @@ -379559,6 +474449,8 @@ 0 0 3 +1 +3 0xb307 0x28d8e2a9 256 @@ -379566,6 +474458,8 @@ 0 0 0 +1 +0 2 0x77dd 0x5c0a137a @@ -379575,6 +474469,8 @@ 1 0 1 +0 +1 0x7054 0x9f4aea0d 256 @@ -379582,6 +474478,8 @@ 1 1 0 +4 +1 2 0x5cf2 0xae879e0e @@ -379590,6 +474488,8 @@ 1 0 0 +5 +4 4 0x3c84 0x1b542054 @@ -379598,6 +474498,8 @@ 0 0 0 +4 +1 2 0xdec5 0x540b7f60 @@ -379606,6 +474508,8 @@ 0 0 0 +2 +0 3 0xd89d 0x92d1e479 @@ -379614,6 +474518,8 @@ 1 0 0 +1 +4 4 0x34d6 0x99dd5e49 @@ -379622,6 +474528,8 @@ 0 0 0 +1 +1 4 0x60 0x88fee1d1 @@ -379630,6 +474538,8 @@ 1 0 0 +5 +3 1 0xfab0 0xb9cfaf0e @@ -379638,6 +474548,8 @@ 1 1 0 +0 +0 2 0x3311 0xe52a69af @@ -379646,6 +474558,8 @@ 0 0 0 +1 +0 2 0xe37c 0x73fa7be4 @@ -379654,6 +474568,8 @@ 1 0 0 +1 +0 4 0xd297 0x9fde03a @@ -379662,6 +474578,8 @@ 0 0 0 +0 +0 3 0x6ae3 0x2f53d41a @@ -379670,6 +474588,8 @@ 0 0 0 +0 +2 3 0x7d28 0x5b254b32 @@ -379678,6 +474598,8 @@ 0 0 0 +3 +0 2 0x7a7a 0x79407fb1 @@ -379686,6 +474608,8 @@ 0 0 0 +0 +4 1 0x696e 0x6bfee2d4 @@ -379694,6 +474618,8 @@ 0 0 0 +0 +2 1 0x3641 0x66c08d80 @@ -379703,6 +474629,8 @@ 0 0 3 +0 +3 0x3f6 0xc063f78f 256 @@ -379710,6 +474638,8 @@ 1 1 0 +4 +3 1 0x6a97 0x5447fae @@ -379718,6 +474648,8 @@ 0 0 0 +3 +2 4 0xedfa 0xeb9a67e1 @@ -379726,6 +474658,8 @@ 0 0 0 +2 +3 3 0x68a2 0x32a3a6b3 @@ -379734,6 +474668,8 @@ 1 1 0 +0 +0 4 0x2716 0x2d579727 @@ -379743,6 +474679,8 @@ 0 0 4 +1 +4 0x6612 0x5e9eb367 256 @@ -379751,6 +474689,8 @@ 0 0 1 +3 +1 0x4078 0x5b8f2ad1 256 @@ -379758,6 +474698,8 @@ 0 0 0 +1 +3 4 0xb728 0x6433a687 @@ -379766,6 +474708,8 @@ 0 0 0 +5 +5 2 0xab26 0xe451f818 @@ -379774,6 +474718,8 @@ 1 1 0 +5 +1 1 0x4ee1 0x925f3878 @@ -379783,6 +474729,8 @@ 0 0 2 +3 +2 0xc57a 0xb4a55d08 256 @@ -379790,6 +474738,8 @@ 0 0 0 +1 +5 2 0x5680 0xae007be @@ -379798,6 +474748,8 @@ 1 1 0 +0 +5 3 0xfc0c 0xb7860158 @@ -379807,6 +474759,8 @@ 0 0 3 +0 +3 0xe991 0x99467c04 256 @@ -379814,6 +474768,8 @@ 0 0 0 +5 +1 4 0x2256 0x34eb2469 @@ -379822,6 +474778,8 @@ 0 0 0 +2 +0 1 0xf739 0xc673831b @@ -379830,6 +474788,8 @@ 1 0 0 +3 +3 1 0x77a0 0x9714bc7d @@ -379838,6 +474798,8 @@ 1 0 0 +3 +0 1 0x16da 0x30f04621 @@ -379846,6 +474808,8 @@ 0 0 0 +4 +1 1 0xe019 0x116c197d @@ -379854,6 +474818,8 @@ 1 1 0 +0 +2 4 0x9cdd 0x237f4afa @@ -379862,6 +474828,8 @@ 0 0 0 +0 +0 3 0x29dc 0x3b48890f @@ -379870,6 +474838,8 @@ 0 0 0 +5 +0 1 0x7958 0x39b2ae1d @@ -379878,6 +474848,8 @@ 0 0 0 +0 +5 2 0xa044 0x9d2938b7 @@ -379886,6 +474858,8 @@ 0 0 0 +5 +2 4 0x8130 0xcf762e52 @@ -379894,6 +474868,8 @@ 0 0 0 +0 +2 2 0x14b1 0x4d01c454 @@ -379902,6 +474878,8 @@ 1 0 0 +0 +1 1 0x5b25 0x882ce785 @@ -379910,6 +474888,8 @@ 0 0 0 +4 +0 1 0xa6d8 0x51436246 @@ -379919,6 +474899,8 @@ 1 0 1 +4 +1 0xdbc 0xba236a8e 256 @@ -379926,6 +474908,8 @@ 1 0 0 +4 +4 3 0xb83f 0xe2855068 @@ -379934,6 +474918,8 @@ 1 1 0 +0 +1 4 0xd6ba 0x7f1f3dd9 @@ -379942,6 +474928,8 @@ 0 0 0 +0 +3 4 0xd80e 0xd841ffa7 @@ -379950,6 +474938,8 @@ 0 0 0 +0 +5 2 0xefc7 0x5913a5b0 @@ -379958,6 +474948,8 @@ 0 0 0 +3 +0 2 0xbe93 0x2f224cf9 @@ -379966,6 +474958,8 @@ 1 0 0 +0 +4 1 0x2c30 0xb3a95e1d @@ -379974,6 +474968,8 @@ 0 0 0 +4 +0 2 0xee86 0x78b852bd @@ -379982,6 +474978,8 @@ 1 1 0 +3 +3 2 0x8e0a 0x63ac0e32 @@ -379990,6 +474988,8 @@ 1 1 0 +0 +2 1 0x3709 0x74f6021d @@ -379999,6 +474999,8 @@ 0 0 1 +0 +1 0x60e0 0x57b759a2 256 @@ -380006,6 +475008,8 @@ 0 0 0 +3 +2 1 0x76d1 0x306ac136 @@ -380014,6 +475018,8 @@ 0 0 0 +0 +3 3 0x844e 0x38742cd8 @@ -380022,6 +475028,8 @@ 0 0 0 +0 +0 3 0x82c0 0x1fb172c8 @@ -380030,6 +475038,8 @@ 0 0 0 +0 +0 2 0x14d0 0x90c984cd @@ -380039,6 +475049,8 @@ 0 0 4 +4 +4 0x21dd 0xa88259c0 256 @@ -380046,6 +475058,8 @@ 0 0 0 +3 +5 4 0x198d 0x162dcdac @@ -380054,6 +475068,8 @@ 1 0 0 +1 +2 4 0xb137 0x2ea304de @@ -380062,6 +475078,8 @@ 0 0 0 +4 +0 3 0xa87b 0xcd625c6d @@ -380071,6 +475089,8 @@ 0 0 2 +3 +2 0xefc2 0x14bb4fb3 256 @@ -380078,6 +475098,8 @@ 0 0 0 +5 +3 2 0x7b98 0x1225a1d3 @@ -380087,6 +475109,8 @@ 0 0 4 +3 +4 0xe9e0 0xafa2a7b5 256 @@ -380094,6 +475118,8 @@ 0 0 0 +0 +0 4 0xaaea 0x18bf880 @@ -380102,6 +475128,8 @@ 0 0 0 +5 +5 4 0xce7b 0xb9383806 @@ -380110,6 +475138,8 @@ 1 0 0 +2 +5 1 0xc58a 0xf54cfcfa @@ -380118,6 +475148,8 @@ 1 0 0 +0 +3 4 0x736d 0x628891eb @@ -380126,6 +475158,8 @@ 0 0 0 +4 +1 2 0xa342 0xda5ab775 @@ -380134,6 +475168,8 @@ 1 1 0 +0 +3 4 0xac95 0xa5833130 @@ -380142,6 +475178,8 @@ 0 0 0 +4 +1 3 0x29fb 0xdf50b0b3 @@ -380150,6 +475188,8 @@ 0 0 0 +4 +3 3 0x90fb 0xc0803b6b @@ -380158,6 +475198,8 @@ 0 0 0 +4 +1 3 0xdc50 0x4d0dc471 @@ -380167,6 +475209,8 @@ 0 0 4 +2 +4 0xa488 0x740fadf3 256 @@ -380174,6 +475218,8 @@ 0 0 0 +4 +1 3 0x7a56 0x989948c8 @@ -380182,6 +475228,8 @@ 1 1 0 +0 +2 1 0x314f 0x80434907 @@ -380190,6 +475238,8 @@ 0 0 0 +5 +3 1 0xd7c6 0x47ae33af @@ -380198,6 +475248,8 @@ 0 0 0 +3 +2 4 0xdf39 0x8bd0831b @@ -380207,6 +475259,8 @@ 0 0 2 +0 +2 0x7233 0x9d7e2a29 256 @@ -380214,6 +475268,8 @@ 0 0 0 +0 +3 1 0x7970 0x5865f4a @@ -380222,6 +475278,8 @@ 0 0 0 +0 +3 4 0x883b 0x22fb084c @@ -380231,6 +475289,8 @@ 1 0 3 +5 +3 0x37c7 0x89ff1db1 256 @@ -380238,6 +475298,8 @@ 0 0 0 +3 +5 2 0x4c8e 0xcc9086c9 @@ -380246,6 +475308,8 @@ 0 0 0 +1 +5 4 0x41e2 0xe13fb35b @@ -380254,6 +475318,8 @@ 1 0 0 +1 +0 2 0x7850 0x39aef870 @@ -380262,6 +475328,8 @@ 1 0 0 +5 +0 4 0x425f 0x18d34e48 @@ -380270,6 +475338,8 @@ 0 0 0 +1 +5 3 0x11e2 0xf7c5acc6 @@ -380278,6 +475348,8 @@ 1 0 0 +1 +5 2 0xc2cb 0xa3692b6a @@ -380287,6 +475359,8 @@ 0 0 4 +2 +4 0x8fed 0x88536c39 256 @@ -380294,6 +475368,8 @@ 1 0 0 +0 +1 4 0x2387 0xd9d59bb3 @@ -380302,6 +475378,8 @@ 0 0 0 +0 +3 2 0xd7c8 0x2258f616 @@ -380310,6 +475388,8 @@ 0 0 0 +1 +5 2 0xa0d 0x1c6dbb26 @@ -380318,6 +475398,8 @@ 1 1 0 +4 +5 3 0x10b1 0xf90d4827 @@ -380326,6 +475408,8 @@ 1 0 0 +3 +3 1 0x1424 0x9aa07f1d @@ -380334,6 +475418,8 @@ 1 0 0 +0 +1 2 0x7e90 0xd332fb6f @@ -380343,6 +475429,8 @@ 0 0 4 +1 +4 0x5a1d 0x5f56cd3 256 @@ -380350,6 +475438,8 @@ 0 0 0 +5 +3 4 0xcd9d 0x51bb5185 @@ -380358,6 +475448,8 @@ 0 0 0 +3 +0 4 0xaa13 0xf00e2cbb @@ -380366,6 +475458,8 @@ 0 0 0 +5 +3 3 0xaafd 0x2f7f7162 @@ -380374,6 +475468,8 @@ 1 1 0 +0 +4 2 0xeacd 0xb3a2fe9f @@ -380382,6 +475478,8 @@ 0 0 0 +1 +1 3 0x35d6 0xaccf64a8 @@ -380390,6 +475488,8 @@ 1 1 0 +2 +2 3 0x79df 0x3d59c2f4 @@ -380398,6 +475498,8 @@ 1 1 0 +3 +0 2 0x896 0x897c4055 @@ -380406,6 +475508,8 @@ 0 0 0 +1 +4 3 0x3e24 0x21f3d2c9 @@ -380414,6 +475518,8 @@ 0 0 0 +3 +4 2 0xbfa1 0x52bcaa29 @@ -380422,6 +475528,8 @@ 0 0 0 +1 +1 3 0x5b29 0x73857c9a @@ -380430,6 +475538,8 @@ 0 0 0 +5 +2 2 0x2abb 0x97b9b572 @@ -380438,6 +475548,8 @@ 1 1 0 +2 +4 3 0xd78c 0x6703edbc @@ -380446,6 +475558,8 @@ 0 0 0 +0 +5 3 0x7967 0xcb28dd72 @@ -380454,6 +475568,8 @@ 0 0 0 +1 +3 4 0xec14 0x73979702 @@ -380463,6 +475579,8 @@ 0 0 4 +5 +4 0x4413 0xd7f09774 256 @@ -380470,6 +475588,8 @@ 0 0 0 +0 +3 2 0xc57 0xaa965187 @@ -380478,6 +475598,8 @@ 0 0 0 +4 +5 1 0xe784 0x1a5ee3be @@ -380486,6 +475608,8 @@ 0 0 0 +3 +5 4 0x95eb 0x8f5d616a @@ -380495,6 +475619,8 @@ 0 0 2 +4 +2 0x77ca 0x4e5a0b17 256 @@ -380502,6 +475628,8 @@ 1 0 0 +5 +1 3 0xf195 0x4539f2ef @@ -380510,6 +475638,8 @@ 0 0 0 +5 +3 4 0x6aa6 0x61688dfb @@ -380518,6 +475648,8 @@ 1 0 0 +2 +4 4 0x9bfe 0x64c4671e @@ -380526,6 +475658,8 @@ 0 0 0 +1 +0 3 0xdc68 0x61a407f7 @@ -380534,6 +475668,8 @@ 0 0 0 +1 +5 3 0x8e89 0x3e8a53e @@ -380542,6 +475678,8 @@ 0 0 0 +4 +0 1 0x3bd5 0xfa8374be @@ -380550,6 +475688,8 @@ 1 1 0 +1 +3 4 0x6fca 0xbc0f9d09 @@ -380559,6 +475699,8 @@ 0 0 3 +5 +3 0xad93 0x91cb20a 256 @@ -380567,6 +475709,8 @@ 0 0 2 +2 +2 0x6010 0xa775feef 256 @@ -380574,6 +475718,8 @@ 1 0 0 +2 +0 4 0xb45d 0x12a94a73 @@ -380582,6 +475728,8 @@ 1 1 0 +2 +2 4 0xc020 0x8d279032 @@ -380590,6 +475738,8 @@ 1 1 0 +0 +4 1 0xc1c6 0x5f30d61d @@ -380599,6 +475749,8 @@ 0 0 2 +3 +2 0x5f4f 0xd2d13bc5 256 @@ -380606,6 +475758,8 @@ 0 0 0 +3 +2 2 0x9ef9 0x61fd62c4 @@ -380614,6 +475768,8 @@ 0 0 0 +5 +3 2 0xe822 0xcce8d84a @@ -380622,6 +475778,8 @@ 0 0 0 +5 +2 1 0x8fd6 0xd87e0626 @@ -380630,6 +475788,8 @@ 1 0 0 +4 +4 1 0x6af1 0x870d2d20 @@ -380638,6 +475798,8 @@ 0 0 0 +5 +0 1 0x5a 0xec5938e8 @@ -380646,6 +475808,8 @@ 0 0 0 +5 +2 3 0x593d 0x2c0a8dee @@ -380654,6 +475818,8 @@ 0 0 0 +4 +2 3 0xcdc 0x17f83649 @@ -380663,6 +475829,8 @@ 0 0 4 +0 +4 0x3cc3 0xc4fdd6a3 256 @@ -380670,6 +475838,8 @@ 0 0 0 +1 +0 4 0x656e 0xefb17e55 @@ -380679,6 +475849,8 @@ 1 0 1 +4 +1 0xbfe8 0x462290b4 256 @@ -380686,6 +475858,8 @@ 0 0 0 +4 +1 1 0x5b0d 0x36a574c9 @@ -380694,6 +475868,8 @@ 0 0 0 +5 +5 1 0x8669 0xcd11b452 @@ -380702,6 +475878,8 @@ 1 0 0 +1 +3 2 0x56bb 0x90f4e42b @@ -380710,6 +475888,8 @@ 0 0 0 +1 +3 3 0x42f0 0x2b44f824 @@ -380718,6 +475898,8 @@ 0 0 0 +2 +1 3 0xffa2 0xf3309e7f @@ -380726,6 +475908,8 @@ 1 0 0 +5 +2 2 0xc6f5 0x7340f551 @@ -380735,6 +475919,8 @@ 0 0 1 +3 +1 0xaef6 0xd29888bf 256 @@ -380742,6 +475928,8 @@ 1 1 0 +4 +1 3 0x618c 0x1ea7c55a @@ -380750,6 +475938,8 @@ 1 0 0 +0 +0 3 0x840d 0x91b2906 @@ -380758,6 +475948,8 @@ 0 0 0 +0 +2 2 0x74d2 0xb234fde5 @@ -380766,6 +475958,8 @@ 0 0 0 +1 +2 2 0xce44 0x94b8c4e0 @@ -380774,6 +475968,8 @@ 0 0 0 +5 +0 1 0x1df 0x96b4d688 @@ -380782,6 +475978,8 @@ 0 0 0 +4 +2 2 0xa9d8 0xc401db24 @@ -380790,6 +475988,8 @@ 0 0 0 +4 +5 1 0x1562 0x1c72efc1 @@ -380798,6 +475998,8 @@ 0 0 0 +2 +3 3 0xb04 0x4be7104f @@ -380806,6 +476008,8 @@ 1 1 0 +4 +0 3 0xf85 0xb5dda0a2 @@ -380814,6 +476018,8 @@ 1 0 0 +5 +2 4 0x885d 0xaaac4fec @@ -380822,6 +476028,8 @@ 0 0 0 +3 +0 2 0xcc6 0x14d3f739 @@ -380830,6 +476038,8 @@ 1 0 0 +5 +2 3 0xc1e1 0x4fa4e9e @@ -380838,6 +476048,8 @@ 1 0 0 +1 +0 2 0x6283 0x8d66d399 @@ -380846,6 +476058,8 @@ 0 0 0 +0 +2 1 0xb738 0x876af018 @@ -380855,6 +476069,8 @@ 0 0 4 +5 +4 0x9400 0x2f946704 256 @@ -380862,6 +476078,8 @@ 0 0 0 +5 +5 4 0xe2ec 0xddb2f72a @@ -380870,6 +476088,8 @@ 1 0 0 +0 +4 2 0xfa55 0xefd93234 @@ -380878,6 +476098,8 @@ 0 0 0 +5 +2 2 0xa2b6 0x7f08cab0 @@ -380887,6 +476109,8 @@ 0 0 4 +0 +4 0x46f7 0x9e01ae7c 256 @@ -380894,6 +476118,8 @@ 1 1 0 +1 +0 3 0xf10b 0xf4bccf07 @@ -380902,6 +476128,8 @@ 1 1 0 +0 +4 3 0x206 0x39a70cec @@ -380910,6 +476138,8 @@ 0 0 0 +3 +5 2 0x5a4b 0x6ec1c375 @@ -380919,6 +476149,8 @@ 1 0 2 +4 +2 0x7387 0x4d1f5f5b 256 @@ -380926,6 +476158,8 @@ 0 0 0 +3 +2 4 0xd398 0x1cc40112 @@ -380934,6 +476168,8 @@ 0 0 0 +4 +0 2 0x3a64 0xf95e744 @@ -380942,6 +476178,8 @@ 1 0 0 +0 +0 2 0x3c30 0x717f1f22 @@ -380950,6 +476188,8 @@ 1 1 0 +3 +2 2 0xa46c 0x5647a596 @@ -380959,6 +476199,8 @@ 0 0 1 +0 +1 0x9cf7 0x495b1f8e 256 @@ -380966,6 +476208,8 @@ 0 0 0 +4 +5 3 0x4572 0x28fb4644 @@ -380974,6 +476218,8 @@ 0 0 0 +2 +0 3 0x564a 0x282312b9 @@ -380982,6 +476228,8 @@ 1 0 0 +3 +1 2 0xe5f4 0x40e12b30 @@ -380990,6 +476238,8 @@ 1 1 0 +2 +0 4 0x5067 0xa56470b0 @@ -380998,6 +476248,8 @@ 1 1 0 +3 +1 2 0x3e15 0x1fc69e41 @@ -381006,6 +476258,8 @@ 0 0 0 +2 +3 1 0xf0f2 0x56dedf39 @@ -381015,6 +476269,8 @@ 0 0 1 +1 +1 0x7f4c 0x955fc079 256 @@ -381022,6 +476278,8 @@ 1 0 0 +5 +0 4 0x7613 0xca885139 @@ -381030,6 +476288,8 @@ 1 0 0 +3 +2 1 0x5f8c 0x491d891b @@ -381038,6 +476298,8 @@ 1 1 0 +5 +2 1 0xeddd 0x8146c64c @@ -381046,6 +476308,8 @@ 1 1 0 +2 +1 4 0xd5d5 0xa135803a @@ -381054,6 +476318,8 @@ 0 0 0 +0 +5 3 0x26bb 0x5c0aae62 @@ -381062,6 +476328,8 @@ 1 0 0 +5 +4 1 0x3ae1 0x5bdcfe73 @@ -381070,6 +476338,8 @@ 1 1 0 +4 +1 2 0x7193 0xf8c0702c @@ -381078,6 +476348,8 @@ 1 0 0 +1 +0 4 0x9c4d 0x6e32dfcc @@ -381086,6 +476358,8 @@ 0 0 0 +1 +3 2 0xba1f 0x5461bfc8 @@ -381094,6 +476368,8 @@ 1 0 0 +5 +3 3 0x8153 0xaf9663ca @@ -381102,6 +476378,8 @@ 0 0 0 +1 +2 2 0xcb17 0xc62ad1da @@ -381110,6 +476388,8 @@ 1 0 0 +5 +4 4 0x168d 0x92e9d3e4 @@ -381118,6 +476398,8 @@ 0 0 0 +0 +3 2 0xc6d 0xca615d32 @@ -381127,6 +476409,8 @@ 0 0 2 +1 +2 0xa73b 0x2c889e10 256 @@ -381134,6 +476418,8 @@ 1 1 0 +5 +2 4 0x242d 0x694cc37b @@ -381142,6 +476428,8 @@ 1 1 0 +0 +2 3 0x1f2d 0xa5afbab2 @@ -381150,6 +476438,8 @@ 1 0 0 +2 +3 4 0xc5cb 0xf9352025 @@ -381158,6 +476448,8 @@ 0 0 0 +3 +5 2 0x58ca 0x8ae32e88 @@ -381166,6 +476458,8 @@ 1 0 0 +5 +1 3 0x13d9 0x816d18f0 @@ -381174,6 +476468,8 @@ 1 1 0 +3 +3 4 0x389 0xe94bf37d @@ -381182,6 +476478,8 @@ 1 1 0 +1 +4 2 0x41b0 0xb55c2e11 @@ -381190,6 +476488,8 @@ 1 0 0 +0 +0 3 0xc8af 0x6b85eb52 @@ -381198,6 +476498,8 @@ 0 0 0 +4 +2 3 0x2f11 0xa851f190 @@ -381207,6 +476509,8 @@ 1 0 2 +1 +2 0xf2d4 0xedf8a807 256 @@ -381215,6 +476519,8 @@ 1 0 4 +5 +4 0xc462 0x5a3f4667 256 @@ -381222,6 +476528,8 @@ 0 0 0 +3 +1 4 0x479f 0x9a964c69 @@ -381230,6 +476538,8 @@ 0 0 0 +4 +5 2 0xd9e9 0x3a3162a8 @@ -381238,6 +476548,8 @@ 0 0 0 +3 +5 2 0x471a 0xb65455ae @@ -381246,6 +476558,8 @@ 1 1 0 +3 +1 4 0x17b1 0x4937b78c @@ -381254,6 +476568,8 @@ 1 0 0 +5 +3 1 0xcab7 0xb66ce365 @@ -381262,6 +476578,8 @@ 0 0 0 +2 +0 1 0xfacd 0xe65209fc @@ -381270,6 +476588,8 @@ 1 1 0 +0 +3 3 0x9d35 0x6a9c8f53 @@ -381278,6 +476598,8 @@ 1 0 0 +4 +1 3 0x702a 0x8873aea6 @@ -381287,6 +476609,8 @@ 1 0 3 +4 +3 0x406d 0xcb90de88 256 @@ -381294,6 +476618,8 @@ 0 0 0 +0 +0 2 0xa0d6 0xf660f779 @@ -381302,6 +476628,8 @@ 0 0 0 +2 +0 3 0x4cd 0xfe5e898f @@ -381311,6 +476639,8 @@ 0 0 1 +0 +1 0xfdb2 0xbaec5ef4 256 @@ -381318,6 +476648,8 @@ 1 1 0 +0 +0 1 0x991a 0x87b23601 @@ -381326,6 +476658,8 @@ 0 0 0 +0 +3 2 0x36f3 0x361d994f @@ -381334,6 +476668,8 @@ 0 0 0 +0 +5 4 0x5a76 0x154b2e5e @@ -381342,6 +476678,8 @@ 0 0 0 +0 +0 4 0x439e 0xef013f04 @@ -381350,6 +476688,8 @@ 1 0 0 +3 +4 1 0x8bf2 0x87789203 @@ -381358,6 +476698,8 @@ 0 0 0 +1 +2 2 0xe3d4 0x25ae52b @@ -381366,6 +476708,8 @@ 1 0 0 +2 +5 4 0xac9e 0x5998d454 @@ -381374,6 +476718,8 @@ 1 1 0 +3 +0 2 0xbb8 0xfccd71d8 @@ -381382,6 +476728,8 @@ 0 0 0 +1 +2 2 0xa66 0xb5db49e0 @@ -381390,6 +476738,8 @@ 1 0 0 +2 +2 1 0x14a1 0x44aebc8f @@ -381398,6 +476748,8 @@ 0 0 0 +5 +3 1 0x559d 0x2cd1ac9 @@ -381406,6 +476758,8 @@ 0 0 0 +5 +2 2 0xcba4 0x61b36ef1 @@ -381414,6 +476768,8 @@ 0 0 0 +2 +4 4 0x9f78 0x98923fca @@ -381422,6 +476778,8 @@ 0 0 0 +3 +0 2 0xb6c1 0xf39ef3f3 @@ -381430,6 +476788,8 @@ 1 1 0 +2 +2 1 0x571 0x858bd138 @@ -381438,6 +476798,8 @@ 0 0 0 +3 +5 4 0x2973 0xab5bc0ca @@ -381446,6 +476808,8 @@ 0 0 0 +4 +4 1 0xa90 0xb420de33 @@ -381455,6 +476819,8 @@ 1 0 3 +0 +3 0x3fda 0x9ab04e0 256 @@ -381462,6 +476828,8 @@ 0 0 0 +4 +3 1 0xe8a3 0x66c1059e @@ -381470,6 +476838,8 @@ 0 0 0 +3 +4 1 0x29dd 0x8bbc1b74 @@ -381478,6 +476848,8 @@ 1 1 0 +4 +0 3 0x42ae 0xad21cd8 @@ -381486,6 +476858,8 @@ 1 0 0 +5 +1 1 0x8d1d 0x3d488d60 @@ -381494,6 +476868,8 @@ 0 0 0 +2 +4 4 0x71bd 0x9de297f3 @@ -381502,6 +476878,8 @@ 1 0 0 +5 +0 2 0x24de 0xed278604 @@ -381510,6 +476888,8 @@ 1 0 0 +5 +1 3 0xe7c 0xe6091e74 @@ -381519,6 +476899,8 @@ 0 0 2 +3 +2 0x1b51 0x6d1c7a0b 256 @@ -381526,6 +476908,8 @@ 0 0 0 +5 +5 1 0x3150 0xc3f87c22 @@ -381534,6 +476918,8 @@ 1 1 0 +5 +0 1 0xebdd 0x4345e77a @@ -381542,6 +476928,8 @@ 1 1 0 +2 +4 3 0xd2a3 0x27446b16 @@ -381550,6 +476938,8 @@ 0 0 0 +5 +3 1 0xe3a9 0x81086858 @@ -381558,6 +476948,8 @@ 1 0 0 +1 +0 4 0xe444 0x389ae36e @@ -381566,6 +476958,8 @@ 1 0 0 +4 +1 3 0x63f8 0x6683bfdd @@ -381574,6 +476968,8 @@ 0 0 0 +4 +4 2 0x6a0a 0x2723467e @@ -381583,6 +476979,8 @@ 1 0 3 +1 +3 0x4cb6 0xace71f77 256 @@ -381590,6 +476988,8 @@ 0 0 0 +0 +0 3 0x81c0 0x1e9c8b54 @@ -381599,6 +476999,8 @@ 0 0 2 +5 +2 0x78a4 0xb3fa52a1 256 @@ -381606,6 +477008,8 @@ 0 0 0 +0 +1 1 0xab99 0xc81625ca @@ -381614,6 +477018,8 @@ 0 0 0 +5 +5 2 0x474a 0x861ec05d @@ -381622,6 +477028,8 @@ 1 0 0 +5 +2 1 0xa093 0x27b4d105 @@ -381630,6 +477038,8 @@ 1 0 0 +4 +1 3 0x89e3 0x9b446457 @@ -381638,6 +477048,8 @@ 0 0 0 +3 +3 4 0x423f 0x41b5588 @@ -381646,6 +477058,8 @@ 0 0 0 +0 +3 4 0xd275 0x13b19632 @@ -381654,6 +477068,8 @@ 0 0 0 +0 +2 3 0xb4d1 0x17a20a71 @@ -381662,6 +477078,8 @@ 1 0 0 +5 +5 2 0x753c 0xaecb9130 @@ -381670,6 +477088,8 @@ 0 0 0 +0 +5 4 0x5fa8 0x7fef7631 @@ -381678,6 +477098,8 @@ 1 1 0 +4 +4 2 0x3ca2 0xcd837964 @@ -381686,6 +477108,8 @@ 0 0 0 +4 +5 2 0x1ef8 0xad66e0ef @@ -381694,6 +477118,8 @@ 1 0 0 +0 +1 2 0x58f1 0xd58130c3 @@ -381702,6 +477128,8 @@ 1 1 0 +4 +3 1 0x9661 0xedf445f0 @@ -381710,6 +477138,8 @@ 0 0 0 +1 +5 2 0x6019 0xf516900b @@ -381718,6 +477148,8 @@ 0 0 0 +4 +0 2 0xb5ea 0x2500ad4b @@ -381726,6 +477158,8 @@ 0 0 0 +0 +1 4 0xafe 0x3d5afffc @@ -381734,6 +477168,8 @@ 0 0 0 +3 +0 1 0x65f3 0x6e4bf558 @@ -381742,6 +477178,8 @@ 0 0 0 +0 +4 1 0xb1a9 0xc8f0c75d @@ -381750,6 +477188,8 @@ 0 0 0 +0 +1 4 0x3b47 0xc67005c9 @@ -381758,6 +477198,8 @@ 1 1 0 +0 +1 4 0x5e45 0x1f2ded6f @@ -381766,6 +477208,8 @@ 1 0 0 +1 +4 4 0xf8b7 0x301258c1 @@ -381775,6 +477219,8 @@ 0 0 2 +3 +2 0x2ba9 0x3e95be8b 256 @@ -381782,6 +477228,8 @@ 1 0 0 +2 +4 1 0xec87 0x5ea09a43 @@ -381790,6 +477238,8 @@ 1 0 0 +3 +2 1 0xf74 0x9de2a971 @@ -381798,6 +477248,8 @@ 0 0 0 +4 +2 2 0x2fb7 0x160e295c @@ -381806,6 +477258,8 @@ 1 0 0 +2 +1 1 0xcf7b 0x3c4ecd85 @@ -381814,6 +477268,8 @@ 0 0 0 +4 +5 2 0xd7e3 0xcfff4df6 @@ -381823,6 +477279,8 @@ 0 0 1 +1 +1 0x4778 0x7d84cd90 256 @@ -381830,6 +477288,8 @@ 1 0 0 +3 +4 2 0x8427 0xebd8bba3 @@ -381838,6 +477298,8 @@ 1 1 0 +3 +5 4 0xf2a0 0xca004213 @@ -381847,6 +477309,8 @@ 1 0 3 +1 +3 0xc991 0xb20a7246 256 @@ -381854,6 +477318,8 @@ 0 0 0 +0 +2 1 0x58ab 0x86668402 @@ -381862,6 +477328,8 @@ 0 0 0 +4 +3 3 0x8500 0x73e03dc @@ -381870,6 +477338,8 @@ 0 0 0 +1 +3 4 0x440c 0xd0916005 @@ -381878,6 +477348,8 @@ 0 0 0 +3 +0 2 0xb8e3 0x4aaa7c2f @@ -381886,6 +477358,8 @@ 0 0 0 +5 +1 4 0x4466 0x2c55f730 @@ -381894,6 +477368,8 @@ 0 0 0 +5 +5 4 0xfa00 0x35f98489 @@ -381902,6 +477378,8 @@ 0 0 0 +0 +3 3 0x4299 0x5743071f @@ -381911,6 +477389,8 @@ 0 0 2 +1 +2 0x316 0x5e6f5de 256 @@ -381918,6 +477398,8 @@ 0 0 0 +4 +0 2 0x3958 0x2e23d4fc @@ -381926,6 +477408,8 @@ 1 1 0 +5 +4 3 0x24eb 0x10b6802b @@ -381934,6 +477418,8 @@ 0 0 0 +0 +5 1 0x2b8e 0x684afbb7 @@ -381942,6 +477428,8 @@ 1 0 0 +2 +2 1 0xea01 0xd4e81ad4 @@ -381950,6 +477438,8 @@ 1 0 0 +5 +2 2 0xa0ea 0x27899364 @@ -381958,6 +477448,8 @@ 1 0 0 +0 +5 4 0x466f 0x78ffdcc8 @@ -381966,6 +477458,8 @@ 0 0 0 +0 +4 3 0xd26f 0x7b856fd1 @@ -381974,6 +477468,8 @@ 0 0 0 +5 +1 4 0x86d3 0xda9801e7 @@ -381982,6 +477478,8 @@ 1 0 0 +5 +3 2 0x4cbe 0xd6f98cc7 @@ -381991,6 +477489,8 @@ 0 0 3 +1 +3 0x4a6b 0x1fe62d97 256 @@ -381998,6 +477498,8 @@ 0 0 0 +5 +4 1 0xacd8 0xcb39b61a @@ -382006,6 +477508,8 @@ 0 0 0 +2 +1 3 0xc6f1 0x482f6f8e @@ -382014,6 +477518,8 @@ 1 1 0 +1 +1 2 0x9599 0x81f4f5ea @@ -382022,6 +477528,8 @@ 0 0 0 +0 +4 4 0xba53 0x3cb6d2e3 @@ -382030,6 +477538,8 @@ 1 1 0 +5 +5 3 0xe89 0xf30a32f7 @@ -382038,6 +477548,8 @@ 0 0 0 +5 +1 3 0xe5d1 0x6ce3fd69 @@ -382046,6 +477558,8 @@ 0 0 0 +2 +2 1 0x9c15 0x18f55a3f @@ -382054,6 +477568,8 @@ 1 1 0 +5 +2 4 0x9189 0x4257c26e @@ -382062,6 +477578,8 @@ 1 0 0 +2 +3 3 0x9356 0x3cfd90fc @@ -382071,6 +477589,8 @@ 1 0 4 +2 +4 0xc9ca 0xc80a1a75 256 @@ -382079,6 +477599,8 @@ 0 0 2 +5 +2 0x1fcd 0xb1f1edfc 256 @@ -382086,6 +477608,8 @@ 1 0 0 +0 +5 3 0xd21 0xf088fca6 @@ -382094,6 +477618,8 @@ 1 0 0 +0 +2 3 0xfd97 0x85aae879 @@ -382103,6 +477629,8 @@ 1 0 4 +2 +4 0xaf75 0xf22a1aa 256 @@ -382111,6 +477639,8 @@ 0 0 4 +5 +4 0x912b 0x77b1c4ef 256 @@ -382119,6 +477649,8 @@ 0 0 3 +4 +3 0xd837 0x4cedc68e 256 @@ -382126,6 +477658,8 @@ 0 0 0 +5 +0 3 0x600c 0x721f069b @@ -382134,6 +477668,8 @@ 1 1 0 +2 +4 3 0x37aa 0xd3c929a2 @@ -382142,6 +477678,8 @@ 0 0 0 +3 +3 4 0x8964 0xa56d4c51 @@ -382150,6 +477688,8 @@ 0 0 0 +2 +2 4 0xeb44 0x4062f1f9 @@ -382158,6 +477698,8 @@ 0 0 0 +2 +2 4 0x80ec 0x9d343b18 @@ -382166,6 +477708,8 @@ 1 1 0 +0 +4 3 0xc3d0 0xffbff7d4 @@ -382174,6 +477718,8 @@ 0 0 0 +4 +5 3 0xeecd 0x4d998b38 @@ -382183,6 +477729,8 @@ 0 0 4 +4 +4 0xc51a 0x3202ab51 256 @@ -382190,6 +477738,8 @@ 1 1 0 +0 +5 1 0x5d93 0xfec60e3d @@ -382198,6 +477748,8 @@ 1 1 0 +1 +3 4 0x8964 0x3ad33018 @@ -382206,6 +477758,8 @@ 0 0 0 +2 +0 3 0x17aa 0x15a72c4d @@ -382214,6 +477768,8 @@ 0 0 0 +3 +5 2 0xe7db 0xaf4d76cf @@ -382222,6 +477778,8 @@ 1 1 0 +1 +2 2 0x41ac 0x17666744 @@ -382230,6 +477788,8 @@ 1 1 0 +0 +5 3 0xa97c 0x10e539da @@ -382238,6 +477798,8 @@ 0 0 0 +2 +3 1 0x2431 0x62914065 @@ -382246,6 +477808,8 @@ 0 0 0 +2 +0 4 0x280c 0x91277d24 @@ -382254,6 +477818,8 @@ 0 0 0 +1 +0 4 0xf00 0xccdbaac3 @@ -382262,6 +477828,8 @@ 1 0 0 +2 +1 1 0x78d0 0x63ba9e40 @@ -382270,6 +477838,8 @@ 1 0 0 +0 +2 3 0xaabd 0x1319e123 @@ -382278,6 +477848,8 @@ 1 1 0 +0 +3 2 0xad0d 0x7c696fdf @@ -382286,6 +477858,8 @@ 0 0 0 +0 +5 4 0x78c1 0x42799ac3 @@ -382294,6 +477868,8 @@ 0 0 0 +4 +5 3 0x908d 0xb10a228c @@ -382303,6 +477879,8 @@ 0 0 2 +1 +2 0x5123 0x997373bd 256 @@ -382311,6 +477889,8 @@ 0 0 2 +3 +2 0xde5f 0x6eb8ca30 256 @@ -382318,6 +477898,8 @@ 0 0 0 +3 +5 4 0xb5e 0x8cb35911 @@ -382327,6 +477909,8 @@ 0 0 2 +1 +2 0xe929 0xc0207b37 256 @@ -382335,6 +477919,8 @@ 0 0 3 +3 +3 0xb4cc 0x450c90cf 256 @@ -382343,6 +477929,8 @@ 0 0 2 +4 +2 0x6ea2 0xdc8ed6b7 256 @@ -382350,6 +477938,8 @@ 0 0 0 +0 +2 3 0xabd4 0xd6a64d98 @@ -382358,6 +477948,8 @@ 1 1 0 +3 +2 2 0x5311 0x5e0dc301 @@ -382367,6 +477959,8 @@ 0 0 4 +4 +4 0xd9d3 0xcdd84720 256 @@ -382374,6 +477968,8 @@ 1 1 0 +3 +2 4 0x193c 0x83002493 @@ -382382,6 +477978,8 @@ 1 0 0 +3 +0 2 0x9aee 0xedc293df @@ -382390,6 +477988,8 @@ 0 0 0 +4 +3 3 0xc696 0xda4cfcbc @@ -382398,6 +477998,8 @@ 1 0 0 +5 +2 4 0xd944 0x1014305e @@ -382407,6 +478009,8 @@ 1 0 4 +3 +4 0xeb63 0x7b53ba32 256 @@ -382414,6 +478018,8 @@ 1 1 0 +5 +2 1 0x228d 0xe1c7f318 @@ -382422,6 +478028,8 @@ 1 1 0 +3 +4 1 0xe89c 0xc0c8f705 @@ -382430,6 +478038,8 @@ 1 1 0 +3 +1 1 0xdb4e 0x71e7355c @@ -382438,6 +478048,8 @@ 0 0 0 +3 +3 2 0xe254 0xb6dc22be @@ -382446,6 +478058,8 @@ 0 0 0 +5 +4 1 0x3030 0xa0ca5caf @@ -382454,6 +478068,8 @@ 1 1 0 +5 +0 4 0x6c02 0x44726203 @@ -382462,6 +478078,8 @@ 0 0 0 +3 +5 4 0x8d9f 0x7603799c @@ -382470,6 +478088,8 @@ 0 0 0 +2 +0 3 0x9982 0x4acc404 @@ -382478,6 +478098,8 @@ 1 0 0 +1 +5 2 0x4d42 0x759ea5bb @@ -382486,6 +478108,8 @@ 0 0 0 +2 +4 4 0x1d1a 0xa66c8889 @@ -382494,6 +478118,8 @@ 0 0 0 +1 +4 3 0xff75 0x16d84813 @@ -382502,6 +478128,8 @@ 0 0 0 +0 +3 4 0xec6e 0xa0786110 @@ -382510,6 +478138,8 @@ 1 0 0 +0 +5 3 0x7ad3 0xd6c9b3cb @@ -382518,6 +478148,8 @@ 0 0 0 +2 +5 1 0xb5cf 0x9968b3c9 @@ -382526,6 +478158,8 @@ 0 0 0 +5 +4 2 0xd56c 0x4cb59c12 @@ -382534,6 +478168,8 @@ 1 0 0 +5 +4 2 0xbb79 0x1952d90f @@ -382542,6 +478178,8 @@ 1 1 0 +4 +2 3 0x4e4d 0xf73fdd2a @@ -382550,6 +478188,8 @@ 1 1 0 +2 +4 1 0x651c 0x48a93e2f @@ -382558,6 +478198,8 @@ 1 1 0 +0 +2 4 0x3ed7 0xa89ed67c @@ -382566,6 +478208,8 @@ 0 0 0 +2 +4 4 0xcd2c 0x60ae4705 @@ -382574,6 +478218,8 @@ 0 0 0 +4 +0 2 0x8ae8 0xc819be69 @@ -382582,6 +478228,8 @@ 1 1 0 +5 +1 1 0x9198 0xe77a1f79 @@ -382590,6 +478238,8 @@ 0 0 0 +3 +5 4 0xc2e6 0x4dd47444 @@ -382598,6 +478248,8 @@ 1 1 0 +2 +5 1 0xf5d0 0xd809a532 @@ -382606,6 +478258,8 @@ 0 0 0 +2 +0 4 0x2123 0x9b07359f @@ -382614,6 +478268,8 @@ 1 0 0 +1 +4 2 0xa2b1 0x1204a7cf @@ -382622,6 +478278,8 @@ 1 1 0 +3 +5 4 0x983c 0x31bf4cd4 @@ -382630,6 +478288,8 @@ 1 0 0 +4 +5 2 0x79a8 0x14fd5283 @@ -382638,6 +478298,8 @@ 0 0 0 +3 +2 4 0x60de 0x4daec9d9 @@ -382646,6 +478308,8 @@ 1 0 0 +0 +1 2 0x63c0 0xb00903b6 @@ -382654,6 +478318,8 @@ 1 1 0 +2 +5 3 0x4a65 0xc051dcbc @@ -382662,6 +478328,8 @@ 0 0 0 +4 +2 2 0x72a7 0x15a415a3 @@ -382670,6 +478338,8 @@ 1 1 0 +5 +4 4 0xd67f 0x960af95 @@ -382678,6 +478348,8 @@ 0 0 0 +0 +5 3 0x1949 0x14d54768 @@ -382686,6 +478358,8 @@ 0 0 0 +2 +3 4 0x40b8 0x1b743a28 @@ -382695,6 +478369,8 @@ 0 0 2 +4 +2 0xe729 0xcbdedf65 256 @@ -382702,6 +478378,8 @@ 1 0 0 +4 +2 2 0x2c0c 0x8ca359f7 @@ -382710,6 +478388,8 @@ 0 0 0 +1 +5 2 0x9377 0xb148c3a7 @@ -382719,6 +478399,8 @@ 0 0 4 +1 +4 0x571f 0xa8824f6b 256 @@ -382726,6 +478408,8 @@ 0 0 0 +1 +2 3 0xac9e 0xf8f1d85e @@ -382734,6 +478418,8 @@ 0 0 0 +5 +3 3 0xaa62 0xf8cbb5a3 @@ -382742,6 +478428,8 @@ 0 0 0 +3 +1 4 0x5777 0x3e638d65 @@ -382750,6 +478438,8 @@ 0 0 0 +3 +4 2 0xc9ed 0x3259c1c @@ -382758,6 +478448,8 @@ 1 0 0 +3 +0 2 0x4816 0x83309dc0 @@ -382766,6 +478458,8 @@ 0 0 0 +0 +2 2 0xe869 0x8c91719b @@ -382774,6 +478468,8 @@ 0 0 0 +0 +1 3 0xa121 0x9b6cdb59 @@ -382782,6 +478478,8 @@ 0 0 0 +1 +3 4 0x69a3 0x5bd8fe97 @@ -382790,6 +478488,8 @@ 0 0 0 +5 +2 3 0x6412 0xa2e6175d @@ -382798,6 +478498,8 @@ 0 0 0 +0 +3 3 0xc401 0x6af488c2 @@ -382807,6 +478509,8 @@ 0 0 4 +2 +4 0xc7b8 0xc6c5389f 256 @@ -382815,6 +478519,8 @@ 0 0 3 +1 +3 0x88 0xf991c412 256 @@ -382822,6 +478528,8 @@ 0 0 0 +1 +3 3 0xacb7 0xdea17999 @@ -382830,6 +478538,8 @@ 0 0 0 +0 +0 2 0xeff4 0x22c2e775 @@ -382838,6 +478548,8 @@ 0 0 0 +5 +2 4 0xa771 0xcaa40857 @@ -382846,6 +478558,8 @@ 1 0 0 +2 +3 3 0x7988 0x36c9140b @@ -382854,6 +478568,8 @@ 1 1 0 +2 +3 1 0xb987 0xa22f3bcf @@ -382862,6 +478578,8 @@ 1 1 0 +0 +5 2 0x6998 0xcb78743d @@ -382870,6 +478588,8 @@ 0 0 0 +2 +4 3 0x2f65 0x35a66b6b @@ -382878,6 +478598,8 @@ 1 0 0 +0 +4 4 0x3ab5 0x7bbfe7c9 @@ -382886,6 +478608,8 @@ 1 0 0 +4 +3 1 0x599 0x27d9d5b @@ -382895,6 +478619,8 @@ 1 0 3 +2 +3 0xfded 0x90c3ce5e 256 @@ -382902,6 +478628,8 @@ 1 0 0 +0 +1 2 0x79e 0xd12bda1 @@ -382910,6 +478638,8 @@ 1 1 0 +4 +4 2 0xc8ad 0xffe5ae6c @@ -382919,6 +478649,8 @@ 0 0 2 +2 +2 0xcf7f 0x3c38bc34 256 @@ -382926,6 +478658,8 @@ 1 1 0 +5 +4 2 0x14d4 0x7f458382 @@ -382934,6 +478668,8 @@ 0 0 0 +5 +2 1 0x7541 0x7f2db2bd @@ -382942,6 +478678,8 @@ 0 0 0 +5 +1 2 0xe166 0x50b85e18 @@ -382950,6 +478688,8 @@ 0 0 0 +5 +2 1 0x30a5 0x485781cd @@ -382958,6 +478698,8 @@ 0 0 0 +3 +0 2 0x1fd0 0x64446b53 @@ -382967,6 +478709,8 @@ 0 0 3 +4 +3 0x3c83 0xeaccd4f9 256 @@ -382974,6 +478718,8 @@ 0 0 0 +0 +2 2 0xdc55 0xe03c5736 @@ -382982,6 +478728,8 @@ 0 0 0 +1 +2 4 0x96a2 0x8219502f @@ -382990,6 +478738,8 @@ 1 1 0 +0 +2 3 0x1d5e 0x2606e455 @@ -382998,6 +478748,8 @@ 1 0 0 +2 +1 1 0xc3f2 0x73175286 @@ -383006,6 +478758,8 @@ 0 0 0 +3 +2 2 0x12d6 0xc4dc60ac @@ -383014,6 +478768,8 @@ 1 1 0 +1 +3 2 0x81a9 0x84de6761 @@ -383023,6 +478779,8 @@ 0 0 1 +2 +1 0x93e5 0x7df3fa0b 256 @@ -383030,6 +478788,8 @@ 1 1 0 +2 +4 3 0xc0a 0x43f5d885 @@ -383038,6 +478798,8 @@ 0 0 0 +3 +2 1 0xdc7b 0x13f7a0cb @@ -383046,6 +478808,8 @@ 1 0 0 +2 +2 3 0x4379 0x7d496897 @@ -383055,6 +478819,8 @@ 0 0 2 +0 +2 0xee96 0x69e7e151 256 @@ -383062,6 +478828,8 @@ 0 0 0 +0 +5 1 0xc5c7 0x4f889a11 @@ -383070,6 +478838,8 @@ 0 0 0 +4 +4 1 0xe43b 0x6961f25a @@ -383079,6 +478849,8 @@ 1 0 2 +0 +2 0x1c3f 0x36d09ab9 256 @@ -383086,6 +478858,8 @@ 0 0 0 +2 +3 4 0xeead 0xabf71a1b @@ -383095,6 +478869,8 @@ 1 0 4 +5 +4 0xa7e9 0x174f9709 256 @@ -383102,6 +478878,8 @@ 1 0 0 +2 +1 3 0x5813 0xd6c87a5f @@ -383110,6 +478888,8 @@ 1 0 0 +0 +3 4 0xe9a3 0xd5c76240 @@ -383118,6 +478898,8 @@ 0 0 0 +0 +4 2 0x9c1f 0xc407b074 @@ -383126,6 +478908,8 @@ 1 1 0 +5 +2 4 0x34ef 0x88a1e149 @@ -383134,6 +478918,8 @@ 0 0 0 +4 +2 1 0x745b 0x160c6663 @@ -383142,6 +478928,8 @@ 0 0 0 +0 +0 4 0xb3 0x7934bd0e @@ -383150,6 +478938,8 @@ 0 0 0 +4 +2 1 0x7772 0x5f99a51f @@ -383159,6 +478949,8 @@ 0 0 4 +2 +4 0xda42 0xd2278def 256 @@ -383167,6 +478959,8 @@ 0 0 4 +0 +4 0xa5c9 0xbac917dc 256 @@ -383174,6 +478968,8 @@ 1 0 0 +4 +1 2 0xf6ed 0xc7643532 @@ -383182,6 +478978,8 @@ 0 0 0 +3 +5 2 0x5dea 0x54b7bab9 @@ -383191,6 +478989,8 @@ 0 0 1 +3 +1 0x5b09 0x8ceeea05 256 @@ -383198,6 +478998,8 @@ 0 0 0 +5 +2 3 0xee2a 0xfe53630a @@ -383206,6 +479008,8 @@ 0 0 0 +4 +2 1 0xe708 0xc2171b1e @@ -383214,6 +479018,8 @@ 1 1 0 +1 +5 3 0x2679 0x9c96470f @@ -383222,6 +479028,8 @@ 1 1 0 +1 +2 4 0xafa8 0x3bc601c1 @@ -383231,6 +479039,8 @@ 0 0 4 +0 +4 0x6055 0xc0120aed 256 @@ -383239,6 +479049,8 @@ 0 0 4 +4 +4 0xe7b4 0xafbea73 256 @@ -383247,6 +479059,8 @@ 0 0 1 +2 +1 0x9b27 0x700efa08 256 @@ -383254,6 +479068,8 @@ 1 1 0 +1 +2 4 0xb1bb 0xfe911457 @@ -383263,6 +479079,8 @@ 0 0 2 +0 +2 0x479b 0x219ebb20 256 @@ -383271,6 +479089,8 @@ 0 0 1 +0 +1 0xd33c 0x8c953ea5 256 @@ -383278,6 +479098,8 @@ 0 0 0 +3 +0 2 0x1f94 0xdef1fac5 @@ -383286,6 +479108,8 @@ 1 0 0 +1 +3 3 0x15e2 0x2ee2a61a @@ -383294,6 +479118,8 @@ 1 0 0 +3 +3 1 0x5268 0xa20dba14 @@ -383302,6 +479128,8 @@ 1 0 0 +4 +2 2 0xb6b6 0xc6c96937 @@ -383311,6 +479139,8 @@ 1 0 1 +3 +1 0x4fa0 0x4fd45e59 256 @@ -383318,6 +479148,8 @@ 0 0 0 +2 +4 3 0xdd12 0x12db5c8f @@ -383326,6 +479158,8 @@ 1 1 0 +5 +4 1 0x5374 0xcf78d1c3 @@ -383334,6 +479168,8 @@ 0 0 0 +3 +1 2 0xd94c 0x9adc7b94 @@ -383342,6 +479178,8 @@ 0 0 0 +5 +0 2 0xc066 0xef2cc9d3 @@ -383351,6 +479189,8 @@ 0 0 3 +4 +3 0x7b8d 0xcb14f181 256 @@ -383358,6 +479198,8 @@ 1 1 0 +2 +0 1 0x1a52 0x8527b516 @@ -383366,6 +479208,8 @@ 1 1 0 +2 +0 3 0xb005 0xafe7035d @@ -383374,6 +479218,8 @@ 1 0 0 +3 +3 4 0xf326 0xb2cf80bc @@ -383382,6 +479228,8 @@ 0 0 0 +4 +0 3 0x8bda 0x5e3f2c51 @@ -383390,6 +479238,8 @@ 0 0 0 +5 +3 2 0x7157 0xcfdba3eb @@ -383398,6 +479248,8 @@ 0 0 0 +5 +5 2 0x2016 0x2c60dc32 @@ -383406,6 +479258,8 @@ 0 0 0 +1 +3 4 0xeeb2 0x8a9dbd10 @@ -383414,6 +479268,8 @@ 1 1 0 +5 +4 3 0xdc8b 0x16e6f5fd @@ -383422,6 +479278,8 @@ 1 0 0 +5 +0 2 0x8887 0x2f105507 @@ -383430,6 +479288,8 @@ 1 0 0 +5 +4 4 0x96e0 0xd38fe58a @@ -383438,6 +479298,8 @@ 0 0 0 +5 +5 2 0x1058 0xcb54a650 @@ -383446,6 +479308,8 @@ 0 0 0 +5 +2 3 0xe91b 0x635de166 @@ -383454,6 +479318,8 @@ 0 0 0 +2 +2 3 0x5f8a 0x90f0d699 @@ -383462,6 +479328,8 @@ 0 0 0 +4 +5 3 0x5dc8 0x71f8efdc @@ -383470,6 +479338,8 @@ 0 0 0 +1 +2 2 0x7d1b 0xbe5dee76 @@ -383478,6 +479348,8 @@ 0 0 0 +3 +5 1 0xc95b 0xa254105b @@ -383486,6 +479358,8 @@ 1 1 0 +4 +4 2 0xb9b3 0xee3de9c7 @@ -383495,6 +479369,8 @@ 0 0 3 +5 +3 0x6d8d 0xb89532e6 256 @@ -383502,6 +479378,8 @@ 1 1 0 +5 +4 4 0x14de 0x3f9710d9 @@ -383510,6 +479388,8 @@ 0 0 0 +2 +2 1 0xccee 0x46d0b9ed @@ -383518,6 +479398,8 @@ 1 1 0 +0 +0 1 0x2022 0x58e7f010 @@ -383527,6 +479409,8 @@ 0 0 2 +3 +2 0xe338 0xb2232627 256 @@ -383534,6 +479418,8 @@ 0 0 0 +5 +4 2 0x4a35 0x89a3f822 @@ -383542,6 +479428,8 @@ 1 1 0 +3 +2 1 0x2c1f 0xf51ea78c @@ -383550,6 +479438,8 @@ 0 0 0 +1 +3 2 0x804c 0xe866ff52 @@ -383559,6 +479449,8 @@ 0 0 2 +3 +2 0xb610 0x9a832bf8 256 @@ -383566,6 +479458,8 @@ 0 0 0 +5 +3 4 0x77c1 0x752ef618 @@ -383574,6 +479468,8 @@ 0 0 0 +3 +2 1 0xac9d 0x257858dc @@ -383582,6 +479478,8 @@ 1 1 0 +3 +0 4 0xe055 0x1af3c4d0 @@ -383590,6 +479488,8 @@ 1 1 0 +0 +0 3 0x3d87 0x1d6820a0 @@ -383598,6 +479498,8 @@ 1 0 0 +0 +1 4 0xbbda 0xc7b42553 @@ -383606,6 +479508,8 @@ 1 1 0 +4 +2 1 0x9eb8 0xda5f8a91 @@ -383614,6 +479518,8 @@ 1 0 0 +5 +1 2 0x8deb 0xd2c4fc07 @@ -383622,6 +479528,8 @@ 1 0 0 +1 +5 2 0x5795 0xca855f23 @@ -383630,6 +479538,8 @@ 1 1 0 +5 +1 3 0x47c5 0x9bc6c8aa @@ -383638,6 +479548,8 @@ 0 0 0 +5 +3 3 0x96b0 0xf72323b5 @@ -383646,6 +479558,8 @@ 1 1 0 +1 +2 2 0x43ec 0xb20a89ac @@ -383655,6 +479569,8 @@ 0 0 1 +0 +1 0x7cb7 0x628cc8ab 256 @@ -383662,6 +479578,8 @@ 1 1 0 +0 +4 1 0x26d0 0x834edd @@ -383670,6 +479588,8 @@ 0 0 0 +5 +0 4 0x23f0 0xe57d2b0e @@ -383679,6 +479599,8 @@ 1 0 2 +3 +2 0x169f 0xf8104c1f 256 @@ -383686,6 +479608,8 @@ 0 0 0 +0 +0 1 0x84d8 0xd8104f3 @@ -383694,6 +479618,8 @@ 1 1 0 +3 +1 4 0x62bc 0x1c05f0fb @@ -383702,6 +479628,8 @@ 1 1 0 +3 +5 1 0xbbef 0x6f6c7c4a @@ -383711,6 +479639,8 @@ 0 0 2 +1 +2 0x3130 0x3c46d29d 256 @@ -383718,6 +479648,8 @@ 1 0 0 +5 +0 4 0x2334 0x2b16ee19 @@ -383726,6 +479658,8 @@ 1 0 0 +4 +2 1 0x4ac9 0x31e9f4aa @@ -383734,6 +479668,8 @@ 1 0 0 +2 +5 3 0x7b9e 0x6d735d7a @@ -383742,6 +479678,8 @@ 0 0 0 +2 +0 4 0xa915 0x2e4e46ce @@ -383751,6 +479689,8 @@ 0 0 4 +4 +4 0x3abb 0xe2cdcb77 256 @@ -383758,6 +479698,8 @@ 1 0 0 +1 +5 3 0x912c 0xdcb0f405 @@ -383766,6 +479708,8 @@ 0 0 0 +2 +2 4 0x9bea 0x7368ea39 @@ -383775,6 +479719,8 @@ 0 0 2 +2 +2 0xf950 0xc85c8e3 256 @@ -383782,6 +479728,8 @@ 1 0 0 +5 +3 1 0x8617 0x67425a59 @@ -383790,6 +479738,8 @@ 0 0 0 +2 +4 3 0xc919 0xe42e72af @@ -383798,6 +479748,8 @@ 1 1 0 +5 +4 4 0x1266 0xa3bdf886 @@ -383806,6 +479758,8 @@ 1 0 0 +1 +3 3 0x1c97 0x61c1c725 @@ -383814,6 +479768,8 @@ 0 0 0 +2 +0 4 0x990f 0xbb27ff6f @@ -383822,6 +479778,8 @@ 1 1 0 +3 +2 1 0xc61 0x7af8eee1 @@ -383830,6 +479788,8 @@ 0 0 0 +1 +0 3 0x6ea0 0xbb168f95 @@ -383839,6 +479799,8 @@ 1 0 3 +1 +3 0x8691 0x880d75b6 256 @@ -383846,6 +479808,8 @@ 1 0 0 +2 +4 4 0xb47a 0xa763cf01 @@ -383854,6 +479818,8 @@ 0 0 0 +5 +5 1 0xe89a 0x6256a247 @@ -383862,6 +479828,8 @@ 1 1 0 +4 +0 1 0xce34 0xa56ffc56 @@ -383870,6 +479838,8 @@ 1 0 0 +4 +1 1 0x3b6d 0xc7ee4aa7 @@ -383878,6 +479848,8 @@ 0 0 0 +3 +5 1 0xb71c 0xbb93cddc @@ -383887,6 +479859,8 @@ 1 0 3 +2 +3 0x2950 0x74351a8c 256 @@ -383894,6 +479868,8 @@ 0 0 0 +2 +3 3 0x2e40 0x52a1ad2f @@ -383902,6 +479878,8 @@ 1 0 0 +5 +0 2 0x1214 0x7ade5489 @@ -383910,6 +479888,8 @@ 0 0 0 +1 +2 3 0xa9a7 0x52c3f15b @@ -383918,6 +479898,8 @@ 0 0 0 +5 +3 3 0x5af2 0x9c19a4a @@ -383926,6 +479908,8 @@ 1 0 0 +3 +3 1 0x82dd 0x725104e0 @@ -383934,6 +479918,8 @@ 0 0 0 +0 +3 2 0x2738 0xe2885a74 @@ -383942,6 +479928,8 @@ 1 0 0 +0 +2 1 0x622e 0x238e1020 @@ -383951,6 +479939,8 @@ 0 0 3 +5 +3 0xb99a 0xdfb1b13f 256 @@ -383958,6 +479948,8 @@ 0 0 0 +2 +0 3 0x5d6c 0x71735cb6 @@ -383966,6 +479958,8 @@ 1 0 0 +0 +4 2 0x5bd4 0xb11437e @@ -383975,6 +479969,8 @@ 1 0 4 +5 +4 0x45f9 0xdd44ab9e 256 @@ -383982,6 +479978,8 @@ 0 0 0 +4 +3 2 0xf368 0xf2e69527 @@ -383990,6 +479988,8 @@ 1 0 0 +4 +2 2 0x3713 0x8e27cc65 @@ -383998,6 +479998,8 @@ 1 1 0 +4 +5 1 0x3591 0x4ed22287 @@ -384006,6 +480008,8 @@ 1 1 0 +4 +4 3 0x680f 0x3ff0945e @@ -384014,6 +480018,8 @@ 1 1 0 +0 +5 3 0x7cfe 0x6bda43f8 @@ -384022,6 +480028,8 @@ 0 0 0 +2 +5 3 0xf1ef 0x1a6992d2 @@ -384030,6 +480038,8 @@ 1 0 0 +2 +3 1 0xf13d 0x65b1337d @@ -384039,6 +480049,8 @@ 0 0 4 +1 +4 0xc34a 0xe0f3638c 256 @@ -384047,6 +480059,8 @@ 0 0 2 +5 +2 0x158b 0xa7c13b29 256 @@ -384055,6 +480069,8 @@ 1 0 1 +2 +1 0x39a1 0xc9508b2a 256 @@ -384063,6 +480079,8 @@ 0 0 2 +5 +2 0x25d7 0x3ea4b124 256 @@ -384070,6 +480088,8 @@ 1 1 0 +4 +3 3 0x181d 0xb0473cf7 @@ -384078,6 +480098,8 @@ 1 1 0 +2 +5 3 0x7947 0x49e98312 @@ -384086,6 +480108,8 @@ 1 0 0 +1 +2 4 0x7e0d 0xd0316508 @@ -384094,6 +480118,8 @@ 1 0 0 +1 +4 3 0x23d8 0x1116f3c2 @@ -384102,6 +480128,8 @@ 1 0 0 +0 +2 4 0xa6f0 0xcd694811 @@ -384110,6 +480138,8 @@ 1 0 0 +3 +5 2 0x10bc 0xd3934ba1 @@ -384119,6 +480149,8 @@ 0 0 1 +1 +1 0x15fa 0x59f1025d 256 @@ -384126,6 +480158,8 @@ 1 1 0 +1 +5 3 0x6da1 0x421e5de7 @@ -384134,6 +480168,8 @@ 1 1 0 +5 +1 2 0xa87e 0x5a087aff @@ -384142,6 +480178,8 @@ 1 0 0 +0 +4 2 0xa617 0x73c1f38 @@ -384150,6 +480188,8 @@ 0 0 0 +5 +0 3 0xc34 0xed349929 @@ -384158,6 +480198,8 @@ 1 0 0 +0 +1 2 0xf3c7 0xc7fa2fc @@ -384166,6 +480208,8 @@ 0 0 0 +5 +4 2 0x886a 0x1301d8fe @@ -384174,6 +480218,8 @@ 1 0 0 +5 +4 1 0xddc4 0x4decaf31 @@ -384182,6 +480228,8 @@ 1 0 0 +2 +0 1 0x2847 0xda19e285 @@ -384190,6 +480238,8 @@ 1 0 0 +0 +0 4 0x4356 0x43f44027 @@ -384198,6 +480248,8 @@ 1 0 0 +0 +1 2 0x8554 0x9b3ede4 @@ -384206,6 +480258,8 @@ 1 0 0 +2 +4 4 0x58ca 0xf6775f97 @@ -384214,6 +480268,8 @@ 1 0 0 +2 +0 1 0x1cc4 0x50b9c571 @@ -384222,6 +480278,8 @@ 0 0 0 +2 +2 4 0x2be0 0x8235d9a4 @@ -384230,6 +480288,8 @@ 1 0 0 +3 +5 2 0x8345 0xa38ef95d @@ -384238,6 +480298,8 @@ 0 0 0 +1 +4 3 0x86fd 0xce5afe6c @@ -384246,6 +480308,8 @@ 0 0 0 +1 +3 2 0x7879 0xa0260f6f @@ -384254,6 +480318,8 @@ 0 0 0 +5 +1 1 0x99ba 0x297f2ee9 @@ -384262,6 +480328,8 @@ 0 0 0 +0 +3 1 0xa20e 0x559d25fa @@ -384270,6 +480338,8 @@ 0 0 0 +2 +2 3 0x1f65 0x5b00777f @@ -384278,6 +480348,8 @@ 1 0 0 +1 +4 3 0x385f 0x2dd3e2cd @@ -384286,6 +480358,8 @@ 0 0 0 +5 +0 1 0xfe6 0xd4317fef @@ -384294,6 +480368,8 @@ 1 0 0 +1 +1 3 0xae91 0xefef8d44 @@ -384302,6 +480378,8 @@ 0 0 0 +0 +2 3 0x3efe 0xc385f436 @@ -384310,6 +480388,8 @@ 1 1 0 +0 +1 1 0xb789 0xe0d60744 @@ -384318,6 +480398,8 @@ 0 0 0 +2 +3 1 0xd41 0x52e24545 @@ -384326,6 +480408,8 @@ 1 1 0 +0 +3 2 0x69e3 0x19912fdb @@ -384335,6 +480419,8 @@ 0 0 1 +2 +1 0xa2fb 0xb8996b2b 256 @@ -384342,6 +480428,8 @@ 0 0 0 +0 +4 4 0x3f1a 0x97676096 @@ -384350,6 +480438,8 @@ 0 0 0 +2 +3 3 0x5903 0x94b16240 @@ -384359,6 +480449,8 @@ 0 0 3 +4 +3 0x8de2 0x576f7b24 256 @@ -384366,6 +480458,8 @@ 0 0 0 +5 +5 3 0x76aa 0x71bbc289 @@ -384374,6 +480468,8 @@ 1 1 0 +4 +2 2 0x291 0xd34a9f86 @@ -384383,6 +480479,8 @@ 0 0 1 +5 +1 0x1356 0x34acd93f 256 @@ -384390,6 +480488,8 @@ 0 0 0 +0 +4 4 0x9f3e 0x3d73849b @@ -384399,6 +480499,8 @@ 1 0 3 +4 +3 0x2374 0xd9ac77b5 256 @@ -384406,6 +480508,8 @@ 1 0 0 +0 +2 3 0xbd76 0x5092bc2 @@ -384414,6 +480518,8 @@ 1 0 0 +4 +4 1 0x385a 0x6ebf79d0 @@ -384422,6 +480528,8 @@ 1 1 0 +2 +1 3 0x7c3f 0x83c76445 @@ -384430,6 +480538,8 @@ 0 0 0 +0 +0 4 0x3822 0xeb507ab5 @@ -384438,6 +480548,8 @@ 1 0 0 +4 +3 1 0x1f25 0x18cb5899 @@ -384446,6 +480558,8 @@ 1 1 0 +3 +1 4 0x2c1e 0x414d9cb6 @@ -384454,6 +480568,8 @@ 0 0 0 +0 +0 2 0x1054 0x4fe48035 @@ -384463,6 +480579,8 @@ 1 0 4 +3 +4 0x959e 0xc92fb6ad 256 @@ -384470,6 +480588,8 @@ 0 0 0 +4 +1 2 0x1717 0x65b994b1 @@ -384478,6 +480598,8 @@ 1 1 0 +3 +5 2 0x4684 0x7402bbac @@ -384486,6 +480608,8 @@ 1 1 0 +2 +2 4 0xde6f 0x3d821293 @@ -384494,6 +480618,8 @@ 1 0 0 +3 +4 2 0x434c 0x93b9168e @@ -384502,6 +480628,8 @@ 0 0 0 +3 +2 4 0xfd73 0x3cb1c4be @@ -384510,6 +480638,8 @@ 1 0 0 +0 +2 1 0x1c43 0xe70510be @@ -384518,6 +480648,8 @@ 1 1 0 +5 +0 3 0x48b0 0x9f117a9e @@ -384526,6 +480658,8 @@ 1 0 0 +0 +3 1 0xc253 0x528585e2 @@ -384535,6 +480669,8 @@ 0 0 2 +5 +2 0xb10f 0x9261028a 256 @@ -384542,6 +480678,8 @@ 1 1 0 +1 +5 4 0xeec5 0x7a2e1cf7 @@ -384550,6 +480688,8 @@ 0 0 0 +5 +5 1 0x3a40 0x2d3f44a4 @@ -384558,6 +480698,8 @@ 0 0 0 +4 +5 2 0xa48a 0x221ef1c7 @@ -384566,6 +480708,8 @@ 0 0 0 +5 +5 1 0x1cb6 0x1f1f6a6c @@ -384575,6 +480719,8 @@ 0 0 1 +0 +1 0x7576 0x70247a0f 256 @@ -384582,6 +480728,8 @@ 1 1 0 +4 +5 2 0xdb9e 0xd5df86a9 @@ -384590,6 +480738,8 @@ 1 1 0 +0 +5 2 0xa210 0x997bb823 @@ -384598,6 +480748,8 @@ 0 0 0 +3 +5 2 0xf954 0xb1baa6b5 @@ -384606,6 +480758,8 @@ 1 0 0 +0 +3 3 0x5e76 0xb892bd7c @@ -384614,6 +480768,8 @@ 1 1 0 +0 +5 1 0x410a 0x1c6f5175 @@ -384622,6 +480778,8 @@ 0 0 0 +1 +0 2 0xb447 0x5304d90d @@ -384630,6 +480788,8 @@ 0 0 0 +2 +1 4 0xd586 0x5b7f8448 @@ -384638,6 +480798,8 @@ 1 1 0 +2 +2 4 0x7589 0xba96a9de @@ -384646,6 +480808,8 @@ 1 0 0 +2 +1 4 0xe9b7 0x2a9a4e7a @@ -384654,6 +480818,8 @@ 0 0 0 +5 +0 2 0x9a84 0xf08b1b3 @@ -384663,6 +480829,8 @@ 0 0 4 +3 +4 0x5cda 0x9d649cbd 256 @@ -384670,6 +480838,8 @@ 0 0 0 +2 +4 3 0x6c45 0x38551bdf @@ -384678,6 +480848,8 @@ 0 0 0 +1 +0 3 0x80b1 0x94675cc2 @@ -384686,6 +480858,8 @@ 0 0 0 +2 +3 3 0x7bda 0xb643c274 @@ -384694,6 +480868,8 @@ 0 0 0 +5 +1 4 0xf2d 0xe29b3ffe @@ -384702,6 +480878,8 @@ 0 0 0 +0 +0 4 0xe1e2 0x534b5d9d @@ -384711,6 +480889,8 @@ 0 0 4 +4 +4 0xf80c 0xb8ff7000 256 @@ -384718,6 +480898,8 @@ 0 0 0 +2 +5 4 0x26c4 0xc1229848 @@ -384727,6 +480909,8 @@ 0 0 4 +2 +4 0x692c 0xb0910ea0 256 @@ -384734,6 +480918,8 @@ 1 1 0 +4 +0 2 0x9c95 0x331e6818 @@ -384742,6 +480928,8 @@ 0 0 0 +2 +2 3 0xbd4d 0x331b3763 @@ -384750,6 +480938,8 @@ 0 0 0 +3 +2 1 0x960d 0xfda554c8 @@ -384759,6 +480949,8 @@ 0 0 1 +2 +1 0x2de1 0x3d08539f 256 @@ -384766,6 +480958,8 @@ 0 0 0 +5 +4 3 0xf5df 0x61bf12e0 @@ -384774,6 +480968,8 @@ 1 0 0 +3 +3 4 0x999e 0xa70da166 @@ -384782,6 +480978,8 @@ 1 0 0 +2 +3 1 0x60b1 0x7af76990 @@ -384790,6 +480988,8 @@ 0 0 0 +4 +1 2 0xc5f0 0x151fc05c @@ -384798,6 +480998,8 @@ 0 0 0 +4 +5 3 0x1952 0xd6dd1e52 @@ -384807,6 +481009,8 @@ 0 0 2 +5 +2 0x7fee 0xa6333dc8 256 @@ -384814,6 +481018,8 @@ 0 0 0 +5 +4 4 0x2f69 0x151bf305 @@ -384822,6 +481028,8 @@ 1 1 0 +0 +1 3 0x2194 0xf91d0172 @@ -384830,6 +481038,8 @@ 1 1 0 +1 +5 2 0x5469 0x6ea1ba9f @@ -384839,6 +481049,8 @@ 1 0 2 +4 +2 0xbe56 0x399fb370 256 @@ -384846,6 +481058,8 @@ 1 1 0 +0 +0 4 0xbfd3 0xb4ed78f2 @@ -384855,6 +481069,8 @@ 0 0 2 +3 +2 0x6696 0xb61e4ba4 256 @@ -384863,6 +481079,8 @@ 1 0 3 +2 +3 0x5954 0xfd05930b 256 @@ -384870,6 +481088,8 @@ 1 1 0 +3 +0 4 0xb6bc 0xcf53dfa0 @@ -384878,6 +481098,8 @@ 0 0 0 +3 +5 4 0x350a 0xa97e9d9 @@ -384887,6 +481109,8 @@ 1 0 3 +3 +3 0xe916 0xf8501801 256 @@ -384894,6 +481118,8 @@ 1 1 0 +4 +0 3 0x599 0xca05da70 @@ -384903,6 +481129,8 @@ 0 0 3 +1 +3 0xf0cf 0xc36820f5 256 @@ -384911,6 +481139,8 @@ 0 0 4 +1 +4 0x235f 0xde3fd088 256 @@ -384918,6 +481148,8 @@ 0 0 0 +4 +3 3 0x9a5 0xb95aa66e @@ -384926,6 +481158,8 @@ 1 1 0 +2 +5 1 0xb8f1 0xba619344 @@ -384934,6 +481168,8 @@ 1 1 0 +0 +2 4 0xdea5 0x4c1d8f33 @@ -384942,6 +481178,8 @@ 0 0 0 +5 +1 2 0xc66c 0x4f57ead1 @@ -384950,6 +481188,8 @@ 1 0 0 +3 +3 4 0xe23f 0xf4abf3eb @@ -384958,6 +481198,8 @@ 0 0 0 +2 +2 3 0x6ace 0xbc6f2933 @@ -384966,6 +481208,8 @@ 1 1 0 +5 +4 3 0xc0de 0xc3b00663 @@ -384975,6 +481219,8 @@ 0 0 3 +1 +3 0xeb7a 0x5ef98e26 256 @@ -384982,6 +481228,8 @@ 0 0 0 +5 +4 1 0xb0d0 0x83b16b8 @@ -384990,6 +481238,8 @@ 1 1 0 +4 +0 3 0x2bbd 0x70cd27e4 @@ -384998,6 +481248,8 @@ 0 0 0 +0 +2 4 0x56a 0xb5f6519b @@ -385006,6 +481258,8 @@ 1 1 0 +0 +0 2 0xda3b 0xea4d3369 @@ -385015,6 +481269,8 @@ 1 0 1 +4 +1 0xbfd9 0x25cda365 256 @@ -385023,6 +481279,8 @@ 0 0 1 +2 +1 0xd481 0x2c1f0af4 256 @@ -385030,6 +481288,8 @@ 0 0 0 +5 +5 3 0xc98 0x9b66c5e9 @@ -385038,6 +481298,8 @@ 0 0 0 +1 +5 3 0x809f 0xe2d417ee @@ -385046,6 +481308,8 @@ 0 0 0 +5 +0 3 0x2fb7 0xe1373d8d @@ -385055,6 +481319,8 @@ 0 0 4 +2 +4 0xd8a6 0x5d8905d7 256 @@ -385062,6 +481328,8 @@ 0 0 0 +0 +0 4 0xc6cb 0x113c2a20 @@ -385070,6 +481338,8 @@ 0 0 0 +1 +0 4 0x33c 0xb2053966 @@ -385078,6 +481348,8 @@ 1 1 0 +1 +3 2 0x718f 0x1ce46657 @@ -385086,6 +481358,8 @@ 1 1 0 +4 +4 2 0xcb86 0x1af1c06d @@ -385094,6 +481368,8 @@ 0 0 0 +0 +3 1 0x5829 0xe6b5da06 @@ -385102,6 +481378,8 @@ 0 0 0 +2 +5 3 0x5a66 0xc7fff3de @@ -385110,6 +481388,8 @@ 0 0 0 +1 +2 4 0xc2e6 0x32d451e5 @@ -385118,6 +481398,8 @@ 1 1 0 +4 +0 1 0x81ee 0x4a802e92 @@ -385126,6 +481408,8 @@ 1 0 0 +2 +4 1 0x9674 0x8427376 @@ -385134,6 +481418,8 @@ 0 0 0 +3 +5 2 0x2b79 0x9b6b8a66 @@ -385142,6 +481428,8 @@ 1 1 0 +4 +3 2 0x69d6 0x5a9ef5bd @@ -385151,6 +481439,8 @@ 0 0 1 +2 +1 0x75f 0xa60a119e 256 @@ -385158,6 +481448,8 @@ 1 1 0 +4 +2 2 0xb77e 0x1e6a551b @@ -385166,6 +481458,8 @@ 1 0 0 +4 +2 1 0x2c04 0xc4bfb7d4 @@ -385174,6 +481468,8 @@ 0 0 0 +0 +0 3 0x35fb 0xfac70fd9 @@ -385182,6 +481478,8 @@ 0 0 0 +1 +4 4 0xfd59 0xc51c4cbc @@ -385190,6 +481488,8 @@ 1 0 0 +1 +3 3 0x4217 0x6058ec0d @@ -385198,6 +481498,8 @@ 1 1 0 +4 +1 1 0x7cd7 0x3525cbbc @@ -385206,6 +481508,8 @@ 0 0 0 +1 +3 3 0x5857 0x20509bb0 @@ -385214,6 +481518,8 @@ 1 1 0 +4 +2 1 0x2acf 0xf03a87b1 @@ -385222,6 +481528,8 @@ 0 0 0 +5 +0 1 0x6147 0x9c83f02f @@ -385231,6 +481539,8 @@ 0 0 3 +3 +3 0x234c 0xe8ebc4f9 256 @@ -385239,6 +481549,8 @@ 0 0 4 +4 +4 0xcfca 0xa7e78624 256 @@ -385247,6 +481559,8 @@ 0 0 4 +5 +4 0x1198 0xe76b03fa 256 @@ -385254,6 +481568,8 @@ 1 1 0 +5 +3 3 0x78a4 0xbbb36a5b @@ -385262,6 +481578,8 @@ 1 0 0 +4 +0 1 0x4a4a 0xefbf8a6 @@ -385270,6 +481588,8 @@ 1 1 0 +3 +4 2 0x321 0x16ae3883 @@ -385278,6 +481598,8 @@ 1 0 0 +1 +1 2 0x9d4e 0x9d2ce0c0 @@ -385286,6 +481608,8 @@ 1 0 0 +3 +4 1 0x878b 0x7f7f22d5 @@ -385294,6 +481618,8 @@ 0 0 0 +2 +0 1 0xca78 0x521ebd3f @@ -385302,6 +481628,8 @@ 1 0 0 +5 +0 2 0x7dcd 0xb55289fb @@ -385310,6 +481638,8 @@ 1 0 0 +0 +4 4 0x3c87 0x21ca1b55 @@ -385318,6 +481648,8 @@ 0 0 0 +1 +5 3 0xecfc 0x92316d60 @@ -385326,6 +481658,8 @@ 0 0 0 +1 +3 4 0x33b6 0xbb75172d @@ -385334,6 +481668,8 @@ 0 0 0 +5 +5 1 0xb575 0xb2dda91c @@ -385342,6 +481678,8 @@ 1 0 0 +1 +3 4 0xeb8e 0x9b7bcf94 @@ -385350,6 +481688,8 @@ 1 0 0 +2 +0 4 0x7809 0x78ddd320 @@ -385358,6 +481698,8 @@ 0 0 0 +3 +4 4 0x5027 0x585dc9f0 @@ -385366,6 +481708,8 @@ 1 1 0 +5 +5 1 0x25c9 0xb18a4e4f @@ -385374,6 +481718,8 @@ 1 1 0 +3 +5 4 0x160f 0x736f2411 @@ -385382,6 +481728,8 @@ 0 0 0 +1 +0 3 0xf11e 0xeb30ec4d @@ -385391,6 +481739,8 @@ 0 0 2 +0 +2 0xcecf 0xfb17abba 256 @@ -385398,6 +481748,8 @@ 1 0 0 +5 +4 1 0xd5cb 0x2cd072cd @@ -385406,6 +481758,8 @@ 0 0 0 +2 +5 3 0x24d5 0xe18d6e9e @@ -385414,6 +481768,8 @@ 0 0 0 +1 +5 3 0xb74 0xac5a4968 @@ -385422,6 +481778,8 @@ 0 0 0 +3 +4 2 0x2a85 0x739d2765 @@ -385430,6 +481788,8 @@ 1 1 0 +0 +1 3 0x56c4 0x6f6a28b1 @@ -385438,6 +481798,8 @@ 0 0 0 +1 +2 3 0x53b2 0x4056128d @@ -385446,6 +481808,8 @@ 1 1 0 +4 +3 1 0xd00b 0x6cebeb10 @@ -385454,6 +481818,8 @@ 0 0 0 +0 +5 1 0x2674 0xe846eb62 @@ -385462,6 +481828,8 @@ 1 1 0 +4 +4 2 0x259a 0xccbbfc7a @@ -385470,6 +481838,8 @@ 0 0 0 +5 +5 3 0x94ce 0x6235e8c9 @@ -385478,6 +481848,8 @@ 0 0 0 +2 +1 4 0x9ded 0x1ba7e66a @@ -385486,6 +481858,8 @@ 1 0 0 +4 +2 3 0xc1a0 0x469a7d0e @@ -385494,6 +481868,8 @@ 0 0 0 +0 +3 3 0x78e6 0xc673200a @@ -385502,6 +481878,8 @@ 0 0 0 +1 +1 3 0xb247 0xc0bdb3cc @@ -385510,6 +481888,8 @@ 1 1 0 +3 +1 2 0x4654 0x4b1d96f0 @@ -385518,6 +481898,8 @@ 0 0 0 +3 +4 4 0xc9bc 0x297a4eb6 @@ -385526,6 +481908,8 @@ 0 0 0 +5 +1 4 0xb0ff 0x9382ff40 @@ -385534,6 +481918,8 @@ 1 1 0 +3 +5 1 0x3aef 0x6cee0d73 @@ -385543,6 +481929,8 @@ 0 0 3 +3 +3 0x7702 0x7e5e4465 256 @@ -385550,6 +481938,8 @@ 0 0 0 +0 +3 3 0x349d 0x9a247188 @@ -385558,6 +481948,8 @@ 0 0 0 +0 +0 2 0x36b5 0xf2c39fda @@ -385566,6 +481958,8 @@ 0 0 0 +3 +3 2 0xbf04 0x24442fb7 @@ -385574,6 +481968,8 @@ 0 0 0 +4 +3 2 0x4acf 0xaadd578d @@ -385582,6 +481978,8 @@ 1 1 0 +1 +1 2 0xe52d 0xd581e5ca @@ -385591,6 +481989,8 @@ 1 0 3 +0 +3 0xf443 0xa8d93a0e 256 @@ -385598,6 +481998,8 @@ 0 0 0 +3 +0 4 0x7ceb 0x1d4b92f3 @@ -385606,6 +482008,8 @@ 0 0 0 +1 +4 4 0x6030 0x7774dccf @@ -385614,6 +482018,8 @@ 1 0 0 +2 +4 4 0x4668 0xc0014268 @@ -385622,6 +482028,8 @@ 0 0 0 +0 +4 4 0x1de1 0xf78c1783 @@ -385630,6 +482038,8 @@ 0 0 0 +2 +5 3 0x18c5 0x36b4b105 @@ -385638,6 +482048,8 @@ 1 0 0 +2 +0 4 0x9390 0xc18d02a8 @@ -385647,6 +482059,8 @@ 0 0 1 +0 +1 0x5825 0xcf97330f 256 @@ -385654,6 +482068,8 @@ 1 0 0 +5 +1 2 0x5010 0x78c1e07d @@ -385662,6 +482078,8 @@ 1 1 0 +5 +5 1 0xe6f8 0xe17a4276 @@ -385670,6 +482088,8 @@ 0 0 0 +5 +1 1 0xb86d 0x21c21d88 @@ -385678,6 +482098,8 @@ 1 1 0 +0 +2 4 0x7f8a 0xdda6047f @@ -385686,6 +482108,8 @@ 0 0 0 +1 +5 2 0xf108 0x90d29df2 @@ -385694,6 +482118,8 @@ 1 0 0 +1 +5 2 0x7b3c 0xed6ce01b @@ -385702,6 +482128,8 @@ 1 0 0 +0 +0 3 0xd05 0xc82ebe4b @@ -385710,6 +482138,8 @@ 1 1 0 +0 +5 2 0x2bee 0x68aa1714 @@ -385718,6 +482148,8 @@ 1 0 0 +3 +5 1 0x8171 0xc4f26b49 @@ -385726,6 +482158,8 @@ 0 0 0 +5 +4 4 0x28a8 0xefe67f3 @@ -385734,6 +482168,8 @@ 0 0 0 +4 +4 1 0xe2be 0x85f128e3 @@ -385742,6 +482178,8 @@ 1 1 0 +0 +4 2 0x9311 0x9212f22b @@ -385750,6 +482188,8 @@ 1 0 0 +5 +1 3 0x5aa5 0x1035f30c @@ -385758,6 +482198,8 @@ 0 0 0 +1 +1 2 0xf1bc 0x262b19fb @@ -385766,6 +482208,8 @@ 1 0 0 +0 +4 3 0xa526 0xf9aa58fd @@ -385774,6 +482218,8 @@ 0 0 0 +1 +1 2 0x8f7a 0xf2c2bde4 @@ -385782,6 +482228,8 @@ 1 0 0 +5 +1 2 0xa4 0xe7ee4f49 @@ -385790,6 +482238,8 @@ 1 0 0 +5 +3 3 0x7c48 0xc5bd0cf8 @@ -385798,6 +482248,8 @@ 1 0 0 +0 +5 2 0x491d 0x3cdf7227 @@ -385806,6 +482258,8 @@ 1 0 0 +5 +5 3 0xc66d 0x85705c2d @@ -385814,6 +482268,8 @@ 1 1 0 +0 +4 2 0xf650 0x3e9e2258 @@ -385823,6 +482279,8 @@ 1 0 2 +1 +2 0x9b32 0x4f676062 256 @@ -385830,6 +482288,8 @@ 1 1 0 +0 +1 3 0xdc9f 0xa4819fcf @@ -385839,6 +482299,8 @@ 0 0 2 +0 +2 0x4666 0xaa1bd5fb 256 @@ -385846,6 +482308,8 @@ 1 1 0 +5 +3 1 0x2dd 0x6e502360 @@ -385854,6 +482318,8 @@ 0 0 0 +4 +5 3 0x8de 0xb5834745 @@ -385862,6 +482328,8 @@ 1 0 0 +4 +1 1 0x4433 0x9441680c @@ -385870,6 +482338,8 @@ 1 0 0 +0 +3 1 0xa4a3 0xadd9c51e @@ -385878,6 +482348,8 @@ 0 0 0 +0 +5 2 0xb72a 0x2ab14488 @@ -385887,6 +482359,8 @@ 0 0 3 +4 +3 0x9532 0x4a14cfb4 256 @@ -385895,6 +482369,8 @@ 0 0 1 +2 +1 0xb0e8 0x387447f3 256 @@ -385902,6 +482378,8 @@ 1 0 0 +4 +5 3 0x975d 0x5ef86eea @@ -385910,6 +482388,8 @@ 0 0 0 +2 +2 4 0xb174 0x439554ee @@ -385918,6 +482398,8 @@ 0 0 0 +4 +5 2 0xa269 0x8d7fbf42 @@ -385926,6 +482408,8 @@ 0 0 0 +2 +2 1 0x70d8 0xa72e2947 @@ -385934,6 +482418,8 @@ 0 0 0 +3 +1 1 0x1b57 0x7de0d4c3 @@ -385942,6 +482428,8 @@ 1 1 0 +4 +2 3 0x90aa 0xbf2310d6 @@ -385951,6 +482439,8 @@ 0 0 1 +1 +1 0x15c3 0x8d0f3b91 256 @@ -385959,6 +482449,8 @@ 1 0 4 +5 +4 0x1fa7 0x45d00407 256 @@ -385966,6 +482458,8 @@ 0 0 0 +4 +4 1 0x6e14 0x85e773e9 @@ -385975,6 +482469,8 @@ 0 0 2 +1 +2 0x39d7 0x25972bd8 256 @@ -385982,6 +482478,8 @@ 0 0 0 +4 +0 1 0x9fc8 0xeec28414 @@ -385990,6 +482488,8 @@ 1 0 0 +0 +0 1 0xae52 0x997f684b @@ -385998,6 +482498,8 @@ 1 1 0 +3 +3 1 0x4f4b 0x6bd77c4c @@ -386006,6 +482508,8 @@ 0 0 0 +2 +3 4 0x7f3a 0x97c4083 @@ -386014,6 +482518,8 @@ 0 0 0 +2 +1 3 0xe7af 0x50f1b4bb @@ -386023,6 +482529,8 @@ 0 0 1 +1 +1 0xe3f0 0x2190b2df 256 @@ -386030,6 +482538,8 @@ 1 1 0 +0 +3 3 0xa283 0x8cb04319 @@ -386038,6 +482548,8 @@ 0 0 0 +3 +4 1 0x6ca6 0xb26ff7d9 @@ -386046,6 +482558,8 @@ 1 0 0 +5 +2 3 0x72fb 0x1bf644d6 @@ -386055,6 +482569,8 @@ 0 0 4 +5 +4 0x91b2 0x142b4d5e 256 @@ -386062,6 +482578,8 @@ 1 1 0 +1 +1 4 0x5409 0x1e078a2a @@ -386070,6 +482588,8 @@ 0 0 0 +3 +1 1 0xbb95 0x7b49a797 @@ -386078,6 +482598,8 @@ 1 1 0 +1 +1 3 0x5f5b 0x70d86b0 @@ -386086,6 +482608,8 @@ 0 0 0 +1 +2 2 0x63b0 0x2f36f3d0 @@ -386094,6 +482618,8 @@ 1 1 0 +0 +2 1 0x3f06 0x385ca42 @@ -386102,6 +482628,8 @@ 0 0 0 +2 +1 3 0xb4f4 0x8d4fd5c5 @@ -386110,6 +482638,8 @@ 0 0 0 +5 +0 4 0x30aa 0xfdfd1bcc @@ -386119,6 +482649,8 @@ 1 0 3 +0 +3 0x970 0x2a07549d 256 @@ -386126,6 +482658,8 @@ 1 1 0 +5 +1 4 0x1c64 0x6d7cea65 @@ -386134,6 +482668,8 @@ 0 0 0 +3 +3 1 0xb9cd 0xd597691f @@ -386142,6 +482678,8 @@ 1 1 0 +5 +2 1 0x6582 0xd7e032f5 @@ -386150,6 +482688,8 @@ 0 0 0 +1 +0 2 0x4b9f 0xbdf149cf @@ -386158,6 +482698,8 @@ 1 0 0 +4 +2 1 0xc6c6 0x761ac79f @@ -386166,6 +482708,8 @@ 0 0 0 +2 +5 4 0xd926 0xbfa93bb3 @@ -386175,6 +482719,8 @@ 0 0 4 +3 +4 0x40c0 0xd0a30c1f 256 @@ -386182,6 +482728,8 @@ 0 0 0 +5 +1 3 0xa107 0x4699d515 @@ -386190,6 +482738,8 @@ 0 0 0 +0 +2 1 0x534 0x1c372476 @@ -386198,6 +482748,8 @@ 1 1 0 +3 +0 4 0xf711 0xe30d4004 @@ -386206,6 +482758,8 @@ 1 0 0 +4 +4 2 0x13a5 0xf9244b8c @@ -386214,6 +482768,8 @@ 0 0 0 +3 +2 1 0x34a4 0x612d137a @@ -386222,6 +482778,8 @@ 1 1 0 +2 +3 3 0x979e 0x4791af75 @@ -386230,6 +482788,8 @@ 1 1 0 +3 +0 2 0xc3d7 0x851c5cee @@ -386238,6 +482798,8 @@ 1 0 0 +5 +0 3 0x4117 0x13563d37 @@ -386246,6 +482808,8 @@ 0 0 0 +1 +3 4 0xc15b 0x6a2821dd @@ -386254,6 +482818,8 @@ 1 1 0 +2 +4 1 0xff0a 0xff73d254 @@ -386262,6 +482828,8 @@ 1 1 0 +4 +4 1 0x1157 0x9f27b8c2 @@ -386270,6 +482838,8 @@ 0 0 0 +2 +4 4 0xc2b5 0x42126ac4 @@ -386278,6 +482848,8 @@ 1 1 0 +4 +2 2 0x9fd5 0x2c9f27a4 @@ -386286,6 +482858,8 @@ 0 0 0 +2 +2 4 0x7c69 0xf41309f2 @@ -386294,6 +482868,8 @@ 0 0 0 +5 +2 2 0x495f 0x543decd3 @@ -386302,6 +482878,8 @@ 0 0 0 +1 +4 2 0x9695 0x291a31ae @@ -386310,6 +482888,8 @@ 1 1 0 +5 +3 4 0x2a97 0x22ad5882 @@ -386318,6 +482898,8 @@ 0 0 0 +4 +1 3 0x4278 0x40810d62 @@ -386326,6 +482908,8 @@ 1 0 0 +0 +3 2 0xe0cf 0x28110091 @@ -386334,6 +482918,8 @@ 0 0 0 +2 +0 4 0x6022 0x95878fa2 @@ -386342,6 +482928,8 @@ 0 0 0 +4 +0 1 0x61ee 0xb01ce3db @@ -386350,6 +482938,8 @@ 0 0 0 +4 +2 3 0xfd2b 0xe3f4d1a7 @@ -386359,6 +482949,8 @@ 1 0 1 +5 +1 0xca17 0x2e68b8a3 256 @@ -386366,6 +482958,8 @@ 1 0 0 +4 +1 2 0x4b1a 0x2c6789da @@ -386374,6 +482968,8 @@ 0 0 0 +5 +4 3 0xf632 0x36ca269b @@ -386382,6 +482978,8 @@ 0 0 0 +3 +3 1 0xfeb9 0x92df5474 @@ -386390,6 +482988,8 @@ 0 0 0 +0 +5 3 0xebb9 0x968afd05 @@ -386399,6 +482999,8 @@ 1 0 4 +2 +4 0xc5a2 0x5e890db8 256 @@ -386406,6 +483008,8 @@ 0 0 0 +5 +3 2 0xab65 0x22cbe64c @@ -386414,6 +483018,8 @@ 0 0 0 +4 +4 1 0xbf 0x7aded520 @@ -386422,6 +483028,8 @@ 1 0 0 +0 +2 2 0xd397 0x9be78d05 @@ -386430,6 +483038,8 @@ 0 0 0 +2 +2 3 0x8cc7 0xb689169 @@ -386438,6 +483048,8 @@ 1 0 0 +0 +0 1 0xb3ff 0xd56c34a2 @@ -386446,6 +483058,8 @@ 1 1 0 +0 +2 2 0x237c 0x17df8ad1 @@ -386454,6 +483068,8 @@ 1 1 0 +4 +2 2 0x2d97 0xfe5aad21 @@ -386462,6 +483078,8 @@ 0 0 0 +4 +0 3 0xffed 0x3c368e20 @@ -386470,6 +483088,8 @@ 0 0 0 +4 +2 3 0xe084 0x9e8c2ca1 @@ -386478,6 +483098,8 @@ 0 0 0 +0 +5 2 0x3bd9 0x9a8c2a54 @@ -386486,6 +483108,8 @@ 1 1 0 +0 +5 3 0xaaa3 0xbc7e2e05 @@ -386494,6 +483118,8 @@ 1 1 0 +2 +1 1 0x4393 0xc3a1ac77 @@ -386503,6 +483129,8 @@ 0 0 1 +1 +1 0x8a61 0x52aec826 256 @@ -386510,6 +483138,8 @@ 1 0 0 +1 +0 4 0x876d 0x952271f9 @@ -386519,6 +483149,8 @@ 0 0 1 +2 +1 0x7ee5 0x4906f8d8 256 @@ -386526,6 +483158,8 @@ 1 0 0 +0 +1 2 0x1938 0xc033f77f @@ -386534,6 +483168,8 @@ 1 1 0 +5 +1 1 0xe01a 0x8b6d4b95 @@ -386542,6 +483178,8 @@ 1 0 0 +5 +4 4 0xa625 0x28f00b0b @@ -386551,6 +483189,8 @@ 0 0 4 +1 +4 0x40da 0x50bb99b0 256 @@ -386558,6 +483198,8 @@ 0 0 0 +4 +2 3 0x4660 0xf8feebe @@ -386566,6 +483208,8 @@ 1 1 0 +5 +1 3 0x7351 0x929c884d @@ -386574,6 +483218,8 @@ 1 0 0 +5 +0 2 0x2989 0x66c7b46e @@ -386582,6 +483228,8 @@ 1 1 0 +0 +1 2 0xa697 0x2ced538d @@ -386590,6 +483238,8 @@ 1 1 0 +0 +1 1 0xbc1f 0x8cf58cca @@ -386598,6 +483248,8 @@ 1 1 0 +2 +5 4 0xc874 0x65d29f2 @@ -386606,6 +483258,8 @@ 1 1 0 +5 +4 4 0x82a7 0x1be14d50 @@ -386614,6 +483268,8 @@ 1 0 0 +5 +4 2 0x7e1a 0xc56d12ea @@ -386622,6 +483278,8 @@ 0 0 0 +3 +1 2 0x9e60 0x2f1e03e4 @@ -386630,6 +483288,8 @@ 1 1 0 +4 +1 2 0x65dd 0xf44f485 @@ -386638,6 +483298,8 @@ 1 1 0 +0 +0 3 0xf178 0xefc1f162 @@ -386646,6 +483308,8 @@ 0 0 0 +2 +2 4 0x316f 0xe516dac3 @@ -386654,6 +483318,8 @@ 0 0 0 +4 +1 2 0x9b32 0x67958507 @@ -386662,6 +483328,8 @@ 0 0 0 +3 +2 4 0xecac 0xcbbfe3e8 @@ -386671,6 +483339,8 @@ 0 0 3 +5 +3 0x9dd0 0x8db9d5eb 256 @@ -386678,6 +483348,8 @@ 0 0 0 +4 +0 1 0xd2d3 0xbd3a7700 @@ -386686,6 +483358,8 @@ 1 1 0 +1 +1 2 0x6431 0x52acb006 @@ -386694,6 +483368,8 @@ 1 1 0 +4 +3 2 0x7bf5 0xe6fe1d9a @@ -386702,6 +483378,8 @@ 0 0 0 +0 +3 4 0x7e3b 0x170a4ac6 @@ -386711,6 +483389,8 @@ 0 0 2 +5 +2 0x162a 0x802422a4 256 @@ -386719,6 +483399,8 @@ 0 0 4 +4 +4 0x47f5 0x85970844 256 @@ -386726,6 +483408,8 @@ 1 1 0 +1 +0 2 0x22cc 0x6b9db7a5 @@ -386734,6 +483418,8 @@ 1 0 0 +5 +2 4 0xff97 0x2277b8a1 @@ -386742,6 +483428,8 @@ 0 0 0 +5 +5 2 0x623a 0x5b60ee2a @@ -386751,6 +483439,8 @@ 1 0 4 +1 +4 0x35e5 0x28afdb5b 256 @@ -386758,6 +483448,8 @@ 1 0 0 +2 +1 3 0xf3bb 0x5212c132 @@ -386766,6 +483458,8 @@ 0 0 0 +5 +2 1 0x2462 0x1569d2c @@ -386774,6 +483468,8 @@ 0 0 0 +5 +5 2 0x2a9a 0xfa0bdb44 @@ -386782,6 +483478,8 @@ 0 0 0 +1 +5 2 0x1475 0x5eedd70 @@ -386790,6 +483488,8 @@ 1 0 0 +0 +2 2 0x3107 0x69f4276d @@ -386799,6 +483499,8 @@ 1 0 1 +2 +1 0xb42 0x1f4e19fb 256 @@ -386806,6 +483508,8 @@ 0 0 0 +3 +5 2 0x2d9b 0x408757d9 @@ -386814,6 +483518,8 @@ 1 0 0 +1 +0 3 0x5456 0x4bd8af38 @@ -386822,6 +483528,8 @@ 1 0 0 +0 +4 4 0x4580 0x981fa4d9 @@ -386830,6 +483538,8 @@ 1 0 0 +1 +1 2 0x4e2e 0xedc7c1ea @@ -386838,6 +483548,8 @@ 1 0 0 +2 +2 4 0xcb18 0xb2b041b1 @@ -386846,6 +483558,8 @@ 0 0 0 +0 +3 4 0xa1fe 0x37579155 @@ -386854,6 +483568,8 @@ 1 1 0 +3 +0 2 0xf87 0x66f97215 @@ -386862,6 +483578,8 @@ 0 0 0 +5 +5 1 0xee4f 0x7a4457b6 @@ -386870,6 +483588,8 @@ 1 1 0 +2 +0 3 0x312b 0xd43b7ef @@ -386878,6 +483598,8 @@ 1 0 0 +1 +2 3 0xac8d 0x3bf00472 @@ -386887,6 +483609,8 @@ 0 0 1 +4 +1 0x5831 0x58dfb50e 256 @@ -386894,6 +483618,8 @@ 1 0 0 +0 +0 3 0x67f5 0x15d045ff @@ -386902,6 +483628,8 @@ 0 0 0 +2 +0 3 0xcb7 0xf5688d39 @@ -386910,6 +483638,8 @@ 0 0 0 +3 +3 2 0xb3eb 0x9a7ccb76 @@ -386918,6 +483648,8 @@ 1 0 0 +5 +4 1 0xdbf1 0x15e67dd6 @@ -386926,6 +483658,8 @@ 0 0 0 +4 +1 3 0xb501 0xd1dc2a7 @@ -386934,6 +483668,8 @@ 1 0 0 +1 +1 2 0xb5c2 0x5bb45618 @@ -386942,6 +483678,8 @@ 0 0 0 +5 +0 3 0x17ad 0xf816a72 @@ -386950,6 +483688,8 @@ 1 0 0 +0 +2 1 0xae97 0x71297df9 @@ -386958,6 +483698,8 @@ 0 0 0 +3 +2 4 0xab90 0x45d8f986 @@ -386966,6 +483708,8 @@ 1 1 0 +5 +3 4 0xb01f 0x6307dbc4 @@ -386974,6 +483718,8 @@ 0 0 0 +0 +3 4 0xce0b 0xbcab9272 @@ -386982,6 +483728,8 @@ 1 0 0 +0 +4 4 0xba1f 0xf92b427f @@ -386990,6 +483738,8 @@ 1 0 0 +2 +5 3 0x81ad 0x7708f9c3 @@ -386998,6 +483748,8 @@ 0 0 0 +3 +3 4 0x3a42 0x10dd3a4b @@ -387007,6 +483759,8 @@ 0 0 1 +1 +1 0xe447 0x2a952ddb 256 @@ -387014,6 +483768,8 @@ 1 0 0 +5 +2 3 0x3398 0x78c2fef1 @@ -387022,6 +483778,8 @@ 0 0 0 +2 +3 1 0x57f5 0x1c00111b @@ -387030,6 +483788,8 @@ 1 0 0 +0 +0 4 0x3744 0xbf03ce82 @@ -387038,6 +483798,8 @@ 0 0 0 +1 +0 3 0x1094 0x6d71a9e2 @@ -387046,6 +483808,8 @@ 1 1 0 +5 +1 1 0xcc6 0x345c693d @@ -387054,6 +483818,8 @@ 1 0 0 +5 +3 1 0x3f16 0xf836dab1 @@ -387062,6 +483828,8 @@ 1 1 0 +3 +2 1 0xd250 0x34030d81 @@ -387070,6 +483838,8 @@ 1 0 0 +5 +1 2 0x341b 0xa4be46bd @@ -387078,6 +483848,8 @@ 1 1 0 +5 +0 4 0xab5 0xf644c64 @@ -387086,6 +483858,8 @@ 1 1 0 +5 +5 2 0xb5e3 0x38cd1d76 @@ -387094,6 +483868,8 @@ 0 0 0 +2 +4 1 0x625d 0xcd6b1e2b @@ -387102,6 +483878,8 @@ 0 0 0 +0 +5 3 0x36a7 0xb0919816 @@ -387110,6 +483888,8 @@ 1 0 0 +4 +0 2 0x52da 0xcba7c522 @@ -387118,6 +483898,8 @@ 1 0 0 +0 +5 2 0xd273 0xb8b914d1 @@ -387126,6 +483908,8 @@ 1 1 0 +2 +4 4 0xf69d 0xa8af4176 @@ -387134,6 +483918,8 @@ 1 0 0 +5 +5 2 0xb107 0xdf6d1ea3 @@ -387142,6 +483928,8 @@ 1 1 0 +0 +4 2 0xdd0e 0x870f1327 @@ -387150,6 +483938,8 @@ 0 0 0 +5 +3 1 0xcba6 0xed948c4e @@ -387158,6 +483948,8 @@ 1 1 0 +1 +5 3 0x1f53 0xc6da844e @@ -387166,6 +483958,8 @@ 0 0 0 +5 +3 1 0xda52 0xcf2486c2 @@ -387175,6 +483969,8 @@ 0 0 3 +2 +3 0xbdd4 0x600f729 256 @@ -387182,6 +483978,8 @@ 1 0 0 +4 +4 3 0x7249 0xf3587a14 @@ -387190,6 +483988,8 @@ 1 1 0 +0 +1 3 0x888d 0x195b8429 @@ -387198,6 +483998,8 @@ 1 1 0 +1 +5 2 0xc985 0xfb719233 @@ -387206,6 +484008,8 @@ 1 0 0 +0 +2 2 0x48b3 0x6dcaceec @@ -387214,6 +484018,8 @@ 0 0 0 +3 +1 2 0x817d 0xe2fdd1ab @@ -387222,6 +484028,8 @@ 1 1 0 +1 +4 4 0x658b 0x5481fe5e @@ -387230,6 +484038,8 @@ 1 0 0 +4 +3 2 0x6182 0x1d38c219 @@ -387238,6 +484048,8 @@ 0 0 0 +1 +3 4 0x6a0f 0x404b687c @@ -387247,6 +484059,8 @@ 1 0 2 +2 +2 0xfd4 0x7a14304 256 @@ -387255,6 +484069,8 @@ 0 0 4 +2 +4 0xab5f 0x5d68ca6 256 @@ -387262,6 +484078,8 @@ 0 0 0 +1 +5 4 0xa46 0x3003d804 @@ -387270,6 +484088,8 @@ 0 0 0 +2 +1 3 0x3875 0x36bc1a91 @@ -387278,6 +484098,8 @@ 1 0 0 +1 +2 4 0xfb0b 0xca59fd51 @@ -387286,6 +484108,8 @@ 1 0 0 +4 +0 1 0xc85e 0x20e127b @@ -387294,6 +484118,8 @@ 1 1 0 +3 +1 1 0xd99a 0x82b55524 @@ -387302,6 +484128,8 @@ 0 0 0 +4 +2 3 0x976c 0x5b8cd9b6 @@ -387310,6 +484138,8 @@ 1 0 0 +0 +3 2 0x1314 0x2f6cbc89 @@ -387318,6 +484148,8 @@ 0 0 0 +4 +2 2 0xc73f 0x854541b9 @@ -387326,6 +484158,8 @@ 1 0 0 +2 +0 4 0xe566 0x9b8994fe @@ -387335,6 +484169,8 @@ 0 0 1 +0 +1 0x336a 0x688fe851 256 @@ -387342,6 +484178,8 @@ 1 1 0 +0 +4 2 0x491b 0xaba08d7c @@ -387350,6 +484188,8 @@ 1 1 0 +2 +3 3 0x36f1 0xca325e62 @@ -387358,6 +484198,8 @@ 0 0 0 +2 +2 3 0x8cd9 0x524a6855 @@ -387366,6 +484208,8 @@ 0 0 0 +5 +5 3 0xe6a9 0x8a3d2959 @@ -387374,6 +484218,8 @@ 0 0 0 +2 +1 3 0x955d 0x37aee51c @@ -387383,6 +484229,8 @@ 0 0 4 +2 +4 0x9186 0x8a16fcad 256 @@ -387390,6 +484238,8 @@ 1 1 0 +4 +1 1 0x71dc 0x126ae7e3 @@ -387398,6 +484248,8 @@ 1 1 0 +1 +1 3 0xdece 0x4289093e @@ -387407,6 +484259,8 @@ 1 0 1 +4 +1 0x5f4d 0x80ff1f63 256 @@ -387415,6 +484269,8 @@ 1 0 2 +5 +2 0x341d 0xb853247f 256 @@ -387422,6 +484278,8 @@ 0 0 0 +0 +3 4 0x6f9a 0x61683e8b @@ -387430,6 +484288,8 @@ 0 0 0 +0 +0 1 0xa0df 0x824abd0c @@ -387439,6 +484299,8 @@ 0 0 4 +2 +4 0x5bb6 0xfb24f420 256 @@ -387447,6 +484309,8 @@ 0 0 3 +1 +3 0x196d 0x295b45a8 256 @@ -387454,6 +484318,8 @@ 1 0 0 +0 +2 3 0xa6ce 0xc5397d62 @@ -387463,6 +484329,8 @@ 0 0 1 +3 +1 0xc442 0x83b83245 256 @@ -387471,6 +484339,8 @@ 0 0 4 +1 +4 0x5f80 0xf2be99 256 @@ -387478,6 +484348,8 @@ 0 0 0 +4 +3 3 0x9c5 0x80590a98 @@ -387486,6 +484358,8 @@ 1 0 0 +2 +4 1 0xeafe 0x852a8f20 @@ -387494,6 +484368,8 @@ 1 0 0 +4 +2 2 0xfe77 0xaa9af134 @@ -387502,6 +484378,8 @@ 0 0 0 +0 +4 3 0xc61e 0x2d358860 @@ -387511,6 +484389,8 @@ 0 0 4 +4 +4 0x7991 0xde0859ba 256 @@ -387518,6 +484398,8 @@ 0 0 0 +2 +1 3 0xb102 0xe2a6e02d @@ -387526,6 +484408,8 @@ 0 0 0 +0 +4 3 0x3840 0x543a9e23 @@ -387534,6 +484418,8 @@ 0 0 0 +1 +4 3 0xdf4e 0xffeee78b @@ -387542,6 +484428,8 @@ 0 0 0 +3 +4 2 0x5df6 0x24ed6815 @@ -387550,6 +484438,8 @@ 1 1 0 +3 +1 4 0x8344 0xc1a3d8c5 @@ -387558,6 +484448,8 @@ 1 0 0 +0 +5 3 0x781 0xe6129aac @@ -387566,6 +484458,8 @@ 0 0 0 +5 +1 4 0x746a 0xed755f2f @@ -387574,6 +484468,8 @@ 0 0 0 +0 +2 1 0x8c8e 0x87bded26 @@ -387582,6 +484478,8 @@ 1 0 0 +2 +3 3 0xdbc1 0xf0efe988 @@ -387590,6 +484488,8 @@ 1 0 0 +5 +2 1 0x7b94 0x15dc881f @@ -387598,6 +484498,8 @@ 0 0 0 +1 +0 2 0xcc5f 0x14e573 @@ -387606,6 +484508,8 @@ 0 0 0 +4 +2 1 0x84e4 0xedd3f5bd @@ -387614,6 +484518,8 @@ 1 0 0 +0 +0 2 0x7da7 0x703a3fbc @@ -387622,6 +484528,8 @@ 1 1 0 +0 +4 4 0x624b 0xcb1fc22c @@ -387630,6 +484538,8 @@ 1 1 0 +4 +4 2 0xb474 0x1265a2f1 @@ -387638,6 +484548,8 @@ 0 0 0 +3 +4 4 0x616d 0xf822f9a3 @@ -387647,6 +484559,8 @@ 0 0 2 +5 +2 0x13d4 0x57ff3c7a 256 @@ -387654,6 +484568,8 @@ 0 0 0 +0 +4 4 0xc2fd 0x28e782ba @@ -387662,6 +484578,8 @@ 1 0 0 +3 +0 4 0x4c8c 0xeaff49d8 @@ -387670,6 +484588,8 @@ 0 0 0 +0 +5 2 0x1ae2 0x71fd27a3 @@ -387678,6 +484598,8 @@ 0 0 0 +0 +2 1 0xd656 0xd4b69bf7 @@ -387686,6 +484608,8 @@ 1 0 0 +3 +2 1 0xd945 0x4fd162ab @@ -387695,6 +484619,8 @@ 0 0 3 +4 +3 0x1567 0x323c21b1 256 @@ -387702,6 +484628,8 @@ 0 0 0 +2 +2 1 0xe208 0xffd4da23 @@ -387710,6 +484638,8 @@ 1 0 0 +1 +5 3 0xe596 0xc4dd1488 @@ -387718,6 +484648,8 @@ 1 1 0 +0 +5 3 0x2fc8 0x243c2ff4 @@ -387726,6 +484658,8 @@ 1 1 0 +2 +3 1 0x3e66 0x52a1790e @@ -387734,6 +484668,8 @@ 1 1 0 +0 +1 2 0x6ce4 0xb8339983 @@ -387742,6 +484678,8 @@ 0 0 0 +5 +1 1 0x391 0xeb5eb92e @@ -387750,6 +484688,8 @@ 0 0 0 +2 +3 4 0x762a 0x18033d89 @@ -387758,6 +484698,8 @@ 1 1 0 +5 +5 4 0xcdf4 0x2c3f1394 @@ -387766,6 +484708,8 @@ 0 0 0 +1 +5 3 0xe2b6 0x3a8a82d2 @@ -387774,6 +484718,8 @@ 1 0 0 +0 +3 4 0xd661 0x6c45965d @@ -387782,6 +484728,8 @@ 0 0 0 +1 +2 3 0xd2ea 0x93d98f4f @@ -387791,6 +484739,8 @@ 1 0 1 +2 +1 0x89e4 0x37ca90e5 256 @@ -387798,6 +484748,8 @@ 0 0 0 +1 +4 4 0xdde3 0x2f3244d2 @@ -387806,6 +484758,8 @@ 1 1 0 +2 +4 1 0xdec5 0x9e980b8c @@ -387814,6 +484768,8 @@ 1 1 0 +0 +2 1 0x320c 0x9ec89197 @@ -387822,6 +484778,8 @@ 0 0 0 +0 +3 1 0x4bce 0x93c80414 @@ -387830,6 +484788,8 @@ 1 0 0 +4 +2 1 0x4d15 0xd7da8143 @@ -387838,6 +484798,8 @@ 0 0 0 +0 +3 1 0x2963 0xb3937ced @@ -387846,6 +484808,8 @@ 0 0 0 +5 +1 3 0xf73 0x5f55819 @@ -387854,6 +484818,8 @@ 1 0 0 +4 +4 1 0xff3a 0xb88ed791 @@ -387863,6 +484829,8 @@ 1 0 2 +0 +2 0x5232 0xd5039b05 256 @@ -387870,6 +484838,8 @@ 0 0 0 +1 +1 4 0x8936 0x2ed20726 @@ -387878,6 +484848,8 @@ 0 0 0 +2 +1 4 0x4488 0x2a0cd16d @@ -387886,6 +484858,8 @@ 0 0 0 +3 +3 4 0xc856 0xf4c72560 @@ -387894,6 +484868,8 @@ 0 0 0 +4 +4 3 0x213b 0x84ec9da3 @@ -387902,6 +484878,8 @@ 0 0 0 +2 +0 4 0xcd4a 0x5379243c @@ -387910,6 +484888,8 @@ 0 0 0 +1 +0 3 0xfc86 0xd27c226b @@ -387918,6 +484898,8 @@ 1 0 0 +1 +1 3 0xe358 0xae94d6e0 @@ -387926,6 +484908,8 @@ 1 0 0 +5 +1 4 0x4868 0xf80b3827 @@ -387935,6 +484919,8 @@ 0 0 3 +5 +3 0x26ce 0xc0a9dfb3 256 @@ -387942,6 +484928,8 @@ 1 0 0 +4 +0 2 0x19b8 0xf27d42ba @@ -387950,6 +484938,8 @@ 1 0 0 +0 +5 3 0xb284 0x1b03996c @@ -387958,6 +484948,8 @@ 1 0 0 +4 +0 3 0xef75 0x7319bf16 @@ -387967,6 +484959,8 @@ 1 0 2 +4 +2 0x5d2d 0xe82300d4 256 @@ -387974,6 +484968,8 @@ 0 0 0 +3 +1 4 0xf11e 0x27dd6e48 @@ -387982,6 +484978,8 @@ 1 0 0 +2 +4 3 0x1b41 0xec648400 @@ -387990,6 +484988,8 @@ 0 0 0 +3 +4 2 0xff67 0x82f74e1a @@ -387999,6 +484999,8 @@ 0 0 3 +5 +3 0x2e13 0x41d1d064 256 @@ -388006,6 +485008,8 @@ 0 0 0 +5 +0 2 0x6956 0xf19ebdd @@ -388014,6 +485018,8 @@ 1 0 0 +4 +4 1 0xf759 0x5858449 @@ -388022,6 +485028,8 @@ 1 0 0 +0 +5 2 0x53b3 0x7e6c32f9 @@ -388030,6 +485038,8 @@ 0 0 0 +4 +2 3 0x4744 0x75dede23 @@ -388038,6 +485048,8 @@ 1 0 0 +2 +4 4 0xd9e0 0xedd6e349 @@ -388046,6 +485058,8 @@ 1 1 0 +1 +2 2 0x1359 0x438bdba8 @@ -388054,6 +485068,8 @@ 1 0 0 +5 +3 4 0x9745 0xa29dfffd @@ -388062,6 +485078,8 @@ 1 1 0 +1 +2 3 0x3909 0x79db4d0a @@ -388071,6 +485089,8 @@ 0 0 4 +2 +4 0xa952 0x8a4c0642 256 @@ -388078,6 +485098,8 @@ 0 0 0 +4 +1 1 0xba93 0xe6bcf2b8 @@ -388086,6 +485108,8 @@ 0 0 0 +3 +5 4 0xe634 0x7306754c @@ -388094,6 +485118,8 @@ 1 1 0 +4 +1 3 0x7f2 0xd8bb3f80 @@ -388102,6 +485128,8 @@ 1 0 0 +1 +2 2 0xd76c 0xc331cfc9 @@ -388110,6 +485138,8 @@ 0 0 0 +0 +5 2 0xa22a 0xf6a791d4 @@ -388118,6 +485148,8 @@ 1 0 0 +4 +2 3 0xeb2 0x11db44f7 @@ -388126,6 +485158,8 @@ 0 0 0 +0 +0 3 0x1389 0x8869460c @@ -388134,6 +485168,8 @@ 0 0 0 +1 +1 2 0x4efb 0xe6f1adb4 @@ -388142,6 +485178,8 @@ 0 0 0 +0 +2 4 0xe884 0xa3f53852 @@ -388150,6 +485188,8 @@ 1 0 0 +0 +3 3 0x49a3 0x17a451d3 @@ -388158,6 +485198,8 @@ 1 1 0 +2 +0 3 0x5a35 0xcbc792b2 @@ -388166,6 +485208,8 @@ 1 1 0 +4 +4 3 0x8857 0xf2f2d7ca @@ -388174,6 +485218,8 @@ 1 1 0 +4 +4 3 0x1601 0x7ea00250 @@ -388182,6 +485228,8 @@ 0 0 0 +5 +4 1 0x7269 0xdd03f951 @@ -388191,6 +485239,8 @@ 1 0 3 +4 +3 0x823f 0x5b25d96d 256 @@ -388198,6 +485248,8 @@ 1 1 0 +0 +0 1 0x8de4 0x6d490337 @@ -388206,6 +485258,8 @@ 1 1 0 +2 +0 3 0x5ed0 0x9b3e7ddc @@ -388214,6 +485268,8 @@ 1 1 0 +2 +5 1 0xfd4d 0x8f5f307f @@ -388222,6 +485278,8 @@ 1 1 0 +4 +4 2 0xb9ef 0x8e55b5e0 @@ -388230,6 +485288,8 @@ 1 0 0 +5 +0 4 0x878f 0xc19c6cd8 @@ -388238,6 +485298,8 @@ 1 1 0 +4 +1 3 0x145d 0x8ffc8ce5 @@ -388246,6 +485308,8 @@ 0 0 0 +3 +0 4 0x5061 0xa424435e @@ -388254,6 +485318,8 @@ 1 0 0 +1 +4 3 0xf0ae 0x750c5a93 @@ -388262,6 +485328,8 @@ 0 0 0 +0 +5 4 0x9989 0x7cea125d @@ -388270,6 +485338,8 @@ 0 0 0 +3 +4 4 0x4075 0xfa43a99d @@ -388278,6 +485348,8 @@ 1 0 0 +3 +0 4 0x32c 0xacb55219 @@ -388286,6 +485358,8 @@ 1 0 0 +3 +5 1 0x6d15 0x806792ea @@ -388294,6 +485368,8 @@ 1 0 0 +1 +4 2 0x5b19 0xbc7cf0b @@ -388302,6 +485378,8 @@ 0 0 0 +0 +2 2 0x1138 0x6089f8e1 @@ -388310,6 +485388,8 @@ 0 0 0 +1 +4 4 0x7ddc 0xf78f41f2 @@ -388318,6 +485398,8 @@ 0 0 0 +0 +5 1 0x40ca 0x9dcebd7e @@ -388326,6 +485408,8 @@ 1 1 0 +1 +2 2 0xb750 0xc1298cbb @@ -388334,6 +485418,8 @@ 1 1 0 +4 +0 2 0x178c 0xdf43a910 @@ -388342,6 +485428,8 @@ 1 0 0 +2 +2 3 0x23f6 0xafae59e1 @@ -388351,6 +485439,8 @@ 0 0 4 +4 +4 0xaf58 0xd6efa7b 256 @@ -388358,6 +485448,8 @@ 1 0 0 +4 +3 2 0xf1e0 0x4183964 @@ -388366,6 +485458,8 @@ 0 0 0 +4 +3 1 0x7538 0x88f9930 @@ -388374,6 +485468,8 @@ 0 0 0 +1 +2 3 0x906f 0x74cf3080 @@ -388382,6 +485478,8 @@ 1 0 0 +5 +1 1 0x5e55 0xd914e5cc @@ -388390,6 +485488,8 @@ 0 0 0 +5 +0 4 0x705b 0xba7b3075 @@ -388398,6 +485498,8 @@ 0 0 0 +0 +2 4 0x8938 0x48b85173 @@ -388406,6 +485508,8 @@ 0 0 0 +3 +4 2 0x2e7 0xe6823b34 @@ -388414,6 +485518,8 @@ 0 0 0 +0 +2 4 0x2312 0xf077534 @@ -388422,6 +485528,8 @@ 0 0 0 +2 +5 3 0xcb22 0x1872c9c1 @@ -388431,6 +485539,8 @@ 1 0 4 +2 +4 0x3331 0xde7bd6d4 256 @@ -388438,6 +485548,8 @@ 0 0 0 +3 +1 4 0x3862 0x1df171e4 @@ -388446,6 +485558,8 @@ 1 0 0 +3 +4 2 0x6df2 0x4f8656c @@ -388454,6 +485568,8 @@ 0 0 0 +5 +3 2 0xddfe 0xf874a266 @@ -388462,6 +485578,8 @@ 0 0 0 +4 +4 1 0xfe5c 0x6507c894 @@ -388470,6 +485588,8 @@ 1 0 0 +5 +0 2 0x739f 0x5a036e17 @@ -388478,6 +485598,8 @@ 1 1 0 +0 +0 2 0xce4a 0x594e94fc @@ -388486,6 +485608,8 @@ 1 1 0 +3 +2 2 0xaf0 0x6ef84d94 @@ -388494,6 +485618,8 @@ 0 0 0 +3 +5 1 0x5c64 0x8fde1a53 @@ -388502,6 +485628,8 @@ 1 0 0 +1 +3 4 0xbf10 0x6cde9ede @@ -388511,6 +485639,8 @@ 1 0 4 +3 +4 0x4489 0x3fbc49b9 256 @@ -388519,6 +485649,8 @@ 0 0 2 +4 +2 0x2a47 0xf27cbbea 256 @@ -388526,6 +485658,8 @@ 0 0 0 +3 +3 4 0xb858 0x167cddc6 @@ -388534,6 +485668,8 @@ 1 1 0 +0 +0 4 0x2b3d 0x9bd49fd7 @@ -388542,6 +485678,8 @@ 0 0 0 +4 +4 2 0x305 0x29f872d3 @@ -388550,6 +485688,8 @@ 1 0 0 +2 +2 3 0x12d9 0xcdd96bf3 @@ -388558,6 +485698,8 @@ 1 1 0 +2 +5 4 0x5428 0x45d853c7 @@ -388566,6 +485708,8 @@ 1 0 0 +0 +0 4 0x8c27 0x397dae6b @@ -388575,6 +485719,8 @@ 0 0 4 +0 +4 0xf5ed 0xc406bab5 256 @@ -388582,6 +485728,8 @@ 0 0 0 +1 +1 3 0x41c 0xe13d0a75 @@ -388590,6 +485738,8 @@ 1 1 0 +3 +3 1 0xbe8b 0x613841fd @@ -388598,6 +485748,8 @@ 1 0 0 +5 +1 1 0xd566 0xe1dbb82c @@ -388606,6 +485758,8 @@ 1 0 0 +5 +0 3 0xc7c2 0x9d4a2c5c @@ -388614,6 +485768,8 @@ 0 0 0 +4 +4 3 0x49e3 0x88ee590e @@ -388622,6 +485778,8 @@ 1 0 0 +0 +3 2 0xcd4d 0x6e8cde0e @@ -388630,6 +485788,8 @@ 0 0 0 +1 +3 4 0x1a24 0x34da5456 @@ -388638,6 +485798,8 @@ 1 1 0 +0 +0 4 0xd13b 0xc52954d5 @@ -388646,6 +485808,8 @@ 0 0 0 +0 +1 4 0xffac 0x70677c23 @@ -388654,6 +485818,8 @@ 0 0 0 +0 +4 3 0xb8fe 0xd5f584a2 @@ -388662,6 +485828,8 @@ 1 0 0 +2 +0 4 0x3f54 0x59a37f32 @@ -388670,6 +485838,8 @@ 1 1 0 +3 +3 2 0x8787 0xc0543fd1 @@ -388679,6 +485849,8 @@ 0 0 4 +5 +4 0x992c 0xf1bd5804 256 @@ -388686,6 +485858,8 @@ 0 0 0 +1 +3 3 0xb15 0xb36e9187 @@ -388694,6 +485868,8 @@ 0 0 0 +0 +2 2 0x6b2a 0xfffb3301 @@ -388703,6 +485879,8 @@ 0 0 3 +1 +3 0x8439 0x61236745 256 @@ -388710,6 +485888,8 @@ 1 1 0 +3 +5 2 0xf744 0x1d0f1049 @@ -388718,6 +485898,8 @@ 0 0 0 +4 +1 3 0x9ff5 0xd424c217 @@ -388726,6 +485908,8 @@ 0 0 0 +4 +2 1 0x7246 0x328f2226 @@ -388734,6 +485918,8 @@ 1 1 0 +2 +1 4 0x657b 0xaccbbf8c @@ -388742,6 +485928,8 @@ 0 0 0 +4 +3 2 0x6cf2 0xec753cc @@ -388750,6 +485938,8 @@ 1 1 0 +2 +3 4 0xaa93 0x88e42c55 @@ -388758,6 +485948,8 @@ 1 0 0 +0 +1 4 0xab9b 0x878d0de2 @@ -388766,6 +485958,8 @@ 0 0 0 +0 +3 4 0x8f5c 0xc28988e1 @@ -388774,6 +485968,8 @@ 0 0 0 +2 +1 1 0xf6a7 0x3eae2941 @@ -388782,6 +485978,8 @@ 0 0 0 +0 +5 4 0x547 0xaed7339f @@ -388791,6 +485989,8 @@ 1 0 4 +1 +4 0xf247 0xd7ec89b0 256 @@ -388798,6 +485998,8 @@ 0 0 0 +5 +1 1 0xf257 0xb887c04c @@ -388806,6 +486008,8 @@ 1 1 0 +2 +4 4 0x424 0x851138d6 @@ -388815,6 +486019,8 @@ 0 0 1 +4 +1 0x8688 0xad60ee90 256 @@ -388822,6 +486028,8 @@ 1 1 0 +5 +1 4 0x4f0b 0x3ab64554 @@ -388830,6 +486038,8 @@ 1 1 0 +5 +0 2 0x6286 0x63a72f68 @@ -388838,6 +486048,8 @@ 1 1 0 +2 +2 4 0xd0e4 0x538bab54 @@ -388846,6 +486058,8 @@ 1 1 0 +4 +1 1 0xaceb 0xf34d8a07 @@ -388854,6 +486068,8 @@ 0 0 0 +5 +5 2 0x679 0xcc58ad28 @@ -388862,6 +486078,8 @@ 0 0 0 +3 +2 4 0x7a84 0x24c13cbb @@ -388870,6 +486088,8 @@ 1 0 0 +4 +3 3 0xcb7 0x606af6cd @@ -388878,6 +486098,8 @@ 0 0 0 +3 +0 4 0x1800 0x6a3a0be0 @@ -388886,6 +486108,8 @@ 1 1 0 +3 +0 1 0x4dec 0xb5d95c64 @@ -388894,6 +486118,8 @@ 1 0 0 +4 +1 3 0x5ccb 0xab99fa59 @@ -388902,6 +486128,8 @@ 1 1 0 +1 +2 4 0xd9e3 0x88d0010 @@ -388910,6 +486138,8 @@ 0 0 0 +5 +2 4 0xe7c0 0x6f30981f @@ -388919,6 +486149,8 @@ 0 0 1 +2 +1 0x3e20 0x85c4139f 256 @@ -388926,6 +486158,8 @@ 1 1 0 +0 +1 1 0xcad8 0x6730e45a @@ -388934,6 +486168,8 @@ 0 0 0 +1 +0 4 0x97ce 0xf5eff29 @@ -388942,6 +486178,8 @@ 0 0 0 +4 +4 1 0x303a 0x1cdecc58 @@ -388950,6 +486188,8 @@ 0 0 0 +3 +0 2 0xc99c 0x1d844aba @@ -388958,6 +486198,8 @@ 1 1 0 +4 +1 3 0xee29 0xbe037896 @@ -388966,6 +486208,8 @@ 0 0 0 +4 +4 3 0xd405 0x19412a24 @@ -388974,6 +486218,8 @@ 1 0 0 +5 +0 3 0x9d8e 0xe5ee7d38 @@ -388982,6 +486228,8 @@ 1 1 0 +1 +2 2 0xaff6 0xecc4b750 @@ -388990,6 +486238,8 @@ 0 0 0 +5 +5 2 0x84d5 0x5d553b @@ -388998,6 +486248,8 @@ 0 0 0 +1 +0 4 0x9c2d 0x8160692a @@ -389006,6 +486258,8 @@ 1 1 0 +2 +1 1 0xcf6f 0x95668b29 @@ -389014,6 +486268,8 @@ 1 0 0 +0 +5 1 0x18e4 0x59496db7 @@ -389022,6 +486278,8 @@ 0 0 0 +1 +0 3 0x434c 0x1c4d31b9 @@ -389030,6 +486288,8 @@ 0 0 0 +3 +1 4 0xd435 0xb1ca7252 @@ -389038,6 +486298,8 @@ 0 0 0 +2 +1 4 0x9f89 0xee2305b1 @@ -389046,6 +486308,8 @@ 1 0 0 +1 +0 4 0xd007 0xa81565d @@ -389055,6 +486319,8 @@ 0 0 1 +4 +1 0x993b 0xbf94ac54 256 @@ -389062,6 +486328,8 @@ 1 0 0 +2 +3 1 0xfd11 0x61946d9 @@ -389071,6 +486339,8 @@ 1 0 3 +5 +3 0x5c88 0x37bce1c1 256 @@ -389079,6 +486349,8 @@ 0 0 4 +1 +4 0x8302 0x5d5dc93c 256 @@ -389086,6 +486358,8 @@ 0 0 0 +5 +2 1 0xbf2b 0x3edcc2a5 @@ -389094,6 +486368,8 @@ 1 0 0 +0 +0 4 0x82f3 0x95fca455 @@ -389102,6 +486378,8 @@ 1 1 0 +1 +5 2 0xae6f 0x654cfa77 @@ -389110,6 +486388,8 @@ 1 1 0 +3 +5 4 0x4812 0x6cdd08ed @@ -389119,6 +486399,8 @@ 0 0 4 +5 +4 0x3d66 0x1261ff84 256 @@ -389126,6 +486408,8 @@ 1 1 0 +5 +1 4 0x7c49 0x4da9ae6 @@ -389134,6 +486418,8 @@ 0 0 0 +2 +5 1 0xf524 0x1079448a @@ -389142,6 +486428,8 @@ 0 0 0 +3 +2 2 0xf51f 0x2019c861 @@ -389150,6 +486438,8 @@ 0 0 0 +4 +5 3 0xa669 0x678e8842 @@ -389158,6 +486448,8 @@ 0 0 0 +0 +0 3 0x37cd 0xbae6df4b @@ -389166,6 +486458,8 @@ 0 0 0 +1 +3 4 0xef61 0xacb32fe @@ -389174,6 +486468,8 @@ 1 0 0 +5 +3 4 0xfe01 0x61673f2 @@ -389182,6 +486478,8 @@ 1 0 0 +4 +5 2 0xeb61 0xe6563b96 @@ -389190,6 +486488,8 @@ 1 0 0 +2 +2 4 0xdf18 0xa087340 @@ -389198,6 +486498,8 @@ 1 1 0 +1 +2 3 0xbd72 0x93a1dedb @@ -389207,6 +486509,8 @@ 0 0 1 +3 +1 0xcd65 0xaa6d5fe2 256 @@ -389214,6 +486518,8 @@ 1 0 0 +5 +2 4 0xb7af 0x2347b8dc @@ -389223,6 +486529,8 @@ 0 0 2 +3 +2 0xe234 0xcda1cb49 256 @@ -389230,6 +486538,8 @@ 1 0 0 +5 +3 2 0xe5a8 0x6bf4de8f @@ -389238,6 +486548,8 @@ 1 1 0 +5 +1 4 0xc075 0xf93544cc @@ -389246,6 +486558,8 @@ 0 0 0 +0 +2 2 0x8dc0 0x80599d8 @@ -389254,6 +486568,8 @@ 1 1 0 +0 +0 4 0x709e 0x8962077d @@ -389263,6 +486579,8 @@ 0 0 4 +0 +4 0xa162 0x77e29ea 256 @@ -389270,6 +486588,8 @@ 0 0 0 +5 +2 1 0x34b4 0x44d66ee8 @@ -389278,6 +486598,8 @@ 1 1 0 +5 +1 3 0xc2db 0x7ee6889d @@ -389287,6 +486609,8 @@ 0 0 4 +4 +4 0x95a3 0x8306f60d 256 @@ -389294,6 +486618,8 @@ 0 0 0 +0 +0 2 0x7857 0xb52b8248 @@ -389302,6 +486628,8 @@ 1 1 0 +3 +3 2 0xd965 0x3a1e5b4c @@ -389310,6 +486638,8 @@ 0 0 0 +0 +3 3 0x47f6 0xaa7c0ea7 @@ -389318,6 +486648,8 @@ 0 0 0 +0 +0 1 0xe7d5 0xe8cc2924 @@ -389326,6 +486658,8 @@ 0 0 0 +4 +0 3 0x18c9 0x91d39edc @@ -389334,6 +486668,8 @@ 1 1 0 +1 +0 3 0xb9d7 0xc2b098e1 @@ -389342,6 +486678,8 @@ 0 0 0 +5 +0 1 0xf48d 0x68247295 @@ -389350,6 +486688,8 @@ 1 1 0 +5 +4 2 0xd3c0 0x885c8bec @@ -389359,6 +486699,8 @@ 0 0 1 +2 +1 0xb1dd 0x834b267e 256 @@ -389366,6 +486708,8 @@ 1 1 0 +2 +1 3 0x2853 0xe69e3137 @@ -389374,6 +486718,8 @@ 0 0 0 +3 +3 1 0xb016 0x599405f0 @@ -389382,6 +486728,8 @@ 0 0 0 +5 +5 3 0xf82c 0xdfb8d9b9 @@ -389390,6 +486738,8 @@ 0 0 0 +3 +0 4 0x5df0 0x86d2a5fc @@ -389399,6 +486749,8 @@ 0 0 4 +1 +4 0x722d 0xb3d16f4b 256 @@ -389406,6 +486758,8 @@ 1 0 0 +5 +0 1 0xd1c7 0x562a686 @@ -389414,6 +486768,8 @@ 0 0 0 +0 +4 1 0xb27e 0xa0add8c6 @@ -389422,6 +486778,8 @@ 1 0 0 +5 +0 4 0x67da 0xdcb42a3 @@ -389430,6 +486788,8 @@ 1 1 0 +0 +5 4 0x4d57 0x1236ef86 @@ -389439,6 +486799,8 @@ 0 0 3 +5 +3 0x784d 0x57392f78 256 @@ -389446,6 +486808,8 @@ 0 0 0 +2 +4 4 0x4440 0xe0baa2ee @@ -389454,6 +486818,8 @@ 0 0 0 +1 +0 2 0xa61d 0xbc5d4054 @@ -389462,6 +486828,8 @@ 0 0 0 +4 +5 2 0x6458 0xf06d63cf @@ -389470,6 +486838,8 @@ 0 0 0 +3 +5 4 0xd771 0x8bfecbed @@ -389479,6 +486849,8 @@ 1 0 4 +2 +4 0x2cb 0x3631cbbb 256 @@ -389486,6 +486858,8 @@ 0 0 0 +3 +3 4 0xa400 0xb4fbca34 @@ -389494,6 +486868,8 @@ 1 0 0 +5 +2 4 0xfedd 0xa2b0c5d9 @@ -389503,6 +486879,8 @@ 0 0 2 +0 +2 0x616b 0x558f4021 256 @@ -389510,6 +486888,8 @@ 1 1 0 +4 +4 2 0x3203 0x9eb8d5c5 @@ -389518,6 +486898,8 @@ 0 0 0 +2 +1 4 0x2757 0xdd6ab4cf @@ -389526,6 +486908,8 @@ 0 0 0 +0 +0 2 0xba46 0xe80c0b1e @@ -389534,6 +486918,8 @@ 0 0 0 +1 +0 4 0xb6d3 0x48206339 @@ -389542,6 +486928,8 @@ 0 0 0 +5 +3 2 0x6fa9 0xf314f6eb @@ -389550,6 +486938,8 @@ 0 0 0 +5 +4 2 0xee66 0xb86c08b9 @@ -389558,6 +486948,8 @@ 1 1 0 +4 +0 3 0x7aaa 0x48a3a4bb @@ -389566,6 +486958,8 @@ 0 0 0 +4 +3 1 0x3e6b 0xe5b2173f @@ -389575,6 +486969,8 @@ 0 0 1 +5 +1 0xc044 0x4483a75d 256 @@ -389582,6 +486978,8 @@ 1 0 0 +3 +0 2 0xd7d3 0x433d59d1 @@ -389590,6 +486988,8 @@ 1 0 0 +2 +1 1 0x9277 0x83c295fb @@ -389599,6 +486999,8 @@ 0 0 3 +3 +3 0x6003 0x96c7f27c 256 @@ -389606,6 +487008,8 @@ 0 0 0 +2 +0 1 0xfe13 0x8603932a @@ -389614,6 +487018,8 @@ 0 0 0 +5 +4 2 0xc805 0xe4c70d9a @@ -389622,6 +487028,8 @@ 1 1 0 +3 +2 2 0x98ae 0xaadd2 @@ -389630,6 +487038,8 @@ 1 0 0 +0 +3 3 0x6bcf 0x5fac8db8 @@ -389638,6 +487048,8 @@ 0 0 0 +1 +2 2 0x393c 0x847185e0 @@ -389646,6 +487058,8 @@ 0 0 0 +3 +1 4 0x93e 0xf65cfe44 @@ -389654,6 +487068,8 @@ 0 0 0 +2 +5 1 0x7aaf 0xf13b6957 @@ -389662,6 +487078,8 @@ 1 0 0 +0 +2 4 0x65a0 0x22b4b0c @@ -389671,6 +487089,8 @@ 0 0 2 +1 +2 0xdce 0xc11185b8 256 @@ -389679,6 +487099,8 @@ 0 0 1 +2 +1 0x5468 0xcc94a1e8 256 @@ -389686,6 +487108,8 @@ 0 0 0 +1 +0 2 0x51ab 0xe702af6b @@ -389694,6 +487118,8 @@ 1 0 0 +1 +4 3 0x2ca3 0xe25854fa @@ -389703,6 +487129,8 @@ 0 0 4 +0 +4 0x52b2 0xf7f1e4d7 256 @@ -389710,6 +487138,8 @@ 1 0 0 +2 +3 1 0x2396 0x7eb7ca3 @@ -389718,6 +487148,8 @@ 0 0 0 +5 +1 2 0x934b 0x5019380 @@ -389726,6 +487158,8 @@ 0 0 0 +0 +5 4 0x407c 0x6c5dcb04 @@ -389734,6 +487168,8 @@ 1 0 0 +0 +0 4 0xa097 0x46a4e49b @@ -389742,6 +487178,8 @@ 1 0 0 +0 +1 4 0xf2d5 0xed371e5a @@ -389750,6 +487188,8 @@ 0 0 0 +0 +4 2 0xb2d4 0x5ce7344a @@ -389758,6 +487198,8 @@ 1 0 0 +0 +4 4 0x5ca9 0xb3f1e474 @@ -389766,6 +487208,8 @@ 1 0 0 +2 +4 3 0x7c12 0xeb8afabe @@ -389774,6 +487218,8 @@ 0 0 0 +3 +4 2 0x355d 0xe71007ff @@ -389782,6 +487228,8 @@ 0 0 0 +5 +1 2 0x3db0 0x6fa4d0de @@ -389790,6 +487238,8 @@ 0 0 0 +5 +0 1 0x81e8 0xbbec14bd @@ -389799,6 +487249,8 @@ 0 0 1 +2 +1 0x349d 0xff8792c2 256 @@ -389806,6 +487258,8 @@ 1 0 0 +1 +0 3 0x56b2 0xdab5ee14 @@ -389815,6 +487269,8 @@ 0 0 2 +0 +2 0x591f 0xc2810d10 256 @@ -389822,6 +487278,8 @@ 0 0 0 +3 +4 4 0x99f9 0x403b9638 @@ -389830,6 +487288,8 @@ 0 0 0 +1 +2 4 0x3528 0xb57c739a @@ -389838,6 +487298,8 @@ 1 0 0 +4 +5 3 0x30b8 0x6ba16dbf @@ -389846,6 +487308,8 @@ 0 0 0 +4 +4 1 0x7924 0xd2ef2611 @@ -389854,6 +487318,8 @@ 0 0 0 +3 +1 4 0x37d1 0xed9e841a @@ -389862,6 +487328,8 @@ 0 0 0 +4 +3 3 0x878c 0x26bf006f @@ -389870,6 +487338,8 @@ 0 0 0 +0 +1 3 0x7469 0x925ea5ed @@ -389879,6 +487349,8 @@ 0 0 3 +2 +3 0xf410 0x9f563e02 256 @@ -389886,6 +487358,8 @@ 1 0 0 +0 +0 1 0x3f60 0xaf3d6719 @@ -389895,6 +487369,8 @@ 0 0 2 +1 +2 0xec5c 0xe2185dac 256 @@ -389902,6 +487378,8 @@ 0 0 0 +2 +3 4 0xd4fb 0xcde98ea4 @@ -389910,6 +487388,8 @@ 1 0 0 +3 +2 2 0x6af6 0xbfaa4ca7 @@ -389918,6 +487398,8 @@ 0 0 0 +4 +5 3 0x8cfe 0x61950c4 @@ -389926,6 +487408,8 @@ 0 0 0 +3 +0 4 0xd167 0x624bdd5d @@ -389934,6 +487418,8 @@ 1 1 0 +3 +2 2 0x51ee 0xd619238c @@ -389942,6 +487428,8 @@ 1 1 0 +5 +2 4 0x9b16 0xdd7df2eb @@ -389950,6 +487438,8 @@ 0 0 0 +2 +3 4 0xea52 0x4409ae57 @@ -389958,6 +487448,8 @@ 0 0 0 +4 +1 2 0xddee 0x62a6b9ef @@ -389966,6 +487458,8 @@ 0 0 0 +0 +0 2 0x99ef 0x5b2f9624 @@ -389975,6 +487469,8 @@ 0 0 1 +0 +1 0x55f8 0x1c3781f6 256 @@ -389982,6 +487478,8 @@ 0 0 0 +2 +2 1 0xedeb 0x9820e371 @@ -389990,6 +487488,8 @@ 0 0 0 +0 +3 2 0x873 0xd0cce1fa @@ -389999,6 +487499,8 @@ 0 0 4 +4 +4 0xfae1 0x93c6207c 256 @@ -390006,6 +487508,8 @@ 1 1 0 +1 +5 2 0x80f0 0x6c2ccb23 @@ -390015,6 +487519,8 @@ 1 0 4 +4 +4 0x66b8 0x407851c2 256 @@ -390022,6 +487528,8 @@ 0 0 0 +1 +1 2 0xad00 0x9492e98b @@ -390030,6 +487538,8 @@ 1 1 0 +1 +1 3 0x87e7 0x44183369 @@ -390038,6 +487548,8 @@ 0 0 0 +0 +2 3 0xeaac 0xb4ab85f7 @@ -390046,6 +487558,8 @@ 0 0 0 +5 +4 2 0x8683 0xb325d457 @@ -390054,6 +487568,8 @@ 1 0 0 +2 +2 1 0x1fc1 0xc2330d6b @@ -390062,6 +487578,8 @@ 0 0 0 +4 +0 2 0x2e09 0x29bb65db @@ -390070,6 +487588,8 @@ 1 1 0 +2 +5 1 0x9894 0x2d548c39 @@ -390078,6 +487598,8 @@ 1 1 0 +4 +4 1 0x3292 0xf6923c25 @@ -390086,6 +487608,8 @@ 1 1 0 +3 +4 4 0x2508 0x51126e4 @@ -390094,6 +487618,8 @@ 1 1 0 +5 +2 2 0xffb 0x8f516a73 @@ -390102,6 +487628,8 @@ 0 0 0 +1 +5 4 0x78b0 0xc4ee2389 @@ -390110,6 +487638,8 @@ 0 0 0 +5 +4 2 0x118d 0x40c77f41 @@ -390118,6 +487648,8 @@ 0 0 0 +0 +1 3 0x432f 0x6b0b0b73 @@ -390127,6 +487659,8 @@ 1 0 4 +3 +4 0xd0eb 0x5d6f5355 256 @@ -390134,6 +487668,8 @@ 1 0 0 +2 +4 1 0x92e4 0x1c85d792 @@ -390143,6 +487679,8 @@ 0 0 1 +5 +1 0x402b 0x9fceb7cc 256 @@ -390150,6 +487688,8 @@ 0 0 0 +4 +3 3 0x41ca 0x51da38e1 @@ -390158,6 +487698,8 @@ 1 0 0 +1 +1 4 0x55f 0xb75393be @@ -390166,6 +487708,8 @@ 1 1 0 +1 +2 3 0xc884 0x72c96a71 @@ -390174,6 +487718,8 @@ 1 1 0 +3 +1 4 0xb6c6 0x87eb0367 @@ -390182,6 +487728,8 @@ 1 1 0 +0 +0 3 0x238e 0x4c4e0fc0 @@ -390190,6 +487738,8 @@ 1 0 0 +1 +1 3 0x4f00 0x5bc4f56a @@ -390199,6 +487749,8 @@ 1 0 3 +0 +3 0xfba3 0xbb96a7a1 256 @@ -390206,6 +487758,8 @@ 1 1 0 +1 +0 2 0x8300 0x7f33f2ba @@ -390214,6 +487768,8 @@ 1 1 0 +1 +1 2 0x37d8 0x8ebf9be2 @@ -390222,6 +487778,8 @@ 0 0 0 +5 +3 3 0x57ab 0x7eb36770 @@ -390231,6 +487789,8 @@ 1 0 3 +1 +3 0xbd6e 0x4c3f49c7 256 @@ -390238,6 +487798,8 @@ 0 0 0 +4 +2 1 0x856e 0x6ed4a4d1 @@ -390246,6 +487808,8 @@ 0 0 0 +3 +0 2 0x2a61 0xdea056a3 @@ -390254,6 +487818,8 @@ 0 0 0 +2 +5 4 0x3ad2 0x5f5045ca @@ -390262,6 +487828,8 @@ 1 1 0 +1 +3 3 0xcea6 0x72bb367d @@ -390271,6 +487839,8 @@ 0 0 3 +1 +3 0x537d 0x7322d774 256 @@ -390279,6 +487849,8 @@ 0 0 1 +0 +1 0x15d4 0x10f8d1ed 256 @@ -390287,6 +487859,8 @@ 0 0 2 +2 +2 0xac72 0x3145ac36 256 @@ -390294,6 +487868,8 @@ 1 1 0 +5 +3 3 0x593a 0xe2a0cf81 @@ -390303,6 +487879,8 @@ 0 0 2 +3 +2 0xab1d 0xa8b5206e 256 @@ -390310,6 +487888,8 @@ 1 0 0 +4 +5 1 0xb7f6 0x4ed8acb @@ -390319,6 +487899,8 @@ 0 0 4 +5 +4 0x5056 0xfa757ab4 256 @@ -390326,6 +487908,8 @@ 1 1 0 +4 +1 3 0xcd94 0x6be75402 @@ -390334,6 +487918,8 @@ 0 0 0 +2 +3 4 0x137e 0x864c0fa9 @@ -390342,6 +487928,8 @@ 1 0 0 +2 +2 3 0xee47 0x532465b2 @@ -390350,6 +487938,8 @@ 1 0 0 +2 +1 3 0xb3a9 0xdce6a7db @@ -390358,6 +487948,8 @@ 1 1 0 +4 +0 1 0x94e7 0xbdbefa2a @@ -390366,6 +487958,8 @@ 1 0 0 +0 +0 4 0x1660 0xfe1e3018 @@ -390375,6 +487969,8 @@ 0 0 2 +5 +2 0x6363 0xdcf47107 256 @@ -390382,6 +487978,8 @@ 1 0 0 +3 +3 2 0xc58d 0xd0f66ecd @@ -390390,6 +487988,8 @@ 1 0 0 +3 +4 4 0x93c2 0xfdf3de29 @@ -390398,6 +487998,8 @@ 0 0 0 +2 +2 3 0x857a 0x8103043a @@ -390406,6 +488008,8 @@ 1 0 0 +5 +5 4 0x392 0x822039c5 @@ -390414,6 +488018,8 @@ 1 1 0 +3 +1 1 0x3db4 0x33664911 @@ -390422,6 +488028,8 @@ 0 0 0 +1 +2 3 0xb585 0xb62d53da @@ -390431,6 +488039,8 @@ 0 0 3 +0 +3 0x3c42 0xfd8c403a 256 @@ -390439,6 +488049,8 @@ 0 0 3 +2 +3 0x7f2b 0x7480363b 256 @@ -390446,6 +488058,8 @@ 1 1 0 +4 +3 2 0x13df 0x2485ac77 @@ -390454,6 +488068,8 @@ 0 0 0 +3 +2 4 0xcfe4 0xef925135 @@ -390463,6 +488079,8 @@ 0 0 2 +5 +2 0x70c 0x4d8ca2c6 256 @@ -390471,6 +488089,8 @@ 0 0 4 +5 +4 0x6bd1 0x3cf7d263 256 @@ -390479,6 +488099,8 @@ 0 0 2 +4 +2 0x1250 0x2b2513b6 256 @@ -390487,6 +488109,8 @@ 1 0 3 +4 +3 0xea03 0xddf7a73b 256 @@ -390494,6 +488118,8 @@ 1 0 0 +2 +0 4 0x6489 0x3150b3ae @@ -390502,6 +488128,8 @@ 0 0 0 +1 +0 2 0x4770 0x77e21196 @@ -390510,6 +488138,8 @@ 0 0 0 +4 +2 3 0xae90 0xbb37b822 @@ -390518,6 +488148,8 @@ 1 0 0 +3 +2 1 0x2d53 0x11cc9dfa @@ -390526,6 +488158,8 @@ 0 0 0 +0 +4 3 0xe703 0x55f14ffd @@ -390534,6 +488168,8 @@ 0 0 0 +2 +3 1 0xb72b 0x11ddaf6e @@ -390542,6 +488178,8 @@ 1 1 0 +3 +2 2 0x3dee 0x140b7f8f @@ -390550,6 +488188,8 @@ 1 1 0 +2 +5 4 0x61d1 0x53226de5 @@ -390559,6 +488199,8 @@ 0 0 3 +2 +3 0x36af 0x1021b61a 256 @@ -390567,6 +488209,8 @@ 1 0 2 +5 +2 0x6046 0x2fc0ffe2 256 @@ -390575,6 +488219,8 @@ 0 0 3 +1 +3 0x3cb5 0xfe626dc 256 @@ -390583,6 +488229,8 @@ 1 0 2 +4 +2 0x8f2f 0x54f09486 256 @@ -390590,6 +488238,8 @@ 0 0 0 +2 +1 1 0xfe09 0xae00f2f3 @@ -390599,6 +488249,8 @@ 0 0 4 +1 +4 0x99ce 0x2ad52ec3 256 @@ -390606,6 +488258,8 @@ 1 1 0 +5 +2 4 0xc3c1 0xef8297c5 @@ -390614,6 +488268,8 @@ 0 0 0 +0 +0 2 0x52fd 0x89328efb @@ -390622,6 +488278,8 @@ 0 0 0 +4 +0 3 0xce54 0xb48c6cbb @@ -390630,6 +488288,8 @@ 0 0 0 +4 +2 3 0xd57c 0x849f67a8 @@ -390639,6 +488299,8 @@ 0 0 1 +3 +1 0xf43c 0xdcb8bfd2 256 @@ -390647,6 +488309,8 @@ 1 0 3 +3 +3 0x1376 0xa856f18c 256 @@ -390654,6 +488318,8 @@ 1 0 0 +1 +1 2 0xc40b 0xb409fd73 @@ -390663,6 +488329,8 @@ 0 0 1 +4 +1 0xffd7 0x74129475 256 @@ -390670,6 +488338,8 @@ 1 0 0 +2 +0 4 0x8649 0xbd429cc6 @@ -390678,6 +488348,8 @@ 1 0 0 +2 +1 3 0x8b6d 0x79474fc9 @@ -390686,6 +488358,8 @@ 1 0 0 +0 +2 2 0x74d3 0xe4cd1a9f @@ -390694,6 +488368,8 @@ 0 0 0 +2 +5 3 0xe256 0x8593fde3 @@ -390702,6 +488378,8 @@ 1 0 0 +4 +0 2 0x4cbf 0x6acf0917 @@ -390710,6 +488388,8 @@ 1 1 0 +2 +3 1 0x794e 0x23e1a785 @@ -390718,6 +488398,8 @@ 1 1 0 +3 +0 2 0x247b 0x931cf9ca @@ -390727,6 +488409,8 @@ 1 0 3 +1 +3 0x2c90 0x88136d13 256 @@ -390734,6 +488418,8 @@ 1 1 0 +3 +3 2 0xcb7d 0xb4fda1de @@ -390742,6 +488428,8 @@ 1 0 0 +2 +2 3 0xf63c 0x1aae956e @@ -390750,6 +488438,8 @@ 1 0 0 +5 +1 1 0x5d04 0x59e0948b @@ -390758,6 +488448,8 @@ 1 0 0 +3 +5 1 0x3341 0x4d921632 @@ -390766,6 +488458,8 @@ 1 1 0 +3 +4 4 0xd6c8 0xdcbbd0d7 @@ -390774,6 +488468,8 @@ 1 0 0 +2 +1 4 0x41d6 0x75085488 @@ -390782,6 +488478,8 @@ 0 0 0 +5 +3 1 0x4e69 0x1f7572fe @@ -390790,6 +488488,8 @@ 1 1 0 +0 +3 4 0xecec 0x2d0189b @@ -390798,6 +488498,8 @@ 1 1 0 +5 +1 3 0xf838 0xfa99e9ba @@ -390806,6 +488508,8 @@ 0 0 0 +1 +4 4 0x63d9 0x6f842891 @@ -390815,6 +488519,8 @@ 0 0 1 +4 +1 0x8eb 0xe5cb17af 256 @@ -390823,6 +488529,8 @@ 0 0 1 +5 +1 0xad11 0xe1e767ee 256 @@ -390830,6 +488538,8 @@ 1 0 0 +5 +1 2 0x8cfe 0x8bb77961 @@ -390838,6 +488548,8 @@ 0 0 0 +5 +3 3 0x1773 0xe907aae2 @@ -390847,6 +488559,8 @@ 0 0 4 +5 +4 0xab9a 0xc5b500a9 256 @@ -390855,6 +488569,8 @@ 0 0 4 +5 +4 0x4314 0xbc43c443 256 @@ -390862,6 +488578,8 @@ 1 0 0 +5 +1 4 0xf706 0x473b4c87 @@ -390870,6 +488588,8 @@ 0 0 0 +3 +2 2 0x8a3c 0x76956523 @@ -390878,6 +488598,8 @@ 1 1 0 +5 +0 1 0xc2d3 0xc700e3b4 @@ -390886,6 +488608,8 @@ 0 0 0 +0 +2 2 0xc04a 0x51ac86c2 @@ -390895,6 +488619,8 @@ 0 0 3 +2 +3 0xab3 0x9596f8b5 256 @@ -390902,6 +488628,8 @@ 0 0 0 +1 +1 3 0x3401 0xafc893fe @@ -390910,6 +488638,8 @@ 1 0 0 +4 +2 3 0x74d6 0x99284eb @@ -390918,6 +488648,8 @@ 1 0 0 +1 +0 2 0xc60d 0xa48eb4a6 @@ -390926,6 +488658,8 @@ 0 0 0 +5 +3 3 0xb5c8 0x290bc048 @@ -390934,6 +488668,8 @@ 1 1 0 +0 +3 4 0xf630 0xd31563c8 @@ -390942,6 +488678,8 @@ 1 0 0 +1 +1 2 0xe189 0xe396f16b @@ -390950,6 +488688,8 @@ 0 0 0 +5 +4 1 0x5070 0x953ac070 @@ -390958,6 +488698,8 @@ 0 0 0 +2 +3 3 0xbd01 0xc9fff212 @@ -390966,6 +488708,8 @@ 1 1 0 +0 +3 4 0xbdd0 0x155a6de0 @@ -390974,6 +488718,8 @@ 0 0 0 +0 +5 3 0x67a3 0xc979d6f9 @@ -390982,6 +488728,8 @@ 1 0 0 +3 +4 4 0x9f8f 0xc5996579 @@ -390990,6 +488738,8 @@ 0 0 0 +4 +0 2 0x1b1b 0xdc589d90 @@ -390998,6 +488748,8 @@ 0 0 0 +4 +3 2 0xec3e 0x313959a0 @@ -391007,6 +488759,8 @@ 0 0 1 +0 +1 0xe360 0xa3a7ad9c 256 @@ -391014,6 +488768,8 @@ 1 0 0 +2 +4 1 0x95b5 0xe3a48217 @@ -391022,6 +488778,8 @@ 0 0 0 +3 +3 4 0xc9d9 0x75f20a64 @@ -391030,6 +488788,8 @@ 1 0 0 +0 +2 2 0xb13d 0x11129825 @@ -391038,6 +488798,8 @@ 1 1 0 +0 +4 1 0x80f4 0x7a05a33d @@ -391046,6 +488808,8 @@ 0 0 0 +0 +5 2 0x8bcb 0x83c78bb0 @@ -391054,6 +488818,8 @@ 1 1 0 +2 +1 3 0x642c 0xad1620cb @@ -391062,6 +488828,8 @@ 0 0 0 +4 +5 2 0x730 0x209fe075 @@ -391070,6 +488838,8 @@ 0 0 0 +5 +5 1 0x2e15 0x52db6a13 @@ -391078,6 +488848,8 @@ 1 0 0 +2 +2 1 0x5333 0xbe86a07a @@ -391086,6 +488858,8 @@ 0 0 0 +2 +1 3 0xeca8 0xac2e5df2 @@ -391094,6 +488868,8 @@ 1 1 0 +3 +4 2 0x4516 0x4343e98f @@ -391102,6 +488878,8 @@ 1 1 0 +0 +3 3 0x1e21 0xb1acea03 @@ -391110,6 +488888,8 @@ 0 0 0 +5 +1 4 0xc75f 0x1d3f538e @@ -391118,6 +488898,8 @@ 1 0 0 +1 +5 4 0x6d6d 0xbc8c30c1 @@ -391126,6 +488908,8 @@ 0 0 0 +5 +4 1 0xda15 0xb1201dae @@ -391134,6 +488918,8 @@ 0 0 0 +4 +0 1 0xec72 0xb6225dbd @@ -391142,6 +488928,8 @@ 1 0 0 +5 +5 2 0x1fa0 0x6cef42dd @@ -391150,6 +488938,8 @@ 1 0 0 +1 +1 2 0x142d 0x719923a5 @@ -391158,6 +488948,8 @@ 0 0 0 +5 +0 3 0x3f87 0x301f4279 @@ -391166,6 +488958,8 @@ 1 1 0 +5 +5 2 0xdc29 0xc2febf1d @@ -391175,6 +488969,8 @@ 1 0 1 +5 +1 0xe923 0x8dd20f13 256 @@ -391183,6 +488979,8 @@ 0 0 2 +2 +2 0x75a0 0xaef0d12d 256 @@ -391191,6 +488989,8 @@ 0 0 3 +0 +3 0x8e33 0x1b687a13 256 @@ -391198,6 +488998,8 @@ 0 0 0 +5 +3 3 0xd917 0x7c65048d @@ -391206,6 +489008,8 @@ 1 0 0 +4 +2 3 0x8423 0x4cf1ee64 @@ -391214,6 +489018,8 @@ 1 0 0 +4 +4 2 0x9168 0xcddd4a7b @@ -391223,6 +489029,8 @@ 0 0 1 +2 +1 0xf098 0xc6de9768 256 @@ -391230,6 +489038,8 @@ 0 0 0 +4 +5 3 0x24a 0x8e6a4a14 @@ -391238,6 +489048,8 @@ 1 1 0 +5 +4 2 0xf31c 0xa6223deb @@ -391246,6 +489058,8 @@ 0 0 0 +4 +5 1 0x8a7c 0x4276d8d2 @@ -391254,6 +489068,8 @@ 1 0 0 +5 +1 4 0xf20 0x58f0934f @@ -391262,6 +489078,8 @@ 1 0 0 +5 +5 2 0xb56b 0xcdac7207 @@ -391270,6 +489088,8 @@ 0 0 0 +0 +5 2 0xe906 0xe0c76bfb @@ -391278,6 +489098,8 @@ 1 1 0 +4 +2 2 0xd731 0x772ad0f @@ -391286,6 +489108,8 @@ 1 0 0 +3 +5 2 0x2c50 0x79cc6742 @@ -391294,6 +489118,8 @@ 1 0 0 +2 +1 1 0xcb61 0x7be571bd @@ -391302,6 +489128,8 @@ 1 1 0 +1 +4 3 0x44c9 0xbd1b355e @@ -391310,6 +489138,8 @@ 0 0 0 +4 +0 2 0x198f 0x8ab13394 @@ -391318,6 +489148,8 @@ 1 0 0 +2 +4 4 0x2561 0xd7fccd42 @@ -391327,6 +489159,8 @@ 0 0 4 +0 +4 0xefad 0x3f31de2 256 @@ -391334,6 +489168,8 @@ 1 1 0 +2 +2 1 0x9c16 0x3f4e9eca @@ -391342,6 +489178,8 @@ 1 1 0 +2 +3 4 0x5faf 0xf96d18ff @@ -391350,6 +489188,8 @@ 0 0 0 +5 +4 3 0x6fab 0x1d9d06d3 @@ -391358,6 +489198,8 @@ 0 0 0 +2 +1 3 0xf249 0xbb4f62b7 @@ -391367,6 +489209,8 @@ 0 0 2 +5 +2 0x7d4a 0x65a09e5d 256 @@ -391374,6 +489218,8 @@ 1 0 0 +5 +5 4 0x16e 0xda6b13b5 @@ -391382,6 +489228,8 @@ 1 1 0 +0 +1 1 0x5fc4 0x5b01da92 @@ -391390,6 +489238,8 @@ 1 1 0 +2 +2 4 0xd6dc 0x26db8f8f @@ -391398,6 +489248,8 @@ 1 0 0 +0 +3 3 0x375d 0x9aa672de @@ -391406,6 +489258,8 @@ 1 1 0 +5 +5 4 0x7386 0xa117075f @@ -391414,6 +489268,8 @@ 0 0 0 +3 +5 4 0x5d1d 0x22a2acdc @@ -391422,6 +489278,8 @@ 1 0 0 +5 +1 3 0x41ae 0x98f32f3f @@ -391430,6 +489288,8 @@ 0 0 0 +3 +4 2 0x2dcc 0x8568bc6d @@ -391438,6 +489298,8 @@ 0 0 0 +2 +2 4 0x5402 0xb9af77ee @@ -391446,6 +489308,8 @@ 0 0 0 +4 +2 1 0xeca2 0xaa939001 @@ -391454,6 +489318,8 @@ 0 0 0 +0 +0 1 0x996a 0x4ff70ebd @@ -391463,6 +489329,8 @@ 0 0 3 +2 +3 0x4118 0x2e526556 256 @@ -391470,6 +489338,8 @@ 1 0 0 +0 +3 3 0x68c7 0xa799bfcb @@ -391478,6 +489348,8 @@ 0 0 0 +5 +2 4 0x7a34 0x2a96badb @@ -391486,6 +489358,8 @@ 1 1 0 +0 +0 3 0x1861 0xd1fb4a8e @@ -391494,6 +489368,8 @@ 0 0 0 +5 +2 4 0xf0a5 0x4e1977bd @@ -391502,6 +489378,8 @@ 1 1 0 +5 +4 4 0xa06 0x95bbca74 @@ -391510,6 +489388,8 @@ 1 1 0 +4 +0 2 0x6ff5 0x14a26391 @@ -391518,6 +489398,8 @@ 0 0 0 +4 +3 1 0x148d 0xaf403a94 @@ -391527,6 +489409,8 @@ 1 0 1 +2 +1 0x8d94 0xee4c7f92 256 @@ -391535,6 +489419,8 @@ 1 0 1 +2 +1 0xb09b 0x7063061a 256 @@ -391542,6 +489428,8 @@ 1 0 0 +2 +1 1 0xd36e 0x4d06b95e @@ -391550,6 +489438,8 @@ 0 0 0 +3 +4 2 0x2014 0xc2e40b73 @@ -391558,6 +489448,8 @@ 1 1 0 +3 +0 4 0x1f21 0x36864518 @@ -391566,6 +489458,8 @@ 1 1 0 +4 +3 3 0xc3d4 0xfbbf28b3 @@ -391574,6 +489468,8 @@ 1 1 0 +4 +4 3 0x52e0 0x1ac483ea @@ -391582,6 +489478,8 @@ 0 0 0 +0 +2 4 0xc4a0 0x29fcddd5 @@ -391590,6 +489488,8 @@ 1 0 0 +4 +4 2 0x5bfa 0xd478051 @@ -391598,6 +489498,8 @@ 0 0 0 +4 +1 1 0xf66d 0x4975824e @@ -391606,6 +489508,8 @@ 1 1 0 +3 +2 2 0xb688 0x2fc3f3da @@ -391614,6 +489518,8 @@ 0 0 0 +5 +5 2 0xc6f6 0x48a09191 @@ -391622,6 +489528,8 @@ 1 1 0 +0 +5 2 0xfdc2 0xb258b839 @@ -391631,6 +489539,8 @@ 0 0 4 +5 +4 0x9ba 0x26058cdf 256 @@ -391638,6 +489548,8 @@ 0 0 0 +5 +0 1 0xd596 0x7882753e @@ -391647,6 +489559,8 @@ 1 0 1 +2 +1 0xa728 0x647b5802 256 @@ -391655,6 +489569,8 @@ 0 0 2 +0 +2 0xabb1 0x9f59378b 256 @@ -391662,6 +489578,8 @@ 1 1 0 +5 +0 4 0x92cc 0xeec3a001 @@ -391671,6 +489589,8 @@ 0 0 1 +5 +1 0xe427 0xf9e9d6bd 256 @@ -391678,6 +489598,8 @@ 0 0 0 +5 +1 1 0xa6c6 0x2ba4516e @@ -391686,6 +489608,8 @@ 0 0 0 +4 +5 2 0xef9c 0x46fc762c @@ -391694,6 +489618,8 @@ 0 0 0 +4 +5 3 0x90d6 0x444d7af9 @@ -391702,6 +489628,8 @@ 1 1 0 +1 +1 4 0xfa6e 0xc38a3af4 @@ -391711,6 +489639,8 @@ 0 0 4 +5 +4 0xc9bc 0xa77ba6e7 256 @@ -391718,6 +489648,8 @@ 0 0 0 +0 +5 1 0x6c22 0x8909844b @@ -391726,6 +489658,8 @@ 1 0 0 +5 +0 3 0x3ef9 0x7039e5b1 @@ -391734,6 +489668,8 @@ 0 0 0 +2 +4 3 0xadc0 0x3fb0524f @@ -391742,6 +489678,8 @@ 0 0 0 +0 +2 1 0xe2f5 0x67b47d1a @@ -391750,6 +489688,8 @@ 0 0 0 +3 +0 2 0x258b 0x206327b5 @@ -391758,6 +489698,8 @@ 1 0 0 +1 +5 2 0x88cc 0x22024e72 @@ -391766,6 +489708,8 @@ 1 0 0 +5 +5 3 0x7003 0xbb2b45a4 @@ -391774,6 +489718,8 @@ 0 0 0 +5 +4 1 0x2d2b 0xa14c6a25 @@ -391783,6 +489729,8 @@ 0 0 4 +4 +4 0x4198 0xfb57f38d 256 @@ -391790,6 +489738,8 @@ 0 0 0 +4 +5 1 0x46d5 0x1c0660ca @@ -391798,6 +489748,8 @@ 1 0 0 +1 +1 3 0x552c 0xf7dd63e1 @@ -391806,6 +489758,8 @@ 1 0 0 +5 +1 3 0x61f0 0xc7451ec3 @@ -391814,6 +489768,8 @@ 1 0 0 +5 +0 1 0x5106 0x6150e9ae @@ -391822,6 +489778,8 @@ 1 1 0 +1 +3 2 0xa27f 0x5fe2959a @@ -391831,6 +489789,8 @@ 0 0 1 +1 +1 0x74a 0x18daa208 256 @@ -391838,6 +489798,8 @@ 1 0 0 +3 +1 4 0x7b15 0x910e88cd @@ -391846,6 +489808,8 @@ 0 0 0 +5 +2 2 0xc636 0xd441c89e @@ -391854,6 +489818,8 @@ 1 0 0 +2 +0 4 0xc69b 0x98a7c29b @@ -391862,6 +489828,8 @@ 1 0 0 +1 +2 2 0x404b 0x8def88c9 @@ -391871,6 +489839,8 @@ 0 0 2 +5 +2 0x3669 0x629d8594 256 @@ -391878,6 +489848,8 @@ 1 1 0 +4 +3 2 0xc607 0xd1521622 @@ -391887,6 +489859,8 @@ 0 0 2 +3 +2 0x484 0xd3f1fbc0 256 @@ -391894,6 +489868,8 @@ 1 1 0 +1 +0 2 0x470a 0x35526990 @@ -391902,6 +489878,8 @@ 0 0 0 +0 +1 3 0x5aad 0xbfa977da @@ -391911,6 +489889,8 @@ 0 0 3 +4 +3 0x4785 0xa281bcb0 256 @@ -391918,6 +489898,8 @@ 1 0 0 +0 +2 2 0xf9f2 0x3ad3a118 @@ -391926,6 +489908,8 @@ 0 0 0 +0 +1 2 0x5f8f 0x3571dee8 @@ -391934,6 +489918,8 @@ 0 0 0 +2 +5 4 0xdbf1 0x7e554d28 @@ -391942,6 +489928,8 @@ 0 0 0 +5 +1 4 0xeddc 0xe452ea60 @@ -391951,6 +489939,8 @@ 0 0 1 +1 +1 0xf2b4 0x71a12df1 256 @@ -391958,6 +489948,8 @@ 1 1 0 +4 +0 2 0x4c88 0x67fbb6d6 @@ -391966,6 +489958,8 @@ 0 0 0 +5 +1 2 0x3fd4 0xfd5e5ee @@ -391974,6 +489968,8 @@ 1 0 0 +0 +2 2 0x4528 0xd5dccd95 @@ -391982,6 +489978,8 @@ 1 0 0 +1 +4 4 0xdd0f 0xdb2dd6f2 @@ -391990,6 +489988,8 @@ 1 0 0 +2 +3 4 0xd964 0xd019eb44 @@ -391998,6 +489998,8 @@ 1 0 0 +0 +1 3 0x4353 0xf597f51e @@ -392006,6 +490008,8 @@ 0 0 0 +3 +3 1 0x954d 0xae91cfcc @@ -392014,6 +490018,8 @@ 0 0 0 +2 +2 3 0x4db 0x814f1d54 @@ -392022,6 +490028,8 @@ 1 1 0 +4 +2 3 0x685f 0x3fc38c2f @@ -392030,6 +490038,8 @@ 0 0 0 +1 +4 3 0x9c6a 0x402d67bd @@ -392038,6 +490048,8 @@ 1 1 0 +2 +5 4 0x881e 0xc23a1575 @@ -392047,6 +490059,8 @@ 1 0 3 +1 +3 0xed90 0x5f56dac8 256 @@ -392054,6 +490068,8 @@ 0 0 0 +3 +5 1 0x422e 0x91447310 @@ -392062,6 +490078,8 @@ 0 0 0 +1 +3 2 0xae74 0xc5517b7a @@ -392070,6 +490088,8 @@ 1 1 0 +2 +5 3 0x1c0c 0xba20a837 @@ -392078,6 +490098,8 @@ 0 0 0 +4 +1 2 0xa0ec 0xac2d2919 @@ -392086,6 +490108,8 @@ 1 0 0 +1 +3 3 0x4133 0x7fae6ca9 @@ -392095,6 +490119,8 @@ 1 0 4 +2 +4 0xfd19 0x6b453f18 256 @@ -392103,6 +490129,8 @@ 0 0 4 +1 +4 0x2b47 0x2df3160b 256 @@ -392111,6 +490139,8 @@ 0 0 2 +1 +2 0xc2d6 0x83e35855 256 @@ -392118,6 +490148,8 @@ 1 1 0 +4 +0 2 0x8eb7 0x8258a422 @@ -392126,6 +490158,8 @@ 0 0 0 +2 +1 3 0x892d 0xe7cd561b @@ -392134,6 +490168,8 @@ 1 0 0 +0 +2 4 0xe465 0x1b87e7f5 @@ -392143,6 +490179,8 @@ 0 0 1 +4 +1 0xddf4 0xa999a746 256 @@ -392150,6 +490188,8 @@ 0 0 0 +5 +4 2 0x7078 0xb72a3ffd @@ -392158,6 +490198,8 @@ 0 0 0 +3 +1 1 0x7a32 0x13245bd2 @@ -392166,6 +490208,8 @@ 1 1 0 +1 +0 4 0xc9d7 0x9d5f2794 @@ -392175,6 +490219,8 @@ 0 0 3 +3 +3 0xefaf 0xe43f1ed9 256 @@ -392182,6 +490228,8 @@ 0 0 0 +5 +5 4 0xd1dd 0xf14e2cfb @@ -392191,6 +490239,8 @@ 0 0 4 +2 +4 0x103f 0xc69ea0be 256 @@ -392198,6 +490248,8 @@ 0 0 0 +5 +5 3 0xee62 0xa9aacf50 @@ -392206,6 +490258,8 @@ 0 0 0 +5 +1 3 0x1cde 0x49e7cdcb @@ -392214,6 +490268,8 @@ 0 0 0 +1 +4 4 0xa377 0x346d4094 @@ -392222,6 +490278,8 @@ 1 1 0 +5 +0 4 0xe28d 0xfae1e1a @@ -392231,6 +490289,8 @@ 1 0 3 +0 +3 0x5cfc 0x705ff8bb 256 @@ -392238,6 +490298,8 @@ 0 0 0 +2 +0 3 0xa153 0x6d095a68 @@ -392247,6 +490309,8 @@ 0 0 2 +4 +2 0xb164 0x3099d88e 256 @@ -392254,6 +490318,8 @@ 0 0 0 +3 +4 4 0x3663 0x454fb5b5 @@ -392262,6 +490328,8 @@ 1 1 0 +4 +3 3 0x6f81 0x253f2fa2 @@ -392270,6 +490338,8 @@ 1 0 0 +1 +0 2 0x2f22 0xcb546853 @@ -392278,6 +490348,8 @@ 1 0 0 +1 +5 3 0xe31f 0x76b3301f @@ -392286,6 +490358,8 @@ 1 1 0 +1 +5 3 0x167 0x7e8debe3 @@ -392294,6 +490368,8 @@ 0 0 0 +1 +5 2 0x9d0d 0xd15d2e4f @@ -392302,6 +490378,8 @@ 1 1 0 +4 +2 3 0x5d31 0x92e592dc @@ -392310,6 +490388,8 @@ 1 0 0 +1 +5 2 0x1c77 0x9f2ec43c @@ -392319,6 +490399,8 @@ 0 0 2 +1 +2 0x160d 0xe9ad1e5b 256 @@ -392326,6 +490408,8 @@ 1 0 0 +1 +3 4 0x2340 0xea61ee55 @@ -392334,6 +490418,8 @@ 1 0 0 +5 +0 2 0xc07b 0xedbecac8 @@ -392342,6 +490428,8 @@ 0 0 0 +3 +1 1 0xb32c 0xd3994d7d @@ -392350,6 +490438,8 @@ 1 1 0 +5 +3 3 0xc340 0x56509415 @@ -392358,6 +490448,8 @@ 1 1 0 +5 +0 1 0xa852 0x15c17d8a @@ -392366,6 +490458,8 @@ 1 1 0 +1 +1 4 0xa3a6 0x4e090df6 @@ -392374,6 +490468,8 @@ 0 0 0 +5 +2 1 0x311 0x7271e800 @@ -392382,6 +490478,8 @@ 0 0 0 +1 +0 2 0x1bf7 0x67dcc765 @@ -392390,6 +490488,8 @@ 0 0 0 +1 +5 3 0x2f45 0xaaa2ffd9 @@ -392398,6 +490498,8 @@ 1 1 0 +0 +1 1 0xd6ca 0x8ee04328 @@ -392406,6 +490508,8 @@ 1 0 0 +4 +4 2 0x527b 0x870d2f1f @@ -392414,6 +490518,8 @@ 0 0 0 +5 +4 1 0xdea 0xfd198ca5 @@ -392422,6 +490528,8 @@ 1 1 0 +3 +0 4 0xd466 0xaa1cb64a @@ -392431,6 +490539,8 @@ 0 0 4 +2 +4 0x45cf 0x93c0456c 256 @@ -392438,6 +490548,8 @@ 1 0 0 +2 +0 4 0x374d 0x979e2f73 @@ -392446,6 +490558,8 @@ 0 0 0 +3 +4 4 0xa6b5 0xca9037cd @@ -392454,6 +490568,8 @@ 1 1 0 +1 +3 3 0x6916 0x5072dc4 @@ -392463,6 +490579,8 @@ 0 0 2 +2 +2 0xd487 0xff8e47a9 256 @@ -392470,6 +490588,8 @@ 0 0 0 +1 +5 4 0xec31 0xca335515 @@ -392479,6 +490599,8 @@ 0 0 2 +5 +2 0xb06e 0x50a5ccfb 256 @@ -392486,6 +490608,8 @@ 1 1 0 +2 +1 1 0xade7 0x2e254d05 @@ -392494,6 +490618,8 @@ 1 0 0 +1 +3 3 0x4d31 0xd06486a3 @@ -392502,6 +490628,8 @@ 1 1 0 +2 +2 4 0xffa6 0x335c0331 @@ -392510,6 +490638,8 @@ 1 1 0 +0 +2 3 0x66cb 0x1dae2845 @@ -392518,6 +490648,8 @@ 0 0 0 +1 +1 3 0x9f37 0x2fbd3fa6 @@ -392526,6 +490658,8 @@ 0 0 0 +1 +0 3 0xc9be 0xc4893f44 @@ -392534,6 +490668,8 @@ 0 0 0 +5 +0 2 0x61d 0x87a26f5a @@ -392542,6 +490678,8 @@ 0 0 0 +1 +1 4 0xd1c1 0xc477d120 @@ -392550,6 +490688,8 @@ 1 1 0 +5 +1 2 0xc29b 0x7e0af486 @@ -392558,6 +490698,8 @@ 0 0 0 +3 +2 4 0x9454 0x9c0ca203 @@ -392566,6 +490708,8 @@ 1 0 0 +1 +2 2 0xd40 0x225ea579 @@ -392574,6 +490718,8 @@ 1 0 0 +5 +1 1 0x58c0 0x6709a19a @@ -392582,6 +490728,8 @@ 0 0 0 +0 +3 1 0x53f4 0x2318d279 @@ -392590,6 +490738,8 @@ 1 1 0 +3 +5 1 0xff42 0x688a8844 @@ -392598,6 +490748,8 @@ 1 1 0 +2 +2 4 0xe208 0xc9781abe @@ -392606,6 +490758,8 @@ 1 0 0 +3 +3 1 0x71ae 0x5bba2459 @@ -392614,6 +490768,8 @@ 1 0 0 +5 +5 1 0xf0af 0x34fde561 @@ -392622,6 +490778,8 @@ 0 0 0 +3 +4 1 0x28ae 0xe7c5d022 @@ -392630,6 +490788,8 @@ 1 0 0 +3 +5 1 0xad6f 0x5c8fd40c @@ -392638,6 +490798,8 @@ 0 0 0 +3 +1 4 0x51d9 0x4984a098 @@ -392646,6 +490808,8 @@ 0 0 0 +2 +2 3 0xc954 0x1b85490c @@ -392654,6 +490818,8 @@ 1 0 0 +4 +0 1 0x933b 0x5d5bb1bb @@ -392662,6 +490828,8 @@ 1 1 0 +2 +2 4 0xb73c 0x285e1d18 @@ -392670,6 +490838,8 @@ 0 0 0 +1 +0 3 0xf91a 0x172ec08d @@ -392678,6 +490848,8 @@ 1 1 0 +1 +4 3 0x9c65 0x9b2389c8 @@ -392686,6 +490858,8 @@ 1 1 0 +4 +2 3 0x6581 0xfcb8dad0 @@ -392694,6 +490868,8 @@ 1 1 0 +1 +4 2 0x42c1 0x95dce9b9 @@ -392702,6 +490878,8 @@ 0 0 0 +0 +1 3 0x27f2 0xb29a54fc @@ -392710,6 +490888,8 @@ 1 0 0 +4 +3 3 0x264a 0xe67c06d0 @@ -392718,6 +490898,8 @@ 1 0 0 +0 +1 3 0xf757 0x8b7dd656 @@ -392726,6 +490908,8 @@ 0 0 0 +3 +0 2 0x53b4 0x9dafd9df @@ -392735,6 +490919,8 @@ 0 0 1 +5 +1 0xb274 0x58269f0 256 @@ -392742,6 +490928,8 @@ 0 0 0 +1 +1 3 0xd85c 0x16a32cc0 @@ -392750,6 +490938,8 @@ 0 0 0 +4 +4 3 0xa7ea 0xaf9653fa @@ -392758,6 +490948,8 @@ 0 0 0 +0 +3 2 0x7970 0x5d16caf3 @@ -392766,6 +490958,8 @@ 1 1 0 +5 +1 3 0xfd5f 0xb30d8f00 @@ -392774,6 +490968,8 @@ 1 1 0 +0 +4 3 0xb966 0xeac2d245 @@ -392782,6 +490978,8 @@ 1 1 0 +4 +4 1 0xd7d2 0xf9209819 @@ -392790,6 +490988,8 @@ 1 0 0 +3 +0 2 0x13a0 0x86b1b938 @@ -392799,6 +490999,8 @@ 0 0 4 +5 +4 0x275c 0x8353b75 256 @@ -392806,6 +491008,8 @@ 1 0 0 +0 +5 2 0x52cf 0x284d3a5b @@ -392814,6 +491018,8 @@ 0 0 0 +5 +3 1 0x830f 0x16437f90 @@ -392822,6 +491028,8 @@ 1 1 0 +5 +2 4 0x41d9 0xe49310d0 @@ -392830,6 +491038,8 @@ 0 0 0 +1 +4 3 0x5872 0xc4b3b5ab @@ -392839,6 +491049,8 @@ 0 0 1 +2 +1 0x42d0 0xea8c13bd 256 @@ -392846,6 +491058,8 @@ 1 1 0 +0 +5 4 0x86d 0x214007f3 @@ -392854,6 +491068,8 @@ 1 0 0 +4 +4 2 0x2ee2 0x49352f69 @@ -392863,6 +491079,8 @@ 0 0 4 +2 +4 0x81c7 0xd1d969cc 256 @@ -392870,6 +491088,8 @@ 1 1 0 +3 +4 1 0x7662 0xd5d59c8a @@ -392878,6 +491098,8 @@ 1 0 0 +3 +2 4 0x7939 0x7d326c70 @@ -392886,6 +491108,8 @@ 1 1 0 +3 +2 4 0xf3c8 0xb3a13b2a @@ -392894,6 +491118,8 @@ 0 0 0 +4 +5 2 0xdfac 0x12d6e6af @@ -392902,6 +491128,8 @@ 0 0 0 +2 +3 1 0x5e2c 0x9eb04789 @@ -392910,6 +491138,8 @@ 0 0 0 +5 +1 2 0x9986 0x52d2ec6c @@ -392918,6 +491148,8 @@ 1 1 0 +5 +0 1 0xd4b2 0x8b64a7f0 @@ -392926,6 +491158,8 @@ 0 0 0 +1 +0 4 0xf33 0xa2553b7f @@ -392934,6 +491168,8 @@ 1 0 0 +5 +2 2 0x9382 0xd2b2d210 @@ -392942,6 +491178,8 @@ 0 0 0 +1 +3 4 0xd10d 0x9b6105df @@ -392950,6 +491188,8 @@ 0 0 0 +1 +5 4 0x1a66 0x376388d6 @@ -392958,6 +491198,8 @@ 1 0 0 +0 +4 4 0x4089 0x4e009c28 @@ -392966,6 +491208,8 @@ 0 0 0 +4 +3 3 0xbd6b 0x3770e897 @@ -392974,6 +491218,8 @@ 1 0 0 +2 +3 3 0xdce6 0x56519c37 @@ -392982,6 +491228,8 @@ 0 0 0 +3 +4 4 0xb55d 0x3346931c @@ -392990,6 +491238,8 @@ 0 0 0 +1 +3 3 0xfc0a 0x6c1e77e8 @@ -392998,6 +491248,8 @@ 0 0 0 +5 +5 1 0x73f3 0x6e60961d @@ -393006,6 +491258,8 @@ 0 0 0 +1 +2 4 0x20ee 0x190e74a3 @@ -393014,6 +491268,8 @@ 0 0 0 +5 +0 2 0xa415 0xcc70d308 @@ -393022,6 +491278,8 @@ 1 1 0 +3 +1 4 0xd00a 0xd9094f2d @@ -393030,6 +491288,8 @@ 0 0 0 +0 +3 3 0xcfe9 0xbb808227 @@ -393038,6 +491298,8 @@ 0 0 0 +1 +0 2 0x62a6 0x3d82a70d @@ -393046,6 +491308,8 @@ 1 1 0 +3 +5 4 0x5241 0xb2e182dc @@ -393055,6 +491319,8 @@ 0 0 2 +2 +2 0xbdfc 0x51f989fc 256 @@ -393063,6 +491329,8 @@ 0 0 4 +0 +4 0x5ada 0xf79d84ef 256 @@ -393070,6 +491338,8 @@ 0 0 0 +4 +1 1 0x1f7a 0x24c8ae2b @@ -393079,6 +491349,8 @@ 0 0 2 +4 +2 0x9aa3 0xd94ecf07 256 @@ -393086,6 +491358,8 @@ 1 0 0 +5 +2 4 0x4340 0xc8f89310 @@ -393094,6 +491368,8 @@ 0 0 0 +1 +1 2 0xee05 0x90da5e38 @@ -393102,6 +491378,8 @@ 0 0 0 +4 +2 3 0x6763 0x23674af6 @@ -393111,6 +491389,8 @@ 0 0 1 +4 +1 0xdc4d 0xb915b6df 256 @@ -393118,6 +491398,8 @@ 0 0 0 +3 +0 2 0xfe5f 0x32f3dc02 @@ -393127,6 +491409,8 @@ 1 0 3 +3 +3 0x6a0f 0xa8ea26b8 256 @@ -393134,6 +491418,8 @@ 0 0 0 +5 +3 1 0xbd53 0x9b3b12a2 @@ -393142,6 +491428,8 @@ 0 0 0 +2 +3 4 0x8eb2 0x57a43b8b @@ -393150,6 +491438,8 @@ 0 0 0 +4 +5 2 0xc7dc 0xbc60e6e @@ -393158,6 +491448,8 @@ 0 0 0 +5 +4 1 0xffdc 0xa57dbcdf @@ -393166,6 +491458,8 @@ 0 0 0 +1 +5 4 0xf765 0x73292082 @@ -393174,6 +491468,8 @@ 1 1 0 +5 +2 1 0xcdeb 0xe3bf40c9 @@ -393182,6 +491478,8 @@ 1 0 0 +1 +2 3 0x6190 0x529778d3 @@ -393190,6 +491488,8 @@ 0 0 0 +0 +5 4 0xaed0 0x40e8a1f4 @@ -393198,6 +491498,8 @@ 1 1 0 +5 +5 3 0xf030 0x60b82da3 @@ -393206,6 +491508,8 @@ 1 1 0 +4 +5 3 0x1359 0x1ab2f6bd @@ -393214,6 +491518,8 @@ 1 0 0 +1 +1 4 0x753a 0x4af4966c @@ -393223,6 +491529,8 @@ 0 0 2 +0 +2 0xe113 0xfc0fdf3b 256 @@ -393230,6 +491538,8 @@ 1 1 0 +3 +4 4 0xa1ae 0x49dd9452 @@ -393238,6 +491548,8 @@ 0 0 0 +4 +4 1 0xd28a 0x3953c0fb @@ -393246,6 +491558,8 @@ 1 1 0 +5 +2 2 0x93a5 0xfb51e7f @@ -393254,6 +491568,8 @@ 1 1 0 +3 +0 4 0x2143 0x2dc0013b @@ -393262,6 +491578,8 @@ 1 1 0 +3 +4 2 0xcd72 0x1fd68c1f @@ -393270,6 +491588,8 @@ 0 0 0 +5 +0 4 0x8866 0x5bbc14a4 @@ -393279,6 +491599,8 @@ 0 0 3 +5 +3 0x3e6e 0xff2a8016 256 @@ -393286,6 +491608,8 @@ 0 0 0 +1 +1 3 0x2dc6 0x3cf99047 @@ -393294,6 +491618,8 @@ 1 1 0 +2 +5 1 0x7adb 0x6859019b @@ -393303,6 +491629,8 @@ 0 0 1 +0 +1 0xcdac 0x819d50e8 256 @@ -393310,6 +491638,8 @@ 1 1 0 +0 +3 1 0x8c92 0x19ac00a2 @@ -393318,6 +491648,8 @@ 1 0 0 +0 +1 4 0x6db 0x9c647fc4 @@ -393326,6 +491658,8 @@ 1 1 0 +1 +5 3 0x262 0x4d9d7b57 @@ -393334,6 +491668,8 @@ 1 1 0 +2 +0 1 0x205f 0x853a3d2a @@ -393342,6 +491678,8 @@ 1 1 0 +1 +2 3 0xf103 0xa6fb8011 @@ -393350,6 +491688,8 @@ 0 0 0 +3 +2 1 0x7916 0xc9766be3 @@ -393359,6 +491699,8 @@ 0 0 2 +4 +2 0xe411 0x6cd8d018 256 @@ -393366,6 +491708,8 @@ 0 0 0 +1 +0 4 0x6b63 0x456de27d @@ -393374,6 +491718,8 @@ 1 1 0 +2 +4 1 0x8086 0xcdd0c7f6 @@ -393382,6 +491728,8 @@ 0 0 0 +5 +1 2 0xd00b 0x21f6c4d8 @@ -393390,6 +491738,8 @@ 1 0 0 +2 +3 1 0xb91 0x2a87492e @@ -393398,6 +491748,8 @@ 1 1 0 +0 +3 3 0xd36c 0x344df1d1 @@ -393406,6 +491758,8 @@ 1 0 0 +5 +2 2 0x843e 0x642f6f3d @@ -393414,6 +491768,8 @@ 1 1 0 +0 +2 1 0xb20 0x93aeeb9c @@ -393422,6 +491778,8 @@ 1 1 0 +5 +5 2 0x5aa6 0xdbb2f206 @@ -393430,6 +491788,8 @@ 1 0 0 +3 +3 4 0x44c4 0xf8e0cc19 @@ -393438,6 +491798,8 @@ 0 0 0 +1 +5 2 0x97fe 0x3a412d1b @@ -393446,6 +491808,8 @@ 0 0 0 +1 +5 2 0xe8f8 0x922f7e39 @@ -393454,6 +491818,8 @@ 1 0 0 +3 +3 4 0xed87 0x668b57a @@ -393462,6 +491828,8 @@ 0 0 0 +0 +4 4 0x965f 0xf49c06b2 @@ -393471,6 +491839,8 @@ 0 0 3 +2 +3 0xe432 0xd659b1b7 256 @@ -393478,6 +491848,8 @@ 1 0 0 +1 +3 2 0x7fc0 0xc5bc764e @@ -393486,6 +491858,8 @@ 0 0 0 +5 +5 1 0xefbb 0xe074c10 @@ -393494,6 +491868,8 @@ 1 0 0 +4 +3 2 0x897e 0x6a954d60 @@ -393502,6 +491878,8 @@ 0 0 0 +4 +1 2 0x603e 0x93f192a3 @@ -393510,6 +491888,8 @@ 0 0 0 +0 +2 4 0x822e 0x14860ea5 @@ -393518,6 +491898,8 @@ 1 0 0 +0 +0 3 0x2f07 0x847c9b8 @@ -393526,6 +491908,8 @@ 1 0 0 +5 +0 2 0xfcb4 0xa82e40a4 @@ -393534,6 +491918,8 @@ 1 0 0 +5 +3 4 0xa776 0x220d1b2a @@ -393543,6 +491929,8 @@ 0 0 2 +0 +2 0x5e83 0x9961e01b 256 @@ -393551,6 +491939,8 @@ 0 0 1 +4 +1 0xc4a5 0x405cce32 256 @@ -393558,6 +491948,8 @@ 0 0 0 +0 +0 3 0x706f 0x21c354a0 @@ -393566,6 +491958,8 @@ 0 0 0 +1 +3 3 0xa057 0x921b2304 @@ -393574,6 +491968,8 @@ 0 0 0 +4 +4 1 0xe81d 0x4f5ae3b7 @@ -393582,6 +491978,8 @@ 1 0 0 +3 +3 4 0x54ef 0x450839a5 @@ -393590,6 +491988,8 @@ 1 0 0 +5 +1 3 0x786 0xf7538bc9 @@ -393598,6 +491998,8 @@ 0 0 0 +0 +4 1 0xb8e2 0x14faf66b @@ -393606,6 +492008,8 @@ 1 0 0 +3 +3 2 0x4638 0xa3c2fe0f @@ -393614,6 +492018,8 @@ 0 0 0 +4 +1 3 0x8427 0x962f83ea @@ -393622,6 +492028,8 @@ 1 1 0 +4 +3 2 0x7a36 0xd100b7e6 @@ -393631,6 +492039,8 @@ 1 0 2 +2 +2 0x9241 0xbb2e121a 256 @@ -393638,6 +492048,8 @@ 0 0 0 +2 +3 4 0x5fc5 0xa34f6768 @@ -393646,6 +492058,8 @@ 0 0 0 +1 +5 2 0xd4ed 0x66bc6de6 @@ -393654,6 +492068,8 @@ 1 0 0 +5 +0 1 0xef41 0xb20de5a8 @@ -393662,6 +492078,8 @@ 1 0 0 +5 +4 3 0x429c 0xa9a452ad @@ -393670,6 +492088,8 @@ 0 0 0 +2 +4 1 0x7292 0x80ecad91 @@ -393678,6 +492098,8 @@ 1 0 0 +2 +1 1 0x887a 0x8e577c1 @@ -393686,6 +492108,8 @@ 1 0 0 +2 +5 4 0xf106 0x6d91acdd @@ -393694,6 +492118,8 @@ 1 0 0 +0 +4 2 0xd094 0x58e1d466 @@ -393702,6 +492128,8 @@ 0 0 0 +4 +2 1 0x95 0x9ae1ce15 @@ -393711,6 +492139,8 @@ 0 0 1 +3 +1 0x28f5 0x80f2c22c 256 @@ -393718,6 +492148,8 @@ 1 1 0 +3 +4 4 0xfefd 0xb793ccc8 @@ -393727,6 +492159,8 @@ 0 0 1 +5 +1 0x4caf 0xc8b766cc 256 @@ -393734,6 +492168,8 @@ 1 0 0 +0 +0 4 0xe80b 0xf6de9108 @@ -393742,6 +492178,8 @@ 0 0 0 +1 +0 2 0x1bf0 0x99346863 @@ -393750,6 +492188,8 @@ 0 0 0 +4 +5 1 0x6bed 0x5c52d756 @@ -393758,6 +492198,8 @@ 0 0 0 +0 +5 1 0x7d15 0xa1579327 @@ -393766,6 +492208,8 @@ 1 1 0 +1 +2 4 0xb12 0x5f4b5903 @@ -393774,6 +492218,8 @@ 1 0 0 +3 +3 4 0x2dc3 0xd58d45b6 @@ -393782,6 +492228,8 @@ 0 0 0 +2 +3 3 0x95e5 0x4a8a11bf @@ -393790,6 +492238,8 @@ 1 0 0 +5 +5 4 0x3220 0x8a2f579f @@ -393799,6 +492249,8 @@ 0 0 3 +5 +3 0x8132 0x764fd492 256 @@ -393806,6 +492258,8 @@ 1 0 0 +5 +2 1 0xa7df 0x8cbc6603 @@ -393814,6 +492268,8 @@ 0 0 0 +0 +2 4 0x7158 0xb84b1ebc @@ -393822,6 +492278,8 @@ 1 1 0 +4 +1 2 0x4f73 0x6fe010e0 @@ -393830,6 +492288,8 @@ 1 0 0 +0 +2 1 0x3a3d 0x65d50e0 @@ -393838,6 +492298,8 @@ 0 0 0 +4 +1 2 0x4ae6 0x95713163 @@ -393846,6 +492308,8 @@ 0 0 0 +1 +3 4 0x7d5f 0x1c32f7c @@ -393854,6 +492318,8 @@ 1 0 0 +4 +1 3 0xd6c1 0x10864592 @@ -393862,6 +492328,8 @@ 1 0 0 +0 +3 1 0xd1b9 0x3edf6592 @@ -393870,6 +492338,8 @@ 0 0 0 +0 +5 4 0xb78b 0xa052f8c0 @@ -393878,6 +492348,8 @@ 1 0 0 +2 +3 1 0x107a 0xb70a67e9 @@ -393886,6 +492358,8 @@ 1 1 0 +2 +0 4 0x2625 0xc714b49e @@ -393894,6 +492368,8 @@ 0 0 0 +1 +2 2 0xa37a 0x9458f1bc @@ -393902,6 +492378,8 @@ 0 0 0 +1 +1 2 0x8aeb 0x3d3a2cc @@ -393910,6 +492388,8 @@ 0 0 0 +1 +5 2 0x4d8b 0x9814c593 @@ -393918,6 +492398,8 @@ 0 0 0 +0 +3 4 0xab4 0x118ba351 @@ -393926,6 +492408,8 @@ 0 0 0 +2 +0 1 0xbf53 0x2f7e4c8e @@ -393935,6 +492419,8 @@ 0 0 4 +2 +4 0xb7f6 0x1e057c6b 256 @@ -393942,6 +492428,8 @@ 1 1 0 +4 +3 2 0xfac8 0x8481415f @@ -393950,6 +492438,8 @@ 0 0 0 +1 +4 2 0x419 0x1da18a20 @@ -393958,6 +492448,8 @@ 0 0 0 +0 +5 4 0xbfd6 0x67cf9979 @@ -393966,6 +492458,8 @@ 1 1 0 +2 +1 3 0x3aff 0x10beee31 @@ -393974,6 +492468,8 @@ 0 0 0 +1 +5 4 0xa354 0x3b2a4a1 @@ -393982,6 +492478,8 @@ 1 1 0 +2 +5 1 0xbab0 0x4f19e99b @@ -393990,6 +492488,8 @@ 0 0 0 +5 +1 2 0xf68e 0xb6d157a9 @@ -393999,6 +492499,8 @@ 0 0 3 +2 +3 0x7b2 0xa268b8a1 256 @@ -394006,6 +492508,8 @@ 0 0 0 +1 +1 4 0x6dc9 0x64a54e8b @@ -394014,6 +492518,8 @@ 1 1 0 +4 +2 2 0x3722 0x8a2298a7 @@ -394022,6 +492528,8 @@ 1 1 0 +4 +5 1 0x9db3 0x1d845f83 @@ -394030,6 +492538,8 @@ 0 0 0 +3 +5 2 0x65a6 0x94d4cd52 @@ -394038,6 +492548,8 @@ 1 1 0 +0 +3 4 0x4036 0xd267028e @@ -394046,6 +492558,8 @@ 0 0 0 +4 +3 2 0xa33f 0x40456c6d @@ -394054,6 +492568,8 @@ 0 0 0 +2 +1 3 0x5647 0x7de9180a @@ -394063,6 +492579,8 @@ 0 0 4 +5 +4 0x1fe 0xb77797f7 256 @@ -394070,6 +492588,8 @@ 1 0 0 +4 +3 2 0xde4d 0x38df1ba9 @@ -394079,6 +492599,8 @@ 1 0 2 +2 +2 0xe170 0x4348839e 256 @@ -394086,6 +492608,8 @@ 1 1 0 +4 +5 3 0xe635 0x350c58f5 @@ -394094,6 +492618,8 @@ 0 0 0 +5 +1 1 0xed75 0xe5931fb9 @@ -394102,6 +492628,8 @@ 1 1 0 +1 +2 3 0xda51 0xfc45455 @@ -394110,6 +492638,8 @@ 1 0 0 +0 +2 3 0x687d 0xd645ded8 @@ -394118,6 +492648,8 @@ 0 0 0 +5 +3 2 0xe206 0x4a3b921f @@ -394126,6 +492658,8 @@ 0 0 0 +4 +2 2 0xfa09 0x9ce59a71 @@ -394134,6 +492668,8 @@ 0 0 0 +4 +4 3 0xef27 0x4fe42bff @@ -394142,6 +492678,8 @@ 0 0 0 +1 +2 4 0x1e84 0x8ace07aa @@ -394150,6 +492688,8 @@ 0 0 0 +1 +5 3 0xec3f 0x3b443421 @@ -394158,6 +492698,8 @@ 1 1 0 +0 +1 2 0x6af8 0x59bed72f @@ -394166,6 +492708,8 @@ 1 1 0 +4 +2 2 0xaab5 0x74a1465a @@ -394174,6 +492718,8 @@ 1 0 0 +5 +4 3 0x4e06 0x4690ca16 @@ -394182,6 +492728,8 @@ 1 0 0 +3 +4 1 0xf858 0xc1df6f6f @@ -394190,6 +492738,8 @@ 1 1 0 +2 +2 1 0x424d 0x7cc8b80c @@ -394198,6 +492748,8 @@ 0 0 0 +5 +1 4 0xb25e 0xd3206cbf @@ -394206,6 +492758,8 @@ 1 0 0 +0 +0 4 0xaefe 0xac59aadc @@ -394214,6 +492768,8 @@ 1 0 0 +3 +1 2 0x12da 0xf2962200 @@ -394222,6 +492778,8 @@ 1 0 0 +5 +3 4 0x8566 0x312b03d5 @@ -394231,6 +492789,8 @@ 0 0 2 +5 +2 0xaa27 0xd2a775ea 256 @@ -394238,6 +492798,8 @@ 0 0 0 +2 +0 4 0x48d4 0x160ff5a3 @@ -394246,6 +492808,8 @@ 0 0 0 +5 +3 4 0x22c9 0xc82de68 @@ -394254,6 +492818,8 @@ 1 1 0 +2 +2 3 0xfed1 0x9da113b7 @@ -394262,6 +492828,8 @@ 1 1 0 +3 +2 2 0x64c5 0x715b296d @@ -394270,6 +492838,8 @@ 1 1 0 +3 +2 2 0x29af 0xe8afb5c6 @@ -394278,6 +492848,8 @@ 0 0 0 +0 +1 3 0x3526 0xd3d89d7c @@ -394286,6 +492858,8 @@ 1 0 0 +1 +1 2 0x860b 0xc8707d10 @@ -394294,6 +492868,8 @@ 1 0 0 +2 +1 3 0x3f52 0x815e6b57 @@ -394302,6 +492878,8 @@ 1 0 0 +4 +4 1 0x37e8 0x5db94c39 @@ -394310,6 +492888,8 @@ 0 0 0 +2 +5 1 0x30cd 0xa3c69bae @@ -394318,6 +492898,8 @@ 0 0 0 +4 +2 1 0x37f1 0x63885ecd @@ -394326,6 +492908,8 @@ 0 0 0 +5 +3 4 0x7ae8 0x243ff61f @@ -394335,6 +492919,8 @@ 0 0 4 +4 +4 0x7cdf 0xe605b0dd 256 @@ -394342,6 +492928,8 @@ 1 0 0 +5 +4 1 0xd234 0x8bf2fd1a @@ -394350,6 +492938,8 @@ 0 0 0 +4 +0 2 0xcc25 0xa4a64c8b @@ -394359,6 +492949,8 @@ 0 0 4 +1 +4 0x52ef 0x9c9e7ec4 256 @@ -394366,6 +492958,8 @@ 0 0 0 +3 +2 1 0x7bea 0x1bfb4ede @@ -394374,6 +492968,8 @@ 0 0 0 +3 +2 2 0x62bc 0xe0a11e6a @@ -394382,6 +492978,8 @@ 1 1 0 +5 +5 4 0x4f28 0x9f262f46 @@ -394390,6 +492988,8 @@ 1 0 0 +4 +4 1 0x7feb 0xe51718a4 @@ -394398,6 +492998,8 @@ 1 1 0 +2 +4 4 0xff94 0x513e081c @@ -394406,6 +493008,8 @@ 0 0 0 +5 +4 3 0x80f9 0xc653387f @@ -394414,6 +493018,8 @@ 0 0 0 +1 +5 4 0x34c2 0x33df4e31 @@ -394422,6 +493028,8 @@ 0 0 0 +5 +3 4 0x5fb3 0xa9c07f93 @@ -394430,6 +493038,8 @@ 1 0 0 +5 +2 2 0x5d4c 0xfc50d94e @@ -394438,6 +493048,8 @@ 1 0 0 +0 +2 3 0xf0b1 0x2b8acae2 @@ -394446,6 +493058,8 @@ 0 0 0 +1 +0 2 0xaff5 0xd6d9e828 @@ -394454,6 +493068,8 @@ 1 1 0 +3 +1 2 0xb98c 0x3c43a850 @@ -394462,6 +493078,8 @@ 0 0 0 +2 +3 1 0x4573 0x8415ac52 @@ -394470,6 +493088,8 @@ 0 0 0 +4 +5 1 0x353b 0x1ab4bdb8 @@ -394478,6 +493098,8 @@ 1 1 0 +0 +5 3 0x7c8f 0x4b7e3382 @@ -394487,6 +493109,8 @@ 0 0 2 +4 +2 0x5e68 0x53a96a35 256 @@ -394494,6 +493118,8 @@ 0 0 0 +5 +2 2 0x2825 0xb05e7376 @@ -394502,6 +493128,8 @@ 0 0 0 +1 +0 4 0xd97 0xb7fe919b @@ -394510,6 +493138,8 @@ 1 0 0 +5 +5 3 0x5dd6 0x9d415e08 @@ -394518,6 +493148,8 @@ 1 0 0 +4 +3 2 0x4be9 0xd62107be @@ -394526,6 +493158,8 @@ 0 0 0 +3 +3 2 0x83dc 0x5d75014c @@ -394534,6 +493168,8 @@ 1 1 0 +5 +3 2 0x1c3d 0xe87fdffa @@ -394543,6 +493179,8 @@ 0 0 3 +1 +3 0x831a 0x2d3e7c22 256 @@ -394550,6 +493188,8 @@ 0 0 0 +4 +1 1 0xf59d 0xaaf538f1 @@ -394558,6 +493198,8 @@ 0 0 0 +5 +4 4 0xe39b 0x5e397b40 @@ -394566,6 +493208,8 @@ 1 0 0 +5 +0 4 0x5817 0x105a49a2 @@ -394574,6 +493218,8 @@ 1 0 0 +3 +4 4 0x960a 0xf5f83a7b @@ -394582,6 +493228,8 @@ 0 0 0 +2 +2 3 0x3eb7 0xf1be8b96 @@ -394590,6 +493238,8 @@ 1 1 0 +5 +1 1 0xe924 0xaaa3044e @@ -394598,6 +493248,8 @@ 0 0 0 +5 +0 3 0x5915 0x2c40f32e @@ -394607,6 +493259,8 @@ 0 0 1 +2 +1 0xce17 0xbdda3de4 256 @@ -394614,6 +493268,8 @@ 1 0 0 +1 +0 4 0x5bec 0x926620e3 @@ -394623,6 +493279,8 @@ 0 0 4 +1 +4 0xa70a 0xb669782f 256 @@ -394631,6 +493289,8 @@ 0 0 3 +2 +3 0x2e60 0x528a418b 256 @@ -394639,6 +493299,8 @@ 0 0 3 +0 +3 0x8e3d 0xf7f8409 256 @@ -394646,6 +493308,8 @@ 1 0 0 +0 +2 2 0x2b74 0xac4b65e @@ -394654,6 +493318,8 @@ 0 0 0 +2 +1 1 0x9077 0x4decddca @@ -394662,6 +493328,8 @@ 1 1 0 +3 +5 2 0x6949 0x45135cf7 @@ -394671,6 +493339,8 @@ 0 0 4 +3 +4 0x8448 0x7f9f3510 256 @@ -394678,6 +493348,8 @@ 1 1 0 +1 +5 2 0x5da7 0xfb0fff7a @@ -394686,6 +493358,8 @@ 1 0 0 +1 +3 2 0xa73b 0x7c2f642e @@ -394694,6 +493368,8 @@ 1 1 0 +4 +5 1 0x5ae3 0xe75e9019 @@ -394702,6 +493378,8 @@ 1 0 0 +0 +1 3 0xbf36 0x1c9079c3 @@ -394710,6 +493388,8 @@ 0 0 0 +5 +1 2 0x68aa 0x5ba93818 @@ -394718,6 +493398,8 @@ 1 0 0 +3 +0 2 0x68cc 0x1a921069 @@ -394726,6 +493408,8 @@ 1 1 0 +5 +2 4 0xd6ce 0x1122deae @@ -394734,6 +493418,8 @@ 1 1 0 +3 +1 4 0x5c3e 0xb7a67911 @@ -394742,6 +493428,8 @@ 0 0 0 +5 +5 2 0xa0c5 0x415faf3c @@ -394750,6 +493438,8 @@ 0 0 0 +2 +5 1 0xda52 0x3d180c98 @@ -394758,6 +493448,8 @@ 0 0 0 +2 +1 4 0xcdd6 0x65fee7ab @@ -394766,6 +493458,8 @@ 1 1 0 +1 +2 4 0x541d 0x6e609aef @@ -394774,6 +493468,8 @@ 0 0 0 +4 +1 2 0x2f88 0x408b6a62 @@ -394782,6 +493478,8 @@ 1 1 0 +4 +0 3 0xb7bf 0xa2d15abc @@ -394790,6 +493488,8 @@ 1 0 0 +1 +2 2 0x94b 0xe915a413 @@ -394798,6 +493498,8 @@ 1 1 0 +3 +5 4 0x8a1 0x93add3f7 @@ -394807,6 +493509,8 @@ 0 0 4 +2 +4 0x3304 0xe3d1ae68 256 @@ -394814,6 +493518,8 @@ 0 0 0 +5 +3 2 0xbae 0x7331b945 @@ -394822,6 +493528,8 @@ 1 0 0 +1 +3 3 0x9f71 0x3c747b9c @@ -394830,6 +493538,8 @@ 1 0 0 +4 +1 1 0x7cc8 0x239d2e71 @@ -394838,6 +493548,8 @@ 1 1 0 +0 +5 2 0x8163 0x39418a39 @@ -394846,6 +493558,8 @@ 0 0 0 +4 +5 3 0xad9d 0xc33ca6e5 @@ -394854,6 +493568,8 @@ 0 0 0 +4 +1 1 0xdfdd 0xf6f7b7a3 @@ -394862,6 +493578,8 @@ 0 0 0 +4 +1 1 0xb1b5 0xb6e8d36f @@ -394870,6 +493588,8 @@ 1 1 0 +3 +5 4 0x778a 0x138d67ed @@ -394878,6 +493598,8 @@ 0 0 0 +5 +1 2 0x936b 0x78299d95 @@ -394886,6 +493608,8 @@ 0 0 0 +2 +0 1 0xd98c 0x9a8937 @@ -394894,6 +493618,8 @@ 1 1 0 +4 +5 2 0x2529 0xdb02dbe @@ -394903,6 +493629,8 @@ 0 0 1 +5 +1 0x761 0x3173a0a3 256 @@ -394910,6 +493638,8 @@ 0 0 0 +2 +0 4 0x8e28 0x908fc71c @@ -394919,6 +493649,8 @@ 0 0 1 +3 +1 0x9e4b 0xdef8f237 256 @@ -394927,6 +493659,8 @@ 0 0 2 +5 +2 0x81e8 0x5b6e0a2b 256 @@ -394934,6 +493668,8 @@ 0 0 0 +0 +4 3 0xe2b3 0xf44e676c @@ -394942,6 +493678,8 @@ 1 0 0 +4 +2 2 0x812 0x5e92454b @@ -394950,6 +493688,8 @@ 1 0 0 +4 +4 3 0x7f82 0xe64dec51 @@ -394958,6 +493698,8 @@ 0 0 0 +0 +1 4 0x5838 0x7a74ba51 @@ -394966,6 +493708,8 @@ 1 1 0 +2 +4 1 0x22e6 0x35ee63f6 @@ -394974,6 +493718,8 @@ 1 0 0 +4 +2 1 0x83df 0x6f68069c @@ -394982,6 +493728,8 @@ 0 0 0 +1 +0 3 0x2533 0x2a16e943 @@ -394990,6 +493738,8 @@ 1 1 0 +0 +3 4 0x5ee0 0xeea68974 @@ -394998,6 +493748,8 @@ 1 1 0 +4 +4 3 0x6a8e 0xb723cca6 @@ -395006,6 +493758,8 @@ 1 0 0 +3 +1 2 0xdce9 0xd820a98e @@ -395014,6 +493768,8 @@ 0 0 0 +2 +5 3 0x91fd 0xaa047a86 @@ -395022,6 +493778,8 @@ 0 0 0 +0 +1 4 0x4113 0x75fb54ca @@ -395030,6 +493788,8 @@ 0 0 0 +4 +2 2 0xe009 0x35664b98 @@ -395038,6 +493798,8 @@ 1 0 0 +0 +4 2 0x8773 0xca2dd754 @@ -395046,6 +493808,8 @@ 1 1 0 +2 +2 4 0x9e99 0x4498f80d @@ -395054,6 +493818,8 @@ 1 0 0 +0 +3 2 0x3854 0x4e02a3b8 @@ -395063,6 +493829,8 @@ 0 0 1 +5 +1 0x7f6b 0xb4e55ac7 256 @@ -395070,6 +493838,8 @@ 1 1 0 +3 +2 4 0x1c98 0xb0a99126 @@ -395078,6 +493848,8 @@ 0 0 0 +4 +4 1 0x5616 0xaccbd238 @@ -395086,6 +493858,8 @@ 0 0 0 +0 +1 2 0x51f8 0xe5a04cb6 @@ -395094,6 +493868,8 @@ 1 1 0 +5 +4 1 0x9222 0x95623b94 @@ -395102,6 +493878,8 @@ 0 0 0 +4 +3 3 0x7f47 0x31570ac4 @@ -395110,6 +493888,8 @@ 0 0 0 +3 +2 2 0xac0f 0xa9b8e727 @@ -395118,6 +493898,8 @@ 0 0 0 +4 +4 1 0x8b9e 0x4326fd3f @@ -395126,6 +493908,8 @@ 0 0 0 +3 +5 4 0xcafc 0x572334d8 @@ -395134,6 +493918,8 @@ 0 0 0 +4 +0 3 0x53cd 0x7442c23d @@ -395142,6 +493928,8 @@ 1 0 0 +5 +3 1 0x4d98 0xd63a17a6 @@ -395151,6 +493939,8 @@ 1 0 3 +1 +3 0x75c 0xe68be7cd 256 @@ -395159,6 +493949,8 @@ 1 0 3 +1 +3 0x6d99 0x3ad5544c 256 @@ -395166,6 +493958,8 @@ 0 0 0 +2 +3 1 0x80af 0x7751f7aa @@ -395174,6 +493968,8 @@ 1 1 0 +5 +3 3 0xd57f 0x6cb942ce @@ -395182,6 +493978,8 @@ 1 1 0 +5 +4 3 0x8578 0x4f21f66c @@ -395190,6 +493988,8 @@ 0 0 0 +1 +3 2 0xd3d0 0xe94dfd16 @@ -395199,6 +493999,8 @@ 0 0 3 +4 +3 0xc4a7 0x5554b03f 256 @@ -395206,6 +494008,8 @@ 1 1 0 +3 +0 2 0xdb52 0x8c8c9390 @@ -395215,6 +494019,8 @@ 0 0 2 +2 +2 0x15ca 0x2d796506 256 @@ -395222,6 +494028,8 @@ 0 0 0 +0 +1 2 0xcda0 0x9764514f @@ -395230,6 +494038,8 @@ 1 1 0 +1 +2 2 0x7e46 0x9107b4c9 @@ -395238,6 +494048,8 @@ 0 0 0 +2 +5 3 0x848b 0x8c316e11 @@ -395247,6 +494059,8 @@ 1 0 2 +2 +2 0x9748 0x87e3b7a9 256 @@ -395255,6 +494069,8 @@ 1 0 3 +2 +3 0x8d4d 0xe418b865 256 @@ -395262,6 +494078,8 @@ 1 1 0 +1 +2 3 0x3d4c 0xe0191302 @@ -395271,6 +494089,8 @@ 0 0 2 +4 +2 0xdecf 0xbfb5b4c2 256 @@ -395278,6 +494098,8 @@ 1 1 0 +4 +3 2 0x7d9d 0xe8e8b99a @@ -395286,6 +494108,8 @@ 0 0 0 +2 +5 3 0x4a1d 0x103d4477 @@ -395294,6 +494118,8 @@ 1 1 0 +1 +5 3 0xdfa0 0x5bf25bdc @@ -395302,6 +494128,8 @@ 1 0 0 +0 +3 4 0xb532 0x5b2d16f7 @@ -395310,6 +494138,8 @@ 1 0 0 +4 +0 2 0x1e0 0x9ee6403f @@ -395319,6 +494149,8 @@ 1 0 4 +5 +4 0xc72b 0xe7defdfd 256 @@ -395326,6 +494158,8 @@ 0 0 0 +4 +5 3 0x6aca 0x26264ba5 @@ -395334,6 +494168,8 @@ 1 1 0 +4 +1 1 0xf788 0x5db43f69 @@ -395342,6 +494178,8 @@ 1 1 0 +1 +0 4 0x8199 0x1187ea19 @@ -395350,6 +494188,8 @@ 1 1 0 +3 +3 4 0xb300 0xd7cbcb89 @@ -395358,6 +494198,8 @@ 1 0 0 +2 +1 4 0xbef9 0x40713fbb @@ -395366,6 +494208,8 @@ 0 0 0 +1 +4 4 0xae80 0x8a3fba3f @@ -395374,6 +494218,8 @@ 0 0 0 +2 +3 3 0x70c9 0x9107994c @@ -395382,6 +494228,8 @@ 0 0 0 +4 +4 2 0xa0e0 0x5b582841 @@ -395390,6 +494238,8 @@ 1 1 0 +2 +4 4 0xcedf 0x93e3a13e @@ -395398,6 +494248,8 @@ 1 0 0 +1 +3 4 0x434f 0xab8aada2 @@ -395406,6 +494258,8 @@ 1 1 0 +5 +0 2 0x68a8 0xcb5dab0 @@ -395414,6 +494268,8 @@ 1 0 0 +0 +5 4 0xabd3 0x2ecd390d @@ -395422,6 +494278,8 @@ 1 0 0 +1 +0 3 0x868d 0xc4e959df @@ -395430,6 +494288,8 @@ 1 1 0 +0 +4 1 0x598b 0xe4e7b3b3 @@ -395438,6 +494298,8 @@ 0 0 0 +5 +1 2 0x2567 0xa7f7167e @@ -395446,6 +494308,8 @@ 0 0 0 +3 +1 4 0x464 0x70419e25 @@ -395454,6 +494318,8 @@ 1 0 0 +4 +1 2 0xd1aa 0x3ea6f3f5 @@ -395462,6 +494328,8 @@ 1 0 0 +0 +5 1 0x596 0x5e650ee6 @@ -395470,6 +494338,8 @@ 0 0 0 +2 +5 4 0xc1a0 0x48f8f13e @@ -395478,6 +494348,8 @@ 1 0 0 +0 +0 1 0x92d6 0xa2528077 @@ -395486,6 +494358,8 @@ 0 0 0 +3 +3 4 0xae1b 0x318f2b6c @@ -395494,6 +494368,8 @@ 0 0 0 +0 +0 2 0xf286 0xdf1e0d4e @@ -395503,6 +494379,8 @@ 0 0 2 +4 +2 0x6804 0x9dfd2fbc 256 @@ -395511,6 +494389,8 @@ 0 0 3 +2 +3 0xa91e 0x1c982b77 256 @@ -395518,6 +494398,8 @@ 0 0 0 +3 +3 4 0x13f4 0xcd340056 @@ -395526,6 +494408,8 @@ 0 0 0 +0 +4 1 0xf5d4 0x5d4a304b @@ -395534,6 +494418,8 @@ 1 0 0 +3 +2 4 0x866a 0xe25fa343 @@ -395542,6 +494428,8 @@ 1 0 0 +0 +5 1 0xd182 0xc761934 @@ -395550,6 +494438,8 @@ 1 1 0 +5 +5 2 0x88e9 0x9c61ac0f @@ -395558,6 +494448,8 @@ 1 0 0 +4 +4 3 0x84b5 0x1958498a @@ -395566,6 +494458,8 @@ 0 0 0 +5 +5 3 0xc87c 0xac1b9678 @@ -395574,6 +494468,8 @@ 0 0 0 +0 +1 2 0x1a8d 0x9b2c4410 @@ -395582,6 +494478,8 @@ 0 0 0 +5 +3 1 0xd239 0x30ce92f @@ -395590,6 +494488,8 @@ 1 1 0 +2 +4 3 0xe255 0xfcb3fba8 @@ -395598,6 +494498,8 @@ 0 0 0 +4 +0 1 0x854d 0x3fcf4b69 @@ -395606,6 +494508,8 @@ 0 0 0 +3 +0 1 0x4a3e 0x713bd126 @@ -395614,6 +494518,8 @@ 0 0 0 +2 +4 1 0x3889 0x9b7dd675 @@ -395622,6 +494528,8 @@ 0 0 0 +1 +1 3 0x2b3e 0x3c8e1ffe @@ -395630,6 +494538,8 @@ 1 1 0 +1 +4 4 0x90e3 0x2a494400 @@ -395638,6 +494548,8 @@ 1 1 0 +1 +2 3 0xbc3e 0x748e3290 @@ -395646,6 +494558,8 @@ 1 0 0 +5 +3 3 0x3f66 0xbaa4689 @@ -395654,6 +494568,8 @@ 0 0 0 +5 +4 3 0x35d9 0xdf34dc99 @@ -395662,6 +494578,8 @@ 0 0 0 +5 +4 2 0x4884 0x544c10c6 @@ -395670,6 +494588,8 @@ 0 0 0 +4 +3 2 0x4d25 0xc7f69b05 @@ -395678,6 +494598,8 @@ 1 0 0 +0 +0 3 0xa9c 0x3a9ad6e1 @@ -395686,6 +494608,8 @@ 1 1 0 +1 +5 2 0x1df3 0xcca183b1 @@ -395695,6 +494619,8 @@ 0 0 4 +1 +4 0xdef2 0x4aaf392d 256 @@ -395702,6 +494628,8 @@ 1 0 0 +0 +0 4 0xcad9 0x7450a175 @@ -395710,6 +494638,8 @@ 1 1 0 +3 +0 2 0x47c6 0x7c5b523d @@ -395718,6 +494648,8 @@ 0 0 0 +4 +0 3 0x83c7 0xe272f58e @@ -395726,6 +494658,8 @@ 0 0 0 +5 +5 2 0x16bc 0xb33f33b0 @@ -395734,6 +494668,8 @@ 0 0 0 +1 +1 4 0xf667 0x510c60f1 @@ -395742,6 +494678,8 @@ 1 1 0 +5 +1 1 0x577a 0x358e0cb7 @@ -395751,6 +494689,8 @@ 1 0 2 +1 +2 0x61aa 0x1a50f626 256 @@ -395758,6 +494698,8 @@ 1 0 0 +3 +1 4 0xc6dc 0x104206e9 @@ -395766,6 +494708,8 @@ 1 0 0 +1 +0 4 0x6068 0xaec65912 @@ -395774,6 +494718,8 @@ 1 1 0 +3 +1 1 0xcacc 0x1aa5df50 @@ -395783,6 +494729,8 @@ 0 0 3 +2 +3 0xe9ad 0x9b6899f0 256 @@ -395790,6 +494738,8 @@ 0 0 0 +0 +5 1 0xfbdd 0xee40db05 @@ -395798,6 +494748,8 @@ 1 0 0 +5 +1 1 0x7455 0xb16879f4 @@ -395806,6 +494758,8 @@ 1 1 0 +0 +1 4 0xf097 0xd3f4a020 @@ -395815,6 +494769,8 @@ 1 0 3 +4 +3 0xd749 0x85a48dfe 256 @@ -395822,6 +494778,8 @@ 1 1 0 +4 +3 2 0x6424 0xafeb111d @@ -395830,6 +494788,8 @@ 1 1 0 +1 +4 4 0x198c 0xc4719de @@ -395838,6 +494798,8 @@ 1 0 0 +0 +4 2 0x33f1 0x9d2173f7 @@ -395846,6 +494808,8 @@ 0 0 0 +3 +5 2 0x9d4d 0xa4205a90 @@ -395854,6 +494818,8 @@ 1 1 0 +2 +5 4 0x7c98 0xf2706931 @@ -395862,6 +494828,8 @@ 0 0 0 +3 +0 1 0x1d8 0x9461a242 @@ -395870,6 +494838,8 @@ 1 0 0 +5 +5 1 0x3c45 0x8635e45b @@ -395879,6 +494849,8 @@ 0 0 2 +0 +2 0x61fa 0x7d654b32 256 @@ -395886,6 +494858,8 @@ 0 0 0 +3 +0 1 0x50f6 0xfa97c0d2 @@ -395894,6 +494868,8 @@ 1 0 0 +2 +1 1 0xc138 0x35d061a3 @@ -395902,6 +494878,8 @@ 0 0 0 +1 +1 4 0x4a79 0xb0c158e2 @@ -395911,6 +494889,8 @@ 0 0 1 +0 +1 0x60b6 0x4a3fa573 256 @@ -395919,6 +494899,8 @@ 1 0 4 +1 +4 0x454e 0x5fe8b7ec 256 @@ -395926,6 +494908,8 @@ 1 0 0 +0 +2 2 0x5bde 0xa6e6d3af @@ -395934,6 +494918,8 @@ 1 0 0 +0 +5 1 0x69f5 0x38672e05 @@ -395942,6 +494928,8 @@ 0 0 0 +4 +0 3 0x7c4b 0xf6fb9118 @@ -395950,6 +494938,8 @@ 1 0 0 +2 +1 4 0x9151 0x18dd4a5a @@ -395958,6 +494948,8 @@ 1 0 0 +4 +3 3 0x2057 0xd7ac2288 @@ -395966,6 +494958,8 @@ 1 1 0 +4 +5 1 0x6645 0x4dfce457 @@ -395974,6 +494968,8 @@ 0 0 0 +5 +3 3 0x38f5 0xff8b7f00 @@ -395982,6 +494978,8 @@ 0 0 0 +4 +4 1 0x1a75 0x497086b9 @@ -395990,6 +494988,8 @@ 1 1 0 +4 +5 1 0xf0 0xe7581c40 @@ -395998,6 +494998,8 @@ 0 0 0 +0 +2 1 0x8618 0xd41bed94 @@ -396006,6 +495008,8 @@ 1 1 0 +3 +0 2 0x641b 0x9f51b09 @@ -396014,6 +495018,8 @@ 1 1 0 +0 +2 1 0xb112 0xbc4cde35 @@ -396022,6 +495028,8 @@ 0 0 0 +1 +3 2 0xbd36 0x1dac23ac @@ -396030,6 +495038,8 @@ 1 1 0 +0 +0 3 0xb5fb 0xd12d53b4 @@ -396038,6 +495048,8 @@ 1 0 0 +5 +2 4 0x41b1 0x1b79b44 @@ -396046,6 +495058,8 @@ 0 0 0 +1 +0 4 0xa30c 0x5a54c4e6 @@ -396054,6 +495068,8 @@ 1 1 0 +5 +5 4 0x7c10 0x810d4d7 @@ -396062,6 +495078,8 @@ 1 0 0 +4 +3 3 0xb60a 0xd5fb4452 @@ -396070,6 +495088,8 @@ 0 0 0 +5 +4 4 0x1049 0x61e91bc1 @@ -396078,6 +495098,8 @@ 1 0 0 +0 +2 1 0x6833 0xbb37460 @@ -396087,6 +495109,8 @@ 1 0 4 +4 +4 0xa99b 0x34648e8d 256 @@ -396094,6 +495118,8 @@ 1 1 0 +1 +1 4 0x8a93 0x19ba2f74 @@ -396102,6 +495128,8 @@ 0 0 0 +5 +1 3 0xd330 0x78bf715c @@ -396110,6 +495138,8 @@ 0 0 0 +1 +2 2 0xc3be 0xf5cb8c3f @@ -396118,6 +495148,8 @@ 0 0 0 +0 +4 3 0xfbf4 0x598f8324 @@ -396126,6 +495158,8 @@ 0 0 0 +5 +3 1 0xd4b3 0xab599dcb @@ -396134,6 +495168,8 @@ 1 1 0 +2 +0 3 0xbbfb 0x33cc18fa @@ -396142,6 +495178,8 @@ 1 0 0 +3 +3 2 0xf70a 0xbadb8224 @@ -396150,6 +495188,8 @@ 1 1 0 +3 +1 2 0xf85f 0xc87f31e7 @@ -396158,6 +495198,8 @@ 0 0 0 +1 +4 4 0x3f16 0xbda0feb8 @@ -396166,6 +495208,8 @@ 1 0 0 +5 +2 3 0xec2d 0x4f658d12 @@ -396174,6 +495218,8 @@ 0 0 0 +1 +3 2 0x7e05 0xb325d26a @@ -396182,6 +495228,8 @@ 0 0 0 +0 +5 1 0xd199 0xbe8c9ce8 @@ -396190,6 +495238,8 @@ 1 0 0 +0 +1 1 0x8065 0x79578dc @@ -396198,6 +495248,8 @@ 1 1 0 +2 +2 1 0x961f 0xd6626d9a @@ -396207,6 +495259,8 @@ 0 0 1 +5 +1 0x2357 0x563e7435 256 @@ -396214,6 +495268,8 @@ 0 0 0 +2 +0 1 0xe55f 0x9c47076e @@ -396222,6 +495278,8 @@ 1 0 0 +0 +0 2 0x2dcd 0xc707a821 @@ -396230,6 +495288,8 @@ 1 0 0 +4 +5 2 0xbdc1 0x6220587d @@ -396238,6 +495298,8 @@ 0 0 0 +2 +1 3 0x9e1d 0x3541ccbb @@ -396246,6 +495308,8 @@ 0 0 0 +0 +3 3 0x2f 0xc0abf61 @@ -396254,6 +495318,8 @@ 0 0 0 +3 +1 4 0x2a97 0x61998990 @@ -396262,6 +495328,8 @@ 0 0 0 +5 +4 4 0x6a01 0xfb0a41de @@ -396270,6 +495338,8 @@ 0 0 0 +5 +2 1 0x614b 0x9c262f7f @@ -396278,6 +495348,8 @@ 1 1 0 +1 +0 2 0x94f0 0x914edce0 @@ -396287,6 +495359,8 @@ 1 0 4 +3 +4 0xe20d 0x5be812f5 256 @@ -396294,6 +495368,8 @@ 0 0 0 +4 +0 1 0xcb00 0x5938f81c @@ -396302,6 +495378,8 @@ 1 1 0 +3 +1 1 0xd05b 0x22bd4eec @@ -396311,6 +495389,8 @@ 1 0 4 +3 +4 0xb65e 0x99378614 256 @@ -396318,6 +495398,8 @@ 1 1 0 +5 +4 4 0x1c07 0x27ce4b40 @@ -396326,6 +495408,8 @@ 1 0 0 +0 +2 1 0xc7a9 0xf9ee5e97 @@ -396335,6 +495419,8 @@ 0 0 1 +2 +1 0x4917 0x2ef42ad8 256 @@ -396342,6 +495428,8 @@ 1 0 0 +0 +5 4 0xc309 0xd6cc22dd @@ -396350,6 +495438,8 @@ 1 0 0 +5 +5 2 0x34c0 0xfe282cbd @@ -396358,6 +495448,8 @@ 1 1 0 +0 +1 4 0xc391 0x66a38442 @@ -396366,6 +495458,8 @@ 1 1 0 +1 +4 3 0xd020 0x143658ba @@ -396375,6 +495469,8 @@ 0 0 2 +5 +2 0xeddf 0x37167562 256 @@ -396382,6 +495478,8 @@ 1 0 0 +0 +5 3 0x25d0 0x18aba4e @@ -396390,6 +495488,8 @@ 1 0 0 +0 +5 3 0xe56f 0x8d950dc6 @@ -396398,6 +495498,8 @@ 1 0 0 +3 +2 2 0xf472 0xe00fc139 @@ -396406,6 +495508,8 @@ 0 0 0 +5 +1 3 0x5988 0xb1d4131a @@ -396414,6 +495518,8 @@ 0 0 0 +1 +2 2 0x6312 0x41d3e411 @@ -396422,6 +495528,8 @@ 1 0 0 +1 +5 3 0x73b 0x45e38d60 @@ -396430,6 +495538,8 @@ 0 0 0 +0 +5 2 0xc368 0xba38beb9 @@ -396438,6 +495548,8 @@ 1 0 0 +0 +0 4 0x6612 0xc9188a62 @@ -396446,6 +495558,8 @@ 1 1 0 +5 +5 2 0x3a00 0xf33c7d04 @@ -396454,6 +495568,8 @@ 0 0 0 +0 +4 2 0x4d93 0xe0b0ac24 @@ -396462,6 +495578,8 @@ 0 0 0 +5 +1 4 0xf800 0x2d79745e @@ -396470,6 +495588,8 @@ 0 0 0 +1 +4 4 0x1b30 0xe5d3e3a6 @@ -396479,6 +495599,8 @@ 0 0 1 +0 +1 0x3f56 0xfd9f4aed 256 @@ -396486,6 +495608,8 @@ 0 0 0 +0 +5 1 0x2bae 0x7e29a689 @@ -396495,6 +495619,8 @@ 0 0 1 +0 +1 0x5021 0x263c91a1 256 @@ -396502,6 +495628,8 @@ 0 0 0 +4 +4 2 0xf3c0 0xc210ae02 @@ -396510,6 +495638,8 @@ 0 0 0 +5 +2 4 0xdcfc 0xcf8420d1 @@ -396518,6 +495648,8 @@ 0 0 0 +3 +5 1 0xb4a6 0x9b633cf6 @@ -396526,6 +495658,8 @@ 0 0 0 +2 +3 3 0xe247 0x2e4fe60 @@ -396534,6 +495668,8 @@ 1 0 0 +5 +2 1 0xf916 0x8fd96053 @@ -396543,6 +495679,8 @@ 1 0 1 +1 +1 0xe75d 0xebd48c0b 256 @@ -396550,6 +495688,8 @@ 1 0 0 +1 +5 4 0xc635 0x3ac922e0 @@ -396558,6 +495698,8 @@ 1 1 0 +3 +0 4 0xaea0 0xc6eaaaf2 @@ -396566,6 +495708,8 @@ 0 0 0 +0 +0 1 0x70c5 0x3e442b79 @@ -396574,6 +495718,8 @@ 0 0 0 +0 +2 1 0xe5 0x64823b54 @@ -396583,6 +495729,8 @@ 0 0 1 +1 +1 0xecd7 0x6ebca23c 256 @@ -396590,6 +495738,8 @@ 1 0 0 +2 +3 1 0xa1d 0x820d2725 @@ -396598,6 +495748,8 @@ 1 0 0 +5 +4 1 0x8a79 0x7d0d340e @@ -396606,6 +495758,8 @@ 0 0 0 +2 +2 3 0xf7d8 0x62efc9d3 @@ -396615,6 +495769,8 @@ 0 0 1 +5 +1 0x5427 0x102782c3 256 @@ -396623,6 +495779,8 @@ 0 0 3 +1 +3 0x2700 0xe068b4a3 256 @@ -396631,6 +495789,8 @@ 0 0 2 +1 +2 0x9c80 0xb9b701da 256 @@ -396638,6 +495798,8 @@ 0 0 0 +5 +0 1 0x154b 0xbe732517 @@ -396646,6 +495808,8 @@ 1 1 0 +2 +5 1 0xc8f0 0x572f0a3d @@ -396654,6 +495818,8 @@ 0 0 0 +5 +2 4 0xf872 0xa51d24b1 @@ -396662,6 +495828,8 @@ 0 0 0 +5 +4 4 0x870 0x6d05b636 @@ -396670,6 +495838,8 @@ 0 0 0 +3 +5 2 0x2796 0xcd38ef19 @@ -396678,6 +495848,8 @@ 0 0 0 +1 +4 2 0xca97 0x389c62b9 @@ -396686,6 +495858,8 @@ 0 0 0 +2 +4 3 0xe78d 0x13ed46cc @@ -396694,6 +495868,8 @@ 0 0 0 +4 +4 3 0x461e 0xc0d101e @@ -396703,6 +495879,8 @@ 0 0 3 +3 +3 0x6b0d 0x69cdca29 256 @@ -396710,6 +495888,8 @@ 1 0 0 +1 +1 3 0x7f9c 0x92c095dd @@ -396719,6 +495899,8 @@ 0 0 4 +5 +4 0x17c6 0x28e94cec 256 @@ -396726,6 +495908,8 @@ 1 0 0 +0 +2 2 0xa890 0x24773428 @@ -396734,6 +495918,8 @@ 0 0 0 +3 +2 2 0xb846 0xd329ee3b @@ -396742,6 +495928,8 @@ 0 0 0 +0 +2 3 0xf515 0x2962d5ce @@ -396750,6 +495938,8 @@ 0 0 0 +5 +1 1 0x64e3 0xba4d7b0a @@ -396758,6 +495948,8 @@ 0 0 0 +2 +2 1 0x13ae 0x7e4c76bc @@ -396767,6 +495959,8 @@ 0 0 4 +5 +4 0xfe28 0xe7168ac6 256 @@ -396774,6 +495968,8 @@ 0 0 0 +5 +2 4 0x8f2b 0xeaa58974 @@ -396782,6 +495978,8 @@ 0 0 0 +4 +4 1 0x8c9d 0xc7acbec4 @@ -396790,6 +495988,8 @@ 1 1 0 +3 +1 1 0x151d 0x70bc7518 @@ -396798,6 +495998,8 @@ 1 1 0 +2 +4 1 0x52e2 0x76678b44 @@ -396806,6 +496008,8 @@ 1 1 0 +1 +4 4 0x8a77 0xfd8644b2 @@ -396814,6 +496018,8 @@ 0 0 0 +4 +1 3 0xb2f 0xea327909 @@ -396822,6 +496028,8 @@ 0 0 0 +2 +3 3 0x13f3 0x1471f0ce @@ -396831,6 +496039,8 @@ 0 0 1 +5 +1 0xf297 0xa76be40c 256 @@ -396838,6 +496048,8 @@ 1 1 0 +2 +3 4 0xda9d 0x89e472d8 @@ -396846,6 +496058,8 @@ 1 1 0 +1 +0 3 0xeb2a 0x93b46203 @@ -396854,6 +496068,8 @@ 1 0 0 +2 +5 3 0xe00f 0xce487e8c @@ -396863,6 +496079,8 @@ 1 0 4 +4 +4 0x1a9 0x929cd7c2 256 @@ -396870,6 +496088,8 @@ 1 1 0 +2 +4 1 0xfef3 0xce9ccaa1 @@ -396878,6 +496098,8 @@ 0 0 0 +5 +1 2 0x1774 0x17d24749 @@ -396886,6 +496108,8 @@ 1 1 0 +5 +3 2 0xbf6a 0xeef59764 @@ -396894,6 +496118,8 @@ 1 0 0 +0 +0 4 0xc1ea 0x69815103 @@ -396902,6 +496128,8 @@ 0 0 0 +1 +0 3 0x6fcd 0x1fa9f1e2 @@ -396910,6 +496138,8 @@ 1 0 0 +1 +1 3 0x1aa2 0x81eefee8 @@ -396918,6 +496148,8 @@ 1 1 0 +1 +5 4 0x1d3c 0xce145c80 @@ -396926,6 +496158,8 @@ 1 0 0 +4 +2 3 0xe71f 0x9286d686 @@ -396934,6 +496168,8 @@ 1 1 0 +4 +1 2 0xf34d 0xc735d8b3 @@ -396942,6 +496178,8 @@ 0 0 0 +4 +0 1 0x42b9 0xa0e4f9bc @@ -396950,6 +496188,8 @@ 0 0 0 +1 +5 4 0xa754 0xecb2e85 @@ -396958,6 +496198,8 @@ 0 0 0 +3 +2 4 0x1edc 0xcf635e8a @@ -396966,6 +496208,8 @@ 1 1 0 +0 +4 4 0x68d2 0xadbc50f6 @@ -396974,6 +496218,8 @@ 0 0 0 +0 +1 2 0x3be7 0x7e00666 @@ -396982,6 +496228,8 @@ 1 1 0 +5 +5 1 0x9708 0x32760555 @@ -396990,6 +496238,8 @@ 0 0 0 +5 +4 3 0x70f0 0xf287034a @@ -396998,6 +496248,8 @@ 0 0 0 +4 +5 1 0xe20c 0x9bc429b @@ -397006,6 +496258,8 @@ 1 1 0 +2 +1 1 0x9d86 0xba64bbef @@ -397014,6 +496268,8 @@ 0 0 0 +3 +2 1 0xb1b 0x6712635e @@ -397023,6 +496279,8 @@ 0 0 1 +3 +1 0x5115 0xd4c99ce6 256 @@ -397030,6 +496288,8 @@ 1 0 0 +1 +4 2 0xbd19 0x9ba41a2b @@ -397039,6 +496299,8 @@ 0 0 1 +2 +1 0x9c6c 0x7592c4c1 256 @@ -397046,6 +496308,8 @@ 0 0 0 +3 +2 2 0x4e55 0x2196da2b @@ -397054,6 +496318,8 @@ 1 1 0 +0 +0 4 0x2f94 0xd1a72e1d @@ -397062,6 +496328,8 @@ 1 0 0 +1 +3 2 0x1c76 0x444e6678 @@ -397070,6 +496338,8 @@ 0 0 0 +1 +3 2 0x9560 0xd5bfd459 @@ -397078,6 +496348,8 @@ 0 0 0 +0 +3 3 0x3990 0x1b9bd19c @@ -397086,6 +496358,8 @@ 0 0 0 +0 +0 4 0x3485 0xa332a910 @@ -397094,6 +496368,8 @@ 0 0 0 +2 +1 4 0xc6f0 0xe95fba24 @@ -397102,6 +496378,8 @@ 1 1 0 +0 +1 2 0x4233 0x19e3b252 @@ -397110,6 +496388,8 @@ 0 0 0 +0 +2 1 0x46ea 0xe3b841ba @@ -397119,6 +496399,8 @@ 0 0 2 +5 +2 0x7560 0x9adc6e62 256 @@ -397127,6 +496409,8 @@ 0 0 4 +3 +4 0x3ffb 0x83970809 256 @@ -397135,6 +496419,8 @@ 0 0 3 +0 +3 0x76f9 0xb320e0da 256 @@ -397142,6 +496428,8 @@ 0 0 0 +3 +4 2 0xa092 0x5024178e @@ -397150,6 +496438,8 @@ 1 1 0 +0 +3 1 0x848 0x7c5e5e1a @@ -397158,6 +496448,8 @@ 0 0 0 +4 +0 3 0x6014 0xe1e81391 @@ -397166,6 +496458,8 @@ 0 0 0 +3 +1 2 0x834d 0xbd281b9c @@ -397175,6 +496469,8 @@ 0 0 3 +4 +3 0xb0a2 0xb85c8817 256 @@ -397182,6 +496478,8 @@ 0 0 0 +0 +1 3 0x4c70 0x1118db46 @@ -397190,6 +496488,8 @@ 0 0 0 +5 +2 2 0x8e2a 0x58558f11 @@ -397199,6 +496499,8 @@ 0 0 1 +2 +1 0x7616 0x9bc6775f 256 @@ -397206,6 +496508,8 @@ 0 0 0 +4 +1 2 0x266f 0x9807f67f @@ -397214,6 +496518,8 @@ 0 0 0 +2 +4 3 0xfb8b 0x5fb88abf @@ -397223,6 +496529,8 @@ 1 0 4 +2 +4 0xcdb3 0x5b7d11e9 256 @@ -397230,6 +496538,8 @@ 1 0 0 +3 +2 1 0xa50b 0xb31254b5 @@ -397238,6 +496548,8 @@ 1 0 0 +2 +4 3 0x26d9 0x24026ad0 @@ -397246,6 +496558,8 @@ 1 1 0 +5 +2 1 0x79d8 0xc7c60023 @@ -397254,6 +496568,8 @@ 1 1 0 +4 +1 2 0xf90d 0xc31ee686 @@ -397262,6 +496578,8 @@ 1 1 0 +2 +2 4 0xf3c9 0x44f5e2fa @@ -397270,6 +496588,8 @@ 1 0 0 +5 +3 2 0x9811 0x3fe6b408 @@ -397278,6 +496598,8 @@ 1 0 0 +5 +1 2 0x1a18 0x6c1c9c4a @@ -397286,6 +496608,8 @@ 1 0 0 +3 +3 1 0x7cef 0x272d1a09 @@ -397294,6 +496618,8 @@ 0 0 0 +0 +0 3 0xa726 0xd1b42767 @@ -397302,6 +496628,8 @@ 1 1 0 +5 +1 2 0x4084 0xa7afcdf3 @@ -397310,6 +496638,8 @@ 1 1 0 +0 +3 4 0x1d74 0xc6b98352 @@ -397318,6 +496648,8 @@ 0 0 0 +5 +5 3 0x1746 0x34a3ce81 @@ -397327,6 +496659,8 @@ 1 0 4 +3 +4 0x9a6d 0x1c6806f5 256 @@ -397334,6 +496668,8 @@ 1 0 0 +4 +1 2 0x360f 0xbb21b390 @@ -397342,6 +496678,8 @@ 1 0 0 +2 +0 3 0x2bf9 0xe6cabd4a @@ -397350,6 +496688,8 @@ 1 1 0 +0 +5 4 0xd5a2 0xe3442b3f @@ -397359,6 +496699,8 @@ 1 0 2 +4 +2 0xa422 0x4ec5d568 256 @@ -397366,6 +496708,8 @@ 1 0 0 +1 +0 4 0x3196 0xccb82bac @@ -397374,6 +496718,8 @@ 0 0 0 +4 +1 1 0x613b 0x48db552c @@ -397382,6 +496728,8 @@ 1 0 0 +0 +4 4 0x4f08 0x6d50e25b @@ -397390,6 +496738,8 @@ 1 1 0 +5 +0 1 0xbbb5 0x832a4c53 @@ -397398,6 +496748,8 @@ 1 0 0 +5 +2 2 0xf601 0xf5733fbe @@ -397406,6 +496758,8 @@ 0 0 0 +4 +3 2 0xc741 0x18a6f92 @@ -397415,6 +496769,8 @@ 0 0 4 +0 +4 0x7f0b 0x1577b4e0 256 @@ -397423,6 +496779,8 @@ 0 0 4 +1 +4 0x7b68 0xdcfde839 256 @@ -397430,6 +496788,8 @@ 0 0 0 +5 +2 3 0xd208 0xaca88f81 @@ -397438,6 +496798,8 @@ 0 0 0 +3 +0 1 0x177e 0x1ee9fd7a @@ -397446,6 +496808,8 @@ 1 0 0 +4 +4 2 0x44e0 0x13cb7299 @@ -397454,6 +496818,8 @@ 1 0 0 +0 +1 4 0x656b 0x54e95817 @@ -397462,6 +496828,8 @@ 1 0 0 +0 +5 3 0xccf5 0xf8b805eb @@ -397471,6 +496839,8 @@ 0 0 2 +1 +2 0x1d23 0x68af0231 256 @@ -397478,6 +496848,8 @@ 1 0 0 +5 +2 3 0x8c71 0x8c3e5ec0 @@ -397486,6 +496858,8 @@ 0 0 0 +5 +2 2 0x60b0 0x22871f31 @@ -397494,6 +496868,8 @@ 0 0 0 +0 +5 4 0x3c 0x2cf3acfc @@ -397502,6 +496878,8 @@ 1 0 0 +5 +1 4 0x1509 0x432e3eaf @@ -397510,6 +496888,8 @@ 0 0 0 +1 +2 2 0x8478 0x97ae8d8d @@ -397518,6 +496898,8 @@ 1 0 0 +4 +4 3 0xe04b 0xd1cdbbd4 @@ -397527,6 +496909,8 @@ 1 0 4 +1 +4 0x1148 0x2cb7b0b3 256 @@ -397534,6 +496918,8 @@ 1 1 0 +1 +2 2 0x366f 0xa02f39b9 @@ -397542,6 +496928,8 @@ 0 0 0 +4 +2 1 0x3a25 0x41e110c3 @@ -397550,6 +496938,8 @@ 1 0 0 +5 +3 2 0x5b77 0xff3e0ed5 @@ -397558,6 +496948,8 @@ 1 1 0 +4 +2 3 0xb92b 0x823fa447 @@ -397566,6 +496958,8 @@ 0 0 0 +2 +3 1 0xaae1 0x9fee52db @@ -397575,6 +496969,8 @@ 0 0 1 +5 +1 0x778a 0x37892dde 256 @@ -397582,6 +496978,8 @@ 1 1 0 +1 +3 2 0x167 0xc0999c6 @@ -397590,6 +496988,8 @@ 0 0 0 +3 +5 1 0x1dc0 0xc7165246 @@ -397598,6 +496998,8 @@ 1 1 0 +4 +0 3 0x2479 0x3a98e45 @@ -397606,6 +497008,8 @@ 0 0 0 +2 +5 1 0x5dc3 0xa8cca150 @@ -397614,6 +497018,8 @@ 1 1 0 +0 +2 4 0x3af2 0x6c520deb @@ -397622,6 +497028,8 @@ 0 0 0 +4 +5 2 0x4652 0x31d2627f @@ -397630,6 +497038,8 @@ 1 0 0 +4 +0 1 0x9725 0xe2cfd4c @@ -397638,6 +497048,8 @@ 0 0 0 +3 +5 2 0x9f55 0xbdf0c0aa @@ -397646,6 +497058,8 @@ 0 0 0 +0 +2 1 0x88e3 0xe02f6053 @@ -397654,6 +497068,8 @@ 1 1 0 +5 +2 3 0x6426 0xab5f579b @@ -397662,6 +497078,8 @@ 1 0 0 +0 +4 1 0x833e 0x7322c6ef @@ -397670,6 +497088,8 @@ 0 0 0 +2 +0 1 0xf6e6 0xca53a103 @@ -397678,6 +497098,8 @@ 1 1 0 +0 +1 3 0xaf48 0x53210209 @@ -397686,6 +497108,8 @@ 1 1 0 +0 +4 4 0xb0e6 0x324ba318 @@ -397694,6 +497118,8 @@ 1 0 0 +1 +3 4 0x8299 0xcd5f28bd @@ -397702,6 +497128,8 @@ 0 0 0 +0 +3 3 0x6ec7 0x70b0c67 @@ -397710,6 +497138,8 @@ 1 0 0 +5 +1 4 0xf861 0x5a2b08b2 @@ -397718,6 +497148,8 @@ 0 0 0 +0 +4 1 0x28f0 0x54c3eb42 @@ -397727,6 +497159,8 @@ 1 0 1 +5 +1 0x5b28 0x4ffc779d 256 @@ -397734,6 +497168,8 @@ 1 1 0 +5 +4 1 0xbee 0xfb5d82f5 @@ -397742,6 +497178,8 @@ 1 0 0 +0 +4 3 0xc224 0x6d780bfc @@ -397750,6 +497188,8 @@ 0 0 0 +2 +0 1 0x39d9 0xf42aac0e @@ -397758,6 +497198,8 @@ 1 1 0 +2 +2 3 0xe116 0x3c41a1a0 @@ -397766,6 +497208,8 @@ 0 0 0 +5 +3 1 0x97da 0x2df7dffe @@ -397774,6 +497218,8 @@ 0 0 0 +4 +0 2 0x86bb 0x7203405f @@ -397782,6 +497228,8 @@ 0 0 0 +0 +2 2 0xe06d 0xcfaae512 @@ -397791,6 +497239,8 @@ 0 0 4 +4 +4 0x5305 0x2d1a913a 256 @@ -397798,6 +497248,8 @@ 0 0 0 +1 +0 4 0xa353 0x71943397 @@ -397807,6 +497259,8 @@ 0 0 1 +3 +1 0xd062 0x967fc542 256 @@ -397814,6 +497268,8 @@ 0 0 0 +2 +5 1 0x7e8a 0x3935c7a4 @@ -397822,6 +497278,8 @@ 0 0 0 +5 +2 4 0x376f 0xa43b8b04 @@ -397830,6 +497288,8 @@ 1 1 0 +0 +5 4 0xf89 0x3daa8dc2 @@ -397838,6 +497298,8 @@ 1 1 0 +1 +4 3 0x922f 0x6b256a8a @@ -397847,6 +497309,8 @@ 0 0 2 +3 +2 0xe572 0x5f7d5008 256 @@ -397854,6 +497318,8 @@ 0 0 0 +4 +2 3 0xcfc9 0x68d00b9e @@ -397862,6 +497328,8 @@ 1 1 0 +2 +0 3 0x20d8 0xefa06485 @@ -397870,6 +497338,8 @@ 1 1 0 +0 +0 4 0x5221 0xfd829619 @@ -397879,6 +497349,8 @@ 0 0 1 +5 +1 0x4b6a 0x8002bac8 256 @@ -397886,6 +497358,8 @@ 1 0 0 +0 +0 3 0x3ed 0xe151d96 @@ -397894,6 +497368,8 @@ 0 0 0 +3 +0 4 0xf170 0x76925610 @@ -397903,6 +497379,8 @@ 1 0 4 +1 +4 0x2f83 0xe3efe1fe 256 @@ -397910,6 +497388,8 @@ 1 1 0 +0 +1 1 0xfc72 0x7248a5d @@ -397918,6 +497398,8 @@ 1 1 0 +3 +4 1 0x30b4 0xfa3784c3 @@ -397926,6 +497408,8 @@ 0 0 0 +4 +1 1 0xdf38 0x4db711ef @@ -397934,6 +497418,8 @@ 0 0 0 +4 +2 2 0xdbbf 0xb08357e0 @@ -397942,6 +497428,8 @@ 1 1 0 +5 +1 4 0x2f31 0x8c186fba @@ -397950,6 +497438,8 @@ 0 0 0 +1 +4 3 0x63c2 0xca9363cf @@ -397958,6 +497448,8 @@ 0 0 0 +1 +4 3 0x8abf 0xd1be24ce @@ -397966,6 +497458,8 @@ 0 0 0 +1 +5 3 0x8841 0x92918837 @@ -397974,6 +497468,8 @@ 0 0 0 +0 +2 2 0x3d71 0xd809da86 @@ -397982,6 +497478,8 @@ 0 0 0 +3 +4 4 0x3de2 0x2f043688 @@ -397990,6 +497488,8 @@ 1 1 0 +4 +2 1 0x75bc 0xe392b7fe @@ -397998,6 +497498,8 @@ 0 0 0 +4 +2 1 0xe832 0xa5d51a75 @@ -398007,6 +497509,8 @@ 1 0 2 +5 +2 0xbdbd 0xe912cdcb 256 @@ -398014,6 +497518,8 @@ 0 0 0 +5 +3 3 0xe8d8 0x3c5c6a86 @@ -398023,6 +497529,8 @@ 0 0 2 +1 +2 0x9165 0x9c5590c5 256 @@ -398030,6 +497538,8 @@ 1 0 0 +0 +4 4 0x7650 0x33a43e02 @@ -398038,6 +497548,8 @@ 0 0 0 +5 +5 2 0xfbbe 0x13b4c634 @@ -398046,6 +497558,8 @@ 1 0 0 +4 +2 1 0xd41 0x74dd790 @@ -398054,6 +497568,8 @@ 0 0 0 +0 +2 4 0xb1a1 0xe0c93b6 @@ -398062,6 +497578,8 @@ 1 1 0 +5 +4 2 0x9a0 0xefe3dd5a @@ -398070,6 +497588,8 @@ 0 0 0 +5 +1 4 0xf559 0xadd79517 @@ -398078,6 +497598,8 @@ 1 0 0 +3 +0 2 0x8442 0x301bbb9a @@ -398086,6 +497608,8 @@ 1 1 0 +2 +0 1 0xa98 0x598532ba @@ -398094,6 +497618,8 @@ 1 1 0 +2 +4 3 0xe55b 0x39d4acfe @@ -398102,6 +497628,8 @@ 1 0 0 +2 +1 1 0xf094 0x15783fcd @@ -398110,6 +497638,8 @@ 0 0 0 +1 +0 4 0x8795 0xed2d2481 @@ -398118,6 +497648,8 @@ 1 0 0 +3 +2 1 0x524b 0x62fa99af @@ -398126,6 +497658,8 @@ 1 1 0 +0 +1 3 0x9135 0x8fb8a453 @@ -398134,6 +497668,8 @@ 1 0 0 +2 +5 3 0x5aab 0xa558b8e7 @@ -398143,6 +497679,8 @@ 0 0 3 +4 +3 0x60ca 0xb18e17cc 256 @@ -398150,6 +497688,8 @@ 1 1 0 +2 +5 4 0xf15e 0xddd4fe7e @@ -398158,6 +497698,8 @@ 1 1 0 +4 +5 2 0x83ef 0x8090907 @@ -398167,6 +497709,8 @@ 1 0 3 +2 +3 0x4842 0xf5b889a 256 @@ -398175,6 +497719,8 @@ 0 0 2 +1 +2 0x96fb 0x73c7e36b 256 @@ -398183,6 +497729,8 @@ 1 0 3 +1 +3 0xfd88 0xb1f6cf0e 256 @@ -398190,6 +497738,8 @@ 1 1 0 +3 +2 2 0xeecc 0x179d8076 @@ -398198,6 +497748,8 @@ 0 0 0 +3 +5 1 0xb435 0xac92f3a1 @@ -398207,6 +497759,8 @@ 1 0 2 +4 +2 0xfbea 0x2fd8b3af 256 @@ -398214,6 +497768,8 @@ 1 0 0 +3 +0 4 0x535a 0x5371e1d5 @@ -398222,6 +497778,8 @@ 0 0 0 +1 +4 2 0x5e83 0x13a0c342 @@ -398230,6 +497788,8 @@ 0 0 0 +0 +2 1 0x7ca6 0x1fa5792f @@ -398238,6 +497798,8 @@ 1 0 0 +3 +0 4 0x3ded 0x78ff2558 @@ -398246,6 +497808,8 @@ 1 0 0 +5 +0 4 0x314 0x93800d16 @@ -398254,6 +497818,8 @@ 1 1 0 +2 +3 4 0x517b 0x820042e @@ -398262,6 +497828,8 @@ 1 1 0 +2 +5 1 0x2859 0x6e74f8bb @@ -398270,6 +497838,8 @@ 1 1 0 +2 +4 3 0x6275 0x50e85f78 @@ -398278,6 +497848,8 @@ 1 0 0 +3 +3 1 0x8d3 0xfee64f85 @@ -398286,6 +497858,8 @@ 0 0 0 +4 +2 2 0x8158 0xdfde937a @@ -398294,6 +497868,8 @@ 1 0 0 +1 +1 3 0x9002 0x514e1704 @@ -398302,6 +497878,8 @@ 0 0 0 +2 +2 4 0xe478 0xf241215b @@ -398311,6 +497889,8 @@ 1 0 4 +3 +4 0x5363 0x586fcbb6 256 @@ -398318,6 +497898,8 @@ 1 1 0 +4 +2 1 0x288d 0x24591efd @@ -398326,6 +497908,8 @@ 0 0 0 +4 +0 1 0xb2c3 0x77164bd2 @@ -398334,6 +497918,8 @@ 0 0 0 +0 +5 1 0x4e8 0x4da5ccae @@ -398342,6 +497928,8 @@ 1 0 0 +5 +2 2 0xee0e 0xad3cf706 @@ -398350,6 +497938,8 @@ 1 1 0 +3 +2 4 0x5b11 0xdc1f4166 @@ -398358,6 +497948,8 @@ 0 0 0 +3 +0 2 0xd4f5 0xc88e32f2 @@ -398366,6 +497958,8 @@ 0 0 0 +5 +0 3 0xfcf3 0x48b0dcfc @@ -398374,6 +497968,8 @@ 1 0 0 +2 +4 3 0xb4ef 0xeb08b3a2 @@ -398382,6 +497978,8 @@ 1 0 0 +1 +3 2 0xb9c3 0x72a56ef7 @@ -398390,6 +497988,8 @@ 0 0 0 +0 +4 1 0xb089 0xe75f599c @@ -398398,6 +497998,8 @@ 0 0 0 +0 +4 2 0xe8a3 0x6b2c28f7 @@ -398406,6 +498008,8 @@ 1 0 0 +0 +3 2 0x9f09 0x39488b5a @@ -398415,6 +498019,8 @@ 0 0 3 +0 +3 0xd724 0x1a9dfa20 256 @@ -398422,6 +498028,8 @@ 0 0 0 +1 +0 2 0x6c2a 0xfe11dc27 @@ -398430,6 +498038,8 @@ 1 1 0 +0 +4 3 0xe832 0x68f6fa6b @@ -398438,6 +498048,8 @@ 0 0 0 +3 +2 4 0x5ddd 0xfb080699 @@ -398446,6 +498058,8 @@ 1 1 0 +5 +5 3 0xed1b 0xb4d76235 @@ -398454,6 +498068,8 @@ 0 0 0 +0 +2 2 0x9b6 0x305c9a54 @@ -398462,6 +498078,8 @@ 0 0 0 +5 +4 2 0x69c2 0x21db7778 @@ -398470,6 +498088,8 @@ 0 0 0 +1 +0 4 0xe7dd 0x9e1e372c @@ -398478,6 +498098,8 @@ 0 0 0 +0 +4 4 0x652e 0x44079fcf @@ -398486,6 +498108,8 @@ 1 1 0 +1 +1 4 0x48c9 0x2074a352 @@ -398494,6 +498118,8 @@ 1 0 0 +1 +4 4 0x9cc9 0x81cf6806 @@ -398502,6 +498128,8 @@ 0 0 0 +4 +0 3 0xed05 0x9c3a72fd @@ -398510,6 +498138,8 @@ 0 0 0 +0 +0 2 0x5ba1 0xa6ac35e7 @@ -398518,6 +498148,8 @@ 0 0 0 +3 +5 4 0x62dc 0x3bd00323 @@ -398526,6 +498158,8 @@ 0 0 0 +1 +3 2 0x2206 0x321183b9 @@ -398534,6 +498168,8 @@ 1 0 0 +2 +2 3 0xbf5 0x342ff5bc @@ -398542,6 +498178,8 @@ 0 0 0 +5 +2 4 0x7f93 0x88186a5f @@ -398550,6 +498188,8 @@ 1 1 0 +0 +5 1 0x2075 0xcddee311 @@ -398558,6 +498198,8 @@ 1 1 0 +0 +5 4 0x86a6 0x13b4b8dc @@ -398566,6 +498208,8 @@ 0 0 0 +3 +3 2 0xc5cc 0xa7d61686 @@ -398574,6 +498218,8 @@ 1 1 0 +5 +4 3 0x3e6d 0xe8a4c990 @@ -398583,6 +498229,8 @@ 0 0 1 +4 +1 0xfe34 0xe7bb17d2 256 @@ -398590,6 +498238,8 @@ 1 0 0 +0 +4 3 0xf522 0x864ec30e @@ -398599,6 +498249,8 @@ 0 0 2 +3 +2 0xe6a0 0xa1a01b2a 256 @@ -398606,6 +498258,8 @@ 1 0 0 +2 +3 3 0x1ce3 0x7c07c2ed @@ -398614,6 +498268,8 @@ 0 0 0 +4 +0 1 0xe92 0x7438746d @@ -398622,6 +498278,8 @@ 1 0 0 +5 +4 2 0x23f8 0xf3749c2d @@ -398630,6 +498288,8 @@ 0 0 0 +1 +1 2 0xddc8 0x3109092e @@ -398638,6 +498298,8 @@ 1 0 0 +1 +3 2 0xfe30 0x1f8453e0 @@ -398646,6 +498308,8 @@ 1 1 0 +0 +3 3 0x3537 0x86b4f425 @@ -398654,6 +498318,8 @@ 1 1 0 +3 +4 4 0x3358 0xe851208c @@ -398662,6 +498328,8 @@ 0 0 0 +2 +0 4 0x701 0x4bf35977 @@ -398670,6 +498338,8 @@ 1 0 0 +4 +5 1 0x155a 0x2b366805 @@ -398678,6 +498348,8 @@ 1 1 0 +3 +1 4 0xf669 0x1ddf1d8e @@ -398686,6 +498358,8 @@ 1 1 0 +0 +2 1 0x409e 0xe721abf1 @@ -398694,6 +498368,8 @@ 1 0 0 +0 +4 4 0x786b 0x5a0c796f @@ -398702,6 +498378,8 @@ 0 0 0 +5 +2 2 0x9c6c 0xcac60ade @@ -398710,6 +498388,8 @@ 1 1 0 +5 +3 3 0xa4bd 0x110e73a5 @@ -398718,6 +498398,8 @@ 0 0 0 +5 +1 2 0xbd41 0x5f4387d2 @@ -398727,6 +498409,8 @@ 1 0 2 +3 +2 0xe0ad 0x1e5bf438 256 @@ -398734,6 +498418,8 @@ 0 0 0 +2 +3 4 0xb017 0x68da57eb @@ -398742,6 +498428,8 @@ 1 1 0 +1 +5 2 0x1b70 0xc0639d @@ -398751,6 +498439,8 @@ 0 0 3 +3 +3 0xb47c 0x46231acd 256 @@ -398758,6 +498448,8 @@ 1 0 0 +5 +0 1 0xe9fe 0xed438406 @@ -398766,6 +498458,8 @@ 0 0 0 +0 +2 3 0x848 0x169a24f5 @@ -398774,6 +498468,8 @@ 1 1 0 +1 +2 2 0xfecd 0x2fe9db78 @@ -398782,6 +498478,8 @@ 1 0 0 +2 +4 3 0xe4f6 0xf8b26db3 @@ -398791,6 +498489,8 @@ 1 0 2 +3 +2 0x7f01 0x32db6412 256 @@ -398798,6 +498498,8 @@ 0 0 0 +3 +5 2 0x55cd 0xfd063641 @@ -398806,6 +498508,8 @@ 1 0 0 +2 +1 1 0xe590 0xcbf8c6b0 @@ -398814,6 +498518,8 @@ 0 0 0 +1 +5 4 0x5ec7 0x31b54afe @@ -398822,6 +498528,8 @@ 0 0 0 +3 +1 2 0x3ecc 0xa2c8143f @@ -398830,6 +498538,8 @@ 0 0 0 +2 +0 1 0x7a10 0xa6ead952 @@ -398838,6 +498548,8 @@ 0 0 0 +0 +1 3 0x9bc 0x16ce2d79 @@ -398846,6 +498558,8 @@ 0 0 0 +5 +3 4 0x588e 0x65565b01 @@ -398855,6 +498569,8 @@ 0 0 3 +1 +3 0x1761 0x44a1c74f 256 @@ -398862,6 +498578,8 @@ 0 0 0 +1 +4 3 0xa6e3 0x176b74f @@ -398870,6 +498588,8 @@ 1 0 0 +0 +0 3 0x2689 0x4556231 @@ -398878,6 +498598,8 @@ 0 0 0 +5 +0 4 0xbceb 0xee21cb18 @@ -398886,6 +498608,8 @@ 1 0 0 +4 +2 3 0x125d 0xfc1a6d0f @@ -398894,6 +498618,8 @@ 1 1 0 +1 +2 4 0x41f1 0x6b75bc07 @@ -398902,6 +498628,8 @@ 1 1 0 +3 +2 1 0xed99 0xb8c9b9a9 @@ -398910,6 +498638,8 @@ 0 0 0 +3 +1 4 0xcdde 0x5d5fc5f2 @@ -398918,6 +498648,8 @@ 0 0 0 +4 +1 2 0x583c 0x10bcf701 @@ -398926,6 +498658,8 @@ 0 0 0 +3 +3 1 0xd513 0x845b4caa @@ -398934,6 +498668,8 @@ 0 0 0 +2 +4 4 0xe8f2 0x363d3690 @@ -398942,6 +498678,8 @@ 0 0 0 +0 +2 2 0x64a4 0xc4677dfd @@ -398951,6 +498689,8 @@ 0 0 2 +1 +2 0xa5b3 0xa113330d 256 @@ -398959,6 +498699,8 @@ 0 0 1 +5 +1 0xd831 0xfff47cf9 256 @@ -398966,6 +498708,8 @@ 0 0 0 +4 +1 2 0x202 0x3fc7cd25 @@ -398974,6 +498718,8 @@ 1 0 0 +0 +3 4 0x32f 0x38b6c8f @@ -398982,6 +498728,8 @@ 1 1 0 +3 +1 2 0x101c 0x2d13d9fb @@ -398991,6 +498739,8 @@ 0 0 3 +2 +3 0x5db3 0x67fb63fc 256 @@ -398999,6 +498749,8 @@ 1 0 2 +2 +2 0x97c4 0xef7a5f16 256 @@ -399006,6 +498758,8 @@ 1 0 0 +5 +1 3 0x68cb 0xf20807a3 @@ -399014,6 +498768,8 @@ 1 0 0 +0 +4 4 0x771f 0xa06045b8 @@ -399022,6 +498778,8 @@ 1 0 0 +5 +0 1 0xae60 0xbdc4b7d5 @@ -399030,6 +498788,8 @@ 1 1 0 +0 +5 3 0x3ac4 0x23b58ef7 @@ -399038,6 +498798,8 @@ 1 1 0 +0 +4 4 0xd3f6 0xf0913b94 @@ -399046,6 +498808,8 @@ 1 1 0 +4 +1 1 0xa461 0x9fb2bf62 @@ -399054,6 +498818,8 @@ 0 0 0 +5 +0 1 0x3bd9 0x162d6dc1 @@ -399062,6 +498828,8 @@ 1 1 0 +2 +0 3 0x211b 0x93589d03 @@ -399070,6 +498838,8 @@ 0 0 0 +1 +1 3 0x2bea 0xae1bab1d @@ -399079,6 +498849,8 @@ 0 0 1 +3 +1 0xa123 0x346524a0 256 @@ -399086,6 +498858,8 @@ 1 0 0 +1 +1 4 0x2cd5 0xda61d164 @@ -399094,6 +498868,8 @@ 1 0 0 +3 +3 4 0x3571 0xb0a92ab7 @@ -399102,6 +498878,8 @@ 1 0 0 +5 +2 1 0x453a 0x227e1f28 @@ -399110,6 +498888,8 @@ 1 0 0 +0 +1 3 0x46cd 0x311fd865 @@ -399118,6 +498898,8 @@ 0 0 0 +0 +5 1 0xde2c 0x49fcfa56 @@ -399126,6 +498908,8 @@ 0 0 0 +1 +5 4 0x1ea1 0x812adede @@ -399134,6 +498918,8 @@ 0 0 0 +4 +2 3 0x929a 0x6fb7f153 @@ -399143,6 +498929,8 @@ 0 0 2 +1 +2 0x3a07 0x526ed6bc 256 @@ -399150,6 +498938,8 @@ 1 0 0 +5 +5 2 0x1b09 0xba47cf09 @@ -399158,6 +498948,8 @@ 1 0 0 +4 +3 2 0xfd95 0xb33bbe9c @@ -399167,6 +498959,8 @@ 1 0 3 +2 +3 0x3960 0x3b7d8739 256 @@ -399174,6 +498968,8 @@ 1 1 0 +5 +2 3 0x16c7 0x11dcc37a @@ -399182,6 +498978,8 @@ 1 1 0 +3 +4 4 0x2198 0x894c77e @@ -399190,6 +498988,8 @@ 0 0 0 +0 +0 2 0x3589 0x1fcbbe3f @@ -399198,6 +498998,8 @@ 1 0 0 +4 +4 2 0x1e37 0x7e30d00a @@ -399206,6 +499008,8 @@ 0 0 0 +2 +4 1 0x71f2 0xa28be314 @@ -399214,6 +499018,8 @@ 0 0 0 +0 +5 1 0x4a22 0x688f53d2 @@ -399222,6 +499028,8 @@ 0 0 0 +3 +4 2 0x6b43 0xbf092039 @@ -399230,6 +499038,8 @@ 0 0 0 +5 +2 1 0x76c5 0x6ba20710 @@ -399238,6 +499048,8 @@ 1 0 0 +3 +1 4 0x1364 0x1ab9688a @@ -399247,6 +499059,8 @@ 0 0 4 +0 +4 0xedcc 0xc777d81c 256 @@ -399255,6 +499069,8 @@ 0 0 4 +4 +4 0xa197 0x97c6b5 256 @@ -399262,6 +499078,8 @@ 1 0 0 +4 +3 1 0xdcd 0x4b800758 @@ -399270,6 +499088,8 @@ 0 0 0 +0 +5 3 0xf8a0 0x8c3d48ec @@ -399278,6 +499098,8 @@ 0 0 0 +2 +2 4 0x7a0e 0x4a10334f @@ -399287,6 +499109,8 @@ 0 0 2 +3 +2 0xefe2 0xe2c77d70 256 @@ -399294,6 +499118,8 @@ 1 0 0 +1 +5 3 0x7be5 0x2b1688b3 @@ -399302,6 +499128,8 @@ 0 0 0 +2 +1 4 0xd558 0x201d28c7 @@ -399310,6 +499138,8 @@ 1 0 0 +2 +3 1 0xddd5 0x311f476b @@ -399318,6 +499148,8 @@ 1 1 0 +1 +1 2 0xed7a 0xe3318441 @@ -399326,6 +499158,8 @@ 0 0 0 +5 +5 2 0x6ddf 0x6790077b @@ -399334,6 +499168,8 @@ 0 0 0 +2 +3 3 0x96f1 0x274b0d47 @@ -399342,6 +499178,8 @@ 0 0 0 +1 +4 2 0x5ccd 0x9910089c @@ -399350,6 +499188,8 @@ 1 0 0 +4 +1 3 0xd445 0x4410709f @@ -399358,6 +499198,8 @@ 0 0 0 +2 +2 1 0x32d3 0x57439ce8 @@ -399366,6 +499208,8 @@ 0 0 0 +4 +0 3 0xc4ae 0x947f8141 @@ -399374,6 +499218,8 @@ 0 0 0 +1 +1 4 0xb062 0x9dc19d99 @@ -399382,6 +499228,8 @@ 0 0 0 +5 +1 2 0xaa0f 0x40417a93 @@ -399391,6 +499239,8 @@ 1 0 4 +0 +4 0x11a3 0x1381fc16 256 @@ -399399,6 +499249,8 @@ 0 0 2 +0 +2 0x66b2 0x10d994cf 256 @@ -399406,6 +499258,8 @@ 1 1 0 +1 +5 2 0xac40 0x1f02f4bd @@ -399414,6 +499268,8 @@ 0 0 0 +0 +5 2 0xf905 0x4a76cc @@ -399422,6 +499278,8 @@ 1 0 0 +0 +4 1 0x3c96 0xc3091851 @@ -399430,6 +499288,8 @@ 0 0 0 +0 +3 1 0x4c2a 0x388bf5f4 @@ -399438,6 +499298,8 @@ 0 0 0 +5 +3 3 0x427c 0x90ea820b @@ -399446,6 +499308,8 @@ 0 0 0 +0 +5 2 0x9879 0xf6144d88 @@ -399454,6 +499318,8 @@ 0 0 0 +3 +3 4 0x1ad6 0x8658afb5 @@ -399463,6 +499329,8 @@ 0 0 1 +4 +1 0x2f60 0xc3970334 256 @@ -399471,6 +499339,8 @@ 0 0 3 +0 +3 0x8e0f 0x2b3cd782 256 @@ -399479,6 +499349,8 @@ 0 0 2 +3 +2 0x7f74 0xf7f02c1a 256 @@ -399486,6 +499358,8 @@ 0 0 0 +4 +1 1 0x272a 0x78b9d659 @@ -399494,6 +499368,8 @@ 1 1 0 +3 +3 1 0x85d5 0x34a9b18b @@ -399502,6 +499378,8 @@ 1 1 0 +4 +0 2 0xbff7 0xc9009798 @@ -399510,6 +499388,8 @@ 1 1 0 +5 +2 3 0x74e 0xca8a235d @@ -399518,6 +499398,8 @@ 0 0 0 +3 +5 4 0x991c 0xdf34d507 @@ -399527,6 +499409,8 @@ 0 0 2 +2 +2 0x2d4c 0x2cc63525 256 @@ -399534,6 +499418,8 @@ 0 0 0 +5 +0 3 0xe824 0x7c5c8b4d @@ -399542,6 +499428,8 @@ 0 0 0 +0 +0 2 0x8cbf 0xa6826b70 @@ -399550,6 +499438,8 @@ 1 0 0 +4 +4 2 0xed9a 0x75d3ff8e @@ -399558,6 +499448,8 @@ 1 0 0 +3 +5 2 0xdf22 0x5e077b0c @@ -399566,6 +499458,8 @@ 1 1 0 +0 +0 3 0x432c 0xaeae72e4 @@ -399574,6 +499468,8 @@ 1 0 0 +1 +2 3 0x3c05 0xa07b2353 @@ -399582,6 +499478,8 @@ 1 1 0 +4 +5 3 0xd4e5 0x57490d3c @@ -399590,6 +499488,8 @@ 0 0 0 +0 +3 2 0xf143 0x9976b583 @@ -399598,6 +499498,8 @@ 1 0 0 +4 +4 3 0xedce 0x120034fc @@ -399606,6 +499508,8 @@ 1 1 0 +2 +1 1 0x4399 0x2a2a60dc @@ -399614,6 +499518,8 @@ 1 1 0 +5 +5 3 0xf06e 0xc2287005 @@ -399622,6 +499528,8 @@ 1 0 0 +2 +0 1 0x891c 0x251e8331 @@ -399630,6 +499538,8 @@ 0 0 0 +3 +3 4 0x38c8 0x5da864d4 @@ -399638,6 +499548,8 @@ 1 0 0 +5 +1 2 0xf628 0x587fb0bd @@ -399646,6 +499558,8 @@ 1 1 0 +5 +1 2 0x1143 0x5750f3ba @@ -399654,6 +499568,8 @@ 1 0 0 +4 +3 3 0x67dc 0xce5d0e @@ -399662,6 +499578,8 @@ 1 0 0 +2 +1 4 0xcba1 0xe0288b91 @@ -399671,6 +499589,8 @@ 0 0 1 +5 +1 0x253f 0x1f69672e 256 @@ -399678,6 +499598,8 @@ 1 0 0 +1 +2 3 0x2e7b 0xbc734220 @@ -399686,6 +499608,8 @@ 0 0 0 +2 +5 1 0x8f65 0xade5e4bc @@ -399694,6 +499618,8 @@ 1 0 0 +1 +0 3 0xcd60 0x5fcf2404 @@ -399702,6 +499628,8 @@ 0 0 0 +3 +1 1 0x7c50 0xa6d6081a @@ -399711,6 +499639,8 @@ 0 0 2 +4 +2 0x5f98 0xed6512b7 256 @@ -399719,6 +499649,8 @@ 0 0 4 +3 +4 0x4ed0 0x2162474b 256 @@ -399726,6 +499658,8 @@ 0 0 0 +4 +4 2 0x2fd1 0x5ad00a61 @@ -399734,6 +499668,8 @@ 0 0 0 +5 +3 2 0x498f 0xd074d449 @@ -399742,6 +499678,8 @@ 1 0 0 +0 +1 1 0xdbc8 0x2b046ee3 @@ -399750,6 +499688,8 @@ 0 0 0 +1 +5 2 0x96ea 0x3b087604 @@ -399758,6 +499698,8 @@ 1 1 0 +3 +1 4 0x3152 0x425a7f94 @@ -399766,6 +499708,8 @@ 1 1 0 +0 +3 1 0xd896 0x4c01310c @@ -399775,6 +499719,8 @@ 0 0 4 +2 +4 0x17f2 0x1b38c7d1 256 @@ -399782,6 +499728,8 @@ 1 1 0 +1 +1 2 0xe92a 0x71c0d6df @@ -399790,6 +499738,8 @@ 1 1 0 +0 +4 1 0x2509 0x78f678ee @@ -399799,6 +499749,8 @@ 0 0 4 +3 +4 0xf0e9 0xe322b703 256 @@ -399806,6 +499758,8 @@ 0 0 0 +1 +4 2 0x155a 0xabb81f2c @@ -399815,6 +499769,8 @@ 1 0 4 +0 +4 0x7bc6 0x744ac0b3 256 @@ -399822,6 +499778,8 @@ 1 1 0 +5 +0 4 0xf8ae 0xe10fe959 @@ -399830,6 +499788,8 @@ 0 0 0 +4 +1 1 0x9cdc 0xa4491b67 @@ -399838,6 +499798,8 @@ 1 1 0 +4 +2 1 0x17 0xb644658b @@ -399847,6 +499809,8 @@ 0 0 2 +4 +2 0xf589 0x81932d00 256 @@ -399854,6 +499818,8 @@ 0 0 0 +1 +4 2 0x3555 0xc2a2648e @@ -399862,6 +499828,8 @@ 1 1 0 +4 +5 2 0x61ba 0x36ee5687 @@ -399870,6 +499838,8 @@ 1 0 0 +0 +5 3 0x1924 0xe2cfd697 @@ -399878,6 +499848,8 @@ 1 1 0 +3 +2 4 0x2e0b 0xb280e1ad @@ -399886,6 +499858,8 @@ 0 0 0 +4 +1 2 0xf1d 0xcd05c581 @@ -399894,6 +499868,8 @@ 0 0 0 +5 +1 2 0xac93 0xdc763dca @@ -399903,6 +499879,8 @@ 0 0 3 +0 +3 0x50d 0xeb1a2715 256 @@ -399910,6 +499888,8 @@ 0 0 0 +4 +0 2 0x4881 0x98ab0af7 @@ -399918,6 +499898,8 @@ 0 0 0 +2 +5 4 0x3aa5 0xf5ca4849 @@ -399927,6 +499909,8 @@ 0 0 3 +0 +3 0xd83a 0x21e71c19 256 @@ -399934,6 +499918,8 @@ 0 0 0 +5 +2 3 0xd6a5 0x5a82daa8 @@ -399942,6 +499928,8 @@ 1 1 0 +3 +2 4 0xe848 0x2e50c0d9 @@ -399950,6 +499938,8 @@ 1 0 0 +2 +4 1 0x147a 0xdce890c6 @@ -399958,6 +499948,8 @@ 1 0 0 +0 +0 2 0x378d 0x18903c4 @@ -399966,6 +499958,8 @@ 0 0 0 +4 +0 3 0x29f6 0xddbb569a @@ -399974,6 +499968,8 @@ 1 0 0 +4 +5 2 0x165b 0xaf6d1d4a @@ -399983,6 +499979,8 @@ 0 0 4 +1 +4 0xdaf2 0x9494ad6 256 @@ -399990,6 +499988,8 @@ 0 0 0 +4 +4 3 0x7a66 0xca8b1437 diff --git a/jobs/backend/man_zero_transfer.txt b/jobs/backend/man_zero_transfer.txt index 0ff78823..281d2d05 100644 --- a/jobs/backend/man_zero_transfer.txt +++ b/jobs/backend/man_zero_transfer.txt @@ -1,3 +1,5 @@ +4 +2 0 0x0 0x3ff diff --git a/jobs/tiny-dma/man_simple.txt b/jobs/tiny-dma/man_simple.txt deleted file mode 100644 index 3da20ca3..00000000 --- a/jobs/tiny-dma/man_simple.txt +++ /dev/null @@ -1,8 +0,0 @@ -128 -0x0 -0x1000 -256 -256 -0 -0 -0 diff --git a/requirements.txt b/requirements.txt index 3bef2fab..4c63cd28 100644 --- a/requirements.txt +++ b/requirements.txt @@ -7,4 +7,4 @@ sphinx sphinx-rtd-theme recommonmark sphinxcontrib-svg2pdfconverter -pylint +pylint \ No newline at end of file diff --git a/scripts/waves/vsim_backend.do b/scripts/waves/vsim_backend.do deleted file mode 100644 index 971ca19a..00000000 --- a/scripts/waves/vsim_backend.do +++ /dev/null @@ -1,221 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/clk_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/rst_ni -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/testmode_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/idma_req_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/idma_rsp_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/rsp_valid_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/rsp_ready_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/idma_eh_req_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/eh_req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/eh_req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/axi_req_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/axi_rsp_i -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/busy_o -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/dp_busy -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/dp_poison -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_req -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_req -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_last_burst -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_last_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_super_last -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/r_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/w_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/ar_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/aw_ready -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/aw_ready_dp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/aw_valid_dp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/aw_req_dp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/legalizer_flush -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/legalizer_kill -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/is_length_zero -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/req_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/idma_rsp -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_backend/i_idma_backend/rsp_ready -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/clk_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/rst_ni -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/req_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/valid_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/ready_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_req_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_valid_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_ready_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_req_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_valid_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_ready_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/flush_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/kill_i -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_busy_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_busy_o -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_q -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_q -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/opt_tf_q -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_ena -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_ena -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_offset -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_offset -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/c_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_size -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_size -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_addr_offset -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_done -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_addr_offset -add wave -noupdate -group Legalizer /tb_idma_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_done -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/clk_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/rst_ni -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/testmode_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/axi_req_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/axi_rsp_i -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/ar_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/ar_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/ar_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/aw_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/aw_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/aw_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/dp_poison_i -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_first_mask -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/r_last_mask -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_first_mask -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_last_mask -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/first_r_q -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_in -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/read_aligned_in_mask -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/mask_in -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_in_ready -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/in_ready -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/mask_out -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/first_w -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/last_w -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_out -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_out_valid -add wave -noupdate -group {Transport Layer} -expand /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_out_ready -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/write_happening -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/ready_to_write -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/first_possible -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/buffer_clean -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_num_beats_q -add wave -noupdate -group {Transport Layer} /tb_idma_backend/i_idma_backend/i_idma_axi_transport_layer/w_cnt_valid_q -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/clk_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rst_ni -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/testmode_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_valid_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_ready_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_addr_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_consume_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_addr_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_consume_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/legalizer_flush_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/legalizer_kill_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/dp_busy_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/dp_poison_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_rsp_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_rsp_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_last_burst_i -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/state_q -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_addr_head -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_addr_head -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_store_pop -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_store_pop -add wave -noupdate -group {Error Handler} /tb_idma_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/num_outst_q -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/clk_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/rst_ni -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/testmode_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_valid_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_ready_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_first_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_decouple_aw_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_decouple_aw_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_o -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_o -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_o -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_i -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_in -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_out -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/first -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_sent -add wave -noupdate -group R-AW-Coupler /tb_idma_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_to_send_q -add wave -noupdate -divider BUS -add wave -noupdate -group {AXI IF} -label AW /tb_idma_backend/i_aw_hl/in_wave -add wave -noupdate -group {AXI IF} -label AR /tb_idma_backend/i_ar_hl/in_wave -add wave -noupdate -group {AXI IF} -label W /tb_idma_backend/i_w_hl/in_wave -add wave -noupdate -group {AXI IF} -label R /tb_idma_backend/i_r_hl/in_wave -add wave -noupdate -group {AXI IF} -label B /tb_idma_backend/i_b_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA REQ} /tb_idma_backend/i_req_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA RSP} -expand -subitemconfig {/tb_idma_backend/i_rsp_hl/in_wave.pld -expand} /tb_idma_backend/i_rsp_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA EH} /tb_idma_backend/i_eh_hl/in_wave -add wave -noupdate -group Busy -expand /tb_idma_backend/i_idma_backend/busy_o -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {210998 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 427 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {1121282 ps} {1235722 ps} diff --git a/scripts/waves/vsim_backend.do.tpl b/scripts/waves/vsim_backend.do.tpl new file mode 100644 index 00000000..8b0a8026 --- /dev/null +++ b/scripts/waves/vsim_backend.do.tpl @@ -0,0 +1,173 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/clk_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/rst_ni +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/testmode_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/idma_req_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/req_valid_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/req_ready_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/idma_rsp_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/rsp_valid_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/rsp_ready_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/idma_eh_req_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/eh_req_valid_i +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/eh_req_ready_o +% for protocol in used_read_protocols: +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/${protocol}_read_req_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/${protocol}_read_rsp_i +% endfor +% for protocol in used_write_protocols: +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/${protocol}_write_req_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/${protocol}_write_rsp_i +% endfor +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/busy_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/dp_busy +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/dp_poison +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_req +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_req +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_last_burst +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_last_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_super_last +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_req_in_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_req_in_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_req_out_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_req_out_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_req_out_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_req_out_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_req_out +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_req_out +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_rsp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_rsp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_rsp_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_rsp_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/r_dp_rsp_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/w_dp_rsp_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/ar_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/aw_ready +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/aw_ready_dp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/aw_valid_dp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/aw_req_dp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/legalizer_flush +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/legalizer_kill +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/is_length_zero +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/req_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/idma_rsp +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/rsp_valid +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/rsp_ready +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/clk_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/rst_ni +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/req_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/valid_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/ready_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_req_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_valid_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_ready_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_req_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_valid_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_ready_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/flush_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/kill_i +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_busy_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_busy_o +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_q +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_q +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/opt_tf_q +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_ena +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_ena +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_to_pb +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_to_pb +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/c_num_bytes_to_pb +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_possible +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_addr_offset +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_done +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_possible +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_addr_offset +add wave -noupdate -group Legalizer /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_done +add wave -noupdate -group {Transport Layer} /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/clk_i +add wave -noupdate -group {Transport Layer} /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/rst_ni +add wave -noupdate -group {Transport Layer} /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/testmode_i +% for protocol in used_read_protocols: +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/${protocol}_read_req_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/${protocol}_read_rsp_i +% endfor +% for protocol in used_write_protocols: +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/${protocol}_write_req_o +add wave -noupdate -expand -group Backend /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/${protocol}_write_rsp_i +% endfor +add wave -noupdate -group {Transport Layer} /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/* +% for protocol in used_read_protocols: +add wave -noupdate -group {${database[protocol]['full_name']} Read} -expand /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/i_idma_${protocol}_read/* +% endfor +% for protocol in used_write_protocols: +add wave -noupdate -group {${database[protocol]['full_name']} Write} -expand /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/i_idma_${protocol}_write/* +% endfor +% if not one_write_port: +add wave -noupdate -group {Write Response FIFO} -expand /tb_idma_backend${name_uniqueifier}/i_idma_backend/i_idma_transport_layer/i_write_response_fifo/* +% endif +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/clk_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/rst_ni +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/testmode_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_valid_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_ready_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_first_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_decouple_aw_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_decouple_aw_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_o +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_o +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_o +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_i +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_in +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_out +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/first +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_sent +add wave -noupdate -group R-AW-Coupler /tb_idma_backend${name_uniqueifier}/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_to_send_q +% endif +add wave -noupdate -divider BUS +% for protocol in used_protocols: + % if protocol == 'axi': +add wave -noupdate -group {${database[protocol]['full_name']} IF} -label AW /tb_idma_backend${name_uniqueifier}/i_aw_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} IF} -label AR /tb_idma_backend${name_uniqueifier}/i_ar_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} IF} -label W /tb_idma_backend${name_uniqueifier}/i_w_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} IF} -label R /tb_idma_backend${name_uniqueifier}/i_r_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} IF} -label B /tb_idma_backend${name_uniqueifier}/i_b_hl/in_wave + % else: +add wave -noupdate -group {${database[protocol]['full_name']} ${database['axi']['full_name']} IF} -label AW /tb_idma_backend${name_uniqueifier}/i_${protocol}_aw_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} ${database['axi']['full_name']} IF} -label AR /tb_idma_backend${name_uniqueifier}/i_${protocol}_ar_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} ${database['axi']['full_name']} IF} -label W /tb_idma_backend${name_uniqueifier}/i_${protocol}_w_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} ${database['axi']['full_name']} IF} -label R /tb_idma_backend${name_uniqueifier}/i_${protocol}_r_hl/in_wave +add wave -noupdate -group {${database[protocol]['full_name']} ${database['axi']['full_name']} IF} -label B /tb_idma_backend${name_uniqueifier}/i_${protocol}_b_hl/in_wave + % endif +% endfor +add wave -noupdate -group {iDMA IF} -label {iDMA REQ} /tb_idma_backend${name_uniqueifier}/i_req_hl/in_wave +add wave -noupdate -group {iDMA IF} -label {iDMA RSP} -expand -subitemconfig {/tb_idma_backend${name_uniqueifier}/i_rsp_hl/in_wave.pld -expand} /tb_idma_backend${name_uniqueifier}/i_rsp_hl/in_wave +add wave -noupdate -group {iDMA IF} -label {iDMA EH} /tb_idma_backend${name_uniqueifier}/i_eh_hl/in_wave +add wave -noupdate -group Busy -expand /tb_idma_backend${name_uniqueifier}/i_idma_backend/busy_o +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 150 +configure wave -valuecolwidth 427 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {1121282 ps} {1235722 ps} diff --git a/scripts/waves/vsim_lite_backend.do b/scripts/waves/vsim_lite_backend.do deleted file mode 100644 index 228c31be..00000000 --- a/scripts/waves/vsim_lite_backend.do +++ /dev/null @@ -1,211 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/clk_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/rst_ni -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/testmode_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/idma_req_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/idma_rsp_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/rsp_valid_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/rsp_ready_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/idma_eh_req_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/eh_req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/eh_req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/protocol_req_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/protocol_rsp_i -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/busy_o -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/dp_busy -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/dp_poison -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_req -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_req -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_last_burst -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_last_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_super_last -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/r_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/w_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/ar_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/aw_ready -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/aw_ready_dp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/aw_valid_dp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/aw_req_dp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/legalizer_flush -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/legalizer_kill -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/is_length_zero -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/req_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/idma_rsp -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_lite_backend/i_idma_backend/rsp_ready -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/clk_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/rst_ni -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/req_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/valid_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/ready_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_req_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_valid_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_ready_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_req_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_valid_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_ready_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/flush_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/kill_i -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_busy_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_busy_o -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_q -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_q -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/opt_tf_q -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_ena -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_ena -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_offset -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_offset -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/c_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_size -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_size -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_addr_offset -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_done -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_addr_offset -add wave -noupdate -group Legalizer /tb_idma_lite_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_done -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/clk_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/rst_ni -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/testmode_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/axi_lite_req_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/axi_lite_rsp_i -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/ar_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/ar_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/ar_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/aw_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/aw_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/aw_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/dp_poison_i -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/r_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/w_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_busy_o -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_in -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/read_aligned_in_mask -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/mask_in -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_in_ready -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/in_ready -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/mask_out -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_out -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_out_valid -add wave -noupdate -group {Transport Layer} -expand /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_out_ready -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/write_happening -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/ready_to_write -add wave -noupdate -group {Transport Layer} /tb_idma_lite_backend/i_idma_backend/gen_axi_lite_transport_layer/i_idma_axi_lite_transport_layer/buffer_clean -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/clk_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rst_ni -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/testmode_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_valid_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/rsp_ready_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/eh_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_addr_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_consume_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_addr_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_consume_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/legalizer_flush_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/legalizer_kill_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/dp_busy_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/dp_poison_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_rsp_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_dp_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_rsp_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_valid_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_dp_ready_o -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_last_burst_i -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/state_q -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_addr_head -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_addr_head -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/r_store_pop -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/w_store_pop -add wave -noupdate -group {Error Handler} /tb_idma_lite_backend/i_idma_backend/gen_error_handler/i_idma_error_handler/num_outst_q -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/clk_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/rst_ni -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/testmode_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_valid_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_ready_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_rsp_first_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/r_decouple_aw_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_decouple_aw_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_o -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_o -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid_o -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready_i -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_in -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_req_out -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_ready -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_valid -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/first -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_sent -add wave -noupdate -group R-AW-Coupler /tb_idma_lite_backend/i_idma_backend/gen_r_aw_coupler/i_idma_channel_coupler/aw_to_send_q -add wave -noupdate -divider BUS -add wave -noupdate -group {AXI IF} -label AW /tb_idma_lite_backend/i_aw_hl/in_wave -add wave -noupdate -group {AXI IF} -label AR /tb_idma_lite_backend/i_ar_hl/in_wave -add wave -noupdate -group {AXI IF} -label W /tb_idma_lite_backend/i_w_hl/in_wave -add wave -noupdate -group {AXI IF} -label R /tb_idma_lite_backend/i_r_hl/in_wave -add wave -noupdate -group {AXI IF} -label B /tb_idma_lite_backend/i_b_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA REQ} /tb_idma_lite_backend/i_req_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA RSP} -expand -subitemconfig {/tb_idma_lite_backend/i_rsp_hl/in_wave.pld -expand} /tb_idma_lite_backend/i_rsp_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA EH} /tb_idma_lite_backend/i_eh_hl/in_wave -add wave -noupdate -group Busy -expand /tb_idma_lite_backend/i_idma_backend/busy_o -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {210998 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 427 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {1121282 ps} {1235722 ps} diff --git a/scripts/waves/vsim_obi_backend.do b/scripts/waves/vsim_obi_backend.do deleted file mode 100644 index 0c5c0f0b..00000000 --- a/scripts/waves/vsim_obi_backend.do +++ /dev/null @@ -1,165 +0,0 @@ -onerror {resume} -quietly WaveActivateNextPane {} 0 -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/clk_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/rst_ni -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/testmode_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/idma_req_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/idma_rsp_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/rsp_valid_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/rsp_ready_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/idma_eh_req_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/eh_req_valid_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/eh_req_ready_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/protocol_req_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/protocol_rsp_i -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/busy_o -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/dp_busy -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/dp_poison -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_req -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_req -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_last_burst -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_last_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_super_last -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_req_in_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_req_out_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_req_out_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_req_out -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_rsp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/r_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/w_dp_rsp_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/ar_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/aw_ready -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/aw_ready_dp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/aw_valid_dp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/aw_req_dp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/legalizer_flush -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/legalizer_kill -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/is_length_zero -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/req_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/idma_rsp -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/rsp_valid -add wave -noupdate -expand -group Backend /tb_idma_obi_backend/i_idma_backend/rsp_ready -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/clk_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/rst_ni -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/req_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/valid_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/ready_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_req_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_valid_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_ready_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_req_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_valid_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_ready_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/flush_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/kill_i -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_busy_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_busy_o -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_q -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_q -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/opt_tf_q -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_tf_ena -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_tf_ena -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_offset -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_offset -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/c_num_bytes_to_pb -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_addr_width -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_page_size -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_page_size -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_num_bytes -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_addr_offset -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/r_done -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes_possible -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_num_bytes -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_addr_offset -add wave -noupdate -group Legalizer /tb_idma_obi_backend/i_idma_backend/gen_hw_legalizer/i_idma_legalizer/w_done -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/clk_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/rst_ni -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/testmode_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/obi_req_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/obi_rsp_i -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_rsp_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_valid_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_ready_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/read_meta_req_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/read_meta_valid_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/read_meta_ready_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/dp_poison_i -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/r_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/w_dp_busy_o -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_busy_o -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_in -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/read_aligned_in_mask -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/mask_in -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_in_ready -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/in_valid -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/in_ready -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/mask_out -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_out -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_out_valid -add wave -noupdate -group {Transport Layer} -expand /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_out_ready -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/write_happening -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/ready_to_write -add wave -noupdate -group {Transport Layer} /tb_idma_obi_backend/i_idma_backend/gen_obi_transport_layer/i_idma_obi_transport_layer/buffer_clean -add wave -noupdate -divider BUS -add wave -noupdate -group {OBI Read IF} -label {OBI A} /tb_idma_obi_backend/i_obi_read_a_hl/in_wave -add wave -noupdate -group {OBI Read IF} -label {OBI R} /tb_idma_obi_backend/i_obi_read_r_hl/in_wave -add wave -noupdate -group {AXI Read IF} -label {AXI REQ} /tb_idma_obi_backend/axi_read_req -add wave -noupdate -group {AXI Read IF} -label {AXI RSP} /tb_idma_obi_backend/axi_read_rsp -add wave -noupdate -group {OBI Write IF} -label {OBI A} /tb_idma_obi_backend/i_obi_write_a_hl/in_wave -add wave -noupdate -group {OBI Write IF} -label {OBI R} /tb_idma_obi_backend/i_obi_write_r_hl/in_wave -add wave -noupdate -group {AXI Write IF} -label {AXI REQ} /tb_idma_obi_backend/axi_write_req -add wave -noupdate -group {AXI Write IF} -label {AXI RSP} /tb_idma_obi_backend/axi_write_rsp -add wave -noupdate -group {AXI IF} -label AW /tb_idma_obi_backend/i_aw_hl/in_wave -add wave -noupdate -group {AXI IF} -label AR /tb_idma_obi_backend/i_ar_hl/in_wave -add wave -noupdate -group {AXI IF} -label W /tb_idma_obi_backend/i_w_hl/in_wave -add wave -noupdate -group {AXI IF} -label R /tb_idma_obi_backend/i_r_hl/in_wave -add wave -noupdate -group {AXI IF} -label B /tb_idma_obi_backend/i_b_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA REQ} /tb_idma_obi_backend/i_req_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA RSP} -expand -subitemconfig {/tb_idma_obi_backend/i_rsp_hl/in_wave.pld -expand} /tb_idma_obi_backend/i_rsp_hl/in_wave -add wave -noupdate -group {iDMA IF} -label {iDMA EH} /tb_idma_obi_backend/i_eh_hl/in_wave -add wave -noupdate -group Busy -expand /tb_idma_obi_backend/i_idma_backend/busy_o -TreeUpdate [SetDefaultTree] -WaveRestoreCursors {{Cursor 1} {210998 ps} 0} -quietly wave cursor active 1 -configure wave -namecolwidth 150 -configure wave -valuecolwidth 427 -configure wave -justifyvalue left -configure wave -signalnamewidth 1 -configure wave -snapdistance 10 -configure wave -datasetprefix 0 -configure wave -rowmargin 4 -configure wave -childrowmargin 2 -configure wave -gridoffset 0 -configure wave -gridperiod 1 -configure wave -griddelta 40 -configure wave -timeline 0 -configure wave -timelineunits ns -update -WaveRestoreZoom {1121282 ps} {1235722 ps} diff --git a/src/backend/Bender.yml.tpl b/src/backend/Bender.yml.tpl new file mode 100644 index 00000000..6ebf24d1 --- /dev/null +++ b/src/backend/Bender.yml.tpl @@ -0,0 +1,28 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +package: + name: idma_backend + authors: + - "Tobias Senti " + +dependencies: + common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.31.1 } + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0 } + + tb_idma_backend: { path: "../../test" } + idma_pkg: { path: "../package" } + idma_future: { path: "../../src_future" } + +export_include_dirs: + - ../include + +sources: + # Source files grouped in levels. Files in level 0 have no dependencies on files in this + # package. Files in level 1 only depend on files in level 0, files in level 2 on files in + # levels 1 and 0, etc. Files within a level are ordered alphabetically. + + # Level 0 + - src/idma_dataflow_element.sv + - src/idma_error_handler.sv + - src/idma_channel_coupler.sv diff --git a/src/backend/database/idma_axi.yaml b/src/backend/database/idma_axi.yaml new file mode 100644 index 00000000..5f00e311 --- /dev/null +++ b/src/backend/database/idma_axi.yaml @@ -0,0 +1,220 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "axi" +protocol_enum: "AXI" +full_name: "AXI4+ATOP" +bursts: "split_at_page_boundary" +page_size: 4096 +max_beats_per_burst: 256 +read_meta_channel: "ar_chan" +write_meta_channel: "aw_chan" +read_meta_channel_width: "localparam int unsigned axi_ar_chan_width = axi_pkg::ar_width(AddrWidth, AxiIdWidth, UserWidth);" +write_meta_channel_width: "localparam int unsigned axi_aw_chan_width = axi_pkg::aw_width(AddrWidth, AxiIdWidth, UserWidth);" +typedefs: | + `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) + `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) + `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) + + `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) + `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) + + `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) + `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) +legalizer_read_meta_channel: | + r_req_o.ar_req.axi.ar_chan = '{ + id: opt_tf_q.axi_id, + addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + len: ((r_num_bytes + r_addr_offset - 'd1) >> OffsetWidth), + size: axi_pkg::size_t'(OffsetWidth), + burst: opt_tf_q.src_axi_opt.burst, + lock: opt_tf_q.src_axi_opt.lock, + cache: opt_tf_q.src_axi_opt.cache, + prot: opt_tf_q.src_axi_opt.prot, + qos: opt_tf_q.src_axi_opt.qos, + region: opt_tf_q.src_axi_opt.region, + user: '0 + }; +legalizer_write_meta_channel: | + w_req_o.aw_req.axi.aw_chan = '{ + id: opt_tf_q.axi_id, + addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + len: ((w_num_bytes + w_addr_offset - 'd1) >> OffsetWidth), + size: axi_pkg::size_t'(OffsetWidth), + burst: opt_tf_q.dst_axi_opt.burst, + lock: opt_tf_q.dst_axi_opt.lock, + cache: opt_tf_q.dst_axi_opt.cache, + prot: opt_tf_q.dst_axi_opt.prot, + qos: opt_tf_q.dst_axi_opt.qos, + region: opt_tf_q.dst_axi_opt.region, + user: '0, + atop: '0 + }; +legalizer_write_data_path: | + w_req_o.w_dp_req = '{ + dst_protocol: opt_tf_q.dst_protocol, + offset: w_addr_offset, + tailer: OffsetWidth'(w_num_bytes + w_addr_offset), + shift: opt_tf_q.write_shift, + num_beats: w_req_o.aw_req.axi.aw_chan.len, + is_single: w_req_o.aw_req.axi.aw_chan.len == '0 + }; +read_template: | + idma_axi_read #( + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .ar_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_axi_read ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .r_dp_req_i ( r_dp_req_i ), + .r_dp_valid_i ( ${r_dp_valid_i} ), + .r_dp_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_valid_o ( ${r_dp_valid_o} ), + .r_dp_ready_i ( ${r_dp_ready_i} ), + .ar_req_i ( ${read_meta_request} ), + .ar_valid_i ( ${read_meta_valid} ), + .ar_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +write_template: | + idma_axi_write #( + .StrbWidth ( StrbWidth ), + .MaskInvalidData ( MaskInvalidData ), + .byte_t ( byte_t ), + .data_t ( data_t ), + .strb_t ( strb_t ), + .w_dp_req_t ( w_dp_req_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .aw_chan_t ( write_meta_channel_t ), + .write_req_t ( ${req_t} ), + .write_rsp_t ( ${rsp_t} ) + ) i_idma_axi_write ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .w_dp_req_i ( w_dp_req_i ), + .w_dp_valid_i ( ${w_dp_valid_i} ), + .w_dp_ready_o ( ${w_dp_ready_o} ), + .dp_poison_i ( dp_poison_i ), + .w_dp_rsp_o ( ${w_dp_rsp_o} ), + .w_dp_valid_o ( ${w_dp_valid_o} ), + .w_dp_ready_i ( ${w_dp_ready_i} ), + .aw_req_i ( ${write_meta_request} ), + .aw_valid_i ( ${write_meta_valid} ), + .aw_ready_o ( ${write_meta_ready} ), + .write_req_o ( ${write_request} ), + .write_rsp_i ( ${write_response} ), + .buffer_out_i ( buffer_out_shifted ), + .buffer_out_valid_i ( buffer_out_valid_shifted ), + .buffer_out_ready_o ( ${buffer_out_ready} ) + ); +synth_wrapper_ports_write: | + output id_t axi_aw_id_o, + output addr_t axi_aw_addr_o, + output axi_pkg::len_t axi_aw_len_o, + output axi_pkg::size_t axi_aw_size_o, + output axi_pkg::burst_t axi_aw_burst_o, + output logic axi_aw_lock_o, + output axi_pkg::cache_t axi_aw_cache_o, + output axi_pkg::prot_t axi_aw_prot_o, + output axi_pkg::qos_t axi_aw_qos_o, + output axi_pkg::region_t axi_aw_region_o, + output axi_pkg::atop_t axi_aw_atop_o, + output user_t axi_aw_user_o, + output logic axi_aw_valid_o, + input logic axi_aw_ready_i, + output data_t axi_w_data_o, + output strb_t axi_w_strb_o, + output logic axi_w_last_o, + output user_t axi_w_user_o, + output logic axi_w_valid_o, + input logic axi_w_ready_i, + input id_t axi_b_id_i, + input axi_pkg::resp_t axi_b_resp_i, + input user_t axi_b_user_i, + input logic axi_b_valid_i, + output logic axi_b_ready_o, +synth_wrapper_ports_read: | + output id_t axi_ar_id_o, + output addr_t axi_ar_addr_o, + output axi_pkg::len_t axi_ar_len_o, + output axi_pkg::size_t axi_ar_size_o, + output axi_pkg::burst_t axi_ar_burst_o, + output logic axi_ar_lock_o, + output axi_pkg::cache_t axi_ar_cache_o, + output axi_pkg::prot_t axi_ar_prot_o, + output axi_pkg::qos_t axi_ar_qos_o, + output axi_pkg::region_t axi_ar_region_o, + output user_t axi_ar_user_o, + output logic axi_ar_valid_o, + input logic axi_ar_ready_i, + input id_t axi_r_id_i, + input data_t axi_r_data_i, + input axi_pkg::resp_t axi_r_resp_i, + input logic axi_r_last_i, + input user_t axi_r_user_i, + input logic axi_r_valid_i, + output logic axi_r_ready_o, +synth_wrapper_assign_write: | + assign axi_aw_id_o = axi_write_req.aw.id; + assign axi_aw_addr_o = axi_write_req.aw.addr; + assign axi_aw_len_o = axi_write_req.aw.len; + assign axi_aw_size_o = axi_write_req.aw.size; + assign axi_aw_burst_o = axi_write_req.aw.burst; + assign axi_aw_lock_o = axi_write_req.aw.lock; + assign axi_aw_cache_o = axi_write_req.aw.cache; + assign axi_aw_prot_o = axi_write_req.aw.prot; + assign axi_aw_qos_o = axi_write_req.aw.qos; + assign axi_aw_region_o = axi_write_req.aw.region; + assign axi_aw_atop_o = axi_write_req.aw.atop; + assign axi_aw_user_o = axi_write_req.aw.user; + assign axi_aw_valid_o = axi_write_req.aw_valid; + assign axi_w_data_o = axi_write_req.w.data; + assign axi_w_strb_o = axi_write_req.w.strb; + assign axi_w_last_o = axi_write_req.w.last; + assign axi_w_user_o = axi_write_req.w.user; + assign axi_w_valid_o = axi_write_req.w_valid; + assign axi_b_ready_o = axi_write_req.b_ready; + + assign axi_write_rsp.aw_ready = axi_aw_ready_i; + assign axi_write_rsp.w_ready = axi_w_ready_i; + assign axi_write_rsp.b.id = axi_b_id_i; + assign axi_write_rsp.b.resp = axi_b_resp_i; + assign axi_write_rsp.b.user = axi_b_user_i; + assign axi_write_rsp.b_valid = axi_b_valid_i; +synth_wrapper_assign_read: | + assign axi_ar_id_o = axi_read_req.ar.id; + assign axi_ar_addr_o = axi_read_req.ar.addr; + assign axi_ar_len_o = axi_read_req.ar.len; + assign axi_ar_size_o = axi_read_req.ar.size; + assign axi_ar_burst_o = axi_read_req.ar.burst; + assign axi_ar_lock_o = axi_read_req.ar.lock; + assign axi_ar_cache_o = axi_read_req.ar.cache; + assign axi_ar_prot_o = axi_read_req.ar.prot; + assign axi_ar_qos_o = axi_read_req.ar.qos; + assign axi_ar_region_o = axi_read_req.ar.region; + assign axi_ar_user_o = axi_read_req.ar.user; + assign axi_ar_valid_o = axi_read_req.ar_valid; + assign axi_r_ready_o = axi_read_req.r_ready; + + assign axi_read_rsp.ar_ready = axi_ar_ready_i; + assign axi_read_rsp.r.id = axi_r_id_i; + assign axi_read_rsp.r.data = axi_r_data_i; + assign axi_read_rsp.r.resp = axi_r_resp_i; + assign axi_read_rsp.r.last = axi_r_last_i; + assign axi_read_rsp.r.user = axi_r_user_i; + assign axi_read_rsp.r_valid = axi_r_valid_i; \ No newline at end of file diff --git a/src/backend/database/idma_axi_lite.yaml b/src/backend/database/idma_axi_lite.yaml new file mode 100644 index 00000000..2294705e --- /dev/null +++ b/src/backend/database/idma_axi_lite.yaml @@ -0,0 +1,151 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "axi_lite" +protocol_enum: "AXI_LITE" +full_name: "AXI-Lite" +bursts: "not_supported" +read_meta_channel: "ar_chan" +write_meta_channel: "aw_chan" +read_meta_channel_width: "localparam int unsigned axi_lite_ar_chan_width = $bits(axi_lite_ar_chan_t);" +write_meta_channel_width: "localparam int unsigned axi_lite_aw_chan_width = $bits(axi_lite_aw_chan_t);" +typedefs: | + `AXI_LITE_TYPEDEF_AW_CHAN_T(axi_lite_aw_chan_t, addr_t) + `AXI_LITE_TYPEDEF_W_CHAN_T(axi_lite_w_chan_t, data_t, strb_t) + `AXI_LITE_TYPEDEF_B_CHAN_T(axi_lite_b_chan_t) + + `AXI_LITE_TYPEDEF_AR_CHAN_T(axi_lite_ar_chan_t, addr_t) + `AXI_LITE_TYPEDEF_R_CHAN_T(axi_lite_r_chan_t, data_t) + + `AXI_LITE_TYPEDEF_REQ_T(axi_lite_req_t, axi_lite_aw_chan_t, axi_lite_w_chan_t, axi_lite_ar_chan_t) + `AXI_LITE_TYPEDEF_RESP_T(axi_lite_rsp_t, axi_lite_b_chan_t, axi_lite_r_chan_t) +bridge_template: | + axi_lite_to_axi #( + .AxiDataWidth ( DataWidth ), + .req_lite_t ( axi_lite_req_t ), + .resp_lite_t ( axi_lite_rsp_t ), + .axi_req_t ( axi_req_t ), + .axi_resp_t ( axi_rsp_t ) + ) i_axi_lite_to_axi_${port} ( + .slv_req_lite_i ( axi_lite_${port}_req ), + .slv_resp_lite_o ( axi_lite_${port}_rsp ), + .slv_aw_cache_i ( axi_pkg::CACHE_MODIFIABLE ), + .slv_ar_cache_i ( axi_pkg::CACHE_MODIFIABLE ), + .mst_req_o ( axi_lite_axi_${port}_req ), + .mst_resp_i ( axi_lite_axi_${port}_rsp ) + ); +legalizer_read_meta_channel: | + r_req_o.ar_req.axi_lite.ar_chan = '{ + addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + prot: opt_tf_q.src_axi_opt.prot + }; +legalizer_write_meta_channel: | + w_req_o.aw_req.axi_lite.aw_chan = '{ + addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + prot: opt_tf_q.dst_axi_opt.prot + }; +read_template: | + idma_axi_lite_read #( + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .ar_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_axi_lite_read ( + .r_dp_req_i ( r_dp_req_i ), + .r_dp_valid_i ( ${r_dp_valid_i} ), + .r_dp_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_valid_o ( ${r_dp_valid_o} ), + .r_dp_ready_i ( ${r_dp_ready_i} ), + .ar_req_i ( ${read_meta_request} ), + .ar_valid_i ( ${read_meta_valid} ), + .ar_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +write_template: | + idma_axi_lite_write #( + .StrbWidth ( StrbWidth ), + .MaskInvalidData ( MaskInvalidData ), + .byte_t ( byte_t ), + .data_t ( data_t ), + .strb_t ( strb_t ), + .w_dp_req_t ( w_dp_req_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .aw_chan_t ( write_meta_channel_t ), + .write_req_t ( ${req_t} ), + .write_rsp_t ( ${rsp_t} ) + ) i_idma_axi_lite_write ( + .w_dp_req_i ( w_dp_req_i ), + .w_dp_valid_i ( ${w_dp_valid_i} ), + .w_dp_ready_o ( ${w_dp_ready_o} ), + .dp_poison_i ( dp_poison_i ), + .w_dp_rsp_o ( ${w_dp_rsp_o} ), + .w_dp_valid_o ( ${w_dp_valid_o} ), + .w_dp_ready_i ( ${w_dp_ready_i} ), + .aw_req_i ( ${write_meta_request} ), + .aw_valid_i ( ${write_meta_valid} ), + .aw_ready_o ( ${write_meta_ready} ), + .write_req_o ( ${write_request} ), + .write_rsp_i ( ${write_response} ), + .buffer_out_i ( buffer_out_shifted ), + .buffer_out_valid_i ( buffer_out_valid_shifted ), + .buffer_out_ready_o ( ${buffer_out_ready} ) + ); +synth_wrapper_ports_write: | + output addr_t axi_lite_aw_addr_o, + output axi_pkg::prot_t axi_lite_aw_prot_o, + output logic axi_lite_aw_valid_o, + input logic axi_lite_aw_ready_i, + output data_t axi_lite_w_data_o, + output strb_t axi_lite_w_strb_o, + output logic axi_lite_w_valid_o, + input logic axi_lite_w_ready_i, + + input axi_pkg::resp_t axi_lite_b_resp_i, + input logic axi_lite_b_valid_i, + output logic axi_lite_b_ready_o, +synth_wrapper_ports_read: | + output addr_t axi_lite_ar_addr_o, + output axi_pkg::prot_t axi_lite_ar_prot_o, + output logic axi_lite_ar_valid_o, + input logic axi_lite_ar_ready_i, + + input data_t axi_lite_r_data_i, + input axi_pkg::resp_t axi_lite_r_resp_i, + input logic axi_lite_r_valid_i, + output logic axi_lite_r_ready_o, +synth_wrapper_assign_write: | + assign axi_lite_aw_addr_o = axi_lite_write_req.aw.addr; + assign axi_lite_aw_prot_o = axi_lite_write_req.aw.prot; + assign axi_lite_aw_valid_o = axi_lite_write_req.aw_valid; + assign axi_lite_w_data_o = axi_lite_write_req.w.data; + assign axi_lite_w_strb_o = axi_lite_write_req.w.strb; + assign axi_lite_w_valid_o = axi_lite_write_req.w_valid; + assign axi_lite_b_ready_o = axi_lite_write_req.b_ready; + + assign axi_lite_write_rsp.aw_ready = axi_lite_aw_ready_i; + assign axi_lite_write_rsp.w_ready = axi_lite_w_ready_i; + assign axi_lite_write_rsp.b.resp = axi_lite_b_resp_i; + assign axi_lite_write_rsp.b_valid = axi_lite_b_valid_i; +synth_wrapper_assign_read: | + assign axi_lite_ar_addr_o = axi_lite_read_req.ar.addr; + assign axi_lite_ar_prot_o = axi_lite_read_req.ar.prot; + assign axi_lite_ar_valid_o = axi_lite_read_req.ar_valid; + assign axi_lite_r_ready_o = axi_lite_read_req.r_ready; + + assign axi_lite_read_rsp.ar_ready = axi_lite_ar_ready_i; + assign axi_lite_read_rsp.r.data = axi_lite_r_data_i; + assign axi_lite_read_rsp.r.resp = axi_lite_r_resp_i; + assign axi_lite_read_rsp.r_valid = axi_lite_r_valid_i; \ No newline at end of file diff --git a/src/backend/database/idma_axi_stream.yaml b/src/backend/database/idma_axi_stream.yaml new file mode 100644 index 00000000..74bae9c4 --- /dev/null +++ b/src/backend/database/idma_axi_stream.yaml @@ -0,0 +1,318 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "axi_stream" +protocol_enum: "AXI_STREAM" +full_name: "AXI Stream" +bursts: "not_supported" +write_meta_channel: "t_chan" +read_meta_channel: "t_chan" +combined_aw_and_w: "true" +read_slave: "true" +meta_channel_width: "localparam int unsigned axi_stream_t_chan_width = $bits(axi_stream_t_chan_t);" +typedefs: | + `IDMA_AXI_STREAM_TYPEDEF_S_CHAN_T(axi_stream_t_chan_t, data_t, strb_t, strb_t, id_t, id_t, user_t) + + `IDMA_AXI_STREAM_TYPEDEF_REQ_T(axi_stream_req_t, axi_stream_t_chan_t) + `IDMA_AXI_STREAM_TYPEDEF_RSP_T(axi_stream_rsp_t) +read_bridge_template: | + // AXI Stream to OBI Read Bridge + obi_req_t axi_stream_obi_read_req; + obi_rsp_t axi_stream_obi_read_rsp; + + assign axi_stream_obi_read_req.a.we = 1'b0; + assign axi_stream_obi_read_req.a.wdata = '0; + assign axi_stream_obi_read_req.a.be = '1; + + assign axi_stream_obi_read_req.r_ready = axi_stream_read_req.tready; + assign axi_stream_read_rsp.tvalid = axi_stream_obi_read_rsp.r_valid; + always_comb begin + axi_stream_read_rsp.t = '0; + axi_stream_read_rsp.t.data = axi_stream_obi_read_rsp.r.rdata; + end + + int unsigned launched_axis_jobs; + + initial begin + launched_axis_jobs = 0; + forever begin + @(posedge clk); + if(req_valid && req_ready && (idma_req.opt.src_protocol == idma_pkg::AXI_STREAM)) + launched_axis_jobs++; + end + end + + initial begin + string job_file; + tb_dma_job_t jobs [$]; + tb_dma_job_t axis_jobs [$]; + tb_dma_job_t current_job; + addr_t address; + bit next_job; + + // Read Job File + void'($value$plusargs("job_file=%s", job_file)); + read_jobs(job_file, jobs); + + // Filter out AXI Stream Jobs + while(jobs.size() > 0) begin + current_job = jobs.pop_front(); + + if (!(current_job.src_protocol inside {\ + % for index, protocol in enumerate(used_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_read_protocols)-1: + ,\ + % endif + % endfor + })) begin + current_job.src_protocol = idma_pkg::${database[used_read_protocols[-1]]['protocol_enum']}; + end + + if(current_job.src_protocol == idma_pkg::AXI_STREAM) + axis_jobs.push_back(current_job); + end + + // Handle reads + while(axis_jobs.size() > 0) begin + current_job = axis_jobs.pop_front(); + address = { current_job.src_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }; + axi_stream_obi_read_req.a_req = 1'b0; + axi_stream_obi_read_req.a.aid = current_job.id; + + // Wait for launch of job + wait(launched_axis_jobs > 0); + launched_axis_jobs--; + while(address < (current_job.src_addr + current_job.length)) begin + axi_stream_obi_read_req.a.addr = address; + axi_stream_obi_read_req.a_req = 1'b1; + @(posedge clk); + if(axi_stream_obi_read_rsp.a_gnt && axi_stream_obi_read_req.a_req) begin + address += StrbWidth; + end + end + axi_stream_obi_read_req.a_req = 1'b0; + end + end + + // OBI to AXI Bridge + idma_obi2axi_bridge #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .IdWidth ( AxiIdWidth ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ) + ) i_axi_stream_obi2axi_bridge_read ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .obi_req_i ( axi_stream_obi_read_req ), + .obi_rsp_o ( axi_stream_obi_read_rsp ), + .axi_req_o ( axi_stream_axi_read_req ), + .axi_rsp_i ( axi_stream_axi_read_rsp ) + ); +write_bridge_template: | + // AXI Stream to OBI Write Bridge + obi_req_t axi_stream_obi_write_req; + obi_rsp_t axi_stream_obi_write_rsp; + + assign axi_stream_obi_write_req.a_req = axi_stream_write_req.tvalid; + assign axi_stream_obi_write_req.a.we = 1'b1; + assign axi_stream_obi_write_req.a.wdata = axi_stream_write_req.t.data; + assign axi_stream_obi_write_req.a.be = axi_stream_write_req.t.keep; + assign axi_stream_obi_write_req.a.aid = axi_stream_write_req.t.id; + assign axi_stream_obi_write_req.r_ready = 1'b1; + + assign axi_stream_write_rsp.tready = axi_stream_obi_write_rsp.a_gnt; + + initial begin + string job_file; + tb_dma_job_t jobs [$]; + tb_dma_job_t axis_jobs [$]; + tb_dma_job_t current_job; + addr_t address; + bit next_job; + + // Read Job File + void'($value$plusargs("job_file=%s", job_file)); + read_jobs(job_file, jobs); + + // Filter out AXI Stream Jobs + while(jobs.size() > 0) begin + current_job = jobs.pop_front(); + + if (!(current_job.dst_protocol inside {\ + % for index, protocol in enumerate(used_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_write_protocols)-1: + ,\ + % endif + % endfor + })) begin + current_job.dst_protocol = idma_pkg::${database[used_write_protocols[-1]]['protocol_enum']}; + end + + if(current_job.dst_protocol == idma_pkg::AXI_STREAM) + axis_jobs.push_back(current_job); + end + + + // Handle writes + while(axis_jobs.size() > 0) begin + current_job = axis_jobs.pop_front(); + address = { current_job.dst_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }; + axi_stream_obi_write_req.a.addr = address; + + // Wait for first write + wait(axi_stream_write_req.tvalid); + next_job = 1'b0; + while(!next_job) begin + @(posedge clk); + if(axi_stream_write_req.tvalid && axi_stream_write_rsp.tready) begin + next_job = axi_stream_write_req.t.last; + + // Increment address + address += StrbWidth; + axi_stream_obi_write_req.a.addr = address; + end + end + end + end + + // OBI to AXI Bridge + idma_obi2axi_bridge #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .IdWidth ( AxiIdWidth ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ) + ) i_axi_stream_obi2axi_bridge_write ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .obi_req_i ( axi_stream_obi_write_req ), + .obi_rsp_o ( axi_stream_obi_write_rsp ), + .axi_req_o ( axi_stream_axi_write_req ), + .axi_rsp_i ( axi_stream_axi_write_rsp ) + ); +legalizer_read_meta_channel: | + r_req_o.ar_req = '0; +legalizer_write_meta_channel: | + w_req_o.aw_req.axi_stream.t_chan = '{ + data: '0, + strb: '1, + keep: '0, + last: w_tf_q.length == w_num_bytes, + id: opt_tf_q.axi_id, + dest: w_tf_q.base_addr[$bits(w_req_o.aw_req.axi_stream.t_chan.dest)-1:0], + user: w_tf_q.base_addr[$bits(w_req_o.aw_req.axi_stream.t_chan.user)-1+:$bits(w_req_o.aw_req.axi_stream.t_chan.dest)] + }; +read_template: | + idma_axi_stream_read #( + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .read_meta_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_axi_stream_read ( + .r_dp_req_i ( r_dp_req_i ), + .r_dp_req_valid_i ( ${r_dp_valid_i} ), + .r_dp_req_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_rsp_valid_o ( ${r_dp_valid_o} ), + .r_dp_rsp_ready_i ( ${r_dp_ready_i} ), + .read_meta_req_i ( ${read_meta_request} ), + .read_meta_valid_i ( ${read_meta_valid} ), + .read_meta_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +write_template: | + idma_axi_stream_write #( + .StrbWidth ( StrbWidth ), + .MaskInvalidData ( MaskInvalidData ), + .byte_t ( byte_t ), + .data_t ( data_t ), + .strb_t ( strb_t ), + .w_dp_req_t ( w_dp_req_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), + .write_req_t ( ${req_t} ), + .write_rsp_t ( ${rsp_t} ) + ) i_idma_axi_stream_write ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .w_dp_req_i ( w_dp_req_i ), + .w_dp_req_valid_i ( ${w_dp_valid_i} ), + .w_dp_req_ready_o ( ${w_dp_ready_o} ), + .dp_poison_i ( dp_poison_i ), + .w_dp_rsp_o ( ${w_dp_rsp_o} ), + .w_dp_rsp_valid_o ( ${w_dp_valid_o} ), + .w_dp_rsp_ready_i ( ${w_dp_ready_i} ), + .aw_req_i ( ${write_meta_request} ), + .aw_valid_i ( ${write_meta_valid} ), + .aw_ready_o ( ${write_meta_ready} ), + .write_req_o ( ${write_request} ), + .write_rsp_i ( ${write_response} ), + .buffer_out_i ( buffer_out_shifted ), + .buffer_out_valid_i ( buffer_out_valid_shifted ), + .buffer_out_ready_o ( ${buffer_out_ready} ) + ); +synth_wrapper_ports_read: | + input data_t axi_stream_read_data_i, + input strb_t axi_stream_read_strb_i, + input strb_t axi_stream_read_keep_i, + input logic axi_stream_read_last_i, + input id_t axi_stream_read_id_i, + input id_t axi_stream_read_dest_i, + input user_t axi_stream_read_user_i, + input logic axi_stream_read_tvalid_i, + + output logic axi_stream_read_tready_o, +synth_wrapper_ports_write: | + output data_t axi_stream_write_data_o, + output strb_t axi_stream_write_strb_o, + output strb_t axi_stream_write_keep_o, + output logic axi_stream_write_last_o, + output id_t axi_stream_write_id_o, + output id_t axi_stream_write_dest_o, + output user_t axi_stream_write_user_o, + output logic axi_stream_write_tvalid_o, + + input logic axi_stream_write_tready_i, +synth_wrapper_assign_read: | + assign axi_stream_read_rsp.t.data = axi_stream_read_data_i; + assign axi_stream_read_rsp.t.strb = axi_stream_read_strb_i; + assign axi_stream_read_rsp.t.keep = axi_stream_read_keep_i; + assign axi_stream_read_rsp.t.last = axi_stream_read_last_i; + assign axi_stream_read_rsp.t.id = axi_stream_read_id_i; + assign axi_stream_read_rsp.t.dest = axi_stream_read_dest_i; + assign axi_stream_read_rsp.t.user = axi_stream_read_user_i; + assign axi_stream_read_rsp.tvalid = axi_stream_read_tvalid_i; + + assign axi_stream_read_tready_o = axi_stream_read_req.tready; +synth_wrapper_assign_write: | + assign axi_stream_write_data_o = axi_stream_write_req.t.data; + assign axi_stream_write_strb_o = axi_stream_write_req.t.strb; + assign axi_stream_write_keep_o = axi_stream_write_req.t.keep; + assign axi_stream_write_last_o = axi_stream_write_req.t.last; + assign axi_stream_write_id_o = axi_stream_write_req.t.id; + assign axi_stream_write_dest_o = axi_stream_write_req.t.dest; + assign axi_stream_write_user_o = axi_stream_write_req.t.user; + assign axi_stream_write_tvalid_o = axi_stream_write_req.tvalid; + + assign axi_stream_write_rsp.tready = axi_stream_write_tready_i; diff --git a/src/backend/database/idma_init.yaml b/src/backend/database/idma_init.yaml new file mode 100644 index 00000000..34028532 --- /dev/null +++ b/src/backend/database/idma_init.yaml @@ -0,0 +1,96 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "init" +protocol_enum: "INIT" +full_name: "Initialisation Protocol" +bursts: "not_supported" +read_meta_channel: "req_chan" +read_meta_channel_width: "localparam int unsigned init_req_chan_width = $bits(init_req_chan_t);" +typedefs: | + typedef struct packed { + logic [AddrWidth-1:0] cfg; + } init_req_chan_t; + + typedef struct packed { + init_req_chan_t req_chan; + logic req_valid; + logic rsp_ready; + } init_req_t; + + typedef struct packed { + logic [DataWidth-1:0] init_value; + } init_rsp_chan_t; + + typedef struct packed { + init_rsp_chan_t rsp_chan; + logic rsp_valid; + logic req_ready; + } init_rsp_t; +read_bridge_template: | + spill_register #( + .T ( logic ), + .Bypass ( 1'b0 ) + ) i_init_read_bridge ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .valid_i ( init_read_req.req_valid ), + .ready_o ( init_read_rsp.req_ready ), + .data_i ( 1'b0 ), + .valid_o ( init_read_rsp.rsp_valid ), + .ready_i ( init_read_req.rsp_ready ), + .data_o ( /* NOT CONNECTED */ ) + ); + + assign init_read_rsp.rsp_chan.init_value = {StrbWidth{8'h42}}; + assign init_axi_read_req = '0; +legalizer_read_meta_channel: | + r_req_o.ar_req.init.req_chan = '{ + cfg: r_tf_q.base_addr + }; +read_template: | + idma_init_read #( + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .read_meta_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_init_read ( + .r_dp_req_i ( r_dp_req_i ), + .r_dp_valid_i ( ${r_dp_valid_i} ), + .r_dp_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_valid_o ( ${r_dp_valid_o} ), + .r_dp_ready_i ( ${r_dp_ready_i} ), + .read_meta_req_i ( ${read_meta_request} ), + .read_meta_valid_i ( ${read_meta_valid} ), + .read_meta_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +synth_wrapper_ports_read: | + output logic init_read_req_valid_o, + output addr_t init_read_req_config_o, + input logic init_read_req_ready_i, + + input logic init_read_rsp_valid_i, + input data_t init_read_rsp_init_value_i, + output logic init_read_rsp_ready_o, +synth_wrapper_assign_read: | + assign init_read_req_valid_o = init_read_req.req_valid; + assign init_read_req_config_o = init_read_req.req_chan.cfg; + assign init_read_rsp.req_ready = init_read_req_ready_i; + + assign init_read_rsp.rsp_valid = init_read_rsp_valid_i; + assign init_read_rsp.rsp_chan.init_value = init_read_rsp_init_value_i; + assign init_read_rsp_ready_o = init_read_req.rsp_ready; diff --git a/src/backend/database/idma_obi.yaml b/src/backend/database/idma_obi.yaml new file mode 100644 index 00000000..8c9f4aa2 --- /dev/null +++ b/src/backend/database/idma_obi.yaml @@ -0,0 +1,158 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "obi" +protocol_enum: "OBI" +full_name: "OBI" +bursts: "not_supported" +read_meta_channel: "a_chan" +write_meta_channel: "a_chan" +combined_aw_and_w: "true" +meta_channel_width: "localparam int unsigned obi_a_chan_width = $bits(obi_a_chan_t);" +typedefs: | + `IDMA_OBI_TYPEDEF_A_CHAN_T(obi_a_chan_t, addr_t, data_t, strb_t, id_t) + `IDMA_OBI_TYPEDEF_R_CHAN_T(obi_r_chan_t, data_t, id_t) + + `IDMA_OBI_TYPEDEF_REQ_T(obi_req_t, obi_a_chan_t) + `IDMA_OBI_TYPEDEF_RESP_T(obi_rsp_t, obi_r_chan_t) +bridge_template: | + idma_obi2axi_bridge #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .IdWidth ( AxiIdWidth ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ) + ) i_obi2axi_bridge_${port} ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .obi_req_i ( obi_${port}_req ), + .obi_rsp_o ( obi_${port}_rsp ), + .axi_req_o ( obi_axi_${port}_req ), + .axi_rsp_i ( obi_axi_${port}_rsp ) + ); +legalizer_read_meta_channel: | + r_req_o.ar_req.obi.a_chan = '{ + addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + be: '1, + we: 1'b0, + wdata: '0, + aid: opt_tf_q.axi_id + }; +legalizer_write_meta_channel: | + w_req_o.aw_req.obi.a_chan = '{ + addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + be: '0, + we: 1, + wdata: '0, + aid: opt_tf_q.axi_id + }; +read_template: | + idma_obi_read #( + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .read_meta_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_obi_read ( + .r_dp_req_i ( r_dp_req_i ), + .r_dp_valid_i ( ${r_dp_valid_i} ), + .r_dp_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_valid_o ( ${r_dp_valid_o} ), + .r_dp_ready_i ( ${r_dp_ready_i} ), + .read_meta_req_i ( ${read_meta_request} ), + .read_meta_valid_i ( ${read_meta_valid} ), + .read_meta_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +write_template: | + idma_obi_write #( + .StrbWidth ( StrbWidth ), + .MaskInvalidData ( MaskInvalidData ), + .byte_t ( byte_t ), + .data_t ( data_t ), + .strb_t ( strb_t ), + .w_dp_req_t ( w_dp_req_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), + .write_req_t ( ${req_t} ), + .write_rsp_t ( ${rsp_t} ) + ) i_idma_obi_write ( + .w_dp_req_i ( w_dp_req_i ), + .w_dp_valid_i ( ${w_dp_valid_i} ), + .w_dp_ready_o ( ${w_dp_ready_o} ), + .dp_poison_i ( dp_poison_i ), + .w_dp_rsp_o ( ${w_dp_rsp_o} ), + .w_dp_valid_o ( ${w_dp_valid_o} ), + .w_dp_ready_i ( ${w_dp_ready_i} ), + .aw_req_i ( ${write_meta_request} ), + .aw_valid_i ( ${write_meta_valid} ), + .aw_ready_o ( ${write_meta_ready} ), + .write_req_o ( ${write_request} ), + .write_rsp_i ( ${write_response} ), + .buffer_out_i ( buffer_out_shifted ), + .buffer_out_valid_i ( buffer_out_valid_shifted ), + .buffer_out_ready_o ( ${buffer_out_ready} ) + ); +synth_wrapper_ports_write: | + output logic obi_write_req_a_req_o, + output addr_t obi_write_req_a_addr_o, + output logic obi_write_req_a_we_o, + output strb_t obi_write_req_a_be_o, + output data_t obi_write_req_a_wdata_o, + output id_t obi_write_req_a_aid_o, + output logic obi_write_req_r_ready_o, + + input logic obi_write_rsp_a_gnt_i, + input logic obi_write_rsp_r_valid_i, + input data_t obi_write_rsp_r_rdata_i, +synth_wrapper_ports_read: | + output logic obi_read_req_a_req_o, + output addr_t obi_read_req_a_addr_o, + output logic obi_read_req_a_we_o, + output strb_t obi_read_req_a_be_o, + output data_t obi_read_req_a_wdata_o, + output logic obi_read_req_r_ready_o, + + input logic obi_read_rsp_a_gnt_i, + input logic obi_read_rsp_r_valid_i, + input data_t obi_read_rsp_r_rdata_i, + input id_t obi_read_rsp_r_rid_i, +synth_wrapper_assign_write: | + assign obi_write_req_a_req_o = obi_write_req.a_req; + assign obi_write_req_a_addr_o = obi_write_req.a.addr; + assign obi_write_req_a_we_o = obi_write_req.a.we; + assign obi_write_req_a_be_o = obi_write_req.a.be; + assign obi_write_req_a_wdata_o = obi_write_req.a.wdata; + assign obi_write_req_a_aid_o = obi_write_req.a.aid; + assign obi_write_req_r_ready_o = obi_write_req.r_ready; + + assign obi_write_rsp.a_gnt = obi_write_rsp_a_gnt_i; + assign obi_write_rsp.r_valid = obi_write_rsp_r_valid_i; + assign obi_write_rsp.r.rdata = obi_write_rsp_r_rdata_i; +synth_wrapper_assign_read: | + assign obi_read_req_a_req_o = obi_read_req.a_req; + assign obi_read_req_a_addr_o = obi_read_req.a.addr; + assign obi_read_req_a_we_o = obi_read_req.a.we; + assign obi_read_req_a_be_o = obi_read_req.a.be; + assign obi_read_req_a_wdata_o = obi_read_req.a.wdata; + assign obi_read_req_r_ready_o = obi_read_req.r_ready; + + assign obi_read_rsp.a_gnt = obi_read_rsp_a_gnt_i; + assign obi_read_rsp.r_valid = obi_read_rsp_r_valid_i; + assign obi_read_rsp.r.rdata = obi_read_rsp_r_rdata_i; + assign obi_read_rsp.r.rid = obi_read_rsp_r_rid_i; diff --git a/src/backend/database/idma_tilelink.yaml b/src/backend/database/idma_tilelink.yaml new file mode 100644 index 00000000..99f01316 --- /dev/null +++ b/src/backend/database/idma_tilelink.yaml @@ -0,0 +1,239 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +# +# Tobias Senti +prefix: "tilelink" +protocol_enum: "TILELINK" +full_name: "TileLink-UH" +bursts: "only_pow2" +page_size: 2048 # limited by TLToAXI4 Bridge -> To be AXI compliant -> Less than 256 beats +tltoaxi4_compatibility_mode: "true" # If this is true burst will never cross a page boundary and only 32 beat write bursts -> Needed for TLToAXI4 Bridge +legalizer_force_decouple: "true" # Forces the legalizer to decouple +read_meta_channel: "a_chan" +write_meta_channel: "a_chan" +meta_channel_width: "localparam int unsigned tilelink_a_chan_width = $bits(tilelink_a_chan_t);" +combined_aw_and_w: "true" +# logic[3:0] is the size field, is 4 bit as we're limited by the TLToAXI4 Bridge +typedefs: | + `IDMA_TILELINK_TYPEDEF_A_CHAN_T(tilelink_a_chan_t, addr_t, data_t, strb_t, logic[3:0], logic[4:0]) + `IDMA_TILELINK_TYPEDEF_D_CHAN_T(tilelink_d_chan_t, data_t, logic[3:0], logic[4:0], logic) + + `IDMA_TILELINK_TYPEDEF_REQ_T(tilelink_req_t, tilelink_a_chan_t) + `IDMA_TILELINK_TYPEDEF_RSP_T(tilelink_rsp_t, tilelink_d_chan_t) +bridge_template: | + idma_tilelink2axi_bridge #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .IdWidth ( AxiIdWidth ), + .tilelink_req_t ( tilelink_req_t ), + .tilelink_rsp_t ( tilelink_rsp_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ) + ) i_tilelink_to_axi_${port} ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .tilelink_req_i ( tilelink_${port}_req ), + .tilelink_rsp_o ( tilelink_${port}_rsp ), + .axi_req_o ( tilelink_axi_${port}_req ), + .axi_rsp_i ( tilelink_axi_${port}_rsp ) + ); +legalizer_read_meta_channel: | + r_req_o.ar_req.tilelink.a_chan = '{ + opcode: 3'd4, + param: 3'd0, + size: '0, + source: opt_tf_q.axi_id, + address: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + mask: '1, + data: '0, + corrupt: 1'b0 + }; + + for (int i = 0; i <= PageAddrWidth; i++) begin + if ((1 << i) == r_num_bytes) begin + r_req_o.ar_req.tilelink.a_chan.size = i; + end + end +legalizer_write_meta_channel: | + w_req_o.aw_req.tilelink.a_chan = '{ + opcode: 3'd1, + param: 3'd0, + size: '0, + source: opt_tf_q.axi_id, + address: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, + mask: '0, + data: '0, + corrupt: 1'b0 + }; + + for (int i = 0; i < PageAddrWidth; i++) begin + if ((1 << i) == w_num_bytes) begin + w_req_o.aw_req.tilelink.a_chan.size = i; + end + end +legalizer_write_data_path: | + w_req_o.w_dp_req = '{ + dst_protocol: opt_tf_q.dst_protocol, + offset: w_addr_offset, + tailer: OffsetWidth'(w_num_bytes + w_addr_offset), + shift: opt_tf_q.write_shift, + num_beats: 'd0, + is_single: w_num_bytes <= StrbWidth + }; +read_template: | + idma_tilelink_read #( + .BurstLength ( ${database['tilelink']['page_size']} ), + .StrbWidth ( StrbWidth ), + .byte_t ( byte_t ), + .strb_t ( strb_t ), + .r_dp_req_t ( r_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .read_meta_chan_t ( read_meta_channel_t ), + .read_req_t ( ${req_t} ), + .read_rsp_t ( ${rsp_t} ) + ) i_idma_tilelink_read ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .r_dp_req_i ( r_dp_req_i ), + .r_dp_valid_i ( ${r_dp_valid_i} ), + .r_dp_ready_o ( ${r_dp_ready_o} ), + .r_dp_rsp_o ( ${r_dp_rsp_o} ), + .r_dp_valid_o ( ${r_dp_valid_o} ), + .r_dp_ready_i ( ${r_dp_ready_i} ), + .read_meta_req_i ( ${read_meta_request} ), + .read_meta_valid_i ( ${read_meta_valid} ), + .read_meta_ready_o ( ${read_meta_ready} ), + .read_req_o ( ${read_request} ), + .read_rsp_i ( ${read_response} ), + .r_chan_valid_o ( ${r_chan_valid} ), + .r_chan_ready_o ( ${r_chan_ready} ), + .buffer_in_o ( ${buffer_in} ), + .buffer_in_valid_o ( ${buffer_in_valid} ), + .buffer_in_ready_i ( buffer_in_ready ) + ); +write_template: | + idma_tilelink_write #( + .BurstLength ( \ + % if database['tilelink']['tltoaxi4_compatibility_mode'] == 'true': + 32 * StrbWidth ), + % else: + ${database['tilelink']['page_size']} ), + % endif + .StrbWidth ( StrbWidth ), + .MaskInvalidData ( MaskInvalidData ), + .byte_t ( byte_t ), + .data_t ( data_t ), + .strb_t ( strb_t ), + .w_dp_req_t ( w_dp_req_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .write_meta_chan_t ( write_meta_channel_t ), + .write_req_t ( ${req_t} ), + .write_rsp_t ( ${rsp_t} ) + ) i_idma_tilelink_write ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .w_dp_req_i ( w_dp_req_i ), + .w_dp_valid_i ( ${w_dp_valid_i} ), + .w_dp_ready_o ( ${w_dp_ready_o} ), + .dp_poison_i ( dp_poison_i ), + .w_dp_rsp_o ( ${w_dp_rsp_o} ), + .w_dp_valid_o ( ${w_dp_valid_o} ), + .w_dp_ready_i ( ${w_dp_ready_i} ), + .write_meta_req_i ( ${write_meta_request} ), + .write_meta_valid_i ( ${write_meta_valid} ), + .write_meta_ready_o ( ${write_meta_ready} ), + .write_req_o ( ${write_request} ), + .write_rsp_i ( ${write_response} ), + .buffer_out_i ( buffer_out_shifted ), + .buffer_out_valid_i ( buffer_out_valid_shifted ), + .buffer_out_ready_o ( ${buffer_out_ready} ) + ); +synth_wrapper_ports_write: | + output logic tilelink_write_req_a_valid_o, + output logic [2:0] tilelink_write_req_a_opcode_o, + output logic [2:0] tilelink_write_req_a_param_o, + output logic [3:0] tilelink_write_req_a_size_o, + output logic [4:0] tilelink_write_req_a_source_o, + output addr_t tilelink_write_req_a_address_o, + output strb_t tilelink_write_req_a_mask_o, + output data_t tilelink_write_req_a_data_o, + output logic tilelink_write_req_a_corrupt_o, + output logic tilelink_write_req_d_ready_o, + + input logic tilelink_write_rsp_a_ready_i, + input logic tilelink_write_rsp_d_valid_i, + input logic [2:0] tilelink_write_rsp_d_opcode_i, + input logic [1:0] tilelink_write_rsp_d_param_i, + input logic [3:0] tilelink_write_rsp_d_size_i, + input logic [4:0] tilelink_write_rsp_d_source_i, + input logic tilelink_write_rsp_d_sink_i, + input logic tilelink_write_rsp_d_denied_i, + input data_t tilelink_write_rsp_d_data_i, + input logic tilelink_write_rsp_d_corrupt_i, +synth_wrapper_ports_read: | + output logic tilelink_read_req_a_valid_o, + output logic [2:0] tilelink_read_req_a_opcode_o, + output logic [2:0] tilelink_read_req_a_param_o, + output logic [3:0] tilelink_read_req_a_size_o, + output logic [4:0] tilelink_read_req_a_source_o, + output addr_t tilelink_read_req_a_address_o, + output strb_t tilelink_read_req_a_mask_o, + output data_t tilelink_read_req_a_data_o, + output logic tilelink_read_req_a_corrupt_o, + output logic tilelink_read_req_d_ready_o, + + input logic tilelink_read_rsp_a_ready_i, + input logic tilelink_read_rsp_d_valid_i, + input logic [2:0] tilelink_read_rsp_d_opcode_i, + input logic [1:0] tilelink_read_rsp_d_param_i, + input logic [3:0] tilelink_read_rsp_d_size_i, + input logic [4:0] tilelink_read_rsp_d_source_i, + input logic tilelink_read_rsp_d_sink_i, + input logic tilelink_read_rsp_d_denied_i, + input data_t tilelink_read_rsp_d_data_i, + input logic tilelink_read_rsp_d_corrupt_i, +synth_wrapper_assign_write: | + assign tilelink_write_req_a_valid_o = tilelink_write_req.a_valid; + assign tilelink_write_req_a_opcode_o = tilelink_write_req.a.opcode; + assign tilelink_write_req_a_param_o = tilelink_write_req.a.param; + assign tilelink_write_req_a_size_o = tilelink_write_req.a.size; + assign tilelink_write_req_a_source_o = tilelink_write_req.a.source; + assign tilelink_write_req_a_address_o = tilelink_write_req.a.address; + assign tilelink_write_req_a_mask_o = tilelink_write_req.a.mask; + assign tilelink_write_req_a_data_o = tilelink_write_req.a.data; + assign tilelink_write_req_a_corrupt_o = tilelink_write_req.a.corrupt; + assign tilelink_write_req_d_ready_o = tilelink_write_req.d_ready; + + assign tilelink_write_rsp.a_ready = tilelink_write_rsp_a_ready_i; + assign tilelink_write_rsp.d_valid = tilelink_write_rsp_d_valid_i; + assign tilelink_write_rsp.d.opcode = tilelink_write_rsp_d_opcode_i; + assign tilelink_write_rsp.d.param = tilelink_write_rsp_d_param_i; + assign tilelink_write_rsp.d.size = tilelink_write_rsp_d_size_i; + assign tilelink_write_rsp.d.source = tilelink_write_rsp_d_source_i; + assign tilelink_write_rsp.d.sink = tilelink_write_rsp_d_sink_i; + assign tilelink_write_rsp.d.denied = tilelink_write_rsp_d_denied_i; + assign tilelink_write_rsp.d.data = tilelink_write_rsp_d_data_i; + assign tilelink_write_rsp.d.corrupt = tilelink_write_rsp_d_corrupt_i; +synth_wrapper_assign_read: | + assign tilelink_read_req_a_valid_o = tilelink_read_req.a_valid; + assign tilelink_read_req_a_opcode_o = tilelink_read_req.a.opcode; + assign tilelink_read_req_a_param_o = tilelink_read_req.a.param; + assign tilelink_read_req_a_size_o = tilelink_read_req.a.size; + assign tilelink_read_req_a_source_o = tilelink_read_req.a.source; + assign tilelink_read_req_a_address_o = tilelink_read_req.a.address; + assign tilelink_read_req_a_mask_o = tilelink_read_req.a.mask; + assign tilelink_read_req_a_data_o = tilelink_read_req.a.data; + assign tilelink_read_req_a_corrupt_o = tilelink_read_req.a.corrupt; + assign tilelink_read_req_d_ready_o = tilelink_read_req.d_ready; + + assign tilelink_read_rsp.a_ready = tilelink_read_rsp_a_ready_i; + assign tilelink_read_rsp.d_valid = tilelink_read_rsp_d_valid_i; + assign tilelink_read_rsp.d.opcode = tilelink_read_rsp_d_opcode_i; + assign tilelink_read_rsp.d.param = tilelink_read_rsp_d_param_i; + assign tilelink_read_rsp.d.size = tilelink_read_rsp_d_size_i; + assign tilelink_read_rsp.d.source = tilelink_read_rsp_d_source_i; + assign tilelink_read_rsp.d.sink = tilelink_read_rsp_d_sink_i; + assign tilelink_read_rsp.d.denied = tilelink_read_rsp_d_denied_i; + assign tilelink_read_rsp.d.data = tilelink_read_rsp_d_data_i; + assign tilelink_read_rsp.d.corrupt = tilelink_read_rsp_d_corrupt_i; diff --git a/src/backend/src/idma_backend.sv.tpl b/src/backend/src/idma_backend.sv.tpl new file mode 100644 index 00000000..5f2e52a1 --- /dev/null +++ b/src/backend/src/idma_backend.sv.tpl @@ -0,0 +1,907 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Thomas Benz +// Tobias Senti + +`include "axi/typedef.svh" +`include "idma/guard.svh" + +/// The iDMA backend implements an arbitrary 1D copy engine +module idma_backend${name_uniqueifier} #( + /// Should both data shifts be done before the dataflow element? + /// If this is enabled, then the data inserted into the dataflow element + /// will no longer be word aligned, but only a single shifter is needed + parameter bit CombinedShifter = 1'b0, + /// Data width + parameter int unsigned DataWidth = 32'd16, + /// Address width + parameter int unsigned AddrWidth = 32'd24, + /// AXI user width + parameter int unsigned UserWidth = 32'd1, + /// AXI ID width + parameter int unsigned AxiIdWidth = 32'd1, + /// Number of transaction that can be in-flight concurrently + parameter int unsigned NumAxInFlight = 32'd2, + /// The depth of the internal reorder buffer: + /// - '2': minimal possible configuration + /// - '3': efficiently handle misaligned transfers (recommended) + parameter int unsigned BufferDepth = 32'd2, + /// With of a transfer: max transfer size is `2**TFLenWidth` bytes + parameter int unsigned TFLenWidth = 32'd24, + /// The depth of the memory system the backend is attached to + parameter int unsigned MemSysDepth = 32'd0, + /// Should the `R`-`AW` coupling hardware be present? (recommended) + parameter bit RAWCouplingAvail = 1'b\ +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): +1, +% else: +0, +%endif + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + /// Should hardware legalization be present? (recommended) + /// If not, software legalization is required to ensure the transfers are + /// AXI4-conformal + parameter bit HardwareLegalizer = 1'b1, + /// Reject zero-length transfers + parameter bit RejectZeroTransfers = 1'b1, + /// Should the error handler be present? + parameter idma_pkg::error_cap_e ErrorCap = idma_pkg::NO_ERROR_HANDLING, + /// Print the info of the FIFO configuration + parameter bit PrintFifoInfo = 1'b0, + /// 1D iDMA request type + parameter type idma_req_t = logic, + /// iDMA response type + parameter type idma_rsp_t = logic, + /// Error Handler request type + parameter type idma_eh_req_t = logic, + /// iDMA busy signal + parameter type idma_busy_t = logic\ +% for protocol in used_protocols: +, + /// ${database[protocol]['full_name']} Request and Response channel type + % if database[protocol]['read_slave'] == 'true': + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + parameter type ${protocol}_read_req_t = logic, + parameter type ${protocol}_read_rsp_t = logic, + + parameter type ${protocol}_write_req_t = logic, + parameter type ${protocol}_write_rsp_t = logic\ + % elif protocol in used_read_protocols: + parameter type ${protocol}_read_req_t = logic, + parameter type ${protocol}_read_rsp_t = logic\ + % elif protocol in used_write_protocols: + parameter type ${protocol}_write_req_t = logic, + parameter type ${protocol}_write_rsp_t = logic\ + % endif + % else: + parameter type ${protocol}_req_t = logic, + parameter type ${protocol}_rsp_t = logic\ + % endif +% endfor +, + /// Address Write Channel type + parameter type write_meta_channel_t = logic, + /// Address Read Channel type + parameter type read_meta_channel_t = logic, + /// Strobe Width (do not override!) + parameter int unsigned StrbWidth = DataWidth / 8, + /// Offset Width (do not override!) + parameter int unsigned OffsetWidth = $clog2(StrbWidth) +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + /// Testmode in + input logic testmode_i, + + /// 1D iDMA request + input idma_req_t idma_req_i, + /// 1D iDMA request valid + input logic req_valid_i, + /// 1D iDMA request ready + output logic req_ready_o, + + /// iDMA response + output idma_rsp_t idma_rsp_o, + /// iDMA response valid + output logic rsp_valid_o, + /// iDMA response ready + input logic rsp_ready_i, + + /// Error handler request + input idma_eh_req_t idma_eh_req_i, + /// Error handler request valid + input logic eh_req_valid_i, + /// Error handler request ready + output logic eh_req_ready_o, +% for protocol in used_read_protocols: + + /// ${database[protocol]['full_name']} read request + output ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_read\ +% endif +_req_t ${protocol}_read_req_o, + /// ${database[protocol]['full_name']} read response + input ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_read\ +% endif +_rsp_t ${protocol}_read_rsp_i, +% endfor +% for protocol in used_write_protocols: + + /// ${database[protocol]['full_name']} write request + output ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_write\ +% endif +_req_t ${protocol}_write_req_o, + /// ${database[protocol]['full_name']} write response + input ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_write\ +% endif +_rsp_t ${protocol}_write_rsp_i, +% endfor + + /// iDMA busy flags + output idma_busy_t busy_o +); + + /// The localparam MetaFifoDepth holds the maximum number of transfers that can be + /// in-flight under any circumstances. + localparam int unsigned MetaFifoDepth = BufferDepth + NumAxInFlight + MemSysDepth; + + /// Address type + typedef logic [AddrWidth-1:0] addr_t; + /// DAta type + typedef logic [DataWidth-1:0] data_t; + /// Strobe type + typedef logic [StrbWidth-1:0] strb_t; + /// User type + typedef logic [UserWidth-1:0] user_t; + /// ID type + typedef logic [AxiIdWidth-1:0] id_t; + /// Offset type + typedef logic [OffsetWidth-1:0] offset_t; + /// Transfer length type + typedef logic [TFLenWidth-1:0] tf_len_t; + + /// The datapath read request type holds all the information required to configure the read + /// part of the datapath. The type consists of: + /// - `offset`: The bus offset of the read + /// - `trailer`: How many empty bytes are required to pad the transfer to a multiple of the + /// bus width. + /// - `shift`: The amount the data needs to be shifted + /// - `decouple_aw`: If the transfer has the AW decoupled from the R + /// - `is_single`: Is this transfer just one beat long? `(len == 0)` + typedef struct packed { + idma_pkg::protocol_e src_protocol; + offset_t offset; + offset_t tailer; + offset_t shift; + logic decouple_aw; + logic is_single; + } r_dp_req_t; + + /// The datapath read response type provides feedback from the read part of the datapath: + /// - `resp`: The response from the R channel of the AXI4 manager interface + /// - `last`: The last flag from the R channel of the AXI4 manager interface + /// - `first`: Is the current item first beat in the burst + typedef struct packed { + axi_pkg::resp_t resp; + logic last; + logic first; + } r_dp_rsp_t; + + /// The datapath write request type holds all the information required to configure the write + /// part of the datapath. The type consists of: + /// - `offset`: The bus offset of the write + /// - `trailer`: How many empty bytes are required to pad the transfer to a multiple of the + /// bus width. + /// - `shift`: The amount the data needs to be shifted + /// - `num_beats`: The number of beats this burst consist of + /// - `is_single`: Is this transfer just one beat long? `(len == 0)` + typedef struct packed { + idma_pkg::protocol_e dst_protocol; + offset_t offset; + offset_t tailer; + offset_t shift; + axi_pkg::len_t num_beats; + logic is_single; + } w_dp_req_t; + + /// The datapath write response type provides feedback from the write part of the datapath: + /// - `resp`: The response from the B channel of the AXI4 manager interface + /// - `user`: The user field from the B channel of the AXI4 manager interface + typedef struct packed { + axi_pkg::resp_t resp; + user_t user; + } w_dp_rsp_t; + + /// The iDMA read request bundles an `AR` type and a datapath read response type together. + typedef struct packed { + r_dp_req_t r_dp_req; + read_meta_channel_t ar_req; + } idma_r_req_t; +% if not one_read_port: + typedef struct packed { + idma_pkg::protocol_e src_protocol; + read_meta_channel_t ar_req; + } read_meta_channel_tagged_t; +% endif + + /// The iDMA write request bundles an `AW` type and a datapath write response type together. It + /// has an additional flags: + /// - `last`: indicating the current burst is the last one of the generic 1D transfer currently + /// being processed + /// - `midend_last`: The current transfer is marked by the controlling as last + /// - `decouple_aw`: indicates this is an R-AW decoupled transfer + typedef struct packed { + w_dp_req_t w_dp_req; + write_meta_channel_t aw_req; + logic last; + logic super_last; + logic decouple_aw; + } idma_w_req_t; +% if not one_write_port: + typedef struct packed { + idma_pkg::protocol_e dst_protocol; + write_meta_channel_t aw_req; + } write_meta_channel_tagged_t; +% endif + + /// The mutable transfer options type holds important information that is mutated by the + /// `legalizer` block. + typedef struct packed { + idma_pkg::protocol_e src_protocol; + idma_pkg::protocol_e dst_protocol; + offset_t read_shift; + offset_t write_shift; + logic decouple_rw; + logic decouple_aw; + logic [2:0] src_max_llen; + logic [2:0] dst_max_llen; + logic src_reduce_len; + logic dst_reduce_len; + id_t axi_id; + idma_pkg::axi_options_t src_axi_opt; + idma_pkg::axi_options_t dst_axi_opt; + logic super_last; + } idma_mut_tf_opt_t; + + /// The mutable transfer type holds important information that is mutated by the + /// `legalizer` block. + typedef struct packed { + tf_len_t length; + addr_t addr; + logic valid; + addr_t base_addr; + } idma_mut_tf_t; + + + // datapath busy indicates the datapath is actively working on a transfer. It is composed of + // the activity of the buffer as well as both the read and write machines + logic dp_busy; + // blanks invalid data + logic dp_poison; + + // read and write requests and their handshaking signals + idma_r_req_t r_req; + idma_w_req_t w_req; + logic r_valid, w_valid; + logic r_ready, w_ready; +% if not one_read_port: + read_meta_channel_tagged_t r_meta_req_tagged; +% endif +% if not one_write_port: + write_meta_channel_tagged_t w_meta_req_tagged; +%endif + + // It the current transfer the last burst in the 1D transfer? + logic w_last_burst; + logic w_last_ready; + + // Super last flag: The current transfer is indicated as the last one by the controlling + // unit; e.g. by a midend + logic w_super_last; + + // Datapath FIFO signals -> used to decouple legalizer and datapath + logic r_dp_req_in_ready, w_dp_req_in_ready; + logic r_dp_req_out_valid, w_dp_req_out_valid; + logic r_dp_req_out_ready, w_dp_req_out_ready; + r_dp_req_t r_dp_req_out; + w_dp_req_t w_dp_req_out; + + // datapah responses + r_dp_rsp_t r_dp_rsp; + w_dp_rsp_t w_dp_rsp; + logic r_dp_rsp_valid, w_dp_rsp_valid; + logic r_dp_rsp_ready, w_dp_rsp_ready; + + // Ax handshaking + logic ar_ready, ar_ready_dp; + logic aw_ready, aw_ready_dp; + logic aw_valid_dp, ar_valid_dp; + + // Ax request from R-AW coupler to datapath +% if one_write_port: + write_meta_channel_t aw_req_dp; +% else: + write_meta_channel_tagged_t aw_req_dp; +% endif + + // Ax request from the decoupling stage to the datapath +% if one_read_port: + read_meta_channel_t ar_req_dp; +% else: + read_meta_channel_tagged_t ar_req_dp; +% endif + + // flush and preemptively empty the legalizer + logic legalizer_flush, legalizer_kill; + + /// intermediate signals to reject zero length transfers + logic is_length_zero; + logic req_valid; + idma_rsp_t idma_rsp; + logic rsp_valid; + logic rsp_ready; + + // Respone Channel valid and ready -> needed for bursting + logic r_chan_valid; + logic r_chan_ready; + + //-------------------------------------- + // Reject Zero Length Transfers + //-------------------------------------- + if (RejectZeroTransfers) begin : gen_reject_zero_transfers + // is the current transfer length 0? + assign is_length_zero = idma_req_i.length == '0; + + // bypass valid as long as length is not zero, otherwise suppress it + assign req_valid = is_length_zero ? 1'b0 : req_valid_i; + + // modify response + always_comb begin : proc_modify_response_zero_length + // default: bypass + idma_rsp_o = idma_rsp; + rsp_ready = rsp_ready_i; + rsp_valid_o = rsp_valid; + + // a zero transfer happens + if (is_length_zero & req_valid_i & req_ready_o) begin + // block backend + rsp_ready = 1'b0; + // generate new response + rsp_valid_o = 1'b1; + idma_rsp_o = '0; + idma_rsp_o.error = 1'b1; + idma_rsp_o.pld.err_type = idma_pkg::BACKEND; + end + end + + // just bypass signals + end else begin : gen_bypass_zero_transfers + // bypass + assign req_valid = req_valid_i; + assign idma_rsp_o = idma_rsp; + assign rsp_ready = rsp_ready_i; + assign rsp_valid_o = rsp_valid; + end + + + //-------------------------------------- + // Legalization + //-------------------------------------- + if (HardwareLegalizer) begin : gen_hw_legalizer + // hardware legalizer is present + idma_legalizer${name_uniqueifier} #( + .CombinedShifter ( CombinedShifter ), + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .idma_req_t ( idma_req_t ), + .idma_r_req_t ( idma_r_req_t ), + .idma_w_req_t ( idma_w_req_t ), + .idma_mut_tf_t ( idma_mut_tf_t ), + .idma_mut_tf_opt_t ( idma_mut_tf_opt_t ) + ) i_idma_legalizer ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .req_i ( idma_req_i ), + .valid_i ( req_valid ), + .ready_o ( req_ready_o ), + .r_req_o ( r_req ), + .w_req_o ( w_req ), + .r_valid_o ( r_valid ), + .w_valid_o ( w_valid ), + .r_ready_i ( r_ready ), + .w_ready_i ( w_ready ), + .flush_i ( legalizer_flush ), + .kill_i ( legalizer_kill ), + .r_busy_o ( busy_o.r_leg_busy ), + .w_busy_o ( busy_o.w_leg_busy ) + ); + + end else begin : gen_no_hw_legalizer + // stream fork is used to synchronize the two decoupled channels without the need for a + // FIFO here. + stream_fork #( + .N_OUP ( 32'd2 ) + ) i_stream_fork ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .valid_i ( req_valid ), + .ready_o ( req_ready_o ), + .valid_o ( { r_valid, w_valid } ), + .ready_i ( { r_ready, w_ready } ) + ); + + // local signal holding the length -> explicitly only doing the computation once + axi_pkg::len_t len; + assign len = ((idma_req_i.length + idma_req_i.src_addr[OffsetWidth-1:0] - + 'd1) >> OffsetWidth); + + // assemble read datapath request + assign r_req.r_dp_req = '{ + offset: idma_req_i.src_addr[OffsetWidth-1:0], + tailer: OffsetWidth'(idma_req_i.length + idma_req_i.src_addr[OffsetWidth-1:0]), + shift: OffsetWidth'(idma_req_i.src_addr[OffsetWidth-1:0]), + decouple_aw: idma_req_i.opt.beo.decouple_aw + }; + + // assemble write datapath request + assign w_req.w_dp_req = '{ + offset: idma_req_i.dst_addr[OffsetWidth-1:0], + tailer: OffsetWidth'(idma_req_i.length + idma_req_i.dst_addr[OffsetWidth-1:0]), + shift: OffsetWidth'(- idma_req_i.dst_addr[OffsetWidth-1:0]), + num_beats: len, + is_single: len == '0 + }; + + // if the legalizer is bypassed; every burst is the last of the 1D transfer + assign w_req.last = 1'b1; + + // assign the last flag of the controlling unit + assign w_req.super_last = idma_req_i.opt.last; + + // bypass decouple signal + assign w_req.decouple_aw = idma_req_i.opt.beo.decouple_aw; + + // there is no unit to be busy + assign busy_o.r_leg_busy = 1'b0; + assign busy_o.w_leg_busy = 1'b0; + end + + // data path, meta channels, and last queues have to be ready for the legalizer to be ready + assign r_ready = r_dp_req_in_ready & ar_ready; + assign w_ready = w_dp_req_in_ready & aw_ready & w_last_ready; + + + //-------------------------------------- + // Error handler + //-------------------------------------- + if (ErrorCap == idma_pkg::ERROR_HANDLING) begin : gen_error_handler +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): + idma_error_handler #( + .MetaFifoDepth ( MetaFifoDepth ), + .PrintFifoInfo ( PrintFifoInfo ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_eh_req_t ), + .addr_t ( addr_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .w_dp_rsp_t ( w_dp_rsp_t ) + ) i_idma_error_handler ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .rsp_o ( idma_rsp ), + .rsp_valid_o ( rsp_valid ), + .rsp_ready_i ( rsp_ready ), + .req_valid_i ( req_valid ), + .req_ready_i ( req_ready_o ), + .eh_i ( idma_eh_req_i ), + .eh_valid_i ( eh_req_valid_i ), + .eh_ready_o ( eh_req_ready_o ), + .r_addr_i ( r_req.ar_req.axi.ar_chan.addr ), + .w_addr_i ( w_req.aw_req.axi.aw_chan.addr ), + .r_consume_i ( r_valid & r_ready ), + .w_consume_i ( w_valid & w_ready ), + .legalizer_flush_o ( legalizer_flush ), + .legalizer_kill_o ( legalizer_kill ), + .dp_busy_i ( dp_busy ), + .dp_poison_o ( dp_poison ), + .r_dp_rsp_i ( r_dp_rsp ), + .r_dp_valid_i ( r_dp_rsp_valid ), + .r_dp_ready_o ( r_dp_rsp_ready ), + .w_dp_rsp_i ( w_dp_rsp ), + .w_dp_valid_i ( w_dp_rsp_valid ), + .w_dp_ready_o ( w_dp_rsp_ready ), + .w_last_burst_i ( w_last_burst ), + .w_super_last_i ( w_super_last ), + .fsm_busy_o ( busy_o.eh_fsm_busy ), + .cnt_busy_o ( busy_o.eh_cnt_busy ) + ); +% else: + `IDMA_NONSYNTH_BLOCK( + initial begin + $fatal(1, "Error Handling only implemented for AXI to AXI DMA!"); + end + ) +% endif + end else if (ErrorCap == idma_pkg::NO_ERROR_HANDLING) begin : gen_no_error_handler + // bypass the signals, assign their neutral values + assign idma_rsp.error = 1'b0; + assign idma_rsp.pld = 1'b0; + assign idma_rsp.last = w_super_last; + assign rsp_valid = w_dp_rsp_valid & w_last_burst; + assign eh_req_ready_o = 1'b0; + assign legalizer_flush = 1'b0; + assign legalizer_kill = 1'b0; + assign dp_poison = 1'b0; + assign r_dp_rsp_ready = rsp_ready; + assign w_dp_rsp_ready = rsp_ready; + assign busy_o.eh_fsm_busy = 1'b0; + assign busy_o.eh_cnt_busy = 1'b0; + + end else begin : gen_param_error + `IDMA_NONSYNTH_BLOCK( + initial begin + $fatal(1, "Unexpected Error Capability"); + end + ) + end + + + //-------------------------------------- + // Datapath busy signal + //-------------------------------------- + assign dp_busy = busy_o.buffer_busy | + busy_o.r_dp_busy | + busy_o.w_dp_busy; + + + //-------------------------------------- + // Datapath decoupling + //-------------------------------------- + idma_stream_fifo #( + .Depth ( NumAxInFlight ), + .type_t ( r_dp_req_t ), + .PrintInfo ( PrintFifoInfo ) + ) i_r_dp_req ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .flush_i ( 1'b0 ), + .usage_o ( /* NOT CONNECTED */ ), + .data_i ( r_req.r_dp_req ), + .valid_i ( r_valid ), + .ready_o ( r_dp_req_in_ready ), + .data_o ( r_dp_req_out ), + .valid_o ( r_dp_req_out_valid ), + .ready_i ( r_dp_req_out_ready ) + ); + + idma_stream_fifo #( + .Depth ( NumAxInFlight ), + .type_t ( w_dp_req_t ), + .PrintInfo ( PrintFifoInfo ) + ) i_w_dp_req ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .flush_i ( 1'b0 ), + .usage_o ( /* NOT CONNECTED */ ), + .data_i ( w_req.w_dp_req ), + .valid_i ( w_valid ), + .ready_o ( w_dp_req_in_ready ), + .data_o ( w_dp_req_out ), + .valid_o ( w_dp_req_out_valid ), + .ready_i ( w_dp_req_out_ready ) + ); + + // Add fall-through register to allow the input to be ready if the output is not. This + // does not add a cycle of delay +% if not one_read_port: + assign r_meta_req_tagged = '{ + src_protocol: r_req.r_dp_req.src_protocol, + ar_req: r_req.ar_req + }; +% endif + + fall_through_register #( + .T (\ +% if one_read_port: + read_meta_channel_t\ +% else: + read_meta_channel_tagged_t\ +% endif + ) + ) i_ar_fall_through_register ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .clr_i ( 1'b0 ), + .valid_i ( r_valid ), + .ready_o ( ar_ready ), + .data_i (\ +% if one_read_port: + r_req.ar_req\ +% else: + r_meta_req_tagged\ +% endif + ), + .valid_o ( ar_valid_dp ), + .ready_i ( ar_ready_dp ), + .data_o ( ar_req_dp ) + ); + + + //-------------------------------------- + // Last flag store + //-------------------------------------- + idma_stream_fifo #( + .Depth ( MetaFifoDepth ), + .type_t ( logic [1:0] ), + .PrintInfo ( PrintFifoInfo ) + ) i_w_last ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .flush_i ( 1'b0 ), + .usage_o ( /* NOT CONNECTED */ ), + .data_i ( {w_req.super_last, w_req.last} ), + .valid_i ( w_valid & w_ready ), + .ready_o ( w_last_ready ), + .data_o ( {w_super_last, w_last_burst} ), + .valid_o ( /* NOT CONNECTED */ ), + .ready_i ( w_dp_rsp_valid & w_dp_rsp_ready ) + ); + + //-------------------------------------- + // Transport Layer / Datapath + //-------------------------------------- + idma_transport_layer${name_uniqueifier} #( + .NumAxInFlight ( NumAxInFlight ), + .DataWidth ( DataWidth ), + .BufferDepth ( BufferDepth ), + .MaskInvalidData ( MaskInvalidData ), + .PrintFifoInfo ( PrintFifoInfo ), + .r_dp_req_t ( r_dp_req_t ), + .w_dp_req_t ( w_dp_req_t ), + .r_dp_rsp_t ( r_dp_rsp_t ), + .w_dp_rsp_t ( w_dp_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), +% if not one_write_port: + .write_meta_channel_tagged_t ( write_meta_channel_tagged_t ), +% endif + .read_meta_channel_t ( read_meta_channel_t )\ +% if not one_read_port: +, + .read_meta_channel_tagged_t ( read_meta_channel_tagged_t )\ +% endif +% for protocol in used_protocols: +, + % if database[protocol]['read_slave'] == 'true': + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + .${protocol}_read_req_t ( ${protocol}_read_req_t ), + .${protocol}_read_rsp_t ( ${protocol}_read_rsp_t ), + .${protocol}_write_req_t ( ${protocol}_write_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_write_rsp_t )\ + % elif protocol in used_read_protocols: + .${protocol}_read_req_t ( ${protocol}_read_req_t ), + .${protocol}_read_rsp_t ( ${protocol}_read_rsp_t )\ + % else: + .${protocol}_write_req_t ( ${protocol}_write_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_write_rsp_t )\ + % endif + % else: + .${protocol}_req_t ( ${protocol}_req_t ), + .${protocol}_rsp_t ( ${protocol}_rsp_t )\ + % endif +% endfor + + ) i_idma_transport_layer ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i )\ +% for protocol in used_read_protocols: +, + .${protocol}_read_req_o ( ${protocol}_read_req_o ), + .${protocol}_read_rsp_i ( ${protocol}_read_rsp_i )\ +% endfor +% for protocol in used_write_protocols: +, + .${protocol}_write_req_o ( ${protocol}_write_req_o ), + .${protocol}_write_rsp_i ( ${protocol}_write_rsp_i )\ +% endfor +, + .r_dp_req_i ( r_dp_req_out ), + .r_dp_valid_i ( r_dp_req_out_valid ), + .r_dp_ready_o ( r_dp_req_out_ready ), + .r_dp_rsp_o ( r_dp_rsp ), + .r_dp_valid_o ( r_dp_rsp_valid ), + .r_dp_ready_i ( r_dp_rsp_ready ), + .w_dp_req_i ( w_dp_req_out ), + .w_dp_valid_i ( w_dp_req_out_valid ), + .w_dp_ready_o ( w_dp_req_out_ready ), + .w_dp_rsp_o ( w_dp_rsp ), + .w_dp_valid_o ( w_dp_rsp_valid ), + .w_dp_ready_i ( w_dp_rsp_ready ), + .ar_req_i ( ar_req_dp ), + .ar_valid_i ( ar_valid_dp ), + .ar_ready_o ( ar_ready_dp ), + .aw_req_i ( aw_req_dp ), + .aw_valid_i ( aw_valid_dp ), + .aw_ready_o ( aw_ready_dp ), + .dp_poison_i ( dp_poison ), + .r_dp_busy_o ( busy_o.r_dp_busy ), + .w_dp_busy_o ( busy_o.w_dp_busy ), + .buffer_busy_o ( busy_o.buffer_busy ), + .r_chan_ready_o ( r_chan_ready ), + .r_chan_valid_o ( r_chan_valid ) + ); + + //-------------------------------------- + // R-AW channel coupler + //-------------------------------------- +% if not one_write_port: + assign w_meta_req_tagged = '{ + dst_protocol: w_req.w_dp_req.dst_protocol, + aw_req: w_req.aw_req + }; +% endif + + if (RAWCouplingAvail) begin : gen_r_aw_coupler +% if one_read_port and one_write_port and (used_read_protocols[0] == used_write_protocols[0]): + // instantiate the channel coupler + idma_channel_coupler #( + .NumAxInFlight ( NumAxInFlight ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .AxiIdWidth ( AxiIdWidth ), + .PrintFifoInfo ( PrintFifoInfo ), + .axi_aw_chan_t (\ +% if one_write_port: + write_meta_channel_t ) +% else: + write_meta_channel_tagged_t ) +% endif + ) i_idma_channel_coupler ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .r_rsp_valid_i ( r_chan_valid ), + .r_rsp_ready_i ( r_chan_ready ), + .r_rsp_first_i ( r_dp_rsp.first ), + .r_decouple_aw_i ( r_dp_req_out.decouple_aw ), + .aw_decouple_aw_i ( \ +% if one_write_port: +w_req.decouple_aw\ +% else: +w_req.decouple_aw || (w_req.w_dp_req.dst_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_write_protocols)-1: +,\ + % endif + % endfor + })\ +% endif + ), + .aw_req_i (\ +% if one_write_port: + w_req.aw_req ), +% else: + w_meta_req_tagged ), +% endif + .aw_valid_i ( w_valid ), + .aw_ready_o ( aw_ready ), + .aw_req_o ( aw_req_dp ), + .aw_valid_o ( aw_valid_dp ), + .aw_ready_i ( aw_ready_dp ), + .busy_o ( busy_o.raw_coupler_busy ) + ); +% else: + `IDMA_NONSYNTH_BLOCK( + initial begin + $fatal(1, "Channel Coupler only implemented for multiprotocol DMA!"); + end + ) +% endif + end else begin : gen_r_aw_bypass +% if combined_aw_and_w: + // Atleast one write protocol uses combined aw and w -> Need to buffer read meta requests + // As a write could depend on up to two reads + idma_stream_fifo #( + .Depth ( 2 ), + .type_t (\ + % if one_write_port: + write_meta_channel_t ), + % else: + write_meta_channel_tagged_t ), + % endif + .PrintInfo ( PrintFifoInfo ) + ) i_aw_fifo ( + .clk_i, + .rst_ni, + .testmode_i, + .flush_i ( 1'b0 ), + .usage_o ( /* NOT CONNECTED */ ), + .data_i ( \ + % if one_write_port: + w_req.aw_req ), + % else: + w_meta_req_tagged ), + % endif + .valid_i ( w_valid && aw_ready ), + .ready_o ( aw_ready ), + .data_o ( aw_req_dp ), + .valid_o ( aw_valid_dp ), + .ready_i ( aw_ready_dp && aw_valid_dp ) + ); +% else: + // Add fall-through register to allow the input to be ready if the output is not. This + // does not add a cycle of delay + fall_through_register #( + .T (\ + % if one_write_port: + write_meta_channel_t ) + % else: + write_meta_channel_tagged_t ) + % endif + ) i_aw_fall_through_register ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .clr_i ( 1'b0 ), + .valid_i ( w_valid ), + .ready_o ( aw_ready ), + .data_i (\ + % if one_write_port: + w_req.aw_req ), + % else: + w_meta_req_tagged ), + % endif + .valid_o ( aw_valid_dp ), + .ready_i ( aw_ready_dp ), + .data_o ( aw_req_dp ) + ); +% endif + + // no unit: not busy + assign busy_o.raw_coupler_busy = 1'b0; + end + + + //-------------------------------------- + // Assertions + //-------------------------------------- + `IDMA_NONSYNTH_BLOCK( + initial begin : proc_assert_params + axi_addr_width : assert(AddrWidth >= 32'd12) else + $fatal(1, "Parameter `AddrWidth` has to be >= 12!"); + axi_id_width : assert(AxiIdWidth > 32'd0) else + $fatal(1, "Parameter `AxiIdWidth` has to be > 0!"); + axi_data_width : assert(DataWidth inside {32'd16, 32'd32, 32'd64, 32'd128, 32'd256, + 32'd512, 32'd1028}) else + $fatal(1, "Parameter `DataWidth` has to be at least 16 and inside the AXI4 spec!"); + axi_user_width : assert(UserWidth > 32'd0) else + $fatal(1, "Parameter `UserWidth` has to be > 0!"); + num_ax_in_flight : assert(NumAxInFlight > 32'd1) else + $fatal(1, "Parameter `NumAxInFlight` has to be > 1!"); + buffer_depth : assert(BufferDepth > 32'd1) else + $fatal(1, "Parameter `BufferDepth` has to be > 1!"); + tf_len_width : assert(TFLenWidth >= 32'd12) else + $fatal(1, "Parameter `BufferDepth` has to be >= 12!"); + tf_len_width_max : assert(TFLenWidth <= AddrWidth) else + $fatal(1, "Parameter `TFLenWidth` has to be <= `AddrWidth`!"); + end + ) + +endmodule : idma_backend${name_uniqueifier} diff --git a/src/backend/src/idma_backend_synth.sv.tpl b/src/backend/src/idma_backend_synth.sv.tpl new file mode 100644 index 00000000..0eb3d82b --- /dev/null +++ b/src/backend/src/idma_backend_synth.sv.tpl @@ -0,0 +1,406 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Thomas Benz +// Tobias Senti + +`include "axi/typedef.svh" +`include "idma/typedef.svh" + +/// Synthesis wrapper for the iDMA backend. Unpacks all the interfaces to simple logic vectors +module idma_backend_synth${name_uniqueifier} #( + /// Should both data shifts be done before the dataflow element? + /// If this is enabled, then the data inserted into the dataflow element + /// will no longer be word aligned, but only a single shifter is needed + parameter bit CombinedShifter = 1'b\ +% if combined_shifter: +1, +% else: +0, +% endif + /// Data width + parameter int unsigned DataWidth = 32'd32, + /// Address width + parameter int unsigned AddrWidth = 32'd32, + /// AXI user width + parameter int unsigned UserWidth = 32'd1, + /// AXI ID width + parameter int unsigned AxiIdWidth = 32'd1, + /// Number of transaction that can be in-flight concurrently + parameter int unsigned NumAxInFlight = 32'd3, + /// The depth of the internal reorder buffer: + /// - '2': minimal possible configuration + /// - '3': efficiently handle misaligned transfers (recommended) + parameter int unsigned BufferDepth = 32'd3, + /// With of a transfer: max transfer size is `2**TFLenWidth` bytes + parameter int unsigned TFLenWidth = 32'd32, + /// The depth of the memory system the backend is attached to + parameter int unsigned MemSysDepth = 32'd0, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + /// Should the `R`-`AW` coupling hardware be present? (recommended) + parameter bit RAWCouplingAvail = \ +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): +1, +% else: +0, +%endif + /// Should hardware legalization be present? (recommended) + /// If not, software legalization is required to ensure the transfers are + /// AXI4-conformal + parameter bit HardwareLegalizer = 1'b1, + /// Reject zero-length transfers + parameter bit RejectZeroTransfers = 1'b1, + /// Should the error handler be present? + parameter bit ErrorHandling = 1'b\ +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): +1, +% else: +0, +%endif + // Dependent parameters; do not override! + /// Strobe Width (do not override!) + parameter int unsigned StrbWidth = DataWidth / 8, + /// Offset Width (do not override!) + parameter int unsigned OffsetWidth = $clog2(StrbWidth), + /// Address type (do not override!) + parameter type addr_t = logic[AddrWidth-1:0], + /// Data type (do not override!) + parameter type data_t = logic[DataWidth-1:0], + /// Strobe type (do not override!) + parameter type strb_t = logic[StrbWidth-1:0], + /// User type (do not override!) + parameter type user_t = logic[UserWidth-1:0], + /// ID type (do not override!) + parameter type id_t = logic[AxiIdWidth-1:0], + /// Transfer length type (do not override!) + parameter type tf_len_t = logic[TFLenWidth-1:0], + /// Offset type (do not override!) + parameter type offset_t = logic[OffsetWidth-1:0] +)( + input logic clk_i, + input logic rst_ni, + input logic test_i, + + input logic req_valid_i, + output logic req_ready_o, + +% if not one_read_port: + input idma_pkg::protocol_e req_src_protocol_i, +% endif +% if not one_write_port: + input idma_pkg::protocol_e req_dst_protocol_i, +% endif + input tf_len_t req_length_i, + input addr_t req_src_addr_i, + input addr_t req_dst_addr_i, + input id_t req_axi_id_i, + input axi_pkg::burst_t req_src_burst_i, + input axi_pkg::cache_t req_src_cache_i, + input logic req_src_lock_i, + input axi_pkg::prot_t req_src_prot_i, + input axi_pkg::qos_t req_src_qos_i, + input axi_pkg::region_t req_src_region_i, + input axi_pkg::burst_t req_dst_burst_i, + input axi_pkg::cache_t req_dst_cache_i, + input logic req_dst_lock_i, + input axi_pkg::prot_t req_dst_prot_i, + input axi_pkg::qos_t req_dst_qos_i, + input axi_pkg::region_t req_dst_region_i, + input logic req_decouple_aw_i, + input logic req_decouple_rw_i, + input logic [2:0] req_src_max_llen_i, + input logic [2:0] req_dst_max_llen_i, + input logic req_src_reduce_len_i, + input logic req_dst_reduce_len_i, + input logic req_last_i, + + output logic rsp_valid_o, + input logic rsp_ready_i, + + output axi_pkg::resp_t rsp_cause_o, + output idma_pkg::err_type_t rsp_err_type_o, + output addr_t rsp_burst_addr_o, + output logic rsp_error_o, + output logic rsp_last_o, + + input logic eh_req_valid_i, + output logic eh_req_ready_o, + input idma_pkg::idma_eh_req_t eh_req_i, + +% for protocol in used_read_protocols: +${database[protocol]['synth_wrapper_ports_read']} + +% endfor +% for index, protocol in enumerate(used_write_protocols): +${database[protocol]['synth_wrapper_ports_write']} + +% endfor + output idma_pkg::idma_busy_t idma_busy_o +); + + /// Define the error handling capability + localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : + idma_pkg::NO_ERROR_HANDLING; + +% for protocol in used_protocols: + // ${database[protocol]['full_name']} typedefs +${database[protocol]['typedefs']} + +% endfor + // Meta Channel Widths +% for protocol in used_write_protocols: + % if 'write_meta_channel_width' in database[protocol]: + ${database[protocol]['write_meta_channel_width']} + % endif +% endfor +% for protocol in used_read_protocols: + % if 'read_meta_channel_width' in database[protocol]: + ${database[protocol]['read_meta_channel_width']} + % endif +% endfor +% for protocol in used_protocols: + % if 'meta_channel_width' in database[protocol]: + ${database[protocol]['meta_channel_width']} + % endif +% endfor + + /// Option struct: AXI4 id as well as AXI and backend options + /// - `last`: a flag can be set if this transfer is the last of a set of transfers + `IDMA_TYPEDEF_OPTIONS_T(options_t, id_t) + + /// 1D iDMA request type: + /// - `length`: the length of the transfer in bytes + /// - `*_addr`: the source / target byte addresses of the transfer + /// - `opt`: the options field + `IDMA_TYPEDEF_REQ_T(idma_req_t, tf_len_t, addr_t, options_t) + + /// 1D iDMA response payload: + /// - `cause`: the AXI response + /// - `err_type`: type of the error: read, write, internal, ... + /// - `burst_addr`: the burst address where the issue error occurred + `IDMA_TYPEDEF_ERR_PAYLOAD_T(err_payload_t, addr_t) + + /// 1D iDMA response type: + /// - `last`: the response of the request that was marked with the `opt.last` flag + /// - `error`: 1 if an error occurred + /// - `pld`: the error payload + `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) + +% if (not one_read_port) or (not one_write_port): + function int unsigned max_width(input int unsigned a, b); + return (a > b) ? a : b; + endfunction +% endif + +% if one_read_port: + typedef struct packed { + ${used_read_protocols[0]}_${database[used_read_protocols[0]]['read_meta_channel']}_t ${database[used_read_protocols[0]]['read_meta_channel']}; + } ${used_read_protocols[0]}_read_meta_channel_t; + + typedef struct packed { + ${used_read_protocols[0]}_read_meta_channel_t ${used_read_protocols[0]}; + } read_meta_channel_t; +% else: + % for protocol in used_read_protocols: + typedef struct packed { + ${protocol}_${database[protocol]['read_meta_channel']}_t ${database[protocol]['read_meta_channel']}; + logic[\ + % for index, p in enumerate(used_read_protocols): + % if index < len(used_read_protocols)-1: +max_width(${p}_${database[p]['read_meta_channel']}_width, \ + % else: +${p}_${database[p]['read_meta_channel']}_width\ + % endif + % endfor + % for i in range(0, len(used_read_protocols)-1): +)\ + % endfor +-${protocol}_${database[protocol]['read_meta_channel']}_width:0] padding; + } ${protocol}_read_${database[protocol]['read_meta_channel']}_padded_t; + + % endfor + typedef union packed { + % for protocol in used_read_protocols: + ${protocol}_read_${database[protocol]['read_meta_channel']}_padded_t ${protocol}; + % endfor + } read_meta_channel_t; +% endif + +% if one_write_port: + typedef struct packed { + ${used_write_protocols[0]}_${database[used_write_protocols[0]]['write_meta_channel']}_t ${database[used_write_protocols[0]]['write_meta_channel']}; + } ${used_write_protocols[0]}_write_meta_channel_t; + + typedef struct packed { + ${used_write_protocols[0]}_write_meta_channel_t ${used_write_protocols[0]}; + } write_meta_channel_t; +% else: + % for protocol in used_write_protocols: + typedef struct packed { + ${protocol}_${database[protocol]['write_meta_channel']}_t ${database[protocol]['write_meta_channel']}; + logic[\ + % for index, p in enumerate(used_write_protocols): + % if index < len(used_write_protocols)-1: +max_width(${p}_${database[p]['write_meta_channel']}_width, \ + % else: +${p}_${database[p]['write_meta_channel']}_width\ + % endif + % endfor + % for i in range(0, len(used_write_protocols)-1): +)\ + % endfor +-${protocol}_${database[protocol]['write_meta_channel']}_width:0] padding; + } ${protocol}_write_${database[protocol]['write_meta_channel']}_padded_t; + + % endfor + typedef union packed { + % for protocol in used_write_protocols: + ${protocol}_write_${database[protocol]['write_meta_channel']}_padded_t ${protocol}; + % endfor + } write_meta_channel_t; +% endif + + // local types +% for protocol in used_protocols: + // ${database[protocol]['full_name']} request and response + % if protocol in used_read_protocols: + % if database[protocol]['read_slave'] == 'true': + ${protocol}_rsp_t ${protocol}_read_req; + ${protocol}_req_t ${protocol}_read_rsp; + % else: + ${protocol}_req_t ${protocol}_read_req; + ${protocol}_rsp_t ${protocol}_read_rsp; + % endif + % endif + + % if protocol in used_write_protocols: + ${protocol}_req_t ${protocol}_write_req; + ${protocol}_rsp_t ${protocol}_write_rsp; + % endif + +% endfor + idma_req_t idma_req; + idma_rsp_t idma_rsp; + + idma_backend${name_uniqueifier} #( + .CombinedShifter ( CombinedShifter ), + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .AxiIdWidth ( AxiIdWidth ), + .UserWidth ( UserWidth ), + .TFLenWidth ( TFLenWidth ), + .MaskInvalidData ( MaskInvalidData ), + .BufferDepth ( BufferDepth ), + .NumAxInFlight ( NumAxInFlight ), + .MemSysDepth ( MemSysDepth ), + .RAWCouplingAvail ( RAWCouplingAvail ), + .HardwareLegalizer ( HardwareLegalizer ), + .RejectZeroTransfers ( RejectZeroTransfers ), + .ErrorCap ( ErrorCap ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), + .idma_busy_t ( idma_pkg::idma_busy_t )\ +% for protocol in used_protocols: +, + % if database[protocol]['read_slave'] == 'true': + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + .${protocol}_read_req_t ( ${protocol}_rsp_t ), + .${protocol}_read_rsp_t ( ${protocol}_req_t ), + .${protocol}_write_req_t ( ${protocol}_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_rsp_t )\ + % elif protocol in used_read_protocols: + .${protocol}_read_req_t ( ${protocol}_rsp_t ), + .${protocol}_read_rsp_t ( ${protocol}_req_t )\ + % else: + .${protocol}_write_req_t ( ${protocol}_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_rsp_t )\ + % endif + % else: + .${protocol}_req_t ( ${protocol}_req_t ), + .${protocol}_rsp_t ( ${protocol}_rsp_t )\ + % endif +% endfor +, + .write_meta_channel_t ( write_meta_channel_t ), + .read_meta_channel_t ( read_meta_channel_t ) + ) i_idma_backend ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( test_i ), + .idma_req_i ( idma_req ), + .req_valid_i ( req_valid_i ), + .req_ready_o ( req_ready_o ), + .idma_rsp_o ( idma_rsp ), + .rsp_valid_o ( rsp_valid_o ), + .rsp_ready_i ( rsp_ready_i ), + .idma_eh_req_i ( eh_req_i ), + .eh_req_valid_i ( eh_req_valid_i ), + .eh_req_ready_o ( eh_req_ready_o )\ +% for protocol in used_read_protocols: +, + .${protocol}_read_req_o ( ${protocol}_read_req ), + .${protocol}_read_rsp_i ( ${protocol}_read_rsp )\ +% endfor +% for protocol in used_write_protocols: +, + .${protocol}_write_req_o ( ${protocol}_write_req ), + .${protocol}_write_rsp_i ( ${protocol}_write_rsp )\ +% endfor +, + .busy_o ( idma_busy_o ) + ); + + // flatten structs + assign idma_req.dst_addr = req_dst_addr_i; + assign idma_req.src_addr = req_src_addr_i; + assign idma_req.length = req_length_i; +% if not one_read_port: + assign idma_req.opt.src_protocol = req_src_protocol_i; +% endif +% if not one_write_port: + assign idma_req.opt.dst_protocol = req_dst_protocol_i; +% endif + assign idma_req.opt.axi_id = req_axi_id_i; + assign idma_req.opt.dst.cache = req_dst_cache_i; + assign idma_req.opt.dst.burst = req_dst_burst_i; + assign idma_req.opt.dst.qos = req_dst_qos_i; + assign idma_req.opt.dst.lock = req_dst_lock_i; + assign idma_req.opt.dst.prot = req_dst_prot_i; + assign idma_req.opt.dst.region = req_dst_region_i; + assign idma_req.opt.src.cache = req_src_cache_i; + assign idma_req.opt.src.burst = req_src_burst_i; + assign idma_req.opt.src.qos = req_src_qos_i; + assign idma_req.opt.src.lock = req_src_lock_i; + assign idma_req.opt.src.prot = req_src_prot_i; + assign idma_req.opt.src.region = req_src_region_i; + assign idma_req.opt.beo.dst_reduce_len = req_dst_reduce_len_i; + assign idma_req.opt.beo.src_reduce_len = req_src_reduce_len_i; + assign idma_req.opt.beo.dst_max_llen = req_dst_max_llen_i; + assign idma_req.opt.beo.src_max_llen = req_src_max_llen_i; + assign idma_req.opt.beo.decouple_rw = req_decouple_rw_i; + assign idma_req.opt.beo.decouple_aw = req_decouple_aw_i; + assign idma_req.opt.last = req_last_i; + + assign rsp_cause_o = idma_rsp.pld.cause; + assign rsp_err_type_o = idma_rsp.pld.err_type; + assign rsp_burst_addr_o = idma_rsp.pld.burst_addr; + assign rsp_error_o = idma_rsp.error; + assign rsp_last_o = idma_rsp.last; + + +% for protocol in used_read_protocols: + // ${database[protocol]['full_name']} Read +${database[protocol]['synth_wrapper_assign_read']} + + +% endfor +% for protocol in used_write_protocols: + // ${database[protocol]['full_name']} Write +${database[protocol]['synth_wrapper_assign_write']} + + +% endfor +endmodule : idma_backend_synth${name_uniqueifier} diff --git a/src/idma_channel_coupler.sv b/src/backend/src/idma_channel_coupler.sv similarity index 94% rename from src/idma_channel_coupler.sv rename to src/backend/src/idma_channel_coupler.sv index b4a38269..811ac316 100644 --- a/src/idma_channel_coupler.sv +++ b/src/backend/src/idma_channel_coupler.sv @@ -70,13 +70,10 @@ module idma_channel_coupler #( /// ID type typedef logic [AxiIdWidth-1:0] id_t; - // AXI4+ATOP define macros for the AX channels -> recreate the type locally to increase - // compatibility with more versions of questasim - `AXI_TYPEDEF_AW_CHAN_T(aw_chan_t, addr_t, id_t, user_t) /// Combination of regular `AW` type and the decoupled field typedef struct packed { - aw_chan_t aw; + axi_aw_chan_t aw; logic decoupled; } aw_ext_t; @@ -123,7 +120,7 @@ module idma_channel_coupler #( assign aw_req_in.decoupled = aw_decouple_aw_i; // aw payload is just connected to fifo - assign aw_req_o = aw_req_out.aw; + assign aw_req_o = aw_req_out.aw; // use a credit counter to keep track of AWs to send always_comb begin : proc_credit_cnt @@ -132,7 +129,7 @@ module idma_channel_coupler #( aw_to_send_d = aw_to_send_q; // if we bypass the logic - aw_sent = aw_req_out.decoupled & aw_valid; + aw_sent = aw_req_out.decoupled & aw_valid; // first is asserted and aw is ready -> just send AW out // without changing the credit counter value diff --git a/src/idma_buffer.sv b/src/backend/src/idma_dataflow_element.sv similarity index 68% rename from src/idma_buffer.sv rename to src/backend/src/idma_dataflow_element.sv index c3eadeea..368c9471 100644 --- a/src/idma_buffer.sv +++ b/src/backend/src/idma_dataflow_element.sv @@ -2,10 +2,11 @@ // Solderpad Hardware License, Version 0.51, see LICENSE for details. // SPDX-License-Identifier: SHL-0.51 // -// Thomas Benz +// Thomas Benz +// Tobias Senti /// A byte-granular buffer holding data while it is copied. -module idma_buffer #( +module idma_dataflow_element #( /// The depth of the buffer parameter int unsigned BufferDepth = 32'd3, /// The width of the buffer in bytes @@ -30,9 +31,9 @@ module idma_buffer #( input strb_t ready_i ); - // buffer is implemented as an array of stream FIFOs + // buffer is implemented as an array of FIFOs for (genvar i = 0; i < StrbWidth; i++) begin : gen_fifo_buffer - idma_stream_fifo #( + idma_improved_fifo #( .type_t ( byte_t ), .Depth ( BufferDepth ), .PrintInfo ( PrintFifoInfo ) @@ -40,15 +41,14 @@ module idma_buffer #( .clk_i, .rst_ni, .testmode_i, - .flush_i ( 1'b0 ), - .usage_o ( /* NOT CONNECTED */ ), - .data_i ( data_i [i] ), - .valid_i ( valid_i [i] ), - .ready_o ( ready_o [i] ), - .data_o ( data_o [i] ), - .valid_o ( valid_o [i] ), - .ready_i ( ready_i [i] ) + .flush_i ( 1'b0 ), + .data_i ( data_i [i] ), + .valid_i ( valid_i [i] ), + .ready_o ( ready_o [i] ), + .data_o ( data_o [i] ), + .valid_o ( valid_o [i] ), + .ready_i ( ready_i [i] ) ); end : gen_fifo_buffer -endmodule : idma_buffer +endmodule : idma_dataflow_element diff --git a/src/idma_error_handler.sv b/src/backend/src/idma_error_handler.sv similarity index 91% rename from src/idma_error_handler.sv rename to src/backend/src/idma_error_handler.sv index 4cbe8880..62f75551 100644 --- a/src/idma_error_handler.sv +++ b/src/backend/src/idma_error_handler.sv @@ -5,6 +5,7 @@ // Thomas Benz `include "common_cells/registers.svh" +`include "common_cells/assertions.svh" `include "idma/guard.svh" /// Handles AXI read and write error on the manager interface. @@ -54,13 +55,13 @@ module idma_error_handler #( input logic req_ready_i, /// The current read address (burst address) injected into the datapath - input addr_t r_addr_i, + input addr_t r_addr_i, /// The address is consumed by the datapath - input logic r_consume_i, + input logic r_consume_i, /// The current write address (burst address) injected into the datapath - input addr_t w_addr_i, + input addr_t w_addr_i, /// The address is consumed by the datapath - input logic w_consume_i, + input logic w_consume_i, /// Invalidate the current burst transfer, stops emission of requests output logic legalizer_flush_o, @@ -229,9 +230,9 @@ module idma_error_handler #( // a proper write response (lowest priority) if (w_dp_rsp_i.resp == axi_pkg::RESP_OKAY & w_dp_valid_i & w_last_burst_i) begin - rsp_o = '0; - rsp_o.last = w_super_last_i; - rsp_valid_o = 1'b1; + rsp_o = '0; + rsp_o.last = w_super_last_i; + rsp_valid_o = 1'b1; //rb_out_ready = 1'b1; // pop buffer end @@ -249,9 +250,9 @@ module idma_error_handler #( r_dp_ready_o = 1'b0; // go to one of the wait states if (w_last_burst_i) begin - state_d = WAIT_LAST_W; + state_d = WAIT_LAST_W; end else begin - state_d = WAIT; + state_d = WAIT; end end @@ -278,8 +279,8 @@ module idma_error_handler #( if (eh_valid_i) begin // continue case (~error reporting) if (eh_i == idma_pkg::CONTINUE) begin - eh_ready_o = 1'b1; - state_d = IDLE; + eh_ready_o = 1'b1; + state_d = IDLE; end // abort if (eh_i == idma_pkg::ABORT) begin @@ -288,19 +289,17 @@ module idma_error_handler #( // - some transfers might complete properly so no flush allowed! // in this case just continue if (num_outst_q > 'd1) begin - eh_ready_o = 1'b1; - state_d = IDLE; + eh_ready_o = 1'b1; + state_d = IDLE; // we are aborting a long transfer (it is still in the legalizer and // therefore the only active transfer in the datapath) end else if (num_outst_q == 'd1) begin - eh_ready_o = 1'b1; - state_d = LEG_FLUSH; + eh_ready_o = 1'b1; + state_d = LEG_FLUSH; // the counter is 0 -> no transfer in the datapath. This is an impossible // state end else begin - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "No active transfer to handle!"); - ) + `ASSERT_NEVER(inactive_tf_wait, 1'b1, clk_i, !rst_ni) end end end @@ -313,7 +312,7 @@ module idma_error_handler #( WAIT_LAST_W : begin // continue case (~error reporting) if (eh_i == idma_pkg::CONTINUE) begin - eh_ready_o = 1'b1; + eh_ready_o = 1'b1; state_d = EMIT_EXTRA_RSP; end // abort @@ -323,19 +322,17 @@ module idma_error_handler #( // - some transfers might complete properly so no flush allowed! // in this case just continue if (num_outst_q > 'd1) begin - eh_ready_o = 1'b1; - state_d = EMIT_EXTRA_RSP; + eh_ready_o = 1'b1; + state_d = EMIT_EXTRA_RSP; // we are aborting a long transfer (it is still in the legalizer and // therefore the only active transfer in the datapath) end else if (num_outst_q == 'd1) begin - eh_ready_o = 1'b1; - state_d = LEG_FLUSH; + eh_ready_o = 1'b1; + state_d = LEG_FLUSH; // the counter is 0 -> no transfer in the datapath. This is an impossible // state end else begin - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "No active transfer to handle!"); - ) + `ASSERT_NEVER(inactive_tf_wait_last_w, 1'b1, clk_i, !rst_ni) end end end @@ -359,8 +356,8 @@ module idma_error_handler #( r_dp_ready_o = 1'b1; // once the datapath is idle return to idle if (!dp_busy_i) begin - state_d = EMIT_EXTRA_RSP; - legalizer_kill_o = 1'b1; + state_d = EMIT_EXTRA_RSP; + legalizer_kill_o = 1'b1; end end diff --git a/src/backend/src/idma_legalizer.sv.tpl b/src/backend/src/idma_legalizer.sv.tpl new file mode 100644 index 00000000..fcd6b004 --- /dev/null +++ b/src/backend/src/idma_legalizer.sv.tpl @@ -0,0 +1,669 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Thomas Benz +// Tobias Senti + +`include "common_cells/registers.svh" +`include "common_cells/assertions.svh" +`include "idma/guard.svh" + +/// Legalizes a generic 1D transfer according to the rules given by the +/// used protocol. +module idma_legalizer${name_uniqueifier} #( + /// Should both data shifts be done before the dataflow element? + /// If this is enabled, then the data inserted into the dataflow element + /// will no longer be word aligned, but only a single shifter is needed + parameter bit CombinedShifter = 1'b0, + /// Data width + parameter int unsigned DataWidth = 32'd16, + /// Address width + parameter int unsigned AddrWidth = 32'd24, + /// 1D iDMA request type: + /// - `length`: the length of the transfer in bytes + /// - `*_addr`: the source / target byte addresses of the transfer + /// - `opt`: the options field + parameter type idma_req_t = logic, + /// Read request type + parameter type idma_r_req_t = logic, + /// Write request type + parameter type idma_w_req_t = logic, + /// Mutable transfer type + parameter type idma_mut_tf_t = logic, + /// Mutable options type + parameter type idma_mut_tf_opt_t = logic +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// 1D request + input idma_req_t req_i, + /// 1D request valid + input logic valid_i, + /// 1D request ready + output logic ready_o, + + /// Read request; contains datapath and meta information + output idma_r_req_t r_req_o, + /// Read request valid + output logic r_valid_o, + /// Read request ready + input logic r_ready_i, + + /// Write request; contains datapath and meta information + output idma_w_req_t w_req_o, + /// Write request valid + output logic w_valid_o, + /// Write request ready + input logic w_ready_i, + + /// Invalidate the current burst transfer, stops emission of requests + input logic flush_i, + /// Kill the active 1D transfer; reload a new transfer + input logic kill_i, + + /// Read machine of the legalizer is busy + output logic r_busy_o, + /// Write machine of the legalizer is busy + output logic w_busy_o +); +% if len(used_protocols) != 1: + function int unsigned max_size(input int unsigned a, b); + return a > b ? a : b; + endfunction + +% endif + /// Stobe width + localparam int unsigned StrbWidth = DataWidth / 8; + /// Offset width + localparam int unsigned OffsetWidth = $clog2(StrbWidth); + /// The size of a page in byte + localparam int unsigned PageSize = \ +% if len(used_protocols) == 1: + % if database[used_protocols[0]]['bursts'] == 'not_supported': +StrbWidth; + % elif database[used_protocols[0]]['bursts'] == 'only_pow2': +${database[used_protocols[0]]['page_size']}; + % elif database[used_protocols[0]]['bursts'] == 'split_at_page_boundary': +${database[used_read_protocols[0]]['max_beats_per_burst']} * StrbWidth > ${database[used_protocols[0]]['page_size']}\ + ? ${database[used_protocols[0]]['page_size']} : ${database[used_read_protocols[0]]['max_beats_per_burst']} * StrbWidth; + % endif +% else: + % for index, p in enumerate(used_protocols): + % if index < len(used_protocols)-1: +max_size(\ + % if database[p]['bursts'] == 'not_supported': +StrbWidth\ + % elif database[p]['bursts'] == 'only_pow2': +${database[p]['page_size']}\ + % elif database[p]['bursts'] == 'split_at_page_boundary': +${database[p]['max_beats_per_burst']} * StrbWidth > ${database[p]['page_size']}\ + ? ${database[p]['page_size']} : ${database[p]['max_beats_per_burst']} * StrbWidth\ + % endif +, \ + % else: + % if database[p]['bursts'] == 'not_supported': +StrbWidth\ + % elif database[p]['bursts'] == 'only_pow2': +${database[p]['page_size']}\ + % elif database[p]['bursts'] == 'split_at_page_boundary': +${database[p]['max_beats_per_burst']} * StrbWidth > ${database[p]['page_size']}\ + ? ${database[p]['page_size']} : ${database[p]['max_beats_per_burst']} * StrbWidth\ + % endif + % endif + % endfor + % for i in range(0, len(used_protocols)-1): +)\ + % endfor +; +% endif + /// The width of page offset byte addresses + localparam int unsigned PageAddrWidth = $clog2(PageSize); + + /// Offset type + typedef logic [ OffsetWidth-1:0] offset_t; + /// Address type + typedef logic [ AddrWidth-1:0] addr_t; + /// Page address type + typedef logic [PageAddrWidth-1:0] page_addr_t; + /// Page length type + typedef logic [ PageAddrWidth:0] page_len_t; + + + // state: internally hold one transfer, this is mutated + idma_mut_tf_t r_tf_d, r_tf_q; + idma_mut_tf_t w_tf_d, w_tf_q; + idma_mut_tf_opt_t opt_tf_d, opt_tf_q; + + // enable signals for next mutable transfer storage + logic r_tf_ena; + logic w_tf_ena; + + // page boundaries +% if no_read_bursting or has_page_read_bursting: + page_len_t r_page_num_bytes_to_pb; +% endif +% for read_protocol in used_read_protocols: + % if database[read_protocol]['bursts'] == 'only_pow2': + page_len_t r_${database[read_protocol]['prefix']}_num_bytes_to_pb; + % endif +% endfor + page_len_t r_num_bytes_to_pb; +% if no_write_bursting or has_page_write_bursting: + page_len_t w_page_num_bytes_to_pb; +% endif +% for write_protocol in used_write_protocols: + % if database[write_protocol]['bursts'] == 'only_pow2': + page_len_t w_${database[write_protocol]['prefix']}_num_bytes_to_pb; + % endif +% endfor + page_len_t w_num_bytes_to_pb; + page_len_t c_num_bytes_to_pb; + + // read process + page_len_t r_num_bytes_possible; + page_len_t r_num_bytes; + offset_t r_addr_offset; + logic r_done; + + // write process + page_len_t w_num_bytes_possible; + page_len_t w_num_bytes; + offset_t w_addr_offset; + logic w_done; + + + //-------------------------------------- + // read boundary check + //-------------------------------------- +% if no_read_bursting or has_page_read_bursting: + idma_legalizer_page_splitter #( + .OffsetWidth ( OffsetWidth ), + .PageAddrWidth ( PageSize ), + .addr_t ( addr_t ), + .page_len_t ( page_len_t ), + .page_addr_t ( page_addr_t ) + ) i_read_page_splitter ( + % if no_read_bursting: + .not_bursting_i ( 1'b1 ), + % elif len(used_non_bursting_read_protocols) == 0: + .not_bursting_i ( 1'b0 ), + % else: + .not_bursting_i ( opt_tf_q.src_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_read_protocols)-1: +,\ + % endif + % endfor +} ), + % endif + + .reduce_len_i ( opt_tf_q.src_reduce_len ), + .max_llen_i ( opt_tf_q.src_max_llen ), + + .addr_i ( r_tf_q.addr ), + .num_bytes_to_pb_o ( r_page_num_bytes_to_pb ) + ); + +% endif +% for read_protocol in used_read_protocols: + % if database[read_protocol]['bursts'] == 'only_pow2': + idma_legalizer_pow2_splitter #( + .PageAddrWidth ( $clog2(${database[read_protocol]['page_size']}) ), + .OffsetWidth ( OffsetWidth ), + .addr_t ( addr_t ), + .len_t ( page_len_t ) + ) i_read_pow2_splitter ( + .addr_i ( r_tf_q.addr ), + .length_i ( \ + % if database[read_protocol]['tltoaxi4_compatibility_mode'] == "true": +|r_tf_q.length[$bits(r_tf_q.length)-1:PageAddrWidth] ? page_len_t'('d${database[read_protocol]['page_size']} - r_tf_q.addr[PageAddrWidth-1:0]) : r_tf_q.length[PageAddrWidth:0] ), + .length_larger_i ( 1'b0 ), + % else: +r_tf_q.length[PageAddrWidth:0] ), + .length_larger_i ( |r_tf_q.length[$bits(r_tf_q.length)-1:PageAddrWidth+1] ), + % endif + .bytes_to_transfer_o ( r_${database[read_protocol]['prefix']}_num_bytes_to_pb ) + ); + + % endif +% endfor +% if one_read_port: + % if has_pow2_read_bursting: + assign r_num_bytes_to_pb = r_${database[used_read_protocols[0]]['prefix']}_num_bytes_to_pb; + % else: + assign r_num_bytes_to_pb = r_page_num_bytes_to_pb; + % endif +% else: + always_comb begin : gen_read_num_bytes_to_pb_logic + case (opt_tf_q.src_protocol) + % for read_protocol in used_read_protocols: + idma_pkg::${database[read_protocol]['protocol_enum']}: \ + % if database[read_protocol]['bursts'] == 'only_pow2': +r_num_bytes_to_pb = r_${database[read_protocol]['prefix']}_num_bytes_to_pb; + % else: +r_num_bytes_to_pb = r_page_num_bytes_to_pb; + % endif + % endfor + default: r_num_bytes_to_pb = '0; + endcase + end +% endif + + //-------------------------------------- + // write boundary check + //-------------------------------------- +% if no_write_bursting or has_page_write_bursting: + idma_legalizer_page_splitter #( + .OffsetWidth ( OffsetWidth ), + .PageAddrWidth ( PageSize ), + .addr_t ( addr_t ), + .page_len_t ( page_len_t ), + .page_addr_t ( page_addr_t ) + ) i_write_page_splitter ( + % if no_write_bursting: + .not_bursting_i ( 1'b1 ), + % elif len(used_non_bursting_write_protocols) == 0: + .not_bursting_i ( 1'b0 ), + % else: + .not_bursting_i ( opt_tf_q.dst_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_write_protocols)-1: +,\ + % endif + % endfor +} ), + % endif + + .reduce_len_i ( opt_tf_q.dst_reduce_len ), + .max_llen_i ( opt_tf_q.dst_max_llen ), + + .addr_i ( w_tf_q.addr ), + .num_bytes_to_pb_o ( w_page_num_bytes_to_pb ) + ); + +% endif +% for write_protocol in used_write_protocols: + % if database[write_protocol]['bursts'] == 'only_pow2': + idma_legalizer_pow2_splitter #( + .PageAddrWidth ( \ +% if database[write_protocol]['tltoaxi4_compatibility_mode'] == "true": +$clog2((32 * StrbWidth) > ${database[write_protocol]['page_size']} ? ${database[write_protocol]['page_size']} : (32 * StrbWidth)) ), +% else: +$clog2(${database[write_protocol]['page_size']}) ), +% endif + .OffsetWidth ( OffsetWidth ), + .addr_t ( addr_t ), + .len_t ( page_len_t ) + ) i_write_pow2_splitter ( + .addr_i ( w_tf_q.addr ), + .length_i ( \ + % if database[write_protocol]['tltoaxi4_compatibility_mode'] == "true": +|w_tf_q.length[$bits(w_tf_q.length)-1:PageAddrWidth] ? page_len_t'('d${database[write_protocol]['page_size']} - w_tf_q.addr[PageAddrWidth-1:0]) : w_tf_q.length[PageAddrWidth:0] ), + .length_larger_i ( 1'b0 ), + % else: +w_tf_q.length[PageAddrWidth:0] ), + .length_larger_i ( |w_tf_q.length[$bits(w_tf_q.length)-1:PageAddrWidth+1] ), + % endif + .bytes_to_transfer_o ( w_${database[write_protocol]['prefix']}_num_bytes_to_pb ) + ); + + % endif +% endfor +% if one_write_port: + % if has_pow2_write_bursting: + assign w_num_bytes_to_pb = w_${database[used_write_protocols[0]]['prefix']}_num_bytes_to_pb; + % else: + assign w_num_bytes_to_pb = w_page_num_bytes_to_pb; + % endif +% else: + always_comb begin : gen_write_num_bytes_to_pb_logic + case (opt_tf_q.dst_protocol) + % for write_protocol in used_write_protocols: + idma_pkg::${database[write_protocol]['protocol_enum']}: \ + % if database[write_protocol]['bursts'] == 'only_pow2': +w_num_bytes_to_pb = w_${database[write_protocol]['prefix']}_num_bytes_to_pb; + % else: +w_num_bytes_to_pb = w_page_num_bytes_to_pb; + % endif + % endfor + default: w_num_bytes_to_pb = '0; + endcase + end +% endif + + //-------------------------------------- + // page boundary check + //-------------------------------------- + // how many transfers are remaining when concerning both r/w pages? + // take the boundary that is closer + assign c_num_bytes_to_pb = (r_num_bytes_to_pb > w_num_bytes_to_pb) ? + w_num_bytes_to_pb : r_num_bytes_to_pb; + + + //-------------------------------------- + // Synchronized R/W process + //-------------------------------------- + always_comb begin : proc_num_bytes_possible + // Default: Coupled + r_num_bytes_possible = c_num_bytes_to_pb; + w_num_bytes_possible = c_num_bytes_to_pb; + + if (opt_tf_q.decouple_rw\ + % if len(used_non_bursting_or_force_decouple_read_protocols) != 0: + + || (opt_tf_q.src_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_or_force_decouple_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_or_force_decouple_read_protocols)-1: +,\ + % endif + % endfor + })\ + % endif + % if len(used_non_bursting_or_force_decouple_write_protocols) != 0: + + || (opt_tf_q.dst_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_or_force_decouple_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_or_force_decouple_write_protocols)-1: +,\ + % endif + % endfor + })\ + % endif +) begin + r_num_bytes_possible = r_num_bytes_to_pb; + w_num_bytes_possible = w_num_bytes_to_pb; + end + end + + assign r_addr_offset = r_tf_q.addr[OffsetWidth-1:0]; + assign w_addr_offset = w_tf_q.addr[OffsetWidth-1:0]; + + // legalization process -> read and write is coupled together + always_comb begin : proc_read_write_transaction + + // default: keep state + r_tf_d = r_tf_q; + w_tf_d = w_tf_q; + opt_tf_d = opt_tf_q; + + // default: not done + r_done = 1'b0; + w_done = 1'b0; + + //-------------------------------------- + // Legalize read transaction + //-------------------------------------- + // more bytes remaining than we can read + if (r_tf_q.length > r_num_bytes_possible) begin + r_num_bytes = r_num_bytes_possible; + // calculate remainder + r_tf_d.length = r_tf_q.length - r_num_bytes_possible; + // next address + r_tf_d.addr = r_tf_q.addr + r_num_bytes; + + // remaining bytes fit in one burst + end else begin + r_num_bytes = r_tf_q.length[PageAddrWidth:0]; + // finished + r_tf_d.valid = 1'b0; + r_done = 1'b1; + end + + //-------------------------------------- + // Legalize write transaction + //-------------------------------------- + // more bytes remaining than we can write + if (w_tf_q.length > w_num_bytes_possible) begin + w_num_bytes = w_num_bytes_possible; + // calculate remainder + w_tf_d.length = w_tf_q.length - w_num_bytes_possible; + // next address + w_tf_d.addr = w_tf_q.addr + w_num_bytes; + + // remaining bytes fit in one burst + end else begin + w_num_bytes = w_tf_q.length[PageAddrWidth:0]; + // finished + w_tf_d.valid = 1'b0; + w_done = 1'b1; + end + + //-------------------------------------- + // Kill + //-------------------------------------- + if (kill_i) begin + // kill the current state + r_tf_d = '0; + w_tf_d = '0; + r_done = 1'b1; + w_done = 1'b1; + end + + //-------------------------------------- + // Refill + //-------------------------------------- + // new request is taken in if both r and w machines are ready. + if (ready_o & valid_i) begin + + // load all three mutable objects (source, destination, option) + // source or read + r_tf_d = '{ + length: req_i.length, + addr: req_i.src_addr, + valid: 1'b1, + base_addr: req_i.src_addr + }; + // destination or write + w_tf_d = '{ + length: req_i.length, + addr: req_i.dst_addr, + valid: 1'b1, + base_addr: req_i.dst_addr + }; + // options + opt_tf_d = '{ + src_protocol: req_i.opt.src_protocol, + dst_protocol: req_i.opt.dst_protocol, + read_shift: '0, + write_shift: '0, + decouple_rw: req_i.opt.beo.decouple_rw, + decouple_aw: req_i.opt.beo.decouple_aw, + src_max_llen: req_i.opt.beo.src_max_llen, + dst_max_llen: req_i.opt.beo.dst_max_llen, + src_reduce_len: req_i.opt.beo.src_reduce_len, + dst_reduce_len: req_i.opt.beo.dst_reduce_len, + axi_id: req_i.opt.axi_id, + src_axi_opt: req_i.opt.src, + dst_axi_opt: req_i.opt.dst, + super_last: req_i.opt.last + }; + // determine shift amount + if (CombinedShifter) begin + opt_tf_d.read_shift = req_i.src_addr[OffsetWidth-1:0] - req_i.dst_addr[OffsetWidth-1:0]; + opt_tf_d.write_shift = '0; + end else begin + opt_tf_d.read_shift = req_i.src_addr[OffsetWidth-1:0]; + opt_tf_d.write_shift = - req_i.dst_addr[OffsetWidth-1:0]; + end + end + end + + + //-------------------------------------- + // Connect outputs + //-------------------------------------- + + // Read meta channel +% if one_read_port: + always_comb begin +${database[used_read_protocols[0]]['legalizer_read_meta_channel']} + end +% else: + always_comb begin : gen_read_meta_channel + r_req_o.ar_req = '0; + case(opt_tf_q.src_protocol) + % for protocol in used_read_protocols: + idma_pkg::${database[protocol]['protocol_enum']}: begin +${database[protocol]['legalizer_read_meta_channel']} + end + % endfor + default: + r_req_o.ar_req = '0; + endcase + end +% endif + + // assign the signals needed to set-up the read data path + assign r_req_o.r_dp_req = '{ + src_protocol: opt_tf_q.src_protocol, + offset: r_addr_offset, + tailer: OffsetWidth'(r_num_bytes + r_addr_offset), + shift: opt_tf_q.read_shift, + decouple_aw: opt_tf_q.decouple_aw, + is_single: r_num_bytes <= StrbWidth + }; + + // Write meta channel and data path +% if one_write_port: + always_comb begin +${database[used_write_protocols[0]]['legalizer_write_meta_channel']} + % if 'legalizer_write_data_path' in database[used_write_protocols[0]]: +${database[used_write_protocols[0]]['legalizer_write_data_path']} + % else: + w_req_o.w_dp_req = '{ + dst_protocol: opt_tf_q.dst_protocol, + offset: w_addr_offset, + tailer: OffsetWidth'(w_num_bytes + w_addr_offset), + shift: opt_tf_q.write_shift, + num_beats: 'd0, + is_single: 1'b1 + }; + % endif + end +% else: + always_comb begin : gen_write_meta_channel + w_req_o.aw_req = '0; + case(opt_tf_q.dst_protocol) + % for protocol in used_write_protocols: + idma_pkg::${database[protocol]['protocol_enum']}: begin +${database[protocol]['legalizer_write_meta_channel']} + end + % endfor + default: + w_req_o.aw_req = '0; + endcase + end + + // assign the signals needed to set-up the write data path + always_comb begin : gen_write_data_path + case (opt_tf_q.dst_protocol) + % for protocol in used_write_protocols: + % if 'legalizer_write_data_path' in database[protocol]: + idma_pkg::${database[protocol]['protocol_enum']}: +${database[protocol]['legalizer_write_data_path']} + % endif + % endfor + default: + w_req_o.w_dp_req = '{ + dst_protocol: opt_tf_q.dst_protocol, + offset: w_addr_offset, + tailer: OffsetWidth'(w_num_bytes + w_addr_offset), + shift: opt_tf_q.write_shift, + num_beats: 'd0, + is_single: 1'b1 + }; + endcase + end + +% endif + + // last burst in generic 1D transfer? + assign w_req_o.last = w_done; + + // last burst indicated by midend + assign w_req_o.super_last = opt_tf_q.super_last; + + // assign aw decouple flag + assign w_req_o.decouple_aw = opt_tf_q.decouple_aw; + + // busy output + assign r_busy_o = r_tf_q.valid; + assign w_busy_o = w_tf_q.valid; + + + //-------------------------------------- + // Flow Control + //-------------------------------------- + // only advance to next state if: + // * rw_coupled: both machines advance + // * rw_decoupled: either machine advances + + always_comb begin : proc_legalizer_flow_control + if ( opt_tf_q.decouple_rw\ + % if len(used_non_bursting_or_force_decouple_read_protocols) != 0: + + || (opt_tf_q.src_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_or_force_decouple_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_or_force_decouple_read_protocols)-1: +,\ + % endif + % endfor + })\ + % endif + % if len(used_non_bursting_or_force_decouple_write_protocols) != 0: + + || (opt_tf_q.dst_protocol inside {\ + % for index, protocol in enumerate(used_non_bursting_or_force_decouple_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_non_bursting_or_force_decouple_write_protocols)-1: +,\ + % endif + % endfor + })\ + % endif +) begin + r_tf_ena = (r_ready_i & !flush_i) | kill_i; + w_tf_ena = (w_ready_i & !flush_i) | kill_i; + + r_valid_o = r_tf_q.valid & r_ready_i & !flush_i; + w_valid_o = w_tf_q.valid & w_ready_i & !flush_i; + end else begin + r_tf_ena = (r_ready_i & w_ready_i & !flush_i) | kill_i; + w_tf_ena = (r_ready_i & w_ready_i & !flush_i) | kill_i; + + r_valid_o = r_tf_q.valid & w_ready_i & r_ready_i & !flush_i; + w_valid_o = w_tf_q.valid & r_ready_i & w_ready_i & !flush_i; + end + end + + // load next idma request: if both machines are done! + assign ready_o = r_done & w_done & r_ready_i & w_ready_i & !flush_i; + + + //-------------------------------------- + // State + //-------------------------------------- + `FF (opt_tf_q, opt_tf_d, '0, clk_i, rst_ni) + `FFL(r_tf_q, r_tf_d, r_tf_ena, '0, clk_i, rst_ni) + `FFL(w_tf_q, w_tf_d, w_tf_ena, '0, clk_i, rst_ni) + + + //-------------------------------------- + // Assertions + //-------------------------------------- + // only support the decomposition of incremental bursts + `ASSERT_NEVER(OnlyIncrementalBurstsSRC, (ready_o & valid_i & + req_i.opt.src.burst != axi_pkg::BURST_INCR), clk_i, !rst_ni) + `ASSERT_NEVER(OnlyIncrementalBurstsDST, (ready_o & valid_i & + req_i.opt.dst.burst != axi_pkg::BURST_INCR), clk_i, !rst_ni) + +endmodule : idma_legalizer${name_uniqueifier} diff --git a/src/backend/src/idma_transport_layer.sv.tpl b/src/backend/src/idma_transport_layer.sv.tpl new file mode 100644 index 00000000..f19a373d --- /dev/null +++ b/src/backend/src/idma_transport_layer.sv.tpl @@ -0,0 +1,563 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "idma/guard.svh" +`include "common_cells/registers.svh" + +/// Implementing the transport layer in the iDMA backend. +module idma_transport_layer${name_uniqueifier} #( + /// Number of transaction that can be in-flight concurrently + parameter int unsigned NumAxInFlight = 32'd2, + /// Data width + parameter int unsigned DataWidth = 32'd16, + /// The depth of the internal reorder buffer: + /// - '2': minimal possible configuration + /// - '3': efficiently handle misaligned transfers (recommended) + parameter int unsigned BufferDepth = 32'd3, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + /// Print the info of the FIFO configuration + parameter bit PrintFifoInfo = 1'b0, + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// Write Meta channel type + parameter type write_meta_channel_t = logic, +% if not one_write_port: + parameter type write_meta_channel_tagged_t = logic, +% endif + /// Read Meta channel type + parameter type read_meta_channel_t = logic\ +% if not one_read_port: +, + parameter type read_meta_channel_tagged_t = logic\ +% endif +% for protocol in used_protocols: +, + /// ${database[protocol]['full_name']} Request and Response channel type + % if database[protocol]['read_slave'] == 'true': + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + parameter type ${protocol}_read_req_t = logic, + parameter type ${protocol}_read_rsp_t = logic, + + parameter type ${protocol}_write_req_t = logic, + parameter type ${protocol}_write_rsp_t = logic\ + % elif protocol in used_read_protocols: + parameter type ${protocol}_read_req_t = logic, + parameter type ${protocol}_read_rsp_t = logic\ + % elif protocol in used_write_protocols: + parameter type ${protocol}_write_req_t = logic, + parameter type ${protocol}_write_rsp_t = logic\ + % endif + % else: + parameter type ${protocol}_req_t = logic, + parameter type ${protocol}_rsp_t = logic\ + % endif +% endfor + +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + /// Testmode in + input logic testmode_i, +% for protocol in used_read_protocols: + + /// ${database[protocol]['full_name']} read request + output ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_read\ +% endif +_req_t ${protocol}_read_req_o, + /// ${database[protocol]['full_name']} read response + input ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_read\ +% endif +_rsp_t ${protocol}_read_rsp_i, +% endfor +% for protocol in used_write_protocols: + + /// ${database[protocol]['full_name']} write request + output ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_write\ +% endif +_req_t ${protocol}_write_req_o, + /// ${database[protocol]['full_name']} write response + input ${protocol}\ +% if database[protocol]['read_slave'] == 'true': +_write\ +% endif +_rsp_t ${protocol}_write_rsp_i, +% endfor + + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_valid_i, + /// Write datapath request ready + output logic w_dp_ready_o, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_valid_o, + /// Write datapath response valid + input logic w_dp_ready_i, + + /// Read meta request +% if not one_read_port: + input read_meta_channel_tagged_t ar_req_i, +% else: + input read_meta_channel_t ar_req_i, +% endif + /// Read meta request valid + input logic ar_valid_i, + /// Read meta request ready + output logic ar_ready_o, + + /// Write meta request +% if not one_write_port: + input write_meta_channel_tagged_t aw_req_i, +% else: + input write_meta_channel_t aw_req_i, +% endif + /// Write meta request valid + input logic aw_valid_i, + /// Write meta request ready + output logic aw_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Read part of the datapath is busy + output logic r_dp_busy_o, + /// Write part of the datapath is busy + output logic w_dp_busy_o, + /// Buffer is busy + output logic buffer_busy_o +); + + /// Stobe width + localparam int unsigned StrbWidth = DataWidth / 8; + + /// Data type + typedef logic [DataWidth-1:0] data_t; + /// Offset type + typedef logic [StrbWidth-1:0] strb_t; + /// Byte type + typedef logic [7:0] byte_t; + + // inbound control signals to the read buffer: controlled by the read process + strb_t\ +% if not one_read_port: + % for p in used_read_protocols: + ${p}_buffer_in_valid,\ + % endfor +% endif + buffer_in_valid; + + strb_t buffer_in_ready; + // outbound control signals of the buffer: controlled by the write process + strb_t buffer_out_valid, buffer_out_valid_shifted; + strb_t\ +% if not one_write_port: + % for p in used_write_protocols: + ${p}_buffer_out_ready,\ + % endfor +% endif + + buffer_out_ready, buffer_out_ready_shifted; + + // shifted data flowing into the buffer + byte_t [StrbWidth-1:0]\ +% if not one_read_port: + % for p in used_read_protocols: + ${p}_buffer_in,\ + % endfor +% endif + + buffer_in, buffer_in_shifted; + // aligned and coalesced data leaving the buffer + byte_t [StrbWidth-1:0] buffer_out, buffer_out_shifted; +% if not one_read_port: + + // Read multiplexed signals + logic\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_r_chan_valid\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor + logic\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_r_chan_ready\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor + logic\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_r_dp_valid\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor + logic\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_r_dp_ready\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor + r_dp_rsp_t\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_r_dp_rsp\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor + + logic\ + % for index, protocol in enumerate(used_read_protocols): + ${protocol}_ar_ready\ + % if index == len(used_read_protocols)-1: +; + % else: +,\ + % endif + %endfor +% endif +% if not one_write_port: + + // Write multiplexed signals + logic\ + % for index, protocol in enumerate(used_write_protocols): + ${protocol}_w_dp_rsp_valid\ + % if index == len(used_write_protocols)-1: +; + % else: +,\ + % endif + %endfor + logic\ + % for index, protocol in enumerate(used_write_protocols): + ${protocol}_w_dp_rsp_ready\ + % if index == len(used_write_protocols)-1: +; + % else: +,\ + % endif + %endfor + logic\ + % for index, protocol in enumerate(used_write_protocols): + ${protocol}_w_dp_ready\ + % if index == len(used_write_protocols)-1: +; + % else: +,\ + % endif + %endfor + w_dp_rsp_t\ + % for index, protocol in enumerate(used_write_protocols): + ${protocol}_w_dp_rsp\ + % if index == len(used_write_protocols)-1: +; + % else: +,\ + % endif + %endfor + + logic\ + % for index, protocol in enumerate(used_write_protocols): + ${protocol}_aw_ready\ + % if index == len(used_write_protocols)-1: +; + % else: +,\ + % endif + %endfor +% endif +% if not one_write_port: + logic w_dp_req_valid, w_dp_req_ready; + logic w_dp_rsp_mux_valid, w_dp_rsp_mux_ready; + logic w_dp_rsp_valid, w_dp_rsp_ready; + w_dp_rsp_t w_dp_rsp_mux; + + // Write Response FIFO signals + logic w_resp_fifo_in_valid, w_resp_fifo_in_ready; + idma_pkg::protocol_e w_resp_fifo_out_protocol; + logic w_resp_fifo_out_valid, w_resp_fifo_out_ready; +% endif + + //-------------------------------------- + // Read Ports + //-------------------------------------- + +% for read_port in used_read_protocols: +${rendered_read_ports[read_port]} + +% endfor +% if not one_read_port: + //-------------------------------------- + // Read Multiplexers + //-------------------------------------- + + always_comb begin : gen_read_meta_channel_multiplexer + case(ar_req_i.src_protocol) +% for rp in used_read_protocols: + idma_pkg::${database[rp]['protocol_enum']}: ar_ready_o = ${rp}_ar_ready; +% endfor + default: ar_ready_o = 1'b0; + endcase + end + + always_comb begin : gen_read_multiplexer + case(r_dp_req_i.src_protocol) +% for rp in used_read_protocols: + idma_pkg::${database[rp]['protocol_enum']}: begin + r_chan_valid_o = ${rp}_r_chan_valid; + r_chan_ready_o = ${rp}_r_chan_ready; + + r_dp_ready_o = ${rp}_r_dp_ready; + r_dp_rsp_o = ${rp}_r_dp_rsp; + r_dp_valid_o = ${rp}_r_dp_valid; + + buffer_in = ${rp}_buffer_in; + buffer_in_valid = ${rp}_buffer_in_valid; + end +% endfor + default: begin + r_chan_valid_o = 1'b0; + r_chan_ready_o = 1'b0; + + r_dp_ready_o = 1'b0; + r_dp_rsp_o = '0; + r_dp_valid_o = 1'b0; + + buffer_in = '0; + buffer_in_valid = '0; + end + endcase + end + +% endif + //-------------------------------------- + // Read Barrel shifter + //-------------------------------------- + + assign buffer_in_shifted = {buffer_in, buffer_in} >> (r_dp_req_i.shift * 8); + + //-------------------------------------- + // Buffer + //-------------------------------------- + + idma_dataflow_element #( + .BufferDepth ( BufferDepth ), + .StrbWidth ( StrbWidth ), + .PrintFifoInfo ( PrintFifoInfo ), + .strb_t ( strb_t ), + .byte_t ( byte_t ) + ) i_dataflow_element ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .data_i ( buffer_in_shifted ), + .valid_i ( buffer_in_valid ), + .ready_o ( buffer_in_ready ), + .data_o ( buffer_out ), + .valid_o ( buffer_out_valid ), + .ready_i ( buffer_out_ready_shifted ) + ); + + //-------------------------------------- + // Write Barrel shifter + //-------------------------------------- + + assign buffer_out_shifted = {buffer_out, buffer_out} >> (w_dp_req_i.shift*8); + assign buffer_out_valid_shifted = {buffer_out_valid, buffer_out_valid} >> w_dp_req_i.shift; + assign buffer_out_ready_shifted = {buffer_out_ready, buffer_out_ready} >> - w_dp_req_i.shift; + +% if not one_write_port: + //-------------------------------------- + // Write Request Demultiplexer + //-------------------------------------- + + // Split write request to write response fifo and write ports + stream_fork #( + .N_OUP ( 2 ) + ) i_write_stream_fork ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .valid_i ( w_dp_valid_i ), + .ready_o ( w_dp_ready_o ), + .valid_o ( { w_resp_fifo_in_valid, w_dp_req_valid } ), + .ready_i ( { w_resp_fifo_in_ready, w_dp_req_ready } ) + ); + + // Demux write request to correct write port + always_comb begin : gen_write_multiplexer + case(w_dp_req_i.dst_protocol) +% for wp in used_write_protocols: + idma_pkg::${database[wp]['protocol_enum']}: begin + w_dp_req_ready = ${wp}_w_dp_ready; + buffer_out_ready = ${wp}_buffer_out_ready; + end +% endfor + default: begin + w_dp_req_ready = 1'b0; + buffer_out_ready = '0; + end + endcase + end + + // Demux write meta channel to correct write port + always_comb begin : gen_write_meta_channel_multiplexer + case(aw_req_i.dst_protocol) +% for wp in used_write_protocols: + idma_pkg::${database[wp]['protocol_enum']}: aw_ready_o = ${wp}_aw_ready; +% endfor + default: aw_ready_o = 1'b0; + endcase + end + +% endif + //-------------------------------------- + // Write Ports + //-------------------------------------- + +% for write_port in used_write_protocols: +${rendered_write_ports[write_port]} + +% endfor +%if not one_write_port: + //-------------------------------------- + // Write Response FIFO + //-------------------------------------- + // Needed to be able to route the write reponses properly + // Insert when data write happens + // Remove when write response comes + + idma_stream_fifo #( + .Depth ( NumAxInFlight ), + .type_t ( idma_pkg::protocol_e ), + .PrintInfo ( PrintFifoInfo ) + ) i_write_response_fifo ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( testmode_i ), + .flush_i ( 1'b0 ), + .usage_o ( /* NOT CONNECTED */ ), + .data_i ( w_dp_req_i.dst_protocol ), + .valid_i ( w_resp_fifo_in_valid && w_resp_fifo_in_ready ), + .ready_o ( w_resp_fifo_in_ready ), + .data_o ( w_resp_fifo_out_protocol ), + .valid_o ( w_resp_fifo_out_valid ), + .ready_i ( w_resp_fifo_out_ready && w_resp_fifo_out_valid ) + ); + + //-------------------------------------- + // Write Request Demultiplexer + //-------------------------------------- + + // Mux write port responses + always_comb begin : gen_write_reponse_multiplexer + w_dp_rsp_mux = '0; + w_dp_rsp_mux_valid = 1'b0; +% for wp in used_write_protocols: + ${wp}_w_dp_rsp_ready = 1'b0; +% endfor + if ( w_resp_fifo_out_valid ) begin + case(w_resp_fifo_out_protocol) +% for wp in used_write_protocols: + idma_pkg::${database[wp]['protocol_enum']}: begin + w_dp_rsp_mux_valid = ${wp}_w_dp_rsp_valid; + w_dp_rsp_mux = ${wp}_w_dp_rsp; + ${wp}_w_dp_rsp_ready = w_dp_rsp_mux_ready; + end +% endfor + default: begin + w_dp_rsp_mux_valid = 1'b0; + w_dp_rsp_mux = '0; + end + endcase + end + end + + // Fall through register for the write response to be ready + fall_through_register #( + .T ( w_dp_rsp_t ) + ) i_write_rsp_channel_reg ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .clr_i ( 1'b0 ), + .testmode_i ( testmode_i ), + + .valid_i ( w_dp_rsp_mux_valid ), + .ready_o ( w_dp_rsp_mux_ready ), + .data_i ( w_dp_rsp_mux ), + + .valid_o ( w_dp_rsp_valid ), + .ready_i ( w_dp_rsp_ready ), + .data_o ( w_dp_rsp_o ) + ); + + // Join write response fifo and write port responses + stream_join #( + .N_INP ( 2 ) + ) i_write_stream_join ( + .inp_valid_i ( { w_resp_fifo_out_valid, w_dp_rsp_valid } ), + .inp_ready_o ( { w_resp_fifo_out_ready, w_dp_rsp_ready } ), + + .oup_valid_o ( w_dp_valid_o ), + .oup_ready_i ( w_dp_ready_i ) + ); + +% endif + //-------------------------------------- + // Module Control + //-------------------------------------- + assign r_dp_busy_o = r_dp_valid_i; + assign w_dp_busy_o = w_dp_valid_i | w_dp_ready_o; + assign buffer_busy_o = |buffer_out_valid; + +endmodule : idma_transport_layer${name_uniqueifier} diff --git a/src/backend/src/protocol_managers/axi/idma_axi_read.sv b/src/backend/src/protocol_managers/axi/idma_axi_read.sv new file mode 100644 index 00000000..ba9b615d --- /dev/null +++ b/src/backend/src/protocol_managers/axi/idma_axi_read.sv @@ -0,0 +1,204 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "common_cells/registers.svh" + +/// Implementing the AXI4 read task in the iDMA transport layer. +module idma_axi_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI 4 Request channel type + parameter type read_req_t = logic, + /// AXI 4 Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// AXI 4 `AR` channel type + parameter type ar_chan_t = logic +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Read meta request + input ar_chan_t ar_req_i, + /// Read meta request valid + input logic ar_valid_i, + /// Read meta request ready + output logic ar_ready_o, + + /// AXI4+ATOP read manager port request + output read_req_t read_req_o, + /// AXI4+ATOP read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // offsets needed for masks to empty buffer + strb_t r_first_mask; + strb_t r_last_mask; + + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // hold one bit state: it this the first read? + logic first_r_d, first_r_q; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + // read align masks + assign r_first_mask = '1 << r_dp_req_i.offset; + assign r_last_mask = '1 >> (StrbWidth - r_dp_req_i.tailer); + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // connect the ar requests to the AXI bus + assign read_req_o.ar = ar_req_i.axi.ar_chan; + assign read_req_o.ar_valid = ar_valid_i; + assign ar_ready_o = read_rsp_i.ar_ready; + + + //-------------------------------------- + // In mask generation + //-------------------------------------- + // in the case of unaligned reads -> not all data is valid + always_comb begin : proc_in_mask_generator + // default case: all ones + read_aligned_in_mask = '1; + // is first word: some bytes at the beginning may be invalid + read_aligned_in_mask = first_r_q ? + read_aligned_in_mask & r_first_mask : read_aligned_in_mask; + // is last word in write burst: some bytes at the end may be invalid + if (r_dp_req_i.tailer != '0) begin + read_aligned_in_mask = read_rsp_i.r.last ? + read_aligned_in_mask & r_last_mask : read_aligned_in_mask; + end + end + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.r.data; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // controls the next state of the read flag + always_comb begin : proc_first_read + // sticky is first bit for read + if (!read_rsp_i.r.last & read_rsp_i.r_valid & read_req_o.r_ready) begin + // new transfer has started + first_r_d = 1'b0; + end else if (read_rsp_i.r.last & read_rsp_i.r_valid & read_req_o.r_ready) begin + // finish read burst + first_r_d = 1'b1; + end else begin + // no change + first_r_d = first_r_q; + end + end + + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.r_ready = in_ready & r_dp_ready_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.r_valid & in_ready & r_dp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & + read_rsp_i.r.last & read_rsp_i.r_valid & in_ready; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = read_rsp_i.r.resp; + assign r_dp_rsp_o.last = read_rsp_i.r.last; + assign r_dp_rsp_o.first = first_r_q; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_valid_o = read_rsp_i.r_valid & in_ready & (read_rsp_i.r.last + | (|read_rsp_i.r.resp)); + + assign r_chan_ready_o = read_req_o.r_ready; + assign r_chan_valid_o = read_rsp_i.r_valid; + + //-------------------------------------- + // Unused AXI signals + //-------------------------------------- + assign read_req_o.aw_valid = 1'b0; + assign read_req_o.w_valid = 1'b0; + assign read_req_o.b_ready = 1'b0; + + assign read_req_o.aw = '0; + assign read_req_o.w = '0; + + //-------------------------------------- + // State + //-------------------------------------- + `FF(first_r_q, first_r_d, '1, clk_i, rst_ni) + +endmodule : idma_axi_read diff --git a/src/backend/src/protocol_managers/axi/idma_axi_write.sv b/src/backend/src/protocol_managers/axi/idma_axi_write.sv new file mode 100644 index 00000000..174e05df --- /dev/null +++ b/src/backend/src/protocol_managers/axi/idma_axi_write.sv @@ -0,0 +1,292 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "common_cells/registers.svh" + +/// Implementing the AXI4 write task in the iDMA transport layer. +module idma_axi_write #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + + /// Byte type + parameter type byte_t = logic, + /// Data type + parameter type data_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI 4 Request channel type + parameter type write_req_t = logic, + /// AXI 4 Response channel type + parameter type write_rsp_t = logic, + + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// AXI 4 `AW` channel type + parameter type aw_chan_t = logic +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_valid_i, + /// Write datapath request ready + output logic w_dp_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_valid_o, + /// Write datapath response valid + input logic w_dp_ready_i, + + /// Write meta request + input aw_chan_t aw_req_i, + /// Write meta request valid + input logic aw_valid_i, + /// Write meta request ready + output logic aw_ready_o, + + /// AXI4+ATOP write manager port request + output write_req_t write_req_o, + /// AXI4+ATOP write manager port response + input write_rsp_t write_rsp_i, + + /// Data from buffer + input byte_t [StrbWidth-1:0] buffer_out_i, + /// Valid from buffer + input strb_t buffer_out_valid_i, + /// Ready to buffer + output strb_t buffer_out_ready_o +); + // offsets needed for masks to empty buffer + strb_t w_first_mask; + strb_t w_last_mask; + + // corresponds to the strobe: the write aligned data that is currently valid in the buffer + strb_t mask_out; + + // write signals: is this the first / last element in a burst? + logic first_w; + logic last_w; + + // buffer is ready to write the requested data + logic ready_to_write; + // first transfer is possible - this signal is used to detect + // the first write transfer in a burst + logic first_possible; + // buffer is completely empty + logic buffer_clean; + // write happens + logic write_happening; + + // A temporary signal required to write the output of the buffer to before assigning it to + // the AXI bus. This is required to be compatible with some of the Questasim Versions and some + // of the parametrizations (e.g. DataWidth = 16) + data_t buffer_data_masked; + + // we require a counter to hold the current beat in the write burst + logic [7:0] w_num_beats_d, w_num_beats_q; + logic w_cnt_valid_d, w_cnt_valid_q; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + // write align masks + assign w_first_mask = '1 << w_dp_req_i.offset; + assign w_last_mask = '1 >> (StrbWidth - w_dp_req_i.tailer); + + //-------------------------------------- + // Write meta channel + //-------------------------------------- + // connect the aw requests to the AXI bus + assign write_req_o.aw = aw_req_i.axi.aw_chan; + assign write_req_o.aw_valid = aw_valid_i; + assign aw_ready_o = write_rsp_i.aw_ready; + + + //-------------------------------------- + // Out mask generation -> (wstrb mask) + //-------------------------------------- + // only pop the data actually needed for write from the buffer, + // determine valid data to pop by calculation the wstrb + always_comb begin : proc_out_mask_generator + // default case: all ones + mask_out = '1; + // is first word: some bytes at the beginning may be invalid + mask_out = first_w ? (mask_out & w_first_mask) : mask_out; + // is last word in write burst: some bytes at the end may be invalid + if (w_dp_req_i.tailer != '0 & last_w) begin + mask_out = mask_out & w_last_mask; + end + end + + + //-------------------------------------- + // Write control + //-------------------------------------- + // write is decoupled from read, due to misalignment in the read/write + // addresses, page crossing can be encountered at any time. + // To handle this efficiently, a 2-to-1 or 1-to-2 mapping of r/w beats + // is required. The write unit needs to keep track of progress through + // a counter and cannot use `r last` for that. + + // Once buffer contains a full line -> all FIFOs are non-empty push it out. + + // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty + assign ready_to_write = w_dp_valid_i & ((buffer_out_valid_i & mask_out) == mask_out) + & (buffer_out_valid_i != '0); + + // data needed by the first mask is available in the buffer -> r_first happened for sure + // this signal can be high during a transfer as well, it needs to be masked + assign first_possible = ((buffer_out_valid_i & w_first_mask) == w_first_mask) & + (buffer_out_valid_i != '0); + + // the buffer is completely empty and idle + assign buffer_clean = &(~buffer_out_valid_i); + + // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high + assign write_happening = ready_to_write & write_rsp_i.w_ready; + + // the main buffer is conditionally to the write mask popped + assign buffer_out_ready_o = write_happening ? mask_out : '0; + + // signal the bus that we are ready + assign write_req_o.w_valid = ready_to_write; + + // connect data and strobe either directly or mask invalid data + if (MaskInvalidData) begin : gen_mask_invalid_data + + // always_comb process implements masking of invalid data + always_comb begin : proc_mask + // defaults + write_req_o.w.data = '0; + write_req_o.w.strb = '0; + buffer_data_masked = '0; + // control the write to the bus apply data to the bus only if data should be written + if (ready_to_write == 1'b1 & !dp_poison_i) begin + // assign data from buffers, mask non valid entries + for (int i = 0; i < StrbWidth; i++) begin + buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out_i[i] : 8'b0; + end + // assign the output + write_req_o.w.data = buffer_data_masked; + // assign the out mask to the strobe + write_req_o.w.strb = mask_out; + end + end + + end else begin : gen_direct_connect + // not used signal + assign buffer_data_masked = '0; + // simpler: direct connection + assign write_req_o.w.data = buffer_out_i; + assign write_req_o.w.strb = dp_poison_i ? '0 : mask_out; + end + + // the w last signal should only be applied to the bus if an actual transfer happens + assign write_req_o.w.last = last_w & ready_to_write; + + // we are ready for the next transfer internally, once the w last signal is applied + assign w_dp_ready_o = last_w & write_happening; + + // the write process: keeps track of remaining beats in burst + always_comb begin : proc_write_control + // defaults: + // beat counter + w_num_beats_d = w_num_beats_q; + w_cnt_valid_d = w_cnt_valid_q; + // mask control + first_w = 1'b0; + last_w = 1'b0; + + // differentiate between the burst and non-burst case. If a transfer + // consists just of one beat the counters are disabled + if (w_dp_req_i.is_single) begin + // in the single case the transfer is both first and last. + first_w = 1'b1; + last_w = 1'b1; + + // in the burst case the counters are needed to keep track of the progress of sending + // beats. The w_last_o depends on the state of the counter + end else begin + // first transfer happens as soon as a) the buffer is ready for a first transfer and b) + // the counter is currently invalid + first_w = first_possible & ~w_cnt_valid_q; + + // last happens as soon as a) the counter is valid and b) the counter is now down to 1 + last_w = w_cnt_valid_q & (w_num_beats_q == 8'h01); + + // load the counter with data in a first cycle, only modifying state if bus is ready + if (first_w && write_happening) begin + w_num_beats_d = w_dp_req_i.num_beats; + w_cnt_valid_d = 1'b1; + end + + // if we hit the last element, invalidate the counter, only modifying state + // if bus is ready + if (last_w && write_happening) begin + w_cnt_valid_d = 1'b0; + end + + // count down the beats if the counter is valid and valid data is written to the bus + if (w_cnt_valid_q && write_happening) w_num_beats_d = w_num_beats_q - 8'h01; + end + end + + + //-------------------------------------- + // Write response + //-------------------------------------- + // connect w_dp response payload + assign w_dp_rsp_o.resp = write_rsp_i.b.resp; + assign w_dp_rsp_o.user = write_rsp_i.b.user; + + // w_dp_valid_o is triggered once the write answer is here + assign w_dp_valid_o = write_rsp_i.b_valid; + + // create back pressure on the b channel if the higher parts of the DMA cannot accept more + // write responses + assign write_req_o.b_ready = w_dp_ready_i; + + + //-------------------------------------- + // Write user signals + //-------------------------------------- + // in the default implementation: no need for the write user signals + assign write_req_o.w.user = '0; + + //-------------------------------------- + // Unused AXI signals + //-------------------------------------- + assign write_req_o.ar = '0; + assign write_req_o.ar_valid = 1'b0; + assign write_req_o.r_ready = 1'b0; + + //-------------------------------------- + // State + //-------------------------------------- + `FF(w_cnt_valid_q, w_cnt_valid_d, '0, clk_i, rst_ni) + `FF(w_num_beats_q, w_num_beats_d, '0, clk_i, rst_ni) + +endmodule : idma_axi_write diff --git a/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_read.sv b/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_read.sv new file mode 100644 index 00000000..c0bc3fbe --- /dev/null +++ b/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_read.sv @@ -0,0 +1,148 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the AXI Lite read task in the iDMA transport layer. +module idma_axi_lite_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI 4 Request channel type + parameter type read_req_t = logic, + /// AXI 4 Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// AXI Lite `AR` channel type + parameter type ar_chan_t = logic +)( + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Read meta request + input ar_chan_t ar_req_i, + /// Read meta request valid + input logic ar_valid_i, + /// Read meta request ready + output logic ar_ready_o, + + /// AXI Lite read manager port request + output read_req_t read_req_o, + /// AXI Lite read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // connect the ar requests to the AXI bus + assign read_req_o.ar = ar_req_i.axi_lite.ar_chan; + assign read_req_o.ar_valid = ar_valid_i; + assign ar_ready_o = read_rsp_i.ar_ready; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & + ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.r.data; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.r_ready = in_ready & r_dp_ready_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.r_valid & in_ready & r_dp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & read_rsp_i.r_valid & in_ready; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = read_rsp_i.r.resp; + assign r_dp_rsp_o.last = 1'b1; + assign r_dp_rsp_o.first = 1'b1; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_valid_o = read_rsp_i.r_valid & in_ready; + assign r_chan_ready_o = read_req_o.r_ready; + assign r_chan_valid_o = read_rsp_i.r_valid; + + //-------------------------------------- + // Unused AXI Lite signals + //-------------------------------------- + assign read_req_o.aw_valid = 1'b0; + assign read_req_o.w_valid = 1'b0; + assign read_req_o.b_ready = 1'b0; + + assign read_req_o.aw = '0; + assign read_req_o.w = '0; + +endmodule : idma_axi_lite_read diff --git a/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_write.sv b/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_write.sv new file mode 100644 index 00000000..efab2b53 --- /dev/null +++ b/src/backend/src/protocol_managers/axi_lite/idma_axi_lite_write.sv @@ -0,0 +1,182 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the AXI Lite write task in the iDMA transport layer. +module idma_axi_lite_write #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + + /// Byte type + parameter type byte_t = logic, + /// Data type + parameter type data_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI Lite Request channel type + parameter type write_req_t = logic, + /// AXI Lite Response channel type + parameter type write_rsp_t = logic, + + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// AXI Lite `AW` channel type + parameter type aw_chan_t = logic +) ( + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_valid_i, + /// Write datapath request ready + output logic w_dp_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_valid_o, + /// Write datapath response valid + input logic w_dp_ready_i, + + /// Write meta request + input aw_chan_t aw_req_i, + /// Write meta request valid + input logic aw_valid_i, + /// Write meta request ready + output logic aw_ready_o, + + /// AXI Lite write manager port request + output write_req_t write_req_o, + /// AXI Lite write manager port response + input write_rsp_t write_rsp_i, + + /// Data from buffer + input byte_t [StrbWidth-1:0] buffer_out_i, + /// Valid from buffer + input strb_t buffer_out_valid_i, + /// Ready to buffer + output strb_t buffer_out_ready_o +); + // corresponds to the strobe: the write aligned data that is currently valid in the buffer + strb_t mask_out; + + // buffer is ready to write the requested data + logic ready_to_write; + // buffer is completely empty + logic buffer_clean; + // write happens + logic write_happening; + + // A temporary signal required to write the output of the buffer to before assigning it to + // the AXI bus. This is required to be compatible with some of the Questasim Versions and some + // of the parametrizations (e.g. DataWidth = 16) + data_t buffer_data_masked; + + //-------------------------------------- + // Write meta channel + //-------------------------------------- + // connect the aw requests to the AXI bus + assign write_req_o.aw = aw_req_i.axi_lite.aw_chan; + assign write_req_o.aw_valid = aw_valid_i; + assign aw_ready_o = write_rsp_i.aw_ready; + + + //-------------------------------------- + // Out mask generation -> (wstrb mask) + //-------------------------------------- + // only pop the data actually needed for write from the buffer, + // determine valid data to pop by calculation the wstrb + + assign mask_out = ('1 << w_dp_req_i.offset) & + ((w_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - w_dp_req_i.tailer)) : '1); + + //-------------------------------------- + // Write control + //-------------------------------------- + // write is decoupled from read, due to misalignment in the read/write + // addresses, page crossing can be encountered at any time. + // To handle this efficiently, a 2-to-1 or 1-to-2 mapping of r/w beats + // is required. The write unit needs to keep track of progress through + // a counter and cannot use `r last` for that. + + // Once buffer contains a full line -> all FIFOs are non-empty push it out. + + // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty + assign ready_to_write = w_dp_valid_i + & ((buffer_out_valid_i & mask_out) == mask_out) & (buffer_out_valid_i != '0); + + // the buffer is completely empty and idle + assign buffer_clean = &(~buffer_out_valid_i); + + // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high + assign write_happening = ready_to_write & write_rsp_i.w_ready; + + // the main buffer is conditionally to the write mask popped + assign buffer_out_ready_o = write_happening ? mask_out : '0; + + // signal the bus that we are ready + assign write_req_o.w_valid = ready_to_write; + + // connect data and strobe either directly or mask invalid data + if (MaskInvalidData) begin : gen_mask_invalid_data + + // always_comb process implements masking of invalid data + always_comb begin : proc_mask + // defaults + write_req_o.w.data = '0; + write_req_o.w.strb = '0; + buffer_data_masked = '0; + // control the write to the bus apply data to the bus only if data should be written + if (ready_to_write == 1'b1 & !dp_poison_i) begin + // assign data from buffers, mask non valid entries + for (int i = 0; i < StrbWidth; i++) begin + buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out_i[i] : 8'b0; + end + // assign the output + write_req_o.w.data = buffer_data_masked; + // assign the out mask to the strobe + write_req_o.w.strb = mask_out; + end + end + + end else begin : gen_direct_connect + // not used signal + assign buffer_data_masked = '0; + // simpler: direct connection + assign write_req_o.w.data = buffer_out_i; + assign write_req_o.w.strb = dp_poison_i ? '0 : mask_out; + end + + // we are ready for the next transfer internally, once the w last signal is applied + assign w_dp_ready_o = write_happening; + + //-------------------------------------- + // Write response + //-------------------------------------- + // connect w_dp response payload + assign w_dp_rsp_o.resp = write_rsp_i.b.resp; + + // w_dp_valid_o is triggered once the write answer is here + assign w_dp_valid_o = write_rsp_i.b_valid; + + // create back pressure on the b channel if the higher parts of the DMA cannot accept more + // write responses + assign write_req_o.b_ready = w_dp_ready_i; + + //-------------------------------------- + // Unused AXI Lite signals + //-------------------------------------- + assign write_req_o.ar = '0; + assign write_req_o.ar_valid = 1'b0; + assign write_req_o.r_ready = 1'b0; + +endmodule : idma_axi_lite_write diff --git a/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_read.sv b/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_read.sv new file mode 100644 index 00000000..4edd7366 --- /dev/null +++ b/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_read.sv @@ -0,0 +1,136 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the AXI Stream read task in the iDMA transport layer. +module idma_axi_stream_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI Stream Request channel type + parameter type read_req_t = logic, + /// AXI Stream Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// `read_meta_chan_t` type: + parameter type read_meta_chan_t = logic +)( + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_req_valid_i, + /// Read datapath request ready + output logic r_dp_req_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_rsp_valid_o, + /// Read datapath response valid + input logic r_dp_rsp_ready_i, + + /// Read meta request + input read_meta_chan_t read_meta_req_i, + /// Read meta request valid + input logic read_meta_valid_i, + /// Read meta request ready + output logic read_meta_ready_o, + + /// AXI Stream read manager port request + output read_req_t read_req_o, + /// AXI Stream read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // No read address request to be made -> Always ready + assign read_meta_ready_o = 1'b1; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & + ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.t.data; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.tready = in_ready & r_dp_rsp_ready_i & r_dp_req_valid_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.tvalid & in_ready & r_dp_rsp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_req_ready_o = r_dp_req_valid_i & r_dp_rsp_ready_i & read_rsp_i.tvalid & in_ready; + assign r_chan_ready_o = read_req_o.tready; + assign r_chan_valid_o = read_rsp_i.tvalid; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = '0; + assign r_dp_rsp_o.last = 1'b1; + assign r_dp_rsp_o.first = 1'b1; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_rsp_valid_o = read_rsp_i.tvalid & in_ready; + +endmodule : idma_axi_stream_read diff --git a/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_write.sv b/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_write.sv new file mode 100644 index 00000000..493f9b5e --- /dev/null +++ b/src/backend/src/protocol_managers/axi_stream/idma_axi_stream_write.sv @@ -0,0 +1,174 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the AXI Stream write task in the iDMA transport layer. +module idma_axi_stream_write #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + + /// Byte type + parameter type byte_t = logic, + /// Data type + parameter type data_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// AXI Stream Request channel type + parameter type write_req_t = logic, + /// AXI Stream Response channel type + parameter type write_rsp_t = logic, + + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// AXI 4 `AW` channel type + parameter type write_meta_channel_t = logic +) ( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_req_valid_i, + /// Write datapath request ready + output logic w_dp_req_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_rsp_valid_o, + /// Write datapath response ready + input logic w_dp_rsp_ready_i, + + /// Write meta request + input write_meta_channel_t aw_req_i, + /// Write meta request valid + input logic aw_valid_i, + /// Write meta request ready + output logic aw_ready_o, + + /// AXI Stream write manager port request + output write_req_t write_req_o, + /// AXI Stream write manager port response + input write_rsp_t write_rsp_i, + + /// Data from buffer + input byte_t [StrbWidth-1:0] buffer_out_i, + /// Valid from buffer + input strb_t buffer_out_valid_i, + /// Ready to buffer + output strb_t buffer_out_ready_o +); + // corresponds to the strobe: the write aligned data that is currently valid in the buffer + strb_t mask_out; + + // buffer is ready to write the requested data + logic ready_to_write; + // buffer is completely empty + logic buffer_clean; + // write happens + logic write_happening; + + // A temporary signal required to write the output of the buffer to before assigning it to + // the AXI Stream bus. This is required to be compatible with some of the Questasim Versions and some + // of the parametrizations (e.g. DataWidth = 16) + data_t buffer_data_masked; + + logic write_ready; + + //-------------------------------------- + // Out mask generation -> (keep mask) + //-------------------------------------- + // only pop the data actually needed for write from the buffer, + // determine valid data to pop by calculation the be + + assign mask_out = ('1 << w_dp_req_i.offset) & + ((w_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - w_dp_req_i.tailer)) + : '1); + + //-------------------------------------- + // Write control + //-------------------------------------- + // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty + assign ready_to_write = aw_valid_i & w_dp_req_valid_i + & ((buffer_out_valid_i & mask_out) == mask_out) & (buffer_out_valid_i != '0); + + // the buffer is completely empty and idle + assign buffer_clean = &(~buffer_out_valid_i); + + // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high + assign write_happening = ready_to_write & write_ready; + + // the main buffer is conditionally to the write mask popped + assign buffer_out_ready_o = write_happening ? mask_out : '0; + + // signal the bus that we are ready + + // connect data and strobe either directly or mask invalid data + if (MaskInvalidData) begin : gen_mask_invalid_data + + // always_comb process implements masking of invalid data + always_comb begin : proc_mask + // defaults + write_req_o.t = aw_req_i.axi_stream.t_chan; + buffer_data_masked = '0; + // control the write to the bus apply data to the bus only if data should be written + if (ready_to_write == 1'b1 & !dp_poison_i) begin + // assign data from buffers, mask non valid entries + for (int i = 0; i < StrbWidth; i++) begin + buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out_i[i] : 8'b0; + end + // assign the output + write_req_o.t.data = buffer_data_masked; + // assign the out mask to the strobe + write_req_o.t.keep = mask_out; + end + end + + end else begin : gen_direct_connect + // not used signal + assign buffer_data_masked = '0; + // simpler: direct connection + assign write_req_o.t = aw_req_i.axi_stream.t_chan; + assign write_req_o.t.data = buffer_out_i; + assign write_req_o.t.keep = dp_poison_i ? '0 : mask_out; + end + + // we are ready for the next transfer internally, once the w last signal is applied + assign w_dp_req_ready_o = write_happening; + assign aw_ready_o = write_happening; + + //-------------------------------------- + // Write response + //-------------------------------------- + // connect w_dp response payload + assign w_dp_rsp_o = '0; + + //-------------------------------------- + // Handshake fork into write request and response + //-------------------------------------- + + stream_fork #( + .N_OUP ( 2 ) + ) i_write_stream_fork ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .valid_i ( ready_to_write ), + .ready_o ( write_ready ), + .valid_o ( { w_dp_rsp_valid_o, write_req_o.tvalid } ), + .ready_i ( { w_dp_rsp_ready_i, write_rsp_i.tready } ) + ); + +endmodule : idma_axi_stream_write diff --git a/src/backend/src/protocol_managers/init/idma_init_read.sv b/src/backend/src/protocol_managers/init/idma_init_read.sv new file mode 100644 index 00000000..4341d2b8 --- /dev/null +++ b/src/backend/src/protocol_managers/init/idma_init_read.sv @@ -0,0 +1,138 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the INIT read task in the iDMA transport layer. +module idma_init_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// INIT Request channel type + parameter type read_req_t = logic, + /// INIT Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// `read_meta_chan_t` type: + parameter type read_meta_chan_t = logic +)( + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Read meta request + input read_meta_chan_t read_meta_req_i, + /// Read meta request valid + input logic read_meta_valid_i, + /// Read meta request ready + output logic read_meta_ready_o, + + /// INIT read manager port request + output read_req_t read_req_o, + /// INIT read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // connect the ar requests to the INIT read bus + assign read_req_o.req_chan = read_meta_req_i.init.req_chan; + assign read_req_o.req_valid = read_meta_valid_i; + assign read_meta_ready_o = read_rsp_i.req_ready; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & + ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.rsp_chan.init_value; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.rsp_ready = in_ready & r_dp_ready_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.rsp_valid & in_ready & r_dp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & read_rsp_i.rsp_valid & in_ready; + assign r_chan_ready_o = read_req_o.rsp_ready; + assign r_chan_valid_o = read_rsp_i.rsp_valid; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = '0; + assign r_dp_rsp_o.last = 1'b1; + assign r_dp_rsp_o.first = 1'b1; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_valid_o = read_rsp_i.rsp_valid & in_ready; + +endmodule : idma_init_read diff --git a/src/backend/src/protocol_managers/obi/idma_obi_read.sv b/src/backend/src/protocol_managers/obi/idma_obi_read.sv new file mode 100644 index 00000000..f5060e35 --- /dev/null +++ b/src/backend/src/protocol_managers/obi/idma_obi_read.sv @@ -0,0 +1,138 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the OBI read task in the iDMA transport layer. +module idma_obi_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// OBI Request channel type + parameter type read_req_t = logic, + /// OBI Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// `read_meta_chan_t` type: + parameter type read_meta_chan_t = logic +)( + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Read meta request + input read_meta_chan_t read_meta_req_i, + /// Read meta request valid + input logic read_meta_valid_i, + /// Read meta request ready + output logic read_meta_ready_o, + + /// OBI read manager port request + output read_req_t read_req_o, + /// OBI read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // connect the ar requests to the OBI bus + assign read_req_o.a = read_meta_req_i.obi.a_chan; + assign read_req_o.a_req = read_meta_valid_i; + assign read_meta_ready_o = read_rsp_i.a_gnt; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & + ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.r.rdata; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.r_ready = in_ready & r_dp_ready_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.r_valid & in_ready & r_dp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & read_rsp_i.r_valid & in_ready; + assign r_chan_ready_o = read_req_o.r_ready; + assign r_chan_valid_o = read_rsp_i.r_valid; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = '0; + assign r_dp_rsp_o.last = 1'b1; + assign r_dp_rsp_o.first = 1'b1; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_valid_o = read_rsp_i.r_valid & in_ready; + +endmodule : idma_obi_read diff --git a/src/backend/src/protocol_managers/obi/idma_obi_write.sv b/src/backend/src/protocol_managers/obi/idma_obi_write.sv new file mode 100644 index 00000000..313b0650 --- /dev/null +++ b/src/backend/src/protocol_managers/obi/idma_obi_write.sv @@ -0,0 +1,163 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +/// Implementing the OBI write task in the iDMA transport layer. +module idma_obi_write #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + + /// Byte type + parameter type byte_t = logic, + /// Data type + parameter type data_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// OBI Request channel type + parameter type write_req_t = logic, + /// OBI Response channel type + parameter type write_rsp_t = logic, + + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// AXI 4 `AW` channel type + parameter type write_meta_channel_t = logic +) ( + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_valid_i, + /// Write datapath request ready + output logic w_dp_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_valid_o, + /// Write datapath response valid + input logic w_dp_ready_i, + + /// Write meta request + input write_meta_channel_t aw_req_i, + /// Write meta request valid + input logic aw_valid_i, + /// Write meta request ready + output logic aw_ready_o, + + /// OBI write manager port request + output write_req_t write_req_o, + /// OBI write manager port response + input write_rsp_t write_rsp_i, + + /// Data from buffer + input byte_t [StrbWidth-1:0] buffer_out_i, + /// Valid from buffer + input strb_t buffer_out_valid_i, + /// Ready to buffer + output strb_t buffer_out_ready_o +); + // corresponds to the strobe: the write aligned data that is currently valid in the buffer + strb_t mask_out; + + // buffer is ready to write the requested data + logic ready_to_write; + // buffer is completely empty + logic buffer_clean; + // write happens + logic write_happening; + + // A temporary signal required to write the output of the buffer to before assigning it to + // the OBI bus. This is required to be compatible with some of the Questasim Versions and some + // of the parametrizations (e.g. DataWidth = 16) + data_t buffer_data_masked; + + //-------------------------------------- + // Out mask generation -> (be mask) + //-------------------------------------- + // only pop the data actually needed for write from the buffer, + // determine valid data to pop by calculation the be + + assign mask_out = ('1 << w_dp_req_i.offset) & + ((w_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - w_dp_req_i.tailer)) + : '1); + + //-------------------------------------- + // Write control + //-------------------------------------- + // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty + assign ready_to_write = aw_valid_i & w_dp_valid_i + & ((buffer_out_valid_i & mask_out) == mask_out) & (buffer_out_valid_i != '0); + + // the buffer is completely empty and idle + assign buffer_clean = &(~buffer_out_valid_i); + + // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high + assign write_happening = ready_to_write & write_rsp_i.a_gnt; + + // the main buffer is conditionally to the write mask popped + assign buffer_out_ready_o = write_happening ? mask_out : '0; + + // signal the bus that we are ready + assign write_req_o.a_req = ready_to_write; + + // connect data and strobe either directly or mask invalid data + if (MaskInvalidData) begin : gen_mask_invalid_data + + // always_comb process implements masking of invalid data + always_comb begin : proc_mask + // defaults + write_req_o.a.addr = aw_req_i.obi.a_chan.addr; + write_req_o.a.aid = aw_req_i.obi.a_chan.aid; + write_req_o.a.we = 1'b1; + write_req_o.a.wdata = '0; + write_req_o.a.be = '0; + buffer_data_masked = '0; + // control the write to the bus apply data to the bus only if data should be written + if (ready_to_write == 1'b1 & !dp_poison_i) begin + // assign data from buffers, mask non valid entries + for (int i = 0; i < StrbWidth; i++) begin + buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out_i[i] : 8'b0; + end + // assign the output + write_req_o.a.wdata = buffer_data_masked; + // assign the out mask to the strobe + write_req_o.a.be = mask_out; + end + end + + end else begin : gen_direct_connect + // not used signal + assign buffer_data_masked = '0; + // simpler: direct connection + assign write_req_o.a.wdata = buffer_out_i; + assign write_req_o.a.be = dp_poison_i ? '0 : mask_out; + end + + // we are ready for the next transfer internally, once the w last signal is applied + assign w_dp_ready_o = write_happening; + assign aw_ready_o = write_happening; + + //-------------------------------------- + // Write response + //-------------------------------------- + // connect w_dp response payload + assign w_dp_rsp_o = '0; + + // w_dp_valid_o is triggered once the write answer is here + assign w_dp_valid_o = write_rsp_i.r_valid; + + // create back pressure on the b channel if the higher parts of the DMA cannot accept more + // write responses + assign write_req_o.r_ready = w_dp_ready_i; + +endmodule : idma_obi_write diff --git a/src/backend/src/protocol_managers/tilelink/idma_tilelink_read.sv b/src/backend/src/protocol_managers/tilelink/idma_tilelink_read.sv new file mode 100644 index 00000000..f7f8e2ed --- /dev/null +++ b/src/backend/src/protocol_managers/tilelink/idma_tilelink_read.sv @@ -0,0 +1,217 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "common_cells/registers.svh" + +/// Implementing the TileLink read task in the iDMA transport layer. +module idma_tilelink_read #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Max Burst Length in bytes + parameter int unsigned BurstLength = 32'd8, + + /// Byte type + parameter type byte_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// TileLink Request channel type + parameter type read_req_t = logic, + /// TileLink Response channel type + parameter type read_rsp_t = logic, + + /// `r_dp_req_t` type: + parameter type r_dp_req_t = logic, + /// `r_dp_rsp_t` type: + parameter type r_dp_rsp_t = logic, + /// TileLink `A` channel type + parameter type read_meta_chan_t = logic +)( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// Read datapath request + input r_dp_req_t r_dp_req_i, + /// Read datapath request valid + input logic r_dp_valid_i, + /// Read datapath request ready + output logic r_dp_ready_o, + + /// Read datapath response + output r_dp_rsp_t r_dp_rsp_o, + /// Read datapath response valid + output logic r_dp_valid_o, + /// Read datapath response valid + input logic r_dp_ready_i, + + /// Read meta request + input read_meta_chan_t read_meta_req_i, + /// Read meta request valid + input logic read_meta_valid_i, + /// Read meta request ready + output logic read_meta_ready_o, + + /// TileLink read manager port request + output read_req_t read_req_o, + /// TileLink read manager port response + input read_rsp_t read_rsp_i, + + /// Response channel valid and ready + output logic r_chan_ready_o, + output logic r_chan_valid_o, + + /// Data to Buffer + output byte_t [StrbWidth-1:0] buffer_in_o, + /// Valid to Buffer + output strb_t buffer_in_valid_o, + /// Ready from Buffer + input strb_t buffer_in_ready_i +); + // offsets needed for masks to empty buffer + strb_t r_first_mask; + strb_t r_last_mask; + + // read aligned in mask. needs to be shifted together with the data before + // it can be used to mask valid data flowing into the buffer + strb_t read_aligned_in_mask; + + // Is this the first read in the burst? + logic first_r_d, first_r_q; + + // Is this the last read in the burst? + logic last; + + // How Many beats are left inside burst + logic [$clog2(BurstLength / StrbWidth)-1:0] counter_r_d, counter_r_q; + + // in mask is write aligned: it is the result of the read aligned in mask + // that is shifted together with the data in the barrel shifter + strb_t mask_in; + + // inbound control signals to the read buffer: controlled by the read process + logic in_valid; + logic in_ready; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + // read align masks + assign r_first_mask = '1 << r_dp_req_i.offset; + assign r_last_mask = '1 >> (StrbWidth - r_dp_req_i.tailer); + + //-------------------------------------- + // Read meta channel + //-------------------------------------- + // connect the a requests to the TileLink bus + assign read_req_o.a = read_meta_req_i.tilelink.a_chan; + assign read_req_o.a_valid = read_meta_valid_i; + assign read_meta_ready_o = read_rsp_i.a_ready; + + + //-------------------------------------- + // In mask generation + //-------------------------------------- + // in the case of unaligned reads -> not all data is valid + always_comb begin : proc_in_mask_generator + // default case: all ones + read_aligned_in_mask = '1; + // is first word: some bytes at the beginning may be invalid + read_aligned_in_mask = first_r_q ? + read_aligned_in_mask & r_first_mask : read_aligned_in_mask; + // is last word in write burst: some bytes at the end may be invalid + if (r_dp_req_i.tailer != '0) begin + read_aligned_in_mask = last ? + read_aligned_in_mask & r_last_mask : read_aligned_in_mask; + end + end + + + //-------------------------------------- + // Barrel shifter + //-------------------------------------- + // data arrives in chunks of length DATA_WDITH, the buffer will be filled with + // the realigned data. StrbWidth bytes will be inserted starting from the + // provided address, overflows will naturally wrap + + // a barrel shifter is a concatenation of the same array with twice and a normal + // shift. Optimized for Synopsys DesignWare. + assign buffer_in_o = read_rsp_i.d.data; + assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; + + + //-------------------------------------- + // Read control + //-------------------------------------- + // controls the next state of the read flag + + assign last = (!first_r_q && (counter_r_q == 'd2)) | r_dp_req_i.is_single; + + always_comb begin : proc_first_read + // Default + first_r_d = first_r_q; + counter_r_d = counter_r_q; + + // Check for response handshake + if (read_rsp_i.d_valid && read_req_o.d_ready) begin + if (last) begin + // Set first flag + first_r_d = 1'b1; + end else begin + // Reset first flag + first_r_d = 1'b0; + // Set counter + if (first_r_q) begin + // How many beats left + counter_r_d = ('d1 << read_rsp_i.d.size) >> $clog2(StrbWidth); + end else begin + // Decrement counter + counter_r_d = counter_r_q - 'd1; + end + end + end + end + + // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. + assign in_ready = &(buffer_in_ready_i | ~mask_in); + // the read can accept data if the buffer is ready and the response channel is ready + assign read_req_o.d_ready = in_ready & r_dp_ready_i; + + // once valid data is applied, it can be pushed in all the selected (mask_in) buffers + // be sure the response channel is ready + assign in_valid = read_rsp_i.d_valid & in_ready & r_dp_ready_i; + assign buffer_in_valid_o = in_valid ? mask_in : '0; + + // r_dp_ready_o is triggered by the last element arriving from the read + assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & + last & read_rsp_i.d_valid & in_ready; + + // connect r_dp response payload + assign r_dp_rsp_o.resp = {read_rsp_i.d.corrupt, read_rsp_i.d.denied}; + assign r_dp_rsp_o.last = last; + assign r_dp_rsp_o.first = first_r_q; + + // r_dp_valid_o is triggered once the last element is here or an error occurs + assign r_dp_valid_o = read_rsp_i.d_valid & in_ready & (last + | read_rsp_i.d.corrupt | read_rsp_i.d.denied); + + assign r_chan_ready_o = read_req_o.d_ready; + assign r_chan_valid_o = read_rsp_i.d_valid; + + //-------------------------------------- + // State + //-------------------------------------- + `FF(first_r_q, first_r_d, '1, clk_i, rst_ni) + `FF(counter_r_q, counter_r_d, '0, clk_i, rst_ni) + +endmodule : idma_tilelink_read diff --git a/src/backend/src/protocol_managers/tilelink/idma_tilelink_write.sv b/src/backend/src/protocol_managers/tilelink/idma_tilelink_write.sv new file mode 100644 index 00000000..2762baab --- /dev/null +++ b/src/backend/src/protocol_managers/tilelink/idma_tilelink_write.sv @@ -0,0 +1,271 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "common_cells/registers.svh" + +/// Implementing the TileLink write task in the iDMA transport layer. +module idma_tilelink_write #( + /// Stobe width + parameter int unsigned StrbWidth = 32'd16, + /// Mask invalid data on the manager interface + parameter bit MaskInvalidData = 1'b1, + /// Max Burst Length in bytes + parameter int unsigned BurstLength = 32'd8, + + /// Byte type + parameter type byte_t = logic, + /// Data type + parameter type data_t = logic, + /// Offset type + parameter type strb_t = logic, + + /// TileLink Request channel type + parameter type write_req_t = logic, + /// TileLink Response channel type + parameter type write_rsp_t = logic, + + /// `w_dp_req_t` type: + parameter type w_dp_req_t = logic, + /// `w_dp_rsp_t` type: + parameter type w_dp_rsp_t = logic, + /// TileLink `A` channel type + parameter type write_meta_chan_t = logic +) ( + /// Clock + input logic clk_i, + /// Asynchronous reset, active low + input logic rst_ni, + + /// Write datapath request + input w_dp_req_t w_dp_req_i, + /// Write datapath request valid + input logic w_dp_valid_i, + /// Write datapath request ready + output logic w_dp_ready_o, + + /// Datapath poison signal + input logic dp_poison_i, + + /// Write datapath response + output w_dp_rsp_t w_dp_rsp_o, + /// Write datapath response valid + output logic w_dp_valid_o, + /// Write datapath response valid + input logic w_dp_ready_i, + + /// Write meta request + input write_meta_chan_t write_meta_req_i, + /// Write meta request valid + input logic write_meta_valid_i, + /// Write meta request ready + output logic write_meta_ready_o, + + /// TileLink write manager port request + output write_req_t write_req_o, + /// TileLink write manager port response + input write_rsp_t write_rsp_i, + + /// Data from buffer + input byte_t [StrbWidth-1:0] buffer_out_i, + /// Valid from buffer + input strb_t buffer_out_valid_i, + /// Ready to buffer + output strb_t buffer_out_ready_o +); + // offsets needed for masks to empty buffer + strb_t w_first_mask; + strb_t w_last_mask; + + // corresponds to the strobe: the write aligned data that is currently valid in the buffer + strb_t mask_out; + + // write signals: is this the first / last element in a burst? + logic first_w; + logic last_w; + + // buffer is ready to write the requested data + logic ready_to_write; + // first transfer is possible - this signal is used to detect + // the first write transfer in a burst + logic first_possible; + // buffer is completely empty + logic buffer_clean; + // write happens + logic write_happening; + + // A temporary signal required to write the output of the buffer to before assigning it to + // the TileLink bus. This is required to be compatible with some of the Questasim Versions and some + // of the parametrizations (e.g. DataWidth = 16) + data_t buffer_data_masked; + + // we require a counter to hold the current beat in the write burst + logic [$clog2(BurstLength / StrbWidth)-1:0] w_num_beats_d, w_num_beats_q; + logic w_cnt_valid_d, w_cnt_valid_q; + + //-------------------------------------- + // Mask pre-calculation + //-------------------------------------- + // in contiguous transfers that are unaligned, there will be some + // invalid bytes at the beginning and the end of the stream + // example: 25B in 64 bit system + // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii + // first msk|----full mask----|last msk + + // write align masks + assign w_first_mask = '1 << w_dp_req_i.offset; + assign w_last_mask = '1 >> (StrbWidth - w_dp_req_i.tailer); + + //-------------------------------------- + // Out mask generation -> (mask) + //-------------------------------------- + // only pop the data actually needed for write from the buffer, + // determine valid data to pop by calculation the wstrb + always_comb begin : proc_out_mask_generator + // default case: all ones + mask_out = '1; + // is first word: some bytes at the beginning may be invalid + mask_out = first_w ? (mask_out & w_first_mask) : mask_out; + // is last word in write burst: some bytes at the end may be invalid + if (w_dp_req_i.tailer != '0 & last_w) begin + mask_out = mask_out & w_last_mask; + end + end + + + //-------------------------------------- + // Write control + //-------------------------------------- + // write is decoupled from read, due to misalignment in the read/write + // addresses, page crossing can be encountered at any time. + // To handle this efficiently, a 2-to-1 or 1-to-2 mapping of r/w beats + // is required. The write unit needs to keep track of progress through + // a counter and cannot use `r last` for that. + + // Once buffer contains a full line -> all FIFOs are non-empty push it out. + + // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty + assign ready_to_write = write_meta_valid_i & w_dp_valid_i & ((buffer_out_valid_i & mask_out) == mask_out) + & (buffer_out_valid_i != '0); + + // data needed by the first mask is available in the buffer -> r_first happened for sure + // this signal can be high during a transfer as well, it needs to be masked + assign first_possible = ((buffer_out_valid_i & w_first_mask) == w_first_mask) & + (buffer_out_valid_i != '0); + + // the buffer is completely empty and idle + assign buffer_clean = &(~buffer_out_valid_i); + + // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high + assign write_happening = ready_to_write & write_rsp_i.a_ready; + + // the main buffer is conditionally to the write mask popped + assign buffer_out_ready_o = write_happening ? mask_out : '0; + + // signal the bus that we are ready + assign write_req_o.a_valid = ready_to_write; + + // connect data and strobe either directly or mask invalid data + if (MaskInvalidData) begin : gen_mask_invalid_data + + // always_comb process implements masking of invalid data + always_comb begin : proc_mask + // defaults + write_req_o.a = write_meta_req_i.tilelink.a_chan; + buffer_data_masked = '0; + // control the write to the bus apply data to the bus only if data should be written + if (ready_to_write == 1'b1 & !dp_poison_i) begin + // assign data from buffers, mask non valid entries + for (int i = 0; i < StrbWidth; i++) begin + buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out_i[i] : 8'b0; + end + // assign the output + write_req_o.a.data = buffer_data_masked; + // assign the out mask to the strobe + write_req_o.a.mask = mask_out; + end + end + + end else begin : gen_direct_connect + // not used signal + assign buffer_data_masked = '0; + // simpler: direct connection + assign write_req_o.a.data = buffer_out_i; + assign write_req_o.a.mask = dp_poison_i ? '0 : mask_out; + end + + // the w last signal should only be applied to the bus if an actual transfer happens +// assign write_req_o.w.last = last_w & ready_to_write; + + // we are ready for the next transfer internally, once the w last signal is applied + assign w_dp_ready_o = last_w & write_happening; + assign write_meta_ready_o = w_dp_ready_o; + + // the write process: keeps track of remaining beats in burst + always_comb begin : proc_write_control + // defaults: + // beat counter + w_num_beats_d = w_num_beats_q; + w_cnt_valid_d = w_cnt_valid_q; + // mask control + first_w = 1'b0; + last_w = 1'b0; + + // differentiate between the burst and non-burst case. If a transfer + // consists just of one beat the counters are disabled + if (w_dp_req_i.is_single) begin + // in the single case the transfer is both first and last. + first_w = 1'b1; + last_w = 1'b1; + + // in the burst case the counters are needed to keep track of the progress of sending + // beats. The w_last_o depends on the state of the counter + end else begin + // first transfer happens as soon as a) the buffer is ready for a first transfer and b) + // the counter is currently invalid + first_w = first_possible & ~w_cnt_valid_q; + + // last happens as soon as a) the counter is valid and b) the counter is now down to 2 + last_w = w_cnt_valid_q & (w_num_beats_q == 'h02); + + // load the counter with data in a first cycle, only modifying state if bus is ready + if (first_w && write_happening) begin + w_num_beats_d = ('d1 << write_meta_req_i.tilelink.a_chan.size) >> $clog2(StrbWidth); + w_cnt_valid_d = 1'b1; + end + + // if we hit the last element, invalidate the counter, only modifying state + // if bus is ready + if (last_w && write_happening) begin + w_cnt_valid_d = 1'b0; + end + + // count down the beats if the counter is valid and valid data is written to the bus + if (w_cnt_valid_q && write_happening) w_num_beats_d = w_num_beats_q - 'h01; + end + end + + + //-------------------------------------- + // Write response + //-------------------------------------- + // connect w_dp response payload + assign w_dp_rsp_o.resp = {write_rsp_i.d.corrupt, write_rsp_i.d.denied}; + assign w_dp_rsp_o.user = '0; + + // w_dp_valid_o is triggered once the write answer is here + assign w_dp_valid_o = write_rsp_i.d_valid; + + // create back pressure on the b channel if the higher parts of the DMA cannot accept more + // write responses + assign write_req_o.d_ready = w_dp_ready_i; + + //-------------------------------------- + // State + //-------------------------------------- + `FF(w_cnt_valid_q, w_cnt_valid_d, '0, clk_i, rst_ni) + `FF(w_num_beats_q, w_num_beats_d, '0, clk_i, rst_ni) + +endmodule : idma_tilelink_write diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.h b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.h index dbd60f9c..8554cc05 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.h +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.h @@ -16,43 +16,57 @@ extern "C" { // Register width #define IDMA_REG32_2D_FRONTEND_PARAM_REG_WIDTH 32 +// Source Protocol +#define IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_REG_OFFSET 0x0 +#define IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_SRC_PROTOCOL_MASK 0x3 +#define IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_SRC_PROTOCOL_OFFSET 0 +#define IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_SRC_PROTOCOL_FIELD \ + ((bitfield_field32_t) { .mask = IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_SRC_PROTOCOL_MASK, .index = IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_SRC_PROTOCOL_OFFSET }) + +// Destination Protocol +#define IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_REG_OFFSET 0x4 +#define IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_DST_PROTOCOL_MASK 0x3 +#define IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_DST_PROTOCOL_OFFSET 0 +#define IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_DST_PROTOCOL_FIELD \ + ((bitfield_field32_t) { .mask = IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_DST_PROTOCOL_MASK, .index = IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_DST_PROTOCOL_OFFSET }) + // Source Address -#define IDMA_REG32_2D_FRONTEND_SRC_ADDR_REG_OFFSET 0x0 +#define IDMA_REG32_2D_FRONTEND_SRC_ADDR_REG_OFFSET 0x8 // Destination Address -#define IDMA_REG32_2D_FRONTEND_DST_ADDR_REG_OFFSET 0x4 +#define IDMA_REG32_2D_FRONTEND_DST_ADDR_REG_OFFSET 0xc // Number of bytes -#define IDMA_REG32_2D_FRONTEND_NUM_BYTES_REG_OFFSET 0x8 +#define IDMA_REG32_2D_FRONTEND_NUM_BYTES_REG_OFFSET 0x10 // Configuration Register for DMA settings -#define IDMA_REG32_2D_FRONTEND_CONF_REG_OFFSET 0xc +#define IDMA_REG32_2D_FRONTEND_CONF_REG_OFFSET 0x14 #define IDMA_REG32_2D_FRONTEND_CONF_DECOUPLE_BIT 0 #define IDMA_REG32_2D_FRONTEND_CONF_DEBURST_BIT 1 #define IDMA_REG32_2D_FRONTEND_CONF_SERIALIZE_BIT 2 #define IDMA_REG32_2D_FRONTEND_CONF_TWOD_BIT 3 // Source Stride -#define IDMA_REG32_2D_FRONTEND_STRIDE_SRC_REG_OFFSET 0x10 +#define IDMA_REG32_2D_FRONTEND_STRIDE_SRC_REG_OFFSET 0x18 // Destination Stride -#define IDMA_REG32_2D_FRONTEND_STRIDE_DST_REG_OFFSET 0x14 +#define IDMA_REG32_2D_FRONTEND_STRIDE_DST_REG_OFFSET 0x1c // Number of 2D repetitions -#define IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_REG_OFFSET 0x18 +#define IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_REG_OFFSET 0x20 // DMA Status -#define IDMA_REG32_2D_FRONTEND_STATUS_REG_OFFSET 0x1c +#define IDMA_REG32_2D_FRONTEND_STATUS_REG_OFFSET 0x24 #define IDMA_REG32_2D_FRONTEND_STATUS_BUSY_MASK 0xffff #define IDMA_REG32_2D_FRONTEND_STATUS_BUSY_OFFSET 0 #define IDMA_REG32_2D_FRONTEND_STATUS_BUSY_FIELD \ ((bitfield_field32_t) { .mask = IDMA_REG32_2D_FRONTEND_STATUS_BUSY_MASK, .index = IDMA_REG32_2D_FRONTEND_STATUS_BUSY_OFFSET }) // Next ID, launches transfer, returns 0 if transfer not set up properly. -#define IDMA_REG32_2D_FRONTEND_NEXT_ID_REG_OFFSET 0x20 +#define IDMA_REG32_2D_FRONTEND_NEXT_ID_REG_OFFSET 0x28 // Get ID of finished transactions. -#define IDMA_REG32_2D_FRONTEND_DONE_REG_OFFSET 0x24 +#define IDMA_REG32_2D_FRONTEND_DONE_REG_OFFSET 0x2c #ifdef __cplusplus } // extern "C" diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.hjson b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.hjson index 63e8ff3a..9e7c8b73 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.hjson +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.hjson @@ -15,6 +15,30 @@ regwidth: "32", registers: [ + { + name: "src_protocol", + desc: "Source Protocol", + swaccess: "rw", + hwaccess: "hro", + fields: [ + { bits: "1:0", + name: "src_protocol", + desc: "Source Protocol" + } + ] + }, + { + name: "dst_protocol", + desc: "Destination Protocol", + swaccess: "rw", + hwaccess: "hro", + fields: [ + { bits: "1:0", + name: "dst_protocol", + desc: "Destination Protocol" + } + ] + }, { name: "src_addr", desc: "Source Address", swaccess: "rw", diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.html b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.html index afef9c34..744549fd 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.html +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.html @@ -3,10 +3,40 @@ + + + + + +
+
idma_reg32_2d_frontend.src_protocol @ 0x0
+

Source Protocol

+
Reset default = 0x0, mask 0x3
+
+ + + +
31302928272625242322212019181716
 
1514131211109876543210
 src_protocol
BitsTypeResetNameDescription
1:0rwxsrc_protocol

Source Protocol

+
+ + + + + +
+
idma_reg32_2d_frontend.dst_protocol @ 0x4
+

Destination Protocol

+
Reset default = 0x0, mask 0x3
+
+ + + +
31302928272625242322212019181716
 
1514131211109876543210
 dst_protocol
BitsTypeResetNameDescription
1:0rwxdst_protocol

Destination Protocol

+
@@ -20,7 +50,7 @@
-
idma_reg32_2d_frontend.src_addr @ 0x0
+
idma_reg32_2d_frontend.src_addr @ 0x8

Source Address

Reset default = 0x0, mask 0xffffffff
@@ -34,7 +64,7 @@
-
idma_reg32_2d_frontend.dst_addr @ 0x4
+
idma_reg32_2d_frontend.dst_addr @ 0xc

Destination Address

Reset default = 0x0, mask 0xffffffff
@@ -48,7 +78,7 @@
-
idma_reg32_2d_frontend.num_bytes @ 0x8
+
idma_reg32_2d_frontend.num_bytes @ 0x10

Number of bytes

Reset default = 0x0, mask 0xffffffff
@@ -66,7 +96,7 @@
-
idma_reg32_2d_frontend.conf @ 0xc
+
idma_reg32_2d_frontend.conf @ 0x14

Configuration Register for DMA settings

Reset default = 0x0, mask 0xf
@@ -80,7 +110,7 @@
-
idma_reg32_2d_frontend.stride_src @ 0x10
+
idma_reg32_2d_frontend.stride_src @ 0x18

Source Stride

Reset default = 0x0, mask 0xffffffff
@@ -94,7 +124,7 @@
-
idma_reg32_2d_frontend.stride_dst @ 0x14
+
idma_reg32_2d_frontend.stride_dst @ 0x1c

Destination Stride

Reset default = 0x0, mask 0xffffffff
@@ -108,7 +138,7 @@
-
idma_reg32_2d_frontend.num_repetitions @ 0x18
+
idma_reg32_2d_frontend.num_repetitions @ 0x20

Number of 2D repetitions

Reset default = 0x1, mask 0xffffffff
@@ -122,7 +152,7 @@
-
idma_reg32_2d_frontend.status @ 0x1c
+
idma_reg32_2d_frontend.status @ 0x24

DMA Status

Reset default = 0x0, mask 0xffff
@@ -136,7 +166,7 @@
-
idma_reg32_2d_frontend.next_id @ 0x20
+
idma_reg32_2d_frontend.next_id @ 0x28

Next ID, launches transfer, returns 0 if transfer not set up properly.

Reset default = 0x0, mask 0xffffffff
diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.sv b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.sv index dc8403df..3e7caa07 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.sv +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend.sv @@ -84,6 +84,12 @@ module idma_reg32_2d_frontend #( always_comb begin : hw_req_conv arb_burst_req[i] = '0; + arb_burst_req[i].burst_req.opt.src_protocol = + idma_pkg::protocol_e'(dma_reg2hw[i].src_protocol.q); + + arb_burst_req[i].burst_req.opt.dst_protocol = + idma_pkg::protocol_e'(dma_reg2hw[i].dst_protocol.q); + arb_burst_req[i].burst_req.length = dma_reg2hw[i].num_bytes.q; arb_burst_req[i].burst_req.src_addr = dma_reg2hw[i].src_addr.q; arb_burst_req[i].burst_req.dst_addr = dma_reg2hw[i].dst_addr.q; diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_pkg.sv b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_pkg.sv index c4b43613..e3e5da7e 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_pkg.sv +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_pkg.sv @@ -13,6 +13,14 @@ package idma_reg32_2d_frontend_reg_pkg; // Typedefs for registers // //////////////////////////// + typedef struct packed { + logic [1:0] q; + } idma_reg32_2d_frontend_reg2hw_src_protocol_reg_t; + + typedef struct packed { + logic [1:0] q; + } idma_reg32_2d_frontend_reg2hw_dst_protocol_reg_t; + typedef struct packed { logic [31:0] q; } idma_reg32_2d_frontend_reg2hw_src_addr_reg_t; @@ -76,6 +84,8 @@ package idma_reg32_2d_frontend_reg_pkg; // Register -> HW type typedef struct packed { + idma_reg32_2d_frontend_reg2hw_src_protocol_reg_t src_protocol; // [265:264] + idma_reg32_2d_frontend_reg2hw_dst_protocol_reg_t dst_protocol; // [263:262] idma_reg32_2d_frontend_reg2hw_src_addr_reg_t src_addr; // [261:230] idma_reg32_2d_frontend_reg2hw_dst_addr_reg_t dst_addr; // [229:198] idma_reg32_2d_frontend_reg2hw_num_bytes_reg_t num_bytes; // [197:166] @@ -95,16 +105,18 @@ package idma_reg32_2d_frontend_reg_pkg; } idma_reg32_2d_frontend_hw2reg_t; // Register offsets - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_SRC_ADDR_OFFSET = 6'h 0; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_DST_ADDR_OFFSET = 6'h 4; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NUM_BYTES_OFFSET = 6'h 8; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_CONF_OFFSET = 6'h c; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STRIDE_SRC_OFFSET = 6'h 10; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STRIDE_DST_OFFSET = 6'h 14; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_OFFSET = 6'h 18; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STATUS_OFFSET = 6'h 1c; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NEXT_ID_OFFSET = 6'h 20; - parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_DONE_OFFSET = 6'h 24; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_OFFSET = 6'h 0; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_OFFSET = 6'h 4; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_SRC_ADDR_OFFSET = 6'h 8; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_DST_ADDR_OFFSET = 6'h c; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NUM_BYTES_OFFSET = 6'h 10; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_CONF_OFFSET = 6'h 14; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STRIDE_SRC_OFFSET = 6'h 18; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STRIDE_DST_OFFSET = 6'h 1c; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_OFFSET = 6'h 20; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_STATUS_OFFSET = 6'h 24; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_NEXT_ID_OFFSET = 6'h 28; + parameter logic [BlockAw-1:0] IDMA_REG32_2D_FRONTEND_DONE_OFFSET = 6'h 2c; // Reset values for hwext registers and their fields parameter logic [15:0] IDMA_REG32_2D_FRONTEND_STATUS_RESVAL = 16'h 0; @@ -113,6 +125,8 @@ package idma_reg32_2d_frontend_reg_pkg; // Register index typedef enum int { + IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL, + IDMA_REG32_2D_FRONTEND_DST_PROTOCOL, IDMA_REG32_2D_FRONTEND_SRC_ADDR, IDMA_REG32_2D_FRONTEND_DST_ADDR, IDMA_REG32_2D_FRONTEND_NUM_BYTES, @@ -126,17 +140,19 @@ package idma_reg32_2d_frontend_reg_pkg; } idma_reg32_2d_frontend_id_e; // Register width information to check illegal writes - parameter logic [3:0] IDMA_REG32_2D_FRONTEND_PERMIT [10] = '{ - 4'b 1111, // index[0] IDMA_REG32_2D_FRONTEND_SRC_ADDR - 4'b 1111, // index[1] IDMA_REG32_2D_FRONTEND_DST_ADDR - 4'b 1111, // index[2] IDMA_REG32_2D_FRONTEND_NUM_BYTES - 4'b 0001, // index[3] IDMA_REG32_2D_FRONTEND_CONF - 4'b 1111, // index[4] IDMA_REG32_2D_FRONTEND_STRIDE_SRC - 4'b 1111, // index[5] IDMA_REG32_2D_FRONTEND_STRIDE_DST - 4'b 1111, // index[6] IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS - 4'b 0011, // index[7] IDMA_REG32_2D_FRONTEND_STATUS - 4'b 1111, // index[8] IDMA_REG32_2D_FRONTEND_NEXT_ID - 4'b 1111 // index[9] IDMA_REG32_2D_FRONTEND_DONE + parameter logic [3:0] IDMA_REG32_2D_FRONTEND_PERMIT [12] = '{ + 4'b 0001, // index[ 0] IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL + 4'b 0001, // index[ 1] IDMA_REG32_2D_FRONTEND_DST_PROTOCOL + 4'b 1111, // index[ 2] IDMA_REG32_2D_FRONTEND_SRC_ADDR + 4'b 1111, // index[ 3] IDMA_REG32_2D_FRONTEND_DST_ADDR + 4'b 1111, // index[ 4] IDMA_REG32_2D_FRONTEND_NUM_BYTES + 4'b 0001, // index[ 5] IDMA_REG32_2D_FRONTEND_CONF + 4'b 1111, // index[ 6] IDMA_REG32_2D_FRONTEND_STRIDE_SRC + 4'b 1111, // index[ 7] IDMA_REG32_2D_FRONTEND_STRIDE_DST + 4'b 1111, // index[ 8] IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS + 4'b 0011, // index[ 9] IDMA_REG32_2D_FRONTEND_STATUS + 4'b 1111, // index[10] IDMA_REG32_2D_FRONTEND_NEXT_ID + 4'b 1111 // index[11] IDMA_REG32_2D_FRONTEND_DONE }; endpackage diff --git a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_top.sv b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_top.sv index c83af9c0..7a083d76 100644 --- a/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_top.sv +++ b/src/frontends/register_32bit_2d/idma_reg32_2d_frontend_reg_top.sv @@ -68,6 +68,12 @@ module idma_reg32_2d_frontend_reg_top #( // Define SW related signals // Format: __{wd|we|qs} // or _{wd|we|qs} if field == 1 or 0 + logic [1:0] src_protocol_qs; + logic [1:0] src_protocol_wd; + logic src_protocol_we; + logic [1:0] dst_protocol_qs; + logic [1:0] dst_protocol_wd; + logic dst_protocol_we; logic [31:0] src_addr_qs; logic [31:0] src_addr_wd; logic src_addr_we; @@ -106,6 +112,60 @@ module idma_reg32_2d_frontend_reg_top #( logic done_re; // Register instances + // R[src_protocol]: V(False) + + prim_subreg #( + .DW (2), + .SWACCESS("RW"), + .RESVAL (2'h0) + ) u_src_protocol ( + .clk_i (clk_i ), + .rst_ni (rst_ni ), + + // from register interface + .we (src_protocol_we), + .wd (src_protocol_wd), + + // from internal hardware + .de (1'b0), + .d ('0 ), + + // to internal hardware + .qe (), + .q (reg2hw.src_protocol.q ), + + // to register interface (read) + .qs (src_protocol_qs) + ); + + + // R[dst_protocol]: V(False) + + prim_subreg #( + .DW (2), + .SWACCESS("RW"), + .RESVAL (2'h0) + ) u_dst_protocol ( + .clk_i (clk_i ), + .rst_ni (rst_ni ), + + // from register interface + .we (dst_protocol_we), + .wd (dst_protocol_wd), + + // from internal hardware + .de (1'b0), + .d ('0 ), + + // to internal hardware + .qe (), + .q (reg2hw.dst_protocol.q ), + + // to register interface (read) + .qs (dst_protocol_qs) + ); + + // R[src_addr]: V(False) prim_subreg #( @@ -424,19 +484,21 @@ module idma_reg32_2d_frontend_reg_top #( - logic [9:0] addr_hit; + logic [11:0] addr_hit; always_comb begin addr_hit = '0; - addr_hit[0] = (reg_addr == IDMA_REG32_2D_FRONTEND_SRC_ADDR_OFFSET); - addr_hit[1] = (reg_addr == IDMA_REG32_2D_FRONTEND_DST_ADDR_OFFSET); - addr_hit[2] = (reg_addr == IDMA_REG32_2D_FRONTEND_NUM_BYTES_OFFSET); - addr_hit[3] = (reg_addr == IDMA_REG32_2D_FRONTEND_CONF_OFFSET); - addr_hit[4] = (reg_addr == IDMA_REG32_2D_FRONTEND_STRIDE_SRC_OFFSET); - addr_hit[5] = (reg_addr == IDMA_REG32_2D_FRONTEND_STRIDE_DST_OFFSET); - addr_hit[6] = (reg_addr == IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_OFFSET); - addr_hit[7] = (reg_addr == IDMA_REG32_2D_FRONTEND_STATUS_OFFSET); - addr_hit[8] = (reg_addr == IDMA_REG32_2D_FRONTEND_NEXT_ID_OFFSET); - addr_hit[9] = (reg_addr == IDMA_REG32_2D_FRONTEND_DONE_OFFSET); + addr_hit[ 0] = (reg_addr == IDMA_REG32_2D_FRONTEND_SRC_PROTOCOL_OFFSET); + addr_hit[ 1] = (reg_addr == IDMA_REG32_2D_FRONTEND_DST_PROTOCOL_OFFSET); + addr_hit[ 2] = (reg_addr == IDMA_REG32_2D_FRONTEND_SRC_ADDR_OFFSET); + addr_hit[ 3] = (reg_addr == IDMA_REG32_2D_FRONTEND_DST_ADDR_OFFSET); + addr_hit[ 4] = (reg_addr == IDMA_REG32_2D_FRONTEND_NUM_BYTES_OFFSET); + addr_hit[ 5] = (reg_addr == IDMA_REG32_2D_FRONTEND_CONF_OFFSET); + addr_hit[ 6] = (reg_addr == IDMA_REG32_2D_FRONTEND_STRIDE_SRC_OFFSET); + addr_hit[ 7] = (reg_addr == IDMA_REG32_2D_FRONTEND_STRIDE_DST_OFFSET); + addr_hit[ 8] = (reg_addr == IDMA_REG32_2D_FRONTEND_NUM_REPETITIONS_OFFSET); + addr_hit[ 9] = (reg_addr == IDMA_REG32_2D_FRONTEND_STATUS_OFFSET); + addr_hit[10] = (reg_addr == IDMA_REG32_2D_FRONTEND_NEXT_ID_OFFSET); + addr_hit[11] = (reg_addr == IDMA_REG32_2D_FRONTEND_DONE_OFFSET); end assign addrmiss = (reg_re || reg_we) ? ~|addr_hit : 1'b0 ; @@ -444,98 +506,114 @@ module idma_reg32_2d_frontend_reg_top #( // Check sub-word write is permitted always_comb begin wr_err = (reg_we & - ((addr_hit[0] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[0] & ~reg_be))) | - (addr_hit[1] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[1] & ~reg_be))) | - (addr_hit[2] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[2] & ~reg_be))) | - (addr_hit[3] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[3] & ~reg_be))) | - (addr_hit[4] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[4] & ~reg_be))) | - (addr_hit[5] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[5] & ~reg_be))) | - (addr_hit[6] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[6] & ~reg_be))) | - (addr_hit[7] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[7] & ~reg_be))) | - (addr_hit[8] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[8] & ~reg_be))) | - (addr_hit[9] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[9] & ~reg_be))))); + ((addr_hit[ 0] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 0] & ~reg_be))) | + (addr_hit[ 1] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 1] & ~reg_be))) | + (addr_hit[ 2] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 2] & ~reg_be))) | + (addr_hit[ 3] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 3] & ~reg_be))) | + (addr_hit[ 4] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 4] & ~reg_be))) | + (addr_hit[ 5] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 5] & ~reg_be))) | + (addr_hit[ 6] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 6] & ~reg_be))) | + (addr_hit[ 7] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 7] & ~reg_be))) | + (addr_hit[ 8] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 8] & ~reg_be))) | + (addr_hit[ 9] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[ 9] & ~reg_be))) | + (addr_hit[10] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[10] & ~reg_be))) | + (addr_hit[11] & (|(IDMA_REG32_2D_FRONTEND_PERMIT[11] & ~reg_be))))); end - assign src_addr_we = addr_hit[0] & reg_we & !reg_error; + assign src_protocol_we = addr_hit[0] & reg_we & !reg_error; + assign src_protocol_wd = reg_wdata[1:0]; + + assign dst_protocol_we = addr_hit[1] & reg_we & !reg_error; + assign dst_protocol_wd = reg_wdata[1:0]; + + assign src_addr_we = addr_hit[2] & reg_we & !reg_error; assign src_addr_wd = reg_wdata[31:0]; - assign dst_addr_we = addr_hit[1] & reg_we & !reg_error; + assign dst_addr_we = addr_hit[3] & reg_we & !reg_error; assign dst_addr_wd = reg_wdata[31:0]; - assign num_bytes_we = addr_hit[2] & reg_we & !reg_error; + assign num_bytes_we = addr_hit[4] & reg_we & !reg_error; assign num_bytes_wd = reg_wdata[31:0]; - assign conf_decouple_we = addr_hit[3] & reg_we & !reg_error; + assign conf_decouple_we = addr_hit[5] & reg_we & !reg_error; assign conf_decouple_wd = reg_wdata[0]; - assign conf_deburst_we = addr_hit[3] & reg_we & !reg_error; + assign conf_deburst_we = addr_hit[5] & reg_we & !reg_error; assign conf_deburst_wd = reg_wdata[1]; - assign conf_serialize_we = addr_hit[3] & reg_we & !reg_error; + assign conf_serialize_we = addr_hit[5] & reg_we & !reg_error; assign conf_serialize_wd = reg_wdata[2]; - assign conf_twod_we = addr_hit[3] & reg_we & !reg_error; + assign conf_twod_we = addr_hit[5] & reg_we & !reg_error; assign conf_twod_wd = reg_wdata[3]; - assign stride_src_we = addr_hit[4] & reg_we & !reg_error; + assign stride_src_we = addr_hit[6] & reg_we & !reg_error; assign stride_src_wd = reg_wdata[31:0]; - assign stride_dst_we = addr_hit[5] & reg_we & !reg_error; + assign stride_dst_we = addr_hit[7] & reg_we & !reg_error; assign stride_dst_wd = reg_wdata[31:0]; - assign num_repetitions_we = addr_hit[6] & reg_we & !reg_error; + assign num_repetitions_we = addr_hit[8] & reg_we & !reg_error; assign num_repetitions_wd = reg_wdata[31:0]; - assign status_re = addr_hit[7] & reg_re & !reg_error; + assign status_re = addr_hit[9] & reg_re & !reg_error; - assign next_id_re = addr_hit[8] & reg_re & !reg_error; + assign next_id_re = addr_hit[10] & reg_re & !reg_error; - assign done_re = addr_hit[9] & reg_re & !reg_error; + assign done_re = addr_hit[11] & reg_re & !reg_error; // Read data return always_comb begin reg_rdata_next = '0; unique case (1'b1) addr_hit[0]: begin - reg_rdata_next[31:0] = src_addr_qs; + reg_rdata_next[1:0] = src_protocol_qs; end addr_hit[1]: begin - reg_rdata_next[31:0] = dst_addr_qs; + reg_rdata_next[1:0] = dst_protocol_qs; end addr_hit[2]: begin - reg_rdata_next[31:0] = num_bytes_qs; + reg_rdata_next[31:0] = src_addr_qs; end addr_hit[3]: begin + reg_rdata_next[31:0] = dst_addr_qs; + end + + addr_hit[4]: begin + reg_rdata_next[31:0] = num_bytes_qs; + end + + addr_hit[5]: begin reg_rdata_next[0] = conf_decouple_qs; reg_rdata_next[1] = conf_deburst_qs; reg_rdata_next[2] = conf_serialize_qs; reg_rdata_next[3] = conf_twod_qs; end - addr_hit[4]: begin + addr_hit[6]: begin reg_rdata_next[31:0] = stride_src_qs; end - addr_hit[5]: begin + addr_hit[7]: begin reg_rdata_next[31:0] = stride_dst_qs; end - addr_hit[6]: begin + addr_hit[8]: begin reg_rdata_next[31:0] = num_repetitions_qs; end - addr_hit[7]: begin + addr_hit[9]: begin reg_rdata_next[15:0] = status_qs; end - addr_hit[8]: begin + addr_hit[10]: begin reg_rdata_next[31:0] = next_id_qs; end - addr_hit[9]: begin + addr_hit[11]: begin reg_rdata_next[31:0] = done_qs; end diff --git a/src/idma_axi_lite_transport_layer.sv b/src/idma_axi_lite_transport_layer.sv deleted file mode 100644 index 1951ceb5..00000000 --- a/src/idma_axi_lite_transport_layer.sv +++ /dev/null @@ -1,332 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "common_cells/registers.svh" - -/// Implementing the AXI4 transport layer in the iDMA backend. -module idma_axi_lite_transport_layer #( - /// Data width - parameter int unsigned DataWidth = 32'd16, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Print the info of the FIFO configuration - parameter bit PrintFifoInfo = 1'b0, - /// `r_dp_req_t` type: - parameter type r_dp_req_t = logic, - /// `r_dp_req_t` type: - parameter type w_dp_req_t = logic, - /// `r_dp_req_t` type: - parameter type r_dp_rsp_t = logic, - /// `r_dp_req_t` type: - parameter type w_dp_rsp_t = logic, - /// AXI-Lite `AW` channel type - parameter type axi_lite_aw_chan_t = logic, - /// AXI-Lite `AR` channel type - parameter type axi_lite_ar_chan_t = logic, - /// AXI-Lite Request channel type - parameter type axi_lite_req_t = logic, - /// AXI-Lite Response channel type - parameter type axi_lite_rsp_t = logic -)( - /// Clock - input logic clk_i, - /// Asynchronous reset, active low - input logic rst_ni, - /// Testmode in - input logic testmode_i, - - /// AXI-Lite manager port request - output axi_lite_req_t axi_lite_req_o, - /// AXI-Lite manager port response - input axi_lite_rsp_t axi_lite_rsp_i, - - /// Read datapath request - input r_dp_req_t r_dp_req_i, - /// Read datapath request valid - input logic r_dp_valid_i, - /// Read datapath request ready - output logic r_dp_ready_o, - - /// Read datapath response - output r_dp_rsp_t r_dp_rsp_o, - /// Read datapath response valid - output logic r_dp_valid_o, - /// Read datapath response valid - input logic r_dp_ready_i, - - /// Write datapath request - input w_dp_req_t w_dp_req_i, - /// Write datapath request valid - input logic w_dp_valid_i, - /// Write datapath request ready - output logic w_dp_ready_o, - - /// Write datapath response - output w_dp_rsp_t w_dp_rsp_o, - /// Write datapath response valid - output logic w_dp_valid_o, - /// Write datapath response valid - input logic w_dp_ready_i, - - /// Read meta request - input axi_lite_ar_chan_t ar_req_i, - /// Read meta request valid - input logic ar_valid_i, - /// Read meta request ready - output logic ar_ready_o, - - /// Write meta request - input axi_lite_aw_chan_t aw_req_i, - /// Write meta request valid - input logic aw_valid_i, - /// Write meta request ready - output logic aw_ready_o, - - /// Datapath poison signal - input logic dp_poison_i, - - /// Read part of the datapath is busy - output logic r_dp_busy_o, - /// Write part of the datapath is busy - output logic w_dp_busy_o, - /// Buffer is busy - output logic buffer_busy_o -); - - /// Stobe width - localparam int unsigned StrbWidth = DataWidth / 8; - - /// Data type - typedef logic [DataWidth-1:0] data_t; - /// Offset type - typedef logic [StrbWidth-1:0] strb_t; - /// Byte type - typedef logic [7:0] byte_t; - - // shifted data flowing into the buffer - byte_t [StrbWidth-1:0] buffer_in; - - // read aligned in mask. needs to be shifted together with the data before - // it can be used to mask valid data flowing into the buffer - strb_t read_aligned_in_mask; - - // in mask is write aligned: it is the result of the read aligned in mask - // that is shifted together with the data in the barrel shifter - strb_t mask_in; - - // inbound control signals to the read buffer: controlled by the read process - strb_t buffer_in_valid; - strb_t buffer_in_ready; - logic in_valid; - logic in_ready; - - // corresponds to the strobe: the write aligned data that is currently valid in the buffer - strb_t mask_out; - - // aligned and coalesced data leaving the buffer - byte_t [StrbWidth-1:0] buffer_out; - - // A temporary signal required to write the output of the buffer to before assigning it to - // the AXI bus. This is required to be compatible with some of the Questasim Versions and some - // of the parametrizations (e.g. DataWidth = 16) - data_t buffer_data_masked; - - // outbound control signals of the buffer: controlled by the write process - strb_t buffer_out_valid; - strb_t buffer_out_ready; - - // write happens - logic write_happening; - // buffer is ready to write the requested data - logic ready_to_write; - // buffer is completely empty - logic buffer_clean; - - //-------------------------------------- - // Read meta channel - //-------------------------------------- - // connect the ar requests to the AXI bus - assign axi_lite_req_o.ar = ar_req_i; - assign axi_lite_req_o.ar_valid = ar_valid_i; - assign ar_ready_o = axi_lite_rsp_i.ar_ready; - - - //-------------------------------------- - // In mask generation - //-------------------------------------- - // in contiguous transfers that are unaligned, there will be some - // invalid bytes at the beginning and the end of the stream - // example: 25B in 64 bit system - // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii - // first msk|----full mask----|last msk - - assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & - ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); - - //-------------------------------------- - // Barrel shifter - //-------------------------------------- - // data arrives in chunks of length DATA_WDITH, the buffer will be filled with - // the realigned data. StrbWidth bytes will be inserted starting from the - // provided address, overflows will naturally wrap - - // a barrel shifter is a concatenation of the same array with twice and a normal - // shift. Optimized for Synopsys DesignWare. - assign buffer_in = {axi_lite_rsp_i.r.data, axi_lite_rsp_i.r.data} >> (r_dp_req_i.shift * 8); - assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; - - - //-------------------------------------- - // Read control - //-------------------------------------- - // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. - assign in_ready = &(buffer_in_ready | ~mask_in); - // the read can accept data if the buffer is ready and the response channel is ready - assign axi_lite_req_o.r_ready = in_ready & r_dp_ready_i; - - // once valid data is applied, it can be pushed in all the selected (mask_in) buffers - // be sure the response channel is ready - assign in_valid = axi_lite_rsp_i.r_valid & in_ready & r_dp_ready_i; - assign buffer_in_valid = in_valid ? mask_in : '0; - - // r_dp_ready_o is triggered by the element arriving from the read - assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & axi_lite_rsp_i.r_valid & in_ready; - - // connect r_dp response payload - assign r_dp_rsp_o.resp = axi_lite_rsp_i.r.resp; - assign r_dp_rsp_o.last = 1'b1; - assign r_dp_rsp_o.first = 1'b1; - - // r_dp_valid_o is triggered once the element is here - assign r_dp_valid_o = axi_lite_rsp_i.r_valid & in_ready; - - - //-------------------------------------- - // Write meta channel - //-------------------------------------- - // connect the aw requests to the AXI bus - assign axi_lite_req_o.aw = aw_req_i; - assign axi_lite_req_o.aw_valid = aw_valid_i; - assign aw_ready_o = axi_lite_rsp_i.aw_ready; - - - //-------------------------------------- - // Out mask generation -> (wstrb mask) - //-------------------------------------- - // only pop the data actually needed for write from the buffer, - // determine valid data to pop by calculation the wstrb - - assign mask_out = ('1 << w_dp_req_i.offset) & - ((w_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - w_dp_req_i.tailer)) : '1); - - - //-------------------------------------- - // Write control - //-------------------------------------- - // write is decoupled from read, due to misalignment in the read/write - // addresses, page crossing can be encountered at any time. - // To handle this efficiently, a 2-to-1 or 1-to-2 mapping of r/w beats - // is required. The write unit needs to keep track of progress through - // a counter and cannot use `r last` for that. - - // Once buffer contains a full line -> all FIFOs are non-empty push it out. - - // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty - assign ready_to_write = ((buffer_out_valid & mask_out) == mask_out) & (buffer_out_valid != '0); - - // the buffer is completely empty and idle - assign buffer_clean = &(~buffer_out_valid); - - // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high - assign write_happening = ready_to_write & axi_lite_rsp_i.w_ready; - - // the main buffer is conditionally to the write mask popped - assign buffer_out_ready = write_happening ? mask_out : '0; - - // signal the bus that we are ready - assign axi_lite_req_o.w_valid = ready_to_write; - - // connect data and strobe either directly or mask invalid data - if (MaskInvalidData) begin : gen_mask_invalid_data - - // always_comb process implements masking of invalid data - always_comb begin : proc_mask - // defaults - axi_lite_req_o.w.data = '0; - axi_lite_req_o.w.strb = '0; - buffer_data_masked = '0; - // control the write to the bus apply data to the bus only if data should be written - if (ready_to_write == 1'b1 & !dp_poison_i) begin - // assign data from buffers, mask non valid entries - for (int i = 0; i < StrbWidth; i++) begin - buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out[i] : 8'b0; - end - // assign the output - axi_lite_req_o.w.data = buffer_data_masked; - // assign the out mask to the strobe - axi_lite_req_o.w.strb = mask_out; - end - end - - end else begin : gen_direct_connect - // not used signal - assign buffer_data_masked = '0; - // simpler: direct connection - assign axi_lite_req_o.w.data = buffer_out; - assign axi_lite_req_o.w.strb = dp_poison_i ? '0 : mask_out; - end - - // we are ready for the next transfer internally, once the w last signal is applied - assign w_dp_ready_o = write_happening; - - //-------------------------------------- - // Write response - //-------------------------------------- - // connect w_dp response payload - assign w_dp_rsp_o.resp = axi_lite_rsp_i.b.resp; - - // w_dp_valid_o is triggered once the write answer is here - assign w_dp_valid_o = axi_lite_rsp_i.b_valid; - - // create back pressure on the b channel if the higher parts of the DMA cannot accept more - // write responses - assign axi_lite_req_o.b_ready = w_dp_ready_i; - - //-------------------------------------- - // Buffer - //-------------------------------------- - idma_buffer #( - .BufferDepth ( BufferDepth ), - .StrbWidth ( StrbWidth ), - .PrintFifoInfo ( PrintFifoInfo ), - .strb_t ( strb_t ), - .byte_t ( byte_t ) - ) i_idma_buffer ( - .clk_i, - .rst_ni, - .testmode_i, - .data_i ( buffer_in ), - .valid_i ( buffer_in_valid ), - .ready_o ( buffer_in_ready ), - .data_o ( buffer_out ), - .valid_o ( buffer_out_valid ), - .ready_i ( buffer_out_ready ) - ); - - - //-------------------------------------- - // Module Control - //-------------------------------------- - assign r_dp_busy_o = r_dp_valid_i | r_dp_ready_o; - assign w_dp_busy_o = w_dp_valid_i | w_dp_ready_o; - assign buffer_busy_o = !buffer_clean; - -endmodule : idma_axi_lite_transport_layer diff --git a/src/idma_axi_transport_layer.sv b/src/idma_axi_transport_layer.sv deleted file mode 100644 index 0e02f7e8..00000000 --- a/src/idma_axi_transport_layer.sv +++ /dev/null @@ -1,469 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz - -`include "common_cells/registers.svh" - -/// Implementing the AXI4 transport layer in the iDMA backend. -module idma_axi_transport_layer #( - /// Data width - parameter int unsigned DataWidth = 32'd16, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Print the info of the FIFO configuration - parameter bit PrintFifoInfo = 1'b0, - /// `r_dp_req_t` type: - parameter type r_dp_req_t = logic, - /// `r_dp_req_t` type: - parameter type w_dp_req_t = logic, - /// `r_dp_req_t` type: - parameter type r_dp_rsp_t = logic, - /// `r_dp_req_t` type: - parameter type w_dp_rsp_t = logic, - /// AXI 4 `AW` channel type - parameter type axi_aw_chan_t = logic, - /// AXI 4 `AR` channel type - parameter type axi_ar_chan_t = logic, - /// AXI 4 Request channel type - parameter type axi_req_t = logic, - /// AXI 4 Response channel type - parameter type axi_rsp_t = logic -)( - /// Clock - input logic clk_i, - /// Asynchronous reset, active low - input logic rst_ni, - /// Testmode in - input logic testmode_i, - - /// AXI4+ATOP manager port request - output axi_req_t axi_req_o, - /// AXI4+ATOP manager port response - input axi_rsp_t axi_rsp_i, - - /// Read datapath request - input r_dp_req_t r_dp_req_i, - /// Read datapath request valid - input logic r_dp_valid_i, - /// Read datapath request ready - output logic r_dp_ready_o, - - /// Read datapath response - output r_dp_rsp_t r_dp_rsp_o, - /// Read datapath response valid - output logic r_dp_valid_o, - /// Read datapath response valid - input logic r_dp_ready_i, - - /// Write datapath request - input w_dp_req_t w_dp_req_i, - /// Write datapath request valid - input logic w_dp_valid_i, - /// Write datapath request ready - output logic w_dp_ready_o, - - /// Write datapath response - output w_dp_rsp_t w_dp_rsp_o, - /// Write datapath response valid - output logic w_dp_valid_o, - /// Write datapath response valid - input logic w_dp_ready_i, - - /// Read meta request - input axi_ar_chan_t ar_req_i, - /// Read meta request valid - input logic ar_valid_i, - /// Read meta request ready - output logic ar_ready_o, - - /// Write meta request - input axi_aw_chan_t aw_req_i, - /// Write meta request valid - input logic aw_valid_i, - /// Write meta request ready - output logic aw_ready_o, - - /// Datapath poison signal - input logic dp_poison_i, - - /// Read part of the datapath is busy - output logic r_dp_busy_o, - /// Write part of the datapath is busy - output logic w_dp_busy_o, - /// Buffer is busy - output logic buffer_busy_o -); - - /// Stobe width - localparam int unsigned StrbWidth = DataWidth / 8; - - /// Data type - typedef logic [DataWidth-1:0] data_t; - /// Offset type - typedef logic [StrbWidth-1:0] strb_t; - /// Byte type - typedef logic [7:0] byte_t; - - // offsets needed for masks to fill/empty buffer - strb_t r_first_mask; - strb_t r_last_mask; - strb_t w_first_mask; - strb_t w_last_mask; - - // hold one bit state: it this the first read? - logic first_r_d, first_r_q; - - // shifted data flowing into the buffer - byte_t [StrbWidth-1:0] buffer_in; - - // read aligned in mask. needs to be shifted together with the data before - // it can be used to mask valid data flowing into the buffer - strb_t read_aligned_in_mask; - - // in mask is write aligned: it is the result of the read aligned in mask - // that is shifted together with the data in the barrel shifter - strb_t mask_in; - - // inbound control signals to the read buffer: controlled by the read process - strb_t buffer_in_valid; - strb_t buffer_in_ready; - logic in_valid; - logic in_ready; - - // corresponds to the strobe: the write aligned data that is currently valid in the buffer - strb_t mask_out; - - // write signals: is this the first / last element in a burst? - logic first_w; - logic last_w; - - // aligned and coalesced data leaving the buffer - byte_t [StrbWidth-1:0] buffer_out; - - // A temporary signal required to write the output of the buffer to before assigning it to - // the AXI bus. This is required to be compatible with some of the Questasim Versions and some - // of the parametrizations (e.g. DataWidth = 16) - data_t buffer_data_masked; - - // outbound control signals of the buffer: controlled by the write process - strb_t buffer_out_valid; - strb_t buffer_out_ready; - - // write happens - logic write_happening; - // buffer is ready to write the requested data - logic ready_to_write; - // first transfer is possible - this signal is used to detect - // the first write transfer in a burst - logic first_possible; - // buffer is completely empty - logic buffer_clean; - - // we require a counter to hold the current beat in the burst - logic [7:0] w_num_beats_d, w_num_beats_q; - logic w_cnt_valid_d, w_cnt_valid_q; - - - //-------------------------------------- - // Mask pre-calculation - //-------------------------------------- - // in contiguous transfers that are unaligned, there will be some - // invalid bytes at the beginning and the end of the stream - // example: 25B in 64 bit system - // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii - // first msk|----full mask----|last msk - - // read align masks - assign r_first_mask = '1 << r_dp_req_i.offset; - assign r_last_mask = '1 >> (StrbWidth - r_dp_req_i.tailer); - - // write align masks - assign w_first_mask = '1 << w_dp_req_i.offset; - assign w_last_mask = '1 >> (StrbWidth - w_dp_req_i.tailer); - - - //-------------------------------------- - // Read meta channel - //-------------------------------------- - // connect the ar requests to the AXI bus - assign axi_req_o.ar = ar_req_i; - assign axi_req_o.ar_valid = ar_valid_i; - assign ar_ready_o = axi_rsp_i.ar_ready; - - - //-------------------------------------- - // In mask generation - //-------------------------------------- - // in the case of unaligned reads -> not all data is valid - always_comb begin : proc_in_mask_generator - // default case: all ones - read_aligned_in_mask = '1; - // is first word: some bytes at the beginning may be invalid - read_aligned_in_mask = first_r_q ? - read_aligned_in_mask & r_first_mask : read_aligned_in_mask; - // is last word in write burst: some bytes at the end may be invalid - if (r_dp_req_i.tailer != '0) begin - read_aligned_in_mask = axi_rsp_i.r.last ? - read_aligned_in_mask & r_last_mask : read_aligned_in_mask; - end - end - - - //-------------------------------------- - // Barrel shifter - //-------------------------------------- - // data arrives in chunks of length DATA_WDITH, the buffer will be filled with - // the realigned data. StrbWidth bytes will be inserted starting from the - // provided address, overflows will naturally wrap - - // a barrel shifter is a concatenation of the same array with twice and a normal - // shift. Optimized for Synopsys DesignWare. - assign buffer_in = {axi_rsp_i.r.data, axi_rsp_i.r.data} >> (r_dp_req_i.shift * 8); - assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; - - - //-------------------------------------- - // Read control - //-------------------------------------- - // controls the next state of the read flag - always_comb begin : proc_first_read - // sticky is first bit for read - if (!axi_rsp_i.r.last & axi_rsp_i.r_valid & axi_req_o.r_ready) begin - // new transfer has started - first_r_d = 1'b0; - end else if (axi_rsp_i.r.last & axi_rsp_i.r_valid & axi_req_o.r_ready) begin - // finish read burst - first_r_d = 1'b1; - end else begin - // no change - first_r_d = first_r_q; - end - end - - // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. - assign in_ready = &(buffer_in_ready | ~mask_in); - // the read can accept data if the buffer is ready and the response channel is ready - assign axi_req_o.r_ready = in_ready & r_dp_ready_i; - - // once valid data is applied, it can be pushed in all the selected (mask_in) buffers - // be sure the response channel is ready - assign in_valid = axi_rsp_i.r_valid & in_ready & r_dp_ready_i; - assign buffer_in_valid = in_valid ? mask_in : '0; - - // r_dp_ready_o is triggered by the last element arriving from the read - assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & - axi_rsp_i.r.last & axi_rsp_i.r_valid & in_ready; - - // connect r_dp response payload - assign r_dp_rsp_o.resp = axi_rsp_i.r.resp; - assign r_dp_rsp_o.last = axi_rsp_i.r.last; - assign r_dp_rsp_o.first = first_r_q; - - // r_dp_valid_o is triggered once the last element is here or an error occurs - assign r_dp_valid_o = axi_rsp_i.r_valid & in_ready & (axi_rsp_i.r.last | (|axi_rsp_i.r.resp)); - - - //-------------------------------------- - // Write meta channel - //-------------------------------------- - // connect the aw requests to the AXI bus - assign axi_req_o.aw = aw_req_i; - assign axi_req_o.aw_valid = aw_valid_i; - assign aw_ready_o = axi_rsp_i.aw_ready; - - - //-------------------------------------- - // Out mask generation -> (wstrb mask) - //-------------------------------------- - // only pop the data actually needed for write from the buffer, - // determine valid data to pop by calculation the wstrb - always_comb begin : proc_out_mask_generator - // default case: all ones - mask_out = '1; - // is first word: some bytes at the beginning may be invalid - mask_out = first_w ? (mask_out & w_first_mask) : mask_out; - // is last word in write burst: some bytes at the end may be invalid - if (w_dp_req_i.tailer != '0 & last_w) begin - mask_out = mask_out & w_last_mask; - end - end - - - //-------------------------------------- - // Write control - //-------------------------------------- - // write is decoupled from read, due to misalignment in the read/write - // addresses, page crossing can be encountered at any time. - // To handle this efficiently, a 2-to-1 or 1-to-2 mapping of r/w beats - // is required. The write unit needs to keep track of progress through - // a counter and cannot use `r last` for that. - - // Once buffer contains a full line -> all FIFOs are non-empty push it out. - - // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty - assign ready_to_write = ((buffer_out_valid & mask_out) == mask_out) & (buffer_out_valid != '0); - - // data needed by the first mask is available in the buffer -> r_first happened for sure - // this signal can be high during a transfer as well, it needs to be masked - assign first_possible = ((buffer_out_valid & w_first_mask) == w_first_mask) & - (buffer_out_valid != '0); - - // the buffer is completely empty and idle - assign buffer_clean = &(~buffer_out_valid); - - // write happening: both the bus (w_ready) and the buffer (ready_to_write) is high - assign write_happening = ready_to_write & axi_rsp_i.w_ready; - - // the main buffer is conditionally to the write mask popped - assign buffer_out_ready = write_happening ? mask_out : '0; - - // signal the bus that we are ready - assign axi_req_o.w_valid = ready_to_write; - - // connect data and strobe either directly or mask invalid data - if (MaskInvalidData) begin : gen_mask_invalid_data - - // always_comb process implements masking of invalid data - always_comb begin : proc_mask - // defaults - axi_req_o.w.data = '0; - axi_req_o.w.strb = '0; - buffer_data_masked = '0; - // control the write to the bus apply data to the bus only if data should be written - if (ready_to_write == 1'b1 & !dp_poison_i) begin - // assign data from buffers, mask non valid entries - for (int i = 0; i < StrbWidth; i++) begin - buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out[i] : 8'b0; - end - // assign the output - axi_req_o.w.data = buffer_data_masked; - // assign the out mask to the strobe - axi_req_o.w.strb = mask_out; - end - end - - end else begin : gen_direct_connect - // not used signal - assign buffer_data_masked = '0; - // simpler: direct connection - assign axi_req_o.w.data = buffer_out; - assign axi_req_o.w.strb = dp_poison_i ? '0 : mask_out; - end - - // the w last signal should only be applied to the bus if an actual transfer happens - assign axi_req_o.w.last = last_w & ready_to_write; - - // we are ready for the next transfer internally, once the w last signal is applied - assign w_dp_ready_o = last_w & write_happening; - - // the write process: keeps track of remaining beats in burst - always_comb begin : proc_write_control - // defaults: - // beat counter - w_num_beats_d = w_num_beats_q; - w_cnt_valid_d = w_cnt_valid_q; - // mask control - first_w = 1'b0; - last_w = 1'b0; - - // differentiate between the burst and non-burst case. If a transfer - // consists just of one beat the counters are disabled - if (w_dp_req_i.is_single) begin - // in the single case the transfer is both first and last. - first_w = 1'b1; - last_w = 1'b1; - - // in the burst case the counters are needed to keep track of the progress of sending - // beats. The w_last_o depends on the state of the counter - end else begin - // first transfer happens as soon as a) the buffer is ready for a first transfer and b) - // the counter is currently invalid - first_w = first_possible & ~w_cnt_valid_q; - - // last happens as soon as a) the counter is valid and b) the counter is now down to 1 - last_w = w_cnt_valid_q & (w_num_beats_q == 8'h01); - - // load the counter with data in a first cycle, only modifying state if bus is ready - if (first_w && write_happening) begin - w_num_beats_d = w_dp_req_i.num_beats; - w_cnt_valid_d = 1'b1; - end - - // if we hit the last element, invalidate the counter, only modifying state - // if bus is ready - if (last_w && write_happening) begin - w_cnt_valid_d = 1'b0; - end - - // count down the beats if the counter is valid and valid data is written to the bus - if (w_cnt_valid_q && write_happening) w_num_beats_d = w_num_beats_q - 8'h01; - end - end - - - //-------------------------------------- - // Write response - //-------------------------------------- - // connect w_dp response payload - assign w_dp_rsp_o.resp = axi_rsp_i.b.resp; - assign w_dp_rsp_o.user = axi_rsp_i.b.user; - - // w_dp_valid_o is triggered once the write answer is here - assign w_dp_valid_o = axi_rsp_i.b_valid; - - // create back pressure on the b channel if the higher parts of the DMA cannot accept more - // write responses - assign axi_req_o.b_ready = w_dp_ready_i; - - - //-------------------------------------- - // Write user signals - //-------------------------------------- - // in the default implementation: no need for the write user signals - assign axi_req_o.w.user = '0; - - - //-------------------------------------- - // Buffer - //-------------------------------------- - idma_buffer #( - .BufferDepth ( BufferDepth ), - .StrbWidth ( StrbWidth ), - .PrintFifoInfo ( PrintFifoInfo ), - .strb_t ( strb_t ), - .byte_t ( byte_t ) - ) i_idma_buffer ( - .clk_i, - .rst_ni, - .testmode_i, - .data_i ( buffer_in ), - .valid_i ( buffer_in_valid ), - .ready_o ( buffer_in_ready ), - .data_o ( buffer_out ), - .valid_o ( buffer_out_valid ), - .ready_i ( buffer_out_ready ) - ); - - - //-------------------------------------- - // Module Control - //-------------------------------------- - assign r_dp_busy_o = r_dp_valid_i | r_dp_ready_o; - assign w_dp_busy_o = w_dp_valid_i | w_dp_ready_o; - assign buffer_busy_o = !buffer_clean; - - - //-------------------------------------- - // State - //-------------------------------------- - `FF(first_r_q, first_r_d, '1, clk_i, rst_ni) - `FF(w_cnt_valid_q, w_cnt_valid_d, '0, clk_i, rst_ni) - `FF(w_num_beats_q, w_num_beats_d, '0, clk_i, rst_ni) - -endmodule : idma_axi_transport_layer diff --git a/src/idma_backend.sv b/src/idma_backend.sv deleted file mode 100644 index 2962e340..00000000 --- a/src/idma_backend.sv +++ /dev/null @@ -1,856 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "axi/typedef.svh" -`include "idma/guard.svh" - -/// The iDMA backend implements an arbitrary 1D copy engine -module idma_backend #( - /// Protocol used - parameter idma_pkg::protocol_e Protocol = idma_pkg::AXI, - /// Data width - parameter int unsigned DataWidth = 32'd16, - /// Address width - parameter int unsigned AddrWidth = 32'd24, - /// AXI user width - parameter int unsigned UserWidth = 32'd1, - /// AXI ID width - parameter int unsigned AxiIdWidth = 32'd1, - /// Number of transaction that can be in-flight concurrently - parameter int unsigned NumAxInFlight = 32'd2, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd2, - /// With of a transfer: max transfer size is `2**TFLenWidth` bytes - parameter int unsigned TFLenWidth = 32'd24, - /// The depth of the memory system the backend is attached to - parameter int unsigned MemSysDepth = 32'd0, - /// Should the `R`-`AW` coupling hardware be present? (recommended) - parameter bit RAWCouplingAvail = 1'b1, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Should hardware legalization be present? (recommended) - /// If not, software legalization is required to ensure the transfers are - /// AXI4-conformal - parameter bit HardwareLegalizer = 1'b1, - /// Reject zero-length transfers - parameter bit RejectZeroTransfers = 1'b1, - /// Should the error handler be present? - parameter idma_pkg::error_cap_e ErrorCap = idma_pkg::ERROR_HANDLING, - /// Print the info of the FIFO configuration - parameter bit PrintFifoInfo = 1'b0, - /// 1D iDMA request type - parameter type idma_req_t = logic, - /// iDMA response type - parameter type idma_rsp_t = logic, - /// Error Handler request type - parameter type idma_eh_req_t = logic, - /// iDMA busy signal - parameter type idma_busy_t = logic, - /// Protocol request type - parameter type protocol_req_t = logic, - /// Protocol response type - parameter type protocol_rsp_t = logic, - /// Address Write Channel type - parameter type aw_chan_t = logic, - /// Address Read Channel type - parameter type ar_chan_t = logic, - /// Strobe Width (do not override!) - parameter int unsigned StrbWidth = DataWidth / 8, - /// Offset Width (do not override!) - parameter int unsigned OffsetWidth = $clog2(StrbWidth) -)( - /// Clock - input logic clk_i, - /// Asynchronous reset, active low - input logic rst_ni, - /// Testmode in - input logic testmode_i, - - /// 1D iDMA request - input idma_req_t idma_req_i, - /// 1D iDMA request valid - input logic req_valid_i, - /// 1D iDMA request ready - output logic req_ready_o, - - /// iDMA response - output idma_rsp_t idma_rsp_o, - /// iDMA response valid - output logic rsp_valid_o, - /// iDMA response ready - input logic rsp_ready_i, - - /// Error handler request - input idma_eh_req_t idma_eh_req_i, - /// Error handler request valid - input logic eh_req_valid_i, - /// Error handler request ready - output logic eh_req_ready_o, - - /// Manager port request - output protocol_req_t protocol_req_o, - /// Manager port response - input protocol_rsp_t protocol_rsp_i, - - /// iDMA busy flags - output idma_busy_t busy_o -); - - /// The localparam MetaFifoDepth holds the maximum number of transfers that can be - /// in-flight under any circumstances. - localparam int unsigned MetaFifoDepth = BufferDepth + NumAxInFlight + MemSysDepth; - - /// Address type - typedef logic [AddrWidth-1:0] addr_t; - /// DAta type - typedef logic [DataWidth-1:0] data_t; - /// Strobe type - typedef logic [StrbWidth-1:0] strb_t; - /// User type - typedef logic [UserWidth-1:0] user_t; - /// ID type - typedef logic [AxiIdWidth-1:0] id_t; - /// Offset type - typedef logic [OffsetWidth-1:0] offset_t; - /// Transfer length type - typedef logic [TFLenWidth-1:0] tf_len_t; - - /// The datapath read request type holds all the information required to configure the read - /// part of the datapath. The type consists of: - /// - `offset`: The bus offset of the read - /// - `trailer`: How many empty bytes are required to pad the transfer to a multiple of the - /// bus width. - /// - `shift`: The amount the data needs to be shifted - /// - `decouple_aw`: If the transfer has the AW decoupled from the R - typedef struct packed { - offset_t offset; - offset_t tailer; - offset_t shift; - logic decouple_aw; - } r_dp_req_t; - - /// The datapath read response type provides feedback from the read part of the datapath: - /// - `resp`: The response from the R channel of the AXI4 manager interface - /// - `last`: The last flag from the R channel of the AXI4 manager interface - /// - `first`: Is the current item first beat in the burst - typedef struct packed { - axi_pkg::resp_t resp; - logic last; - logic first; - } r_dp_rsp_t; - - /// The datapath write request type holds all the information required to configure the write - /// part of the datapath. The type consists of: - /// - `offset`: The bus offset of the write - /// - `trailer`: How many empty bytes are required to pad the transfer to a multiple of the - /// bus width. - /// - `num_beats`: The number of beats this burst consist of - /// - `is_single`: Is this transfer just one beat long? `(len == 0)` - typedef struct packed { - offset_t offset; - offset_t tailer; - axi_pkg::len_t num_beats; - logic is_single; - } w_dp_req_t; - - /// The datapath write response type provides feedback from the write part of the datapath: - /// - `resp`: The response from the B channel of the AXI4 manager interface - /// - `user`: The user field from the B channel of the AXI4 manager interface - typedef struct packed { - axi_pkg::resp_t resp; - user_t user; - } w_dp_rsp_t; - - /// The iDMA read request bundles an `AR` type and a datapath read response type together. - typedef struct packed { - r_dp_req_t r_dp_req; - ar_chan_t ar_req; - } idma_r_req_t; - - /// The iDMA write request bundles an `AW` type and a datapath write response type together. It - /// has an additional flags: - /// - `last`: indicating the current burst is the last one of the generic 1D transfer currently - /// being processed - /// - `midend_last`: The current transfer is marked by the controlling as last - /// - `decouple_aw`: indicates this is an R-AW decoupled transfer - typedef struct packed { - w_dp_req_t w_dp_req; - aw_chan_t aw_req; - logic last; - logic super_last; - logic decouple_aw; - } idma_w_req_t; - - typedef struct packed { - w_dp_req_t w_dp_req; - aw_chan_t aw_req; - } w_aw_dp_req_t; - - /// The mutable transfer options type holds important information that is mutated by the - /// `legalizer` block. - typedef struct packed { - offset_t shift; - logic decouple_rw; - logic decouple_aw; - logic [2:0] src_max_llen; - logic [2:0] dst_max_llen; - logic src_reduce_len; - logic dst_reduce_len; - id_t axi_id; - idma_pkg::axi_options_t src_axi_opt; - idma_pkg::axi_options_t dst_axi_opt; - logic super_last; - } idma_mut_tf_opt_t; - - /// The mutable transfer type holds important information that is mutated by the - /// `legalizer` block. - typedef struct packed { - tf_len_t length; - addr_t addr; - logic valid; - } idma_mut_tf_t; - - - // datapath busy indicates the datapath is actively working on a transfer. It is composed of - // the activity of the buffer as well as both the read and write machines - logic dp_busy; - // blanks invalid data - logic dp_poison; - - // read and write requests and their handshaking signals - idma_r_req_t r_req; - idma_w_req_t w_req; - logic r_valid, w_valid; - logic r_ready, w_ready; - - // It the current transfer the last burst in the 1D transfer? - logic w_last_burst; - logic w_last_ready; - - // Super last flag: The current transfer is indicated as the last one by the controlling - // unit; e.g. by a midend - logic w_super_last; - - // Datapath FIFO signals -> used to decouple legalizer and datapath - logic r_dp_req_in_ready , w_dp_req_in_ready; - logic r_dp_req_out_valid, w_dp_req_out_valid; - logic r_dp_req_out_ready, w_dp_req_out_ready; - r_dp_req_t r_dp_req_out; - w_dp_req_t w_dp_req_out; - w_aw_dp_req_t w_aw_dp_req_out; - - // datapah responses - r_dp_rsp_t r_dp_rsp; - w_dp_rsp_t w_dp_rsp; - logic r_dp_rsp_valid, w_dp_rsp_valid; - logic r_dp_rsp_ready, w_dp_rsp_ready; - - // Ax handshaking - logic ar_ready, ar_ready_dp; - logic aw_ready, aw_ready_dp; - logic aw_valid_dp, ar_valid_dp; - - // Ax request from R-AW coupler to datapath - aw_chan_t aw_req_dp; - - // Ax request from the decoupling stage to the datapath - ar_chan_t ar_req_dp; - - // flush and preemptively empty the legalizer - logic legalizer_flush, legalizer_kill; - - /// intermediate signals to reject zero length transfers - logic is_length_zero; - logic req_valid; - idma_rsp_t idma_rsp; - logic rsp_valid; - logic rsp_ready; - - - //-------------------------------------- - // Reject Zero Length Transfers - //-------------------------------------- - if (RejectZeroTransfers) begin : gen_reject_zero_transfers - // is the current transfer length 0? - assign is_length_zero = idma_req_i.length == '0; - - // bypass valid as long as length is not zero, otherwise suppress it - assign req_valid = is_length_zero ? 1'b0 : req_valid_i; - - // modify response - always_comb begin : proc_modify_response_zero_length - // default: bypass - idma_rsp_o = idma_rsp; - rsp_ready = rsp_ready_i; - rsp_valid_o = rsp_valid; - - // a zero transfer happens - if (is_length_zero & req_valid_i & req_ready_o) begin - // block backend - rsp_ready = 1'b0; - // generate new response - rsp_valid_o = 1'b1; - idma_rsp_o = '0; - idma_rsp_o.error = 1'b1; - idma_rsp_o.pld.err_type = idma_pkg::BACKEND; - end - end - - // just bypass signals - end else begin : gen_bypass_zero_transfers - // bypass - assign req_valid = req_valid_i; - assign idma_rsp_o = idma_rsp; - assign rsp_ready = rsp_ready_i; - assign rsp_valid_o = rsp_valid; - end - - - //-------------------------------------- - // Legalization - //-------------------------------------- - if (HardwareLegalizer) begin : gen_hw_legalizer - // hardware legalizer is present - idma_legalizer #( - .Protocol ( Protocol ), - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .idma_req_t ( idma_req_t ), - .idma_r_req_t ( idma_r_req_t ), - .idma_w_req_t ( idma_w_req_t ), - .idma_mut_tf_t ( idma_mut_tf_t ), - .idma_mut_tf_opt_t ( idma_mut_tf_opt_t ) - ) i_idma_legalizer ( - .clk_i, - .rst_ni, - .req_i ( idma_req_i ), - .valid_i ( req_valid ), - .ready_o ( req_ready_o ), - .r_req_o ( r_req ), - .w_req_o ( w_req ), - .r_valid_o ( r_valid ), - .w_valid_o ( w_valid ), - .r_ready_i ( r_ready ), - .w_ready_i ( w_ready ), - .flush_i ( legalizer_flush ), - .kill_i ( legalizer_kill ), - .r_busy_o ( busy_o.r_leg_busy ), - .w_busy_o ( busy_o.w_leg_busy ) - ); - - end else begin : gen_no_hw_legalizer - // stream fork is used to synchronize the two decoupled channels without the need for a - // FIFO here. - stream_fork #( - .N_OUP ( 32'd2 ) - ) i_stream_fork ( - .clk_i, - .rst_ni, - .valid_i ( req_valid ), - .ready_o ( req_ready_o ), - .valid_o ( { r_valid, w_valid } ), - .ready_i ( { r_ready, w_ready } ) - ); - - // local signal holding the length -> explicitly only doing the computation once - axi_pkg::len_t len; - assign len = ((idma_req_i.length + idma_req_i.src_addr[OffsetWidth-1:0] - - 'd1) >> OffsetWidth); - - - if (Protocol == idma_pkg::AXI) begin : gen_axi_ar_aw_req - // assemble AR request - assign r_req.ar_req = '{ - id: idma_req_i.opt.axi_id, - addr: { idma_req_i.src_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - len: len, - size: axi_pkg::size_t'(OffsetWidth), - burst: idma_req_i.opt.src.burst, - lock: idma_req_i.opt.src.lock, - cache: idma_req_i.opt.src.cache, - prot: idma_req_i.opt.src.prot, - qos: idma_req_i.opt.src.qos, - region: idma_req_i.opt.src.region, - user: '0 - }; - - // assemble AW request - assign w_req.aw_req = '{ - id: idma_req_i.opt.axi_id, - addr: { idma_req_i.dst_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - len: len, - size: axi_pkg::size_t'(OffsetWidth), - burst: idma_req_i.opt.dst.burst, - lock: idma_req_i.opt.dst.lock, - cache: idma_req_i.opt.dst.cache, - prot: idma_req_i.opt.dst.prot, - qos: idma_req_i.opt.dst.qos, - region: idma_req_i.opt.dst.region, - user: '0, - atop: '0 - }; - end else if (Protocol == idma_pkg::AXI_LITE) begin : gen_axi_lite_ar_aw_req - // assemble AR request - assign r_req.ar_req = '{ - addr: { idma_req_i.src_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - prot: idma_req_i.opt.src.prot - }; - - // assemble AW request - assign w_req.aw_req = '{ - addr: { idma_req_i.dst_addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - prot: idma_req_i.opt.dst.prot - }; - end else begin : gen_ar_aw_req_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Backend: legalizer bypass ar aw req not implemented for requested ", - "protocol!"); - ) - end - - // assemble read datapath request - assign r_req.r_dp_req = '{ - offset: idma_req_i.src_addr[OffsetWidth-1:0], - tailer: OffsetWidth'(idma_req_i.length + idma_req_i.src_addr[OffsetWidth-1:0]), - shift: OffsetWidth'(idma_req_i.src_addr[OffsetWidth-1:0] - - idma_req_i.dst_addr[OffsetWidth-1:0]), - decouple_aw: idma_req_i.opt.beo.decouple_aw - }; - - // assemble write datapath request - assign w_req.w_dp_req = '{ - offset: idma_req_i.dst_addr[OffsetWidth-1:0], - tailer: OffsetWidth'(idma_req_i.length + idma_req_i.dst_addr[OffsetWidth-1:0]), - num_beats: len, - is_single: len == '0 - }; - - // if the legalizer is bypassed; every burst is the last of the 1D transfer - assign w_req.last = 1'b1; - - // assign the last flag of the controlling unit - assign w_req.super_last = idma_req_i.opt.last; - - // bypass decouple signal - assign w_req.decouple_aw = idma_req_i.opt.beo.decouple_aw; - - // there is no unit to be busy - assign busy_o.r_leg_busy = 1'b0; - assign busy_o.w_leg_busy = 1'b0; - end - - // data path, meta channels, and last queues have to be ready for the legalizer to be ready - assign r_ready = r_dp_req_in_ready & ar_ready; - assign w_ready = w_dp_req_in_ready & aw_ready & w_last_ready; - - - //-------------------------------------- - // Error handler - //-------------------------------------- - if (ErrorCap == idma_pkg::ERROR_HANDLING) begin : gen_error_handler - idma_error_handler #( - .MetaFifoDepth ( MetaFifoDepth ), - .PrintFifoInfo ( PrintFifoInfo ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_eh_req_t ), - .addr_t ( addr_t ), - .r_dp_rsp_t ( r_dp_rsp_t ), - .w_dp_rsp_t ( w_dp_rsp_t ) - ) i_idma_error_handler ( - .clk_i, - .rst_ni, - .testmode_i, - .rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid ), - .rsp_ready_i ( rsp_ready ), - .req_valid_i ( req_valid ), - .req_ready_i ( req_ready_o ), - .eh_i ( idma_eh_req_i ), - .eh_valid_i ( eh_req_valid_i ), - .eh_ready_o ( eh_req_ready_o ), - .r_addr_i ( r_req.ar_req.addr ), - .r_consume_i ( r_valid & r_ready ), - .w_addr_i ( w_req.aw_req.addr ), - .w_consume_i ( w_valid & w_ready ), - .legalizer_flush_o ( legalizer_flush ), - .legalizer_kill_o ( legalizer_kill ), - .dp_busy_i ( dp_busy ), - .dp_poison_o ( dp_poison ), - .r_dp_rsp_i ( r_dp_rsp ), - .r_dp_valid_i ( r_dp_rsp_valid ), - .r_dp_ready_o ( r_dp_rsp_ready ), - .w_dp_rsp_i ( w_dp_rsp ), - .w_dp_valid_i ( w_dp_rsp_valid ), - .w_dp_ready_o ( w_dp_rsp_ready ), - .w_last_burst_i ( w_last_burst ), - .w_super_last_i ( w_super_last ), - .fsm_busy_o ( busy_o.eh_fsm_busy ), - .cnt_busy_o ( busy_o.eh_cnt_busy ) - ); - end else if (ErrorCap == idma_pkg::NO_ERROR_HANDLING) begin : gen_no_error_handler - // bypass the signals, assign their neutral values - assign idma_rsp.error = 1'b0; - assign idma_rsp.pld = 1'b0; - assign idma_rsp.last = w_super_last; - assign rsp_valid = w_dp_rsp_valid & w_last_burst; - assign eh_req_ready_o = 1'b0; - assign legalizer_flush = 1'b0; - assign legalizer_kill = 1'b0; - assign dp_poison = 1'b0; - assign r_dp_rsp_ready = rsp_ready; - assign w_dp_rsp_ready = rsp_ready; - assign busy_o.eh_fsm_busy = 1'b0; - assign busy_o.eh_cnt_busy = 1'b0; - - end else begin : gen_param_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Unexpected Error Capability"); - ) - end - - - //-------------------------------------- - // Datapath busy signal - //-------------------------------------- - assign dp_busy = busy_o.buffer_busy | - busy_o.r_dp_busy | - busy_o.w_dp_busy; - - - //-------------------------------------- - // Datapath decoupling - //-------------------------------------- - idma_stream_fifo #( - .Depth ( NumAxInFlight ), - .type_t ( r_dp_req_t ), - .PrintInfo ( PrintFifoInfo ) - ) i_r_dp_req ( - .clk_i, - .rst_ni, - .testmode_i, - .flush_i ( 1'b0 ), - .usage_o ( /* NOT CONNECTED */ ), - .data_i ( r_req.r_dp_req ), - .valid_i ( r_valid ), - .ready_o ( r_dp_req_in_ready ), - .data_o ( r_dp_req_out ), - .valid_o ( r_dp_req_out_valid ), - .ready_i ( r_dp_req_out_ready ) - ); - - if(Protocol == idma_pkg::OBI) begin : gen_obi_w_aw_dp_req_fifo - idma_stream_fifo #( - .Depth ( NumAxInFlight ), - .type_t ( w_aw_dp_req_t ), - .PrintInfo ( PrintFifoInfo ) - ) i_w_dp_req ( - .clk_i, - .rst_ni, - .testmode_i, - .flush_i ( 1'b0 ), - .usage_o ( /* NOT CONNECTED */ ), - .data_i ( { w_req.w_dp_req, w_req.aw_req } ), - .valid_i ( w_valid ), - .ready_o ( w_dp_req_in_ready ), - .data_o ( w_aw_dp_req_out ), - .valid_o ( w_dp_req_out_valid ), - .ready_i ( w_dp_req_out_ready ) - ); - end else begin : gen_w_dp_fifo - idma_stream_fifo #( - .Depth ( NumAxInFlight ), - .type_t ( w_dp_req_t ), - .PrintInfo ( PrintFifoInfo ) - ) i_w_dp_req ( - .clk_i, - .rst_ni, - .testmode_i, - .flush_i ( 1'b0 ), - .usage_o ( /* NOT CONNECTED */ ), - .data_i ( w_req.w_dp_req ), - .valid_i ( w_valid ), - .ready_o ( w_dp_req_in_ready ), - .data_o ( w_dp_req_out ), - .valid_o ( w_dp_req_out_valid ), - .ready_i ( w_dp_req_out_ready ) - ); - end - - // Add fall-through register to allow the input to be ready if the output is not. This - // does not add a cycle of delay - fall_through_register #( - .T ( ar_chan_t ) - ) i_ar_fall_through_register ( - .clk_i, - .rst_ni, - .testmode_i, - .clr_i ( 1'b0 ), - .valid_i ( r_valid ), - .ready_o ( ar_ready ), - .data_i ( r_req.ar_req ), - .valid_o ( ar_valid_dp ), - .ready_i ( ar_ready_dp ), - .data_o ( ar_req_dp ) - ); - - - //-------------------------------------- - // Last flag store - //-------------------------------------- - //if (Protocol == idma_pkg::AXI) begin : gen_last_flag_fifo - if (1'b1) begin : gen_last_flag_fifo - idma_stream_fifo #( - .Depth ( MetaFifoDepth ), - .type_t ( logic [1:0] ), - .PrintInfo ( PrintFifoInfo ) - ) i_w_last ( - .clk_i, - .rst_ni, - .testmode_i, - .flush_i ( 1'b0 ), - .usage_o ( /* NOT CONNECTED */ ), - .data_i ( {w_req.super_last, w_req.last} ), - .valid_i ( w_valid & w_ready ), - .ready_o ( w_last_ready ), - .data_o ( {w_super_last, w_last_burst} ), - .valid_o ( /* NOT CONNECTED */ ), - .ready_i ( w_dp_rsp_valid & w_dp_rsp_ready ) - ); - end else if (Protocol == idma_pkg::AXI_LITE) begin : gen_last_flag_bypass - //For AXI-Lite every transfer is last - assign w_super_last = 1'b1; - assign w_last_burst = 1'b1; - assign w_last_ready = 1'b1; - end else begin : gen_last_flag_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Backend: last flag bypass not implemented for requested protocol!"); - ) - end - - //-------------------------------------- - // Transport Layer / Datapath - //-------------------------------------- - if (Protocol == idma_pkg::AXI) begin : gen_axi_transport_layer - idma_axi_transport_layer #( - .DataWidth ( DataWidth ), - .BufferDepth ( BufferDepth ), - .MaskInvalidData ( MaskInvalidData ), - .PrintFifoInfo ( PrintFifoInfo ), - .r_dp_req_t ( r_dp_req_t ), - .w_dp_req_t ( w_dp_req_t ), - .r_dp_rsp_t ( r_dp_rsp_t ), - .w_dp_rsp_t ( w_dp_rsp_t ), - .axi_aw_chan_t ( aw_chan_t ), - .axi_ar_chan_t ( ar_chan_t ), - .axi_req_t ( protocol_req_t ), - .axi_rsp_t ( protocol_rsp_t ) - ) i_idma_axi_transport_layer ( - .clk_i, - .rst_ni, - .testmode_i, - .axi_req_o ( protocol_req_o ), - .axi_rsp_i ( protocol_rsp_i ), - .r_dp_req_i ( r_dp_req_out ), - .r_dp_valid_i ( r_dp_req_out_valid ), - .r_dp_ready_o ( r_dp_req_out_ready ), - .r_dp_rsp_o ( r_dp_rsp ), - .r_dp_valid_o ( r_dp_rsp_valid ), - .r_dp_ready_i ( r_dp_rsp_ready ), - .w_dp_req_i ( w_dp_req_out ), - .w_dp_valid_i ( w_dp_req_out_valid ), - .w_dp_ready_o ( w_dp_req_out_ready ), - .w_dp_rsp_o ( w_dp_rsp ), - .w_dp_valid_o ( w_dp_rsp_valid ), - .w_dp_ready_i ( w_dp_rsp_ready ), - .ar_req_i ( ar_req_dp ), - .ar_valid_i ( ar_valid_dp ), - .ar_ready_o ( ar_ready_dp ), - .aw_req_i ( aw_req_dp ), - .aw_valid_i ( aw_valid_dp ), - .aw_ready_o ( aw_ready_dp ), - .dp_poison_i ( dp_poison ), - .r_dp_busy_o ( busy_o.r_dp_busy ), - .w_dp_busy_o ( busy_o.w_dp_busy ), - .buffer_busy_o ( busy_o.buffer_busy ) - ); - end else if (Protocol == idma_pkg::AXI_LITE) begin : gen_axi_lite_transport_layer - idma_axi_lite_transport_layer #( - .DataWidth ( DataWidth ), - .BufferDepth ( BufferDepth ), - .MaskInvalidData ( MaskInvalidData ), - .PrintFifoInfo ( PrintFifoInfo ), - .r_dp_req_t ( r_dp_req_t ), - .w_dp_req_t ( w_dp_req_t ), - .r_dp_rsp_t ( r_dp_rsp_t ), - .w_dp_rsp_t ( w_dp_rsp_t ), - .axi_lite_aw_chan_t ( aw_chan_t ), - .axi_lite_ar_chan_t ( ar_chan_t ), - .axi_lite_req_t ( protocol_req_t ), - .axi_lite_rsp_t ( protocol_rsp_t ) - ) i_idma_axi_lite_transport_layer ( - .clk_i, - .rst_ni, - .testmode_i, - .axi_lite_req_o ( protocol_req_o ), - .axi_lite_rsp_i ( protocol_rsp_i ), - .r_dp_req_i ( r_dp_req_out ), - .r_dp_valid_i ( r_dp_req_out_valid ), - .r_dp_ready_o ( r_dp_req_out_ready ), - .r_dp_rsp_o ( r_dp_rsp ), - .r_dp_valid_o ( r_dp_rsp_valid ), - .r_dp_ready_i ( r_dp_rsp_ready ), - .w_dp_req_i ( w_dp_req_out ), - .w_dp_valid_i ( w_dp_req_out_valid ), - .w_dp_ready_o ( w_dp_req_out_ready ), - .w_dp_rsp_o ( w_dp_rsp ), - .w_dp_valid_o ( w_dp_rsp_valid ), - .w_dp_ready_i ( w_dp_rsp_ready ), - .ar_req_i ( ar_req_dp ), - .ar_valid_i ( ar_valid_dp ), - .ar_ready_o ( ar_ready_dp ), - .aw_req_i ( aw_req_dp ), - .aw_valid_i ( aw_valid_dp ), - .aw_ready_o ( aw_ready_dp ), - .dp_poison_i ( dp_poison ), - .r_dp_busy_o ( busy_o.r_dp_busy ), - .w_dp_busy_o ( busy_o.w_dp_busy ), - .buffer_busy_o ( busy_o.buffer_busy ) - ); - end else if (Protocol == idma_pkg::OBI) begin : gen_obi_transport_layer - idma_obi_transport_layer #( - .DataWidth ( DataWidth ), - .BufferDepth ( BufferDepth ), - .MaskInvalidData ( MaskInvalidData ), - .PrintFifoInfo ( PrintFifoInfo ), - .r_dp_req_t ( r_dp_req_t ), - .w_dp_req_t ( w_aw_dp_req_t ), - .r_dp_rsp_t ( r_dp_rsp_t ), - .w_dp_rsp_t ( w_dp_rsp_t ), - .obi_a_chan_t ( ar_chan_t ), - .obi_req_t ( protocol_req_t ), - .obi_rsp_t ( protocol_rsp_t ) - ) i_idma_obi_transport_layer ( - .clk_i, - .rst_ni, - .testmode_i, - .obi_req_o ( protocol_req_o ), - .obi_rsp_i ( protocol_rsp_i ), - .r_dp_req_i ( r_dp_req_out ), - .r_dp_valid_i ( r_dp_req_out_valid ), - .r_dp_ready_o ( r_dp_req_out_ready ), - .r_dp_rsp_o ( r_dp_rsp ), - .r_dp_valid_o ( r_dp_rsp_valid ), - .r_dp_ready_i ( r_dp_rsp_ready ), - .w_dp_req_i ( w_aw_dp_req_out ), - .w_dp_valid_i ( w_dp_req_out_valid ), - .w_dp_ready_o ( w_dp_req_out_ready ), - .w_dp_rsp_o ( w_dp_rsp ), - .w_dp_valid_o ( w_dp_rsp_valid ), - .w_dp_ready_i ( w_dp_rsp_ready ), - .read_meta_req_i ( ar_req_dp ), - .read_meta_valid_i ( ar_valid_dp ), - .read_meta_ready_o ( ar_ready_dp ), - .dp_poison_i ( dp_poison ), - .r_dp_busy_o ( busy_o.r_dp_busy ), - .w_dp_busy_o ( busy_o.w_dp_busy ), - .buffer_busy_o ( busy_o.buffer_busy ) - ); - end else begin : gen_transport_layer_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Backend: transport layer not implemented for requested protocol!"); - ) - end - - //-------------------------------------- - // R-AW channel coupler - //-------------------------------------- - if(Protocol == idma_pkg::OBI) begin : gen_obi_coupler - //AW Meta Channel not needed - assign aw_ready = 1'b1; - assign aw_valid_dp = 1'b0; - assign aw_req_dp = '0; - - // no unit: not busy - assign busy_o.raw_coupler_busy = 1'b0; - end else if (RAWCouplingAvail) begin : gen_r_aw_coupler - // instantiate the channel coupler - idma_channel_coupler #( - .NumAxInFlight ( NumAxInFlight ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .PrintFifoInfo ( PrintFifoInfo ), - .axi_aw_chan_t ( aw_chan_t ) - ) i_idma_channel_coupler ( - .clk_i, - .rst_ni, - .testmode_i, - .r_rsp_valid_i ( protocol_rsp_i.r_valid ), - .r_rsp_ready_i ( protocol_req_o.r_ready ), - .r_rsp_first_i ( r_dp_rsp.first ), - .r_decouple_aw_i ( r_dp_req_out.decouple_aw ), - .aw_decouple_aw_i ( w_req.decouple_aw ), - .aw_req_i ( w_req.aw_req ), - .aw_valid_i ( w_valid ), - .aw_ready_o ( aw_ready ), - .aw_req_o ( aw_req_dp ), - .aw_valid_o ( aw_valid_dp ), - .aw_ready_i ( aw_ready_dp ), - .busy_o ( busy_o.raw_coupler_busy ) - ); - end else begin : gen_r_aw_bypass - - // Add fall-through register to allow the input to be ready if the output is not. This - // does not add a cycle of delay - fall_through_register #( - .T ( aw_chan_t ) - ) i_aw_fall_through_register ( - .clk_i, - .rst_ni, - .testmode_i, - .clr_i ( 1'b0 ), - .valid_i ( w_valid ), - .ready_o ( aw_ready ), - .data_i ( w_req.aw_req ), - .valid_o ( aw_valid_dp ), - .ready_i ( aw_ready_dp ), - .data_o ( aw_req_dp ) - ); - - // no unit: not busy - assign busy_o.raw_coupler_busy = 1'b0; - end - - - //-------------------------------------- - // Assertions - //-------------------------------------- - `IDMA_NONSYNTH_BLOCK( - initial begin : proc_assert_params - axi_addr_width : assert(AddrWidth >= 32'd12) else - $fatal(1, "Parameter `AddrWidth` has to be >= 12!"); - axi_id_width : assert(AxiIdWidth > 32'd0) else - $fatal(1, "Parameter `AxiIdWidth` has to be > 0!"); - axi_data_width : assert(DataWidth inside {32'd16, 32'd32, 32'd64, 32'd128, 32'd256, - 32'd512, 32'd1028}) else - $fatal(1, "Parameter `DataWidth` has to be at least 16 and inside the AXI4 spec!"); - axi_user_width : assert(UserWidth > 32'd0) else - $fatal(1, "Parameter `UserWidth` has to be > 0!"); - num_ax_in_flight : assert(NumAxInFlight > 32'd1) else - $fatal(1, "Parameter `NumAxInFlight` has to be > 1!"); - buffer_depth : assert(BufferDepth > 32'd1) else - $fatal(1, "Parameter `BufferDepth` has to be > 1!"); - tf_len_width : assert(TFLenWidth >= 32'd12) else - $fatal(1, "Parameter `BufferDepth` has to be >= 12!"); - tf_len_width_max : assert(TFLenWidth <= AddrWidth) else - $fatal(1, "Parameter `TFLenWidth` has to be <= `AddrWidth`!"); - end - ) - -endmodule : idma_backend diff --git a/src/idma_legalizer.sv b/src/idma_legalizer.sv deleted file mode 100644 index d925dd32..00000000 --- a/src/idma_legalizer.sv +++ /dev/null @@ -1,465 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "common_cells/registers.svh" -`include "common_cells/assertions.svh" -`include "idma/guard.svh" - -/// Legalizes a generic 1D transfer according to the rules given by the -/// AXI4 protocol. Bursts are cut at 4kiB boundaries and are a maximum of -/// 256 beats long. -module idma_legalizer #( - /// Protocol used - parameter idma_pkg::protocol_e Protocol = idma_pkg::AXI, - /// Data width - parameter int unsigned DataWidth = 32'd16, - /// Address width - parameter int unsigned AddrWidth = 32'd24, - /// 1D iDMA request type: - /// - `length`: the length of the transfer in bytes - /// - `*_addr`: the source / target byte addresses of the transfer - /// - `opt`: the options field - parameter type idma_req_t = logic, - /// Read request type - parameter type idma_r_req_t = logic, - /// Write request type - parameter type idma_w_req_t = logic, - /// Mutable transfer type - parameter type idma_mut_tf_t = logic, - /// Mutable options type - parameter type idma_mut_tf_opt_t = logic -)( - /// Clock - input logic clk_i, - /// Asynchronous reset, active low - input logic rst_ni, - - /// 1D request - input idma_req_t req_i, - /// 1D request valid - input logic valid_i, - /// 1D request ready - output logic ready_o, - - /// Read request; contains datapath and meta information - output idma_r_req_t r_req_o, - /// Read request valid - output logic r_valid_o, - /// Read request ready - input logic r_ready_i, - - /// Write request; contains datapath and meta information - output idma_w_req_t w_req_o, - /// Write request valid - output logic w_valid_o, - /// Write request ready - input logic w_ready_i, - - /// Invalidate the current burst transfer, stops emission of requests - input logic flush_i, - /// Kill the active 1D transfer; reload a new transfer - input logic kill_i, - - /// Read machine of the legalizer is busy - output logic r_busy_o, - /// Write machine of the legalizer is busy - output logic w_busy_o -); - /// Maximum number of beats within a burst - localparam int unsigned MaxBeatsPerBurst = idma_pkg::determineMaxBeatsPerBurst(Protocol); - - /// Stobe width - localparam int unsigned StrbWidth = DataWidth / 8; - /// Offset width - localparam int unsigned OffsetWidth = $clog2(StrbWidth); - /// The size of a page in byte - localparam int unsigned PageSize = (MaxBeatsPerBurst * StrbWidth > 4096) ? - 4096 : MaxBeatsPerBurst * StrbWidth; - /// The width of page offset byte addresses - localparam int unsigned PageAddrWidth = $clog2(PageSize); - - /// Offset type - typedef logic [ OffsetWidth-1:0] offset_t; - /// Address type - typedef logic [ AddrWidth-1:0] addr_t; - /// Page address type - typedef logic [PageAddrWidth-1:0] page_addr_t; - /// Page length type - typedef logic [ PageAddrWidth:0] page_len_t; - - - // state: internally hold one transfer, this is mutated - idma_mut_tf_t r_tf_d, r_tf_q; - idma_mut_tf_t w_tf_d, w_tf_q; - idma_mut_tf_opt_t opt_tf_d, opt_tf_q; - - // enable signals for next mutable transfer storage - logic r_tf_ena; - logic w_tf_ena; - - // page boundaries - page_addr_t r_page_offset; - page_len_t r_num_bytes_to_pb; - page_addr_t w_page_offset; - page_len_t w_num_bytes_to_pb; - page_len_t c_num_bytes_to_pb; - - logic [3:0] r_page_addr_width; - logic [3:0] w_page_addr_width; - page_len_t r_page_size; - page_len_t w_page_size; - - // read process - page_len_t r_num_bytes_possible; - page_len_t r_num_bytes; - offset_t r_addr_offset; - logic r_done; - - // write process - page_len_t w_num_bytes_possible; - page_len_t w_num_bytes; - offset_t w_addr_offset; - logic w_done; - - - //-------------------------------------- - // read page boundary check - //-------------------------------------- - // calculate the page with in bits - always_comb begin : proc_read_addr_width - // should the "virtual" page be reduced? e.g. the transfers split into - // smaller chunks than the AXI page size? - r_page_addr_width = OffsetWidth + (opt_tf_q.src_reduce_len ? opt_tf_q.src_max_llen : 'd8); - // a page can be a maximum of 4kB (12 bit) - r_page_addr_width = r_page_addr_width > PageAddrWidth ? PageAddrWidth : r_page_addr_width; - end - // calculate the page size in byte - assign r_page_size = (1 << r_page_addr_width); - - // this is written very confusing due to system verilog not allowing variable - // length ranges. - // the goal is to get 'r_tf_q.addr[PageAddrWidth-1:0]' where PageAddrWidth is - // r_page_addr_width and dynamically changing - always_comb begin : proc_read_range_select - r_page_offset = '0; - for (int i = 0; i < PageAddrWidth; i++) begin - r_page_offset[i] = r_page_addr_width > i ? r_tf_q.addr[i] : 1'b0; - end - end - - // calculate the number of bytes left in the page (number of bytes until - // we reach the page boundary (bp) - assign r_num_bytes_to_pb = r_page_size - r_page_offset; - - - //-------------------------------------- - // write page boundary check - //-------------------------------------- - // calculate the page with in bits - always_comb begin : proc_write_addr_width - // should the "virtual" page be reduced? e.g. the transfers split into - // smaller chunks than the AXI page size? - w_page_addr_width = OffsetWidth + (opt_tf_q.dst_reduce_len ? opt_tf_q.dst_max_llen : 'd8); - // a page can be a maximum of 4kB (12 bit) - w_page_addr_width = w_page_addr_width > PageAddrWidth ? PageAddrWidth : w_page_addr_width; - end - // calculate the page size in byte - assign w_page_size = (1 << w_page_addr_width); - - // this is written very confusing due to system verilog not allowing variable - // length ranges. - // the goal is to get 'r_tf_q.addr[PageAddrWidth-1:0]' where PageAddrWidth is - // r_page_addr_width and dynamically changing - always_comb begin : proc_write_range_select - w_page_offset = '0; - for (int i = 0; i < PageAddrWidth; i++) begin - w_page_offset[i] = w_page_addr_width > i ? w_tf_q.addr[i] : 1'b0; - end - end - - // calculate the number of bytes left in the page (number of bytes until - // we reach the page boundary (bp) - assign w_num_bytes_to_pb = w_page_size - w_page_offset; - - - //-------------------------------------- - // page boundary check - //-------------------------------------- - // how many transfers are remaining when concerning both r/w pages? - // take the boundary that is closer - assign c_num_bytes_to_pb = (r_num_bytes_to_pb > w_num_bytes_to_pb) ? - w_num_bytes_to_pb : r_num_bytes_to_pb; - - - //-------------------------------------- - // Synchronized R/W process - //-------------------------------------- - // max num bytes readable in page - assign r_num_bytes_possible = opt_tf_q.decouple_rw ? - r_num_bytes_to_pb : c_num_bytes_to_pb; - - // max num bytes writable in page - assign w_num_bytes_possible = opt_tf_q.decouple_rw ? - w_num_bytes_to_pb : c_num_bytes_to_pb; - - // calculate the address offsets aligned to transfer sizes. - assign r_addr_offset = r_tf_q.addr[OffsetWidth-1:0]; - assign w_addr_offset = w_tf_q.addr[OffsetWidth-1:0]; - - // legalization process -> read and write is coupled together - always_comb begin : proc_read_write_transaction - - // default: keep state - r_tf_d = r_tf_q; - w_tf_d = w_tf_q; - opt_tf_d = opt_tf_q; - - // default: not done - r_done = 1'b0; - w_done = 1'b0; - - //-------------------------------------- - // Legalize read transaction - //-------------------------------------- - // more bytes remaining than we can read - if (r_tf_q.length > r_num_bytes_possible) begin - r_num_bytes = r_num_bytes_possible; - // calculate remainder - r_tf_d.length = r_tf_q.length - r_num_bytes_possible; - // next address - r_tf_d.addr = r_tf_q.addr + r_num_bytes; - - // remaining bytes fit in one burst - end else begin - r_num_bytes = r_tf_q.length[PageAddrWidth:0]; - // finished - r_tf_d.valid = 1'b0; - r_done = 1'b1; - end - - //-------------------------------------- - // Legalize write transaction - //-------------------------------------- - // more bytes remaining than we can write - if (w_tf_q.length > w_num_bytes_possible) begin - w_num_bytes = w_num_bytes_possible; - // calculate remainder - w_tf_d.length = w_tf_q.length - w_num_bytes_possible; - // next address - w_tf_d.addr = w_tf_q.addr + w_num_bytes; - - // remaining bytes fit in one burst - end else begin - w_num_bytes = w_tf_q.length[PageAddrWidth:0]; - // finished - w_tf_d.valid = 1'b0; - w_done = 1'b1; - end - - //-------------------------------------- - // Kill - //-------------------------------------- - if (kill_i) begin - // kill the current state - r_tf_d = '0; - r_done = 1'b1; - w_tf_d = '0; - w_done = 1'b1; - end - - //-------------------------------------- - // Refill - //-------------------------------------- - // new request is taken in if both r and w machines are ready. - if (ready_o & valid_i) begin - - // load all three mutable objects (source, destination, option) - // source or read - r_tf_d = '{ - length: req_i.length, - addr: req_i.src_addr, - valid: 1'b1 - }; - // destination or write - w_tf_d = '{ - length: req_i.length, - addr: req_i.dst_addr, - valid: 1'b1 - }; - // options - opt_tf_d = '{ - shift: req_i.src_addr[OffsetWidth-1:0] - req_i.dst_addr[OffsetWidth-1:0], - decouple_rw: req_i.opt.beo.decouple_rw, - decouple_aw: req_i.opt.beo.decouple_aw, - src_max_llen: req_i.opt.beo.src_max_llen, - dst_max_llen: req_i.opt.beo.dst_max_llen, - src_reduce_len: req_i.opt.beo.src_reduce_len, - dst_reduce_len: req_i.opt.beo.dst_reduce_len, - axi_id: req_i.opt.axi_id, - src_axi_opt: req_i.opt.src, - dst_axi_opt: req_i.opt.dst, - super_last: req_i.opt.last - }; - end - end - - - //-------------------------------------- - // Connect outputs - //-------------------------------------- - if (Protocol == idma_pkg::AXI) begin : gen_axi_ar_aw_req - // assign the signals for the read meta channel - assign r_req_o.ar_req = '{ - id: opt_tf_q.axi_id, - addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - len: ((r_num_bytes + r_addr_offset - 'd1) >> OffsetWidth), - size: axi_pkg::size_t'(OffsetWidth), - burst: opt_tf_q.src_axi_opt.burst, - lock: opt_tf_q.src_axi_opt.lock, - cache: opt_tf_q.src_axi_opt.cache, - prot: opt_tf_q.src_axi_opt.prot, - qos: opt_tf_q.src_axi_opt.qos, - region: opt_tf_q.src_axi_opt.region, - user: '0 - }; - - // assign the signals for the write meta channel - assign w_req_o.aw_req = '{ - id: opt_tf_q.axi_id, - addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - len: ((w_num_bytes + w_addr_offset - 'd1) >> OffsetWidth), - size: axi_pkg::size_t'(OffsetWidth), - burst: opt_tf_q.dst_axi_opt.burst, - lock: opt_tf_q.dst_axi_opt.lock, - cache: opt_tf_q.dst_axi_opt.cache, - prot: opt_tf_q.dst_axi_opt.prot, - qos: opt_tf_q.dst_axi_opt.qos, - region: opt_tf_q.dst_axi_opt.region, - user: '0, - atop: '0 - }; - end else if (Protocol == idma_pkg::AXI_LITE) begin : gen_axi_lite_ar_aw_req - // assign the signals for the read meta channel - assign r_req_o.ar_req = '{ - addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - prot: opt_tf_q.src_axi_opt.prot - }; - - // assign the signals for the write meta channel - assign w_req_o.aw_req = '{ - addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - prot: opt_tf_q.dst_axi_opt.prot - }; - end else if (Protocol == idma_pkg::OBI) begin : gen_obi_ar_aw_req - assign r_req_o.ar_req = '{ - addr: { r_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - be: '1, //TODO: Placeholder, read everything - we: 1'b0, - wdata: '0 - }; - - // assign the signals for the write meta channel - assign w_req_o.aw_req = '{ - addr: { w_tf_q.addr[AddrWidth-1:OffsetWidth], {{OffsetWidth}{1'b0}} }, - be: '0, - we: 1, - wdata: '0 - }; - end else begin : gen_ar_aw_req_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Legalizer: `AR-AW_req` not implemented for requested protocol!"); - ) - end - - // assign the signals needed to set-up the read data path - assign r_req_o.r_dp_req = '{ - offset: r_addr_offset, - tailer: OffsetWidth'(r_num_bytes + r_addr_offset), - shift: opt_tf_q.shift, - decouple_aw: opt_tf_q.decouple_aw - }; - - // assign the signals needed to set-up the write data path - if (Protocol == idma_pkg::AXI) begin : gen_axi_w_dp_req - assign w_req_o.w_dp_req = '{ - offset: w_addr_offset, - tailer: OffsetWidth'(w_num_bytes + w_addr_offset), - num_beats: w_req_o.aw_req.len, - is_single: w_req_o.aw_req.len == '0 - }; - end else if (Protocol == idma_pkg::AXI_LITE | Protocol == idma_pkg::OBI) begin - : gen_axi_lite_obi_w_dp_req - assign w_req_o.w_dp_req = '{ - offset: w_addr_offset, - tailer: OffsetWidth'(w_num_bytes + w_addr_offset), - num_beats: 'd0, - is_single: 1'b1 - }; - end else begin : gen_w_dp_req_error - `IDMA_NONSYNTH_BLOCK( - $fatal(1, "Legalizer: `W-DP_req` not implemented for requested protocol!"); - ) - end - - // last burst in generic 1D transfer? - assign w_req_o.last = w_done; - - // last burst indicated by midend - assign w_req_o.super_last = opt_tf_q.super_last; - - // assign aw decouple flag - assign w_req_o.decouple_aw = opt_tf_q.decouple_aw; - - // busy output - assign r_busy_o = r_tf_q.valid; - assign w_busy_o = w_tf_q.valid; - - - //-------------------------------------- - // Flow Control - //-------------------------------------- - // only advance to next state if: - // * rw_coupled: both machines advance - // * rw_decoupled: either machine advances - always_comb begin : proc_legalizer_flow_control - if (opt_tf_q.decouple_rw) begin - r_tf_ena = (r_ready_i & !flush_i) | kill_i; - w_tf_ena = (w_ready_i & !flush_i) | kill_i; - - r_valid_o = r_tf_q.valid & r_ready_i & !flush_i; - w_valid_o = w_tf_q.valid & w_ready_i & !flush_i; - end else begin - r_tf_ena = (r_ready_i & w_ready_i & !flush_i) | kill_i; - w_tf_ena = (r_ready_i & w_ready_i & !flush_i) | kill_i; - - r_valid_o = r_tf_q.valid & w_ready_i & r_ready_i & !flush_i; - w_valid_o = w_tf_q.valid & r_ready_i & w_ready_i & !flush_i; - end - end - - // load next idma request: if both machines are done! - assign ready_o = r_done & w_done & r_ready_i & w_ready_i & !flush_i; - - - //-------------------------------------- - // State - //-------------------------------------- - `FF(opt_tf_q, opt_tf_d, '0, clk_i, rst_ni) - `FFL(r_tf_q, r_tf_d, r_tf_ena, '0, clk_i, rst_ni) - `FFL(w_tf_q, w_tf_d, w_tf_ena, '0, clk_i, rst_ni) - - - //-------------------------------------- - // Assertions - //-------------------------------------- - // only support the decomposition of incremental bursts - `ASSERT_NEVER(OnlyIncrementalBurstsSRC, (ready_o & valid_i & - req_i.opt.src.burst != axi_pkg::BURST_INCR), clk_i, !rst_ni) - `ASSERT_NEVER(OnlyIncrementalBurstsDST, (ready_o & valid_i & - req_i.opt.dst.burst != axi_pkg::BURST_INCR), clk_i, !rst_ni) - -endmodule : idma_legalizer diff --git a/src/idma_obi_transport_layer.sv b/src/idma_obi_transport_layer.sv deleted file mode 100644 index 8c54a421..00000000 --- a/src/idma_obi_transport_layer.sv +++ /dev/null @@ -1,307 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "common_cells/registers.svh" - -/// Implementing the OBI transport layer in the iDMA backend. -module idma_obi_transport_layer #( - /// Data width - parameter int unsigned DataWidth = 32'd16, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Print the info of the FIFO configuration - parameter bit PrintFifoInfo = 1'b0, - /// `r_dp_req_t` type: - parameter type r_dp_req_t = logic, - /// `w_dp_req_t` type: - parameter type w_dp_req_t = logic, - /// `r_dp_rsp_t` type: - parameter type r_dp_rsp_t = logic, - /// `w_dp_rsp_t` type: - parameter type w_dp_rsp_t = logic, - /// OBI `A` channel type - parameter type obi_a_chan_t = logic, - /// OBI Request channel type - parameter type obi_req_t = logic, - /// OBI Response channel type - parameter type obi_rsp_t = logic -)( - /// Clock - input logic clk_i, - /// Asynchronous reset, active low - input logic rst_ni, - /// Testmode in - input logic testmode_i, - - /// Read datapath request - input r_dp_req_t r_dp_req_i, - /// Read datapath request valid - input logic r_dp_valid_i, - /// Read datapath request ready - output logic r_dp_ready_o, - - /// Read datapath response - output r_dp_rsp_t r_dp_rsp_o, - /// Read datapath response valid - output logic r_dp_valid_o, - /// Read datapath response valid - input logic r_dp_ready_i, - - /// Write datapath request - input w_dp_req_t w_dp_req_i, - /// Write datapath request valid - input logic w_dp_valid_i, - /// Write datapath request ready - output logic w_dp_ready_o, - - /// Write datapath response - output w_dp_rsp_t w_dp_rsp_o, - /// Write datapath response valid - output logic w_dp_valid_o, - /// Write datapath response valid - input logic w_dp_ready_i, - - /// Read meta request - input obi_a_chan_t read_meta_req_i, - /// Read meta request valid - input logic read_meta_valid_i, - /// Read meta request ready - output logic read_meta_ready_o, - - /// Datapath poison signal - input logic dp_poison_i, - - /// Read part of the datapath is busy - output logic r_dp_busy_o, - /// Write part of the datapath is busy - output logic w_dp_busy_o, - /// Buffer is busy - output logic buffer_busy_o, - - /// OBI manager port request - output obi_req_t obi_req_o, - /// OBI manager port response - input obi_rsp_t obi_rsp_i -); - - /// Stobe width - localparam int unsigned StrbWidth = DataWidth / 8; - - /// Data type - typedef logic [DataWidth-1:0] data_t; - /// Offset type - typedef logic [StrbWidth-1:0] strb_t; - /// Byte type - typedef logic [7:0] byte_t; - - // shifted data flowing into the buffer - byte_t [StrbWidth-1:0] buffer_in; - - // read aligned in mask. needs to be shifted together with the data before - // it can be used to mask valid data flowing into the buffer - strb_t read_aligned_in_mask; - - // in mask is write aligned: it is the result of the read aligned in mask - // that is shifted together with the data in the barrel shifter - strb_t mask_in; - - // inbound control signals to the read buffer: controlled by the read process - strb_t buffer_in_valid; - strb_t buffer_in_ready; - logic in_valid; - logic in_ready; - - // corresponds to the strobe: the write aligned data that is currently valid in the buffer - strb_t mask_out; - - // aligned and coalesced data leaving the buffer - byte_t [StrbWidth-1:0] buffer_out; - - // A temporary signal required to write the output of the buffer to before assigning it to - // the OBI bus. This is required to be compatible with some of the Questasim Versions and some - // of the parametrizations (e.g. DataWidth = 16) - data_t buffer_data_masked; - - // outbound control signals of the buffer: controlled by the write process - strb_t buffer_out_valid; - strb_t buffer_out_ready; - - // write happens - logic write_happening; - // buffer is ready to write the requested data - logic ready_to_write; - // buffer is completely empty - logic buffer_clean; - - //-------------------------------------- - // Read meta channel - //-------------------------------------- - // connect the read requests to the OBI bus - assign obi_req_o.read.a = read_meta_req_i; - assign obi_req_o.read.a_req = read_meta_valid_i; - assign read_meta_ready_o = obi_rsp_i.read.a_gnt; - - //-------------------------------------- - // In mask generation - //-------------------------------------- - // in contiguous transfers that are unaligned, there will be some - // invalid bytes at the beginning and the end of the stream - // example: 25B in 64 bit system - // iiiivvvv|vvvvvvvv|vvvvvvvv|vvvvviii - // first msk|----full mask----|last msk - - assign read_aligned_in_mask = ('1 << r_dp_req_i.offset) & - ((r_dp_req_i.tailer != '0) ? ('1 >> (StrbWidth - r_dp_req_i.tailer)) : '1); - - //-------------------------------------- - // Barrel shifter - //-------------------------------------- - // data arrives in chunks of length DATA_WDITH, the buffer will be filled with - // the realigned data. StrbWidth bytes will be inserted starting from the - // provided address, overflows will naturally wrap - - // a barrel shifter is a concatenation of the same array with twice and a normal - // shift. Optimized for Synopsys DesignWare. - assign buffer_in = {obi_rsp_i.read.r.rdata, obi_rsp_i.read.r.rdata} >> (r_dp_req_i.shift * 8); - assign mask_in = {read_aligned_in_mask, read_aligned_in_mask} >> r_dp_req_i.shift; - - - //-------------------------------------- - // Read control - //-------------------------------------- - // the buffer can be pushed to if all the masked FIFO buffers (mask_in) are ready. - assign in_ready = &(buffer_in_ready | ~mask_in); - // the read can accept data if the buffer is ready and the response channel is ready - assign obi_req_o.read.r_ready = in_ready & r_dp_ready_i; - - // once valid data is applied, it can be pushed in all the selected (mask_in) buffers - // be sure the response channel is ready - assign in_valid = obi_rsp_i.read.r_valid & r_dp_ready_i; - assign buffer_in_valid = in_valid ? mask_in : '0; - - // r_dp_ready_o is triggered by the element arriving from the read - assign r_dp_ready_o = r_dp_valid_i & r_dp_ready_i & obi_rsp_i.read.r_valid & in_ready; - - // connect r_dp response payload - assign r_dp_rsp_o.resp = '0; - assign r_dp_rsp_o.last = 1'b1; - assign r_dp_rsp_o.first = 1'b1; - - // r_dp_valid_o is triggered once the element is here - assign r_dp_valid_o = obi_rsp_i.read.r_valid & in_ready; - - //-------------------------------------- - // Out mask generation -> (be mask) - //-------------------------------------- - // only pop the data actually needed for write from the buffer, - // determine valid data to pop by calculation the be - - assign mask_out = ('1 << w_dp_req_i.w_dp_req.offset) & - ((w_dp_req_i.w_dp_req.tailer != '0) ? ('1 >> (StrbWidth - w_dp_req_i.w_dp_req.tailer)) - : '1); - - //-------------------------------------- - // Write control - //-------------------------------------- - - // all elements needed (defined by the mask) are in the buffer and the buffer is non-empty - assign ready_to_write = ((buffer_out_valid & mask_out) == mask_out) & (buffer_out_valid != '0); - - // the buffer is completely empty and idle - assign buffer_clean = &(~buffer_out_valid); - - // write happening: both the bus (a_gnt) and the buffer (ready_to_write) is high - assign write_happening = ready_to_write & obi_rsp_i.write.a_gnt; - - // the main buffer is conditionally to the write mask popped - assign buffer_out_ready = write_happening ? mask_out : '0; - - // signal the bus that we are ready - assign obi_req_o.write.a_req = ready_to_write; - - // connect data and strobe either directly or mask invalid data - if (MaskInvalidData) begin : gen_mask_invalid_data - - // always_comb process implements masking of invalid data - always_comb begin : proc_mask - // defaults - obi_req_o.write.a.addr = w_dp_req_i.aw_req.addr; - obi_req_o.write.a.we = 1'b1; - obi_req_o.write.a.wdata = '0; - obi_req_o.write.a.be = '0; - buffer_data_masked = '0; - // control the write to the bus apply data to the bus only if data should be written - if (ready_to_write == 1'b1 & !dp_poison_i) begin - // assign data from buffers, mask non valid entries - for (int i = 0; i < StrbWidth; i++) begin - buffer_data_masked[i*8 +: 8] = mask_out[i] ? buffer_out[i] : 8'b0; - end - // assign the output - obi_req_o.write.a.wdata = buffer_data_masked; - // assign the out mask to the strobe - obi_req_o.write.a.be = mask_out; - end - end - - end else begin : gen_direct_connect - // not used signal - assign buffer_data_masked = '0; - // simpler: direct connection - assign obi_req_o.write.a.wdata = buffer_out; - assign obi_req_o.write.a.be = dp_poison_i ? '0 : mask_out; - end - - // we are ready for the next transfer internally, once a write is happening - assign w_dp_ready_o = write_happening; - - //-------------------------------------- - // Write response - //-------------------------------------- - // connect w_dp response payload - assign w_dp_rsp_o.resp = '0; - - // w_dp_valid_o is triggered once the write answer is here - assign w_dp_valid_o = obi_rsp_i.write.r_valid; - - // create back pressure on the r channel if the higher parts of the DMA cannot accept more - // write responses - assign obi_req_o.write.r_ready = w_dp_ready_i; - - //-------------------------------------- - // Buffer - //-------------------------------------- - idma_buffer #( - .BufferDepth ( BufferDepth ), - .StrbWidth ( StrbWidth ), - .PrintFifoInfo ( PrintFifoInfo ), - .strb_t ( strb_t ), - .byte_t ( byte_t ) - ) i_idma_buffer ( - .clk_i, - .rst_ni, - .testmode_i, - .data_i ( buffer_in ), - .valid_i ( buffer_in_valid ), - .ready_o ( buffer_in_ready ), - .data_o ( buffer_out ), - .valid_o ( buffer_out_valid ), - .ready_i ( buffer_out_ready ) - ); - - - //-------------------------------------- - // Module Control - //-------------------------------------- - assign r_dp_busy_o = r_dp_valid_i | r_dp_ready_o; - assign w_dp_busy_o = w_dp_valid_i | w_dp_ready_o; - assign buffer_busy_o = !buffer_clean; - -endmodule : idma_obi_transport_layer diff --git a/src/include/idma/typedef.svh b/src/include/idma/typedef.svh index 51bb8906..e37757a2 100644 --- a/src/include/idma/typedef.svh +++ b/src/include/idma/typedef.svh @@ -19,6 +19,8 @@ // `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) `define IDMA_TYPEDEF_OPTIONS_T(options_t, axi_id_t) \ typedef struct packed { \ + idma_pkg::protocol_e src_protocol; \ + idma_pkg::protocol_e dst_protocol; \ axi_id_t axi_id; \ idma_pkg::axi_options_t src; \ idma_pkg::axi_options_t dst; \ @@ -92,43 +94,93 @@ `IDMA_TYPEDEF_ND_REQ_T(idma_nd_req_t, idma_req_t, idma_d_req_t) //////////////////////////////////////////////////////////////////////////////////////////////////// -`define IDMA_OBI_TYPEDEF_A_CHAN_T(a_chan_t, addr_t, data_t, strb_t) \ +`define IDMA_OBI_TYPEDEF_A_CHAN_T(a_chan_t, addr_t, data_t, strb_t, id_t) \ typedef struct packed { \ addr_t addr; \ logic we; \ strb_t be; \ data_t wdata; \ + id_t aid; \ } a_chan_t; -`define IDMA_OBI_TYPEDEF_R_CHAN_T(r_chan_t, data_t) \ +`define IDMA_OBI_TYPEDEF_R_CHAN_T(r_chan_t, data_t, id_t) \ typedef struct packed { \ data_t rdata; \ + id_t rid; \ } r_chan_t; `define IDMA_OBI_TYPEDEF_REQ_T(req_t, a_chan_t) \ typedef struct packed { \ a_chan_t a; \ - logic a_req; \ - logic r_ready; \ + logic a_req; \ + logic r_ready; \ } req_t; `define IDMA_OBI_TYPEDEF_RESP_T(resp_t, r_chan_t) \ typedef struct packed { \ - logic a_gnt; \ + logic a_gnt; \ r_chan_t r; \ - logic r_valid; \ + logic r_valid; \ } resp_t; -`define IDMA_OBI_TYPEDEF_BIDIRECT_REQ_T(bidirect_req_t, req_t) \ - typedef struct packed { \ - req_t write; \ - req_t read; \ - } bidirect_req_t; - -`define IDMA_OBI_TYPEDEF_BIDIRECT_RESP_T(bidirect_resp_t, resp_t) \ - typedef struct packed { \ - resp_t write; \ - resp_t read; \ - } bidirect_resp_t; +`define IDMA_TILELINK_TYPEDEF_A_CHAN_T(a_chan_t, addr_t, data_t, mask_t, size_t, source_t) \ + typedef struct packed { \ + logic [2:0] opcode; \ + logic [2:0] param; \ + size_t size; \ + source_t source; \ + addr_t address; \ + mask_t mask; \ + data_t data; \ + logic corrupt; \ + } a_chan_t; + +`define IDMA_TILELINK_TYPEDEF_D_CHAN_T(d_chan_t, data_t, size_t, source_t, sink_t) \ + typedef struct packed { \ + logic [2:0] opcode; \ + logic [1:0] param; \ + size_t size; \ + source_t source; \ + sink_t sink; \ + logic denied; \ + data_t data; \ + logic corrupt; \ + } d_chan_t; + +`define IDMA_TILELINK_TYPEDEF_REQ_T(req_t, a_chan_t) \ + typedef struct packed { \ + a_chan_t a; \ + logic a_valid; \ + logic d_ready; \ + } req_t; + +`define IDMA_TILELINK_TYPEDEF_RSP_T(rsp_t, d_chan_t) \ + typedef struct packed { \ + d_chan_t d; \ + logic d_valid; \ + logic a_ready; \ + } rsp_t; + +`define IDMA_AXI_STREAM_TYPEDEF_S_CHAN_T(s_chan_t, tdata_t, tstrb_t, tkeep_t, tid_t, tdest_t, tuser_t) \ + typedef struct packed { \ + tdata_t data; \ + tstrb_t strb; \ + tkeep_t keep; \ + logic last; \ + tid_t id; \ + tdest_t dest; \ + tuser_t user; \ + } s_chan_t; + +`define IDMA_AXI_STREAM_TYPEDEF_REQ_T(req_stream_t, s_chan_t) \ + typedef struct packed { \ + s_chan_t t; \ + logic tvalid; \ + } req_stream_t; + +`define IDMA_AXI_STREAM_TYPEDEF_RSP_T(rsp_stream_t) \ + typedef struct packed { \ + logic tready; \ + } rsp_stream_t; `endif diff --git a/src/package/Bender.yml b/src/package/Bender.yml new file mode 100644 index 00000000..08746350 --- /dev/null +++ b/src/package/Bender.yml @@ -0,0 +1,13 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51package: +package: + name: idma_pkg + authors: + - "Tobias Senti " + +dependencies: + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0 } + +sources: + - idma_pkg.sv \ No newline at end of file diff --git a/src/idma_pkg.sv b/src/package/idma_pkg.sv similarity index 85% rename from src/idma_pkg.sv rename to src/package/idma_pkg.sv index e283f0db..054efab3 100644 --- a/src/idma_pkg.sv +++ b/src/package/idma_pkg.sv @@ -84,20 +84,19 @@ package idma_pkg; /// - `AXI`: Full AXI /// - `AXI_LITE`: AXI Lite /// - `OBI`: OBI - typedef enum logic[1:0] { - AXI, - AXI_LITE, - OBI + /// - `TILELINK`: TileLink-UH + /// - `INIT`: Init protocol + /// - `AXI_STREAM`: AXI Stream + typedef enum logic[2:0] { + AXI = 'd0, + OBI = 'd1, + AXI_LITE = 'd2, + TILELINK = 'd3, + INIT = 'd4, + AXI_STREAM = 'd5 } protocol_e; - /// Determines the maximum number of beats allowed within a burst depending on the protocol - /// - `protocol`: The protocol that is used - function automatic int unsigned determineMaxBeatsPerBurst(protocol_e protocol); - case (protocol) - AXI: return 256; - // AXI-Lite and OBI do not have bursts - default: return 1; - endcase - endfunction + /// Supported Protocols type + typedef logic[1:0] protocol_t; endpackage : idma_pkg diff --git a/src/synth_wrapper/idma_backend_synth.sv b/src/synth_wrapper/idma_backend_synth.sv deleted file mode 100644 index cccc51cf..00000000 --- a/src/synth_wrapper/idma_backend_synth.sv +++ /dev/null @@ -1,318 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -/// Synthesis wrapper for the iDMA backend. Unpacks all the interfaces to simple logic vectors -module idma_backend_synth #( - /// Data width - parameter int unsigned DataWidth = 32'd32, - /// Address width - parameter int unsigned AddrWidth = 32'd32, - /// AXI user width - parameter int unsigned UserWidth = 32'd1, - /// AXI ID width - parameter int unsigned AxiIdWidth = 32'd1, - /// Number of transaction that can be in-flight concurrently - parameter int unsigned NumAxInFlight = 32'd3, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// With of a transfer: max transfer size is `2**TFLenWidth` bytes - parameter int unsigned TFLenWidth = 32'd32, - /// The depth of the memory system the backend is attached to - parameter int unsigned MemSysDepth = 32'd0, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Should the `R`-`AW` coupling hardware be present? (recommended) - parameter bit RAWCouplingAvail = 1'b1, - /// Should hardware legalization be present? (recommended) - /// If not, software legalization is required to ensure the transfers are - /// AXI4-conformal - parameter bit HardwareLegalizer = 1'b1, - /// Reject zero-length transfers - parameter bit RejectZeroTransfers = 1'b1, - /// Should the error handler be present? - parameter bit ErrorHandling = 1'b1, - // Dependent parameters; do not override! - /// Strobe Width (do not override!) - parameter int unsigned StrbWidth = DataWidth / 8, - /// Offset Width (do not override!) - parameter int unsigned OffsetWidth = $clog2(StrbWidth), - /// Address type (do not override!) - parameter type addr_t = logic[AddrWidth-1:0], - /// Data type (do not override!) - parameter type data_t = logic[DataWidth-1:0], - /// Strobe type (do not override!) - parameter type strb_t = logic[StrbWidth-1:0], - /// User type (do not override!) - parameter type user_t = logic[UserWidth-1:0], - /// ID type (do not override!) - parameter type id_t = logic[AxiIdWidth-1:0], - /// Transfer length type (do not override!) - parameter type tf_len_t = logic[TFLenWidth-1:0], - /// Offset type (do not override!) - parameter type offset_t = logic[OffsetWidth-1:0] -)( - input logic clk_i, - input logic rst_ni, - input logic test_i, - - input logic req_valid_i, - output logic req_ready_o, - - input tf_len_t req_length_i, - input addr_t req_src_addr_i, - input addr_t req_dst_addr_i, - input id_t req_axi_id_i, - input axi_pkg::burst_t req_src_burst_i, - input axi_pkg::cache_t req_src_cache_i, - input logic req_src_lock_i, - input axi_pkg::prot_t req_src_prot_i, - input axi_pkg::qos_t req_src_qos_i, - input axi_pkg::region_t req_src_region_i, - input axi_pkg::burst_t req_dst_burst_i, - input axi_pkg::cache_t req_dst_cache_i, - input logic req_dst_lock_i, - input axi_pkg::prot_t req_dst_prot_i, - input axi_pkg::qos_t req_dst_qos_i, - input axi_pkg::region_t req_dst_region_i, - input logic req_decouple_aw_i, - input logic req_decouple_rw_i, - input logic [2:0] req_src_max_llen_i, - input logic [2:0] req_dst_max_llen_i, - input logic req_src_reduce_len_i, - input logic req_dst_reduce_len_i, - input logic req_last_i, - - output logic rsp_valid_o, - input logic rsp_ready_i, - - output axi_pkg::resp_t rsp_cause_o, - output idma_pkg::err_type_t rsp_err_type_o, - output addr_t rsp_burst_addr_o, - output logic rsp_error_o, - output logic rsp_last_o, - - input logic eh_req_valid_i, - output logic eh_req_ready_o, - input idma_pkg::idma_eh_req_t eh_req_i, - - output idma_pkg::idma_busy_t idma_busy_o, - - output id_t axi_aw_id_o, - output addr_t axi_aw_addr_o, - output axi_pkg::len_t axi_aw_len_o, - output axi_pkg::size_t axi_aw_size_o, - output axi_pkg::burst_t axi_aw_burst_o, - output logic axi_aw_lock_o, - output axi_pkg::cache_t axi_aw_cache_o, - output axi_pkg::prot_t axi_aw_prot_o, - output axi_pkg::qos_t axi_aw_qos_o, - output axi_pkg::region_t axi_aw_region_o, - output axi_pkg::atop_t axi_aw_atop_o, - output user_t axi_aw_user_o, - output logic axi_aw_valid_o, - input logic axi_aw_ready_i, - output data_t axi_w_data_o, - output strb_t axi_w_strb_o, - output logic axi_w_last_o, - output user_t axi_w_user_o, - output logic axi_w_valid_o, - input logic axi_w_ready_i, - input id_t axi_b_id_i, - input axi_pkg::resp_t axi_b_resp_i, - input user_t axi_b_user_i, - input logic axi_b_valid_i, - output logic axi_b_ready_o, - output id_t axi_ar_id_o, - output addr_t axi_ar_addr_o, - output axi_pkg::len_t axi_ar_len_o, - output axi_pkg::size_t axi_ar_size_o, - output axi_pkg::burst_t axi_ar_burst_o, - output logic axi_ar_lock_o, - output axi_pkg::cache_t axi_ar_cache_o, - output axi_pkg::prot_t axi_ar_prot_o, - output axi_pkg::qos_t axi_ar_qos_o, - output axi_pkg::region_t axi_ar_region_o, - output user_t axi_ar_user_o, - output logic axi_ar_valid_o, - input logic axi_ar_ready_i, - input id_t axi_r_id_i, - input data_t axi_r_data_i, - input axi_pkg::resp_t axi_r_resp_i, - input logic axi_r_last_i, - input user_t axi_r_user_i, - input logic axi_r_valid_i, - output logic axi_r_ready_o -); - - /// Define the error handling capability - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // AXI4 types - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - /// Option struct: AXI4 id as well as AXI and backend options - /// - `last`: a flag can be set if this transfer is the last of a set of transfers - `IDMA_TYPEDEF_OPTIONS_T(options_t, id_t) - - /// 1D iDMA request type: - /// - `length`: the length of the transfer in bytes - /// - `*_addr`: the source / target byte addresses of the transfer - /// - `opt`: the options field - `IDMA_TYPEDEF_REQ_T(idma_req_t, tf_len_t, addr_t, options_t) - - /// 1D iDMA response payload: - /// - `cause`: the AXI response - /// - `err_type`: type of the error: read, write, internal, ... - /// - `burst_addr`: the burst address where the issue error occurred - `IDMA_TYPEDEF_ERR_PAYLOAD_T(err_payload_t, addr_t) - - /// 1D iDMA response type: - /// - `last`: the response of the request that was marked with the `opt.last` flag - /// - `error`: 1 if an error occurred - /// - `pld`: the error payload - `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) - - // local types - axi_req_t axi_req_o; - axi_rsp_t axi_rsp_i; - idma_req_t idma_req; - idma_rsp_t idma_rsp; - - // DUT instantiation - idma_backend #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), - .idma_busy_t ( idma_pkg::idma_busy_t ), - .protocol_req_t ( axi_req_t ), - .protocol_rsp_t ( axi_rsp_t ), - .aw_chan_t ( axi_aw_chan_t ), - .ar_chan_t ( axi_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .testmode_i ( test_i ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid_i ), - .req_ready_o ( req_ready_o ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid_o ), - .rsp_ready_i ( rsp_ready_i ), - .idma_eh_req_i ( eh_req_i ), - .eh_req_valid_i ( eh_req_valid_i ), - .eh_req_ready_o ( eh_req_ready_o ), - .protocol_req_o ( axi_req_o ), - .protocol_rsp_i ( axi_rsp_i ), - .busy_o ( idma_busy_o ) - ); - - // flatten structs - assign idma_req.dst_addr = req_dst_addr_i; - assign idma_req.src_addr = req_src_addr_i; - assign idma_req.length = req_length_i; - assign idma_req.opt.axi_id = req_axi_id_i; - assign idma_req.opt.dst.cache = req_dst_cache_i; - assign idma_req.opt.dst.burst = req_dst_burst_i; - assign idma_req.opt.dst.qos = req_dst_qos_i; - assign idma_req.opt.dst.lock = req_dst_lock_i; - assign idma_req.opt.dst.prot = req_dst_prot_i; - assign idma_req.opt.dst.region = req_dst_region_i; - assign idma_req.opt.src.cache = req_src_cache_i; - assign idma_req.opt.src.burst = req_src_burst_i; - assign idma_req.opt.src.qos = req_src_qos_i; - assign idma_req.opt.src.lock = req_src_lock_i; - assign idma_req.opt.src.prot = req_src_prot_i; - assign idma_req.opt.src.region = req_src_region_i; - assign idma_req.opt.beo.dst_reduce_len = req_dst_reduce_len_i; - assign idma_req.opt.beo.src_reduce_len = req_src_reduce_len_i; - assign idma_req.opt.beo.dst_max_llen = req_dst_max_llen_i; - assign idma_req.opt.beo.src_max_llen = req_src_max_llen_i; - assign idma_req.opt.beo.decouple_rw = req_decouple_rw_i; - assign idma_req.opt.beo.decouple_aw = req_decouple_aw_i; - assign idma_req.opt.last = req_last_i; - - assign rsp_cause_o = idma_rsp.pld.cause; - assign rsp_err_type_o = idma_rsp.pld.err_type; - assign rsp_burst_addr_o = idma_rsp.pld.burst_addr; - assign rsp_error_o = idma_rsp.error; - assign rsp_last_o = idma_rsp.last; - - assign axi_aw_id_o = axi_req_o.aw.id; - assign axi_aw_addr_o = axi_req_o.aw.addr; - assign axi_aw_len_o = axi_req_o.aw.len; - assign axi_aw_size_o = axi_req_o.aw.size; - assign axi_aw_burst_o = axi_req_o.aw.burst; - assign axi_aw_lock_o = axi_req_o.aw.lock; - assign axi_aw_cache_o = axi_req_o.aw.cache; - assign axi_aw_prot_o = axi_req_o.aw.prot; - assign axi_aw_qos_o = axi_req_o.aw.qos; - assign axi_aw_region_o = axi_req_o.aw.region; - assign axi_aw_atop_o = axi_req_o.aw.atop; - assign axi_aw_user_o = axi_req_o.aw.user; - assign axi_aw_valid_o = axi_req_o.aw_valid; - assign axi_w_data_o = axi_req_o.w.data; - assign axi_w_strb_o = axi_req_o.w.strb; - assign axi_w_last_o = axi_req_o.w.last; - assign axi_w_user_o = axi_req_o.w.user; - assign axi_w_valid_o = axi_req_o.w_valid; - assign axi_b_ready_o = axi_req_o.b_ready; - assign axi_ar_id_o = axi_req_o.ar.id; - assign axi_ar_addr_o = axi_req_o.ar.addr; - assign axi_ar_len_o = axi_req_o.ar.len; - assign axi_ar_size_o = axi_req_o.ar.size; - assign axi_ar_burst_o = axi_req_o.ar.burst; - assign axi_ar_lock_o = axi_req_o.ar.lock; - assign axi_ar_cache_o = axi_req_o.ar.cache; - assign axi_ar_prot_o = axi_req_o.ar.prot; - assign axi_ar_qos_o = axi_req_o.ar.qos; - assign axi_ar_region_o = axi_req_o.ar.region; - assign axi_ar_user_o = axi_req_o.ar.user; - assign axi_ar_valid_o = axi_req_o.ar_valid; - assign axi_r_ready_o = axi_req_o.r_ready; - - assign axi_rsp_i.aw_ready = axi_aw_ready_i; - assign axi_rsp_i.w_ready = axi_w_ready_i; - assign axi_rsp_i.b.id = axi_b_id_i; - assign axi_rsp_i.b.resp = axi_b_resp_i; - assign axi_rsp_i.b.user = axi_b_user_i; - assign axi_rsp_i.b_valid = axi_b_valid_i; - assign axi_rsp_i.ar_ready = axi_ar_ready_i; - assign axi_rsp_i.r.id = axi_r_id_i; - assign axi_rsp_i.r.data = axi_r_data_i; - assign axi_rsp_i.r.resp = axi_r_resp_i; - assign axi_rsp_i.r.last = axi_r_last_i; - assign axi_rsp_i.r.user = axi_r_user_i; - assign axi_rsp_i.r_valid = axi_r_valid_i; - -endmodule : idma_backend_synth diff --git a/src/synth_wrapper/idma_lite_backend_synth.sv b/src/synth_wrapper/idma_lite_backend_synth.sv deleted file mode 100644 index dfd91a28..00000000 --- a/src/synth_wrapper/idma_lite_backend_synth.sv +++ /dev/null @@ -1,268 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -/// Synthesis wrapper for the iDMA backend. Unpacks all the interfaces to simple logic vectors -module idma_lite_backend_synth #( - /// Data width - parameter int unsigned DataWidth = 32'd32, - /// Address width - parameter int unsigned AddrWidth = 32'd32, - /// AXI user width - parameter int unsigned UserWidth = 32'd1, - /// AXI ID width - parameter int unsigned AxiIdWidth = 32'd1, - /// Number of transaction that can be in-flight concurrently - parameter int unsigned NumAxInFlight = 32'd3, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// With of a transfer: max transfer size is `2**TFLenWidth` bytes - parameter int unsigned TFLenWidth = 32'd32, - /// The depth of the memory system the backend is attached to - parameter int unsigned MemSysDepth = 32'd0, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Should the `R`-`AW` coupling hardware be present? (recommended) - parameter bit RAWCouplingAvail = 1'b1, - /// Should hardware legalization be present? (recommended) - /// If not, software legalization is required to ensure the transfers are - /// AXI4-conformal - parameter bit HardwareLegalizer = 1'b1, - /// Reject zero-length transfers - parameter bit RejectZeroTransfers = 1'b1, - /// Should the error handler be present? - parameter bit ErrorHandling = 1'b1, - // Dependent parameters; do not override! - /// Strobe Width (do not override!) - parameter int unsigned StrbWidth = DataWidth / 8, - /// Offset Width (do not override!) - parameter int unsigned OffsetWidth = $clog2(StrbWidth), - /// Address type (do not override!) - parameter type addr_t = logic[AddrWidth-1:0], - /// Data type (do not override!) - parameter type data_t = logic[DataWidth-1:0], - /// Strobe type (do not override!) - parameter type strb_t = logic[StrbWidth-1:0], - /// User type (do not override!) - parameter type user_t = logic[UserWidth-1:0], - /// ID type (do not override!) - parameter type id_t = logic[AxiIdWidth-1:0], - /// Transfer length type (do not override!) - parameter type tf_len_t = logic[TFLenWidth-1:0], - /// Offset type (do not override!) - parameter type offset_t = logic[OffsetWidth-1:0] -)( - input logic clk_i, - input logic rst_ni, - input logic test_i, - - input logic req_valid_i, - output logic req_ready_o, - - input tf_len_t req_length_i, - input addr_t req_src_addr_i, - input addr_t req_dst_addr_i, - input id_t req_axi_id_i, - input axi_pkg::burst_t req_src_burst_i, - input axi_pkg::cache_t req_src_cache_i, - input logic req_src_lock_i, - input axi_pkg::prot_t req_src_prot_i, - input axi_pkg::qos_t req_src_qos_i, - input axi_pkg::region_t req_src_region_i, - input axi_pkg::burst_t req_dst_burst_i, - input axi_pkg::cache_t req_dst_cache_i, - input logic req_dst_lock_i, - input axi_pkg::prot_t req_dst_prot_i, - input axi_pkg::qos_t req_dst_qos_i, - input axi_pkg::region_t req_dst_region_i, - input logic req_decouple_aw_i, - input logic req_decouple_rw_i, - input logic [2:0] req_src_max_llen_i, - input logic [2:0] req_dst_max_llen_i, - input logic req_src_reduce_len_i, - input logic req_dst_reduce_len_i, - input logic req_last_i, - - output logic rsp_valid_o, - input logic rsp_ready_i, - - output axi_pkg::resp_t rsp_cause_o, - output idma_pkg::err_type_t rsp_err_type_o, - output addr_t rsp_burst_addr_o, - output logic rsp_error_o, - output logic rsp_last_o, - - input logic eh_req_valid_i, - output logic eh_req_ready_o, - input idma_pkg::idma_eh_req_t eh_req_i, - - output idma_pkg::idma_busy_t idma_busy_o, - - output addr_t axi_lite_aw_addr_o, - output axi_pkg::prot_t axi_lite_aw_prot_o, - output logic axi_lite_aw_valid_o, - input logic axi_lite_aw_ready_i, - output data_t axi_lite_w_data_o, - output strb_t axi_lite_w_strb_o, - output logic axi_lite_w_valid_o, - input logic axi_lite_w_ready_i, - input axi_pkg::resp_t axi_lite_b_resp_i, - input logic axi_lite_b_valid_i, - output logic axi_lite_b_ready_o, - output addr_t axi_lite_ar_addr_o, - output axi_pkg::prot_t axi_lite_ar_prot_o, - output logic axi_lite_ar_valid_o, - input logic axi_lite_ar_ready_i, - input data_t axi_lite_r_data_i, - input axi_pkg::resp_t axi_lite_r_resp_i, - input logic axi_lite_r_valid_i, - output logic axi_lite_r_ready_o -); - - /// Define the error handling capability - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // AXI-Lite types - `AXI_LITE_TYPEDEF_AW_CHAN_T(axi_lite_aw_chan_t, addr_t) - `AXI_LITE_TYPEDEF_W_CHAN_T(axi_lite_w_chan_t, data_t, strb_t) - `AXI_LITE_TYPEDEF_B_CHAN_T(axi_lite_b_chan_t) - - `AXI_LITE_TYPEDEF_AR_CHAN_T(axi_lite_ar_chan_t, addr_t) - `AXI_LITE_TYPEDEF_R_CHAN_T(axi_lite_r_chan_t, data_t) - - `AXI_LITE_TYPEDEF_REQ_T(axi_lite_req_t, axi_lite_aw_chan_t, axi_lite_w_chan_t, - axi_lite_ar_chan_t) - `AXI_LITE_TYPEDEF_RESP_T(axi_lite_rsp_t, axi_lite_b_chan_t, axi_lite_r_chan_t) - - /// Option struct: AXI4 id as well as AXI and backend options - /// - `last`: a flag can be set if this transfer is the last of a set of transfers - `IDMA_TYPEDEF_OPTIONS_T(options_t, id_t) - - /// 1D iDMA request type: - /// - `length`: the length of the transfer in bytes - /// - `*_addr`: the source / target byte addresses of the transfer - /// - `opt`: the options field - `IDMA_TYPEDEF_REQ_T(idma_req_t, tf_len_t, addr_t, options_t) - - /// 1D iDMA response payload: - /// - `cause`: the AXI response - /// - `err_type`: type of the error: read, write, internal, ... - /// - `burst_addr`: the burst address where the issue error occurred - `IDMA_TYPEDEF_ERR_PAYLOAD_T(err_payload_t, addr_t) - - /// 1D iDMA response type: - /// - `last`: the response of the request that was marked with the `opt.last` flag - /// - `error`: 1 if an error occurred - /// - `pld`: the error payload - `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) - - // local types - axi_lite_req_t axi_lite_req_o; - axi_lite_rsp_t axi_lite_rsp_i; - idma_req_t idma_req; - idma_rsp_t idma_rsp; - - // DUT instantiation - idma_backend #( - .Protocol ( idma_pkg::AXI_LITE ), - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), - .idma_busy_t ( idma_pkg::idma_busy_t ), - .protocol_req_t ( axi_lite_req_t ), - .protocol_rsp_t ( axi_lite_rsp_t ), - .aw_chan_t ( axi_lite_aw_chan_t ), - .ar_chan_t ( axi_lite_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .testmode_i ( test_i ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid_i ), - .req_ready_o ( req_ready_o ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid_o ), - .rsp_ready_i ( rsp_ready_i ), - .idma_eh_req_i ( eh_req_i ), - .eh_req_valid_i ( eh_req_valid_i ), - .eh_req_ready_o ( eh_req_ready_o ), - .protocol_req_o ( axi_lite_req_o ), - .protocol_rsp_i ( axi_lite_rsp_i ), - .busy_o ( idma_busy_o ) - ); - - // flatten structs - assign idma_req.dst_addr = req_dst_addr_i; - assign idma_req.src_addr = req_src_addr_i; - assign idma_req.length = req_length_i; - assign idma_req.opt.axi_id = req_axi_id_i; - assign idma_req.opt.dst.cache = req_dst_cache_i; - assign idma_req.opt.dst.burst = req_dst_burst_i; - assign idma_req.opt.dst.qos = req_dst_qos_i; - assign idma_req.opt.dst.lock = req_dst_lock_i; - assign idma_req.opt.dst.prot = req_dst_prot_i; - assign idma_req.opt.dst.region = req_dst_region_i; - assign idma_req.opt.src.cache = req_src_cache_i; - assign idma_req.opt.src.burst = req_src_burst_i; - assign idma_req.opt.src.qos = req_src_qos_i; - assign idma_req.opt.src.lock = req_src_lock_i; - assign idma_req.opt.src.prot = req_src_prot_i; - assign idma_req.opt.src.region = req_src_region_i; - assign idma_req.opt.beo.dst_reduce_len = req_dst_reduce_len_i; - assign idma_req.opt.beo.src_reduce_len = req_src_reduce_len_i; - assign idma_req.opt.beo.dst_max_llen = req_dst_max_llen_i; - assign idma_req.opt.beo.src_max_llen = req_src_max_llen_i; - assign idma_req.opt.beo.decouple_rw = req_decouple_rw_i; - assign idma_req.opt.beo.decouple_aw = req_decouple_aw_i; - assign idma_req.opt.last = req_last_i; - - assign rsp_cause_o = idma_rsp.pld.cause; - assign rsp_err_type_o = idma_rsp.pld.err_type; - assign rsp_burst_addr_o = idma_rsp.pld.burst_addr; - assign rsp_error_o = idma_rsp.error; - assign rsp_last_o = idma_rsp.last; - - assign axi_lite_aw_addr_o = axi_lite_req_o.aw.addr; - assign axi_lite_aw_prot_o = axi_lite_req_o.aw.prot; - assign axi_lite_aw_valid_o = axi_lite_req_o.aw_valid; - assign axi_lite_w_data_o = axi_lite_req_o.w.data; - assign axi_lite_w_strb_o = axi_lite_req_o.w.strb; - assign axi_lite_w_valid_o = axi_lite_req_o.w_valid; - assign axi_lite_b_ready_o = axi_lite_req_o.b_ready; - assign axi_lite_ar_addr_o = axi_lite_req_o.ar.addr; - assign axi_lite_ar_prot_o = axi_lite_req_o.ar.prot; - assign axi_lite_ar_valid_o = axi_lite_req_o.ar_valid; - assign axi_lite_r_ready_o = axi_lite_req_o.r_ready; - - assign axi_lite_rsp_i.aw_ready = axi_lite_aw_ready_i; - assign axi_lite_rsp_i.w_ready = axi_lite_w_ready_i; - assign axi_lite_rsp_i.b.resp = axi_lite_b_resp_i; - assign axi_lite_rsp_i.b_valid = axi_lite_b_valid_i; - assign axi_lite_rsp_i.ar_ready = axi_lite_ar_ready_i; - assign axi_lite_rsp_i.r.data = axi_lite_r_data_i; - assign axi_lite_rsp_i.r.resp = axi_lite_r_resp_i; - assign axi_lite_rsp_i.r_valid = axi_lite_r_valid_i; - -endmodule : idma_lite_backend_synth diff --git a/src/synth_wrapper/idma_nd_backend_synth.sv b/src/synth_wrapper/idma_nd_backend_synth.sv deleted file mode 100644 index 4fdc40b4..00000000 --- a/src/synth_wrapper/idma_nd_backend_synth.sv +++ /dev/null @@ -1,391 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -/// Synthesis wrapper for the iDMA backend and the nd-midend combined. -/// Unpacks all the interfaces to simple logic vectors -module idma_nd_backend_synth #( - /// Data width - parameter int unsigned DataWidth = 32'd32, - /// Address width - parameter int unsigned AddrWidth = 32'd32, - /// AXI user width - parameter int unsigned UserWidth = 32'd1, - /// AXI ID width - parameter int unsigned AxiIdWidth = 32'd1, - /// Number of transaction that can be in-flight concurrently - parameter int unsigned NumAxInFlight = 32'd3, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// With of a transfer: max transfer size is `2**TFLenWidth` bytes - parameter int unsigned TFLenWidth = 32'd32, - /// The depth of the memory system the backend is attached to - parameter int unsigned MemSysDepth = 32'd0, - /// The number of dimensions - parameter int unsigned NumDim = 32'd4, - /// The width of the repetition counters of all dimensions - parameter int unsigned RepWidth = 32'd32, - /// The supported stride width - parameter int unsigned StrideWidth = 32'd32, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Should the `R`-`AW` coupling hardware be present? (recommended) - parameter bit RAWCouplingAvail = 1'b1, - /// Should hardware legalization be present? (recommended) - /// If not, software legalization is required to ensure the transfers are - /// AXI4-conformal - parameter bit HardwareLegalizer = 1'b1, - /// Reject zero-length transfers - parameter bit RejectZeroTransfers = 1'b1, - /// Should the error handler be present? - parameter bit ErrorHandling = 1'b1, - // Dependent parameters; do not override! - /// Strobe Width (do not override!) - parameter int unsigned StrbWidth = DataWidth / 8, - /// Offset Width (do not override!) - parameter int unsigned OffsetWidth = $clog2(StrbWidth), - /// Address type (do not override!) - parameter type addr_t = logic[AddrWidth-1:0], - /// Data type (do not override!) - parameter type data_t = logic[DataWidth-1:0], - /// Strobe type (do not override!) - parameter type strb_t = logic[StrbWidth-1:0], - /// User type (do not override!) - parameter type user_t = logic[UserWidth-1:0], - /// ID type (do not override!) - parameter type id_t = logic[AxiIdWidth-1:0], - /// Transfer length type (do not override!) - parameter type tf_len_t = logic[TFLenWidth-1:0], - /// Offset type (do not override!) - parameter type offset_t = logic[OffsetWidth-1:0], - /// Repetitions type (do not override!) - parameter type reps_t = logic [RepWidth-1:0], - /// Stride type (do not override!) - parameter type strides_t = logic [StrideWidth-1:0] -)( - input logic clk_i, - input logic rst_ni, - input logic test_i, - - input logic req_valid_i, - output logic req_ready_o, - - input tf_len_t req_length_i, - input addr_t req_src_addr_i, - input addr_t req_dst_addr_i, - input id_t req_axi_id_i, - input axi_pkg::burst_t req_src_burst_i, - input axi_pkg::cache_t req_src_cache_i, - input logic req_src_lock_i, - input axi_pkg::prot_t req_src_prot_i, - input axi_pkg::qos_t req_src_qos_i, - input axi_pkg::region_t req_src_region_i, - input axi_pkg::burst_t req_dst_burst_i, - input axi_pkg::cache_t req_dst_cache_i, - input logic req_dst_lock_i, - input axi_pkg::prot_t req_dst_prot_i, - input axi_pkg::qos_t req_dst_qos_i, - input axi_pkg::region_t req_dst_region_i, - input logic req_decouple_aw_i, - input logic req_decouple_rw_i, - input logic [2:0] req_src_max_llen_i, - input logic [2:0] req_dst_max_llen_i, - input logic req_src_reduce_len_i, - input logic req_dst_reduce_len_i, - input logic req_last_i, - - input reps_t [NumDim-2:0] req_reps_i, - input strides_t [NumDim-2:0] req_src_strides_i, - input strides_t [NumDim-2:0] req_dst_strides_i, - - output logic rsp_valid_o, - input logic rsp_ready_i, - - output axi_pkg::resp_t rsp_cause_o, - output idma_pkg::err_type_t rsp_err_type_o, - output addr_t rsp_burst_addr_o, - output logic rsp_error_o, - output logic rsp_last_o, - - input logic eh_req_valid_i, - output logic eh_req_ready_o, - input idma_pkg::idma_eh_req_t eh_req_i, - - output idma_pkg::idma_busy_t idma_busy_o, - output logic nd_busy_o, - - output id_t axi_aw_id_o, - output addr_t axi_aw_addr_o, - output axi_pkg::len_t axi_aw_len_o, - output axi_pkg::size_t axi_aw_size_o, - output axi_pkg::burst_t axi_aw_burst_o, - output logic axi_aw_lock_o, - output axi_pkg::cache_t axi_aw_cache_o, - output axi_pkg::prot_t axi_aw_prot_o, - output axi_pkg::qos_t axi_aw_qos_o, - output axi_pkg::region_t axi_aw_region_o, - output axi_pkg::atop_t axi_aw_atop_o, - output user_t axi_aw_user_o, - output logic axi_aw_valid_o, - input logic axi_aw_ready_i, - output data_t axi_w_data_o, - output strb_t axi_w_strb_o, - output logic axi_w_last_o, - output user_t axi_w_user_o, - output logic axi_w_valid_o, - input logic axi_w_ready_i, - input id_t axi_b_id_i, - input axi_pkg::resp_t axi_b_resp_i, - input user_t axi_b_user_i, - input logic axi_b_valid_i, - output logic axi_b_ready_o, - output id_t axi_ar_id_o, - output addr_t axi_ar_addr_o, - output axi_pkg::len_t axi_ar_len_o, - output axi_pkg::size_t axi_ar_size_o, - output axi_pkg::burst_t axi_ar_burst_o, - output logic axi_ar_lock_o, - output axi_pkg::cache_t axi_ar_cache_o, - output axi_pkg::prot_t axi_ar_prot_o, - output axi_pkg::qos_t axi_ar_qos_o, - output axi_pkg::region_t axi_ar_region_o, - output user_t axi_ar_user_o, - output logic axi_ar_valid_o, - input logic axi_ar_ready_i, - input id_t axi_r_id_i, - input data_t axi_r_data_i, - input axi_pkg::resp_t axi_r_resp_i, - input logic axi_r_last_i, - input user_t axi_r_user_i, - input logic axi_r_valid_i, - output logic axi_r_ready_o -); - - /// Define the error handling capability - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // AXI4 types - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - /// Option struct: AXI4 id as well as AXI and backend options - /// - `last`: a flag can be set if this transfer is the last of a set of transfers - `IDMA_TYPEDEF_OPTIONS_T(options_t, id_t) - - /// 1D iDMA request type: - /// - `length`: the length of the transfer in bytes - /// - `*_addr`: the source / target byte addresses of the transfer - /// - `opt`: the options field - `IDMA_TYPEDEF_REQ_T(idma_req_t, tf_len_t, addr_t, options_t) - - /// 1D iDMA response payload: - /// - `cause`: the AXI response - /// - `err_type`: type of the error: read, write, internal, ... - /// - `burst_addr`: the burst address where the issue error occurred - `IDMA_TYPEDEF_ERR_PAYLOAD_T(err_payload_t, addr_t) - - /// 1D iDMA response type: - /// - `last`: the response of the request that was marked with the `opt.last` flag - /// - `error`: 1 if an error occurred - /// - `pld`: the error payload - `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) - - /// Sub-type: holds additional information required by one dimensions. - /// - `reps`: The number of times this dimension needs to be repeated - /// - `src_strides`: The source stride - /// - `dst_strides`: The destination stride - `IDMA_TYPEDEF_D_REQ_T(idma_d_req_t, reps_t, strides_t) - - /// ND iDMA request type. Union of a 1D iDMA request (innermost dimension) and the configuration - /// of each additional dimension. To pass a 1D transfer just set the lowest number of - /// repetitions to one keeping the rest to 0. - `IDMA_TYPEDEF_ND_REQ_T(idma_nd_req_t, idma_req_t, idma_d_req_t) - - // local types - axi_req_t axi_req_o; - axi_rsp_t axi_rsp_i; - - idma_req_t idma_req; - logic idma_req_valid; - logic idma_req_ready; - idma_rsp_t idma_rsp; - logic idma_rsp_valid; - logic idma_rsp_ready; - - idma_nd_req_t nd_req; - idma_rsp_t nd_rsp; - - // DUT instantiation - idma_backend #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), - .idma_busy_t ( idma_pkg::idma_busy_t ), - .protocol_req_t ( axi_req_t ), - .protocol_rsp_t ( axi_rsp_t ), - .aw_chan_t ( axi_aw_chan_t ), - .ar_chan_t ( axi_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .testmode_i ( test_i ), - .idma_req_i ( idma_req ), - .req_valid_i ( idma_req_valid ), - .req_ready_o ( idma_req_ready ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( idma_rsp_valid ), - .rsp_ready_i ( idma_rsp_ready ), - .idma_eh_req_i ( eh_req_i ), - .eh_req_valid_i ( eh_req_valid_i ), - .eh_req_ready_o ( eh_req_ready_o ), - .protocol_req_o ( axi_req_o ), - .protocol_rsp_i ( axi_rsp_i ), - .busy_o ( idma_busy_o ) - ); - - localparam logic [NumDim-1:0][31:0] RepWidths = '{default: RepWidth}; - - // nd midend - idma_nd_midend #( - .NumDim ( NumDim ), - .addr_t ( addr_t ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_nd_req_t ( idma_nd_req_t ), - .RepWidths ( RepWidths ) - ) i_idma_nd_midend ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .nd_req_i ( nd_req ), - .nd_req_valid_i ( req_valid_i ), - .nd_req_ready_o ( req_ready_o ), - .nd_rsp_o ( nd_rsp ), - .nd_rsp_valid_o ( rsp_valid_o ), - .nd_rsp_ready_i ( rsp_ready_i ), - .burst_req_o ( idma_req ), - .burst_req_valid_o ( idma_req_valid ), - .burst_req_ready_i ( idma_req_ready ), - .burst_rsp_i ( idma_rsp ), - .burst_rsp_valid_i ( idma_rsp_valid ), - .burst_rsp_ready_o ( idma_rsp_ready ), - .busy_o ( nd_busy_o ) - ); - - // flatten structs - assign nd_req.burst_req.dst_addr = req_dst_addr_i; - assign nd_req.burst_req.src_addr = req_src_addr_i; - assign nd_req.burst_req.length = req_length_i; - assign nd_req.burst_req.opt.axi_id = req_axi_id_i; - assign nd_req.burst_req.opt.dst.cache = req_dst_cache_i; - assign nd_req.burst_req.opt.dst.burst = req_dst_burst_i; - assign nd_req.burst_req.opt.dst.qos = req_dst_qos_i; - assign nd_req.burst_req.opt.dst.lock = req_dst_lock_i; - assign nd_req.burst_req.opt.dst.prot = req_dst_prot_i; - assign nd_req.burst_req.opt.dst.region = req_dst_region_i; - assign nd_req.burst_req.opt.src.cache = req_src_cache_i; - assign nd_req.burst_req.opt.src.burst = req_src_burst_i; - assign nd_req.burst_req.opt.src.qos = req_src_qos_i; - assign nd_req.burst_req.opt.src.lock = req_src_lock_i; - assign nd_req.burst_req.opt.src.prot = req_src_prot_i; - assign nd_req.burst_req.opt.src.region = req_src_region_i; - assign nd_req.burst_req.opt.beo.dst_reduce_len = req_dst_reduce_len_i; - assign nd_req.burst_req.opt.beo.src_reduce_len = req_src_reduce_len_i; - assign nd_req.burst_req.opt.beo.dst_max_llen = req_dst_max_llen_i; - assign nd_req.burst_req.opt.beo.src_max_llen = req_src_max_llen_i; - assign nd_req.burst_req.opt.beo.decouple_rw = req_decouple_rw_i; - assign nd_req.burst_req.opt.beo.decouple_aw = req_decouple_aw_i; - assign nd_req.burst_req.opt.last = req_last_i; - - for (genvar d = 0; d < NumDim-1; d++) begin : gen_nd_connect - // local signal - idma_d_req_t d_req; - assign d_req.reps = req_reps_i[d]; - assign d_req.src_strides = req_src_strides_i[d]; - assign d_req.dst_strides = req_dst_strides_i[d]; - // connection - assign nd_req.d_req[d] = d_req; - end - - assign rsp_cause_o = nd_rsp.pld.cause; - assign rsp_err_type_o = nd_rsp.pld.err_type; - assign rsp_burst_addr_o = nd_rsp.pld.burst_addr; - assign rsp_error_o = nd_rsp.error; - assign rsp_last_o = nd_rsp.last; - - assign axi_aw_id_o = axi_req_o.aw.id; - assign axi_aw_addr_o = axi_req_o.aw.addr; - assign axi_aw_len_o = axi_req_o.aw.len; - assign axi_aw_size_o = axi_req_o.aw.size; - assign axi_aw_burst_o = axi_req_o.aw.burst; - assign axi_aw_lock_o = axi_req_o.aw.lock; - assign axi_aw_cache_o = axi_req_o.aw.cache; - assign axi_aw_prot_o = axi_req_o.aw.prot; - assign axi_aw_qos_o = axi_req_o.aw.qos; - assign axi_aw_region_o = axi_req_o.aw.region; - assign axi_aw_atop_o = axi_req_o.aw.atop; - assign axi_aw_user_o = axi_req_o.aw.user; - assign axi_aw_valid_o = axi_req_o.aw_valid; - assign axi_w_data_o = axi_req_o.w.data; - assign axi_w_strb_o = axi_req_o.w.strb; - assign axi_w_last_o = axi_req_o.w.last; - assign axi_w_user_o = axi_req_o.w.user; - assign axi_w_valid_o = axi_req_o.w_valid; - assign axi_b_ready_o = axi_req_o.b_ready; - assign axi_ar_id_o = axi_req_o.ar.id; - assign axi_ar_addr_o = axi_req_o.ar.addr; - assign axi_ar_len_o = axi_req_o.ar.len; - assign axi_ar_size_o = axi_req_o.ar.size; - assign axi_ar_burst_o = axi_req_o.ar.burst; - assign axi_ar_lock_o = axi_req_o.ar.lock; - assign axi_ar_cache_o = axi_req_o.ar.cache; - assign axi_ar_prot_o = axi_req_o.ar.prot; - assign axi_ar_qos_o = axi_req_o.ar.qos; - assign axi_ar_region_o = axi_req_o.ar.region; - assign axi_ar_user_o = axi_req_o.ar.user; - assign axi_ar_valid_o = axi_req_o.ar_valid; - assign axi_r_ready_o = axi_req_o.r_ready; - - assign axi_rsp_i.aw_ready = axi_aw_ready_i; - assign axi_rsp_i.w_ready = axi_w_ready_i; - assign axi_rsp_i.b.id = axi_b_id_i; - assign axi_rsp_i.b.resp = axi_b_resp_i; - assign axi_rsp_i.b.user = axi_b_user_i; - assign axi_rsp_i.b_valid = axi_b_valid_i; - assign axi_rsp_i.ar_ready = axi_ar_ready_i; - assign axi_rsp_i.r.id = axi_r_id_i; - assign axi_rsp_i.r.data = axi_r_data_i; - assign axi_rsp_i.r.resp = axi_r_resp_i; - assign axi_rsp_i.r.last = axi_r_last_i; - assign axi_rsp_i.r.user = axi_r_user_i; - assign axi_rsp_i.r_valid = axi_r_valid_i; - -endmodule : idma_nd_backend_synth diff --git a/src/synth_wrapper/idma_obi_backend_synth.sv b/src/synth_wrapper/idma_obi_backend_synth.sv deleted file mode 100644 index 618e3908..00000000 --- a/src/synth_wrapper/idma_obi_backend_synth.sv +++ /dev/null @@ -1,263 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -/// Synthesis wrapper for the iDMA backend. Unpacks all the interfaces to simple logic vectors -module idma_obi_backend_synth #( - /// Data width - parameter int unsigned DataWidth = 32'd32, - /// Address width - parameter int unsigned AddrWidth = 32'd32, - /// AXI ID width - parameter int unsigned AxiIdWidth = 32'd1, - /// The depth of the internal reorder buffer: - /// - '2': minimal possible configuration - /// - '3': efficiently handle misaligned transfers (recommended) - parameter int unsigned BufferDepth = 32'd3, - /// Number of transaction that can be in-flight concurrently - parameter int unsigned NumAxInFlight = 32'd3, - /// With of a transfer: max transfer size is `2**TFLenWidth` bytes - parameter int unsigned TFLenWidth = 32'd32, - /// The depth of the memory system the backend is attached to - parameter int unsigned MemSysDepth = 32'd0, - /// Mask invalid data on the manager interface - parameter bit MaskInvalidData = 1'b1, - /// Should the `R`-`AW` coupling hardware be present? (recommended) - parameter bit RAWCouplingAvail = 1'b0, - /// Should hardware legalization be present? (recommended) - /// If not, software legalization is required to ensure the transfers are - /// AXI4-conformal - parameter bit HardwareLegalizer = 1'b1, - /// Reject zero-length transfers - parameter bit RejectZeroTransfers = 1'b1, - /// Should the error handler be present? - parameter bit ErrorHandling = 1'b0, - // Dependent parameters; do not override! - /// Strobe Width (do not override!) - parameter int unsigned StrbWidth = DataWidth / 8, - /// Offset Width (do not override!) - parameter int unsigned OffsetWidth = $clog2(StrbWidth), - /// Address type (do not override!) - parameter type addr_t = logic[AddrWidth-1:0], - /// Data type (do not override!) - parameter type data_t = logic[DataWidth-1:0], - /// Strobe type (do not override!) - parameter type strb_t = logic[StrbWidth-1:0], - /// Transfer length type (do not override!) - parameter type tf_len_t = logic[TFLenWidth-1:0], - /// Offset type (do not override!) - parameter type offset_t = logic[OffsetWidth-1:0], - /// ID type (do not override!) - parameter type id_t = logic[AxiIdWidth-1:0] -)( - input logic clk_i, - input logic rst_ni, - input logic test_i, - - input logic req_valid_i, - output logic req_ready_o, - - input tf_len_t req_length_i, - input addr_t req_src_addr_i, - input addr_t req_dst_addr_i, - input id_t req_axi_id_i, - input axi_pkg::burst_t req_src_burst_i, - input axi_pkg::cache_t req_src_cache_i, - input logic req_src_lock_i, - input axi_pkg::prot_t req_src_prot_i, - input axi_pkg::qos_t req_src_qos_i, - input axi_pkg::region_t req_src_region_i, - input axi_pkg::burst_t req_dst_burst_i, - input axi_pkg::cache_t req_dst_cache_i, - input logic req_dst_lock_i, - input axi_pkg::prot_t req_dst_prot_i, - input axi_pkg::qos_t req_dst_qos_i, - input axi_pkg::region_t req_dst_region_i, - input logic req_decouple_aw_i, - input logic req_decouple_rw_i, - input logic [2:0] req_src_max_llen_i, - input logic [2:0] req_dst_max_llen_i, - input logic req_src_reduce_len_i, - input logic req_dst_reduce_len_i, - input logic req_last_i, - - output logic rsp_valid_o, - input logic rsp_ready_i, - - output axi_pkg::resp_t rsp_cause_o, - output idma_pkg::err_type_t rsp_err_type_o, - output addr_t rsp_burst_addr_o, - output logic rsp_error_o, - output logic rsp_last_o, - - input logic eh_req_valid_i, - output logic eh_req_ready_o, - input idma_pkg::idma_eh_req_t eh_req_i, - - output idma_pkg::idma_busy_t idma_busy_o, - - output logic obi_write_req_a_req_o, - output addr_t obi_write_req_a_addr_o, - output logic obi_write_req_a_we_o, - output strb_t obi_write_req_a_be_o, - output data_t obi_write_req_a_wdata_o, - output logic obi_write_req_r_ready_o, - - output logic obi_read_req_a_req_o, - output addr_t obi_read_req_a_addr_o, - output logic obi_read_req_a_we_o, - output strb_t obi_read_req_a_be_o, - output data_t obi_read_req_a_wdata_o, - output logic obi_read_req_r_ready_o, - - input logic obi_write_rsp_a_gnt_i, - input logic obi_write_rsp_r_valid_i, - input data_t obi_write_rsp_r_rdata_i, - - input logic obi_read_rsp_a_gnt_i, - input logic obi_read_rsp_r_valid_i, - input data_t obi_read_rsp_r_rdata_i -); - - /// Define the error handling capability - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // OBI types - `IDMA_OBI_TYPEDEF_A_CHAN_T(obi_a_chan_t, addr_t, data_t, strb_t) - `IDMA_OBI_TYPEDEF_R_CHAN_T(obi_r_chan_t, data_t) - - `IDMA_OBI_TYPEDEF_REQ_T(obi_master_req_t, obi_a_chan_t) - `IDMA_OBI_TYPEDEF_RESP_T(obi_master_rsp_t, obi_r_chan_t) - - `IDMA_OBI_TYPEDEF_BIDIRECT_REQ_T(obi_req_t, obi_master_req_t) - `IDMA_OBI_TYPEDEF_BIDIRECT_RESP_T(obi_rsp_t, obi_master_rsp_t) - - /// Option struct: AXI4 id as well as AXI and backend options - /// - `last`: a flag can be set if this transfer is the last of a set of transfers - `IDMA_TYPEDEF_OPTIONS_T(options_t, id_t) - - /// 1D iDMA request type: - /// - `length`: the length of the transfer in bytes - /// - `*_addr`: the source / target byte addresses of the transfer - /// - `opt`: the options field - `IDMA_TYPEDEF_REQ_T(idma_req_t, tf_len_t, addr_t, options_t) - - /// 1D iDMA response payload: - /// - `cause`: the AXI response - /// - `err_type`: type of the error: read, write, internal, ... - /// - `burst_addr`: the burst address where the issue error occurred - `IDMA_TYPEDEF_ERR_PAYLOAD_T(err_payload_t, addr_t) - - /// 1D iDMA response type: - /// - `last`: the response of the request that was marked with the `opt.last` flag - /// - `error`: 1 if an error occurred - /// - `pld`: the error payload - `IDMA_TYPEDEF_RSP_T(idma_rsp_t, err_payload_t) - - // local types - obi_req_t obi_req_o; - obi_rsp_t obi_rsp_i; - idma_req_t idma_req; - idma_rsp_t idma_rsp; - - // DUT instantiation - idma_backend #( - .Protocol ( idma_pkg::OBI ), - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), - .idma_busy_t ( idma_pkg::idma_busy_t ), - .protocol_req_t ( obi_req_t ), - .protocol_rsp_t ( obi_rsp_t ), - .aw_chan_t ( obi_a_chan_t ), - .ar_chan_t ( obi_a_chan_t ) - ) i_idma_backend ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .testmode_i ( test_i ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid_i ), - .req_ready_o ( req_ready_o ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid_o ), - .rsp_ready_i ( rsp_ready_i ), - .idma_eh_req_i ( eh_req_i ), - .eh_req_valid_i ( eh_req_valid_i ), - .eh_req_ready_o ( eh_req_ready_o ), - .protocol_req_o ( obi_req_o ), - .protocol_rsp_i ( obi_rsp_i ), - .busy_o ( idma_busy_o ) - ); - - // flatten structs - assign idma_req.dst_addr = req_dst_addr_i; - assign idma_req.src_addr = req_src_addr_i; - assign idma_req.length = req_length_i; - assign idma_req.opt.axi_id = req_axi_id_i; - assign idma_req.opt.dst.cache = req_dst_cache_i; - assign idma_req.opt.dst.burst = req_dst_burst_i; - assign idma_req.opt.dst.qos = req_dst_qos_i; - assign idma_req.opt.dst.lock = req_dst_lock_i; - assign idma_req.opt.dst.prot = req_dst_prot_i; - assign idma_req.opt.dst.region = req_dst_region_i; - assign idma_req.opt.src.cache = req_src_cache_i; - assign idma_req.opt.src.burst = req_src_burst_i; - assign idma_req.opt.src.qos = req_src_qos_i; - assign idma_req.opt.src.lock = req_src_lock_i; - assign idma_req.opt.src.prot = req_src_prot_i; - assign idma_req.opt.src.region = req_src_region_i; - assign idma_req.opt.beo.dst_reduce_len = req_dst_reduce_len_i; - assign idma_req.opt.beo.src_reduce_len = req_src_reduce_len_i; - assign idma_req.opt.beo.dst_max_llen = req_dst_max_llen_i; - assign idma_req.opt.beo.src_max_llen = req_src_max_llen_i; - assign idma_req.opt.beo.decouple_rw = req_decouple_rw_i; - assign idma_req.opt.beo.decouple_aw = req_decouple_aw_i; - assign idma_req.opt.last = req_last_i; - - assign rsp_cause_o = idma_rsp.pld.cause; - assign rsp_err_type_o = idma_rsp.pld.err_type; - assign rsp_burst_addr_o = idma_rsp.pld.burst_addr; - assign rsp_error_o = idma_rsp.error; - assign rsp_last_o = idma_rsp.last; - - assign obi_read_req_a_req_o = obi_req_o.read.a_req; - assign obi_read_req_a_addr_o = obi_req_o.read.a.addr; - assign obi_read_req_a_we_o = obi_req_o.read.a.we; - assign obi_read_req_a_be_o = obi_req_o.read.a.be; - assign obi_read_req_a_wdata_o = obi_req_o.read.a.wdata; - assign obi_read_req_r_ready_o = obi_req_o.read.r_ready; - - assign obi_write_req_a_req_o = obi_req_o.write.a_req; - assign obi_write_req_a_addr_o = obi_req_o.write.a.addr; - assign obi_write_req_a_we_o = obi_req_o.write.a.we; - assign obi_write_req_a_be_o = obi_req_o.write.a.be; - assign obi_write_req_a_wdata_o = obi_req_o.write.a.wdata; - assign obi_write_req_r_ready_o = obi_req_o.write.r_ready; - - assign obi_rsp_i.read.a_gnt = obi_read_rsp_a_gnt_i; - assign obi_rsp_i.read.r_valid = obi_read_rsp_r_valid_i; - assign obi_rsp_i.read.r.rdata = obi_read_rsp_r_rdata_i; - - assign obi_rsp_i.write.a_gnt = obi_write_rsp_a_gnt_i; - assign obi_rsp_i.write.r_valid = obi_write_rsp_r_valid_i; - assign obi_rsp_i.write.r.rdata = obi_write_rsp_r_rdata_i; - -endmodule : idma_obi_backend_synth diff --git a/src/systems/pulpopen/dmac_wrap.sv b/src/systems/pulpopen/dmac_wrap.sv index 800d953d..4994d0df 100644 --- a/src/systems/pulpopen/dmac_wrap.sv +++ b/src/systems/pulpopen/dmac_wrap.sv @@ -6,6 +6,7 @@ * dmac_wrap.sv * Thomas Benz * Michael Rogenmoser + * Tobias Senti */ // DMA Core wrapper @@ -26,12 +27,15 @@ module dmac_wrap #( parameter int unsigned DATA_WIDTH = 32, parameter int unsigned ADDR_WIDTH = 32, parameter int unsigned BE_WIDTH = DATA_WIDTH/8, - parameter int unsigned NUM_STREAMS = 1, // Only 1 for now parameter int unsigned TCDM_SIZE = 0, parameter int unsigned TwoDMidend = 1, // Leave this on for now parameter int unsigned NB_OUTSND_BURSTS = 8, parameter int unsigned GLOBAL_QUEUE_DEPTH = 16, - parameter int unsigned BACKEND_QUEUE_DEPTH = 16 + parameter int unsigned BACKEND_QUEUE_DEPTH = 16, + parameter int unsigned NUM_STREAMS = 1, + parameter int unsigned DUAL_BACKEND = 0 + // 0 -> Single AXI-OBI Backend + // 1 -> One AXI to OBI and one OBI to AXI Backend ) ( input logic clk_i, input logic rst_ni, @@ -47,7 +51,7 @@ module dmac_wrap #( output logic busy_o ); - localparam int unsigned NumRegs = NB_CORES+NB_PE_PORTS; + localparam int unsigned NumRegs = NB_CORES + NB_PE_PORTS; localparam int unsigned MstIdxWidth = AXI_ID_WIDTH; localparam int unsigned SlvIdxWidth = AXI_ID_WIDTH - $clog2(NUM_STREAMS); @@ -94,35 +98,69 @@ module dmac_wrap #( // AXI4+ATOP types typedef logic [AXI_ADDR_WIDTH-1:0] addr_t; - typedef logic [ADDR_WIDTH-1:0] mem_addr_t; typedef logic [AXI_DATA_WIDTH-1:0] data_t; - typedef logic [SlvIdxWidth-1:0] slv_id_t; typedef logic [MstIdxWidth-1:0] mst_id_t; typedef logic [AXI_DATA_WIDTH/8-1:0] strb_t; typedef logic [AXI_USER_WIDTH-1:0] user_t; // AXI4+ATOP channels typedefs - `AXI_TYPEDEF_AW_CHAN_T(slv_aw_chan_t, addr_t, slv_id_t, user_t) - `AXI_TYPEDEF_AW_CHAN_T(mst_aw_chan_t, addr_t, mst_id_t, user_t) - `AXI_TYPEDEF_AW_CHAN_T(mem_aw_chan_t, mem_addr_t, mst_id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(slv_b_chan_t, slv_id_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(mst_b_chan_t, mst_id_t, user_t) - `AXI_TYPEDEF_AR_CHAN_T(slv_ar_chan_t, addr_t, slv_id_t, user_t) - `AXI_TYPEDEF_AR_CHAN_T(mst_ar_chan_t, addr_t, mst_id_t, user_t) - `AXI_TYPEDEF_AR_CHAN_T(mem_ar_chan_t, mem_addr_t, mst_id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(slv_r_chan_t, data_t, slv_id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(mst_r_chan_t, data_t, mst_id_t, user_t) - `AXI_TYPEDEF_REQ_T(slv_req_t, slv_aw_chan_t, w_chan_t, slv_ar_chan_t) - `AXI_TYPEDEF_REQ_T(mst_req_t, mst_aw_chan_t, w_chan_t, mst_ar_chan_t) - `AXI_TYPEDEF_REQ_T(mem_req_t, mem_aw_chan_t, w_chan_t, mem_ar_chan_t) - `AXI_TYPEDEF_RESP_T(slv_resp_t, slv_b_chan_t, slv_r_chan_t) - `AXI_TYPEDEF_RESP_T(mst_resp_t, mst_b_chan_t, mst_r_chan_t) + `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, mst_id_t, user_t) + `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) + `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, mst_id_t, user_t) + `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, mst_id_t, user_t) + `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, mst_id_t, user_t) + `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) + `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) + // OBI channels typedefs + `IDMA_OBI_TYPEDEF_A_CHAN_T(obi_a_chan_t, addr_t, data_t, strb_t) + `IDMA_OBI_TYPEDEF_R_CHAN_T(obi_r_chan_t, data_t) + + `IDMA_OBI_TYPEDEF_REQ_T(obi_req_t, obi_a_chan_t) + `IDMA_OBI_TYPEDEF_RESP_T(obi_rsp_t, obi_r_chan_t) + + // Calculate padding (keep it static for now!) + localparam int unsigned ObiAChanWidth = AXI_ADDR_WIDTH + AXI_DATA_WIDTH + AXI_DATA_WIDTH/8 + 32'd1; + localparam int unsigned AxiAwChanWidth = axi_pkg::aw_width(AXI_ADDR_WIDTH, MstIdxWidth, AXI_USER_WIDTH); + localparam int unsigned AxiArChanWidth = axi_pkg::ar_width(AXI_ADDR_WIDTH, MstIdxWidth, AXI_USER_WIDTH); + localparam int unsigned ArMetaPadWidth = ObiAChanWidth - AxiArChanWidth; + localparam int unsigned AwMetaPadWidth = ObiAChanWidth - AxiAwChanWidth; + + + // DMA Meta Channels + typedef struct packed { + axi_ar_chan_t ar_chan; + logic [ArMetaPadWidth-1:0] padding; + } axi_read_ar_chan_padded_t; + + typedef struct packed { + obi_a_chan_t a_chan; + } obi_read_a_chan_padded_t; + + typedef union packed { + axi_read_ar_chan_padded_t axi; + obi_read_a_chan_padded_t obi; + } read_meta_channel_t; + + typedef struct packed { + axi_aw_chan_t aw_chan; + logic [AwMetaPadWidth-1:0] padding; + } axi_write_aw_chan_padded_t; + + typedef struct packed { + obi_a_chan_t a_chan; + } obi_write_a_chan_padded_t; + + typedef union packed { + axi_write_aw_chan_padded_t axi; + obi_write_a_chan_padded_t obi; + } write_meta_channel_t; + // BUS definitions - mst_req_t tcdm_req, soc_req; - mst_resp_t soc_rsp; - mst_resp_t tcdm_rsp; - slv_req_t [NUM_STREAMS-1:0] dma_req; - slv_resp_t [NUM_STREAMS-1:0] dma_rsp; + axi_req_t axi_read_req, axi_write_req, soc_req; + axi_rsp_t axi_read_rsp, axi_write_rsp, soc_rsp; + + obi_req_t obi_read_req, obi_write_req; + obi_rsp_t obi_read_rsp, obi_write_rsp; + // interface to structs `AXI_ASSIGN_FROM_REQ(ext_master, soc_req) `AXI_ASSIGN_TO_RESP(soc_rsp, ext_master) @@ -142,18 +180,16 @@ module dmac_wrap #( typedef logic [StrideWidth-1:0] strides_t; // iDMA request / response types - `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, slv_id_t, addr_t, tf_len_t) + `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, mst_id_t, addr_t, tf_len_t) `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) // iDMA ND request `IDMA_TYPEDEF_FULL_ND_REQ_T(idma_nd_req_t, idma_req_t, reps_t, strides_t) idma_nd_req_t twod_req, twod_req_queue; - idma_req_t burst_req; - idma_rsp_t idma_rsp; - logic fe_valid, twod_queue_valid, be_valid, be_rsp_valid; - logic fe_ready, twod_queue_ready, be_ready, be_rsp_ready; + logic fe_valid, twod_queue_valid; + logic fe_ready, twod_queue_ready; logic trans_complete, midend_busy; idma_pkg::idma_busy_t idma_busy; @@ -207,12 +243,12 @@ module dmac_wrap #( ); // interrupts and events (currently broadcast tx_cplt event only) - assign term_event_pe_o = |trans_complete ? '1 : '0; + assign term_event_pe_o = |(trans_complete) ? '1 : '0; assign term_irq_pe_o = '0; - assign term_event_o = |trans_complete ? '1 : '0; + assign term_event_o = |(trans_complete) ? '1 : '0; assign term_irq_o = '0; - assign busy_o = midend_busy | |idma_busy; + assign busy_o = midend_busy | (|idma_busy); // ------------------------------------------------------ // MIDEND @@ -240,196 +276,392 @@ module dmac_wrap #( localparam logic [1:0][31:0] RepWidths = '{default: 32'd32}; - idma_nd_midend #( - .NumDim ( NumDim ), - .addr_t ( addr_t ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_nd_req_t( idma_nd_req_t ), - .RepWidths ( RepWidths ) - ) i_idma_2D_midend ( - .clk_i, - .rst_ni, + if (DUAL_BACKEND) begin : gen_split_axi_to_from_obi_backend + logic [1:0] twod_queue_valid_demux; + logic [1:0] twod_queue_ready_demux; + + stream_demux #( + .N_OUP ( 2 ) + ) i_stream_demux ( + .inp_valid_i ( twod_queue_valid ), + .inp_ready_o ( twod_queue_ready ), + //0 -> AXI to OBI + //1 -> OBI to AXI + .oup_sel_i ( twod_req_queue.burst_req.opt.src_protocol == idma_pkg::OBI ), + + .oup_valid_o ( twod_queue_valid_demux ), + .oup_ready_i ( twod_queue_ready_demux ) + ); - .nd_req_i ( twod_req_queue ), - .nd_req_valid_i ( twod_queue_valid ), - .nd_req_ready_o ( twod_queue_ready ), + logic [1:0] trans_complete_demux; + idma_req_t [1:0] burst_req_demux; + logic [1:0] be_valid_demux; + logic [1:0] be_ready_demux; - .nd_rsp_o (/*NOT CONNECTED*/ ), - .nd_rsp_valid_o ( trans_complete ), - .nd_rsp_ready_i ( 1'b1 ), // Always ready to accept completed transfers + idma_rsp_t [1:0] idma_rsp_demux; + logic [1:0] be_rsp_valid_demux; + logic [1:0] be_rsp_ready_demux; - .burst_req_o ( burst_req ), - .burst_req_valid_o( be_valid ), - .burst_req_ready_i( be_ready ), + logic [1:0] midend_busy_demux; - .burst_rsp_i ( idma_rsp ), - .burst_rsp_valid_i( be_rsp_valid ), - .burst_rsp_ready_o( be_rsp_ready ), + idma_pkg::idma_busy_t [1:0] idma_busy_demux; - .busy_o ( midend_busy ) - ); + assign trans_complete = |trans_complete_demux; + assign midend_busy = |midend_busy_demux; + assign idma_busy = idma_busy_demux[0] | idma_busy_demux[1]; - // ------------------------------------------------------ - // BACKEND - // ------------------------------------------------------ + idma_nd_midend #( + .NumDim ( NumDim ), + .addr_t ( addr_t ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_nd_req_t( idma_nd_req_t ), + .RepWidths ( RepWidths ) + ) i_idma_2D_midend_axi_to_obi ( + .clk_i, + .rst_ni, - idma_backend #( - .DataWidth ( AXI_DATA_WIDTH ), - .AddrWidth ( AXI_ADDR_WIDTH ), - .UserWidth ( AXI_USER_WIDTH ), - .AxiIdWidth ( AXI_ID_WIDTH ), - .NumAxInFlight ( NB_OUTSND_BURSTS ), - .BufferDepth ( 3 ), - .TFLenWidth ( TFLenWidth ), - .RAWCouplingAvail ( 1'b1 ), - .MaskInvalidData ( 1'b1 ), - .HardwareLegalizer ( 1'b1 ), - .RejectZeroTransfers ( 1'b1 ), - .MemSysDepth ( 32'd0 ), - .ErrorCap ( idma_pkg::NO_ERROR_HANDLING ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), - .idma_busy_t ( idma_pkg::idma_busy_t ), - .axi_req_t ( slv_req_t ), - .axi_rsp_t ( slv_resp_t ) - ) i_idma_backend ( - .clk_i, - .rst_ni, - .testmode_i ( test_mode_i ), + .nd_req_i ( twod_req_queue ), + .nd_req_valid_i ( twod_queue_valid_demux[0] ), + .nd_req_ready_o ( twod_queue_ready_demux[0] ), + + .nd_rsp_o (/*NOT CONNECTED*/ ), + .nd_rsp_valid_o ( trans_complete_demux[0] ), + .nd_rsp_ready_i ( 1'b1 ), // Always ready to accept completed transfers + + .burst_req_o ( burst_req_demux[0] ), + .burst_req_valid_o( be_valid_demux[0] ), + .burst_req_ready_i( be_ready_demux[0] ), + + .burst_rsp_i ( idma_rsp_demux[0] ), + .burst_rsp_valid_i( be_rsp_valid_demux[0] ), + .burst_rsp_ready_o( be_rsp_ready_demux[0] ), + + .busy_o ( midend_busy_demux[0] ) + ); - .idma_req_i ( burst_req ), - .req_valid_i ( be_valid ), - .req_ready_o ( be_ready ), + idma_nd_midend #( + .NumDim ( NumDim ), + .addr_t ( addr_t ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_nd_req_t( idma_nd_req_t ), + .RepWidths ( RepWidths ) + ) i_idma_2D_midend_obi_to_axi ( + .clk_i, + .rst_ni, + + .nd_req_i ( twod_req_queue ), + .nd_req_valid_i ( twod_queue_valid_demux[1] ), + .nd_req_ready_o ( twod_queue_ready_demux[1] ), + + .nd_rsp_o (/*NOT CONNECTED*/ ), + .nd_rsp_valid_o ( trans_complete_demux[1] ), + .nd_rsp_ready_i ( 1'b1 ), // Always ready to accept completed transfers + + .burst_req_o ( burst_req_demux[1] ), + .burst_req_valid_o( be_valid_demux[1] ), + .burst_req_ready_i( be_ready_demux[1] ), + + .burst_rsp_i ( idma_rsp_demux[1] ), + .burst_rsp_valid_i( be_rsp_valid_demux[1] ), + .burst_rsp_ready_o( be_rsp_ready_demux[1] ), + + .busy_o ( midend_busy_demux[1] ) + ); + + // ------------------------------------------------------ + // BACKEND + // ------------------------------------------------------ + + idma_backend_r_axi_w_obi #( + .DataWidth ( AXI_DATA_WIDTH ), + .AddrWidth ( AXI_ADDR_WIDTH ), + .AxiIdWidth ( AXI_ID_WIDTH ), + .UserWidth ( AXI_USER_WIDTH ), + .TFLenWidth ( TFLenWidth ), + .MaskInvalidData ( 1'b1 ), + .BufferDepth ( 3 ), + .RAWCouplingAvail ( 1'b0 ), + .HardwareLegalizer ( 1'b1 ), + .RejectZeroTransfers ( 1'b1 ), + .ErrorCap ( idma_pkg::NO_ERROR_HANDLING ), + .PrintFifoInfo ( 1'b0 ), + .NumAxInFlight ( NB_OUTSND_BURSTS ), + .MemSysDepth ( 32'd0 ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), + .idma_busy_t ( idma_pkg::idma_busy_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), + .read_meta_channel_t ( read_meta_channel_t ) + ) i_idma_backend_axi_to_obi ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( test_mode_i ), + + .idma_req_i ( burst_req_demux[0] ), + .req_valid_i ( be_valid_demux[0] ), + .req_ready_o ( be_ready_demux[0] ), + + .idma_rsp_o ( idma_rsp_demux[0] ), + .rsp_valid_o ( be_rsp_valid_demux[0] ), + .rsp_ready_i ( be_rsp_ready_demux[0] ), + + .idma_eh_req_i ( '0 ), + .eh_req_valid_i ( 1'b1 ), + .eh_req_ready_o ( /* NOT CONNECTED */ ), + + .axi_read_req_o ( axi_read_req ), + .axi_read_rsp_i ( axi_read_rsp ), + + .obi_write_req_o ( obi_write_req ), + .obi_write_rsp_i ( obi_write_rsp ), + + .busy_o ( idma_busy_demux[0] ) + ); + + idma_backend_w_axi_r_obi #( + .DataWidth ( AXI_DATA_WIDTH ), + .AddrWidth ( AXI_ADDR_WIDTH ), + .AxiIdWidth ( AXI_ID_WIDTH ), + .UserWidth ( AXI_USER_WIDTH ), + .TFLenWidth ( TFLenWidth ), + .MaskInvalidData ( 1'b1 ), + .BufferDepth ( 3 ), + .RAWCouplingAvail ( 1'b0 ), + .HardwareLegalizer ( 1'b1 ), + .RejectZeroTransfers ( 1'b1 ), + .ErrorCap ( idma_pkg::NO_ERROR_HANDLING ), + .PrintFifoInfo ( 1'b0 ), + .NumAxInFlight ( NB_OUTSND_BURSTS ), + .MemSysDepth ( 32'd0 ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), + .idma_busy_t ( idma_pkg::idma_busy_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), + .read_meta_channel_t ( read_meta_channel_t ) + ) i_idma_backend_obi_to_axi ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( test_mode_i ), + + .idma_req_i ( burst_req_demux[1] ), + .req_valid_i ( be_valid_demux[1] ), + .req_ready_o ( be_ready_demux[1] ), + + .idma_rsp_o ( idma_rsp_demux[1] ), + .rsp_valid_o ( be_rsp_valid_demux[1] ), + .rsp_ready_i ( be_rsp_ready_demux[1] ), + + .idma_eh_req_i ( '0 ), + .eh_req_valid_i ( 1'b1 ), + .eh_req_ready_o ( /* NOT CONNECTED */ ), + + .axi_write_req_o ( axi_write_req ), + .axi_write_rsp_i ( axi_write_rsp ), + + .obi_read_req_o ( obi_read_req ), + .obi_read_rsp_i ( obi_read_rsp ), + + .busy_o ( idma_busy_demux[1] ) + ); + end else begin : gen_single_axi_obi_backend + idma_req_t burst_req; + idma_rsp_t idma_rsp; + logic be_valid, be_rsp_valid; + logic be_ready, be_rsp_ready; + + idma_nd_midend #( + .NumDim ( NumDim ), + .addr_t ( addr_t ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_nd_req_t( idma_nd_req_t ), + .RepWidths ( RepWidths ) + ) i_idma_2D_midend ( + .clk_i, + .rst_ni, - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( be_rsp_valid ), - .rsp_ready_i ( be_rsp_ready ), + .nd_req_i ( twod_req_queue ), + .nd_req_valid_i ( twod_queue_valid ), + .nd_req_ready_o ( twod_queue_ready ), - .idma_eh_req_i ( '0 ), // No error handling - .eh_req_valid_i( 1'b1 ), - .eh_req_ready_o(/*NOT CONNECTED*/), + .nd_rsp_o (/*NOT CONNECTED*/ ), + .nd_rsp_valid_o ( trans_complete ), + .nd_rsp_ready_i ( 1'b1 ), // Always ready to accept completed transfers - .axi_req_o ( dma_req ), - .axi_rsp_i ( dma_rsp ), - .busy_o ( idma_busy ) + .burst_req_o ( burst_req ), + .burst_req_valid_o( be_valid ), + .burst_req_ready_i( be_ready ), + + .burst_rsp_i ( idma_rsp ), + .burst_rsp_valid_i( be_rsp_valid ), + .burst_rsp_ready_o( be_rsp_ready ), + + .busy_o ( midend_busy ) + ); + + // ------------------------------------------------------ + // BACKEND + // ------------------------------------------------------ + + idma_backend_rw_axi_rw_obi #( + .DataWidth ( AXI_DATA_WIDTH ), + .AddrWidth ( AXI_ADDR_WIDTH ), + .AxiIdWidth ( AXI_ID_WIDTH ), + .UserWidth ( AXI_USER_WIDTH ), + .TFLenWidth ( TFLenWidth ), + .MaskInvalidData ( 1'b1 ), + .BufferDepth ( 3 ), + .RAWCouplingAvail ( 1'b0 ), + .HardwareLegalizer ( 1'b1 ), + .RejectZeroTransfers ( 1'b1 ), + .ErrorCap ( idma_pkg::NO_ERROR_HANDLING ), + .PrintFifoInfo ( 1'b0 ), + .NumAxInFlight ( NB_OUTSND_BURSTS ), + .MemSysDepth ( 32'd0 ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_pkg::idma_eh_req_t ), + .idma_busy_t ( idma_pkg::idma_busy_t ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ), + .obi_req_t ( obi_req_t ), + .obi_rsp_t ( obi_rsp_t ), + .write_meta_channel_t ( write_meta_channel_t ), + .read_meta_channel_t ( read_meta_channel_t ) + ) i_idma_backend ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .testmode_i ( test_mode_i ), + + .idma_req_i ( burst_req ), + .req_valid_i ( be_valid ), + .req_ready_o ( be_ready ), + + .idma_rsp_o ( idma_rsp ), + .rsp_valid_o ( be_rsp_valid ), + .rsp_ready_i ( be_rsp_ready ), + + .idma_eh_req_i ( '0 ), + .eh_req_valid_i ( 1'b1 ), + .eh_req_ready_o ( /* NOT CONNECTED */ ), + + .axi_read_req_o ( axi_read_req ), + .axi_read_rsp_i ( axi_read_rsp ), + + .obi_read_req_o ( obi_read_req ), + .obi_read_rsp_i ( obi_read_rsp ), + + .axi_write_req_o ( axi_write_req ), + .axi_write_rsp_i ( axi_write_rsp ), + + .obi_write_req_o ( obi_write_req ), + .obi_write_rsp_i ( obi_write_rsp ), + + .busy_o ( idma_busy ) + ); + end + + // ------------------------------------------------------ + // AXI RW Join + // ------------------------------------------------------ + + axi_rw_join #( + .axi_req_t ( axi_req_t ), + .axi_resp_t ( axi_rsp_t ) + ) i_axi_soc_rw_join ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .slv_read_req_i ( axi_read_req ), + .slv_read_resp_o ( axi_read_rsp ), + .slv_write_req_i ( axi_write_req ), + .slv_write_resp_o ( axi_write_rsp ), + .mst_req_o ( soc_req ), + .mst_resp_i ( soc_rsp ) ); // ------------------------------------------------------ - // AXI connection to EXT/TCDM + // TCDM Bank Split // ------------------------------------------------------ - // xbar - localparam int unsigned NumRules = 3; - typedef struct packed { - int unsigned idx; - logic [AXI_ADDR_WIDTH-1:0] start_addr; - logic [AXI_ADDR_WIDTH-1:0] end_addr; - } xbar_rule_t; - xbar_rule_t [NumRules-1:0] addr_map; - logic [AXI_ADDR_WIDTH-1:0] cluster_base_addr; - assign cluster_base_addr = 32'h1000_0000; /* + (cluster_id_i << 22);*/ - assign addr_map = '{ - '{ // SoC low - start_addr: '0, - end_addr: cluster_base_addr, - idx: 0 - }, - '{ // TCDM - start_addr: cluster_base_addr, - end_addr: cluster_base_addr + TCDM_SIZE, - idx: 1 - }, - '{ // SoC high - start_addr: cluster_base_addr + TCDM_SIZE, - end_addr: '1, - idx: 0 - } - }; - localparam int unsigned NumMstPorts = 2; - localparam int unsigned NumSlvPorts = NUM_STREAMS; - - /* verilator lint_off WIDTHCONCAT */ - localparam axi_pkg::xbar_cfg_t XbarCfg = '{ - NoSlvPorts: NumSlvPorts, - NoMstPorts: NumMstPorts, - MaxMstTrans: NB_OUTSND_BURSTS, - MaxSlvTrans: NB_OUTSND_BURSTS, - FallThrough: 1'b0, - LatencyMode: axi_pkg::CUT_ALL_PORTS, - AxiIdWidthSlvPorts: SlvIdxWidth, - AxiIdUsedSlvPorts: SlvIdxWidth, - UniqueIds: 1'b0, - AxiAddrWidth: AXI_ADDR_WIDTH, - AxiDataWidth: AXI_DATA_WIDTH, - NoAddrRules: NumRules - }; - /* verilator lint_on WIDTHCONCAT */ - - axi_xbar #( - .Cfg ( XbarCfg ), - .slv_aw_chan_t( slv_aw_chan_t ), - .mst_aw_chan_t( mst_aw_chan_t ), - .w_chan_t ( w_chan_t ), - .slv_b_chan_t ( slv_b_chan_t ), - .mst_b_chan_t ( mst_b_chan_t ), - .slv_ar_chan_t( slv_ar_chan_t ), - .mst_ar_chan_t( mst_ar_chan_t ), - .slv_r_chan_t ( slv_r_chan_t ), - .mst_r_chan_t ( mst_r_chan_t ), - .slv_req_t ( slv_req_t ), - .slv_resp_t ( slv_resp_t ), - .mst_req_t ( mst_req_t ), - .mst_resp_t ( mst_resp_t ), - .rule_t ( xbar_rule_t ) - ) i_dma_axi_xbar ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .test_i ( test_mode_i ), - .slv_ports_req_i ( dma_req ), - .slv_ports_resp_o ( dma_rsp ), - .mst_ports_req_o ( { tcdm_req, soc_req } ), - .mst_ports_resp_i ( { tcdm_rsp, soc_rsp } ), - .addr_map_i ( addr_map ), - .en_default_mst_port_i ( '0 ), - .default_mst_port_i ( '0 ) + logic tcdm_master_we_0; + logic tcdm_master_we_1; + logic tcdm_master_we_2; + logic tcdm_master_we_3; + + mem_to_banks #( + .AddrWidth( AXI_ADDR_WIDTH ), + .DataWidth( AXI_DATA_WIDTH ), + .NumBanks ( 2 ), + .HideStrb ( 1'b1 ), + .MaxTrans ( 32'd1 ) + ) i_mem_to_banks_write ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + + .req_i ( obi_write_req.a_req ), + .addr_i ( obi_write_req.a.addr ), + .wdata_i ( obi_write_req.a.wdata ), + .strb_i ( obi_write_req.a.be ), + .atop_i ( '0 ), // We need to use the RISC-V atomics + .we_i ( !obi_write_req.a.we ), + + .gnt_o ( obi_write_rsp.a_gnt ), + .rvalid_o ( obi_write_rsp.r_valid ), + .rdata_o ( obi_write_rsp.r.rdata ), + + .bank_req_o ( { tcdm_master[0].req, tcdm_master[1].req } ), + .bank_gnt_i ( { tcdm_master[0].gnt, tcdm_master[1].gnt } ), + .bank_addr_o ( { tcdm_master[0].add, tcdm_master[1].add } ), + .bank_wdata_o ( { tcdm_master[0].data, tcdm_master[1].data } ), + .bank_strb_o ( { tcdm_master[0].be, tcdm_master[1].be } ), + .bank_atop_o ( /* NOT CONNECTED */ ), + .bank_we_o ( { tcdm_master_we_0, tcdm_master_we_1 } ), + .bank_rvalid_i ( { tcdm_master[0].r_valid, tcdm_master[1].r_valid } ), + .bank_rdata_i ( { tcdm_master[0].r_data, tcdm_master[1].r_data } ) ); - localparam int unsigned TcdmFifoDepth = 1; - - axi_to_mem_split #( - .axi_req_t ( mem_req_t ), - .axi_resp_t ( mst_resp_t ), - .AddrWidth ( ADDR_WIDTH ), - .AxiDataWidth ( AXI_DATA_WIDTH ), - .IdWidth ( MstIdxWidth ), - .MemDataWidth ( DATA_WIDTH ), - .BufDepth ( TcdmFifoDepth ), - .HideStrb ( 1'b1 ) - ) i_axi_to_mem ( - .clk_i, - .rst_ni, - .busy_o (), - .axi_req_i ( tcdm_req ), - .axi_resp_o ( tcdm_rsp ), - .mem_req_o ( { tcdm_master[0].req, tcdm_master[1].req, - tcdm_master[2].req, tcdm_master[3].req } ), - .mem_gnt_i ( { tcdm_master[0].gnt, tcdm_master[1].gnt, - tcdm_master[2].gnt, tcdm_master[3].gnt } ), - .mem_addr_o ( { tcdm_master[0].add, tcdm_master[1].add, - tcdm_master[2].add, tcdm_master[3].add } ), - .mem_wdata_o ( { tcdm_master[0].data, tcdm_master[1].data, - tcdm_master[2].data, tcdm_master[3].data } ), - .mem_strb_o ( { tcdm_master[0].be, tcdm_master[1].be, - tcdm_master[2].be, tcdm_master[3].be } ), - .mem_atop_o ( ), - .mem_we_o ( { tcdm_master_we_0, tcdm_master_we_1, - tcdm_master_we_2, tcdm_master_we_3 } ), - .mem_rvalid_i ( { tcdm_master[0].r_valid, tcdm_master[1].r_valid, - tcdm_master[2].r_valid, tcdm_master[3].r_valid } ), - .mem_rdata_i ( { tcdm_master[0].r_data, tcdm_master[1].r_data, - tcdm_master[2].r_data, tcdm_master[3].r_data } ) + mem_to_banks #( + .AddrWidth( AXI_ADDR_WIDTH ), + .DataWidth( AXI_DATA_WIDTH ), + .NumBanks ( 2 ), + .HideStrb ( 1'b1 ), + .MaxTrans ( 32'd1 ) + ) i_mem_to_banks_read ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + + .req_i ( obi_read_req.a_req ), + .addr_i ( obi_read_req.a.addr ), + .wdata_i ( obi_read_req.a.wdata ), + .strb_i ( obi_read_req.a.be ), + .atop_i ( '0 ), // We need to use the RISC-V atomics + .we_i ( !obi_read_req.a.we ), + + .gnt_o ( obi_read_rsp.a_gnt ), + .rvalid_o ( obi_read_rsp.r_valid ), + .rdata_o ( obi_read_rsp.r.rdata ), + + .bank_req_o ( { tcdm_master[2].req, tcdm_master[3].req } ), + .bank_gnt_i ( { tcdm_master[2].gnt, tcdm_master[3].gnt } ), + .bank_addr_o ( { tcdm_master[2].add, tcdm_master[3].add } ), + .bank_wdata_o ( { tcdm_master[2].data, tcdm_master[3].data } ), + .bank_strb_o ( { tcdm_master[2].be, tcdm_master[3].be } ), + .bank_atop_o ( /* NOT CONNECTED */ ), + .bank_we_o ( { tcdm_master_we_2, tcdm_master_we_3 } ), + .bank_rvalid_i ( { tcdm_master[2].r_valid, tcdm_master[3].r_valid } ), + .bank_rdata_i ( { tcdm_master[2].r_data, tcdm_master[3].r_data } ) ); // flip we polarity diff --git a/src/systems/pulpopen/synth_dmac_wrap.sv b/src/systems/pulpopen/synth_dmac_wrap.sv new file mode 100644 index 00000000..bd112520 --- /dev/null +++ b/src/systems/pulpopen/synth_dmac_wrap.sv @@ -0,0 +1,424 @@ +module synth_dmac_wrap #( + parameter int unsigned DualBackend = 0, + parameter int unsigned NumAx = 2, + parameter int unsigned FifoDepth = 2 +)( + input logic clk_i, + input logic rst_ni, + input logic test_mode_i, + + output logic [31:0] data_master_aw_addr_o, + output logic [2:0] data_master_aw_prot_o, + output logic [3:0] data_master_aw_region_o, + output logic [7:0] data_master_aw_len_o, + output logic [2:0] data_master_aw_size_o, + output logic [1:0] data_master_aw_burst_o, + output logic data_master_aw_lock_o, + output logic [5:0] data_master_aw_atop_o, + output logic [3:0] data_master_aw_cache_o, + output logic [3:0] data_master_aw_qos_o, + output logic [5:0] data_master_aw_id_o, + output logic [0:0] data_master_aw_user_o, + output logic data_master_aw_valid_o, + input logic data_master_aw_ready_i, + + output logic [31:0] data_master_ar_addr_o, + output logic [2:0] data_master_ar_prot_o, + output logic [3:0] data_master_ar_region_o, + output logic [7:0] data_master_ar_len_o, + output logic [2:0] data_master_ar_size_o, + output logic [1:0] data_master_ar_burst_o, + output logic data_master_ar_lock_o, + output logic [3:0] data_master_ar_cache_o, + output logic [3:0] data_master_ar_qos_o, + output logic [5:0] data_master_ar_id_o, + output logic [0:0] data_master_ar_user_o, + output logic data_master_ar_valid_o, + input logic data_master_ar_ready_i, + + output logic [63:0] data_master_w_data_o, + output logic [7:0] data_master_w_strb_o, + output logic [3:0] data_master_w_user_o, + output logic data_master_w_last_o, + output logic data_master_w_valid_o, + input logic data_master_w_ready_i, + + input logic [63:0] data_master_r_data_i, + input logic [1:0] data_master_r_resp_i, + input logic data_master_r_last_i, + input logic [5:0] data_master_r_id_i, + input logic [0:0] data_master_r_user_i, + input logic data_master_r_valid_i, + output logic data_master_r_ready_o, + + input logic [1:0] data_master_b_resp_i, + input logic [5:0] data_master_b_id_i, + input logic [0:0] data_master_b_user_i, + input logic data_master_b_valid_i, + output logic data_master_b_ready_o, + + input logic ctrl_0_req, + input logic [31:0] ctrl_0_add, + input logic ctrl_0_wen, + input logic [31:0] ctrl_0_wdata, + input logic [3:0] ctrl_0_be, + output logic ctrl_0_gnt, + // output logic ctrl_0_r_opc, + output logic [31:0] ctrl_0_r_rdata, + output logic ctrl_0_r_valid, + + input logic ctrl_1_req, + input logic [31:0] ctrl_1_add, + input logic ctrl_1_wen, + input logic [31:0] ctrl_1_wdata, + input logic [3:0] ctrl_1_be, + output logic ctrl_1_gnt, + // output logic ctrl_1_r_opc, + output logic [31:0] ctrl_1_r_rdata, + output logic ctrl_1_r_valid, + + input logic ctrl_2_req, + input logic [31:0] ctrl_2_add, + input logic ctrl_2_wen, + input logic [31:0] ctrl_2_wdata, + input logic [3:0] ctrl_2_be, + output logic ctrl_2_gnt, + // output logic ctrl_2_r_opc, + output logic [31:0] ctrl_2_r_rdata, + output logic ctrl_2_r_valid, + + input logic ctrl_3_req, + input logic [31:0] ctrl_3_add, + input logic ctrl_3_wen, + input logic [31:0] ctrl_3_wdata, + input logic [3:0] ctrl_3_be, + output logic ctrl_3_gnt, + // output logic ctrl_3_r_opc, + output logic [31:0] ctrl_3_r_rdata, + output logic ctrl_3_r_valid, + + input logic ctrl_4_req, + input logic [31:0] ctrl_4_add, + input logic ctrl_4_wen, + input logic [31:0] ctrl_4_wdata, + input logic [3:0] ctrl_4_be, + output logic ctrl_4_gnt, + // output logic ctrl_4_r_opc, + output logic [31:0] ctrl_4_r_rdata, + output logic ctrl_4_r_valid, + + input logic ctrl_5_req, + input logic [31:0] ctrl_5_add, + input logic ctrl_5_wen, + input logic [31:0] ctrl_5_wdata, + input logic [3:0] ctrl_5_be, + output logic ctrl_5_gnt, + // output logic ctrl_5_r_opc, + output logic [31:0] ctrl_5_r_rdata, + output logic ctrl_5_r_valid, + + input logic ctrl_6_req, + input logic [31:0] ctrl_6_add, + input logic ctrl_6_wen, + input logic [31:0] ctrl_6_wdata, + input logic [3:0] ctrl_6_be, + output logic ctrl_6_gnt, + // output logic ctrl_6_r_opc, + output logic [31:0] ctrl_6_r_rdata, + output logic ctrl_6_r_valid, + + input logic ctrl_7_req, + input logic [31:0] ctrl_7_add, + input logic ctrl_7_wen, + input logic [31:0] ctrl_7_wdata, + input logic [3:0] ctrl_7_be, + output logic ctrl_7_gnt, + // output logic ctrl_7_r_opc, + output logic [31:0] ctrl_7_r_rdata, + output logic ctrl_7_r_valid, + + output logic tcdm_0_req, + output logic [31:0] tcdm_0_add, + output logic tcdm_0_wen, + output logic [31:0] tcdm_0_wdata, + output logic [3:0] tcdm_0_be, + input logic tcdm_0_gnt, + // input logic tcdm_0_r_opc, + input logic [31:0] tcdm_0_r_rdata, + input logic tcdm_0_r_valid, + + output logic tcdm_1_req, + output logic [31:0] tcdm_1_add, + output logic tcdm_1_wen, + output logic [31:0] tcdm_1_wdata, + output logic [3:0] tcdm_1_be, + input logic tcdm_1_gnt, + // input logic tcdm_1_r_opc, + input logic [31:0] tcdm_1_r_rdata, + input logic tcdm_1_r_valid, + + output logic tcdm_2_req, + output logic [31:0] tcdm_2_add, + output logic tcdm_2_wen, + output logic [31:0] tcdm_2_wdata, + output logic [3:0] tcdm_2_be, + input logic tcdm_2_gnt, + // input logic tcdm_2_r_opc, + input logic [31:0] tcdm_2_r_rdata, + input logic tcdm_2_r_valid, + + output logic tcdm_3_req, + output logic [31:0] tcdm_3_add, + output logic tcdm_3_wen, + output logic [31:0] tcdm_3_wdata, + output logic [3:0] tcdm_3_be, + input logic tcdm_3_gnt, + // input logic tcdm_3_r_opc, + input logic [31:0] tcdm_3_r_rdata, + input logic tcdm_3_r_valid, + + input logic pe_ctrl_req, + input logic [31:0] pe_ctrl_add, + input logic pe_ctrl_wen, + input logic [31:0] pe_ctrl_wdata, + input logic [3:0] pe_ctrl_be, + output logic pe_ctrl_gnt, + input logic [8:0] pe_ctrl_id, + output logic pe_ctrl_r_valid, + // output logic pe_ctrl_r_opc, + output logic [8:0] pe_ctrl_r_id, + output logic [31:0] pe_ctrl_r_rdata, + + output logic [7:0] term_event_o, + output logic [7:0] term_irq_o, + output logic term_event_pe_o, + output logic term_irq_pe_o, + output logic busy_o +); + + XBAR_TCDM_BUS ctrl_slave[7:0](); + XBAR_PERIPH_BUS pe_ctrl_slave[0:0](); + hci_core_intf tcdm_master[3:0](.clk()); + AXI_BUS #( + .AXI_ADDR_WIDTH ( 32 ), + .AXI_DATA_WIDTH ( 64 ), + .AXI_ID_WIDTH ( 6 ), + .AXI_USER_WIDTH ( 1 ) + ) ext_master(); + + dmac_wrap #( + .NB_CORES ( 8 ), + .AXI_ADDR_WIDTH ( 32 ), + .AXI_DATA_WIDTH ( 64 ), + .AXI_USER_WIDTH ( 1 ), + .AXI_ID_WIDTH ( 6 ), + .PE_ID_WIDTH ( 8 ), + .NB_PE_PORTS ( 1 ), + .DATA_WIDTH ( 32 ), + .ADDR_WIDTH ( 32 ), + .BE_WIDTH ( 4 ), + .TCDM_SIZE ( 0 ), + .TwoDMidend ( 1 ), + .NB_OUTSND_BURSTS ( NumAx ), + .GLOBAL_QUEUE_DEPTH ( FifoDepth ), + .BACKEND_QUEUE_DEPTH ( 0 ), + .DUAL_BACKEND ( DualBackend ) + ) i_dmac_wrap ( + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .test_mode_i ( test_mode_i ), + .pe_ctrl_slave ( pe_ctrl_slave ), + .ctrl_slave ( ctrl_slave ), + .tcdm_master ( tcdm_master ), + .ext_master ( ext_master ), + .term_event_o ( term_event_o ), + .term_irq_o ( term_irq_o ), + .term_event_pe_o ( term_event_pe_o ), + .term_irq_pe_o ( term_irq_pe_o ), + .busy_o ( busy_o ) + ); + + assign data_master_aw_valid_o = ext_master.aw_valid; + assign data_master_aw_addr_o = ext_master.aw_addr; + assign data_master_aw_prot_o = ext_master.aw_prot; + assign data_master_aw_region_o = ext_master.aw_region; + assign data_master_aw_len_o = ext_master.aw_len; + assign data_master_aw_size_o = ext_master.aw_size; + assign data_master_aw_burst_o = ext_master.aw_burst; + assign data_master_aw_lock_o = ext_master.aw_lock; + assign data_master_aw_atop_o = ext_master.aw_atop; + assign data_master_aw_cache_o = ext_master.aw_cache; + assign data_master_aw_qos_o = ext_master.aw_qos; + assign data_master_aw_id_o = ext_master.aw_id; + assign data_master_aw_user_o = ext_master.aw_user; + assign ext_master.aw_ready = data_master_aw_ready_i; + + assign data_master_ar_valid_o = ext_master.ar_valid; + assign data_master_ar_addr_o = ext_master.ar_addr; + assign data_master_ar_prot_o = ext_master.ar_prot; + assign data_master_ar_region_o = ext_master.ar_region; + assign data_master_ar_len_o = ext_master.ar_len; + assign data_master_ar_size_o = ext_master.ar_size; + assign data_master_ar_burst_o = ext_master.ar_burst; + assign data_master_ar_lock_o = ext_master.ar_lock; + assign data_master_ar_cache_o = ext_master.ar_cache; + assign data_master_ar_qos_o = ext_master.ar_qos; + assign data_master_ar_id_o = ext_master.ar_id; + assign data_master_ar_user_o = ext_master.ar_user; + assign ext_master.ar_ready = data_master_ar_ready_i; + + assign data_master_w_valid_o = ext_master.w_valid; + assign data_master_w_data_o = ext_master.w_data; + assign data_master_w_strb_o = ext_master.w_strb; + assign data_master_w_user_o = ext_master.w_user; + assign data_master_w_last_o = ext_master.w_last; + assign ext_master.w_ready = data_master_w_ready_i; + + assign ext_master.r_valid = data_master_r_valid_i; + assign ext_master.r_data = data_master_r_data_i; + assign ext_master.r_resp = data_master_r_resp_i; + assign ext_master.r_last = data_master_r_last_i; + assign ext_master.r_id = data_master_r_id_i; + assign ext_master.r_user = data_master_r_user_i; + assign data_master_r_ready_o = ext_master.r_ready; + + assign ext_master.b_valid = data_master_b_valid_i; + assign ext_master.b_resp = data_master_b_resp_i; + assign ext_master.b_id = data_master_b_id_i; + assign ext_master.b_user = data_master_b_user_i; + assign data_master_b_ready_o = ext_master.b_ready; + + assign ctrl_slave[0].req = ctrl_0_req; + assign ctrl_slave[0].add = ctrl_0_add; + assign ctrl_slave[0].wen = ctrl_0_wen; + assign ctrl_slave[0].wdata = ctrl_0_wdata; + assign ctrl_slave[0].be = ctrl_0_be; + assign ctrl_0_gnt = ctrl_slave[0].gnt; + // assign ctrl_0_r_opc = ctrl_slave[0].r_opc; + assign ctrl_0_r_rdata = ctrl_slave[0].r_rdata; + assign ctrl_0_r_valid = ctrl_slave[0].r_valid; + + assign ctrl_slave[1].req = ctrl_1_req; + assign ctrl_slave[1].add = ctrl_1_add; + assign ctrl_slave[1].wen = ctrl_1_wen; + assign ctrl_slave[1].wdata = ctrl_1_wdata; + assign ctrl_slave[1].be = ctrl_1_be; + assign ctrl_1_gnt = ctrl_slave[1].gnt; + // assign ctrl_1_r_opc = ctrl_slave[1].r_opc; + assign ctrl_1_r_rdata = ctrl_slave[1].r_rdata; + assign ctrl_1_r_valid = ctrl_slave[1].r_valid; + + assign ctrl_slave[2].req = ctrl_2_req; + assign ctrl_slave[2].add = ctrl_2_add; + assign ctrl_slave[2].wen = ctrl_2_wen; + assign ctrl_slave[2].wdata = ctrl_2_wdata; + assign ctrl_slave[2].be = ctrl_2_be; + assign ctrl_2_gnt = ctrl_slave[2].gnt; + // assign ctrl_2_r_opc = ctrl_slave[2].r_opc; + assign ctrl_2_r_rdata = ctrl_slave[2].r_rdata; + assign ctrl_2_r_valid = ctrl_slave[2].r_valid; + + assign ctrl_slave[3].req = ctrl_3_req; + assign ctrl_slave[3].add = ctrl_3_add; + assign ctrl_slave[3].wen = ctrl_3_wen; + assign ctrl_slave[3].wdata = ctrl_3_wdata; + assign ctrl_slave[3].be = ctrl_3_be; + assign ctrl_3_gnt = ctrl_slave[3].gnt; + // assign ctrl_3_r_opc = ctrl_slave[3].r_opc; + assign ctrl_3_r_rdata = ctrl_slave[3].r_rdata; + assign ctrl_3_r_valid = ctrl_slave[3].r_valid; + + assign ctrl_slave[4].req = ctrl_4_req; + assign ctrl_slave[4].add = ctrl_4_add; + assign ctrl_slave[4].wen = ctrl_4_wen; + assign ctrl_slave[4].wdata = ctrl_4_wdata; + assign ctrl_slave[4].be = ctrl_4_be; + assign ctrl_4_gnt = ctrl_slave[4].gnt; + // assign ctrl_4_r_opc = ctrl_slave[4].r_opc; + assign ctrl_4_r_rdata = ctrl_slave[4].r_rdata; + assign ctrl_4_r_valid = ctrl_slave[4].r_valid; + + assign ctrl_slave[5].req = ctrl_5_req; + assign ctrl_slave[5].add = ctrl_5_add; + assign ctrl_slave[5].wen = ctrl_5_wen; + assign ctrl_slave[5].wdata = ctrl_5_wdata; + assign ctrl_slave[5].be = ctrl_5_be; + assign ctrl_5_gnt = ctrl_slave[5].gnt; + // assign ctrl_5_r_opc = ctrl_slave[5].r_opc; + assign ctrl_5_r_rdata = ctrl_slave[5].r_rdata; + assign ctrl_5_r_valid = ctrl_slave[5].r_valid; + + assign ctrl_slave[6].req = ctrl_6_req; + assign ctrl_slave[6].add = ctrl_6_add; + assign ctrl_slave[6].wen = ctrl_6_wen; + assign ctrl_slave[6].wdata = ctrl_6_wdata; + assign ctrl_slave[6].be = ctrl_6_be; + assign ctrl_6_gnt = ctrl_slave[6].gnt; + // assign ctrl_6_r_opc = ctrl_slave[6].r_opc; + assign ctrl_6_r_rdata = ctrl_slave[6].r_rdata; + assign ctrl_6_r_valid = ctrl_slave[6].r_valid; + + assign ctrl_slave[7].req = ctrl_7_req; + assign ctrl_slave[7].add = ctrl_7_add; + assign ctrl_slave[7].wen = ctrl_7_wen; + assign ctrl_slave[7].wdata = ctrl_7_wdata; + assign ctrl_slave[7].be = ctrl_7_be; + assign ctrl_7_gnt = ctrl_slave[7].gnt; + // assign ctrl_7_r_opc = ctrl_slave[7].r_opc; + assign ctrl_7_r_rdata = ctrl_slave[7].r_rdata; + assign ctrl_7_r_valid = ctrl_slave[7].r_valid; + + assign tcdm_0_req = tcdm_master[0].req; + assign tcdm_0_add = tcdm_master[0].add; + assign tcdm_0_wen = tcdm_master[0].wen; + assign tcdm_0_wdata = tcdm_master[0].data; + assign tcdm_0_be = tcdm_master[0].be; + assign tcdm_master[0].gnt = tcdm_0_gnt; + // assign tcdm_master[0].r_opc = tcdm_0_r_opc; + assign tcdm_master[0].r_data = tcdm_0_r_rdata; + assign tcdm_master[0].r_valid = tcdm_0_r_valid; + + assign tcdm_1_req = tcdm_master[1].req; + assign tcdm_1_add = tcdm_master[1].add; + assign tcdm_1_wen = tcdm_master[1].wen; + assign tcdm_1_wdata = tcdm_master[1].data; + assign tcdm_1_be = tcdm_master[1].be; + assign tcdm_master[1].gnt = tcdm_1_gnt; + // assign tcdm_master[1].r_opc = tcdm_1_r_opc; + assign tcdm_master[1].r_data = tcdm_1_r_rdata; + assign tcdm_master[1].r_valid = tcdm_1_r_valid; + + assign tcdm_2_req = tcdm_master[2].req; + assign tcdm_2_add = tcdm_master[2].add; + assign tcdm_2_wen = tcdm_master[2].wen; + assign tcdm_2_wdata = tcdm_master[2].data; + assign tcdm_2_be = tcdm_master[2].be; + assign tcdm_master[2].gnt = tcdm_2_gnt; + // assign tcdm_master[2].r_opc = tcdm_2_r_opc; + assign tcdm_master[2].r_data = tcdm_2_r_rdata; + assign tcdm_master[2].r_valid = tcdm_2_r_valid; + + assign tcdm_3_req = tcdm_master[3].req; + assign tcdm_3_add = tcdm_master[3].add; + assign tcdm_3_wen = tcdm_master[3].wen; + assign tcdm_3_wdata = tcdm_master[3].data; + assign tcdm_3_be = tcdm_master[3].be; + assign tcdm_master[3].gnt = tcdm_3_gnt; + // assign tcdm_master[3].r_opc = tcdm_3_r_opc; + assign tcdm_master[3].r_data = tcdm_3_r_rdata; + assign tcdm_master[3].r_valid = tcdm_3_r_valid; + + assign pe_ctrl_slave[0].req = pe_ctrl_req; + assign pe_ctrl_slave[0].add = pe_ctrl_add; + assign pe_ctrl_slave[0].wen = pe_ctrl_wen; + assign pe_ctrl_slave[0].wdata = pe_ctrl_wdata; + assign pe_ctrl_slave[0].be = pe_ctrl_be; + assign pe_ctrl_gnt = pe_ctrl_slave[0].gnt; + assign pe_ctrl_slave[0].id = pe_ctrl_id; + assign pe_ctrl_r_valid = pe_ctrl_slave[0].r_valid; + // assign pe_ctrl_r_opc = pe_ctrl_slave[0].r_opc; + assign pe_ctrl_r_id = pe_ctrl_slave[0].r_id; + assign pe_ctrl_r_rdata = pe_ctrl_slave[0].r_rdata; + + +endmodule diff --git a/src_future/Bender.yml b/src_future/Bender.yml new file mode 100644 index 00000000..e12121a7 --- /dev/null +++ b/src_future/Bender.yml @@ -0,0 +1,21 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 + +# Modules that should at some point be moved to the common_cells repo +package: + name: idma_future + authors: + - "Tobias Senti " + +dependencies: + common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.31.1 } + +sources: + - include_dirs: + - ../src/include + files: + - idma_stream_fifo.sv + - idma_improved_fifo.sv + - idma_legalizer_page_splitter.sv + - idma_legalizer_pow2_splitter.sv diff --git a/src_future/idma_improved_fifo.sv b/src_future/idma_improved_fifo.sv new file mode 100644 index 00000000..427e5883 --- /dev/null +++ b/src_future/idma_improved_fifo.sv @@ -0,0 +1,132 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Thomas Benz +// Tobias Senti + +`include "common_cells/assertions.svh" +`include "common_cells/registers.svh" +`include "idma/guard.svh" + +/// Optimal implementation of a stream FIFO +module idma_improved_fifo #( + /// Depth can be arbitrary from 2 to 2**32 + parameter int unsigned Depth = 32'd8, + /// Type of the FIFO + parameter type type_t = logic, + /// Print information when the simulation launches + parameter bit PrintInfo = 1'b0, + /// If the FIFO is full, allow reading and writing in the same cycle + parameter bit SameCycleRW = 1'b1 +) ( + input logic clk_i, // Clock + input logic rst_ni, // Asynchronous reset active low + input logic flush_i, // flush the fifo + input logic testmode_i, // test_mode to bypass clock gating + // input interface + input type_t data_i, // data to push into the fifo + input logic valid_i, // input data valid + output logic ready_o, // fifo is not full + // output interface + output type_t data_o, // output data + output logic valid_o, // fifo is not empty + input logic ready_i // pop head from fifo +); + // Bit Width of the read and write pointers + // One additional bit to detect overflows + localparam PointerWidth = $clog2(Depth) + 1; + + //-------------------------------------- + // Prevent Depth 0 + //-------------------------------------- + // Throw an error if depth is 0 and 1 + `IDMA_NONSYNTH_BLOCK( + if (Depth < 32'd2) begin : gen_fatal + initial begin + $fatal(1, "FIFO of depth %d does not make any sense!", Depth); + end + end + ) + + // print info + `IDMA_NONSYNTH_BLOCK( + if (PrintInfo) begin : gen_info + initial begin + $info("[%m] Instantiate stream FIFO of depth %d with Pointer Width of %d", Depth, PointerWidth); + end + end + ) + + // Read and write pointers + logic [PointerWidth-1:0] read_ptr_d, read_ptr_q; + logic [PointerWidth-1:0] write_ptr_d, write_ptr_q; + + // Data + type_t [Depth-1 :0] data_d, data_q; + + // Data Clock gate + logic clock_gate; + + assign data_o = data_q[read_ptr_q[PointerWidth-2:0]]; + + // Logic + always_comb begin + // Default + clock_gate = 1'b0; + read_ptr_d = read_ptr_q; + write_ptr_d = write_ptr_q; + data_d = data_q; + + if (flush_i) begin // Flush + read_ptr_d = '0; + write_ptr_d = '0; + valid_o = 1'b0; + ready_o = 1'b0; + end else begin + // Read + valid_o = read_ptr_q[PointerWidth-1] == write_ptr_q[PointerWidth-1] + ? read_ptr_q[PointerWidth-2:0] != write_ptr_q[PointerWidth-2:0] : 1'b1; + if (ready_i) begin + if (read_ptr_q[PointerWidth-2:0] == (Depth-1)) begin + // On overflow reset pointer to zero and flip imaginary bit + read_ptr_d[PointerWidth-2:0] = '0; + read_ptr_d[PointerWidth-1] = !read_ptr_q[PointerWidth-1]; + end else begin + // Increment counter + read_ptr_d = read_ptr_q + 'd1; + end + end + + // Write -> Also able to write if we read in the same cycle + ready_o = (read_ptr_q[PointerWidth-1] == write_ptr_q[PointerWidth-1] + ? 1'b1 : write_ptr_q[PointerWidth-2:0] != read_ptr_q[PointerWidth-2:0]) + || (SameCycleRW && ready_i && valid_o); + + if (valid_i) begin + clock_gate = 1'b1; + data_d[write_ptr_q[PointerWidth-2:0]] = data_i; + + if (write_ptr_q[PointerWidth-2:0] == (Depth-1)) begin + // On overflow reset pointer to zero and flip imaginary bit + write_ptr_d[PointerWidth-2:0] = '0; + write_ptr_d[PointerWidth-1] = !write_ptr_q[PointerWidth-1]; + end else begin + // Increment pointer + write_ptr_d = write_ptr_q + 'd1; + end + end + end + end + + // Flip Flops + `FF( read_ptr_q, read_ptr_d, '0, clk_i, rst_ni) + `FF(write_ptr_q, write_ptr_d, '0, clk_i, rst_ni) + + `FFL(data_q, data_d, clock_gate || testmode_i, '0, clk_i, rst_ni) + + // no full push + `ASSERT_NEVER(CheckFullPush, (!ready_o & valid_i), clk_i, !rst_ni) + // empty pop + `ASSERT_NEVER(CheckEmptyPop, (!valid_o & ready_i), clk_i, !rst_ni) +endmodule : idma_improved_fifo diff --git a/src_future/idma_legalizer_page_splitter.sv b/src_future/idma_legalizer_page_splitter.sv new file mode 100644 index 00000000..87e4e06e --- /dev/null +++ b/src_future/idma_legalizer_page_splitter.sv @@ -0,0 +1,55 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti +module idma_legalizer_page_splitter #( + parameter int unsigned OffsetWidth = 2, + parameter int unsigned PageAddrWidth = 5, + parameter type addr_t = logic, + parameter type page_len_t = logic, + parameter type page_addr_t = logic +) ( + input logic not_bursting_i, + + input logic reduce_len_i, + input logic [2:0] max_llen_i, + input addr_t addr_i, + + output page_len_t num_bytes_to_pb_o +); + logic [3:0] page_addr_width; + page_len_t page_size; + page_addr_t page_offset; + + always_comb begin : proc_addr_width + if (not_bursting_i) begin + page_addr_width = OffsetWidth; + end else begin + // should the "virtual" page be reduced? e.g. the transfers split into + // smaller chunks than the AXI page size? + page_addr_width = OffsetWidth + (reduce_len_i ? max_llen_i : 'd8); + // a page can be a maximum of 4kB (12 bit) + page_addr_width = page_addr_width > PageAddrWidth ? PageAddrWidth : page_addr_width; + end + end + + // calculate the page size in byte + assign page_size = (1 << page_addr_width); + + // this is written very confusing due to system verilog not allowing variable + // length ranges. + // the goal is to get 'addr_i[PageAddrWidth-1:0]' where PageAddrWidth is + // page_addr_width and dynamically changing + always_comb begin : proc_range_select + page_offset = '0; + for (int i = 0; i < PageAddrWidth; i++) begin + page_offset[i] = page_addr_width > i ? addr_i[i] : 1'b0; + end + end + + // calculate the number of bytes left in the page (number of bytes until + // we reach the page boundary (bp) + assign num_bytes_to_pb_o = page_size - page_offset; + +endmodule : idma_legalizer_page_splitter diff --git a/src_future/idma_legalizer_pow2_splitter.sv b/src_future/idma_legalizer_pow2_splitter.sv new file mode 100644 index 00000000..6c7d8408 --- /dev/null +++ b/src_future/idma_legalizer_pow2_splitter.sv @@ -0,0 +1,74 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti +module idma_legalizer_pow2_splitter #( + parameter int unsigned OffsetWidth = 2, + parameter int unsigned PageAddrWidth = 3, + parameter type addr_t = logic, + parameter type len_t = logic +)( + // Current address + input addr_t addr_i, + // Number of bytes left to transfer + input len_t length_i, + + // Set if the remaining transfer length is larger + // than what can be represented in len_t + input logic length_larger_i, + + // How many bytes we can transfer in this beat + output len_t bytes_to_transfer_o +); + // How many bytes are left inside the word + logic [OffsetWidth:0] bytes_in_word_left; + assign bytes_in_word_left = ('d1 << OffsetWidth) - addr_i[OffsetWidth-1:0]; + + // How many bytes are left inside the word and transfer + logic [OffsetWidth:0] bytes_in_world_left_to_transfer; + assign bytes_in_world_left_to_transfer = (!length_larger_i && bytes_in_word_left > length_i) ? length_i : bytes_in_word_left; + + // Find largest power of 2 that fits inside word -> For subword transfers + len_t subword_bytes_to_transfer; + always_comb begin + subword_bytes_to_transfer = '0; + for(int i = 0; i <= OffsetWidth; i++) begin + if(bytes_in_world_left_to_transfer >= ('d1 << i)) begin + subword_bytes_to_transfer = ('d1 << i); + end + end + end + + // Find largest power of 2 that fits inside length -> For bursts + len_t burst_bytes_to_transfer; + always_comb begin + burst_bytes_to_transfer = '0; + for(int i = 0; i <= PageAddrWidth; i++) begin + if(length_i >= ('d1 << i)) begin + burst_bytes_to_transfer = ('d1 << i); + end + end + end + + // Is the address word aligned? + logic aligned_address; + assign aligned_address = ('0 == addr_i[OffsetWidth-1:0]); + + // Determine bytes to transfer + always_comb begin + if (aligned_address) begin + // Aligned address -> Burst + if (length_larger_i) begin + // Length is larger than a full burst -> Full burst + bytes_to_transfer_o = 'd1 << PageAddrWidth; + end else begin + // Burst + bytes_to_transfer_o = burst_bytes_to_transfer; + end + end else begin + // Missaligned address -> Subword transfer + bytes_to_transfer_o = subword_bytes_to_transfer; + end + end +endmodule : idma_legalizer_pow2_splitter diff --git a/src/idma_stream_fifo.sv b/src_future/idma_stream_fifo.sv similarity index 100% rename from src/idma_stream_fifo.sv rename to src_future/idma_stream_fifo.sv diff --git a/test/Bender.yml b/test/Bender.yml new file mode 100644 index 00000000..fd6eb866 --- /dev/null +++ b/test/Bender.yml @@ -0,0 +1,43 @@ +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 +package: + name: tb_idma_backend + authors: + - "Tobias Senti " + +dependencies: + common_cells: { git: "https://github.com/pulp-platform/common_cells.git", version: 1.26.0 } + axi: { git: "https://github.com/pulp-platform/axi.git", version: 0.39.0 } + register_interface: { git: "https://github.com/pulp-platform/register_interface.git", version: 0.4.1 } + + idma_pkg: { path: ../src/package } + +export_include_dirs: + - include + +sources: + - target: test + defines: + TARGET_SIMULATION: ~ + include_dirs: + - ../src/include + files: + # Level 0: + - idma_intf.sv + - idma_tb_per2axi.sv + - idma_obi_asserter.sv + - TLToAXI4.v + # Level 1: + - idma_test.sv + - idma_obi2axi_bridge.sv + - idma_tilelink2axi_bridge.sv + + - target: test # 64bit descriptor frontend + include_dirs: + - ../src/include + files: + # Level 0 + - ../src/frontends/desc64/idma_desc64_reg_pkg.sv + # Level 1 + - frontends/tb_idma_desc64_top.sv diff --git a/test/TLToAXI4.v b/test/TLToAXI4.v new file mode 100644 index 00000000..6d1b7760 --- /dev/null +++ b/test/TLToAXI4.v @@ -0,0 +1,1308 @@ +module plusarg_reader #( + parameter string FORMAT, + parameter bit DEFAULT, + parameter int unsigned WIDTH +) ( + input logic[WIDTH-1:0] out +); +endmodule + +module TLMonitor_4 ( + input clock, + input reset, + input io_in_a_ready, + input io_in_a_valid, + input [ 2:0] io_in_a_bits_opcode, + input [ 2:0] io_in_a_bits_param, + input [ 3:0] io_in_a_bits_size, + input [ 4:0] io_in_a_bits_source, + input [30:0] io_in_a_bits_address, + input [ 7:0] io_in_a_bits_mask, + input io_in_a_bits_corrupt, + input io_in_d_ready, + input io_in_d_valid, + input [ 2:0] io_in_d_bits_opcode, + input [ 3:0] io_in_d_bits_size, + input [ 4:0] io_in_d_bits_source, + input io_in_d_bits_denied, + input io_in_d_bits_corrupt +); + wire [31:0] plusarg_reader_out; + wire [31:0] plusarg_reader_1_out; + wire _T_2 = ~reset; + wire _source_ok_T_1 = io_in_a_bits_source[4:3] == 2'h0; + wire _source_ok_T_7 = io_in_a_bits_source[4:3] == 2'h1; + wire _source_ok_T_12 = io_in_a_bits_source == 5'h10; + wire _source_ok_T_13 = io_in_a_bits_source == 5'h11; + wire _source_ok_T_14 = io_in_a_bits_source == 5'h12; + wire source_ok = _source_ok_T_1 | _source_ok_T_7 | _source_ok_T_12 | _source_ok_T_13 | _source_ok_T_14; + wire [22:0] _is_aligned_mask_T_1 = 23'hff << io_in_a_bits_size; + wire [7:0] is_aligned_mask = ~_is_aligned_mask_T_1[7:0]; + wire [30:0] _GEN_71 = {{23'd0}, is_aligned_mask}; + wire [30:0] _is_aligned_T = io_in_a_bits_address & _GEN_71; + wire is_aligned = _is_aligned_T == 31'h0; + wire [1:0] mask_sizeOH_shiftAmount = io_in_a_bits_size[1:0]; + wire [3:0] _mask_sizeOH_T_1 = 4'h1 << mask_sizeOH_shiftAmount; + wire [2:0] mask_sizeOH = _mask_sizeOH_T_1[2:0] | 3'h1; + wire _mask_T = io_in_a_bits_size >= 4'h3; + wire mask_size = mask_sizeOH[2]; + wire mask_bit = io_in_a_bits_address[2]; + wire mask_nbit = ~mask_bit; + wire mask_acc = _mask_T | mask_size & mask_nbit; + wire mask_acc_1 = _mask_T | mask_size & mask_bit; + wire mask_size_1 = mask_sizeOH[1]; + wire mask_bit_1 = io_in_a_bits_address[1]; + wire mask_nbit_1 = ~mask_bit_1; + wire mask_eq_2 = mask_nbit & mask_nbit_1; + wire mask_acc_2 = mask_acc | mask_size_1 & mask_eq_2; + wire mask_eq_3 = mask_nbit & mask_bit_1; + wire mask_acc_3 = mask_acc | mask_size_1 & mask_eq_3; + wire mask_eq_4 = mask_bit & mask_nbit_1; + wire mask_acc_4 = mask_acc_1 | mask_size_1 & mask_eq_4; + wire mask_eq_5 = mask_bit & mask_bit_1; + wire mask_acc_5 = mask_acc_1 | mask_size_1 & mask_eq_5; + wire mask_size_2 = mask_sizeOH[0]; + wire mask_bit_2 = io_in_a_bits_address[0]; + wire mask_nbit_2 = ~mask_bit_2; + wire mask_eq_6 = mask_eq_2 & mask_nbit_2; + wire mask_acc_6 = mask_acc_2 | mask_size_2 & mask_eq_6; + wire mask_eq_7 = mask_eq_2 & mask_bit_2; + wire mask_acc_7 = mask_acc_2 | mask_size_2 & mask_eq_7; + wire mask_eq_8 = mask_eq_3 & mask_nbit_2; + wire mask_acc_8 = mask_acc_3 | mask_size_2 & mask_eq_8; + wire mask_eq_9 = mask_eq_3 & mask_bit_2; + wire mask_acc_9 = mask_acc_3 | mask_size_2 & mask_eq_9; + wire mask_eq_10 = mask_eq_4 & mask_nbit_2; + wire mask_acc_10 = mask_acc_4 | mask_size_2 & mask_eq_10; + wire mask_eq_11 = mask_eq_4 & mask_bit_2; + wire mask_acc_11 = mask_acc_4 | mask_size_2 & mask_eq_11; + wire mask_eq_12 = mask_eq_5 & mask_nbit_2; + wire mask_acc_12 = mask_acc_5 | mask_size_2 & mask_eq_12; + wire mask_eq_13 = mask_eq_5 & mask_bit_2; + wire mask_acc_13 = mask_acc_5 | mask_size_2 & mask_eq_13; + wire [7:0] mask = { + mask_acc_13, + mask_acc_12, + mask_acc_11, + mask_acc_10, + mask_acc_9, + mask_acc_8, + mask_acc_7, + mask_acc_6 + }; + wire _T_61 = io_in_a_bits_opcode == 3'h6; + wire _T_63 = io_in_a_bits_size <= 4'hc; + wire _T_84 = _T_63 & source_ok; + wire [30:0] _T_87 = io_in_a_bits_address ^ 31'h60000000; + wire [31:0] _T_88 = {1'b0, $signed(_T_87)}; + wire [31:0] _T_90 = $signed(_T_88) & -32'sh20000000; + wire _T_91 = $signed(_T_90) == 32'sh0; + wire _T_113 = 4'h6 == io_in_a_bits_size; + wire _T_116 = _source_ok_T_12 & _T_113; + wire _T_132 = _T_63 & _T_91; + wire _T_134 = _T_116 & _T_132; + wire _T_148 = io_in_a_bits_param <= 3'h2; + wire [7:0] _T_152 = ~io_in_a_bits_mask; + wire _T_153 = _T_152 == 8'h0; + wire _T_157 = ~io_in_a_bits_corrupt; + wire _T_161 = io_in_a_bits_opcode == 3'h7; + wire _T_252 = io_in_a_bits_param != 3'h0; + wire _T_265 = io_in_a_bits_opcode == 3'h4; + wire _T_294 = io_in_a_bits_size <= 4'h6; + wire _T_302 = _T_294 & _T_91; + wire _T_313 = io_in_a_bits_param == 3'h0; + wire _T_317 = io_in_a_bits_mask == mask; + wire _T_325 = io_in_a_bits_opcode == 3'h0; + wire _T_351 = io_in_a_bits_size <= 4'h8; + wire _T_359 = _T_351 & _T_91; + wire _T_361 = _T_84 & _T_359; + wire _T_379 = io_in_a_bits_opcode == 3'h1; + wire [7:0] _T_429 = ~mask; + wire [7:0] _T_430 = io_in_a_bits_mask & _T_429; + wire _T_431 = _T_430 == 8'h0; + wire _T_435 = io_in_a_bits_opcode == 3'h2; + wire _T_478 = io_in_a_bits_param <= 3'h4; + wire _T_486 = io_in_a_bits_opcode == 3'h3; + wire _T_529 = io_in_a_bits_param <= 3'h3; + wire _T_537 = io_in_a_bits_opcode == 3'h5; + wire _T_580 = io_in_a_bits_param <= 3'h1; + wire _T_592 = io_in_d_bits_opcode <= 3'h6; + wire _source_ok_T_19 = io_in_d_bits_source[4:3] == 2'h0; + wire _source_ok_T_25 = io_in_d_bits_source[4:3] == 2'h1; + wire _source_ok_T_30 = io_in_d_bits_source == 5'h10; + wire _source_ok_T_31 = io_in_d_bits_source == 5'h11; + wire _source_ok_T_32 = io_in_d_bits_source == 5'h12; + wire source_ok_1 = _source_ok_T_19 | _source_ok_T_25 | _source_ok_T_30 | _source_ok_T_31 | _source_ok_T_32; + wire _T_596 = io_in_d_bits_opcode == 3'h6; + wire _T_600 = io_in_d_bits_size >= 4'h3; + wire _T_608 = ~io_in_d_bits_corrupt; + wire _T_612 = ~io_in_d_bits_denied; + wire _T_616 = io_in_d_bits_opcode == 3'h4; + wire _T_644 = io_in_d_bits_opcode == 3'h5; + wire _T_664 = _T_612 | io_in_d_bits_corrupt; + wire _T_673 = io_in_d_bits_opcode == 3'h0; + wire _T_690 = io_in_d_bits_opcode == 3'h1; + wire _T_708 = io_in_d_bits_opcode == 3'h2; + wire _a_first_T = io_in_a_ready & io_in_a_valid; + wire [4:0] a_first_beats1_decode = is_aligned_mask[7:3]; + wire a_first_beats1_opdata = ~io_in_a_bits_opcode[2]; + reg [4:0] a_first_counter; + wire [4:0] a_first_counter1 = a_first_counter - 5'h1; + wire a_first = a_first_counter == 5'h0; + reg [2:0] opcode; + reg [2:0] param; + reg [3:0] size; + reg [4:0] source; + reg [30:0] address; + wire _T_738 = io_in_a_valid & ~a_first; + wire _T_739 = io_in_a_bits_opcode == opcode; + wire _T_743 = io_in_a_bits_param == param; + wire _T_747 = io_in_a_bits_size == size; + wire _T_751 = io_in_a_bits_source == source; + wire _T_755 = io_in_a_bits_address == address; + wire _d_first_T = io_in_d_ready & io_in_d_valid; + wire [22:0] _d_first_beats1_decode_T_1 = 23'hff << io_in_d_bits_size; + wire [7:0] _d_first_beats1_decode_T_3 = ~_d_first_beats1_decode_T_1[7:0]; + wire [4:0] d_first_beats1_decode = _d_first_beats1_decode_T_3[7:3]; + wire d_first_beats1_opdata = io_in_d_bits_opcode[0]; + reg [4:0] d_first_counter; + wire [4:0] d_first_counter1 = d_first_counter - 5'h1; + wire d_first = d_first_counter == 5'h0; + reg [2:0] opcode_1; + reg [3:0] size_1; + reg [4:0] source_1; + reg denied; + wire _T_762 = io_in_d_valid & ~d_first; + wire _T_763 = io_in_d_bits_opcode == opcode_1; + wire _T_771 = io_in_d_bits_size == size_1; + wire _T_775 = io_in_d_bits_source == source_1; + wire _T_783 = io_in_d_bits_denied == denied; + reg [18:0] inflight; + reg [75:0] inflight_opcodes; + reg [151:0] inflight_sizes; + reg [4:0] a_first_counter_1; + wire [4:0] a_first_counter1_1 = a_first_counter_1 - 5'h1; + wire a_first_1 = a_first_counter_1 == 5'h0; + reg [4:0] d_first_counter_1; + wire [4:0] d_first_counter1_1 = d_first_counter_1 - 5'h1; + wire d_first_1 = d_first_counter_1 == 5'h0; + wire [6:0] _GEN_72 = {io_in_d_bits_source, 2'h0}; + wire [7:0] _a_opcode_lookup_T = {{1'd0}, _GEN_72}; + wire [75:0] _a_opcode_lookup_T_1 = inflight_opcodes >> _a_opcode_lookup_T; + wire [15:0] _a_opcode_lookup_T_5 = 16'h10 - 16'h1; + wire [75:0] _GEN_73 = {{60'd0}, _a_opcode_lookup_T_5}; + wire [75:0] _a_opcode_lookup_T_6 = _a_opcode_lookup_T_1 & _GEN_73; + wire [75:0] _a_opcode_lookup_T_7 = {{1'd0}, _a_opcode_lookup_T_6[75:1]}; + wire [7:0] _a_size_lookup_T = {io_in_d_bits_source, 3'h0}; + wire [151:0] _a_size_lookup_T_1 = inflight_sizes >> _a_size_lookup_T; + wire [15:0] _a_size_lookup_T_5 = 16'h100 - 16'h1; + wire [151:0] _GEN_75 = {{136'd0}, _a_size_lookup_T_5}; + wire [151:0] _a_size_lookup_T_6 = _a_size_lookup_T_1 & _GEN_75; + wire [151:0] _a_size_lookup_T_7 = {{1'd0}, _a_size_lookup_T_6[151:1]}; + wire _T_789 = io_in_a_valid & a_first_1; + wire [31:0] _a_set_wo_ready_T = 32'h1 << io_in_a_bits_source; + wire [31:0] _GEN_15 = io_in_a_valid & a_first_1 ? _a_set_wo_ready_T : 32'h0; + wire _T_792 = _a_first_T & a_first_1; + wire [3:0] _a_opcodes_set_interm_T = {io_in_a_bits_opcode, 1'h0}; + wire [3:0] _a_opcodes_set_interm_T_1 = _a_opcodes_set_interm_T | 4'h1; + wire [4:0] _a_sizes_set_interm_T = {io_in_a_bits_size, 1'h0}; + wire [4:0] _a_sizes_set_interm_T_1 = _a_sizes_set_interm_T | 5'h1; + wire [6:0] _GEN_77 = {io_in_a_bits_source, 2'h0}; + wire [7:0] _a_opcodes_set_T = {{1'd0}, _GEN_77}; + wire [3:0] a_opcodes_set_interm = _a_first_T & a_first_1 ? _a_opcodes_set_interm_T_1 : 4'h0; + wire [258:0] _GEN_1 = {{255'd0}, a_opcodes_set_interm}; + wire [258:0] _a_opcodes_set_T_1 = _GEN_1 << _a_opcodes_set_T; + wire [7:0] _a_sizes_set_T = {io_in_a_bits_source, 3'h0}; + wire [4:0] a_sizes_set_interm = _a_first_T & a_first_1 ? _a_sizes_set_interm_T_1 : 5'h0; + wire [259:0] _GEN_2 = {{255'd0}, a_sizes_set_interm}; + wire [259:0] _a_sizes_set_T_1 = _GEN_2 << _a_sizes_set_T; + wire [18:0] _T_794 = inflight >> io_in_a_bits_source; + wire _T_796 = ~_T_794[0]; + wire [31:0] _GEN_16 = _a_first_T & a_first_1 ? _a_set_wo_ready_T : 32'h0; + wire [258:0] _GEN_19 = _a_first_T & a_first_1 ? _a_opcodes_set_T_1 : 259'h0; + wire [259:0] _GEN_20 = _a_first_T & a_first_1 ? _a_sizes_set_T_1 : 260'h0; + wire _T_800 = io_in_d_valid & d_first_1; + wire _T_802 = ~_T_596; + wire _T_803 = io_in_d_valid & d_first_1 & ~_T_596; + wire [31:0] _d_clr_wo_ready_T = 32'h1 << io_in_d_bits_source; + wire [31:0] _GEN_21 = io_in_d_valid & d_first_1 & ~_T_596 ? _d_clr_wo_ready_T : 32'h0; + wire [270:0] _GEN_3 = {{255'd0}, _a_opcode_lookup_T_5}; + wire [270:0] _d_opcodes_clr_T_5 = _GEN_3 << _a_opcode_lookup_T; + wire [270:0] _GEN_4 = {{255'd0}, _a_size_lookup_T_5}; + wire [270:0] _d_sizes_clr_T_5 = _GEN_4 << _a_size_lookup_T; + wire [31:0] _GEN_22 = _d_first_T & d_first_1 & _T_802 ? _d_clr_wo_ready_T : 32'h0; + wire [270:0] _GEN_23 = _d_first_T & d_first_1 & _T_802 ? _d_opcodes_clr_T_5 : 271'h0; + wire [270:0] _GEN_24 = _d_first_T & d_first_1 & _T_802 ? _d_sizes_clr_T_5 : 271'h0; + wire _same_cycle_resp_T_2 = io_in_a_bits_source == io_in_d_bits_source; + wire same_cycle_resp = _T_789 & io_in_a_bits_source == io_in_d_bits_source; + wire [18:0] _T_813 = inflight >> io_in_d_bits_source; + wire _T_815 = _T_813[0] | same_cycle_resp; + wire [2:0] _GEN_27 = 3'h2 == io_in_a_bits_opcode ? 3'h1 : 3'h0; + wire [2:0] _GEN_28 = 3'h3 == io_in_a_bits_opcode ? 3'h1 : _GEN_27; + wire [2:0] _GEN_29 = 3'h4 == io_in_a_bits_opcode ? 3'h1 : _GEN_28; + wire [2:0] _GEN_30 = 3'h5 == io_in_a_bits_opcode ? 3'h2 : _GEN_29; + wire [2:0] _GEN_31 = 3'h6 == io_in_a_bits_opcode ? 3'h4 : _GEN_30; + wire [2:0] _GEN_32 = 3'h7 == io_in_a_bits_opcode ? 3'h4 : _GEN_31; + wire [2:0] _GEN_39 = 3'h6 == io_in_a_bits_opcode ? 3'h5 : _GEN_30; + wire [2:0] _GEN_40 = 3'h7 == io_in_a_bits_opcode ? 3'h4 : _GEN_39; + wire _T_820 = io_in_d_bits_opcode == _GEN_40; + wire _T_821 = io_in_d_bits_opcode == _GEN_32 | _T_820; + wire _T_825 = io_in_a_bits_size == io_in_d_bits_size; + wire [3:0] a_opcode_lookup = _a_opcode_lookup_T_7[3:0]; + wire [2:0] _GEN_43 = 3'h2 == a_opcode_lookup[2:0] ? 3'h1 : 3'h0; + wire [2:0] _GEN_44 = 3'h3 == a_opcode_lookup[2:0] ? 3'h1 : _GEN_43; + wire [2:0] _GEN_45 = 3'h4 == a_opcode_lookup[2:0] ? 3'h1 : _GEN_44; + wire [2:0] _GEN_46 = 3'h5 == a_opcode_lookup[2:0] ? 3'h2 : _GEN_45; + wire [2:0] _GEN_47 = 3'h6 == a_opcode_lookup[2:0] ? 3'h4 : _GEN_46; + wire [2:0] _GEN_48 = 3'h7 == a_opcode_lookup[2:0] ? 3'h4 : _GEN_47; + wire [2:0] _GEN_55 = 3'h6 == a_opcode_lookup[2:0] ? 3'h5 : _GEN_46; + wire [2:0] _GEN_56 = 3'h7 == a_opcode_lookup[2:0] ? 3'h4 : _GEN_55; + wire _T_832 = io_in_d_bits_opcode == _GEN_56; + wire _T_833 = io_in_d_bits_opcode == _GEN_48 | _T_832; + wire [7:0] a_size_lookup = _a_size_lookup_T_7[7:0]; + wire [7:0] _GEN_79 = {{4'd0}, io_in_d_bits_size}; + wire _T_837 = _GEN_79 == a_size_lookup; + wire _T_847 = _T_800 & a_first_1 & io_in_a_valid & _same_cycle_resp_T_2 & _T_802; + wire _T_849 = ~io_in_d_ready | io_in_a_ready; + wire [18:0] a_set_wo_ready = _GEN_15[18:0]; + wire [18:0] d_clr_wo_ready = _GEN_21[18:0]; + wire _T_856 = a_set_wo_ready != d_clr_wo_ready | ~(|a_set_wo_ready); + wire [18:0] a_set = _GEN_16[18:0]; + wire [18:0] _inflight_T = inflight | a_set; + wire [18:0] d_clr = _GEN_22[18:0]; + wire [18:0] _inflight_T_1 = ~d_clr; + wire [18:0] _inflight_T_2 = _inflight_T & _inflight_T_1; + wire [75:0] a_opcodes_set = _GEN_19[75:0]; + wire [75:0] _inflight_opcodes_T = inflight_opcodes | a_opcodes_set; + wire [75:0] d_opcodes_clr = _GEN_23[75:0]; + wire [75:0] _inflight_opcodes_T_1 = ~d_opcodes_clr; + wire [75:0] _inflight_opcodes_T_2 = _inflight_opcodes_T & _inflight_opcodes_T_1; + wire [151:0] a_sizes_set = _GEN_20[151:0]; + wire [151:0] _inflight_sizes_T = inflight_sizes | a_sizes_set; + wire [151:0] d_sizes_clr = _GEN_24[151:0]; + wire [151:0] _inflight_sizes_T_1 = ~d_sizes_clr; + wire [151:0] _inflight_sizes_T_2 = _inflight_sizes_T & _inflight_sizes_T_1; + reg [31:0] watchdog; + wire _T_865 = ~(|inflight) | plusarg_reader_out == 32'h0 | watchdog < plusarg_reader_out; + wire [31:0] _watchdog_T_1 = watchdog + 32'h1; + reg [18:0] inflight_1; + reg [151:0] inflight_sizes_1; + reg [4:0] d_first_counter_2; + wire [4:0] d_first_counter1_2 = d_first_counter_2 - 5'h1; + wire d_first_2 = d_first_counter_2 == 5'h0; + wire [151:0] _c_size_lookup_T_1 = inflight_sizes_1 >> _a_size_lookup_T; + wire [151:0] _c_size_lookup_T_6 = _c_size_lookup_T_1 & _GEN_75; + wire [151:0] _c_size_lookup_T_7 = {{1'd0}, _c_size_lookup_T_6[151:1]}; + wire _T_891 = io_in_d_valid & d_first_2 & _T_596; + wire [31:0] _GEN_67 = _d_first_T & d_first_2 & _T_596 ? _d_clr_wo_ready_T : 32'h0; + wire [270:0] _GEN_69 = _d_first_T & d_first_2 & _T_596 ? _d_sizes_clr_T_5 : 271'h0; + wire [18:0] _T_899 = inflight_1 >> io_in_d_bits_source; + wire [7:0] c_size_lookup = _c_size_lookup_T_7[7:0]; + wire _T_909 = _GEN_79 == c_size_lookup; + wire [18:0] d_clr_1 = _GEN_67[18:0]; + wire [18:0] _inflight_T_4 = ~d_clr_1; + wire [18:0] _inflight_T_5 = inflight_1 & _inflight_T_4; + wire [151:0] d_sizes_clr_1 = _GEN_69[151:0]; + wire [151:0] _inflight_sizes_T_4 = ~d_sizes_clr_1; + wire [151:0] _inflight_sizes_T_5 = inflight_sizes_1 & _inflight_sizes_T_4; + reg [31:0] watchdog_1; + wire _T_934 = ~(|inflight_1) | plusarg_reader_1_out == 32'h0 | watchdog_1 < plusarg_reader_1_out; + wire [31:0] _watchdog_T_3 = watchdog_1 + 32'h1; + plusarg_reader #( + .FORMAT ("tilelink_timeout=%d"), + .DEFAULT(0), + .WIDTH (32) + ) plusarg_reader ( + .out(plusarg_reader_out) + ); + plusarg_reader #( + .FORMAT ("tilelink_timeout=%d"), + .DEFAULT(0), + .WIDTH (32) + ) plusarg_reader_1 ( + .out(plusarg_reader_1_out) + ); + always @(posedge clock) begin + if (reset) begin + a_first_counter <= 5'h0; + end else if (_a_first_T) begin + if (a_first) begin + if (a_first_beats1_opdata) begin + a_first_counter <= a_first_beats1_decode; + end else begin + a_first_counter <= 5'h0; + end + end else begin + a_first_counter <= a_first_counter1; + end + end + if (_a_first_T & a_first) begin + opcode <= io_in_a_bits_opcode; + end + if (_a_first_T & a_first) begin + param <= io_in_a_bits_param; + end + if (_a_first_T & a_first) begin + size <= io_in_a_bits_size; + end + if (_a_first_T & a_first) begin + source <= io_in_a_bits_source; + end + if (_a_first_T & a_first) begin + address <= io_in_a_bits_address; + end + if (reset) begin + d_first_counter <= 5'h0; + end else if (_d_first_T) begin + if (d_first) begin + if (d_first_beats1_opdata) begin + d_first_counter <= d_first_beats1_decode; + end else begin + d_first_counter <= 5'h0; + end + end else begin + d_first_counter <= d_first_counter1; + end + end + if (_d_first_T & d_first) begin + opcode_1 <= io_in_d_bits_opcode; + end + if (_d_first_T & d_first) begin + size_1 <= io_in_d_bits_size; + end + if (_d_first_T & d_first) begin + source_1 <= io_in_d_bits_source; + end + if (_d_first_T & d_first) begin + denied <= io_in_d_bits_denied; + end + if (reset) begin + inflight <= 19'h0; + end else begin + inflight <= _inflight_T_2; + end + if (reset) begin + inflight_opcodes <= 76'h0; + end else begin + inflight_opcodes <= _inflight_opcodes_T_2; + end + if (reset) begin + inflight_sizes <= 152'h0; + end else begin + inflight_sizes <= _inflight_sizes_T_2; + end + if (reset) begin + a_first_counter_1 <= 5'h0; + end else if (_a_first_T) begin + if (a_first_1) begin + if (a_first_beats1_opdata) begin + a_first_counter_1 <= a_first_beats1_decode; + end else begin + a_first_counter_1 <= 5'h0; + end + end else begin + a_first_counter_1 <= a_first_counter1_1; + end + end + if (reset) begin + d_first_counter_1 <= 5'h0; + end else if (_d_first_T) begin + if (d_first_1) begin + if (d_first_beats1_opdata) begin + d_first_counter_1 <= d_first_beats1_decode; + end else begin + d_first_counter_1 <= 5'h0; + end + end else begin + d_first_counter_1 <= d_first_counter1_1; + end + end + if (reset) begin + watchdog <= 32'h0; + end else if (_a_first_T | _d_first_T) begin + watchdog <= 32'h0; + end else begin + watchdog <= _watchdog_T_1; + end + if (reset) begin + inflight_1 <= 19'h0; + end else begin + inflight_1 <= _inflight_T_5; + end + if (reset) begin + inflight_sizes_1 <= 152'h0; + end else begin + inflight_sizes_1 <= _inflight_sizes_T_5; + end + if (reset) begin + d_first_counter_2 <= 5'h0; + end else if (_d_first_T) begin + if (d_first_2) begin + if (d_first_beats1_opdata) begin + d_first_counter_2 <= d_first_beats1_decode; + end else begin + d_first_counter_2 <= 5'h0; + end + end else begin + d_first_counter_2 <= d_first_counter1_2; + end + end + if (reset) begin + watchdog_1 <= 32'h0; + end else if (_d_first_T) begin + watchdog_1 <= 32'h0; + end else begin + watchdog_1 <= _watchdog_T_3; + end + end +endmodule + +module Queue_10 ( + input clock, + input reset, + output io_enq_ready, + input io_enq_valid, + input [63:0] io_enq_bits_data, + input [ 7:0] io_enq_bits_strb, + input io_enq_bits_last, + input io_deq_ready, + output io_deq_valid, + output [63:0] io_deq_bits_data, + output [ 7:0] io_deq_bits_strb, + output io_deq_bits_last +); + reg [63:0] ram_data[0:0]; + wire ram_data_io_deq_bits_MPORT_en; + wire ram_data_io_deq_bits_MPORT_addr; + wire [63:0] ram_data_io_deq_bits_MPORT_data; + wire [63:0] ram_data_MPORT_data; + wire ram_data_MPORT_addr; + wire ram_data_MPORT_mask; + wire ram_data_MPORT_en; + reg [7:0] ram_strb[0:0]; + wire ram_strb_io_deq_bits_MPORT_en; + wire ram_strb_io_deq_bits_MPORT_addr; + wire [7:0] ram_strb_io_deq_bits_MPORT_data; + wire [7:0] ram_strb_MPORT_data; + wire ram_strb_MPORT_addr; + wire ram_strb_MPORT_mask; + wire ram_strb_MPORT_en; + reg ram_last[0:0]; + wire ram_last_io_deq_bits_MPORT_en; + wire ram_last_io_deq_bits_MPORT_addr; + wire ram_last_io_deq_bits_MPORT_data; + wire ram_last_MPORT_data; + wire ram_last_MPORT_addr; + wire ram_last_MPORT_mask; + wire ram_last_MPORT_en; + reg maybe_full; + wire empty = ~maybe_full; + wire _do_enq_T = io_enq_ready & io_enq_valid; + wire _do_deq_T = io_deq_ready & io_deq_valid; + wire _GEN_11 = io_deq_ready ? 1'h0 : _do_enq_T; + wire do_enq = empty ? _GEN_11 : _do_enq_T; + wire do_deq = empty ? 1'h0 : _do_deq_T; + assign ram_data_io_deq_bits_MPORT_en = 1'h1; + assign ram_data_io_deq_bits_MPORT_addr = 1'h0; + assign ram_data_io_deq_bits_MPORT_data = ram_data[ram_data_io_deq_bits_MPORT_addr]; + assign ram_data_MPORT_data = io_enq_bits_data; + assign ram_data_MPORT_addr = 1'h0; + assign ram_data_MPORT_mask = 1'h1; + assign ram_data_MPORT_en = empty ? _GEN_11 : _do_enq_T; + assign ram_strb_io_deq_bits_MPORT_en = 1'h1; + assign ram_strb_io_deq_bits_MPORT_addr = 1'h0; + assign ram_strb_io_deq_bits_MPORT_data = ram_strb[ram_strb_io_deq_bits_MPORT_addr]; + assign ram_strb_MPORT_data = io_enq_bits_strb; + assign ram_strb_MPORT_addr = 1'h0; + assign ram_strb_MPORT_mask = 1'h1; + assign ram_strb_MPORT_en = empty ? _GEN_11 : _do_enq_T; + assign ram_last_io_deq_bits_MPORT_en = 1'h1; + assign ram_last_io_deq_bits_MPORT_addr = 1'h0; + assign ram_last_io_deq_bits_MPORT_data = ram_last[ram_last_io_deq_bits_MPORT_addr]; + assign ram_last_MPORT_data = io_enq_bits_last; + assign ram_last_MPORT_addr = 1'h0; + assign ram_last_MPORT_mask = 1'h1; + assign ram_last_MPORT_en = empty ? _GEN_11 : _do_enq_T; + assign io_enq_ready = ~maybe_full; + assign io_deq_valid = io_enq_valid | ~empty; + assign io_deq_bits_data = empty ? io_enq_bits_data : ram_data_io_deq_bits_MPORT_data; + assign io_deq_bits_strb = empty ? io_enq_bits_strb : ram_strb_io_deq_bits_MPORT_data; + assign io_deq_bits_last = empty ? io_enq_bits_last : ram_last_io_deq_bits_MPORT_data; + always @(posedge clock) begin + if (ram_data_MPORT_en & ram_data_MPORT_mask) begin + ram_data[ram_data_MPORT_addr] <= ram_data_MPORT_data; + end + if (ram_strb_MPORT_en & ram_strb_MPORT_mask) begin + ram_strb[ram_strb_MPORT_addr] <= ram_strb_MPORT_data; + end + if (ram_last_MPORT_en & ram_last_MPORT_mask) begin + ram_last[ram_last_MPORT_addr] <= ram_last_MPORT_data; + end + if (reset) begin + maybe_full <= 1'h0; + end else if (do_enq != do_deq) begin + if (empty) begin + if (io_deq_ready) begin + maybe_full <= 1'h0; + end else begin + maybe_full <= _do_enq_T; + end + end else begin + maybe_full <= _do_enq_T; + end + end + end +endmodule + +module Queue_11 ( + input clock, + input reset, + output io_enq_ready, + input io_enq_valid, + input [ 2:0] io_enq_bits_id, + input [30:0] io_enq_bits_addr, + input [ 7:0] io_enq_bits_len, + input [ 2:0] io_enq_bits_size, + input [ 3:0] io_enq_bits_cache, + input [ 2:0] io_enq_bits_prot, + input [ 3:0] io_enq_bits_echo_tl_state_size, + input [ 4:0] io_enq_bits_echo_tl_state_source, + input io_enq_bits_wen, + input io_deq_ready, + output io_deq_valid, + output [ 2:0] io_deq_bits_id, + output [30:0] io_deq_bits_addr, + output [ 7:0] io_deq_bits_len, + output [ 2:0] io_deq_bits_size, + output [ 1:0] io_deq_bits_burst, + output io_deq_bits_lock, + output [ 3:0] io_deq_bits_cache, + output [ 2:0] io_deq_bits_prot, + output [ 3:0] io_deq_bits_qos, + output [ 3:0] io_deq_bits_echo_tl_state_size, + output [ 4:0] io_deq_bits_echo_tl_state_source, + output io_deq_bits_wen +); + reg [2:0] ram_id[0:0]; + wire ram_id_io_deq_bits_MPORT_en; + wire ram_id_io_deq_bits_MPORT_addr; + wire [2:0] ram_id_io_deq_bits_MPORT_data; + wire [2:0] ram_id_MPORT_data; + wire ram_id_MPORT_addr; + wire ram_id_MPORT_mask; + wire ram_id_MPORT_en; + reg [30:0] ram_addr[0:0]; + wire ram_addr_io_deq_bits_MPORT_en; + wire ram_addr_io_deq_bits_MPORT_addr; + wire [30:0] ram_addr_io_deq_bits_MPORT_data; + wire [30:0] ram_addr_MPORT_data; + wire ram_addr_MPORT_addr; + wire ram_addr_MPORT_mask; + wire ram_addr_MPORT_en; + reg [7:0] ram_len[0:0]; + wire ram_len_io_deq_bits_MPORT_en; + wire ram_len_io_deq_bits_MPORT_addr; + wire [7:0] ram_len_io_deq_bits_MPORT_data; + wire [7:0] ram_len_MPORT_data; + wire ram_len_MPORT_addr; + wire ram_len_MPORT_mask; + wire ram_len_MPORT_en; + reg [2:0] ram_size[0:0]; + wire ram_size_io_deq_bits_MPORT_en; + wire ram_size_io_deq_bits_MPORT_addr; + wire [2:0] ram_size_io_deq_bits_MPORT_data; + wire [2:0] ram_size_MPORT_data; + wire ram_size_MPORT_addr; + wire ram_size_MPORT_mask; + wire ram_size_MPORT_en; + reg [1:0] ram_burst[0:0]; + wire ram_burst_io_deq_bits_MPORT_en; + wire ram_burst_io_deq_bits_MPORT_addr; + wire [1:0] ram_burst_io_deq_bits_MPORT_data; + wire [1:0] ram_burst_MPORT_data; + wire ram_burst_MPORT_addr; + wire ram_burst_MPORT_mask; + wire ram_burst_MPORT_en; + reg ram_lock[0:0]; + wire ram_lock_io_deq_bits_MPORT_en; + wire ram_lock_io_deq_bits_MPORT_addr; + wire ram_lock_io_deq_bits_MPORT_data; + wire ram_lock_MPORT_data; + wire ram_lock_MPORT_addr; + wire ram_lock_MPORT_mask; + wire ram_lock_MPORT_en; + reg [3:0] ram_cache[0:0]; + wire ram_cache_io_deq_bits_MPORT_en; + wire ram_cache_io_deq_bits_MPORT_addr; + wire [3:0] ram_cache_io_deq_bits_MPORT_data; + wire [3:0] ram_cache_MPORT_data; + wire ram_cache_MPORT_addr; + wire ram_cache_MPORT_mask; + wire ram_cache_MPORT_en; + reg [2:0] ram_prot[0:0]; + wire ram_prot_io_deq_bits_MPORT_en; + wire ram_prot_io_deq_bits_MPORT_addr; + wire [2:0] ram_prot_io_deq_bits_MPORT_data; + wire [2:0] ram_prot_MPORT_data; + wire ram_prot_MPORT_addr; + wire ram_prot_MPORT_mask; + wire ram_prot_MPORT_en; + reg [3:0] ram_qos[0:0]; + wire ram_qos_io_deq_bits_MPORT_en; + wire ram_qos_io_deq_bits_MPORT_addr; + wire [3:0] ram_qos_io_deq_bits_MPORT_data; + wire [3:0] ram_qos_MPORT_data; + wire ram_qos_MPORT_addr; + wire ram_qos_MPORT_mask; + wire ram_qos_MPORT_en; + reg [3:0] ram_echo_tl_state_size[0:0]; + wire ram_echo_tl_state_size_io_deq_bits_MPORT_en; + wire ram_echo_tl_state_size_io_deq_bits_MPORT_addr; + wire [3:0] ram_echo_tl_state_size_io_deq_bits_MPORT_data; + wire [3:0] ram_echo_tl_state_size_MPORT_data; + wire ram_echo_tl_state_size_MPORT_addr; + wire ram_echo_tl_state_size_MPORT_mask; + wire ram_echo_tl_state_size_MPORT_en; + reg [4:0] ram_echo_tl_state_source[0:0]; + wire ram_echo_tl_state_source_io_deq_bits_MPORT_en; + wire ram_echo_tl_state_source_io_deq_bits_MPORT_addr; + wire [4:0] ram_echo_tl_state_source_io_deq_bits_MPORT_data; + wire [4:0] ram_echo_tl_state_source_MPORT_data; + wire ram_echo_tl_state_source_MPORT_addr; + wire ram_echo_tl_state_source_MPORT_mask; + wire ram_echo_tl_state_source_MPORT_en; + reg ram_wen[0:0]; + wire ram_wen_io_deq_bits_MPORT_en; + wire ram_wen_io_deq_bits_MPORT_addr; + wire ram_wen_io_deq_bits_MPORT_data; + wire ram_wen_MPORT_data; + wire ram_wen_MPORT_addr; + wire ram_wen_MPORT_mask; + wire ram_wen_MPORT_en; + reg maybe_full; + wire empty = ~maybe_full; + wire _do_enq_T = io_enq_ready & io_enq_valid; + wire _do_deq_T = io_deq_ready & io_deq_valid; + wire _GEN_20 = io_deq_ready ? 1'h0 : _do_enq_T; + wire do_enq = empty ? _GEN_20 : _do_enq_T; + wire do_deq = empty ? 1'h0 : _do_deq_T; + assign ram_id_io_deq_bits_MPORT_en = 1'h1; + assign ram_id_io_deq_bits_MPORT_addr = 1'h0; + assign ram_id_io_deq_bits_MPORT_data = ram_id[ram_id_io_deq_bits_MPORT_addr]; + assign ram_id_MPORT_data = io_enq_bits_id; + assign ram_id_MPORT_addr = 1'h0; + assign ram_id_MPORT_mask = 1'h1; + assign ram_id_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_addr_io_deq_bits_MPORT_en = 1'h1; + assign ram_addr_io_deq_bits_MPORT_addr = 1'h0; + assign ram_addr_io_deq_bits_MPORT_data = ram_addr[ram_addr_io_deq_bits_MPORT_addr]; + assign ram_addr_MPORT_data = io_enq_bits_addr; + assign ram_addr_MPORT_addr = 1'h0; + assign ram_addr_MPORT_mask = 1'h1; + assign ram_addr_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_len_io_deq_bits_MPORT_en = 1'h1; + assign ram_len_io_deq_bits_MPORT_addr = 1'h0; + assign ram_len_io_deq_bits_MPORT_data = ram_len[ram_len_io_deq_bits_MPORT_addr]; + assign ram_len_MPORT_data = io_enq_bits_len; + assign ram_len_MPORT_addr = 1'h0; + assign ram_len_MPORT_mask = 1'h1; + assign ram_len_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_size_io_deq_bits_MPORT_en = 1'h1; + assign ram_size_io_deq_bits_MPORT_addr = 1'h0; + assign ram_size_io_deq_bits_MPORT_data = ram_size[ram_size_io_deq_bits_MPORT_addr]; + assign ram_size_MPORT_data = io_enq_bits_size; + assign ram_size_MPORT_addr = 1'h0; + assign ram_size_MPORT_mask = 1'h1; + assign ram_size_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_burst_io_deq_bits_MPORT_en = 1'h1; + assign ram_burst_io_deq_bits_MPORT_addr = 1'h0; + assign ram_burst_io_deq_bits_MPORT_data = ram_burst[ram_burst_io_deq_bits_MPORT_addr]; + assign ram_burst_MPORT_data = 2'h1; + assign ram_burst_MPORT_addr = 1'h0; + assign ram_burst_MPORT_mask = 1'h1; + assign ram_burst_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_lock_io_deq_bits_MPORT_en = 1'h1; + assign ram_lock_io_deq_bits_MPORT_addr = 1'h0; + assign ram_lock_io_deq_bits_MPORT_data = ram_lock[ram_lock_io_deq_bits_MPORT_addr]; + assign ram_lock_MPORT_data = 1'h0; + assign ram_lock_MPORT_addr = 1'h0; + assign ram_lock_MPORT_mask = 1'h1; + assign ram_lock_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_cache_io_deq_bits_MPORT_en = 1'h1; + assign ram_cache_io_deq_bits_MPORT_addr = 1'h0; + assign ram_cache_io_deq_bits_MPORT_data = ram_cache[ram_cache_io_deq_bits_MPORT_addr]; + assign ram_cache_MPORT_data = io_enq_bits_cache; + assign ram_cache_MPORT_addr = 1'h0; + assign ram_cache_MPORT_mask = 1'h1; + assign ram_cache_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_prot_io_deq_bits_MPORT_en = 1'h1; + assign ram_prot_io_deq_bits_MPORT_addr = 1'h0; + assign ram_prot_io_deq_bits_MPORT_data = ram_prot[ram_prot_io_deq_bits_MPORT_addr]; + assign ram_prot_MPORT_data = io_enq_bits_prot; + assign ram_prot_MPORT_addr = 1'h0; + assign ram_prot_MPORT_mask = 1'h1; + assign ram_prot_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_qos_io_deq_bits_MPORT_en = 1'h1; + assign ram_qos_io_deq_bits_MPORT_addr = 1'h0; + assign ram_qos_io_deq_bits_MPORT_data = ram_qos[ram_qos_io_deq_bits_MPORT_addr]; + assign ram_qos_MPORT_data = 4'h0; + assign ram_qos_MPORT_addr = 1'h0; + assign ram_qos_MPORT_mask = 1'h1; + assign ram_qos_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_echo_tl_state_size_io_deq_bits_MPORT_en = 1'h1; + assign ram_echo_tl_state_size_io_deq_bits_MPORT_addr = 1'h0; + assign ram_echo_tl_state_size_io_deq_bits_MPORT_data = + ram_echo_tl_state_size[ram_echo_tl_state_size_io_deq_bits_MPORT_addr]; + assign ram_echo_tl_state_size_MPORT_data = io_enq_bits_echo_tl_state_size; + assign ram_echo_tl_state_size_MPORT_addr = 1'h0; + assign ram_echo_tl_state_size_MPORT_mask = 1'h1; + assign ram_echo_tl_state_size_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_echo_tl_state_source_io_deq_bits_MPORT_en = 1'h1; + assign ram_echo_tl_state_source_io_deq_bits_MPORT_addr = 1'h0; + assign ram_echo_tl_state_source_io_deq_bits_MPORT_data = + ram_echo_tl_state_source[ram_echo_tl_state_source_io_deq_bits_MPORT_addr]; + assign ram_echo_tl_state_source_MPORT_data = io_enq_bits_echo_tl_state_source; + assign ram_echo_tl_state_source_MPORT_addr = 1'h0; + assign ram_echo_tl_state_source_MPORT_mask = 1'h1; + assign ram_echo_tl_state_source_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign ram_wen_io_deq_bits_MPORT_en = 1'h1; + assign ram_wen_io_deq_bits_MPORT_addr = 1'h0; + assign ram_wen_io_deq_bits_MPORT_data = ram_wen[ram_wen_io_deq_bits_MPORT_addr]; + assign ram_wen_MPORT_data = io_enq_bits_wen; + assign ram_wen_MPORT_addr = 1'h0; + assign ram_wen_MPORT_mask = 1'h1; + assign ram_wen_MPORT_en = empty ? _GEN_20 : _do_enq_T; + assign io_enq_ready = ~maybe_full; + assign io_deq_valid = io_enq_valid | ~empty; + assign io_deq_bits_id = empty ? io_enq_bits_id : ram_id_io_deq_bits_MPORT_data; + assign io_deq_bits_addr = empty ? io_enq_bits_addr : ram_addr_io_deq_bits_MPORT_data; + assign io_deq_bits_len = empty ? io_enq_bits_len : ram_len_io_deq_bits_MPORT_data; + assign io_deq_bits_size = empty ? io_enq_bits_size : ram_size_io_deq_bits_MPORT_data; + assign io_deq_bits_burst = empty ? 2'h1 : ram_burst_io_deq_bits_MPORT_data; + assign io_deq_bits_lock = empty ? 1'h0 : ram_lock_io_deq_bits_MPORT_data; + assign io_deq_bits_cache = empty ? io_enq_bits_cache : ram_cache_io_deq_bits_MPORT_data; + assign io_deq_bits_prot = empty ? io_enq_bits_prot : ram_prot_io_deq_bits_MPORT_data; + assign io_deq_bits_qos = empty ? 4'h0 : ram_qos_io_deq_bits_MPORT_data; + assign io_deq_bits_echo_tl_state_size = empty ? io_enq_bits_echo_tl_state_size : + ram_echo_tl_state_size_io_deq_bits_MPORT_data; + assign io_deq_bits_echo_tl_state_source = empty ? io_enq_bits_echo_tl_state_source : + ram_echo_tl_state_source_io_deq_bits_MPORT_data; + assign io_deq_bits_wen = empty ? io_enq_bits_wen : ram_wen_io_deq_bits_MPORT_data; + always @(posedge clock) begin + if (ram_id_MPORT_en & ram_id_MPORT_mask) begin + ram_id[ram_id_MPORT_addr] <= ram_id_MPORT_data; + end + if (ram_addr_MPORT_en & ram_addr_MPORT_mask) begin + ram_addr[ram_addr_MPORT_addr] <= ram_addr_MPORT_data; + end + if (ram_len_MPORT_en & ram_len_MPORT_mask) begin + ram_len[ram_len_MPORT_addr] <= ram_len_MPORT_data; + end + if (ram_size_MPORT_en & ram_size_MPORT_mask) begin + ram_size[ram_size_MPORT_addr] <= ram_size_MPORT_data; + end + if (ram_burst_MPORT_en & ram_burst_MPORT_mask) begin + ram_burst[ram_burst_MPORT_addr] <= ram_burst_MPORT_data; + end + if (ram_lock_MPORT_en & ram_lock_MPORT_mask) begin + ram_lock[ram_lock_MPORT_addr] <= ram_lock_MPORT_data; + end + if (ram_cache_MPORT_en & ram_cache_MPORT_mask) begin + ram_cache[ram_cache_MPORT_addr] <= ram_cache_MPORT_data; + end + if (ram_prot_MPORT_en & ram_prot_MPORT_mask) begin + ram_prot[ram_prot_MPORT_addr] <= ram_prot_MPORT_data; + end + if (ram_qos_MPORT_en & ram_qos_MPORT_mask) begin + ram_qos[ram_qos_MPORT_addr] <= ram_qos_MPORT_data; + end + if (ram_echo_tl_state_size_MPORT_en & ram_echo_tl_state_size_MPORT_mask) begin + ram_echo_tl_state_size[ram_echo_tl_state_size_MPORT_addr] <= ram_echo_tl_state_size_MPORT_data; + end + if (ram_echo_tl_state_source_MPORT_en & ram_echo_tl_state_source_MPORT_mask) begin + ram_echo_tl_state_source[ram_echo_tl_state_source_MPORT_addr] <= ram_echo_tl_state_source_MPORT_data; + end + if (ram_wen_MPORT_en & ram_wen_MPORT_mask) begin + ram_wen[ram_wen_MPORT_addr] <= ram_wen_MPORT_data; + end + if (reset) begin + maybe_full <= 1'h0; + end else if (do_enq != do_deq) begin + if (empty) begin + if (io_deq_ready) begin + maybe_full <= 1'h0; + end else begin + maybe_full <= _do_enq_T; + end + end else begin + maybe_full <= _do_enq_T; + end + end + end +endmodule + +module TLToAXI4 ( + input clock, + input reset, + output auto_in_a_ready, + input auto_in_a_valid, + input [ 2:0] auto_in_a_bits_opcode, + input [ 2:0] auto_in_a_bits_param, + input [ 3:0] auto_in_a_bits_size, + input [ 4:0] auto_in_a_bits_source, + input [30:0] auto_in_a_bits_address, + input auto_in_a_bits_user_amba_prot_bufferable, + input auto_in_a_bits_user_amba_prot_modifiable, + input auto_in_a_bits_user_amba_prot_readalloc, + input auto_in_a_bits_user_amba_prot_writealloc, + input auto_in_a_bits_user_amba_prot_privileged, + input auto_in_a_bits_user_amba_prot_secure, + input auto_in_a_bits_user_amba_prot_fetch, + input [ 7:0] auto_in_a_bits_mask, + input [63:0] auto_in_a_bits_data, + input auto_in_a_bits_corrupt, + input auto_in_d_ready, + output auto_in_d_valid, + output [ 2:0] auto_in_d_bits_opcode, + output [ 3:0] auto_in_d_bits_size, + output [ 4:0] auto_in_d_bits_source, + output auto_in_d_bits_denied, + output [63:0] auto_in_d_bits_data, + output auto_in_d_bits_corrupt, + input auto_out_aw_ready, + output auto_out_aw_valid, + output [ 2:0] auto_out_aw_bits_id, + output [30:0] auto_out_aw_bits_addr, + output [ 7:0] auto_out_aw_bits_len, + output [ 2:0] auto_out_aw_bits_size, + output [ 1:0] auto_out_aw_bits_burst, + output auto_out_aw_bits_lock, + output [ 3:0] auto_out_aw_bits_cache, + output [ 2:0] auto_out_aw_bits_prot, + output [ 3:0] auto_out_aw_bits_qos, + output [ 3:0] auto_out_aw_bits_echo_tl_state_size, + output [ 4:0] auto_out_aw_bits_echo_tl_state_source, + input auto_out_w_ready, + output auto_out_w_valid, + output [63:0] auto_out_w_bits_data, + output [ 7:0] auto_out_w_bits_strb, + output auto_out_w_bits_last, + output auto_out_b_ready, + input auto_out_b_valid, + input [ 2:0] auto_out_b_bits_id, + input [ 1:0] auto_out_b_bits_resp, + input [ 3:0] auto_out_b_bits_echo_tl_state_size, + input [ 4:0] auto_out_b_bits_echo_tl_state_source, + input auto_out_ar_ready, + output auto_out_ar_valid, + output [ 2:0] auto_out_ar_bits_id, + output [30:0] auto_out_ar_bits_addr, + output [ 7:0] auto_out_ar_bits_len, + output [ 2:0] auto_out_ar_bits_size, + output [ 1:0] auto_out_ar_bits_burst, + output auto_out_ar_bits_lock, + output [ 3:0] auto_out_ar_bits_cache, + output [ 2:0] auto_out_ar_bits_prot, + output [ 3:0] auto_out_ar_bits_qos, + output [ 3:0] auto_out_ar_bits_echo_tl_state_size, + output [ 4:0] auto_out_ar_bits_echo_tl_state_source, + output auto_out_r_ready, + input auto_out_r_valid, + input [ 2:0] auto_out_r_bits_id, + input [63:0] auto_out_r_bits_data, + input [ 1:0] auto_out_r_bits_resp, + input [ 3:0] auto_out_r_bits_echo_tl_state_size, + input [ 4:0] auto_out_r_bits_echo_tl_state_source, + input auto_out_r_bits_last +); + wire monitor_clock; + wire monitor_reset; + wire monitor_io_in_a_ready; + wire monitor_io_in_a_valid; + wire [2:0] monitor_io_in_a_bits_opcode; + wire [2:0] monitor_io_in_a_bits_param; + wire [3:0] monitor_io_in_a_bits_size; + wire [4:0] monitor_io_in_a_bits_source; + wire [30:0] monitor_io_in_a_bits_address; + wire [7:0] monitor_io_in_a_bits_mask; + wire monitor_io_in_a_bits_corrupt; + wire monitor_io_in_d_ready; + wire monitor_io_in_d_valid; + wire [2:0] monitor_io_in_d_bits_opcode; + wire [3:0] monitor_io_in_d_bits_size; + wire [4:0] monitor_io_in_d_bits_source; + wire monitor_io_in_d_bits_denied; + wire monitor_io_in_d_bits_corrupt; + wire deq_clock; + wire deq_reset; + wire deq_io_enq_ready; + wire deq_io_enq_valid; + wire [63:0] deq_io_enq_bits_data; + wire [7:0] deq_io_enq_bits_strb; + wire deq_io_enq_bits_last; + wire deq_io_deq_ready; + wire deq_io_deq_valid; + wire [63:0] deq_io_deq_bits_data; + wire [7:0] deq_io_deq_bits_strb; + wire deq_io_deq_bits_last; + wire queue_arw_deq_clock; + wire queue_arw_deq_reset; + wire queue_arw_deq_io_enq_ready; + wire queue_arw_deq_io_enq_valid; + wire [2:0] queue_arw_deq_io_enq_bits_id; + wire [30:0] queue_arw_deq_io_enq_bits_addr; + wire [7:0] queue_arw_deq_io_enq_bits_len; + wire [2:0] queue_arw_deq_io_enq_bits_size; + wire [3:0] queue_arw_deq_io_enq_bits_cache; + wire [2:0] queue_arw_deq_io_enq_bits_prot; + wire [3:0] queue_arw_deq_io_enq_bits_echo_tl_state_size; + wire [4:0] queue_arw_deq_io_enq_bits_echo_tl_state_source; + wire queue_arw_deq_io_enq_bits_wen; + wire queue_arw_deq_io_deq_ready; + wire queue_arw_deq_io_deq_valid; + wire [2:0] queue_arw_deq_io_deq_bits_id; + wire [30:0] queue_arw_deq_io_deq_bits_addr; + wire [7:0] queue_arw_deq_io_deq_bits_len; + wire [2:0] queue_arw_deq_io_deq_bits_size; + wire [1:0] queue_arw_deq_io_deq_bits_burst; + wire queue_arw_deq_io_deq_bits_lock; + wire [3:0] queue_arw_deq_io_deq_bits_cache; + wire [2:0] queue_arw_deq_io_deq_bits_prot; + wire [3:0] queue_arw_deq_io_deq_bits_qos; + wire [3:0] queue_arw_deq_io_deq_bits_echo_tl_state_size; + wire [4:0] queue_arw_deq_io_deq_bits_echo_tl_state_source; + wire queue_arw_deq_io_deq_bits_wen; + wire a_isPut = ~auto_in_a_bits_opcode[2]; + reg count_1; + wire idle = ~count_1; + reg count_4; + wire idle_3 = ~count_4; + reg count_5; + wire idle_4 = ~count_5; + reg [3:0] count_3; + wire idle_2 = count_3 == 4'h0; + reg write_2; + wire mismatch_1 = write_2 != a_isPut; + wire idStall_2 = ~idle_2 & mismatch_1 | count_3 == 4'h8; + reg [3:0] count_2; + wire idle_1 = count_2 == 4'h0; + reg write_1; + wire mismatch = write_1 != a_isPut; + wire idStall_1 = ~idle_1 & mismatch | count_2 == 4'h8; + wire _GEN_29 = 5'h8 == auto_in_a_bits_source ? idStall_2 : idStall_1; + wire _GEN_30 = 5'h9 == auto_in_a_bits_source ? idStall_2 : _GEN_29; + wire _GEN_31 = 5'ha == auto_in_a_bits_source ? idStall_2 : _GEN_30; + wire _GEN_32 = 5'hb == auto_in_a_bits_source ? idStall_2 : _GEN_31; + wire _GEN_33 = 5'hc == auto_in_a_bits_source ? idStall_2 : _GEN_32; + wire _GEN_34 = 5'hd == auto_in_a_bits_source ? idStall_2 : _GEN_33; + wire _GEN_35 = 5'he == auto_in_a_bits_source ? idStall_2 : _GEN_34; + wire _GEN_36 = 5'hf == auto_in_a_bits_source ? idStall_2 : _GEN_35; + wire _GEN_37 = 5'h10 == auto_in_a_bits_source ? count_5 : _GEN_36; + wire _GEN_38 = 5'h11 == auto_in_a_bits_source ? count_4 : _GEN_37; + wire _GEN_39 = 5'h12 == auto_in_a_bits_source ? count_1 : _GEN_38; + reg [4:0] counter; + wire a_first = counter == 5'h0; + wire stall = _GEN_39 & a_first; + wire _bundleIn_0_a_ready_T = ~stall; + reg doneAW; + wire out_arw_ready = queue_arw_deq_io_enq_ready; + wire _bundleIn_0_a_ready_T_1 = doneAW | out_arw_ready; + wire out_w_ready = deq_io_enq_ready; + wire _bundleIn_0_a_ready_T_3 = a_isPut ? (doneAW | out_arw_ready) & out_w_ready : out_arw_ready; + wire bundleIn_0_a_ready = ~stall & _bundleIn_0_a_ready_T_3; + wire _T = bundleIn_0_a_ready & auto_in_a_valid; + wire [22:0] _beats1_decode_T_1 = 23'hff << auto_in_a_bits_size; + wire [7:0] _beats1_decode_T_3 = ~_beats1_decode_T_1[7:0]; + wire [4:0] beats1_decode = _beats1_decode_T_3[7:3]; + wire [4:0] beats1 = a_isPut ? beats1_decode : 5'h0; + wire [4:0] counter1 = counter - 5'h1; + wire a_last = counter == 5'h1 | beats1 == 5'h0; + wire queue_arw_bits_wen = queue_arw_deq_io_deq_bits_wen; + wire queue_arw_valid = queue_arw_deq_io_deq_valid; + wire [2:0] _GEN_10 = 5'h8 == auto_in_a_bits_source ? 3'h2 : 3'h1; + wire [2:0] _GEN_11 = 5'h9 == auto_in_a_bits_source ? 3'h2 : _GEN_10; + wire [2:0] _GEN_12 = 5'ha == auto_in_a_bits_source ? 3'h2 : _GEN_11; + wire [2:0] _GEN_13 = 5'hb == auto_in_a_bits_source ? 3'h2 : _GEN_12; + wire [2:0] _GEN_14 = 5'hc == auto_in_a_bits_source ? 3'h2 : _GEN_13; + wire [2:0] _GEN_15 = 5'hd == auto_in_a_bits_source ? 3'h2 : _GEN_14; + wire [2:0] _GEN_16 = 5'he == auto_in_a_bits_source ? 3'h2 : _GEN_15; + wire [2:0] _GEN_17 = 5'hf == auto_in_a_bits_source ? 3'h2 : _GEN_16; + wire [2:0] _GEN_18 = 5'h10 == auto_in_a_bits_source ? 3'h4 : _GEN_17; + wire [2:0] _GEN_19 = 5'h11 == auto_in_a_bits_source ? 3'h3 : _GEN_18; + wire [2:0] out_arw_bits_id = 5'h12 == auto_in_a_bits_source ? 3'h0 : _GEN_19; + wire [25:0] _out_arw_bits_len_T_1 = 26'h7ff << auto_in_a_bits_size; + wire [10:0] _out_arw_bits_len_T_3 = ~_out_arw_bits_len_T_1[10:0]; + wire [3:0] _out_arw_bits_size_T_1 = auto_in_a_bits_size >= 4'h3 ? 4'h3 : auto_in_a_bits_size; + wire prot_1 = ~auto_in_a_bits_user_amba_prot_secure; + wire [1:0] out_arw_bits_prot_hi = {auto_in_a_bits_user_amba_prot_fetch, prot_1}; + wire [1:0] out_arw_bits_cache_lo = { + auto_in_a_bits_user_amba_prot_modifiable, auto_in_a_bits_user_amba_prot_bufferable + }; + wire [1:0] out_arw_bits_cache_hi = { + auto_in_a_bits_user_amba_prot_writealloc, auto_in_a_bits_user_amba_prot_readalloc + }; + wire _out_arw_valid_T_1 = _bundleIn_0_a_ready_T & auto_in_a_valid; + wire _out_arw_valid_T_4 = a_isPut ? ~doneAW & out_w_ready : 1'h1; + wire out_arw_valid = _bundleIn_0_a_ready_T & auto_in_a_valid & _out_arw_valid_T_4; + reg r_holds_d; + reg [2:0] b_delay; + wire r_wins = auto_out_r_valid & b_delay != 3'h7 | r_holds_d; + wire bundleOut_0_r_ready = auto_in_d_ready & r_wins; + wire _T_2 = bundleOut_0_r_ready & auto_out_r_valid; + wire bundleOut_0_b_ready = auto_in_d_ready & ~r_wins; + wire [2:0] _b_delay_T_1 = b_delay + 3'h1; + wire bundleIn_0_d_valid = r_wins ? auto_out_r_valid : auto_out_b_valid; + reg r_first; + wire _GEN_42 = _T_2 ? auto_out_r_bits_last : r_first; + wire _r_denied_T = auto_out_r_bits_resp == 2'h3; + reg r_denied_r; + wire _GEN_43 = r_first ? _r_denied_T : r_denied_r; + wire r_corrupt = auto_out_r_bits_resp != 2'h0; + wire b_denied = auto_out_b_bits_resp != 2'h0; + wire r_d_corrupt = r_corrupt | _GEN_43; + wire [7:0] _a_sel_T = 8'h1 << out_arw_bits_id; + wire a_sel_0 = _a_sel_T[0]; + wire a_sel_1 = _a_sel_T[1]; + wire a_sel_2 = _a_sel_T[2]; + wire a_sel_3 = _a_sel_T[3]; + wire a_sel_4 = _a_sel_T[4]; + wire [2:0] d_sel_shiftAmount = r_wins ? auto_out_r_bits_id : auto_out_b_bits_id; + wire [7:0] _d_sel_T_1 = 8'h1 << d_sel_shiftAmount; + wire d_sel_0 = _d_sel_T_1[0]; + wire d_sel_1 = _d_sel_T_1[1]; + wire d_sel_2 = _d_sel_T_1[2]; + wire d_sel_3 = _d_sel_T_1[3]; + wire d_sel_4 = _d_sel_T_1[4]; + wire d_last = r_wins ? auto_out_r_bits_last : 1'h1; + wire _inc_T = out_arw_ready & out_arw_valid; + wire inc = a_sel_0 & _inc_T; + wire _dec_T_1 = auto_in_d_ready & bundleIn_0_d_valid; + wire dec = d_sel_0 & d_last & _dec_T_1; + wire _count_T_2 = count_1 + inc; + wire _T_10 = ~reset; + wire inc_1 = a_sel_1 & _inc_T; + wire dec_1 = d_sel_1 & d_last & _dec_T_1; + wire [3:0] _GEN_49 = {{3'd0}, inc_1}; + wire [3:0] _count_T_6 = count_2 + _GEN_49; + wire [3:0] _GEN_50 = {{3'd0}, dec_1}; + wire [3:0] _count_T_8 = _count_T_6 - _GEN_50; + wire inc_2 = a_sel_2 & _inc_T; + wire dec_2 = d_sel_2 & d_last & _dec_T_1; + wire [3:0] _GEN_51 = {{3'd0}, inc_2}; + wire [3:0] _count_T_10 = count_3 + _GEN_51; + wire [3:0] _GEN_52 = {{3'd0}, dec_2}; + wire [3:0] _count_T_12 = _count_T_10 - _GEN_52; + wire inc_3 = a_sel_3 & _inc_T; + wire dec_3 = d_sel_3 & d_last & _dec_T_1; + wire _count_T_14 = count_4 + inc_3; + wire inc_4 = a_sel_4 & _inc_T; + wire dec_4 = d_sel_4 & d_last & _dec_T_1; + wire _count_T_18 = count_5 + inc_4; + TLMonitor_4 monitor ( + .clock(monitor_clock), + .reset(monitor_reset), + .io_in_a_ready(monitor_io_in_a_ready), + .io_in_a_valid(monitor_io_in_a_valid), + .io_in_a_bits_opcode(monitor_io_in_a_bits_opcode), + .io_in_a_bits_param(monitor_io_in_a_bits_param), + .io_in_a_bits_size(monitor_io_in_a_bits_size), + .io_in_a_bits_source(monitor_io_in_a_bits_source), + .io_in_a_bits_address(monitor_io_in_a_bits_address), + .io_in_a_bits_mask(monitor_io_in_a_bits_mask), + .io_in_a_bits_corrupt(monitor_io_in_a_bits_corrupt), + .io_in_d_ready(monitor_io_in_d_ready), + .io_in_d_valid(monitor_io_in_d_valid), + .io_in_d_bits_opcode(monitor_io_in_d_bits_opcode), + .io_in_d_bits_size(monitor_io_in_d_bits_size), + .io_in_d_bits_source(monitor_io_in_d_bits_source), + .io_in_d_bits_denied(monitor_io_in_d_bits_denied), + .io_in_d_bits_corrupt(monitor_io_in_d_bits_corrupt) + ); + Queue_10 deq ( + .clock(deq_clock), + .reset(deq_reset), + .io_enq_ready(deq_io_enq_ready), + .io_enq_valid(deq_io_enq_valid), + .io_enq_bits_data(deq_io_enq_bits_data), + .io_enq_bits_strb(deq_io_enq_bits_strb), + .io_enq_bits_last(deq_io_enq_bits_last), + .io_deq_ready(deq_io_deq_ready), + .io_deq_valid(deq_io_deq_valid), + .io_deq_bits_data(deq_io_deq_bits_data), + .io_deq_bits_strb(deq_io_deq_bits_strb), + .io_deq_bits_last(deq_io_deq_bits_last) + ); + Queue_11 queue_arw_deq ( + .clock(queue_arw_deq_clock), + .reset(queue_arw_deq_reset), + .io_enq_ready(queue_arw_deq_io_enq_ready), + .io_enq_valid(queue_arw_deq_io_enq_valid), + .io_enq_bits_id(queue_arw_deq_io_enq_bits_id), + .io_enq_bits_addr(queue_arw_deq_io_enq_bits_addr), + .io_enq_bits_len(queue_arw_deq_io_enq_bits_len), + .io_enq_bits_size(queue_arw_deq_io_enq_bits_size), + .io_enq_bits_cache(queue_arw_deq_io_enq_bits_cache), + .io_enq_bits_prot(queue_arw_deq_io_enq_bits_prot), + .io_enq_bits_echo_tl_state_size(queue_arw_deq_io_enq_bits_echo_tl_state_size), + .io_enq_bits_echo_tl_state_source(queue_arw_deq_io_enq_bits_echo_tl_state_source), + .io_enq_bits_wen(queue_arw_deq_io_enq_bits_wen), + .io_deq_ready(queue_arw_deq_io_deq_ready), + .io_deq_valid(queue_arw_deq_io_deq_valid), + .io_deq_bits_id(queue_arw_deq_io_deq_bits_id), + .io_deq_bits_addr(queue_arw_deq_io_deq_bits_addr), + .io_deq_bits_len(queue_arw_deq_io_deq_bits_len), + .io_deq_bits_size(queue_arw_deq_io_deq_bits_size), + .io_deq_bits_burst(queue_arw_deq_io_deq_bits_burst), + .io_deq_bits_lock(queue_arw_deq_io_deq_bits_lock), + .io_deq_bits_cache(queue_arw_deq_io_deq_bits_cache), + .io_deq_bits_prot(queue_arw_deq_io_deq_bits_prot), + .io_deq_bits_qos(queue_arw_deq_io_deq_bits_qos), + .io_deq_bits_echo_tl_state_size(queue_arw_deq_io_deq_bits_echo_tl_state_size), + .io_deq_bits_echo_tl_state_source(queue_arw_deq_io_deq_bits_echo_tl_state_source), + .io_deq_bits_wen(queue_arw_deq_io_deq_bits_wen) + ); + assign auto_in_a_ready = ~stall & _bundleIn_0_a_ready_T_3; + assign auto_in_d_valid = r_wins ? auto_out_r_valid : auto_out_b_valid; + assign auto_in_d_bits_opcode = r_wins ? 3'h1 : 3'h0; + assign auto_in_d_bits_size = r_wins ? auto_out_r_bits_echo_tl_state_size : auto_out_b_bits_echo_tl_state_size; + assign auto_in_d_bits_source = r_wins ? auto_out_r_bits_echo_tl_state_source : auto_out_b_bits_echo_tl_state_source; + assign auto_in_d_bits_denied = r_wins ? _GEN_43 : b_denied; + assign auto_in_d_bits_data = auto_out_r_bits_data; + assign auto_in_d_bits_corrupt = r_wins & r_d_corrupt; + assign auto_out_aw_valid = queue_arw_valid & queue_arw_bits_wen; + assign auto_out_aw_bits_id = queue_arw_deq_io_deq_bits_id; + assign auto_out_aw_bits_addr = queue_arw_deq_io_deq_bits_addr; + assign auto_out_aw_bits_len = queue_arw_deq_io_deq_bits_len; + assign auto_out_aw_bits_size = queue_arw_deq_io_deq_bits_size; + assign auto_out_aw_bits_burst = queue_arw_deq_io_deq_bits_burst; + assign auto_out_aw_bits_lock = queue_arw_deq_io_deq_bits_lock; + assign auto_out_aw_bits_cache = queue_arw_deq_io_deq_bits_cache; + assign auto_out_aw_bits_prot = queue_arw_deq_io_deq_bits_prot; + assign auto_out_aw_bits_qos = queue_arw_deq_io_deq_bits_qos; + assign auto_out_aw_bits_echo_tl_state_size = queue_arw_deq_io_deq_bits_echo_tl_state_size; + assign auto_out_aw_bits_echo_tl_state_source = queue_arw_deq_io_deq_bits_echo_tl_state_source; + assign auto_out_w_valid = deq_io_deq_valid; + assign auto_out_w_bits_data = deq_io_deq_bits_data; + assign auto_out_w_bits_strb = deq_io_deq_bits_strb; + assign auto_out_w_bits_last = deq_io_deq_bits_last; + assign auto_out_b_ready = auto_in_d_ready & ~r_wins; + assign auto_out_ar_valid = queue_arw_valid & ~queue_arw_bits_wen; + assign auto_out_ar_bits_id = queue_arw_deq_io_deq_bits_id; + assign auto_out_ar_bits_addr = queue_arw_deq_io_deq_bits_addr; + assign auto_out_ar_bits_len = queue_arw_deq_io_deq_bits_len; + assign auto_out_ar_bits_size = queue_arw_deq_io_deq_bits_size; + assign auto_out_ar_bits_burst = queue_arw_deq_io_deq_bits_burst; + assign auto_out_ar_bits_lock = queue_arw_deq_io_deq_bits_lock; + assign auto_out_ar_bits_cache = queue_arw_deq_io_deq_bits_cache; + assign auto_out_ar_bits_prot = queue_arw_deq_io_deq_bits_prot; + assign auto_out_ar_bits_qos = queue_arw_deq_io_deq_bits_qos; + assign auto_out_ar_bits_echo_tl_state_size = queue_arw_deq_io_deq_bits_echo_tl_state_size; + assign auto_out_ar_bits_echo_tl_state_source = queue_arw_deq_io_deq_bits_echo_tl_state_source; + assign auto_out_r_ready = auto_in_d_ready & r_wins; + assign monitor_clock = clock; + assign monitor_reset = reset; + assign monitor_io_in_a_ready = ~stall & _bundleIn_0_a_ready_T_3; + assign monitor_io_in_a_valid = auto_in_a_valid; + assign monitor_io_in_a_bits_opcode = auto_in_a_bits_opcode; + assign monitor_io_in_a_bits_param = auto_in_a_bits_param; + assign monitor_io_in_a_bits_size = auto_in_a_bits_size; + assign monitor_io_in_a_bits_source = auto_in_a_bits_source; + assign monitor_io_in_a_bits_address = auto_in_a_bits_address; + assign monitor_io_in_a_bits_mask = auto_in_a_bits_mask; + assign monitor_io_in_a_bits_corrupt = auto_in_a_bits_corrupt; + assign monitor_io_in_d_ready = auto_in_d_ready; + assign monitor_io_in_d_valid = r_wins ? auto_out_r_valid : auto_out_b_valid; + assign monitor_io_in_d_bits_opcode = r_wins ? 3'h1 : 3'h0; + assign monitor_io_in_d_bits_size = r_wins ? auto_out_r_bits_echo_tl_state_size : auto_out_b_bits_echo_tl_state_size; + assign monitor_io_in_d_bits_source = r_wins ? auto_out_r_bits_echo_tl_state_source : + auto_out_b_bits_echo_tl_state_source; + assign monitor_io_in_d_bits_denied = r_wins ? _GEN_43 : b_denied; + assign monitor_io_in_d_bits_corrupt = r_wins & r_d_corrupt; + assign deq_clock = clock; + assign deq_reset = reset; + assign deq_io_enq_valid = _out_arw_valid_T_1 & a_isPut & _bundleIn_0_a_ready_T_1; + assign deq_io_enq_bits_data = auto_in_a_bits_data; + assign deq_io_enq_bits_strb = auto_in_a_bits_mask; + assign deq_io_enq_bits_last = counter == 5'h1 | beats1 == 5'h0; + assign deq_io_deq_ready = auto_out_w_ready; + assign queue_arw_deq_clock = clock; + assign queue_arw_deq_reset = reset; + assign queue_arw_deq_io_enq_valid = _bundleIn_0_a_ready_T & auto_in_a_valid & _out_arw_valid_T_4; + assign queue_arw_deq_io_enq_bits_id = 5'h12 == auto_in_a_bits_source ? 3'h0 : _GEN_19; + assign queue_arw_deq_io_enq_bits_addr = auto_in_a_bits_address; + assign queue_arw_deq_io_enq_bits_len = _out_arw_bits_len_T_3[10:3]; + assign queue_arw_deq_io_enq_bits_size = _out_arw_bits_size_T_1[2:0]; + assign queue_arw_deq_io_enq_bits_cache = {out_arw_bits_cache_hi, out_arw_bits_cache_lo}; + assign queue_arw_deq_io_enq_bits_prot = { + out_arw_bits_prot_hi, auto_in_a_bits_user_amba_prot_privileged + }; + assign queue_arw_deq_io_enq_bits_echo_tl_state_size = auto_in_a_bits_size; + assign queue_arw_deq_io_enq_bits_echo_tl_state_source = auto_in_a_bits_source; + assign queue_arw_deq_io_enq_bits_wen = ~auto_in_a_bits_opcode[2]; + assign queue_arw_deq_io_deq_ready = queue_arw_bits_wen ? auto_out_aw_ready : auto_out_ar_ready; + always @(posedge clock) begin + if (reset) begin + count_1 <= 1'h0; + end else begin + count_1 <= _count_T_2 - dec; + end + if (reset) begin + count_4 <= 1'h0; + end else begin + count_4 <= _count_T_14 - dec_3; + end + if (reset) begin + count_5 <= 1'h0; + end else begin + count_5 <= _count_T_18 - dec_4; + end + if (reset) begin + count_3 <= 4'h0; + end else begin + count_3 <= _count_T_12; + end + if (inc_2) begin + write_2 <= a_isPut; + end + if (reset) begin + count_2 <= 4'h0; + end else begin + count_2 <= _count_T_8; + end + if (inc_1) begin + write_1 <= a_isPut; + end + if (reset) begin + counter <= 5'h0; + end else if (_T) begin + if (a_first) begin + if (a_isPut) begin + counter <= beats1_decode; + end else begin + counter <= 5'h0; + end + end else begin + counter <= counter1; + end + end + if (reset) begin + doneAW <= 1'h0; + end else if (_T) begin + doneAW <= ~a_last; + end + if (reset) begin + r_holds_d <= 1'h0; + end else if (_T_2) begin + r_holds_d <= ~auto_out_r_bits_last; + end + if (auto_out_b_valid & ~bundleOut_0_b_ready) begin + b_delay <= _b_delay_T_1; + end else begin + b_delay <= 3'h0; + end + r_first <= reset | _GEN_42; + if (r_first) begin + r_denied_r <= _r_denied_T; + end + end +endmodule diff --git a/test/idma_obi2axi_bridge.sv b/test/idma_obi2axi_bridge.sv index a8fce340..a3bce89c 100644 --- a/test/idma_obi2axi_bridge.sv +++ b/test/idma_obi2axi_bridge.sv @@ -5,23 +5,23 @@ // Tobias Senti module idma_obi2axi_bridge #( - parameter int unsigned DataWidth = 32, - parameter int unsigned AddrWidth = 32, - parameter int unsigned UserWidth = 1, - parameter int unsigned IdWidth = 1, - parameter type obi_master_req_t = logic, - parameter type obi_master_rsp_t = logic, - parameter type axi_req_t = logic, - parameter type axi_rsp_t = logic + parameter int unsigned DataWidth = 32, + parameter int unsigned AddrWidth = 32, + parameter int unsigned UserWidth = 1, + parameter int unsigned IdWidth = 1, + parameter type obi_req_t = logic, + parameter type obi_rsp_t = logic, + parameter type axi_req_t = logic, + parameter type axi_rsp_t = logic )( - input logic clk_i, - input logic rst_ni, + input logic clk_i, + input logic rst_ni, - input obi_master_req_t obi_master_req_i, - output obi_master_rsp_t obi_master_rsp_o, + input obi_req_t obi_req_i, + output obi_rsp_t obi_rsp_o, - output axi_req_t axi_req_o, - input axi_rsp_t axi_rsp_i + output axi_req_t axi_req_o, + input axi_rsp_t axi_rsp_i ); assign axi_req_o.aw.atop = '0; @@ -36,74 +36,74 @@ module idma_obi2axi_bridge #( .AXI_ID_WIDTH ( IdWidth ), .AXI_STRB_WIDTH ( DataWidth / 8 ) ) i_per2axi ( - .clk_i ( clk_i ), - .rst_ni ( rst_ni ), - .test_en_i ( 1'b0 ), + .clk_i ( clk_i ), + .rst_ni ( rst_ni ), + .test_en_i ( 1'b0 ), - .per_slave_req_i ( obi_master_req_i.a_req ), - .per_slave_add_i ( obi_master_req_i.a.addr ), - .per_slave_we_i ( !obi_master_req_i.a.we ), - .per_slave_wdata_i ( obi_master_req_i.a.wdata ), - .per_slave_be_i ( obi_master_req_i.a.be ), - .per_slave_id_i ( '0), - .per_slave_gnt_o ( obi_master_rsp_o.a_gnt ), + .per_slave_req_i ( obi_req_i.a_req ), + .per_slave_add_i ( obi_req_i.a.addr ), + .per_slave_we_i ( !obi_req_i.a.we ), + .per_slave_wdata_i ( obi_req_i.a.wdata ), + .per_slave_be_i ( obi_req_i.a.be ), + .per_slave_id_i ( obi_req_i.a.aid ), + .per_slave_gnt_o ( obi_rsp_o.a_gnt ), - .per_slave_r_valid_o ( obi_master_rsp_o.r_valid ), - .per_slave_r_opc_o ( ), - .per_slave_r_id_o ( ), - .per_slave_r_rdata_o ( obi_master_rsp_o.r.rdata ), - .per_slave_r_ready_i ( obi_master_req_i.r_ready ), + .per_slave_r_valid_o ( obi_rsp_o.r_valid ), + .per_slave_r_opc_o ( ), + .per_slave_r_id_o ( obi_rsp_o.r.rid ), + .per_slave_r_rdata_o ( obi_rsp_o.r.rdata ), + .per_slave_r_ready_i ( obi_req_i.r_ready ), - .axi_master_aw_valid_o ( axi_req_o.aw_valid ), - .axi_master_aw_addr_o ( axi_req_o.aw.addr ), - .axi_master_aw_prot_o ( axi_req_o.aw.prot ), - .axi_master_aw_region_o ( axi_req_o.aw.region ), - .axi_master_aw_len_o ( axi_req_o.aw.len ), - .axi_master_aw_size_o ( axi_req_o.aw.size ), - .axi_master_aw_burst_o ( axi_req_o.aw.burst ), - .axi_master_aw_lock_o ( axi_req_o.aw.lock ), - .axi_master_aw_cache_o ( axi_req_o.aw.cache ), - .axi_master_aw_qos_o ( axi_req_o.aw.qos ), - .axi_master_aw_id_o ( axi_req_o.aw.id ), - .axi_master_aw_user_o ( axi_req_o.aw.user ), - .axi_master_aw_ready_i ( axi_rsp_i.aw_ready ), + .axi_master_aw_valid_o ( axi_req_o.aw_valid ), + .axi_master_aw_addr_o ( axi_req_o.aw.addr ), + .axi_master_aw_prot_o ( axi_req_o.aw.prot ), + .axi_master_aw_region_o ( axi_req_o.aw.region ), + .axi_master_aw_len_o ( axi_req_o.aw.len ), + .axi_master_aw_size_o ( axi_req_o.aw.size ), + .axi_master_aw_burst_o ( axi_req_o.aw.burst ), + .axi_master_aw_lock_o ( axi_req_o.aw.lock ), + .axi_master_aw_cache_o ( axi_req_o.aw.cache ), + .axi_master_aw_qos_o ( axi_req_o.aw.qos ), + .axi_master_aw_id_o ( axi_req_o.aw.id ), + .axi_master_aw_user_o ( axi_req_o.aw.user ), + .axi_master_aw_ready_i ( axi_rsp_i.aw_ready ), - .axi_master_ar_valid_o ( axi_req_o.ar_valid ), - .axi_master_ar_addr_o ( axi_req_o.ar.addr ), - .axi_master_ar_prot_o ( axi_req_o.ar.prot ), - .axi_master_ar_region_o ( axi_req_o.ar.region ), - .axi_master_ar_len_o ( axi_req_o.ar.len ), - .axi_master_ar_size_o ( axi_req_o.ar.size ), - .axi_master_ar_burst_o ( axi_req_o.ar.burst ), - .axi_master_ar_lock_o ( axi_req_o.ar.lock ), - .axi_master_ar_cache_o ( axi_req_o.ar.cache ), - .axi_master_ar_qos_o ( axi_req_o.ar.qos ), - .axi_master_ar_id_o ( axi_req_o.ar.id ), - .axi_master_ar_user_o ( axi_req_o.ar.user ), - .axi_master_ar_ready_i ( axi_rsp_i.ar_ready ), + .axi_master_ar_valid_o ( axi_req_o.ar_valid ), + .axi_master_ar_addr_o ( axi_req_o.ar.addr ), + .axi_master_ar_prot_o ( axi_req_o.ar.prot ), + .axi_master_ar_region_o ( axi_req_o.ar.region ), + .axi_master_ar_len_o ( axi_req_o.ar.len ), + .axi_master_ar_size_o ( axi_req_o.ar.size ), + .axi_master_ar_burst_o ( axi_req_o.ar.burst ), + .axi_master_ar_lock_o ( axi_req_o.ar.lock ), + .axi_master_ar_cache_o ( axi_req_o.ar.cache ), + .axi_master_ar_qos_o ( axi_req_o.ar.qos ), + .axi_master_ar_id_o ( axi_req_o.ar.id ), + .axi_master_ar_user_o ( axi_req_o.ar.user ), + .axi_master_ar_ready_i ( axi_rsp_i.ar_ready ), - .axi_master_w_valid_o ( axi_req_o.w_valid ), - .axi_master_w_data_o ( axi_req_o.w.data ), - .axi_master_w_strb_o ( axi_req_o.w.strb ), - .axi_master_w_user_o ( axi_req_o.w.user ), - .axi_master_w_last_o ( axi_req_o.w.last ), - .axi_master_w_ready_i ( axi_rsp_i.w_ready ), + .axi_master_w_valid_o ( axi_req_o.w_valid ), + .axi_master_w_data_o ( axi_req_o.w.data ), + .axi_master_w_strb_o ( axi_req_o.w.strb ), + .axi_master_w_user_o ( axi_req_o.w.user ), + .axi_master_w_last_o ( axi_req_o.w.last ), + .axi_master_w_ready_i ( axi_rsp_i.w_ready ), - .axi_master_r_valid_i ( axi_rsp_i.r_valid ), - .axi_master_r_data_i ( axi_rsp_i.r.data ), - .axi_master_r_resp_i ( axi_rsp_i.r.resp ), - .axi_master_r_last_i ( axi_rsp_i.r.last ), - .axi_master_r_id_i ( axi_rsp_i.r.id ), - .axi_master_r_user_i ( axi_rsp_i.r.user ), - .axi_master_r_ready_o ( axi_req_o.r_ready ), + .axi_master_r_valid_i ( axi_rsp_i.r_valid ), + .axi_master_r_data_i ( axi_rsp_i.r.data ), + .axi_master_r_resp_i ( axi_rsp_i.r.resp ), + .axi_master_r_last_i ( axi_rsp_i.r.last ), + .axi_master_r_id_i ( axi_rsp_i.r.id ), + .axi_master_r_user_i ( axi_rsp_i.r.user ), + .axi_master_r_ready_o ( axi_req_o.r_ready ), - .axi_master_b_valid_i ( axi_rsp_i.b_valid ), - .axi_master_b_resp_i ( axi_rsp_i.b.resp ), - .axi_master_b_id_i ( axi_rsp_i.b.id ), - .axi_master_b_user_i ( axi_rsp_i.b.user ), - .axi_master_b_ready_o ( axi_req_o.b_ready ), + .axi_master_b_valid_i ( axi_rsp_i.b_valid ), + .axi_master_b_resp_i ( axi_rsp_i.b.resp ), + .axi_master_b_id_i ( axi_rsp_i.b.id ), + .axi_master_b_user_i ( axi_rsp_i.b.user ), + .axi_master_b_ready_o ( axi_req_o.b_ready ), - .busy_o ( /* NOT CONNECTED */ ) + .busy_o ( /* NOT CONNECTED */ ) ); endmodule : idma_obi2axi_bridge diff --git a/test/idma_obi_asserter.sv b/test/idma_obi_asserter.sv index 95f69c8c..cf01dbfd 100644 --- a/test/idma_obi_asserter.sv +++ b/test/idma_obi_asserter.sv @@ -8,30 +8,30 @@ /// Checks for compliance with the OBI spec !!!Not complete!!! module idma_obi_asserter #( - parameter type obi_master_req_t = logic, - parameter type obi_master_rsp_t = logic + parameter type obi_req_t = logic, + parameter type obi_rsp_t = logic ) ( input logic clk_i, input logic rst_ni, - input obi_master_req_t obi_master_req_i, - input obi_master_rsp_t obi_master_rsp_i + input obi_req_t obi_req_i, + input obi_rsp_t obi_rsp_i ); //R-2.1 - `ASSERT(OBIAReqLowDuringReset, !rst_ni |-> !obi_master_req_i.a_req, clk_i, 1'b0) + `ASSERT(OBIAReqLowDuringReset, !rst_ni |-> !obi_req_i.a_req, clk_i, 1'b0) //R-2.2 - `ASSERT(OBIRValidLowDuringReset, !rst_ni |-> !obi_master_rsp_i.r_valid, clk_i, 1'b0) + `ASSERT(OBIRValidLowDuringReset, !rst_ni |-> !obi_rsp_i.r_valid, clk_i, 1'b0) //R-3.1 - Stable during address phase - `ASSERT(OBIReadStableDuringAddressPhase, ((obi_master_req_i.a_req && !obi_master_req_i.a.we && !obi_master_rsp_i.a_gnt) |=> - $stable({obi_master_req_i.a_req, obi_master_req_i.a.we, obi_master_req_i.a.addr, obi_master_req_i.a.be})), clk_i, !rst_ni) + `ASSERT(OBIReadStableDuringAddressPhase, ((obi_req_i.a_req && !obi_req_i.a.we && !obi_rsp_i.a_gnt) |=> + $stable({obi_req_i.a_req, obi_req_i.a.we, obi_req_i.a.addr, obi_req_i.a.be})), clk_i, !rst_ni) - `ASSERT(OBIWriteStableDuringAddressPhase, ((obi_master_req_i.a_req && obi_master_req_i.a.we && !obi_master_rsp_i.a_gnt) |=> - $stable({obi_master_req_i.a_req, obi_master_req_i.a})), clk_i, !rst_ni) + `ASSERT(OBIWriteStableDuringAddressPhase, ((obi_req_i.a_req && obi_req_i.a.we && !obi_rsp_i.a_gnt) |=> + $stable({obi_req_i.a_req, obi_req_i.a})), clk_i, !rst_ni) //R-4.1 - Stable during response phase - `ASSERT(OBIStableDuringResponsePhase, ((obi_master_rsp_i.r_valid && !obi_master_req_i.r_ready) |=> - $stable({obi_master_rsp_i.r_valid, obi_master_rsp_i.r})), clk_i, !rst_ni) + `ASSERT(OBIStableDuringResponsePhase, ((obi_rsp_i.r_valid && !obi_req_i.r_ready) |=> + $stable({obi_rsp_i.r_valid, obi_rsp_i.r})), clk_i, !rst_ni) //R-5 - Response phase should only be sent after the corresponding address phase has ended diff --git a/test/idma_tb_per2axi.sv b/test/idma_tb_per2axi.sv index 98a0133e..4487068a 100644 --- a/test/idma_tb_per2axi.sv +++ b/test/idma_tb_per2axi.sv @@ -527,8 +527,8 @@ module idma_tb_per2axi_req_channel #( input logic per_slave_req_i, input logic [PER_ADDR_WIDTH-1:0] per_slave_add_i, input logic per_slave_we_i, - input logic [ 31:0] per_slave_wdata_i, - input logic [ 3:0] per_slave_be_i, + input logic [AXI_DATA_WIDTH-1:0] per_slave_wdata_i, + input logic [AXI_STRB_WIDTH-1:0] per_slave_be_i, input logic [ PER_ID_WIDTH-1:0] per_slave_id_i, output logic per_slave_gnt_o, output logic axi_master_aw_valid_o, @@ -590,30 +590,20 @@ module idma_tb_per2axi_req_channel #( axi_master_ar_valid_o = 1'b1; end end - assign axi_master_aw_addr_o = per_slave_add_i; - assign axi_master_ar_addr_o = per_slave_add_i; - always_comb begin - axi_master_aw_id_o = '0; - axi_master_ar_id_o = '0; - for (i = 0; i < PER_ID_WIDTH; i++) begin - if (per_slave_id_i[i] == 1'b1) begin - axi_master_aw_id_o = i; - axi_master_ar_id_o = i; - end - end - end - assign axi_master_w_data_o = per_slave_wdata_i; - assign axi_master_w_strb_o = per_slave_be_i; - assign per_slave_gnt_o = axi_master_aw_ready_i && axi_master_ar_ready_i && axi_master_w_ready_i; - always_comb begin - axi_master_ar_size_o = 3'b010; - axi_master_aw_size_o = 3'b010; - end - assign axi_master_aw_burst_o = 2'b01; - assign axi_master_ar_burst_o = 2'b01; - assign trans_req_o = axi_master_ar_valid_o; - assign trans_id_o = axi_master_ar_id_o; - assign trans_add_o = axi_master_ar_addr_o; + assign axi_master_aw_addr_o = per_slave_add_i; + assign axi_master_ar_addr_o = per_slave_add_i; + assign axi_master_aw_id_o = per_slave_id_i; + assign axi_master_ar_id_o = per_slave_id_i; + assign axi_master_w_data_o = per_slave_wdata_i; + assign axi_master_w_strb_o = per_slave_be_i; + assign per_slave_gnt_o = axi_master_aw_ready_i && axi_master_ar_ready_i && axi_master_w_ready_i; + assign axi_master_ar_size_o = $clog2(AXI_STRB_WIDTH); + assign axi_master_aw_size_o = $clog2(AXI_STRB_WIDTH); + assign axi_master_aw_burst_o = 2'b01; + assign axi_master_ar_burst_o = 2'b01; + assign trans_req_o = axi_master_ar_valid_o; + assign trans_id_o = axi_master_ar_id_o; + assign trans_add_o = axi_master_ar_addr_o; assign axi_master_aw_prot_o = '0; assign axi_master_aw_region_o = '0; assign axi_master_aw_len_o = '0; @@ -638,13 +628,13 @@ module idma_tb_per2axi_res_channel #( parameter AXI_USER_WIDTH = 6, parameter AXI_ID_WIDTH = 3 ) ( - input logic clk_i, - input logic rst_ni, - output logic per_slave_r_valid_o, - output logic per_slave_r_opc_o, - output logic [PER_ID_WIDTH-1:0] per_slave_r_id_o, - output logic [ 31:0] per_slave_r_rdata_o, - input logic per_slave_r_ready_i, + input logic clk_i, + input logic rst_ni, + output logic per_slave_r_valid_o, + output logic per_slave_r_opc_o, + output logic [ PER_ID_WIDTH-1:0] per_slave_r_id_o, + output logic [AXI_DATA_WIDTH-1:0] per_slave_r_rdata_o, + input logic per_slave_r_ready_i, input logic axi_master_r_valid_i, input logic [AXI_DATA_WIDTH-1:0] axi_master_r_data_i, input logic [ 1:0] axi_master_r_resp_i, @@ -657,9 +647,9 @@ module idma_tb_per2axi_res_channel #( input logic [ AXI_ID_WIDTH-1:0] axi_master_b_id_i, input logic [AXI_USER_WIDTH-1:0] axi_master_b_user_i, output logic axi_master_b_ready_o, - input logic trans_req_i, - input logic [ AXI_ID_WIDTH-1:0] trans_id_i, - input logic [AXI_ADDR_WIDTH-1:0] trans_add_i + input logic trans_req_i, + input logic [ AXI_ID_WIDTH-1:0] trans_id_i, + input logic [AXI_ADDR_WIDTH-1:0] trans_add_i ); always_comb begin per_slave_r_valid_o = '0; @@ -670,12 +660,12 @@ module idma_tb_per2axi_res_channel #( axi_master_b_ready_o = per_slave_r_ready_i; if (axi_master_r_valid_i && per_slave_r_ready_i) begin per_slave_r_valid_o = 1'b1; - per_slave_r_id_o[axi_master_r_id_i] = 1'b1; + per_slave_r_id_o = axi_master_r_id_i; per_slave_r_rdata_o = axi_master_r_data_i; axi_master_b_ready_o = 1'b0; end else if (axi_master_b_valid_i && per_slave_r_ready_i) begin per_slave_r_valid_o = 1'b1; - per_slave_r_id_o[axi_master_b_id_i] = 1'b1; + per_slave_r_id_o = axi_master_b_id_i; axi_master_r_ready_o = 1'b0; end end @@ -696,15 +686,15 @@ module idma_tb_per2axi #( input logic per_slave_req_i, input logic [PER_ADDR_WIDTH-1:0] per_slave_add_i, input logic per_slave_we_i, - input logic [ 31:0] per_slave_wdata_i, - input logic [ 3:0] per_slave_be_i, + input logic [AXI_DATA_WIDTH-1:0] per_slave_wdata_i, + input logic [AXI_STRB_WIDTH-1:0] per_slave_be_i, input logic [ PER_ID_WIDTH-1:0] per_slave_id_i, output logic per_slave_gnt_o, - output logic per_slave_r_valid_o, - output logic per_slave_r_opc_o, - output logic [PER_ID_WIDTH-1:0] per_slave_r_id_o, - output logic [ 31:0] per_slave_r_rdata_o, - input logic per_slave_r_ready_i, + output logic per_slave_r_valid_o, + output logic per_slave_r_opc_o, + output logic [ PER_ID_WIDTH-1:0] per_slave_r_id_o, + output logic [AXI_DATA_WIDTH-1:0] per_slave_r_rdata_o, + input logic per_slave_r_ready_i, output logic axi_master_aw_valid_o, output logic [AXI_ADDR_WIDTH-1:0] axi_master_aw_addr_o, output logic [ 2:0] axi_master_aw_prot_o, diff --git a/test/idma_test.sv b/test/idma_test.sv index 300b42d0..b59d8dc6 100644 --- a/test/idma_test.sv +++ b/test/idma_test.sv @@ -20,11 +20,13 @@ package idma_test; class idma_job #( parameter bit IsND = 0, parameter int unsigned NumDim = 2, - parameter int unsigned AddrWidth = 0 + parameter int unsigned AddrWidth = 0, + parameter int unsigned IdWidth = 5 ); // derived types typedef logic [AddrWidth-1:0] addr_t; + typedef logic [ IdWidth-1:0] id_t; typedef struct packed { addr_t reps; @@ -33,6 +35,8 @@ package idma_test; } dim_t; // fields describing a job + idma_pkg::protocol_e src_protocol; + idma_pkg::protocol_e dst_protocol; addr_t length; addr_t src_addr; addr_t dst_addr; @@ -40,6 +44,7 @@ package idma_test; halfw_t max_dst_len; logic aw_decoupled; logic rw_decoupled; + id_t id; dim_t [NumDim-2:0] n_dims; addr_t err_addr [$]; logic err_is_read [$]; @@ -47,20 +52,24 @@ package idma_test; // format string for pretty printing string format = "\n-----------------------------------------------\ - \niDMA %1dD job:\n num_bytes: %d\n src: %s\n dst: %s\ + \niDMA %1dD job:\n src_protocol: %d\n dst_protocol: %d\n num_bytes: %d\ + \n src: %s\n dst: %s\ \n max_src_len: %s%d\n max_dst_len: %s%d\ - \n aw_decoupled: %s%b\n rw_decoupled: %s%b\n%s errors:\n%s\ + \n aw_decoupled: %s%b\n rw_decoupled: %s%b\n id: %d \n%s errors:\n%s\ \n-----------------------------------------------"; // constructor: create an empty job function new (); length = '0; + src_protocol = idma_pkg::AXI; + dst_protocol = idma_pkg::AXI; src_addr = '0; dst_addr = '0; max_src_len = '0; max_dst_len = '0; aw_decoupled = '0; rw_decoupled = '0; + id = '0; n_dims = '0; err_addr = {}; err_is_read = {}; @@ -126,6 +135,8 @@ package idma_test; function string pprint (); return $sformatf(format, IsND ? NumDim : 1, + this.src_protocol, + this.dst_protocol, this.length, format_hex(this.src_addr), format_hex(this.dst_addr), @@ -137,6 +148,7 @@ package idma_test; this.aw_decoupled, indent(1, AddrWidth), this.rw_decoupled, + this.id, IsND ? format_dimensions() : "", format_errors() ); @@ -162,7 +174,7 @@ package idma_test; typedef logic [AddrWidth-1:0] addr_t; // model memory: byte-based - byte_t mem [addr_t]; + byte_t mem [idma_pkg::protocol_e][addr_t]; // constructor function new (); @@ -223,24 +235,32 @@ package idma_test; // write memory array function void write_byte ( - byte_t wbyte, - addr_t addr + byte_t wbyte, + addr_t addr, + idma_pkg::protocol_e protocol ); - this.mem[addr] = wbyte; + //$display("Model Writing to Protocol: %d Addr: %d Data: %d", protocol, addr, wbyte); + this.mem[protocol][addr] = wbyte; endfunction // read memory array function byte_t read_byte ( - addr_t addr + addr_t addr, + idma_pkg::protocol_e protocol ); - if (mem.exists(addr)) - return this.mem[addr]; + byte_t rbyte; + if (mem.exists(protocol) && mem[protocol].exists(addr)) + rbyte = this.mem[protocol][addr]; else - return 'x; + rbyte = 'x; + //$display("Model Reading from Protocol: %d Addr: %d RData: %d", protocol, addr, rbyte); + return rbyte; endfunction // model a DMA transfer function void transfer ( + idma_pkg::protocol_e src_protocol, + idma_pkg::protocol_e dst_protocol, // length of the transfer addr_t length, // source address @@ -382,8 +402,8 @@ package idma_test; // how error is handled // no error if (!aborted & !read_error & !write_error) begin - temp = read_byte(src_ptr); - write_byte(temp, dst_ptr); + temp = read_byte(src_ptr, src_protocol); + write_byte(temp, dst_ptr, dst_protocol); if (ModelOutput) begin $display("Read %h from 0x%h", temp, src_ptr); $display("Write %h to 0x%h", temp, dst_ptr); @@ -427,7 +447,7 @@ package idma_test; end // write if (!aborted) begin - write_byte(temp, dst_ptr); + write_byte(temp, dst_ptr, dst_protocol); if (ModelOutput) $display("Write %h to 0x%h", temp, dst_ptr); end @@ -649,6 +669,8 @@ package idma_test; /// launch_transfer task launch_tf ( input tf_len_t length, + input idma_pkg::protocol_e src_protocol, + input idma_pkg::protocol_e dst_protocol, input addr_t src_addr, input addr_t dst_addr, input logic decouple_aw, @@ -656,11 +678,17 @@ package idma_test; input logic [2:0] src_max_llen, input logic [2:0] dst_max_llen, input logic src_reduce_len, - input logic dst_reduce_len + input logic dst_reduce_len, + input id_t id ); idma.req.length <= #TA length; idma.req.src_addr <= #TA src_addr; + idma.req.src_addr <= #TA src_addr; idma.req.dst_addr <= #TA dst_addr; + idma.req.opt.src_protocol <= #TA src_protocol; + idma.req.opt.dst_protocol <= #TA dst_protocol; + idma.req.opt.axi_id <= #TA id; + idma.req.opt.dst.prot <= #TA id[2:0]; idma.req.opt.beo.decouple_aw <= #TA decouple_aw; idma.req.opt.beo.decouple_rw <= #TA decouple_rw; idma.req.opt.beo.src_max_llen <= #TA src_max_llen; @@ -674,6 +702,8 @@ package idma_test; idma.req.length <= #TA '0; idma.req.src_addr <= #TA '0; idma.req.dst_addr <= #TA '0; + idma.req.opt.axi_id <= #TA '0; + idma.req.opt.dst.prot <= #TA '0; idma.req.opt.beo.decouple_aw <= #TA '0; idma.req.opt.beo.decouple_rw <= #TA '0; idma.req.opt.beo.src_max_llen <= #TA '0; diff --git a/test/idma_tilelink2axi_bridge.sv b/test/idma_tilelink2axi_bridge.sv new file mode 100644 index 00000000..c0645bd9 --- /dev/null +++ b/test/idma_tilelink2axi_bridge.sv @@ -0,0 +1,131 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`include "idma/guard.svh" +module idma_tilelink2axi_bridge #( + parameter int unsigned DataWidth = 64, + parameter int unsigned AddrWidth = 32, + parameter int unsigned IdWidth = 7, + parameter type tilelink_req_t = logic, + parameter type tilelink_rsp_t = logic, + parameter type axi_req_t = logic, + parameter type axi_rsp_t = logic +)( + input logic clk_i, + input logic rst_ni, + + input tilelink_req_t tilelink_req_i, + output tilelink_rsp_t tilelink_rsp_o, + + output axi_req_t axi_req_o, + input axi_rsp_t axi_rsp_i +); + `IDMA_NONSYNTH_BLOCK( + if(DataWidth != 64) $fatal(1, "DataWidth has to be 64 bits!"); + if(AddrWidth != 32) $fatal(1, "AddrWidth has to be 32 bits!"); + if( IdWidth != 12) $fatal(1, "IdWidth has to be 4+3+5 bits!"); + + assert property ( @(posedge clk_i) disable iff (!rst_ni) (tilelink_req_i.a_valid && (tilelink_req_i.a.mask != '1)) -> ($countones(tilelink_req_i.a.mask) == ('d1 << tilelink_req_i.a.size)) ) + else $fatal (1, "Tilelink Mask Error! Address: %X Mask: %X Ones: %d Size: %d", tilelink_req_i.a.address, tilelink_req_i.a.mask, $countones(tilelink_req_i.a.mask), ('d1 << tilelink_req_i.a.size)); + ) + + assign axi_req_o.aw.addr[0] = 1'b0; + assign axi_req_o.ar.addr[0] = 1'b0; + assign axi_req_o.aw.user = '0; + assign axi_req_o.ar.user = '0; + assign axi_req_o.w.user = '0; + assign axi_req_o.ar.region = '0; + assign axi_req_o.aw.region = '0; + assign axi_req_o.aw.atop = '0; + + // AXI Sim Mem does not handle smaller values of size properly + assign axi_req_o.aw.size = $clog2(DataWidth / 8); + assign axi_req_o.ar.size = $clog2(DataWidth / 8); + + TLToAXI4 i_tl_to_axi4 ( + .clock (clk_i), + .reset (!rst_ni), + + // TileLink A + .auto_in_a_ready(tilelink_rsp_o.a_ready), + .auto_in_a_valid(tilelink_req_i.a_valid), + .auto_in_a_bits_opcode(tilelink_req_i.a.opcode), + .auto_in_a_bits_param(tilelink_req_i.a.param), + .auto_in_a_bits_size(tilelink_req_i.a.size), + .auto_in_a_bits_source((tilelink_req_i.a.source[4:0] % 5'd4) + 5'd8), + .auto_in_a_bits_address(tilelink_req_i.a.address[31:1]), + .auto_in_a_bits_user_amba_prot_bufferable(1'b0), + .auto_in_a_bits_user_amba_prot_modifiable(1'b0), + .auto_in_a_bits_user_amba_prot_readalloc(1'b0), + .auto_in_a_bits_user_amba_prot_writealloc(1'b0), + .auto_in_a_bits_user_amba_prot_privileged(1'b0), + .auto_in_a_bits_user_amba_prot_secure(1'b0), + .auto_in_a_bits_user_amba_prot_fetch(1'b0), + .auto_in_a_bits_mask(tilelink_req_i.a.mask), + .auto_in_a_bits_data(tilelink_req_i.a.data), + .auto_in_a_bits_corrupt(tilelink_req_i.a.corrupt), + + // TileLink D + .auto_in_d_ready(tilelink_req_i.d_ready), + .auto_in_d_valid(tilelink_rsp_o.d_valid), + .auto_in_d_bits_opcode(tilelink_rsp_o.d.opcode), + .auto_in_d_bits_size(tilelink_rsp_o.d.size), + .auto_in_d_bits_source(tilelink_rsp_o.d.source), + .auto_in_d_bits_denied(tilelink_rsp_o.d.denied), + .auto_in_d_bits_data(tilelink_rsp_o.d.data), + .auto_in_d_bits_corrupt(tilelink_rsp_o.d.corrupt), + + .auto_out_aw_ready(axi_rsp_i.aw_ready), + .auto_out_aw_valid(axi_req_o.aw_valid), + .auto_out_aw_bits_id(axi_req_o.aw.id[7:5]), + .auto_out_aw_bits_addr(axi_req_o.aw.addr[31:1]), + .auto_out_aw_bits_len(axi_req_o.aw.len), + .auto_out_aw_bits_size(), + .auto_out_aw_bits_burst(axi_req_o.aw.burst), + .auto_out_aw_bits_lock(axi_req_o.aw.lock), + .auto_out_aw_bits_cache(axi_req_o.aw.cache), + .auto_out_aw_bits_prot(axi_req_o.aw.prot), + .auto_out_aw_bits_qos(axi_req_o.aw.qos), + .auto_out_aw_bits_echo_tl_state_size(axi_req_o.aw.id[11:8]), + .auto_out_aw_bits_echo_tl_state_source(axi_req_o.aw.id[4:0]), + + .auto_out_w_ready(axi_rsp_i.w_ready), + .auto_out_w_valid(axi_req_o.w_valid), + .auto_out_w_bits_data(axi_req_o.w.data), + .auto_out_w_bits_strb(axi_req_o.w.strb), + .auto_out_w_bits_last(axi_req_o.w.last), + + .auto_out_b_ready(axi_req_o.b_ready), + .auto_out_b_valid(axi_rsp_i.b_valid), + .auto_out_b_bits_id(axi_rsp_i.b.id[7:5]), + .auto_out_b_bits_resp(axi_rsp_i.b.resp), + .auto_out_b_bits_echo_tl_state_size(axi_rsp_i.b.id[11:8]), + .auto_out_b_bits_echo_tl_state_source(axi_rsp_i.b.id[4:0]), + + .auto_out_ar_ready(axi_rsp_i.ar_ready), + .auto_out_ar_valid(axi_req_o.ar_valid), + .auto_out_ar_bits_id(axi_req_o.ar.id[7:5]), + .auto_out_ar_bits_addr(axi_req_o.ar.addr[31:1]), + .auto_out_ar_bits_len(axi_req_o.ar.len), + .auto_out_ar_bits_size(), + .auto_out_ar_bits_burst(axi_req_o.ar.burst), + .auto_out_ar_bits_lock(axi_req_o.ar.lock), + .auto_out_ar_bits_cache(axi_req_o.ar.cache), + .auto_out_ar_bits_prot(axi_req_o.ar.prot), + .auto_out_ar_bits_qos(axi_req_o.ar.qos), + .auto_out_ar_bits_echo_tl_state_size(axi_req_o.ar.id[11:8]), + .auto_out_ar_bits_echo_tl_state_source(axi_req_o.ar.id[4:0]), + + .auto_out_r_ready(axi_req_o.r_ready), + .auto_out_r_valid(axi_rsp_i.r_valid), + .auto_out_r_bits_id(axi_rsp_i.r.id[7:5]), + .auto_out_r_bits_data(axi_rsp_i.r.data), + .auto_out_r_bits_resp(axi_rsp_i.r.resp), + .auto_out_r_bits_last(axi_rsp_i.r.last), + .auto_out_r_bits_echo_tl_state_size(axi_rsp_i.r.id[11:8]), + .auto_out_r_bits_echo_tl_state_source(axi_rsp_i.r.id[4:0]) + ); +endmodule : idma_tilelink2axi_bridge diff --git a/test/include/tb_tasks.svh b/test/include/tb_tasks.svh index 9405d3b2..998687df 100644 --- a/test/include/tb_tasks.svh +++ b/test/include/tb_tasks.svh @@ -18,19 +18,86 @@ output byte_t byte_o, input addr_t addr_i ); - if (i_axi_sim_mem_delayed.mem.exists(addr_i)) - byte_o = i_axi_sim_mem_delayed.mem[addr_i]; + if (i_axi_sim_mem.mem.exists(addr_i)) + byte_o = i_axi_sim_mem.mem[addr_i]; else byte_o = '1; endtask - // write a byte in model memory and AXI-attached memory - task write_byte_mem ( + // write a byte to the AXI-Lite AXI-attached memory + task write_byte_axi_lite_axi_mem ( input byte_t byte_i, input addr_t addr_i ); - write_byte_axi_mem (byte_i, addr_i); - model.write_byte (byte_i, addr_i); + i_axi_lite_axi_sim_mem.mem[addr_i] = byte_i; + endtask + + // read a byte from the AXI-Lite AXI-attached memory + task read_byte_axi_lite_axi_mem ( + output byte_t byte_o, + input addr_t addr_i + ); + if (i_axi_lite_axi_sim_mem.mem.exists(addr_i)) + byte_o = i_axi_lite_axi_sim_mem.mem[addr_i]; + else + byte_o = '1; + endtask + + // write a byte to the OBI AXI-attached memory + task write_byte_obi_axi_mem ( + input byte_t byte_i, + input addr_t addr_i + ); + i_obi_axi_sim_mem.mem[addr_i] = byte_i; + endtask + + // read a byte from the OBI AXI-attached memory + task read_byte_obi_axi_mem ( + output byte_t byte_o, + input addr_t addr_i + ); + if (i_obi_axi_sim_mem.mem.exists(addr_i)) + byte_o = i_obi_axi_sim_mem.mem[addr_i]; + else + byte_o = '1; + endtask + + // write a byte to the TileLink AXI-attached memory + task write_byte_tilelink_axi_mem ( + input byte_t byte_i, + input addr_t addr_i + ); + i_tilelink_axi_sim_mem.mem[addr_i] = byte_i; + endtask + + // read a byte from the TileLink AXI-attached memory + task read_byte_tilelink_axi_mem ( + output byte_t byte_o, + input addr_t addr_i + ); + if (i_tilelink_axi_sim_mem.mem.exists(addr_i)) + byte_o = i_tilelink_axi_sim_mem.mem[addr_i]; + else + byte_o = '1; + endtask + + // write a byte to the AXI Stream AXI-attached memory + task write_byte_axi_stream_axi_mem ( + input byte_t byte_i, + input addr_t addr_i + ); + i_axi_stream_axi_sim_mem.mem[addr_i] = byte_i; + endtask + + // read a byte from the AXI Stream AXI-attached memory + task read_byte_axi_stream_axi_mem ( + output byte_t byte_o, + input addr_t addr_i + ); + if (i_axi_stream_axi_sim_mem.mem.exists(addr_i)) + byte_o = i_axi_stream_axi_sim_mem.mem[addr_i]; + else + byte_o = '1; endtask // set error flag in the AXI-attached memory @@ -45,27 +112,14 @@ i_axi_sim_mem.werr[addr_i] = resp_i; endtask - // compare if a byte is equal - task compare_byte ( - input addr_t addr_i, - output logic match_o - ); - byte_t axi_byte; - byte_t model_byte; - read_byte_axi_mem (axi_byte, addr_i); - model_byte = model.read_byte (addr_i); - match_o = axi_byte === model_byte; - if (Debug) - $display("[tb ] compare: %h - %h - match: %b", axi_byte, model_byte, match_o); - endtask - // compare if a range of bytes matches task compare_mem ( - input addr_t length_i, - input addr_t addr_i, - output logic match_o + input addr_t length_i, + input addr_t addr_i, + input idma_pkg::protocol_e protocol, + output logic match_o ); - byte_t axi_byte; + byte_t data; byte_t model_byte; addr_t now; logic local_match; @@ -73,29 +127,36 @@ now = 0; match_o = 1; while (now < length_i) begin - read_byte_axi_mem (axi_byte, addr_i + now); + case(protocol) + idma_pkg::AXI: read_byte_axi_mem (data, addr_i + now); + idma_pkg::AXI_LITE: read_byte_axi_lite_axi_mem (data, addr_i + now); + idma_pkg::OBI: read_byte_obi_axi_mem (data, addr_i + now); + idma_pkg::TILELINK: read_byte_tilelink_axi_mem (data, addr_i + now); + idma_pkg::AXI_STREAM: read_byte_axi_stream_axi_mem(data, addr_i + now); + default: $fatal(1, "compare_mem for protocol %d not implemented!", protocol); + endcase // omit check against ff (DMA init memory state to simplify error model - ideally this will be rewritten at some point) - if (axi_byte === 8'hff) begin + if (data === 8'hff) begin if (Debug) $display("[tb ] omit check against 0xff @0x%h", addr_i + now); now++; continue; end - model_byte = model.read_byte (addr_i + now); + model_byte = model.read_byte (addr_i + now, protocol); // check if match - local_match = (axi_byte == model_byte); + local_match = (data == model_byte); // check if at least a bit is 'x local_x = 1'b0; for (int i = 0; i < 8; i++) - local_x = local_x | (axi_byte[i] === 1'bx); + local_x = local_x | (data[i] === 1'bx); // global match flag for the burst match_o = match_o & local_match & !local_x; if (Debug) - $display("[tb ] compare: %h - %h @0x%h - (idma - model - addr) - match: %b", axi_byte, model_byte, addr_i + now, local_match); + $display("[tb ] compare: %h - %h @0x%h - (idma - model - addr) - match: %b", data, model_byte, addr_i + now, local_match); if (!local_match) - $display("[tb ] mismatch: %h - %h @0x%h - (idma - model - addr) - match: %b", axi_byte, model_byte, addr_i + now, local_match); + $display("[tb ] mismatch: %h - %h @0x%h - (idma - model - addr) - match: %b", data, model_byte, addr_i + now, local_match); if (local_x) - $display("[tb ] idma has x: %h (%b) - %h @0x%h - (idma - model - addr) - match: %b", axi_byte, axi_byte, model_byte, addr_i + now, local_match); + $display("[tb ] idma has x: %h (%b) - %h @0x%h - (idma - model - addr) - match: %b", data, data, model_byte, addr_i + now, local_match); now++; end endtask @@ -129,29 +190,9 @@ end endtask - // initialize a memory region with 0 in both memories - task automatic zero_mem ( - ref tb_dma_job_t now_r - ); - addr_t now; - now = 0; - while (now < now_r.length) begin - model.write_byte ('0, now_r.src_addr + now); - write_byte_axi_mem ('0, now_r.src_addr + now); - now++; - end - // write errors - for (int i = 0; i < now_r.err_addr.size(); i++) begin - set_error_mem( - now_r.err_addr[i], - now_r.err_is_read[i], - axi_pkg::RESP_SLVERR - ); - end - endtask - // initialize a memory region with random data in both memories task automatic init_mem ( + idma_pkg::protocol_e used_protocols[], ref tb_dma_job_t now_r ); addr_t now; @@ -160,8 +201,34 @@ while (now < now_r.length) begin // to_write = $urandom(); to_write = now_r.src_addr + now; - model.write_byte (to_write, now_r.src_addr + now); - write_byte_axi_mem (to_write, now_r.src_addr + now); + foreach (used_protocols[i]) begin + case(used_protocols[i]) + idma_pkg::AXI: begin + model.write_byte ( to_write, now_r.src_addr + now, idma_pkg::AXI); + write_byte_axi_mem ( to_write, now_r.src_addr + now); + end + idma_pkg::AXI_LITE: begin + model.write_byte ( -to_write, now_r.src_addr + now, idma_pkg::AXI_LITE); + write_byte_axi_lite_axi_mem ( -to_write, now_r.src_addr + now); + end + idma_pkg::OBI: begin + model.write_byte ( ~to_write, now_r.src_addr + now, idma_pkg::OBI); + write_byte_obi_axi_mem ( ~to_write, now_r.src_addr + now); + end + idma_pkg::TILELINK: begin + model.write_byte ( {to_write[3:0], to_write[7:4]}, now_r.src_addr + now, idma_pkg::TILELINK ); + write_byte_tilelink_axi_mem ( {to_write[3:0], to_write[7:4]}, now_r.src_addr + now ); + end + idma_pkg::INIT: begin + model.write_byte ( 8'h42, now_r.src_addr + now, idma_pkg::INIT ); + end + idma_pkg::AXI_STREAM: begin + model.write_byte ( ~{to_write[3:0], to_write[7:4]}, now_r.src_addr + now, idma_pkg::AXI_STREAM ); + write_byte_axi_stream_axi_mem ( ~{to_write[3:0], to_write[7:4]}, now_r.src_addr + now ); + end + default: $fatal(1, "init_mem not implemented for used protocol!"); + endcase + end now++; end // write errors @@ -179,6 +246,8 @@ input string filename, ref tb_dma_job_t jobs [$] ); + // Running counter + int unsigned id; // job file integer job_file; @@ -190,6 +259,8 @@ tb_dma_job_t now; idma_pkg::idma_eh_req_t eh; + id = 0; + // open file job_file = $fopen(filename, "r"); @@ -200,6 +271,8 @@ // until not end of file while (! $feof(job_file)) begin now = new(); + void'($fscanf(job_file, "%d\n", now.src_protocol)); + void'($fscanf(job_file, "%d\n", now.dst_protocol)); void'($fscanf(job_file, "%d\n", now.length)); void'($fscanf(job_file, "0x%x\n", now.src_addr)); void'($fscanf(job_file, "0x%x\n", now.dst_addr)); @@ -214,6 +287,7 @@ void'($fscanf(job_file, "0x%x\n", now.n_dims[d].dst_strides)); end end + now.id = id++; void'($fscanf(job_file, "%d\n", num_errors)); for (int i = 0; i < num_errors; i++) begin void'($fscanf(job_file, "%c%c0x%h\n", is_read, error_handling, err_addr)); diff --git a/test/tb_idma_backend.sv b/test/tb_idma_backend.sv deleted file mode 100644 index 6147f72e..00000000 --- a/test/tb_idma_backend.sv +++ /dev/null @@ -1,515 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`timescale 1ns/1ns -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -module tb_idma_backend import idma_pkg::*; #( - parameter int unsigned BufferDepth = 3, - parameter int unsigned NumAxInFlight = 3, - parameter int unsigned DataWidth = 32, - parameter int unsigned AddrWidth = 32, - parameter int unsigned UserWidth = 1, - parameter int unsigned AxiIdWidth = 1, - parameter int unsigned TFLenWidth = 32, - parameter int unsigned MemSysDepth = 0, - parameter int unsigned MemNumReqOutst = 1, - parameter int unsigned MemLatency = 0, - parameter int unsigned WatchDogNumCycles = 100, - parameter bit MaskInvalidData = 1, - parameter bit RAWCouplingAvail = 1, - parameter bit HardwareLegalizer = 1, - parameter bit RejectZeroTransfers = 1, - parameter bit ErrorHandling = 1, - parameter bit IdealMemory = 1 -); - - // timing parameters - localparam time TA = 1ns; - localparam time TT = 9ns; - localparam time TCK = 10ns; - - // debug - localparam bit Debug = 1'b0; - localparam bit ModelOutput = 1'b0; - localparam bit PrintFifoInfo = 1'b1; - - // TB parameters - // dependent parameters - localparam int unsigned StrbWidth = DataWidth / 8; - localparam int unsigned OffsetWidth = $clog2(StrbWidth); - - // parse error handling caps - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // static types - typedef logic [7:0] byte_t; - - // dependent typed - typedef logic [AddrWidth-1:0] addr_t; - typedef logic [DataWidth-1:0] data_t; - typedef logic [StrbWidth-1:0] strb_t; - typedef logic [UserWidth-1:0] user_t; - typedef logic [AxiIdWidth-1:0] id_t; - typedef logic [OffsetWidth-1:0] offset_t; - typedef logic [TFLenWidth-1:0] tf_len_t; - - // AXI typedef - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - // iDMA request / response types - `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, id_t, addr_t, tf_len_t) - `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) - - - //-------------------------------------- - // Physical Signals to the DUT - //-------------------------------------- - // clock reset signals - logic clk; - logic rst_n; - - // dma request - idma_req_t idma_req; - logic req_valid; - logic req_ready; - - // dma response - idma_rsp_t idma_rsp; - logic rsp_valid; - logic rsp_ready; - - // error handler - idma_eh_req_t idma_eh_req; - logic eh_req_valid; - logic eh_req_ready; - - // AXI4 master - axi_req_t axi_req, axi_req_mem, axi_req_mem_delayed; - axi_rsp_t axi_rsp, axi_rsp_mem; - - // busy signal - idma_busy_t busy; - - - //-------------------------------------- - // DMA Driver - //-------------------------------------- - // virtual interface definition - IDMA_DV #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ) - ) idma_dv (clk); - - // DMA driver type - typedef idma_test::idma_driver #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ), - .TA ( TA ), - .TT ( TT ) - ) drv_t; - - // instantiation of the driver - drv_t drv = new(idma_dv); - - - //-------------------------------------- - // DMA Job Queue - //-------------------------------------- - // job type definition - typedef idma_test::idma_job #( - .AddrWidth ( AddrWidth ) - ) tb_dma_job_t; - - // request and response queues - tb_dma_job_t req_jobs [$]; - tb_dma_job_t rsp_jobs [$]; - - - //-------------------------------------- - // DMA Model - //-------------------------------------- - // model type definition - typedef idma_test::idma_model #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .ModelOutput ( ModelOutput ) - ) model_t; - - // instantiation of the model - model_t model = new(); - - - //-------------------------------------- - // Misc TB Signals - //-------------------------------------- - logic match; - - - //-------------------------------------- - // TB Modules - //-------------------------------------- - // clocking block - clk_rst_gen #( - .ClkPeriod ( TCK ), - .RstClkCycles ( 1 ) - ) i_clk_rst_gen ( - .clk_o ( clk ), - .rst_no ( rst_n ) - ); - - // sim memory - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem ), - .axi_rsp_o ( axi_rsp_mem ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - axi_cut #( - .Bypass ( 1'b0 ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_cut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .slv_req_i ( axi_req_mem ), - .slv_resp_o ( ), - .mst_req_o ( axi_req_mem_delayed ), - .mst_resp_i ( axi_rsp_mem ) - ); - - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem_delayed ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem_delayed ), - .axi_rsp_o ( ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - //-------------------------------------- - // TB Monitors - //-------------------------------------- - // AXI - signal_highlighter #(.T(axi_aw_chan_t)) i_aw_hl (.ready_i(axi_rsp.aw_ready), .valid_i(axi_req.aw_valid), .data_i(axi_req.aw)); - signal_highlighter #(.T(axi_ar_chan_t)) i_ar_hl (.ready_i(axi_rsp.ar_ready), .valid_i(axi_req.ar_valid), .data_i(axi_req.ar)); - signal_highlighter #(.T(axi_w_chan_t)) i_w_hl (.ready_i(axi_rsp.w_ready), .valid_i(axi_req.w_valid), .data_i(axi_req.w)); - signal_highlighter #(.T(axi_r_chan_t)) i_r_hl (.ready_i(axi_req.r_ready), .valid_i(axi_rsp.r_valid), .data_i(axi_rsp.r)); - signal_highlighter #(.T(axi_b_chan_t)) i_b_hl (.ready_i(axi_req.b_ready), .valid_i(axi_rsp.b_valid), .data_i(axi_rsp.b)); - - // DMA types - signal_highlighter #(.T(idma_req_t)) i_req_hl (.ready_i(req_ready), .valid_i(req_valid), .data_i(idma_req)); - signal_highlighter #(.T(idma_rsp_t)) i_rsp_hl (.ready_i(rsp_ready), .valid_i(rsp_valid), .data_i(idma_rsp)); - signal_highlighter #(.T(idma_eh_req_t)) i_eh_hl (.ready_i(eh_req_ready), .valid_i(eh_req_valid), .data_i(idma_eh_req)); - - // Watchdogs - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_w_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_req.w_valid), .ready_i(axi_rsp.w_ready)); - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_r_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_rsp.r_valid), .ready_i(axi_req.r_ready)); - - - //-------------------------------------- - // DUT - //-------------------------------------- - // the backend - idma_backend #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .PrintFifoInfo ( PrintFifoInfo ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_eh_req_t ), - .idma_busy_t ( idma_busy_t ), - .protocol_req_t ( axi_req_t ), - .protocol_rsp_t ( axi_rsp_t ), - .aw_chan_t ( axi_aw_chan_t ), - .ar_chan_t ( axi_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .testmode_i ( 1'b0 ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid ), - .req_ready_o ( req_ready ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid ), - .rsp_ready_i ( rsp_ready ), - .idma_eh_req_i ( idma_eh_req ), - .eh_req_valid_i ( eh_req_valid ), - .eh_req_ready_o ( eh_req_ready ), - .protocol_req_o ( axi_req ), - .protocol_rsp_i ( axi_rsp ), - .busy_o ( busy ) - ); - - - //-------------------------------------- - // TB connections - //-------------------------------------- - // connect virtual driver interface to structs - assign idma_req = idma_dv.req; - assign req_valid = idma_dv.req_valid; - assign rsp_ready = idma_dv.rsp_ready; - assign idma_eh_req = idma_dv.eh_req; - assign eh_req_valid = idma_dv.eh_req_valid; - // connect struct to virtual driver interface - assign idma_dv.req_ready = req_ready; - assign idma_dv.rsp = idma_rsp; - assign idma_dv.rsp_valid = rsp_valid; - assign idma_dv.eh_req_ready = eh_req_ready; - - // throttle the AXI bus - if (IdealMemory) begin : gen_ideal_mem_connect - - // if the memory is ideal: 0 cycle latency here - assign axi_req_mem = axi_req; - assign axi_rsp = axi_rsp_mem; - - end else begin : gen_delayed_mem_connect - - // the throttled AXI buses - axi_req_t axi_req_throttled; - axi_rsp_t axi_rsp_throttled; - - // axi throttle: limit the amount of concurrent requests in the memory system - axi_throttle #( - .MaxNumAwPending ( 2**32 - 1 ), - .MaxNumArPending ( 2**32 - 1 ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_axi_throttle ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .req_i ( axi_req ), - .rsp_o ( axi_rsp ), - .req_o ( axi_req_throttled ), - .rsp_i ( axi_rsp_throttled ), - .w_credit_i ( MemNumReqOutst ), - .r_credit_i ( MemNumReqOutst ) - ); - - // delay the signals using AXI4 multicuts - axi_multicut #( - .NoCuts ( MemLatency ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_multicut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .slv_req_i ( axi_req_throttled ), - .slv_resp_o ( axi_rsp_throttled ), - .mst_req_o ( axi_req_mem ), - .mst_resp_i ( axi_rsp_mem ) - ); - end - - - //-------------------------------------- - // Various TB Tasks - //-------------------------------------- - `include "include/tb_tasks.svh" - - - // --------------------- Begin TB -------------------------- - - - //-------------------------------------- - // Read Job queue from File - //-------------------------------------- - initial begin - string job_file; - void'($value$plusargs("job_file=%s", job_file)); - $display("Reading from %s", job_file); - read_jobs(job_file, req_jobs); - read_jobs(job_file, rsp_jobs); - end - - - //-------------------------------------- - // Launch Transfers - //-------------------------------------- - initial begin - // reset driver - drv.reset_driver(); - // wait until reset has completed - wait (rst_n); - // print a job summary - print_summary(req_jobs); - // wait some additional time - #100ns; - - // run all requests in queue - while (req_jobs.size() != 0) begin - // pop front to get a job - automatic tb_dma_job_t now = req_jobs.pop_front(); - // print job to terminal - $display("%s", now.pprint()); - // init mem (model and AXI) - init_mem(now); - // launch DUT - drv.launch_tf( - now.length, - now.src_addr, - now.dst_addr, - now.aw_decoupled, - now.rw_decoupled, - $clog2(now.max_src_len), - $clog2(now.max_dst_len), - now.max_src_len != 'd256, - now.max_dst_len != 'd256 - ); - end - // once done: launched all transfers - $display("Launched all Transfers."); - end - - - //-------------------------------------- - // Ack Transfers and Compare Memories - //-------------------------------------- - initial begin - // wait until reset has completed - wait (rst_n); - // wait some additional time - #100ns; - // receive - while (rsp_jobs.size() != 0) begin - // peek front to get a job - automatic tb_dma_job_t now = rsp_jobs[0]; - // wait for DMA to complete - ack_tf_handle_err(now); - // finished job - // $display("vvv Finished: vvv%s\n^^^ Finished: ^^^", now.pprint()); - // launch model - model.transfer( - now.length, - now.src_addr, - now.dst_addr, - now.max_src_len, - now.max_dst_len, - now.rw_decoupled, - now.err_addr, - now.err_is_read, - now.err_action - ); - // check memory - compare_mem(now.length, now.dst_addr, match); - // fail if there is a mismatch - if (!match) - $fatal(1, "Mismatch!"); - // pop front - rsp_jobs.pop_front(); - end - // wait some additional time - #100ns; - // we are done! - $finish(); - end - - - //-------------------------------------- - // Show first non-acked Transfer - //-------------------------------------- - initial begin - wait (rst_n); - forever begin - // at least one watch dog triggers - if (i_axi_r_watchdog.cnt == 0 | i_axi_w_watchdog.cnt == 0) begin - automatic tb_dma_job_t now = rsp_jobs[0]; - $error("First non-acked transfer:%s\n\n", now.pprint()); - end - @(posedge clk); - end - end - -endmodule : tb_idma_backend diff --git a/test/tb_idma_backend.sv.tpl b/test/tb_idma_backend.sv.tpl new file mode 100644 index 00000000..e6d93961 --- /dev/null +++ b/test/tb_idma_backend.sv.tpl @@ -0,0 +1,1167 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Thomas Benz +// Tobias Senti + +`timescale 1ns/1ns +`include "axi/typedef.svh" +`include "idma/typedef.svh" + +module tb_idma_backend${name_uniqueifier} import idma_pkg::*; #( + parameter int unsigned BufferDepth = 3, + parameter int unsigned NumAxInFlight = 3, + parameter int unsigned DataWidth = \ +% if 'tilelink' in used_protocols: +64, +% else: +32, +%endif + parameter int unsigned AddrWidth = 32, + parameter int unsigned UserWidth = 5, + parameter int unsigned AxiIdWidth = \ +% if 'tilelink' in used_protocols: +12, +% else: +5, +% endif + parameter int unsigned TFLenWidth = 32, + parameter int unsigned MemSysDepth = 0, +% for protocol in used_protocols: + parameter bit ${database[protocol]['protocol_enum']}_IdealMemory = 1, + parameter int unsigned ${database[protocol]['protocol_enum']}_MemNumReqOutst = 1, + parameter int unsigned ${database[protocol]['protocol_enum']}_MemLatency = 0, +% endfor + parameter bit CombinedShifter = 1'b\ +% if combined_shifter: +1, +% else: +0, +% endif + parameter int unsigned WatchDogNumCycles = 100, + parameter bit MaskInvalidData = 1, + parameter bit RAWCouplingAvail = \ +% if one_read_port and one_write_port and ('axi' in used_read_protocols) and ('axi' in used_write_protocols): +1, +% else: +0, +%endif + parameter bit HardwareLegalizer = 1, + parameter bit RejectZeroTransfers = 1, + parameter bit ErrorHandling = 0 +); + + // timing parameters + localparam time TA = 1ns; + localparam time TT = 9ns; + localparam time TCK = 10ns; + + // debug + localparam bit Debug = 1'b0; + localparam bit ModelOutput = 1'b0; + localparam bit PrintFifoInfo = 1'b1; + + // TB parameters + // dependent parameters + localparam int unsigned StrbWidth = DataWidth / 8; + localparam int unsigned OffsetWidth = $clog2(StrbWidth); + + // parse error handling caps + localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : + idma_pkg::NO_ERROR_HANDLING; + + // static types + typedef logic [7:0] byte_t; + + // dependent typed + typedef logic [AddrWidth-1:0] addr_t; + typedef logic [DataWidth-1:0] data_t; + typedef logic [StrbWidth-1:0] strb_t; + typedef logic [UserWidth-1:0] user_t; + typedef logic [AxiIdWidth-1:0] id_t; + typedef logic [OffsetWidth-1:0] offset_t; + typedef logic [TFLenWidth-1:0] tf_len_t; + + // ${database['axi']['full_name']} typedefs +${database['axi']['typedefs']} +% if ('obi' in used_protocols) or ('axi_stream' in used_protocols): + // ${database['obi']['full_name']} typedefs +${database['obi']['typedefs']} +% endif +% for protocol in used_protocols: + % if (protocol != 'axi') and (protocol != 'obi'): + // ${database[protocol]['full_name']} typedefs +${database[protocol]['typedefs']} + % endif +% endfor + // Meta Channel Widths +% for protocol in used_write_protocols: + % if 'write_meta_channel_width' in database[protocol]: + ${database[protocol]['write_meta_channel_width']} + % endif +% endfor +% for protocol in used_read_protocols: + % if 'read_meta_channel_width' in database[protocol]: + ${database[protocol]['read_meta_channel_width']} + % endif +% endfor +% for protocol in used_protocols: + % if 'meta_channel_width' in database[protocol]: + ${database[protocol]['meta_channel_width']} + % endif +% endfor + + // iDMA request / response types + `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, id_t, addr_t, tf_len_t) + `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) + +% if (not one_read_port) or (not one_write_port): + function int unsigned max_width(input int unsigned a, b); + return (a > b) ? a : b; + endfunction +% endif + +% if one_read_port: + typedef struct packed { + ${used_read_protocols[0]}_${database[used_read_protocols[0]]['read_meta_channel']}_t ${database[used_read_protocols[0]]['read_meta_channel']}; + } ${used_read_protocols[0]}_read_meta_channel_t; + + typedef struct packed { + ${used_read_protocols[0]}_read_meta_channel_t ${used_read_protocols[0]}; + } read_meta_channel_t; +% else: + % for protocol in used_read_protocols: + typedef struct packed { + ${protocol}_${database[protocol]['read_meta_channel']}_t ${database[protocol]['read_meta_channel']}; + logic[\ + % for index, p in enumerate(used_read_protocols): + % if index < len(used_read_protocols)-1: +max_width(${p}_${database[p]['read_meta_channel']}_width, \ + % else: +${p}_${database[p]['read_meta_channel']}_width\ + % endif + % endfor + % for i in range(0, len(used_read_protocols)-1): +)\ + % endfor +-${protocol}_${database[protocol]['read_meta_channel']}_width:0] padding; + } ${protocol}_read_${database[protocol]['read_meta_channel']}_padded_t; + + % endfor + typedef union packed { + % for protocol in used_read_protocols: + ${protocol}_read_${database[protocol]['read_meta_channel']}_padded_t ${protocol}; + % endfor + } read_meta_channel_t; +% endif + +% if one_write_port: + typedef struct packed { + ${used_write_protocols[0]}_${database[used_write_protocols[0]]['write_meta_channel']}_t ${database[used_write_protocols[0]]['write_meta_channel']}; + } ${used_write_protocols[0]}_write_meta_channel_t; + + typedef struct packed { + ${used_write_protocols[0]}_write_meta_channel_t ${used_write_protocols[0]}; + } write_meta_channel_t; +% else: + % for protocol in used_write_protocols: + typedef struct packed { + ${protocol}_${database[protocol]['write_meta_channel']}_t ${database[protocol]['write_meta_channel']}; + logic[\ + % for index, p in enumerate(used_write_protocols): + % if index < len(used_write_protocols)-1: +max_width(${p}_${database[p]['write_meta_channel']}_width, \ + % else: +${p}_${database[p]['write_meta_channel']}_width\ + % endif + % endfor + % for i in range(0, len(used_write_protocols)-1): +)\ + % endfor +-${protocol}_${database[protocol]['write_meta_channel']}_width:0] padding; + } ${protocol}_write_${database[protocol]['write_meta_channel']}_padded_t; + + % endfor + typedef union packed { + % for protocol in used_write_protocols: + ${protocol}_write_${database[protocol]['write_meta_channel']}_padded_t ${protocol}; + % endfor + } write_meta_channel_t; +% endif + + //-------------------------------------- + // Physical Signals to the DUT + //-------------------------------------- + // clock reset signals + logic clk; + logic rst_n; + + // dma request + idma_req_t idma_req; + logic req_valid; + logic req_ready; + + // dma response + idma_rsp_t idma_rsp; + logic rsp_valid; + logic rsp_ready; +% if 'axi_stream' in used_write_protocols and False: + idma_rsp_t idma_rsp_w, idma_rsp_w2; + logic rsp_valid_w, rsp_ready_w, rsp_valid_w2, rsp_ready_w2; +% endif + + // error handler + idma_eh_req_t idma_eh_req; + logic eh_req_valid; + logic eh_req_ready; + +% for protocol in used_protocols: + // ${database[protocol]['full_name']} request and response + % if protocol == 'axi': + axi_req_t\ + % if protocol in used_read_protocols: + axi_read_req,\ + % endif + % if protocol in used_write_protocols: + axi_write_req,\ + % endif + axi_req, axi_req_mem; + axi_rsp_t\ + % if protocol in used_read_protocols: + axi_read_rsp,\ + % endif + % if protocol in used_write_protocols: + axi_write_rsp,\ + % endif + axi_rsp, axi_rsp_mem; + % else: + % if protocol in used_read_protocols: + % if database[protocol]['read_slave'] == 'true': + ${protocol}_rsp_t ${protocol}_read_req; + ${protocol}_req_t ${protocol}_read_rsp; + % else: + ${protocol}_req_t ${protocol}_read_req; + ${protocol}_rsp_t ${protocol}_read_rsp; + % endif + % endif + + % if protocol in used_write_protocols: + ${protocol}_req_t ${protocol}_write_req; + ${protocol}_rsp_t ${protocol}_write_rsp; + % endif + + axi_req_t\ + % if protocol in used_read_protocols: + ${protocol}_axi_read_req,\ + % endif + % if protocol in used_write_protocols: + ${protocol}_axi_write_req,\ + % endif + ${protocol}_axi_req, ${protocol}_axi_req_mem; + axi_rsp_t\ + % if protocol in used_read_protocols: + ${protocol}_axi_read_rsp,\ + % endif + % if protocol in used_write_protocols: + ${protocol}_axi_write_rsp,\ + % endif + ${protocol}_axi_rsp, ${protocol}_axi_rsp_mem; + % endif + +% endfor + // busy signal + idma_busy_t busy; + + + //-------------------------------------- + // DMA Driver + //-------------------------------------- + // virtual interface definition + IDMA_DV #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .AxiIdWidth ( AxiIdWidth ), + .TFLenWidth ( TFLenWidth ) + ) idma_dv (clk); + + // DMA driver type + typedef idma_test::idma_driver #( + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .UserWidth ( UserWidth ), + .AxiIdWidth ( AxiIdWidth ), + .TFLenWidth ( TFLenWidth ), + .TA ( TA ), + .TT ( TT ) + ) drv_t; + + // instantiation of the driver + drv_t drv = new(idma_dv); + + + //-------------------------------------- + // DMA Job Queue + //-------------------------------------- + // job type definition + typedef idma_test::idma_job #( + .AddrWidth ( AddrWidth ), + .IdWidth ( AxiIdWidth ) + ) tb_dma_job_t; + + // request and response queues + tb_dma_job_t req_jobs [$]; + tb_dma_job_t rsp_jobs [$]; + tb_dma_job_t trf_jobs [$]; + + //-------------------------------------- + // DMA Model + //-------------------------------------- + // model type definition + typedef idma_test::idma_model #( + .AddrWidth ( AddrWidth ), + .DataWidth ( DataWidth ), + .ModelOutput ( ModelOutput ) + ) model_t; + + // instantiation of the model + model_t model = new(); + + + //-------------------------------------- + // Misc TB Signals + //-------------------------------------- + logic match; + + + //-------------------------------------- + // TB Modules + //-------------------------------------- + // clocking block + clk_rst_gen #( + .ClkPeriod ( TCK ), + .RstClkCycles ( 1 ) + ) i_clk_rst_gen ( + .clk_o ( clk ), + .rst_no ( rst_n ) + ); +% for protocol in used_protocols: + // ${database[protocol]['full_name']} sim memory + % if protocol == 'axi': + axi_sim_mem #( + .AddrWidth ( AddrWidth ), + .DataWidth ( DataWidth ), + .IdWidth ( AxiIdWidth ), + .UserWidth ( UserWidth ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ), + .WarnUninitialized ( 1'b0 ), + .ClearErrOnAccess ( 1'b1 ), + .ApplDelay ( TA ), + .AcqDelay ( TT ) + ) i_axi_sim_mem ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .axi_req_i ( axi_req_mem ), + .axi_rsp_o ( axi_rsp_mem ), + .mon_r_last_o ( /* NOT CONNECTED */ ), + .mon_r_beat_count_o ( /* NOT CONNECTED */ ), + .mon_r_user_o ( /* NOT CONNECTED */ ), + .mon_r_id_o ( /* NOT CONNECTED */ ), + .mon_r_data_o ( /* NOT CONNECTED */ ), + .mon_r_addr_o ( /* NOT CONNECTED */ ), + .mon_r_valid_o ( /* NOT CONNECTED */ ), + .mon_w_last_o ( /* NOT CONNECTED */ ), + .mon_w_beat_count_o ( /* NOT CONNECTED */ ), + .mon_w_user_o ( /* NOT CONNECTED */ ), + .mon_w_id_o ( /* NOT CONNECTED */ ), + .mon_w_data_o ( /* NOT CONNECTED */ ), + .mon_w_addr_o ( /* NOT CONNECTED */ ), + .mon_w_valid_o ( /* NOT CONNECTED */ ) + ); + % else: + axi_sim_mem #( + .AddrWidth ( AddrWidth ), + .DataWidth ( DataWidth ), + .IdWidth ( AxiIdWidth ), + .UserWidth ( UserWidth ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ), + .WarnUninitialized ( 1'b0 ), + .ClearErrOnAccess ( 1'b1 ), + .ApplDelay ( TA ), + .AcqDelay ( TT ) + ) i_${protocol}_axi_sim_mem ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .axi_req_i ( ${protocol}_axi_req_mem ), + .axi_rsp_o ( ${protocol}_axi_rsp_mem ), + .mon_r_last_o ( /* NOT CONNECTED */ ), + .mon_r_beat_count_o ( /* NOT CONNECTED */ ), + .mon_r_user_o ( /* NOT CONNECTED */ ), + .mon_r_id_o ( /* NOT CONNECTED */ ), + .mon_r_data_o ( /* NOT CONNECTED */ ), + .mon_r_addr_o ( /* NOT CONNECTED */ ), + .mon_r_valid_o ( /* NOT CONNECTED */ ), + .mon_w_last_o ( /* NOT CONNECTED */ ), + .mon_w_beat_count_o ( /* NOT CONNECTED */ ), + .mon_w_user_o ( /* NOT CONNECTED */ ), + .mon_w_id_o ( /* NOT CONNECTED */ ), + .mon_w_data_o ( /* NOT CONNECTED */ ), + .mon_w_addr_o ( /* NOT CONNECTED */ ), + .mon_w_valid_o ( /* NOT CONNECTED */ ) + ); + % endif +% endfor + +% if len(unused_protocols) > 0: + // Dummy memory + typedef struct { + logic [7:0] mem[addr_t]; + axi_pkg::resp_t rerr[addr_t]; + axi_pkg::resp_t werr[addr_t]; + } dummy_mem_t; + + % for protocol in unused_protocols: + % if protocol == 'axi': + dummy_mem_t i_axi_sim_mem; + % else: + dummy_mem_t i_${protocol}_axi_sim_mem; + % endif + % endfor +% endif + + //-------------------------------------- + // TB Monitors + //-------------------------------------- +% for protocol in used_protocols: + % if protocol == 'axi': + // ${database[protocol]['full_name']} Signal Highlighters + signal_highlighter #(.T(axi_aw_chan_t)) i_aw_hl (.ready_i(axi_rsp.aw_ready), .valid_i(axi_req.aw_valid), .data_i(axi_req.aw)); + signal_highlighter #(.T(axi_ar_chan_t)) i_ar_hl (.ready_i(axi_rsp.ar_ready), .valid_i(axi_req.ar_valid), .data_i(axi_req.ar)); + signal_highlighter #(.T(axi_w_chan_t)) i_w_hl (.ready_i(axi_rsp.w_ready), .valid_i(axi_req.w_valid), .data_i(axi_req.w)); + signal_highlighter #(.T(axi_r_chan_t)) i_r_hl (.ready_i(axi_req.r_ready), .valid_i(axi_rsp.r_valid), .data_i(axi_rsp.r)); + signal_highlighter #(.T(axi_b_chan_t)) i_b_hl (.ready_i(axi_req.b_ready), .valid_i(axi_rsp.b_valid), .data_i(axi_rsp.b)); + % else: + // ${database[protocol]['full_name']}-AXI Signal Highlighters + signal_highlighter #(.T(axi_aw_chan_t)) i_${protocol}_aw_hl (.ready_i(${protocol}_axi_rsp.aw_ready), .valid_i(${protocol}_axi_req.aw_valid), .data_i(${protocol}_axi_req.aw)); + signal_highlighter #(.T(axi_ar_chan_t)) i_${protocol}_ar_hl (.ready_i(${protocol}_axi_rsp.ar_ready), .valid_i(${protocol}_axi_req.ar_valid), .data_i(${protocol}_axi_req.ar)); + signal_highlighter #(.T(axi_w_chan_t)) i_${protocol}_w_hl (.ready_i(${protocol}_axi_rsp.w_ready), .valid_i(${protocol}_axi_req.w_valid), .data_i(${protocol}_axi_req.w)); + signal_highlighter #(.T(axi_r_chan_t)) i_${protocol}_r_hl (.ready_i(${protocol}_axi_req.r_ready), .valid_i(${protocol}_axi_rsp.r_valid), .data_i(${protocol}_axi_rsp.r)); + signal_highlighter #(.T(axi_b_chan_t)) i_${protocol}_b_hl (.ready_i(${protocol}_axi_req.b_ready), .valid_i(${protocol}_axi_rsp.b_valid), .data_i(${protocol}_axi_rsp.b)); + % endif + +% endfor + // DMA types + signal_highlighter #(.T(idma_req_t)) i_req_hl (.ready_i(req_ready), .valid_i(req_valid), .data_i(idma_req)); + signal_highlighter #(.T(idma_rsp_t)) i_rsp_hl (.ready_i(rsp_ready), .valid_i(rsp_valid), .data_i(idma_rsp)); + signal_highlighter #(.T(idma_eh_req_t)) i_eh_hl (.ready_i(eh_req_ready), .valid_i(eh_req_valid), .data_i(idma_eh_req)); + + // Watchdogs +% for protocol in used_protocols: + % if (protocol != 'init') and (protocol in used_read_protocols): + stream_watchdog #(.NumCycles(WatchDogNumCycles))\ + % if protocol == 'axi': + i_axi_r_watchdog\ + % else: + i_${protocol}_r_watchdog\ + % endif + (.clk_i(clk), .rst_ni(rst_n\ + % for p2 in used_read_protocols: + % if protocol != p2: + % if p2 == 'axi': + && !(axi_rsp.r_valid && axi_req.r_ready)\ + % elif p2 == 'init': + && !(init_read_rsp.rsp_valid && init_read_req.rsp_ready)\ + % else: + && !(${p2}_axi_rsp.r_valid && ${p2}_axi_req.r_ready)\ + % endif + % endif + % endfor +), + % if protocol == 'axi': + .valid_i(axi_rsp.r_valid), .ready_i(axi_req.r_ready)); + % else: + .valid_i(${protocol}_axi_rsp.r_valid), .ready_i(${protocol}_axi_req.r_ready)); + % endif + % endif + % if protocol in used_write_protocols: + stream_watchdog #(.NumCycles(WatchDogNumCycles))\ + % if protocol == 'axi': + i_axi_w_watchdog\ + % else: + i_${protocol}_w_watchdog\ + % endif + (.clk_i(clk), .rst_ni(rst_n\ + % for p2 in used_write_protocols: + % if protocol != p2: + % if p2 == 'axi': + && !(axi_req.w_valid && axi_rsp.w_ready)\ + % else: + && !(${p2}_axi_req.w_valid && ${p2}_axi_rsp.w_ready)\ + % endif + % endif + % endfor +), + % if protocol == 'axi': + .valid_i(axi_req.w_valid), .ready_i(axi_rsp.w_ready)); + % else: + .valid_i(${protocol}_axi_req.w_valid), .ready_i(${protocol}_axi_rsp.w_ready)); + % endif + % endif + +% endfor + //-------------------------------------- + // DUT + //-------------------------------------- + + idma_backend${name_uniqueifier} #( + .CombinedShifter ( CombinedShifter ), + .DataWidth ( DataWidth ), + .AddrWidth ( AddrWidth ), + .AxiIdWidth ( AxiIdWidth ), + .UserWidth ( UserWidth ), + .TFLenWidth ( TFLenWidth ), + .MaskInvalidData ( MaskInvalidData ), + .BufferDepth ( BufferDepth ), + .RAWCouplingAvail ( RAWCouplingAvail ), + .HardwareLegalizer ( HardwareLegalizer ), + .RejectZeroTransfers ( RejectZeroTransfers ), + .ErrorCap ( ErrorCap ), + .PrintFifoInfo ( PrintFifoInfo ), + .NumAxInFlight ( NumAxInFlight ), + .MemSysDepth ( MemSysDepth ), + .idma_req_t ( idma_req_t ), + .idma_rsp_t ( idma_rsp_t ), + .idma_eh_req_t ( idma_eh_req_t ), + .idma_busy_t ( idma_busy_t )\ +% for protocol in used_protocols: +, + % if database[protocol]['read_slave'] == 'true': + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + .${protocol}_read_req_t ( ${protocol}_rsp_t ), + .${protocol}_read_rsp_t ( ${protocol}_req_t ), + .${protocol}_write_req_t ( ${protocol}_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_rsp_t )\ + % elif protocol in used_read_protocols: + .${protocol}_read_req_t ( ${protocol}_rsp_t ), + .${protocol}_read_rsp_t ( ${protocol}_req_t )\ + % else: + .${protocol}_write_req_t ( ${protocol}_req_t ), + .${protocol}_write_rsp_t ( ${protocol}_rsp_t )\ + % endif + % else: + .${protocol}_req_t ( ${protocol}_req_t ), + .${protocol}_rsp_t ( ${protocol}_rsp_t )\ + % endif +% endfor +, + .write_meta_channel_t ( write_meta_channel_t ), + .read_meta_channel_t ( read_meta_channel_t ) + ) i_idma_backend ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .testmode_i ( 1'b0 ), + .idma_req_i ( idma_req ), + .req_valid_i ( req_valid ), + .req_ready_o ( req_ready ), + .idma_rsp_o ( idma_rsp ), + .rsp_valid_o ( rsp_valid ), + .rsp_ready_i ( rsp_ready ), + .idma_eh_req_i ( idma_eh_req ), + .eh_req_valid_i ( eh_req_valid ), + .eh_req_ready_o ( eh_req_ready )\ +% for protocol in used_read_protocols: +, + .${protocol}_read_req_o ( ${protocol}_read_req ), + .${protocol}_read_rsp_i ( ${protocol}_read_rsp )\ +% endfor +% for protocol in used_write_protocols: +, + .${protocol}_write_req_o ( ${protocol}_write_req ), + .${protocol}_write_rsp_i ( ${protocol}_write_rsp )\ +% endfor +, + .busy_o ( busy ) + ); + + //-------------------------------------- + // TB connections + //-------------------------------------- +% if 'axi_stream' in used_write_protocols and False: + // Delay iDMA response 2 cycles such that all axi stream writes are finished + + spill_register #( + .T ( idma_rsp_t ), + .Bypass ( 1'b0 ) + ) i_idma_rsp_cut ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .valid_i ( rsp_valid_w ), + .ready_o ( rsp_ready_w ), + .data_i ( idma_rsp_w ), + .valid_o ( rsp_valid_w2 ), + .ready_i ( rsp_ready_w2 ), + .data_o ( idma_rsp_w2 ) + ); + + spill_register #( + .T ( idma_rsp_t ), + .Bypass ( 1'b0 ) + ) i_idma_rsp_cut_2 ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .valid_i ( rsp_valid_w2 ), + .ready_o ( rsp_ready_w2 ), + .data_i ( idma_rsp_w2 ), + .valid_o ( rsp_valid ), + .ready_i ( rsp_ready ), + .data_o ( idma_rsp ) + ); +% endif + +% for protocol in used_read_protocols: + % if protocol != 'axi': +${rendered_read_bridges[protocol]} + % endif +%endfor + +% for protocol in used_write_protocols: + % if protocol != 'axi': +${rendered_write_bridges[protocol]} + % endif +%endfor + + // Read Write Join +% for protocol in used_protocols: + % if (protocol in used_read_protocols) and (protocol in used_write_protocols): + axi_rw_join #( + .axi_req_t ( axi_req_t ), + .axi_resp_t ( axi_rsp_t ) + )\ +% if protocol == 'axi': + i_axi_rw_join\ +% else: + i_${protocol}_axi_rw_join\ +% endif + ( + % if protocol == 'axi': + .clk_i ( clk ), + .rst_ni ( rst_n ), + .slv_read_req_i ( axi_read_req ), + .slv_read_resp_o ( axi_read_rsp ), + .slv_write_req_i ( axi_write_req ), + .slv_write_resp_o ( axi_write_rsp ), + .mst_req_o ( axi_req ), + .mst_resp_i ( axi_rsp ) + % else: + .clk_i ( clk ), + .rst_ni ( rst_n ), + .slv_read_req_i ( ${protocol}_axi_read_req ), + .slv_read_resp_o ( ${protocol}_axi_read_rsp ), + .slv_write_req_i ( ${protocol}_axi_write_req ), + .slv_write_resp_o ( ${protocol}_axi_write_rsp ), + .mst_req_o ( ${protocol}_axi_req ), + .mst_resp_i ( ${protocol}_axi_rsp ) + % endif + ); + % elif protocol in used_read_protocols: + % if protocol == 'axi': + assign axi_req = axi_read_req; + assign axi_read_rsp = axi_rsp; + % else: + assign ${protocol}_axi_req = ${protocol}_axi_read_req; + assign ${protocol}_axi_read_rsp = ${protocol}_axi_rsp; + % endif + % elif protocol in used_write_protocols: + % if protocol == 'axi': + assign axi_req = axi_write_req; + assign axi_write_rsp = axi_rsp; + % else: + assign ${protocol}_axi_req = ${protocol}_axi_write_req; + assign ${protocol}_axi_write_rsp = ${protocol}_axi_rsp; + % endif + % endif + +% endfor + + // connect virtual driver interface to structs + assign idma_req = idma_dv.req; + assign req_valid = idma_dv.req_valid; + assign rsp_ready = idma_dv.rsp_ready; + assign idma_eh_req = idma_dv.eh_req; + assign eh_req_valid = idma_dv.eh_req_valid; + // connect struct to virtual driver interface + assign idma_dv.req_ready = req_ready; + assign idma_dv.rsp = idma_rsp; + assign idma_dv.rsp_valid = rsp_valid; + assign idma_dv.eh_req_ready = eh_req_ready; + +% for protocol in used_protocols: + // throttle the\ + % if protocl != 'axi': +${database[protocol]['full_name']}-\ + % endif + AXI bus + if (${database[protocol]['protocol_enum']}_IdealMemory) begin : gen_${protocol}_ideal_mem_connect + + // if the memory is ideal: 0 cycle latency here + % if protocol == 'axi': + assign axi_req_mem = axi_req; + assign axi_rsp = axi_rsp_mem; + % elif protocol == 'axi_lite': + always_comb begin + // Assign AW prot to AW id -> needed for tracking inflight transfers + ${protocol}_axi_req_mem = ${protocol}_axi_req; + ${protocol}_axi_req_mem.aw.id = ${protocol}_axi_req.aw.prot; + end + assign ${protocol}_axi_rsp = ${protocol}_axi_rsp_mem; + % else: + assign ${protocol}_axi_req_mem = ${protocol}_axi_req; + assign ${protocol}_axi_rsp = ${protocol}_axi_rsp_mem; + % endif + + end else begin : gen_${protocol}_delayed_mem_connect + // the throttled AXI buses + % if protocol == 'axi_lite': + axi_req_t ${protocol}_axi_req_lite; + always_comb begin + // Assign AW prot to AW id -> needed for tracking inflight transfers + ${protocol}_axi_req_lite = ${protocol}_axi_req; + ${protocol}_axi_req_lite.aw.id = ${protocol}_axi_req.aw.prot; + end + % endif + axi_req_t\ + % if protocol == 'axi': + axi_req_throttled; + % else: + ${protocol}_axi_req_throttled; + % endif + axi_rsp_t\ + % if protocol == 'axi': + axi_rsp_throttled; + % else: + ${protocol}_axi_rsp_throttled; + % endif + + // axi throttle: limit the amount of concurrent requests in the memory system + axi_throttle #( + .MaxNumAwPending ( 2**32 - 1 ), + .MaxNumArPending ( 2**32 - 1 ), + .axi_req_t ( axi_req_t ), + .axi_rsp_t ( axi_rsp_t ) + ) i_\ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_throttle ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .req_i ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_req\ + % if protocol == 'axi_lite': +_lite\ + % endif + ), + .rsp_o ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_rsp ), + .req_o ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_req_throttled ), + .rsp_i ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_rsp_throttled ), + .w_credit_i ( ${database[protocol]['protocol_enum']}_MemNumReqOutst ), + .r_credit_i ( ${database[protocol]['protocol_enum']}_MemNumReqOutst ) + ); + + // delay the signals using AXI4 multicuts + axi_multicut #( + .NoCuts ( ${database[protocol]['protocol_enum']}_MemLatency ), + .aw_chan_t ( axi_aw_chan_t ), + .w_chan_t ( axi_w_chan_t ), + .b_chan_t ( axi_b_chan_t ), + .ar_chan_t ( axi_ar_chan_t ), + .r_chan_t ( axi_r_chan_t ), + .axi_req_t ( axi_req_t ), + .axi_resp_t ( axi_rsp_t ) + ) i_\ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_multicut ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .slv_req_i ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_req_throttled ), + .slv_resp_o ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_rsp_throttled ), + .mst_req_o ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_req_mem ), + .mst_resp_i ( \ + % if protocol != 'axi': +${protocol}_\ + % endif +axi_rsp_mem ) + ); + end +% endfor + + + //-------------------------------------- + // Various TB Tasks + //-------------------------------------- + `include "include/tb_tasks.svh" + + + // --------------------- Begin TB -------------------------- + + + //-------------------------------------- + // Read Job queue from File + //-------------------------------------- + initial begin + string job_file; + void'($value$plusargs("job_file=%s", job_file)); + $display("Reading from %s", job_file); + read_jobs(job_file, req_jobs); + read_jobs(job_file, rsp_jobs); + read_jobs(job_file, trf_jobs); + end + + + //-------------------------------------- + // Launch Transfers + //-------------------------------------- + initial begin + tb_dma_job_t previous; + bit overlap; + previous = null; + + // reset driver + drv.reset_driver(); + // wait until reset has completed + wait (rst_n); + // print a job summary + print_summary(req_jobs); + // wait some additional time + #100ns; + + // run all requests in queue + while (req_jobs.size() != 0) begin + // pop front to get a job + automatic tb_dma_job_t now = req_jobs.pop_front(); + if (!(now.src_protocol inside {\ +% for index, protocol in enumerate(used_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_read_protocols)-1: +,\ + % endif +% endfor + })) begin + now.src_protocol = idma_pkg::${database[used_read_protocols[-1]]['protocol_enum']}; + end + if (!(now.dst_protocol inside {\ +% for index, protocol in enumerate(used_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_write_protocols)-1: +,\ + % endif +% endfor + })) begin + now.dst_protocol = idma_pkg::${database[used_write_protocols[-1]]['protocol_enum']}; + end + if (previous != null) begin + overlap = 1'b0; + + // Check if previous destination and this jobs source overlap -> New job's src init could override dst of previous job + overlap = overlap || ((now.src_protocol == previous.dst_protocol) && ( (now.src_addr inside {[previous.dst_addr:previous.dst_addr+previous.length]}) + || ((now.src_addr + now.length) inside {[previous.dst_addr:previous.dst_addr+previous.length]}) )); + + // Check if previous destination and this jobs destination overlap -> New job's dst could override dst of previous job + overlap = overlap || ((now.dst_protocol == previous.dst_protocol) && ( (now.dst_addr inside {[previous.dst_addr:previous.dst_addr+previous.length]}) + || ((now.dst_addr + now.length) inside {[previous.dst_addr:previous.dst_addr+previous.length]}) )); + + if (overlap) begin + $display("Overlap!"); + // Wait until previous job is no longer in response queue -> Got checked + while (overlap) begin + overlap = 1'b0; + foreach (rsp_jobs[index]) begin + if ((rsp_jobs[index].src_addr == previous.src_addr) + && (rsp_jobs[index].dst_addr == previous.dst_addr)) + overlap = 1'b1; + end + if(overlap) begin + @(posedge clk); + end + end + $display("Resolved!"); + end + end + // print job to terminal + $display("%s", now.pprint()); + // init mem (model and sim-memory) + init_mem({\ +% for index, protocol in enumerate(used_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_protocols)-1: +,\ + % endif +% endfor + }, now); + // launch DUT + drv.launch_tf( + now.length, + now.src_protocol, + now.dst_protocol, + now.src_addr, + now.dst_addr, + now.aw_decoupled, + now.rw_decoupled, + $clog2(now.max_src_len), + $clog2(now.max_dst_len), + now.max_src_len != 'd256, + now.max_dst_len != 'd256, + now.id + ); + previous = now; + end + // once done: launched all transfers + $display("Launched all Transfers."); + end + + // Keep track of writes still outstanding + int unsigned writes_in_flight [idma_pkg::protocol_e][id_t]; + + initial begin + id_t id; + idma_pkg::protocol_e proto; + forever begin + @(posedge clk); +% for protocol in used_write_protocols: + % if protocol == 'axi': + proto = idma_pkg::${database[protocol]['protocol_enum']}; + if ( axi_req_mem.aw_valid && axi_rsp_mem.aw_ready ) begin + id = axi_req_mem.aw.id; + if ( writes_in_flight.exists(proto) && writes_in_flight[proto].exists(id) ) + writes_in_flight[proto][id]++; + else + writes_in_flight[proto][id] = 1; + + //if (writes_in_flight[proto][id] == 1) + //$display("Started transfer %d id @%d ns", id, $time); + end + if ( axi_rsp_mem.b_valid && axi_req_mem.b_ready ) begin + id = axi_rsp_mem.b.id; + if ( !writes_in_flight.exists(proto) ) + $fatal(1, "B response protocol not in scoreboard!"); + if ( !writes_in_flight[proto].exists(id) ) + $fatal(1, "B response id not in scoreboard!"); + if ( writes_in_flight[proto][id] == 0 ) + $fatal(1, "Tried to decrement 0"); + writes_in_flight[proto][id]--; + //if (writes_in_flight[proto][id] == 0) + //$display("Stopped transfer %d id @%d ns", id, $time); + end + % else: + proto = idma_pkg::${database[protocol]['protocol_enum']}; + if ( ${protocol}_axi_req_mem.aw_valid && ${protocol}_axi_rsp_mem.aw_ready ) begin + % if protocol == 'axi_lite': + id = ${protocol}_axi_req_mem.aw.id[2:0]; + % elif protocol == 'tilelink': + id = ${protocol}_axi_req_mem.aw.id[4:0]; + % else: + id = ${protocol}_axi_req_mem.aw.id; + % endif + if ( writes_in_flight.exists(proto) && writes_in_flight[proto].exists(id) ) + writes_in_flight[proto][id]++; + else + writes_in_flight[proto][id] = 1; + + //if (writes_in_flight[proto][id] == 1) + //$display("Started transfer %d id @%d ns", id, $time); + end + if ( ${protocol}_axi_rsp_mem.b_valid && ${protocol}_axi_req_mem.b_ready ) begin + % if protocol == 'axi_lite': + id = ${protocol}_axi_rsp_mem.b.id[2:0]; + % elif protocol == 'tilelink': + id = ${protocol}_axi_rsp_mem.b.id[4:0]; + % else: + id = ${protocol}_axi_rsp_mem.b.id; + % endif + if ( !writes_in_flight.exists(proto) ) + $fatal(1, "B response protocol not in scoreboard!"); + if ( !writes_in_flight[proto].exists(id) ) + $fatal(1, "B response id not in scoreboard!"); + if ( writes_in_flight[proto][id] == 0 ) + $fatal(1, "Tried to decrement 0"); + writes_in_flight[proto][id]--; + //if (writes_in_flight[proto][id] == 0) + //$display("Stopped transfer %d id @%d ns", id, $time); + end + % endif +% endfor + end + end + + //-------------------------------------- + // Ack Transfers and Compare Memories + //-------------------------------------- + initial begin + id_t id; + // wait until reset has completed + wait (rst_n); + // wait some additional time + #100ns; + // receive + while (rsp_jobs.size() != 0) begin + // peek front to get a job + automatic tb_dma_job_t now = rsp_jobs[0]; + if (!(now.src_protocol inside {\ +% for index, protocol in enumerate(used_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_read_protocols)-1: +,\ + % endif +% endfor + })) begin + now.src_protocol = idma_pkg::${database[used_read_protocols[-1]]['protocol_enum']}; + end + if (!(now.dst_protocol inside {\ +% for index, protocol in enumerate(used_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_write_protocols)-1: +,\ + % endif +% endfor + })) begin + now.dst_protocol = idma_pkg::${database[used_write_protocols[-1]]['protocol_enum']}; + end + // wait for DMA to complete + ack_tf_handle_err(now); + // Check if corresponding writes went through + case(now.dst_protocol) + % for protocol in used_write_protocols: + idma_pkg::${database[protocol]['protocol_enum']}: + % if (protocol == 'axi') or (protocol == 'axi_stream') or (protocol == 'obi'): + id = now.id; + % elif protocol == 'axi_lite': + id = now.id[2:0]; + % elif protocol == 'tilelink': + id = now.id[4:0]; + % endif + % endfor + endcase + if (now.err_addr.size() == 0) begin + while (writes_in_flight[now.dst_protocol][id] > 0) begin + $display("Waiting for write to finish!"); + @(posedge clk); + end + end + // finished job + // $display("vvv Finished: vvv%s\n^^^ Finished: ^^^", now.pprint()); + // launch model + model.transfer( + now.src_protocol, + now.dst_protocol, + now.length, + now.src_addr, + now.dst_addr, + now.max_src_len, + now.max_dst_len, + now.rw_decoupled, + now.err_addr, + now.err_is_read, + now.err_action + ); + // check memory + compare_mem(now.length, now.dst_addr, now.dst_protocol, match); + // fail if there is a mismatch + if (!match) + $fatal(1, "Mismatch!"); + // pop front + rsp_jobs.pop_front(); + end + // wait some additional time + #100ns; + // we are done! + $finish(); + end + + + //-------------------------------------- + // Show first non-acked Transfer + //-------------------------------------- + initial begin + wait (rst_n); + forever begin + if(rsp_jobs.size() > 0) begin + automatic tb_dma_job_t now = rsp_jobs[0]; + if (!(now.src_protocol inside {\ +% for index, protocol in enumerate(used_read_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_read_protocols)-1: +,\ + % endif +% endfor + })) begin + now.src_protocol = idma_pkg::${database[used_read_protocols[-1]]['protocol_enum']}; + end + if (!(now.dst_protocol inside {\ +% for index, protocol in enumerate(used_write_protocols): + idma_pkg::${database[protocol]['protocol_enum']}\ + % if index != len(used_write_protocols)-1: +,\ + % endif +% endfor + })) begin + now.dst_protocol = idma_pkg::${database[used_write_protocols[-1]]['protocol_enum']}; + end + // at least one watch dog triggers + if ( +% for protocol in used_read_protocols: + % if protocol != 'init': + (now.src_protocol == idma_pkg::${database[protocol]['protocol_enum']} &&\ + i_${protocol}_r_watchdog\ +.cnt == 0) | + % endif +% endfor +% for index, protocol in enumerate(used_write_protocols): + (now.dst_protocol == idma_pkg::${database[protocol]['protocol_enum']} &&\ + i_${protocol}_w_watchdog\ +.cnt == 0)\ + % if index != len(used_write_protocols)-1: + | + % endif +% endfor +) + begin + $error("First non-acked transfer:%s\n\n", now.pprint()); + end + end + @(posedge clk); + end + end + +endmodule : tb_idma_backend${name_uniqueifier} diff --git a/test/tb_idma_improved_fifo.sv b/test/tb_idma_improved_fifo.sv new file mode 100644 index 00000000..c6263595 --- /dev/null +++ b/test/tb_idma_improved_fifo.sv @@ -0,0 +1,132 @@ +// Copyright 2022 ETH Zurich and University of Bologna. +// Solderpad Hardware License, Version 0.51, see LICENSE for details. +// SPDX-License-Identifier: SHL-0.51 +// +// Tobias Senti + +`timescale 1ns/1ns +module tb_idma_improved_fifo #( + parameter int unsigned TCK = 10, + parameter int unsigned DataWidth = 8, + parameter int unsigned Depth = 10, + parameter int unsigned NumStims = 1000, + parameter int unsigned WriteProbability = 10, + parameter int unsigned ReadProbability = 10, + parameter bit SameCycleRW = 1'b1 +) (); + typedef logic [DataWidth-1:0] data_t; + + int unsigned applied_stims, acquired_stims; + + logic clk, rst_n; + + data_t in_data, out_data; + logic in_valid, in_ready, out_valid, out_ready; + + // Data queues + data_t app_queue[$], acq_queue[$]; + + //Clock generator + clk_rst_gen #( + .ClkPeriod ( TCK ), + .RstClkCycles ( 1 ) + ) i_clk_rst_gen ( + .clk_o ( clk ), + .rst_no ( rst_n ) + ); + + // DUT + idma_improved_fifo #( + .Depth ( Depth ), + .type_t ( data_t ), + .PrintInfo ( 1'b1 ), + .SameCycleRW ( SameCycleRW ) + ) dut ( + .clk_i ( clk ), + .rst_ni ( rst_n ), + .flush_i ( 1'b0 ), + .testmode_i ( 1'b0 ), + + .data_i ( (in_valid && in_ready) ? in_data : 'x ), + .valid_i ( in_valid && in_ready ), + .ready_o ( in_ready ), + + .data_o ( out_data ), + .valid_o ( out_valid ), + .ready_i ( out_ready && out_valid ) + ); + + // Application + initial begin + applied_stims = 0; + in_data = '0; + in_valid = 1'b0; + + // Wait for reset + wait(rst_n); + + $display("Started application!"); + + while(applied_stims < NumStims) begin + @(negedge clk); + in_valid = $urandom_range(0, WriteProbability) == 0; + in_data = $urandom(); + @(posedge clk); + if (in_valid && in_ready) begin + $display("%d Applied: %d", applied_stims, in_data); + app_queue.push_back(in_data); + applied_stims++; + end + end + in_valid = 1'b0; + + $display("Applied %d stimuli", applied_stims); + end + + // Acquisition + initial begin + acquired_stims = 0; + out_ready = 1'b0; + + // Wait for reset + wait(rst_n); + + $display("Started acquisition!"); + + forever begin + @(negedge clk); + out_ready = $urandom_range(0, ReadProbability) == 0; + @(posedge clk); + if (out_valid && out_ready) begin + $display("%d Acquired: %d", acquired_stims, out_data); + acq_queue.push_back(out_data); + acquired_stims++; + end + end + end + + // Response Checking + initial begin + int unsigned num_errors; + data_t acq_data, app_data; + + num_errors = 0; + + while((acquired_stims < NumStims) || (applied_stims < NumStims)) begin + wait((app_queue.size() != 0) && (acq_queue.size() != 0)); + + acq_data = acq_queue.pop_front(); + app_data = app_queue.pop_front(); + + if (app_data != acq_data) begin + $display("Missmatch! Applied: %d Acquired: %d", app_data, acq_data); + num_errors++; + end else begin + $display("Match! Applied: %d Acquired: %d", app_data, acq_data); + end + end + $display("Applied %d stimuli and acquired %d responses", applied_stims, acquired_stims); + $display("Errors: %d", num_errors); + $stop(); + end +endmodule : tb_idma_improved_fifo diff --git a/test/tb_idma_lite_backend.sv b/test/tb_idma_lite_backend.sv deleted file mode 100644 index d14fbc5b..00000000 --- a/test/tb_idma_lite_backend.sv +++ /dev/null @@ -1,571 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`timescale 1ns/1ns -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -module tb_idma_lite_backend import idma_pkg::*; #( - parameter int unsigned BufferDepth = 3, - parameter int unsigned NumAxInFlight = 3, - parameter int unsigned DataWidth = 32, - parameter int unsigned AddrWidth = 32, - parameter int unsigned UserWidth = 1, - parameter int unsigned AxiIdWidth = 1, - parameter int unsigned TFLenWidth = 32, - parameter int unsigned MemSysDepth = 0, - parameter int unsigned MemNumReqOutst = 1, - parameter int unsigned MemLatency = 0, - parameter int unsigned WatchDogNumCycles = 100, - parameter bit MaskInvalidData = 1, - parameter bit RAWCouplingAvail = 1, - parameter bit HardwareLegalizer = 1, - parameter bit RejectZeroTransfers = 1, - parameter bit ErrorHandling = 1, - parameter bit IdealMemory = 1, - parameter bit AxiDumper = 0 -); - - // timing parameters - localparam time TA = 1ns; - localparam time TT = 9ns; - localparam time TCK = 10ns; - - // debug - localparam bit Debug = 1'b0; - localparam bit ModelOutput = 1'b0; - localparam bit PrintFifoInfo = 1'b1; - - // TB parameters - // dependent parameters - localparam int unsigned StrbWidth = DataWidth / 8; - localparam int unsigned OffsetWidth = $clog2(StrbWidth); - - // parse error handling caps - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // static types - typedef logic [7:0] byte_t; - - // dependent typed - typedef logic [AddrWidth-1:0] addr_t; - typedef logic [DataWidth-1:0] data_t; - typedef logic [StrbWidth-1:0] strb_t; - typedef logic [UserWidth-1:0] user_t; - typedef logic [AxiIdWidth-1:0] id_t; - typedef logic [OffsetWidth-1:0] offset_t; - typedef logic [TFLenWidth-1:0] tf_len_t; - - // AXI typedef - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - // AXI-Lite typedef - `AXI_LITE_TYPEDEF_AW_CHAN_T(axi_lite_aw_chan_t, addr_t) - `AXI_LITE_TYPEDEF_W_CHAN_T(axi_lite_w_chan_t, data_t, strb_t) - `AXI_LITE_TYPEDEF_B_CHAN_T(axi_lite_b_chan_t) - - `AXI_LITE_TYPEDEF_AR_CHAN_T(axi_lite_ar_chan_t, addr_t) - `AXI_LITE_TYPEDEF_R_CHAN_T(axi_lite_r_chan_t, data_t) - - `AXI_LITE_TYPEDEF_REQ_T(axi_lite_req_t, axi_lite_aw_chan_t, axi_lite_w_chan_t, axi_lite_ar_chan_t) - `AXI_LITE_TYPEDEF_RESP_T(axi_lite_rsp_t, axi_lite_b_chan_t, axi_lite_r_chan_t) - - // iDMA request / response types - `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, id_t, addr_t, tf_len_t) - `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) - - - //-------------------------------------- - // Physical Signals to the DUT - //-------------------------------------- - // clock reset signals - logic clk; - logic rst_n; - - // dma request - idma_req_t idma_req; - logic req_valid; - logic req_ready; - - // dma response - idma_rsp_t idma_rsp; - logic rsp_valid; - logic rsp_ready; - - // error handler - idma_eh_req_t idma_eh_req; - logic eh_req_valid; - logic eh_req_ready; - - // AXI4 master - axi_req_t axi_req, axi_req_mem, axi_req_mem_delayed; - axi_rsp_t axi_rsp, axi_rsp_mem; - - axi_req_t dut_axi_req; - axi_rsp_t dut_axi_rsp; - - axi_lite_req_t dut_axi_lite_req; - axi_lite_rsp_t dut_axi_lite_rsp; - - // busy signal - idma_busy_t busy; - - - //-------------------------------------- - // DMA Driver - //-------------------------------------- - // virtual interface definition - IDMA_DV #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ) - ) idma_dv (clk); - - // DMA driver type - typedef idma_test::idma_driver #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ), - .TA ( TA ), - .TT ( TT ) - ) drv_t; - - // instantiation of the driver - drv_t drv = new(idma_dv); - - - //-------------------------------------- - // DMA Job Queue - //-------------------------------------- - // job type definition - typedef idma_test::idma_job #( - .AddrWidth ( AddrWidth ) - ) tb_dma_job_t; - - // request and response queues - tb_dma_job_t req_jobs [$]; - tb_dma_job_t rsp_jobs [$]; - - - //-------------------------------------- - // DMA Model - //-------------------------------------- - // model type definition - typedef idma_test::idma_model #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .ModelOutput ( ModelOutput ) - ) model_t; - - // instantiation of the model - model_t model = new(); - - - //-------------------------------------- - // Misc TB Signals - //-------------------------------------- - logic match; - - - //-------------------------------------- - // TB Modules - //-------------------------------------- - // clocking block - clk_rst_gen #( - .ClkPeriod ( TCK ), - .RstClkCycles ( 1 ) - ) i_clk_rst_gen ( - .clk_o ( clk ), - .rst_no ( rst_n ) - ); - - // sim memory - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem ), - .axi_rsp_o ( axi_rsp_mem ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - axi_cut #( - .Bypass ( 1'b0 ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_cut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .slv_req_i ( axi_req_mem ), - .slv_resp_o ( ), - .mst_req_o ( axi_req_mem_delayed ), - .mst_resp_i ( axi_rsp_mem ) - ); - - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem_delayed ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem_delayed ), - .axi_rsp_o ( ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - //-------------------------------------- - // TB Monitors - //-------------------------------------- - // AXI - signal_highlighter #(.T(axi_aw_chan_t)) i_aw_hl (.ready_i(axi_rsp.aw_ready), .valid_i(axi_req.aw_valid), .data_i(axi_req.aw)); - signal_highlighter #(.T(axi_ar_chan_t)) i_ar_hl (.ready_i(axi_rsp.ar_ready), .valid_i(axi_req.ar_valid), .data_i(axi_req.ar)); - signal_highlighter #(.T(axi_w_chan_t)) i_w_hl (.ready_i(axi_rsp.w_ready), .valid_i(axi_req.w_valid), .data_i(axi_req.w)); - signal_highlighter #(.T(axi_r_chan_t)) i_r_hl (.ready_i(axi_req.r_ready), .valid_i(axi_rsp.r_valid), .data_i(axi_rsp.r)); - signal_highlighter #(.T(axi_b_chan_t)) i_b_hl (.ready_i(axi_req.b_ready), .valid_i(axi_rsp.b_valid), .data_i(axi_rsp.b)); - - // DMA types - signal_highlighter #(.T(idma_req_t)) i_req_hl (.ready_i(req_ready), .valid_i(req_valid), .data_i(idma_req)); - signal_highlighter #(.T(idma_rsp_t)) i_rsp_hl (.ready_i(rsp_ready), .valid_i(rsp_valid), .data_i(idma_rsp)); - signal_highlighter #(.T(idma_eh_req_t)) i_eh_hl (.ready_i(eh_req_ready), .valid_i(eh_req_valid), .data_i(idma_eh_req)); - - // Watchdogs - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_w_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_req.w_valid), .ready_i(axi_rsp.w_ready)); - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_r_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_rsp.r_valid), .ready_i(axi_req.r_ready)); - - - //-------------------------------------- - // DUT - //-------------------------------------- - // the backend - idma_backend #( - .Protocol ( idma_pkg::AXI_LITE ), - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .PrintFifoInfo ( PrintFifoInfo ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_eh_req_t ), - .idma_busy_t ( idma_busy_t ), - .protocol_req_t ( axi_lite_req_t ), - .protocol_rsp_t ( axi_lite_rsp_t ), - .aw_chan_t ( axi_lite_aw_chan_t ), - .ar_chan_t ( axi_lite_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .testmode_i ( 1'b0 ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid ), - .req_ready_o ( req_ready ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid ), - .rsp_ready_i ( rsp_ready ), - .idma_eh_req_i ( idma_eh_req ), - .eh_req_valid_i ( eh_req_valid ), - .eh_req_ready_o ( eh_req_ready ), - .protocol_req_o ( dut_axi_lite_req ), - .protocol_rsp_i ( dut_axi_lite_rsp ), - .busy_o ( busy ) - ); - - - //-------------------------------------- - // TB connections - //-------------------------------------- - - // AXI-Lite to AXI Bridge - - axi_lite_to_axi #( - .AxiDataWidth ( DataWidth ), - .req_lite_t ( axi_lite_req_t ), - .resp_lite_t ( axi_lite_rsp_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_lite_to_axi ( - .slv_req_lite_i ( dut_axi_lite_req ), - .slv_resp_lite_o ( dut_axi_lite_rsp ), - .slv_aw_cache_i ( axi_pkg::CACHE_MODIFIABLE ), - .slv_ar_cache_i ( axi_pkg::CACHE_MODIFIABLE ), - .mst_req_o ( axi_req ), - .mst_resp_i ( axi_rsp ) - ); - - // Channel Logger - if (AxiDumper) begin : gen_axi_dumper - axi_dumper #( - .LogAW ( 1'b1 ), - .LogAR ( 1'b1 ), - .LogW ( 1'b1 ), - .LogB ( 1'b1 ), - .LogR ( 1'b1 ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_dumper ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .axi_req_i ( axi_req ), - .axi_resp_i ( axi_rsp ) - ); - end - - // connect virtual driver interface to structs - assign idma_req = idma_dv.req; - assign req_valid = idma_dv.req_valid; - assign rsp_ready = idma_dv.rsp_ready; - assign idma_eh_req = idma_dv.eh_req; - assign eh_req_valid = idma_dv.eh_req_valid; - // connect struct to virtual driver interface - assign idma_dv.req_ready = req_ready; - assign idma_dv.rsp = idma_rsp; - assign idma_dv.rsp_valid = rsp_valid; - assign idma_dv.eh_req_ready = eh_req_ready; - - // throttle the AXI bus - if (IdealMemory) begin : gen_ideal_mem_connect - - // if the memory is ideal: 0 cycle latency here - assign axi_req_mem = axi_req; - assign axi_rsp = axi_rsp_mem; - - end else begin : gen_delayed_mem_connect - - // the throttled AXI buses - axi_req_t axi_req_throttled; - axi_rsp_t axi_rsp_throttled; - - // axi throttle: limit the amount of concurrent requests in the memory system - axi_throttle #( - .MaxNumAwPending ( 2**32 - 1 ), - .MaxNumArPending ( 2**32 - 1 ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_axi_throttle ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .req_i ( axi_req ), - .rsp_o ( axi_rsp ), - .req_o ( axi_req_throttled ), - .rsp_i ( axi_rsp_throttled ), - .w_credit_i ( MemNumReqOutst ), - .r_credit_i ( MemNumReqOutst ) - ); - - // delay the signals using AXI4 multicuts - axi_multicut #( - .NoCuts ( MemLatency ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_multicut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .slv_req_i ( axi_req_throttled ), - .slv_resp_o ( axi_rsp_throttled ), - .mst_req_o ( axi_req_mem ), - .mst_resp_i ( axi_rsp_mem ) - ); - end - - - //-------------------------------------- - // Various TB Tasks - //-------------------------------------- - `include "include/tb_tasks.svh" - - - // --------------------- Begin TB -------------------------- - - - //-------------------------------------- - // Read Job queue from File - //-------------------------------------- - initial begin - string job_file; - void'($value$plusargs("job_file=%s", job_file)); - $display("Reading from %s", job_file); - read_jobs(job_file, req_jobs); - read_jobs(job_file, rsp_jobs); - end - - - //-------------------------------------- - // Launch Transfers - //-------------------------------------- - initial begin - // reset driver - drv.reset_driver(); - // wait until reset has completed - wait (rst_n); - // print a job summary - print_summary(req_jobs); - // wait some additional time - #100ns; - - // run all requests in queue - while (req_jobs.size() != 0) begin - // pop front to get a job - automatic tb_dma_job_t now = req_jobs.pop_front(); - // print job to terminal - $display("%s", now.pprint()); - // init mem (model and AXI) - init_mem(now); - // launch DUT - drv.launch_tf( - now.length, - now.src_addr, - now.dst_addr, - now.aw_decoupled, - now.rw_decoupled, - $clog2(now.max_src_len), - $clog2(now.max_dst_len), - now.max_src_len != 'd256, - now.max_dst_len != 'd256 - ); - end - // once done: launched all transfers - $display("Launched all Transfers."); - end - - - //-------------------------------------- - // Ack Transfers and Compare Memories - //-------------------------------------- - initial begin - // wait until reset has completed - wait (rst_n); - // wait some additional time - #100ns; - // receive - while (rsp_jobs.size() != 0) begin - // peek front to get a job - automatic tb_dma_job_t now = rsp_jobs[0]; - // wait for DMA to complete - ack_tf_handle_err(now); - // finished job - // $display("vvv Finished: vvv%s\n^^^ Finished: ^^^", now.pprint()); - // launch model - model.transfer( - now.length, - now.src_addr, - now.dst_addr, - now.max_src_len, - now.max_dst_len, - now.rw_decoupled, - now.err_addr, - now.err_is_read, - now.err_action - ); - // check memory - compare_mem(now.length, now.dst_addr, match); - // fail if there is a mismatch - if (!match) - $fatal(1, "Mismatch!"); - // pop front - rsp_jobs.pop_front(); - end - // wait some additional time - #100ns; - // we are done! - $finish(); - end - - - //-------------------------------------- - // Show first non-acked Transfer - //-------------------------------------- - initial begin - wait (rst_n); - forever begin - // at least one watch dog triggers - if (i_axi_r_watchdog.cnt == 0 | i_axi_w_watchdog.cnt == 0) begin - automatic tb_dma_job_t now = rsp_jobs[0]; - $error("First non-acked transfer:%s\n\n", now.pprint()); - end - @(posedge clk); - end - end - -endmodule : tb_idma_lite_backend diff --git a/test/tb_idma_nd_backend.sv b/test/tb_idma_nd_backend.sv deleted file mode 100644 index 2b0e80db..00000000 --- a/test/tb_idma_nd_backend.sv +++ /dev/null @@ -1,600 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`timescale 1ns/1ns -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -module tb_idma_nd_backend import idma_pkg::*; #( - parameter int unsigned BufferDepth = 3, - parameter int unsigned NumAxInFlight = 3, - parameter int unsigned DataWidth = 32, - parameter int unsigned AddrWidth = 32, - parameter int unsigned UserWidth = 1, - parameter int unsigned AxiIdWidth = 1, - parameter int unsigned TFLenWidth = 32, - parameter int unsigned MemSysDepth = 0, - parameter int unsigned NumDim = 4, - parameter int unsigned RepWidth = 32, - parameter int unsigned StrideWidth = 32, - parameter int unsigned MemNumReqOutst = 1, - parameter int unsigned MemLatency = 0, - parameter int unsigned WatchDogNumCycles = 100, - parameter bit MaskInvalidData = 1, - parameter bit RAWCouplingAvail = 1, - parameter bit HardwareLegalizer = 1, - parameter bit RejectZeroTransfers = 1, - parameter bit ErrorHandling = 1, - parameter bit IdealMemory = 1 -); - - // timing parameters - localparam time TA = 1ns; - localparam time TT = 9ns; - localparam time TCK = 10ns; - - // debug - localparam bit Debug = 1'b0; - localparam bit ModelOutput = 1'b0; - localparam bit PrintFifoInfo = 1'b1; - - // TB parameters - // dependent parameters - localparam int unsigned StrbWidth = DataWidth / 8; - localparam int unsigned OffsetWidth = $clog2(StrbWidth); - - // parse error handling caps - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // static types - typedef logic [7:0] byte_t; - - // dependent typed - typedef logic [AddrWidth-1:0] addr_t; - typedef logic [DataWidth-1:0] data_t; - typedef logic [StrbWidth-1:0] strb_t; - typedef logic [UserWidth-1:0] user_t; - typedef logic [AxiIdWidth-1:0] id_t; - typedef logic [OffsetWidth-1:0] offset_t; - typedef logic [TFLenWidth-1:0] tf_len_t; - typedef logic [RepWidth-1:0] reps_t; - typedef logic [StrideWidth-1:0] strides_t; - - // AXI typedef - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - // iDMA request / response types - `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, id_t, addr_t, tf_len_t) - `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) - - // iDMA ND request - `IDMA_TYPEDEF_FULL_ND_REQ_T(idma_nd_req_t, idma_req_t, reps_t, strides_t) - - - //-------------------------------------- - // Physical Signals to the DUT - //-------------------------------------- - // clock reset signals - logic clk; - logic rst_n; - - // nd request - idma_nd_req_t nd_req; - logic nd_req_valid; - logic nd_req_ready; - - // nd response - idma_rsp_t nd_rsp; - logic nd_rsp_valid; - logic nd_rsp_ready; - - // dma request - idma_req_t burst_req; - logic burst_req_valid; - logic burst_req_ready; - - // dma response - idma_rsp_t burst_rsp; - logic burst_rsp_valid; - logic burst_rsp_ready; - - // error handler - idma_eh_req_t idma_eh_req; - logic eh_req_valid; - logic eh_req_ready; - - // AXI4 master - axi_req_t axi_req, axi_req_mem, axi_req_mem_delayed; - axi_rsp_t axi_rsp, axi_rsp_mem; - - // busy signal - idma_busy_t busy; - - - //-------------------------------------- - // DMA Driver - //-------------------------------------- - // virtual interface definition - IDMA_ND_DV #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ), - .NumDim ( NumDim ), - .RepWidth ( RepWidth ), - .StrideWidth ( StrideWidth ) - ) idma_nd_dv (clk); - - // DMA driver type - typedef idma_test::idma_nd_driver #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ), - .NumDim ( NumDim ), - .RepWidth ( RepWidth ), - .StrideWidth ( StrideWidth ), - .TA ( TA ), - .TT ( TT ) - ) nd_drv_t; - - // instantiation of the driver - nd_drv_t drv = new(idma_nd_dv); - - - //-------------------------------------- - // DMA Job Queue - //-------------------------------------- - // job type definition - typedef idma_test::idma_job #( - .AddrWidth ( AddrWidth ), - .NumDim ( NumDim ), - .IsND ( 1'b1 ) - ) tb_dma_job_t; - - // request and response queues - tb_dma_job_t req_jobs [$]; - tb_dma_job_t req_jobs_flat [$]; - tb_dma_job_t rsp_jobs [$]; - tb_dma_job_t rsp_jobs_flat [$]; - - - //-------------------------------------- - // DMA Model - //-------------------------------------- - // model type definition - typedef idma_test::idma_model #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .ModelOutput ( ModelOutput ) - ) model_t; - - // instantiation of the model - model_t model = new(); - - - //-------------------------------------- - // ND Midend Model - //-------------------------------------- - // nd midend model type definition - typedef idma_test::idma_nd_midend_model #( - .AddrWidth ( AddrWidth ), - .NumDim ( NumDim ), - .ModelOutput ( ModelOutput ) - ) nd_midend_model_t; - - // instantiate the nd midend model - nd_midend_model_t nd_midend_model = new(); - - - //-------------------------------------- - // Misc TB Signals - //-------------------------------------- - logic match; - - - //-------------------------------------- - // TB Modules - //-------------------------------------- - // clocking block - clk_rst_gen #( - .ClkPeriod ( TCK ), - .RstClkCycles ( 1 ) - ) i_clk_rst_gen ( - .clk_o ( clk ), - .rst_no ( rst_n ) - ); - - // sim memory - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem ), - .axi_rsp_o ( axi_rsp_mem ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - axi_cut #( - .Bypass ( 1'b0 ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_cut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .slv_req_i ( axi_req_mem ), - .slv_resp_o ( ), - .mst_req_o ( axi_req_mem_delayed ), - .mst_resp_i ( axi_rsp_mem ) - ); - - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem_delayed ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem_delayed ), - .axi_rsp_o ( ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - //-------------------------------------- - // TB Monitors - //-------------------------------------- - // AXI - signal_highlighter #(.T(axi_aw_chan_t)) i_aw_hl (.ready_i(axi_rsp.aw_ready), .valid_i(axi_req.aw_valid), .data_i(axi_req.aw)); - signal_highlighter #(.T(axi_ar_chan_t)) i_ar_hl (.ready_i(axi_rsp.ar_ready), .valid_i(axi_req.ar_valid), .data_i(axi_req.ar)); - signal_highlighter #(.T(axi_w_chan_t)) i_w_hl (.ready_i(axi_rsp.w_ready), .valid_i(axi_req.w_valid), .data_i(axi_req.w)); - signal_highlighter #(.T(axi_r_chan_t)) i_r_hl (.ready_i(axi_req.r_ready), .valid_i(axi_rsp.r_valid), .data_i(axi_rsp.r)); - signal_highlighter #(.T(axi_b_chan_t)) i_b_hl (.ready_i(axi_req.b_ready), .valid_i(axi_rsp.b_valid), .data_i(axi_rsp.b)); - - // DMA backend types - signal_highlighter #(.T(idma_nd_req_t)) i_nd_req_hl (.ready_i(nd_req_ready), .valid_i(nd_req_valid), .data_i(nd_req)); - signal_highlighter #(.T(idma_rsp_t)) i_nd_rsp_hl (.ready_i(nd_rsp_ready), .valid_i(nd_rsp_valid), .data_i(nd_rsp)); - signal_highlighter #(.T(idma_req_t)) i_req_hl (.ready_i(burst_req_ready), .valid_i(burst_req_valid), .data_i(burst_req)); - signal_highlighter #(.T(idma_rsp_t)) i_rsp_hl (.ready_i(burst_rsp_ready), .valid_i(burst_rsp_valid), .data_i(burst_rsp)); - signal_highlighter #(.T(idma_eh_req_t)) i_eh_hl (.ready_i(eh_req_ready), .valid_i(eh_req_valid), .data_i(idma_eh_req)); - - // Watchdogs - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_w_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_req.w_valid), .ready_i(axi_rsp.w_ready)); - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_r_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_rsp.r_valid), .ready_i(axi_req.r_ready)); - - - //-------------------------------------- - // DUT - //-------------------------------------- - // nd midend - idma_nd_midend #( - .NumDim ( NumDim ), - .addr_t ( addr_t ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_nd_req_t ( idma_nd_req_t ), - .RepWidths ( '{default: RepWidth} ) - ) i_idma_nd_midend ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .nd_req_i ( nd_req ), - .nd_req_valid_i ( nd_req_valid ), - .nd_req_ready_o ( nd_req_ready ), - .nd_rsp_o ( nd_rsp ), - .nd_rsp_valid_o ( nd_rsp_valid ), - .nd_rsp_ready_i ( nd_rsp_ready ), - .burst_req_o ( burst_req ), - .burst_req_valid_o ( burst_req_valid ), - .burst_req_ready_i ( burst_req_ready ), - .burst_rsp_i ( burst_rsp ), - .burst_rsp_valid_i ( burst_rsp_valid ), - .burst_rsp_ready_o ( burst_rsp_ready ), - .busy_o ( ) - ); - - // the backend - idma_backend #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .PrintFifoInfo ( PrintFifoInfo ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_eh_req_t ), - .idma_busy_t ( idma_busy_t ), - .protocol_req_t ( axi_req_t ), - .protocol_rsp_t ( axi_rsp_t ), - .aw_chan_t ( axi_aw_chan_t ), - .ar_chan_t ( axi_ar_chan_t ) - ) i_idma_backend ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .testmode_i ( 1'b0 ), - .idma_req_i ( burst_req ), - .req_valid_i ( burst_req_valid ), - .req_ready_o ( burst_req_ready ), - .idma_rsp_o ( burst_rsp ), - .rsp_valid_o ( burst_rsp_valid ), - .rsp_ready_i ( burst_rsp_ready ), - .idma_eh_req_i ( idma_eh_req ), - .eh_req_valid_i ( eh_req_valid ), - .eh_req_ready_o ( eh_req_ready ), - .protocol_req_o ( axi_req ), - .protocol_rsp_i ( axi_rsp ), - .busy_o ( busy ) - ); - - - //-------------------------------------- - // TB connections - //-------------------------------------- - // connect virtual driver interface to structs - assign nd_req = idma_nd_dv.req; - assign nd_req_valid = idma_nd_dv.req_valid; - assign nd_rsp_ready = idma_nd_dv.rsp_ready; - assign idma_eh_req = idma_nd_dv.eh_req; - assign eh_req_valid = idma_nd_dv.eh_req_valid; - // connect struct to virtual driver interface - assign idma_nd_dv.req_ready = nd_req_ready; - assign idma_nd_dv.rsp = nd_rsp; - assign idma_nd_dv.rsp_valid = nd_rsp_valid; - assign idma_nd_dv.eh_req_ready = eh_req_ready; - - // throttle the AXI bus - if (IdealMemory) begin : gen_ideal_mem_connect - - // if the memory is ideal: 0 cycle latency here - assign axi_req_mem = axi_req; - assign axi_rsp = axi_rsp_mem; - - end else begin : gen_delayed_mem_connect - - // the throttled AXI buses - axi_req_t axi_req_throttled; - axi_rsp_t axi_rsp_throttled; - - // axi throttle: limit the amount of concurrent requests in the memory system - axi_throttle #( - .MaxNumAwPending ( 2**32 - 1 ), - .MaxNumArPending ( 2**32 - 1 ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_axi_throttle ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .req_i ( axi_req ), - .rsp_o ( axi_rsp ), - .req_o ( axi_req_throttled ), - .rsp_i ( axi_rsp_throttled ), - .w_credit_i ( MemNumReqOutst ), - .r_credit_i ( MemNumReqOutst ) - ); - - // delay the signals using AXI4 multicuts - axi_multicut #( - .NoCuts ( MemLatency ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_multicut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .slv_req_i ( axi_req_throttled ), - .slv_resp_o ( axi_rsp_throttled ), - .mst_req_o ( axi_req_mem ), - .mst_resp_i ( axi_rsp_mem ) - ); - end - - - //-------------------------------------- - // Various TB Tasks - //-------------------------------------- - `include "include/tb_tasks.svh" - - - // --------------------- Begin TB -------------------------- - - - //-------------------------------------- - // Read Job queue from File - //-------------------------------------- - initial begin - string job_file; - void'($value$plusargs("job_file=%s", job_file)); - $display("Reading from %s", job_file); - read_jobs(job_file, req_jobs); - read_jobs(job_file, rsp_jobs); - end - - - //-------------------------------------- - // Launch Transfers - //-------------------------------------- - initial begin - // reset driver - drv.reset_driver(); - // wait until reset has completed - wait (rst_n); - // print a job summary - print_summary(req_jobs); - // wait some additional time - #100ns; - - // run all requests in queue - while (req_jobs.size() != 0) begin - // pop front to get a job - automatic tb_dma_job_t now_nd = req_jobs.pop_front(); - // print job to terminal - $display("%s", now_nd.pprint()); - // decompose the job - nd_midend_model.decompose(now_nd, req_jobs_flat); - // iterate over flat jobs - while (req_jobs_flat.size() != 0) begin - // pop queue - automatic tb_dma_job_t now = req_jobs_flat.pop_front(); - // init mem (model and AXI) - init_mem(now); - end - // launch DUT - drv.launch_nd_tf( - now_nd.length, - now_nd.src_addr, - now_nd.dst_addr, - now_nd.aw_decoupled, - now_nd.rw_decoupled, - $clog2(now_nd.max_src_len), - $clog2(now_nd.max_dst_len), - now_nd.max_src_len != 'd256, - now_nd.max_dst_len != 'd256, - now_nd.n_dims - ); - end - // once done: launched all transfers - $display("Launched all Transfers."); - end - - - //-------------------------------------- - // Ack Transfers and Compare Memories - //-------------------------------------- - initial begin - // wait until reset has completed - wait (rst_n); - // wait some additional time - #100ns; - // receive - while (rsp_jobs.size() != 0) begin - // peek front to get a job - automatic tb_dma_job_t now_nd = rsp_jobs[0]; - // wait for DMA to complete - ack_tf_handle_err(now_nd); - // decompose the job - nd_midend_model.decompose(now_nd, rsp_jobs_flat); - // iterate over flat jobs - while (rsp_jobs_flat.size() != 0) begin - // pop queue - automatic tb_dma_job_t now = rsp_jobs_flat[0]; - // launch model - model.transfer( - now.length, - now.src_addr, - now.dst_addr, - now.max_src_len, - now.max_dst_len, - now.rw_decoupled, - now.err_addr, - now.err_is_read, - now.err_action - ); - // check memory - compare_mem(now.length, now.dst_addr, match); - // fail if there is a mismatch - if (!match) - $fatal(1, "Mismatch!"); - // pop front - rsp_jobs_flat.pop_front(); - end - // pop front - rsp_jobs.pop_front(); - end - // wait some additional time - #100ns; - // we are done! - $finish(); - end - - - //-------------------------------------- - // Show first non-acked Transfer - //-------------------------------------- - initial begin - wait (rst_n); - forever begin - // at least one watch dog triggers - if (i_axi_r_watchdog.cnt == 0 | i_axi_w_watchdog.cnt == 0) begin - automatic tb_dma_job_t now = rsp_jobs[0]; - $error("First non-acked transfer:%s\n\n", now.pprint()); - end - @(posedge clk); - end - end - -endmodule : tb_idma_nd_backend diff --git a/test/tb_idma_obi_backend.sv b/test/tb_idma_obi_backend.sv deleted file mode 100644 index afb6c6e1..00000000 --- a/test/tb_idma_obi_backend.sv +++ /dev/null @@ -1,640 +0,0 @@ -// Copyright 2022 ETH Zurich and University of Bologna. -// Solderpad Hardware License, Version 0.51, see LICENSE for details. -// SPDX-License-Identifier: SHL-0.51 -// -// Thomas Benz -// Tobias Senti - -`timescale 1ns/1ns -`include "axi/typedef.svh" -`include "idma/typedef.svh" - -module tb_idma_obi_backend import idma_pkg::*; #( - parameter int unsigned BufferDepth = 3, - parameter int unsigned NumAxInFlight = 3, - parameter int unsigned DataWidth = 32, - parameter int unsigned AddrWidth = 32, - parameter int unsigned UserWidth = 1, - parameter int unsigned AxiIdWidth = 1, - parameter int unsigned TFLenWidth = 32, - parameter int unsigned MemSysDepth = 0, - parameter int unsigned MemNumReqOutst = 1, - parameter int unsigned MemLatency = 0, - parameter int unsigned WatchDogNumCycles = 100, - parameter bit MaskInvalidData = 1, - parameter bit RAWCouplingAvail = 0, - parameter bit HardwareLegalizer = 1, - parameter bit RejectZeroTransfers = 1, - parameter bit ErrorHandling = 0, - parameter bit IdealMemory = 1, - parameter bit AxiDumper = 0 -); - - // timing parameters - localparam time TA = 1ns; - localparam time TT = 9ns; - localparam time TCK = 10ns; - - // debug - localparam bit Debug = 1'b0; - localparam bit ModelOutput = 1'b0; - localparam bit PrintFifoInfo = 1'b1; - - // TB parameters - // dependent parameters - localparam int unsigned StrbWidth = DataWidth / 8; - localparam int unsigned OffsetWidth = $clog2(StrbWidth); - - // parse error handling caps - localparam idma_pkg::error_cap_e ErrorCap = ErrorHandling ? idma_pkg::ERROR_HANDLING : - idma_pkg::NO_ERROR_HANDLING; - - // static types - typedef logic [7:0] byte_t; - - // dependent typed - typedef logic [AddrWidth-1:0] addr_t; - typedef logic [DataWidth-1:0] data_t; - typedef logic [StrbWidth-1:0] strb_t; - typedef logic [UserWidth-1:0] user_t; - typedef logic [AxiIdWidth-1:0] id_t; - typedef logic [OffsetWidth-1:0] offset_t; - typedef logic [TFLenWidth-1:0] tf_len_t; - - // AXI typedef - `AXI_TYPEDEF_AW_CHAN_T(axi_aw_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_W_CHAN_T(axi_w_chan_t, data_t, strb_t, user_t) - `AXI_TYPEDEF_B_CHAN_T(axi_b_chan_t, id_t, user_t) - - `AXI_TYPEDEF_AR_CHAN_T(axi_ar_chan_t, addr_t, id_t, user_t) - `AXI_TYPEDEF_R_CHAN_T(axi_r_chan_t, data_t, id_t, user_t) - - `AXI_TYPEDEF_REQ_T(axi_req_t, axi_aw_chan_t, axi_w_chan_t, axi_ar_chan_t) - `AXI_TYPEDEF_RESP_T(axi_rsp_t, axi_b_chan_t, axi_r_chan_t) - - // iDMA request / response types - `IDMA_TYPEDEF_FULL_REQ_T(idma_req_t, id_t, addr_t, tf_len_t) - `IDMA_TYPEDEF_FULL_RSP_T(idma_rsp_t, addr_t) - - // OBI typedef - `IDMA_OBI_TYPEDEF_A_CHAN_T(obi_a_chan_t, addr_t, data_t, strb_t) - `IDMA_OBI_TYPEDEF_R_CHAN_T(obi_r_chan_t, data_t) - - `IDMA_OBI_TYPEDEF_REQ_T(obi_master_req_t, obi_a_chan_t) - `IDMA_OBI_TYPEDEF_RESP_T(obi_master_rsp_t, obi_r_chan_t) - - `IDMA_OBI_TYPEDEF_BIDIRECT_REQ_T(obi_req_t, obi_master_req_t) - `IDMA_OBI_TYPEDEF_BIDIRECT_RESP_T(obi_rsp_t, obi_master_rsp_t) - - //-------------------------------------- - // Physical Signals to the DUT - //-------------------------------------- - - //OBI Masters - obi_req_t obi_req; - obi_rsp_t obi_rsp; - - axi_req_t axi_read_req; - axi_rsp_t axi_read_rsp; - - axi_req_t axi_write_req; - axi_rsp_t axi_write_rsp; - - // clock reset signals - logic clk; - logic rst_n; - - // dma request - idma_req_t idma_req; - logic req_valid; - logic req_ready; - - // dma response - idma_rsp_t idma_rsp; - logic rsp_valid; - logic rsp_ready; - - // error handler - idma_eh_req_t idma_eh_req; - logic eh_req_valid; - logic eh_req_ready; - - // AXI4 master - axi_req_t axi_req, axi_req_mem, axi_req_mem_delayed; - axi_rsp_t axi_rsp, axi_rsp_mem; - - axi_req_t dut_axi_req; - axi_rsp_t dut_axi_rsp; - - // busy signal - idma_busy_t busy; - - - //-------------------------------------- - // DMA Driver - //-------------------------------------- - // virtual interface definition - IDMA_DV #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ) - ) idma_dv (clk); - - // DMA driver type - typedef idma_test::idma_driver #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .AxiIdWidth ( AxiIdWidth ), - .TFLenWidth ( TFLenWidth ), - .TA ( TA ), - .TT ( TT ) - ) drv_t; - - // instantiation of the driver - drv_t drv = new(idma_dv); - - - //-------------------------------------- - // DMA Job Queue - //-------------------------------------- - // job type definition - typedef idma_test::idma_job #( - .AddrWidth ( AddrWidth ) - ) tb_dma_job_t; - - // request and response queues - tb_dma_job_t req_jobs [$]; - tb_dma_job_t rsp_jobs [$]; - - - //-------------------------------------- - // DMA Model - //-------------------------------------- - // model type definition - typedef idma_test::idma_model #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .ModelOutput ( ModelOutput ) - ) model_t; - - // instantiation of the model - model_t model = new(); - - - //-------------------------------------- - // Misc TB Signals - //-------------------------------------- - logic match; - - - //-------------------------------------- - // TB Modules - //-------------------------------------- - // clocking block - clk_rst_gen #( - .ClkPeriod ( TCK ), - .RstClkCycles ( 1 ) - ) i_clk_rst_gen ( - .clk_o ( clk ), - .rst_no ( rst_n ) - ); - - // sim memory - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem ), - .axi_rsp_o ( axi_rsp_mem ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - axi_cut #( - .Bypass ( 1'b0 ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_cut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .slv_req_i ( axi_req_mem ), - .slv_resp_o ( ), - .mst_req_o ( axi_req_mem_delayed ), - .mst_resp_i ( axi_rsp_mem ) - ); - - axi_sim_mem #( - .AddrWidth ( AddrWidth ), - .DataWidth ( DataWidth ), - .IdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ), - .WarnUninitialized ( 1'b0 ), - .ClearErrOnAccess ( 1'b1 ), - .ApplDelay ( TA ), - .AcqDelay ( TT ) - ) i_axi_sim_mem_delayed ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .axi_req_i ( axi_req_mem_delayed ), - .axi_rsp_o ( ), - .mon_r_last_o ( /* NOT CONNECTED */ ), - .mon_r_beat_count_o ( /* NOT CONNECTED */ ), - .mon_r_user_o ( /* NOT CONNECTED */ ), - .mon_r_id_o ( /* NOT CONNECTED */ ), - .mon_r_data_o ( /* NOT CONNECTED */ ), - .mon_r_addr_o ( /* NOT CONNECTED */ ), - .mon_r_valid_o ( /* NOT CONNECTED */ ), - .mon_w_last_o ( /* NOT CONNECTED */ ), - .mon_w_beat_count_o ( /* NOT CONNECTED */ ), - .mon_w_user_o ( /* NOT CONNECTED */ ), - .mon_w_id_o ( /* NOT CONNECTED */ ), - .mon_w_data_o ( /* NOT CONNECTED */ ), - .mon_w_addr_o ( /* NOT CONNECTED */ ), - .mon_w_valid_o ( /* NOT CONNECTED */ ) - ); - - //-------------------------------------- - // TB Monitors - //-------------------------------------- - //OBI - signal_highlighter #(.T(obi_a_chan_t)) i_obi_read_a_hl (.ready_i(obi_rsp.read.a_gnt), .valid_i(obi_req.read.a_req), .data_i(obi_req.read.a)); - signal_highlighter #(.T(obi_r_chan_t)) i_obi_read_r_hl (.ready_i(obi_req.read.r_ready), .valid_i(obi_rsp.read.r_valid), .data_i(obi_rsp.read.r)); - - signal_highlighter #(.T(obi_a_chan_t)) i_obi_write_a_hl (.ready_i(obi_rsp.write.a_gnt), .valid_i(obi_req.write.a_req), .data_i(obi_req.write.a)); - signal_highlighter #(.T(obi_r_chan_t)) i_obi_write_r_hl (.ready_i(obi_req.write.r_ready), .valid_i(obi_rsp.write.r_valid), .data_i(obi_rsp.write.r)); - - // AXI - signal_highlighter #(.T(axi_aw_chan_t)) i_aw_hl (.ready_i(axi_rsp.aw_ready), .valid_i(axi_req.aw_valid), .data_i(axi_req.aw)); - signal_highlighter #(.T(axi_ar_chan_t)) i_ar_hl (.ready_i(axi_rsp.ar_ready), .valid_i(axi_req.ar_valid), .data_i(axi_req.ar)); - signal_highlighter #(.T(axi_w_chan_t)) i_w_hl (.ready_i(axi_rsp.w_ready), .valid_i(axi_req.w_valid), .data_i(axi_req.w)); - signal_highlighter #(.T(axi_r_chan_t)) i_r_hl (.ready_i(axi_req.r_ready), .valid_i(axi_rsp.r_valid), .data_i(axi_rsp.r)); - signal_highlighter #(.T(axi_b_chan_t)) i_b_hl (.ready_i(axi_req.b_ready), .valid_i(axi_rsp.b_valid), .data_i(axi_rsp.b)); - - // DMA types - signal_highlighter #(.T(idma_req_t)) i_req_hl (.ready_i(req_ready), .valid_i(req_valid), .data_i(idma_req)); - signal_highlighter #(.T(idma_rsp_t)) i_rsp_hl (.ready_i(rsp_ready), .valid_i(rsp_valid), .data_i(idma_rsp)); - signal_highlighter #(.T(idma_eh_req_t)) i_eh_hl (.ready_i(eh_req_ready), .valid_i(eh_req_valid), .data_i(idma_eh_req)); - - // Watchdogs - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_w_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_req.w_valid), .ready_i(axi_rsp.w_ready)); - stream_watchdog #(.NumCycles(WatchDogNumCycles)) i_axi_r_watchdog (.clk_i(clk), .rst_ni(rst_n), .valid_i(axi_rsp.r_valid), .ready_i(axi_req.r_ready)); - - - //-------------------------------------- - // DUT - //-------------------------------------- - // the backend - idma_backend #( - .Protocol ( idma_pkg::OBI ), - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .AxiIdWidth ( AxiIdWidth ), - .UserWidth ( UserWidth ), - .TFLenWidth ( TFLenWidth ), - .MaskInvalidData ( MaskInvalidData ), - .BufferDepth ( BufferDepth ), - .RAWCouplingAvail ( RAWCouplingAvail ), - .HardwareLegalizer ( HardwareLegalizer ), - .RejectZeroTransfers ( RejectZeroTransfers ), - .ErrorCap ( ErrorCap ), - .PrintFifoInfo ( PrintFifoInfo ), - .NumAxInFlight ( NumAxInFlight ), - .MemSysDepth ( MemSysDepth ), - .idma_req_t ( idma_req_t ), - .idma_rsp_t ( idma_rsp_t ), - .idma_eh_req_t ( idma_eh_req_t ), - .idma_busy_t ( idma_busy_t ), - .protocol_req_t ( obi_req_t ), - .protocol_rsp_t ( obi_rsp_t ), - .aw_chan_t ( obi_a_chan_t ), - .ar_chan_t ( obi_a_chan_t ) - ) i_idma_backend ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .testmode_i ( 1'b0 ), - .idma_req_i ( idma_req ), - .req_valid_i ( req_valid ), - .req_ready_o ( req_ready ), - .idma_rsp_o ( idma_rsp ), - .rsp_valid_o ( rsp_valid ), - .rsp_ready_i ( rsp_ready ), - .idma_eh_req_i ( idma_eh_req ), - .eh_req_valid_i ( eh_req_valid ), - .eh_req_ready_o ( eh_req_ready ), - .protocol_req_o ( obi_req ), - .protocol_rsp_i ( obi_rsp ), - .busy_o ( busy ) - ); - - - //-------------------------------------- - // TB connections - //-------------------------------------- - - // OBI Asserter - idma_obi_asserter #( - .obi_master_req_t ( obi_master_req_t ), - .obi_master_rsp_t ( obi_master_rsp_t ) - ) i_obi_read_asserter ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .obi_master_req_i ( obi_req.read ), - .obi_master_rsp_i ( obi_rsp.read ) - ); - - idma_obi_asserter #( - .obi_master_req_t ( obi_master_req_t ), - .obi_master_rsp_t ( obi_master_rsp_t ) - ) i_obi_write_asserter ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .obi_master_req_i ( obi_req.write ), - .obi_master_rsp_i ( obi_rsp.write ) - ); - - // Read OBI to AXI Bridge - idma_obi2axi_bridge #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .IdWidth ( AxiIdWidth ), - .obi_master_req_t ( obi_master_req_t ), - .obi_master_rsp_t ( obi_master_rsp_t ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_read_obi2axi_bridge ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .obi_master_req_i ( obi_req.read ), - .obi_master_rsp_o ( obi_rsp.read ), - .axi_req_o ( axi_read_req ), - .axi_rsp_i ( axi_read_rsp ) - ); - - // Write OBI to AXI Bridge - idma_obi2axi_bridge #( - .DataWidth ( DataWidth ), - .AddrWidth ( AddrWidth ), - .UserWidth ( UserWidth ), - .IdWidth ( AxiIdWidth ), - .obi_master_req_t ( obi_master_req_t ), - .obi_master_rsp_t ( obi_master_rsp_t ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_write_obi2axi_bridge ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .obi_master_req_i ( obi_req.write ), - .obi_master_rsp_o ( obi_rsp.write ), - .axi_req_o ( axi_write_req ), - .axi_rsp_i ( axi_write_rsp ) - ); - - axi_rw_join #( - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_rw_join ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .slv_read_req_i ( axi_read_req ), - .slv_read_resp_o ( axi_read_rsp ), - .slv_write_req_i ( axi_write_req ), - .slv_write_resp_o ( axi_write_rsp ), - .mst_req_o ( axi_req ), - .mst_resp_i ( axi_rsp ) - ); - - // Channel Logger - if (AxiDumper) begin : gen_axi_dumper - axi_dumper #( - .LogAW ( 1'b1 ), - .LogAR ( 1'b1 ), - .LogW ( 1'b1 ), - .LogB ( 1'b1 ), - .LogR ( 1'b1 ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_dumper ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - - .axi_req_i ( axi_req ), - .axi_resp_i ( axi_rsp ) - ); - end - - // connect virtual driver interface to structs - assign idma_req = idma_dv.req; - assign req_valid = idma_dv.req_valid; - assign rsp_ready = idma_dv.rsp_ready; - assign idma_eh_req = idma_dv.eh_req; - assign eh_req_valid = idma_dv.eh_req_valid; - // connect struct to virtual driver interface - assign idma_dv.req_ready = req_ready; - assign idma_dv.rsp = idma_rsp; - assign idma_dv.rsp_valid = rsp_valid; - assign idma_dv.eh_req_ready = eh_req_ready; - - // throttle the AXI bus - if (IdealMemory) begin : gen_ideal_mem_connect - - // if the memory is ideal: 0 cycle latency here - assign axi_req_mem = axi_req; - assign axi_rsp = axi_rsp_mem; - - end else begin : gen_delayed_mem_connect - - // the throttled AXI buses - axi_req_t axi_req_throttled; - axi_rsp_t axi_rsp_throttled; - - // axi throttle: limit the amount of concurrent requests in the memory system - axi_throttle #( - .MaxNumAwPending ( 2**32 - 1 ), - .MaxNumArPending ( 2**32 - 1 ), - .axi_req_t ( axi_req_t ), - .axi_rsp_t ( axi_rsp_t ) - ) i_axi_throttle ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .req_i ( axi_req ), - .rsp_o ( axi_rsp ), - .req_o ( axi_req_throttled ), - .rsp_i ( axi_rsp_throttled ), - .w_credit_i ( MemNumReqOutst ), - .r_credit_i ( MemNumReqOutst ) - ); - - // delay the signals using AXI4 multicuts - axi_multicut #( - .NoCuts ( MemLatency ), - .aw_chan_t ( axi_aw_chan_t ), - .w_chan_t ( axi_w_chan_t ), - .b_chan_t ( axi_b_chan_t ), - .ar_chan_t ( axi_ar_chan_t ), - .r_chan_t ( axi_r_chan_t ), - .axi_req_t ( axi_req_t ), - .axi_resp_t ( axi_rsp_t ) - ) i_axi_multicut ( - .clk_i ( clk ), - .rst_ni ( rst_n ), - .slv_req_i ( axi_req_throttled ), - .slv_resp_o ( axi_rsp_throttled ), - .mst_req_o ( axi_req_mem ), - .mst_resp_i ( axi_rsp_mem ) - ); - end - - - //-------------------------------------- - // Various TB Tasks - //-------------------------------------- - `include "include/tb_tasks.svh" - - - // --------------------- Begin TB -------------------------- - - - //-------------------------------------- - // Read Job queue from File - //-------------------------------------- - initial begin - string job_file; - void'($value$plusargs("job_file=%s", job_file)); - $display("Reading from %s", job_file); - read_jobs(job_file, req_jobs); - read_jobs(job_file, rsp_jobs); - end - - - //-------------------------------------- - // Launch Transfers - //-------------------------------------- - initial begin - // reset driver - drv.reset_driver(); - // wait until reset has completed - wait (rst_n); - // print a job summary - print_summary(req_jobs); - // wait some additional time - #100ns; - - // run all requests in queue - while (req_jobs.size() != 0) begin - // pop front to get a job - automatic tb_dma_job_t now = req_jobs.pop_front(); - // print job to terminal - $display("%s", now.pprint()); - // init mem (model and AXI) - init_mem(now); - // launch DUT - drv.launch_tf( - now.length, - now.src_addr, - now.dst_addr, - now.aw_decoupled, - now.rw_decoupled, - $clog2(now.max_src_len), - $clog2(now.max_dst_len), - now.max_src_len != 'd256, - now.max_dst_len != 'd256 - ); - end - // once done: launched all transfers - $display("Launched all Transfers."); - end - - - //-------------------------------------- - // Ack Transfers and Compare Memories - //-------------------------------------- - initial begin - // wait until reset has completed - wait (rst_n); - // wait some additional time - #100ns; - // receive - while (rsp_jobs.size() != 0) begin - // peek front to get a job - automatic tb_dma_job_t now = rsp_jobs[0]; - // wait for DMA to complete - ack_tf_handle_err(now); - // finished job - // $display("vvv Finished: vvv%s\n^^^ Finished: ^^^", now.pprint()); - // launch model - model.transfer( - now.length, - now.src_addr, - now.dst_addr, - now.max_src_len, - now.max_dst_len, - now.rw_decoupled, - now.err_addr, - now.err_is_read, - now.err_action - ); - // check memory - compare_mem(now.length, now.dst_addr, match); - // fail if there is a mismatch - if (!match) - $fatal(1, "Mismatch!"); - // pop front - rsp_jobs.pop_front(); - end - // wait some additional time - #100ns; - // we are done! - $finish(); - end - - - //-------------------------------------- - // Show first non-acked Transfer - //-------------------------------------- - initial begin - wait (rst_n); - forever begin - // at least one watch dog triggers - if (i_axi_r_watchdog.cnt == 0 | i_axi_w_watchdog.cnt == 0) begin - automatic tb_dma_job_t now = rsp_jobs[0]; - $error("First non-acked transfer:%s\n\n", now.pprint()); - end - @(posedge clk); - end - end - -endmodule : tb_idma_obi_backend diff --git a/util/idma_gen.py b/util/idma_gen.py new file mode 100644 index 00000000..b550ebe5 --- /dev/null +++ b/util/idma_gen.py @@ -0,0 +1,626 @@ +#!/usr/env python3 +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 + +# Author: Tobias Senti + +"""Responsible for code generation""" +import argparse +import os +from functools import reduce +import yaml +from yaml.loader import SafeLoader +from mako.template import Template + +database_directory='src/backend/database/' +template_directory='src/backend/src/' + +def indent_block(block, indentation): + indented_block = '' + split_block = block.split('\n') + + for line in split_block: + if indented_block != '': + indented_block += '\n' + for i in range(indentation): + indented_block += " " + indented_block += line + + return indented_block + +# Parse Databases +# yaml=YAML() +database={} +available_protocols=[] +available_read_protocols=[] +available_write_protocols=[] +for filename in os.listdir(database_directory): + if filename.endswith('.yaml'): + # Load Database File + print('Found database: ', filename) + with open(database_directory + filename, 'r', encoding='utf-8') as f: + file = yaml.load(f, Loader=SafeLoader) + + # Check if required fields are available + if 'prefix' not in file: + raise Exception(filename, ': "prefix" not found!') + prefix = file['prefix'] + + if 'protocol_enum' not in file: + raise Exception(filename, ': "protocol_enum" not found!') + + if 'full_name' not in file: + raise Exception(filename, ': "full_name" not found!') + + if 'bursts' not in file: + raise Exception(filename, ': "bursts" not found!') + + if (file['bursts'] != 'not_supported') and (file['bursts'] != 'split_at_page_boundary') and (file['bursts'] != 'only_pow2'): + raise Exception(filename, '"bursts" must either be "not_supported"\ + "split_at_page_boundary" or "only_pow2"') + + if (file['bursts'] == 'split_at_page_boundary') and ('max_beats_per_burst' not in file): + raise Exception(filename, 'if "bursts" != "not_supported",\ + then the "max_beats_per_burst" is needed!') + + if (file['bursts'] != 'not_supported') and ('page_size' not in file): + raise Exception(filename, '"page_size" not found!') + + if 'typedefs' not in file: + raise Exception(filename, ': "typedefs" not found!') + + if ('read_template' not in file) and ('write_template' not in file): + raise Exception(filename, 'Database must atleast include a\ + "read_template" or a "write_template"') + + if ('read_template' in file): + if ('read_meta_channel' not in file): + raise Exception(filename, ': "read_meta_channel" not found!') + + if ('meta_channel_width' not in file) and ('read_meta_channel_width' not in file): + raise Exception(filename, ': "read_meta_channel_width" not found!') + + if ('synth_wrapper_ports_read' not in file): + raise Exception(filename, ': "synth_wrapper_ports_read" not found!') + + if ('synth_wrapper_assign_read' not in file): + raise Exception(filename, ': "synth_wrapper_assign_read" not found!') + + if ('legalizer_read_meta_channel' not in file): + raise Exception(filename, ': "legalizer_read_meta_channel" not found!') + + read_manager_path = 'src/backend/src/protocol_managers/' + prefix + '/idma_' + prefix + '_read.sv' + if not os.path.isfile(read_manager_path): + raise Exception(filename, ': Read manager file "' + read_manager_path + '" cannot be found!') + + if ('write_template' in file): + if ('write_meta_channel' not in file): + raise Exception(filename, ': "write_meta_channel" not found!') + + if ('meta_channel_width' not in file) and ('write_meta_channel_width' not in file): + raise Exception(filename, ': "write_meta_channel_width" not found!') + + if ('synth_wrapper_ports_write' not in file): + raise Exception(filename, ': "synth_wrapper_ports_write" not found!') + + if ('synth_wrapper_assign_write' not in file): + raise Exception(filename, ': "synth_wrapper_assign_write" not found!') + + if ('legalizer_write_meta_channel' not in file): + raise Exception(filename, ': "legalizer_write_meta_channel" not found!') + + write_manager_path = 'src/backend/src/protocol_managers/' + prefix + '/idma_' + prefix + '_write.sv' + if not os.path.isfile(write_manager_path): + raise Exception(filename, ': Write manager file "' + write_manager_path + '" cannot be found!') + + + if (prefix != 'axi') and ('write_template' in file) and ('bridge_template' not in file) and ('write_bridge_template' not in file): + raise Exception(filename, ': "write_bridge_template" or "bridge_template" not found!') + + if (prefix != 'axi') and ('read_template' in file) and ('bridge_template' not in file) and ('read_bridge_template' not in file): + raise Exception(filename, ': "read_bridge_template" or "bridge_template" not found!') + + database[prefix] = file + if 'read_slave' not in file: + database[prefix]['read_slave'] = "false"; + database[prefix]['typedefs'] = ' '\ + + database[prefix]['typedefs'].replace('\n', '\n ') + if 'read_template' in file: + available_read_protocols.append(prefix) + if 'write_template' in file: + available_write_protocols.append(prefix) + + available_protocols.append(prefix) + +def generate_transport_layer(): + """Generate Transport Layer""" + # Render Read Ports + print('Generating Read Ports...') + rendered_read_ports={} + for rp in used_read_protocols: + read_port_context={ + 'database': database, + 'req_t': rp + '_read_req_t' if database[rp]['read_slave'] == 'true' else rp + '_req_t', + 'rsp_t': rp + '_read_rsp_t' if database[rp]['read_slave'] == 'true' else rp + '_rsp_t', + 'r_dp_valid_i': 'r_dp_valid_i' if one_read_port else '(r_dp_req_i.src_protocol\ + == idma_pkg::' + database[rp]['protocol_enum'] + ') && r_dp_valid_i', + 'r_dp_ready_o': 'r_dp_ready_o' if one_read_port else rp + '_r_dp_ready', + 'r_dp_rsp_o': 'r_dp_rsp_o' if one_read_port else rp + '_r_dp_rsp', + 'r_dp_valid_o': 'r_dp_valid_o' if one_read_port else rp + '_r_dp_valid', + 'r_dp_ready_i': 'r_dp_ready_i' if one_read_port else '(r_dp_req_i.src_protocol\ + == idma_pkg::' + database[rp]['protocol_enum'] + ') && r_dp_ready_i', + 'read_meta_request': 'ar_req_i' if one_read_port else 'ar_req_i.ar_req', + 'read_meta_valid': 'ar_valid_i' if one_read_port else '(ar_req_i.src_protocol\ + == idma_pkg::' + database[rp]['protocol_enum'] + ') && ar_valid_i', + 'read_meta_ready': 'ar_ready_o' if one_read_port else rp + '_ar_ready', + 'read_request': rp + '_read_req_o', + 'read_response': rp + '_read_rsp_i', + 'r_chan_valid': 'r_chan_valid_o' if one_read_port else rp + '_r_chan_valid', + 'r_chan_ready': 'r_chan_ready_o' if one_read_port else rp + '_r_chan_ready', + 'buffer_in': 'buffer_in' if one_read_port else rp + '_buffer_in', + 'buffer_in_valid': 'buffer_in_valid' if one_read_port else rp + '_buffer_in_valid', + } + database[rp]['read_template'] = ' '\ + + database[rp]['read_template'].replace('\n', '\n ') + database[rp]['read_template'] = database[rp]['read_template'][:-5] + rp_template = Template(database[rp]['read_template']) + rendered_read_ports[rp] = rp_template.render(**read_port_context) + + # Render Write Ports + print('Generating Write Ports...') + rendered_write_ports={} + for wp in used_write_protocols: + write_port_context={ + 'database': database, + 'req_t': wp + '_write_req_t' if database[wp]['read_slave'] == 'true' else wp + '_req_t', + 'rsp_t': wp + '_write_rsp_t' if database[wp]['read_slave'] == 'true' else wp + '_rsp_t', + 'w_dp_valid_i': 'w_dp_valid_i' if one_write_port else 'w_dp_req_valid &&\n \ + (w_dp_req_i.dst_protocol == idma_pkg::' + database[wp]['protocol_enum'] + ')', + 'w_dp_ready_o': 'w_dp_ready_o' if one_write_port else wp + '_w_dp_ready', + 'w_dp_rsp_o': 'w_dp_rsp_o' if one_write_port else wp + '_w_dp_rsp', + 'w_dp_valid_o': 'w_dp_valid_o' if one_write_port else wp + '_w_dp_rsp_valid', + 'w_dp_ready_i': 'w_dp_ready_i' if one_write_port else wp + '_w_dp_rsp_ready', + 'write_meta_request': 'aw_req_i' if one_write_port else 'aw_req_i.aw_req', + 'write_meta_valid': 'aw_valid_i' if one_write_port else '(aw_req_i.dst_protocol\ + == idma_pkg::' + database[wp]['protocol_enum'] + ') && aw_valid_i', + 'write_meta_ready': 'aw_ready_o' if one_write_port else wp + '_aw_ready', + 'write_request': wp + '_write_req_o', + 'write_response': wp + '_write_rsp_i', + 'buffer_out_ready': 'buffer_out_ready' if one_write_port + else wp + '_buffer_out_ready' + } + database[wp]['write_template'] = ' '\ + + database[wp]['write_template'].replace('\n', '\n ') + database[wp]['write_template'] = database[wp]['write_template'][:-5] + wp_template = Template(database[wp]['write_template']) + rendered_write_ports[wp] = wp_template.render(**write_port_context) + + # Render Transport Layer + print('Generating Transport Layer...') + tl_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'one_read_port': one_read_port, + 'one_write_port': one_write_port, + 'rendered_read_ports': rendered_read_ports, + 'rendered_write_ports': rendered_write_ports + } + tl_template = Template(filename=template_directory + 'idma_transport_layer.sv.tpl') + rendered_tl = tl_template.render(**tl_context) + + tl_filename = 'src/backend/backend' + name_uniqueifier + tl_filename += '/idma_transport_layer' + name_uniqueifier + '.sv' + + with open(tl_filename, 'w', encoding='utf-8') as tl_file: + tl_file.write(rendered_tl) + + print('Generated ' + tl_filename + '!') + +def generate_legalizer(): + """Generate Legalizer""" + # Indent read meta channel + for protocol in used_read_protocols: + database[protocol]['legalizer_read_meta_channel'] = indent_block(database[protocol]['legalizer_read_meta_channel'], 2 if one_read_port else 3) + # Indent write meta channel and data path + for protocol in used_write_protocols: + database[protocol]['legalizer_write_meta_channel'] = indent_block(database[protocol]['legalizer_write_meta_channel'], 2 if one_write_port else 3) + if 'legalizer_write_data_path' in database[protocol]: + database[protocol]['legalizer_write_data_path'] = indent_block(database[protocol]['legalizer_write_data_path'], 2 if one_write_port else 3) + # Render Legalizer + print('Generating Legalizer...') + le_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'one_read_port': one_read_port, + 'one_write_port': one_write_port, + + 'no_read_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'not_supported', used_read_protocols)), + 'has_page_read_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'split_at_page_boundary', used_read_protocols)), + 'has_pow2_read_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'only_pow2', used_read_protocols)), + + 'no_write_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'not_supported', used_write_protocols)), + 'has_page_write_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'split_at_page_boundary', used_write_protocols)), + 'has_pow2_write_bursting': reduce(lambda a, b: a or b, + map(lambda p: database[p]['bursts'] == 'only_pow2', used_write_protocols)), + + 'used_non_bursting_write_protocols' : list(filter( + lambda a: database[a]['bursts'] == 'not_supported', used_write_protocols)), + 'used_non_bursting_read_protocols' : list(filter( + lambda a: database[a]['bursts'] == 'not_supported', used_read_protocols)), + 'used_non_bursting_or_force_decouple_write_protocols' : list(filter( + lambda a: database[a]['bursts'] == 'not_supported' or ('legalizer_force_decouple' in database[a] and database[a]['legalizer_force_decouple']), used_write_protocols)), + 'used_non_bursting_or_force_decouple_read_protocols' : list(filter( + lambda a: database[a]['bursts'] == 'not_supported' or ('legalizer_force_decouple' in database[a] and database[a]['legalizer_force_decouple']), used_read_protocols)) + } + le_template = Template(filename=template_directory + 'idma_legalizer.sv.tpl') + rendered_le = le_template.render(**le_context) + + le_filename = 'src/backend/backend' + name_uniqueifier + le_filename += '/idma_legalizer' + name_uniqueifier + '.sv' + + with open(le_filename, 'w', encoding='utf-8') as le_file: + le_file.write(rendered_le) + + print('Generated ' + le_filename + '!') + +def generate_backend(): + """Generate Backend""" + # Render Backend + print('Generating Backend...') + be_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'one_read_port': one_read_port, + 'one_write_port': one_write_port, + 'no_write_bursting': reduce(lambda a, b: a and b, + map(lambda p: database[p]['bursts'] == 'not_supported', used_write_protocols)), + 'used_non_bursting_write_protocols' : list(filter( + lambda a: database[a]['bursts'] == 'not_supported', used_write_protocols)), + 'combined_aw_and_w': len(list(filter( + lambda a: ('combined_aw_and_w' in database[a]) + and (database[a]['combined_aw_and_w'] == 'true'), used_write_protocols))) == 1 + } + be_template = Template(filename=template_directory + 'idma_backend.sv.tpl') + rendered_be = be_template.render(**be_context) + + be_filename ='src/backend/backend' + name_uniqueifier + be_filename += '/idma_backend' + name_uniqueifier + '.sv' + + with open(be_filename, 'w', encoding='utf-8') as be_file: + be_file.write(rendered_be) + + print('Generated ' + be_filename + '!') + +def generate_wave_file(): + """Generate Wave File""" + # Render Wave File + print('Generating Wave File...') + wf_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'one_read_port': one_read_port, + 'one_write_port': one_write_port + } + wf_template = Template(filename='./scripts/waves/vsim_backend.do.tpl') + rendered_wf = wf_template.render(**wf_context) + + wf_filename = './scripts/waves/vsim_backend' + name_uniqueifier + '.do' + + with open(wf_filename, 'w', encoding='utf-8') as wf_file: + wf_file.write(rendered_wf) + + print('Generated ' + wf_filename + '!') + +def generate_testbench(): + """Generate Testbench""" + # Render Bridges + for protocol in used_protocols: + if protocol != 'axi': + if 'bridge_template' in database[protocol]: + database[protocol]['bridge_template'] = ' '\ + + database[protocol]['bridge_template'].replace('\n', '\n ') + if 'write_bridge_template' in database[protocol]: + database[protocol]['write_bridge_template'] = ' '\ + + database[protocol]['write_bridge_template'].replace('\n', '\n ') + if 'read_bridge_template' in database[protocol]: + database[protocol]['read_bridge_template'] = ' '\ + + database[protocol]['read_bridge_template'].replace('\n', '\n ') + + print('Generating read bridges...') + rendered_read_bridges={} + for protocol in used_read_protocols: + if protocol != 'axi': + bridge_context={ + 'port': 'read', + 'database': database, + 'used_read_protocols': used_read_protocols + } + if 'read_bridge_template' in database[protocol]: + bridge_template=Template(database[protocol]['read_bridge_template']) + else: + bridge_template=Template(database[protocol]['bridge_template']) + rendered_read_bridges[protocol]=bridge_template.render(**bridge_context) + + print('Generating write bridges...') + rendered_write_bridges={} + for protocol in used_write_protocols: + if protocol != 'axi': + bridge_context={ + 'port': 'write', + 'database': database, + 'used_write_protocols': used_write_protocols + } + if 'write_bridge_template' in database[protocol]: + bridge_template=Template(database[protocol]['write_bridge_template']) + else: + bridge_template=Template(database[protocol]['bridge_template']) + rendered_write_bridges[protocol]=bridge_template.render(**bridge_context) + + # Render Testbench + + print('Generating Testbench...') + tb_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'unused_protocols': list(set(available_protocols) - set(used_protocols)), + 'one_read_port': one_read_port, + 'one_write_port': one_write_port, + 'rendered_read_bridges': rendered_read_bridges, + 'rendered_write_bridges': rendered_write_bridges, + 'combined_shifter': combined_shifter + } + tb_template = Template(filename='test/tb_idma_backend.sv.tpl') + rendered_tb = tb_template.render(**tb_context) + + tb_filename = 'src/backend/backend' + name_uniqueifier + tb_filename += '/tb_idma_backend' + name_uniqueifier + '.sv' + + with open(tb_filename, 'w', encoding='utf-8') as tb_file: + tb_file.write(rendered_tb) + + print('Generated ' + tb_filename + '!') + +def generate_synth_wrapper(): + """Generate Synth Wrapper""" + # Render Wave File + print('Generating Synth Wrapper...') + sw_context={ + 'name_uniqueifier': name_uniqueifier, + 'database': database, + 'used_read_protocols': used_read_protocols, + 'used_write_protocols': used_write_protocols, + 'used_protocols': used_protocols, + 'one_read_port': one_read_port, + 'one_write_port': one_write_port, + 'combined_shifter': combined_shifter + } + for protocol in used_protocols: + if protocol in used_read_protocols: + database[protocol]['synth_wrapper_ports_read'] = ' '\ + + database[protocol]['synth_wrapper_ports_read'].replace('\n', '\n ') + database[protocol]['synth_wrapper_assign_read'] = ' '\ + + database[protocol]['synth_wrapper_assign_read'].replace('\n', '\n ') + if protocol in used_write_protocols: + database[protocol]['synth_wrapper_ports_write'] = ' '\ + + database[protocol]['synth_wrapper_ports_write'].replace('\n', '\n ') + database[protocol]['synth_wrapper_assign_write'] = ' '\ + + database[protocol]['synth_wrapper_assign_write'].replace('\n', '\n ') + sw_template = Template(filename='src/backend/src/idma_backend_synth.sv.tpl') + rendered_sw = sw_template.render(**sw_context) + + sw_filename = 'src/backend/backend' + name_uniqueifier + sw_filename += '/idma_backend_synth' + name_uniqueifier + '.sv' + + with open(sw_filename, 'w', encoding='utf-8') as sw_file: + sw_file.write(rendered_sw) + + print('Generated ' + sw_filename + '!') + +def generate_folder(): + """Generates the folder where all generated files will live""" + try: + os.mkdir('src/backend/backend' + name_uniqueifier) + except FileExistsError: + pass + +def generate_bender(): + """Generates src/backend/Bender.yml""" + # Check if file exists + if not os.path.isfile('src/backend/Bender.yml'): + # If not -> Write template into it + with open('src/backend/Bender.yml.tpl', 'r', encoding='utf-8') as template_file: + content = template_file.read() + + content += '\n # Protocol Managers\n' + for protocol in available_protocols: + content += '\n # ' + database[protocol]['full_name'] + '\n' + if protocol in available_read_protocols: + content += ' - src/protocol_managers/' + protocol + '/idma_' + protocol + '_read.sv\n' + if protocol in available_write_protocols: + content += ' - src/protocol_managers/' + protocol + '/idma_' + protocol + '_write.sv\n' + + content += '\n # Backends\n' + else: + # Read contents of bender file + with open('src/backend/Bender.yml', 'r', encoding='utf-8') as bender_file: + content = bender_file.read() + + # Check if backend is already in bender file + if name_uniqueifier not in content: + # Add new backend + content += '\n # backend' + name_uniqueifier + '\n' + content += ' - files:\n' + content += ' - backend' + name_uniqueifier + content += '/idma_transport_layer' + name_uniqueifier + '.sv\n' + content += ' - backend' + name_uniqueifier + '/idma_legalizer' + name_uniqueifier + '.sv\n' + content += ' - backend' + name_uniqueifier + '/idma_backend' + name_uniqueifier + '.sv\n' + content += ' - target: test\n' + content += ' defines:\n' + content += ' TARGET_SIMULATION: ~\n' + content += ' include_dirs:\n' + content += ' - ../../test\n' + content += ' files:\n' + content += ' - backend' + name_uniqueifier + '/tb_idma_backend' + name_uniqueifier + '.sv\n' + content += ' - target: synthesis\n' + content += ' files:\n' + content += ' - backend' + name_uniqueifier + '/idma_backend_synth' + content += name_uniqueifier + '.sv\n' + + # Write bender file + with open('src/backend/Bender.yml', 'w', encoding='utf-8') as bender_file: + bender_file.write(content) + +# Parse Arguments +parser = argparse.ArgumentParser( + prog='idma_gen', + description='Generates a wanted iDMA configuration' +) +subparser = parser.add_subparsers(dest='command') + +gen_tl = subparser.add_parser('transportlayer', description='Generates the transport layer') +gen_tl.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_tl.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') + +gen_le = subparser.add_parser('legalizer', description='Generates the legalizer') +gen_le.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_le.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') + +gen_be = subparser.add_parser('backend', description='Generates the backend') +gen_be.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_be.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') + +gen_wf = subparser.add_parser('wavefile', description='Generates a .do wavefile for debugging in vsim') +gen_wf.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_wf.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') + +gen_tb = subparser.add_parser('testbench', description='Generates the testbench') +gen_tb.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_tb.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') +gen_tb.add_argument('-s', '--shifter', choices=['combined', 'split'], + type=str, required=False, default='split', dest='shifter') + +gen_sw = subparser.add_parser('synth_wrapper', description='Generates the synthesis wrapper') +gen_sw.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_sw.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') +gen_sw.add_argument('-s', '--shifter', choices=['combined', 'split'], + type=str, required=False, default='split', dest='shifter') + +gen_bd = subparser.add_parser('bender', description='Generates the bender file') +gen_bd.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_bd.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') + +gen_all = subparser.add_parser('debug', description='Generates all required files for debugging: TransportLayer, Legalizer, Backend, Testbench, Bender, Wavefile') +gen_all.add_argument('-r', '--read-protocols', choices=available_read_protocols, + type=str, required=True, nargs='+', dest='read_protocols') +gen_all.add_argument('-w', '--write-protocols', choices=available_write_protocols, + type=str, required=True, nargs='+', dest='write_protocols') +gen_all.add_argument('-s', '--shifter', choices=['combined', 'split'], + type=str, required=False, default='split', dest='shifter') + + +args = parser.parse_args() + +used_read_protocols=[] +used_write_protocols=[] +if 'read_protocols' in args: + args.read_protocols.sort() + used_read_protocols=list(set(args.read_protocols)) +if 'write_protocols' in args: + args.write_protocols.sort() + used_write_protocols=list(set(args.write_protocols)) + +used_protocols=list(set(used_read_protocols + used_write_protocols)) + +used_read_protocols.sort() +used_write_protocols.sort() +used_protocols.sort() + +print('Read Protocols: ', used_read_protocols) +print('Write Protocols:', used_write_protocols) +print('Used Protocols: ', used_protocols) + +one_read_port = len(used_read_protocols) == 1 +one_write_port = len(used_write_protocols) == 1 + +# Create Unique name +name_uniqueifier='' +for up in used_protocols: + name_uniqueifier += '_' + if up in used_read_protocols: + name_uniqueifier += 'r' + if up in used_write_protocols: + name_uniqueifier += 'w' + name_uniqueifier += '_' + up +combined_shifter = False +if ('shifter' in args) and ('combined' in args.shifter): + combined_shifter = True + +if args.command == 'transportlayer': + generate_folder() + generate_transport_layer() + +if args.command == 'legalizer': + generate_folder() + generate_legalizer() + +if args.command == 'backend': + generate_folder() + generate_backend() + +if args.command == 'wavefile': + generate_wave_file() + +if args.command == 'testbench': + generate_folder() + generate_testbench() + +if args.command == 'synth_wrapper': + generate_folder() + generate_synth_wrapper() + +if args.command == 'bender': + generate_bender() + +if args.command == 'debug': + generate_bender() + generate_folder() + generate_transport_layer() + generate_legalizer() + generate_backend() + generate_testbench() + generate_wave_file() \ No newline at end of file diff --git a/util/make_multiprotocol.py b/util/make_multiprotocol.py new file mode 100644 index 00000000..81659bd9 --- /dev/null +++ b/util/make_multiprotocol.py @@ -0,0 +1,38 @@ +#!/usr/env python3 +# Copyright 2022 ETH Zurich and University of Bologna. +# Solderpad Hardware License, Version 0.51, see LICENSE for details. +# SPDX-License-Identifier: SHL-0.51 + +# Author: Tobias Senti + +"""Makes a legacy job file multiprotocol\ + by adding random read and write protocol""" + +import sys +import random + +filename = 'Bender.yml' + +_, input_filename = sys.argv + +with open(input_filename, 'r', encoding='utf-8') as file: + lines = file.readlines() + +output = [] + +index = 0 +while index < len(lines): + # Read protocol + output.append(str(random.randrange(0, 6)) + '\n') + # Write protocol + output.append(str(random.randrange(0, 6)) + '\n') + index += 2 + + for i in range(0,8): + if index >= len(lines): + break + output.append(lines[index]) + index += 1 + +with open(input_filename, 'w', encoding='utf-8') as file: + file.writelines(output) diff --git a/verilator/scripts/preprocess.py b/verilator/scripts/preprocess.py index c1a208c3..39068385 100644 --- a/verilator/scripts/preprocess.py +++ b/verilator/scripts/preprocess.py @@ -9,7 +9,7 @@ import sys WHITE_LIST = ['fifo_v3', 'stream_fifo', 'spill_register', 'popcount', 'stream_fork', 'fifo_v2', - 'axi_pkg', 'cf_math', 'fall_through_register', 'idma_', '+define+', '+incdir+'] + 'axi_pkg', 'cf_math', 'fall_through_register', 'stream_join', 'idma_', '+define+', '+incdir+'] _, inp_file = sys.argv diff --git a/working_dir/axi b/working_dir/axi new file mode 160000 index 00000000..96f749dc --- /dev/null +++ b/working_dir/axi @@ -0,0 +1 @@ +Subproject commit 96f749dc4ae62ed6b90e44aabb5d9460f1b0d858
-
idma_reg32_2d_frontend.done @ 0x24
+
idma_reg32_2d_frontend.done @ 0x2c

Get ID of finished transactions.

Reset default = 0x0, mask 0xffffffff