From 4821c915f345df69e6e8dbb89dda3b5ea21796e7 Mon Sep 17 00:00:00 2001 From: David Wilkie Date: Thu, 28 Mar 2024 23:26:01 +0700 Subject: [PATCH] WIP --- .../app/lib/call_platform/fake_client.rb | 6 ++- components/testing/Dockerfile | 2 +- components/testing/docker-entrypoint.sh | 2 + components/testing/scenarios/uac_connect.xml | 34 ++++++++-------- .../public_gateway/connect_stream_test.sh | 38 +++++++++++++----- .../testing/tests/support/files/taunt.wav | Bin 0 -> 91284 bytes docker-compose.yml | 2 + 7 files changed, 54 insertions(+), 30 deletions(-) create mode 100644 components/testing/tests/support/files/taunt.wav diff --git a/components/app/lib/call_platform/fake_client.rb b/components/app/lib/call_platform/fake_client.rb index 1ac6e7f45..440038a7d 100644 --- a/components/app/lib/call_platform/fake_client.rb +++ b/components/app/lib/call_platform/fake_client.rb @@ -17,7 +17,7 @@ def twiml_response - https://www2.cs.uic.edu/~i101/SoundFiles/taunt.wav + #{audio_file_url} TWIML end @@ -27,6 +27,10 @@ def twiml_response def connect_ws_server_url ENV.fetch("CONNECT_WS_SERVER_URL", "wss://example.com") end + + def audio_file_url + ENV.fetch("AUDIO_FILE_URL", "https://api.twilio.com/cowbell.mp3") + end end TEST_NUMBERS = [ diff --git a/components/testing/Dockerfile b/components/testing/Dockerfile index cf48b6b5c..6f20e581e 100644 --- a/components/testing/Dockerfile +++ b/components/testing/Dockerfile @@ -1,6 +1,6 @@ FROM public.ecr.aws/docker/library/alpine:latest -RUN apk update && apk upgrade && apk add --update --no-cache sipp curl postgresql-client bind-tools nodejs npm tshark sox ffmpeg tcpdump +RUN apk update && apk upgrade && apk add --update --no-cache python3 sipp curl postgresql-client bind-tools nodejs npm tshark sox ffmpeg tcpdump WORKDIR /testing diff --git a/components/testing/docker-entrypoint.sh b/components/testing/docker-entrypoint.sh index 7b4ebcc3a..ab797ab7f 100755 --- a/components/testing/docker-entrypoint.sh +++ b/components/testing/docker-entrypoint.sh @@ -2,9 +2,11 @@ if [ "$1" = 'start' ]; then WS_SERVER_PORT="${WS_SERVER_PORT:="3001"}" + HTTP_SERVER_PORT="${HTTP_SERVER_PORT:="8000"}" sipp -sf ./scenarios/uas.xml -bg -trace_msg nohup node ./support/ws_server/test_server.js --port "$WS_SERVER_PORT" > test-server.log & + nohup python3 -m http.server $HTTP_SERVER_PORT > http-server.log & tail -f /dev/null fi diff --git a/components/testing/scenarios/uac_connect.xml b/components/testing/scenarios/uac_connect.xml index 2782027aa..3d4716d07 100644 --- a/components/testing/scenarios/uac_connect.xml +++ b/components/testing/scenarios/uac_connect.xml @@ -88,6 +88,7 @@ + @@ -97,33 +98,32 @@ + - - - verb --> + + - BYE sip:[service]@[remote_ip]:[remote_port] SIP/2.0 - Via: SIP/2.0/[transport] [local_ip]:[local_port];branch=[branch] - From: sipp ;tag=[pid]SIPpTag09[call_number] - To: [service] [peer_tag_param] - Call-ID: [call_id] - CSeq: 2 BYE - Contact: sip:+85512234567@[local_ip]:[local_port] - Max-Forwards: 70 - Subject: Performance Test + + + + + Content-Length: 0 - [routes] ]]> - - - - diff --git a/components/testing/tests/public_gateway/connect_stream_test.sh b/components/testing/tests/public_gateway/connect_stream_test.sh index 69d449887..d70e78f43 100755 --- a/components/testing/tests/public_gateway/connect_stream_test.sh +++ b/components/testing/tests/public_gateway/connect_stream_test.sh @@ -34,23 +34,39 @@ reset_db # kill tcpdump kill $tcpdump_pid -# extract audio +# extract RTP from PCAP tshark -n -r $artifacts_dir/uac_connect.pcap -2 -R rtp -T fields -e rtp.payload | tr -d '\n',':' | xxd -r -p > $artifacts_dir/uac_connect.rtp +# Convert RTP to wav sox -t al -r 8000 -c 1 $artifacts_dir/uac_connect.rtp $artifacts_dir/uac_connect_full_audio.wav -ffmpeg -y -i $artifacts_dir/uac_connect_full_audio.wav -ss 6.3 $artifacts_dir/uac_connect_callee_audio.wav 2> /dev/null -ffmpeg -y -i $artifacts_dir/uac_connect_callee_audio.wav -af silenceremove=1:0:-40dB,areverse,silenceremove=1:0:-50dB,areverse $artifacts_dir/uac_connect_trimmed_callee_audio.wav 2> /dev/null - -actual_md5=$(md5sum $artifacts_dir/uac_connect_trimmed_callee_audio.wav | head -c 32) -expected_md5="328489d203813f6e216a1d77c41b3ad9" - -echo "Act MD5: $actual_md5" -echo "Exp MD5: $expected_md5" +# Cut the audio from the ws server +ffmpeg -y -i $artifacts_dir/uac_connect_full_audio.wav -ss 6.3 -to 9 $artifacts_dir/uac_connect_ws_server_audio.wav 2> /dev/null +# Remove silence +ffmpeg -y -i $artifacts_dir/uac_connect_ws_server_audio.wav -af silenceremove=1:0:-40dB,areverse,silenceremove=1:0:-50dB,areverse $artifacts_dir/uac_connect_trimmed_ws_server_audio.wav 2> /dev/null +# Cut the play verb audio +ffmpeg -y -i $artifacts_dir/uac_connect_full_audio.wav -ss 9 $artifacts_dir/uac_connect_play_verb_audio.wav 2> /dev/null +# Remove silence +ffmpeg -y -i $artifacts_dir/uac_connect_play_verb_audio.wav -af silenceremove=1:0:-40dB,areverse,silenceremove=1:0:-50dB,areverse $artifacts_dir/uac_connect_trimmed_play_verb_audio.wav 2> /dev/null + +ws_server_audio_md5=$(md5sum $artifacts_dir/uac_connect_trimmed_ws_server_audio.wav | head -c 32) +expected_ws_server_audio_md5="328489d203813f6e216a1d77c41b3ad9" + +play_verb_audio_md5=$(md5sum $artifacts_dir/uac_connect_trimmed_play_verb_audio.wav | head -c 32) +expected_play_verb_audio_md5="1876af04af732feae7f5fac634314187" + +echo "Actual ws_server_audio_md5: $ws_server_audio_md5" +echo "Expected ws_server_audio_md5: $expected_ws_server_audio_md5" +echo "Actual play_verb_audio_md5: $play_verb_audio_md5" +echo "Expected play_verb_audio_md5: $expected_play_verb_audio_md5" + +if [[ "$ws_server_audio_md5" != "$expected_ws_server_audio_md5" ]]; then + exit 1 +fi -if [[ "$actual_md5" != "$expected_md5" ]]; then +if [[ "$play_verb_audio_md5" != "$expected_play_verb_audio_md5" ]]; then exit 1 fi -# Assert correct IP in SDP +# Assert correct IP in SDP if ! assert_in_file $log_file "c=IN IP4 $media_server"; then exit 1 fi diff --git a/components/testing/tests/support/files/taunt.wav b/components/testing/tests/support/files/taunt.wav new file mode 100644 index 0000000000000000000000000000000000000000..794b8322335173d7a3d2347859976ba03425e82d GIT binary patch literal 91284 zcmZ6z2Y6e@nJB8{?q(AwacsxE#g=8smStI1uT&?+-oXM^5FH?TZ$$4MAUZ)3Bta7F zogyWQq^N2YT9PGMR^$?wIK`XI&F1dr?OWe}4kYjQ-be&+&Y79NPC0*>lI+Y(JMl+r zDh}h0lbZ#vJ+WrZnjgWxf5WZ8{(iLPr)!9ff<`LT5sE|-nM!9eoAesBTC3Hn6;il1 zTZ~$b!R~gNwW=1a!Jutv(dY~Yy$&j<6iSs!Arnhv3Z+6Okx1p}6Md;#GeLFk3>7IrR|6($ zEdr<48_gE0)nc)lp}9_@Zb3aN;X@_`hyYb0l_}I(gUMpGJKb)N*XwoJbaGxZgGM1! zXml1&C{^jL@W^F18vuK4i(DcUN}!EKB}0uBatWWy6DhPtqrqUbd0GQrt41!Bx2P2& zzEGw$SuI8k4YM9tBUQAt0PPYfP^FNFgd(XN;VoBb^hPLpwNjzPXx17LWe%6e<1}mJ zB0i7P%!C5?h-GpB3hi6eYK>NJFq%am-kd!-x%3RLkp94@DsLTGHFazwx|g~sgk`Q0|a z&}y@RfIqSj-a1Z{EJ%|?UC=5*Wj3W-dq zR*E=WfwV=BbXR$E8HrM@R!AfY9pbp#>+>Ky0SAN0YIoQWIJHVH5hKp3 z)S&4wnlzdgH2grj0Z)%z%cqi=B9&Uk=ZZ9TpVz7qi{x6wb*7zbu6XouctK|>C31*QXkbx=SLv_K`m(*UhN5mG-GZ!JnRdR1wa z24SNS3I*w}5_A;RSEn24K%0Pb&=sJpRKXy5ROG0j>QJ`@eZVLDM|urxMXjI>08GP2 zQigE~Xka&BB0xC7S4#_OhG`+(QzNtx6c~F5MWl$>yZ|U7y+qgO23D}n5F|7rpqR`c zToA0;Y%#%5LG&Xc5a~cWFkXcQIqC(CQFM*^lS;%AsZ%v< zFqJ?J5iuAYNQ9t3FjRmZ5URdyoDA(8NwB&JES3E5zNC}9u&|_9v=|| zfPr<0WtjL807Qiua5q}8Z_q;vu+EGoBG7OH%-=908K8Hd4FITs<*+UQhlFT+a@lM) zhX*qshYJHhAb>wuYvjNzv`zqOfGL`)Kp#OJEjAcAFcUhR4yW7eaoXY9VYgY*^BD|3 zB}h~z7ND`j=W^I+RI@nn&0=$~!UL#j3eZZ0*o~%b7+DrGEJ<#s1NH54dr{wZSfc;} zntFglm?DuzVVJ|T!r`!*VZvauS(V1{H<{4I4}_bP?KCyUXKi z_4_=~zt8V=J7F+-Tuujw1tvDF3eAF2z@5WlKw&cJH27f9>5S%d=fHooqNo%AK!><& zG66pv4uq&L5DEqSt$|=T6m0dkLh*Z@X-R8akebWHd`>eRI%6_uR2rQ|C6nQc35;R0 zxoB+w$O5rKiC4rd&mOWsv)8oU42J`{}nBGIsZ8#hRR=b=q zX(F}5bdJvlO#_iJVV;K`fwcgDL5DwBb7A^}Nfql8mRl!m6MWEXAP@?N!co968Vv&v zydD>tkYP4$fvFyb2#gIjbVH?($s`IL#6~8Ps0>CkXf^0Kwvek}XJc`AF!lw5KzB6W z(V0kewzoyYh!en4Yym;L4H$I-K8HyolSpJLoz3HrDvHV)=yYOTJ((+!@R<~bK%s-} z0Frt*8ur?)?zVx^p=3wAqpLg777E5XJHr;4NGw+%;lM_UMQyC9sV6dp3bnNH=zH%T zC5!0=M{)9SMAKAOr-~2Fo>`ckoR~c|)9W)@;}dfuemNWQg;-Nr%T%cO^<`Cz7Q4%4 zwzozjUcHzn(K!R5NKl7=@2OvHuH-UGbL#Y+?JYIs{80bm=YP6)>GbG8Z_LPHsA8ji zy0Wcrzke{NsJxmiGTK#5`Gw8FlPjlEu}Cy%lQC&RdvbPAy60zWezaR;Zq7W)9=vkK zRZ}cVuKe-)|NLk!8IO8oHOF)4-eiEX`?dA^it6cnwYPh?TU(ihH>BprV|Ez9dMRI^ zuqOsvt6p5Q=KW?H_h<%d>L^GF!NZ-;NWmnFH;$fGE!*~(bPYp+XGwTce!mHqAE_}+Ji-7Ejj$r_uv2T%kdUQ zLrqmlc4jHp8Pej9oFHlgiDWX_(;3td3(Gm~WVc<|%;t+>%j}F#&POYrS+nMuN=JZG zKnP#DJ0mTw^MCkX-~WBJ-zFed79QKXzku7Cus0q)R3Y^Bj*O2E^n|qJvP!PAyW1sV z@YFD2yJ8{sdw|bAW3R0wOLgI|Uk@~}x&KVz>P4c$>)0)Tj zD@PW3P5RFB_is-aIjXKp5AKXA3g3TgXAu?VT4TrD`3XC{Ngf^?2uMf-DjT-ZCfHfQ z0CQRNI-}JW)R#WDX3dZHi~3LdS-QDz|9+>9Nze>kzBXa5KlJX#?U~h7j?9@_xq2!h zp|JJAs8igCD=WuU)N!pHsqU!Hu9L~_U89jY5dE6XjIN9QOnT@2|9v-5exS;K;npZG zO)M6My&-YUi&FJ}8D&#%ml_Oxo4 zwbc#HGK0maQAl8)sGP8Y{E>`YJef{w7Hcg|Zy@Bi%BZzRZ!>(wg+lIaSO?0#jjMS9>(z&~YJCG$Z0{T%@N;svY~0u2n!Eeu zy@i-oB2}s+%({wdny!6ne$Y;o@MN6bFVDuHC(NbE(fMsL9UQpION<$5X@oi732q8PsA1p`npLqBe_k?pUHb5q0ZC zbP}1)5h>tZ&)(YJZp3YP?5Ass6x~Y;qf=ME`u*hq;lNvOY{{V-W4!}IBcuIMyGp81 ztHn$*rCF%dTRp)jsE{7Evr5>g!bZ|y@rGQiL$9wrVLWs9oU4%>{_wj8J)}eXiumsK zxLYmJ_&91RaMlGh2}`#V7K3(QiDeG=~R|PYla;p?5kW>t(Z**qGVD5PpWqX zU`N>A7grR${nS(IbEUAb&z(AZ?bhWXW8MDsYu_*Adb+wJ{@CE$T#u&qL?({HhRub{ zofzng2mFD6LqV&>H_`=4lRq&$8s!{*?fFfmGP{CE^-bLpn`(S>tM<6#-Op`orUJExj&ZEba$jCQ|IPb)l@Sx`mdwV3U8lUl^# zh?PnKsU-7gE}mnIq$X#^lOa0@LqMx8$Sb3$JmH|zXmSN3UUf5}k;39~SZtx%;&579 zgkTa7p%*52$&iVJa%-p~q^>)%b6;Mg&=g1vPR^e>yENUYBj#ir!!cFBTbr+AaHOwQ zNrCB=0sB&(!V&99c1D8%pH)VyttD|}TC*$EH8kL*?EB5DJ8P6?2~#!t_4nU@Gwl%b z*o6Fphw>XGp1}*ZuFmxY+!kq5{?Xi8mP9UB+oQb$$u_@LEn?BZ@}PCJM!Vvwyf>bC zr%LZ)W#XcrfB*fbNv+1|5*NPz?x9MiHadOz#--^*z@erWWgILf3nU^r;N71LTaIIF3S^4#1dnn|#+PtyOHm97; zgeTPF#OE-g*>C*pn;A@}g{;@T!kvjnt$c&Int zYEyA21el}v8gHVnmA3Qwm-f?*;@mw={SUtX?@xyPp{|HH@BK|Xj}|p4+h)(N%nYUa z+fC%$Lz!hYwbgj4!rPgIS;6bEsn|_5HFfp%M6N!V9PCh6?b~{wj44+s_2KCoUwrn# zfKy7t7iDMV;~JPUd&lVXc#q$#QAwNY%F5~(LYYcqbVU-~?S6xd$E1-O>+1;&vECC+ zjtsYJ8;)(?b_mz3_r;ULGs{<2FOK_oWrud{&8i@=xICG?b7Enx$1WF&`1I=B6D4Gs z+2sqiC;PknY7QB7MMg68cMtd42pR9~Dxe5>bhc&W=I{Uf`NcuMmeWw0bzs-7!{t2R z@afZ2!$ZS;UVcSZW&y6cwu!Cr_Y9AX4s=J|YIc2TK~W`D)!IKfV5#1@erqX5+>}#l zSo-7t`+pvs?(6P!v9dR>UH|^k8d)ecIy$+$dTXUq-cVLnmV02w-Xf+cnj9RRo*nOU z!xCOukey%6P`Sgc`sV5?j=QJZ+*nNZE#130Y7wbg6Jc59u_O6)T&=_7^+&n~QxPqr zp{bb<5~GMMt>K^t-qm$red2RjbTWm>lc=r!pw9%mU>u$V8>)`snYoiwV?%vyHep@v z!Hmo@l0aj!*uAl2s@tpLv3Vi^v#AayCAnH}^G7@40jF7~;E`)8Dr?DXnK{%q*6-m| z7FUtkEGmhqj*PEdU%hlXI$fvPHf)@+tNl=sJA~k zxbWfam4u2|U0qqQf6KPx4RUvT-^ld*+*pTQMz1N(FKy)OjJ&+fJL+0b&1w#AAq;;0 z?+?1U{La;nCnb6NGcplYT&337I(YWl*&d@G_irsg8hE!Kg0C z$t#EDSL2E$`#|@7))qdszPcJ;M_@>;@u87!r-V{lRfB17tY>&;@xsN$0XH9?d*nz~ zerYvTxR42?Gu?-^gddU?VlAQ35q@}s-<M$u72NJ8}}7d)>A~*_y}myWUo(7t}M#QI+2T`h`@%=lbZdJfWu_-$CHC& zQ#0e;9u=2HsxQwznpsTX>)esfzTxr7@xHK8K&q`QFDt{2ly)GSh4gURueQ@yZSx3ox^ zwPmc?5%F4e3K6%NN+gn5une__b>!mQQUaULrqX1Asnt(Ezk6XS8TIHn z6$du1+jO9eE;rh}Z9}KdpPP=GWdaVpCO2c>(Ndy7WA(KqhsTC{V{Wa0(%3}ji`fja^6*rSSRWo;nC}m?b`JKs7)3c{P1J_cB0O8?3B{t}R=ZB6lJiOBMO93lKjhKN zz%~lzG@%r*k9DVpMkl7GMmns#hRRBOO;uSLo}q9=I=j2Oz)%wLSrj}v6`5Bku#3~$ zJw7j($H9-ImvR_121jb}#^OFDxw5>5#uM^cEU9~7<<4iHT$$}{3wjOg(!CouY|X4; zgX72)95{Xc>{P;U1D6D|rXU+e2YM^jJA&;!eSL|L15ExVy@FMjyKmd>Ok90kNnW*j z=-Q_bKD==G-Zx*Ik4tLuGc&fov*DecM@uO%wNA}Wj?XSGj)!D~@{)pM+uwR?M^-IQ z@9!L#oI5o?J=o?@@hP~28(w&Mb0)4lJG0&~bNjQ~m#=*C$3Na2aVxn5w29qwA~!1= zFN=;(^|f`)Ub;NnW>hF7%*xCIhqCet$_Ns7dv|*zo=kOlBoqRXN^Wcx>FOUCo|-!~)#Ed2lwwA8&f&vZMR;PfP^PhkdM8g#4|af&&;fhz^0JB=w8V(i z7Iz@l(Gd&4tGHUmrBfJuxmqRRv5=$24z7|&v?Dn(bNbXoZ^)_=vdA?h*@rWZ6%%+W zuoA+2JvH7Jb%SF<%_rAXR@F36n)xy{Y`oe!I-;#E8|=Mdk0lb&a7TCV&#R?TYb%?y zy%#?IFzY!j;E*$EWo#n8qN<+Cg(rGf+rZ4i(&Fjq;hw0+C}TC2=bp$bt*$2! zNKCQb>2=%8R!=Az@>s!S2qptD3oNP4UV>g@UTd~nWKE?7C6zU>#UY`lYNNyLFoD0;=CEiL3XR2K)k=jTnL;k& zvN_0ICkHbn*gf4&gNWKhW(oMzT0B(+!zvtVADW$?O0|dmPJ@g?CpA=+CR~6CXMsWG%=s2YXTE3I)S2xK1?4H)+$$ zM6d-n${0;Fkw&kQNcG-0m_ozxL}%Ek14L8;28GTQNyGx795xgtaH_PlD1=~XXR>+7 z(JWOWZ-d!lb2^YS0rRt|!5XfTN>m1`Ny+DkS`2U{(^@?q2Y3-ZE-P||tE2)BS11LC zAL5@5toZO|ArZiw1jcpb+5mdNm!Sq{p+4=w(!iD8WPsOgg<7WtFEm>K&1Ila9A~(1g7%4_GB=|a!YXhwQFh&K)Nebq61_ydZHg)h@J8j6Ht5t!+R;7WeYH%z& z9VQJl0atvBS`Y477nq;@$X5%V2IP-dw1A5OJQT=v34N>JU5L*I?}!NVErYKLd7Z&w zqc^}~%+CeBE)7&S8DT>P7BIL6{$;UL1wLgDvcCuXZcqhafB-p*5JNHlgxz9jfxcOE z3W-RjH6vd;cq6T_6Ewk#JXreK$g0m5!<)F-?n2&qH<-gQw=l32%<($pe|6fxodjJ0 zjWXn3g$Mx5`3H^`u)~9&O$1QEEr9%bz+a;dDyEI|FdmiQ9yQxsU=u^`RKN!sKog0) zMQ?TakRu`z^g595UW}aJ&=2$u%78p&I>3?7MP_uKKqP~{!NrIi>(Dv!@`1~s1zcxF ztTu=k3V7h||c=2v5LG2WpQ^0m$={_V8ftQOx}hZbamp0e2tf{6{z<4LJYi@ z;HX4CO6&muKyFaPFbpo{14ORRv||kUJ^@SQZ$kwIS0G0r^06XkX4*@O9G^-g4&-|T z&o_#=_@ThP^do?P9CHX36f_(#KWf@RiCnFSAmlVeK751#MiKHxs=>L8MF${{Ey5Fp zcED(nB2OfM!~D7F+ZZSW5*US%3$qUt=n7^E1Rz}i0=k2oyx1R%O5|F7*e_@oQZH06 zC4&nnDB=Xk0=#=z|7mw@+C_~TV{Rzu5S(%#5hMc8oFAwGeqyfGv>P08><20^ZehGb z5P^%(KY+xfjRcMWA!j&7PudXh52v7l-NH{QHL1<`%0#S$}%vFqhl9+(x znC}>*1F;c8G7LS8QJ7fLa1q~tGUSp){%J%!a%!Uq0-#~y z$0P$#FgnnERDgwuk?;$Ih;$tF{-_{_Hxd>KGC&1MA4OLnY7jw4RETDz7MPD3Ibx9x z0)=U%0uiC^p#7-V)*aHL>{ReGFi!Z7T^`RzWJVFva zky;~mrzZj=W>gRZFdU&jjNe$l4;hZgLYO>)jr1Db0TAdDeIroVAj2M{?>>3}x6pH7 z3~GdF9KrxCIS&h_*1!r(=V6V-VqTy!1_phhzTx_R3fAHObc!Ywv?#)8#@0f#Cc#)k zS^z6Okd63=^?=ZYf=$R69ITV{by^4*ZVwd#P{hVmx&sUm#NmfS2*V#E{(sOzbRu{d zf*2o=U@?LKMFbg10tylaTp|@i2%-B>0g;@(M6J*=n0}DH2aO>32>Xo)f|?Kefc_93 z*o+A`fya+lDYQbtWDVSaZ>0*N;jo8jy=*aJQ6~r&h~WTBY>QT_R6xL28V4jOjE#tc z*nEfu4-68D$F#HiJ&7_x6x#*7e8|X$#KMDg0b+r-C`juerx3@nI59LRFs`9NiZDj3 zMo0m4#58D!6<{h{rF9g$0rehrj^HBhA_-$uK_{qLniSL{R`UnwX{kQ!9D@K=uu%xy zOoM~ZhrMG`LyrOF{|`RcbLa}8j|w&((ygK1L-26_VORe{2fBxPLNdnsM2+AF`hxQR z|B;4@)l6$GVl*bAbmth&2nt+cB1;P{J+=@hVVZr!mUIgY$|J@ii0KAui&2p#2Y#ae4@pB!LC)A0d|PP5Dro|-21pnPEUJQipl|FRs)VXwNMm); zjr3fCjlqZApbC!)s{XJ5s#t6E@d!Qmi810K7t$5dZGUKnkbiUm--ybzY=I!eP*fdJ zh@K&0A4vu7z!UU=JxWsqKN0m0AD|~d9J+@}`UmRnhh`W_7&j5A2=2rFuq%u)SX0yw z>Ltzf^sO|3P8t(<2;HEjh^_D&>jm|VRl!iho*`Mo9FCr+A*VkP5KN{}^9P}# zIuCnI4>1hYhp3?*9{zkp7(xXRlkOW*0{}mSDO{&<0%Q7hzuPci(^M22C3 zNfQYW0Z4a(ki+oAP(>7=htLmbe41hm4uX{y7h*la{80f4;2Ksn@LiFl!TyOsBa_#n zq~sM#I;My8O+>Xzn7hByIdyS9rma7?w@g2Dc6mTwaTLeoaAd*L*G>hf8JiAJ!%NpY z8j1)E3R6FN`{PMX#la(FCj`Bi7w<19afqCWr6~r7L9R)Yi};de#yj zO1Y^;)w~v&Kph(h(25$2@t9Smb2trr8Urjjuyy9umk~5=-7XG^t5UEUi0Wt}>Qu5Q z;F1gW^v1mow_V8-TRJDlV`h~ELQ^?Pcf2dAsocKt5XBOXIF(F%K?%hWOAUaVuCcU| zX^ao1qDBESAgiKdvt#WhRyCe&X&;%M8VG5HGNU&>IKMpMrk9iuA^IImejI$x(VR*M ztQRX}JbJT4tq|}PI<>G_Xav7FyrJt`WGY)E5qD|TMyu5sZ10TvAh^@&?HK6s8(L6Y zJgvEzN~mwBuP!{e>qsR>0d` z034w4utm%SD=DSEp30(BWM%ArZ{3@_Dg+vpu$fF^h-6}gvuk=`vLlq3T3#3(oV|4Q zOsZ%2)cNzX?RJaH=g{!M!pfo6lvmU@fy1sAUwUHSTfctnxjnTKgN9F`vbjtK-x!~m zpH3xu=T~o@oj!Hx%Bk+w*u<6F7kf>R)zAd~5DE)i%=xu+dJ~DwC+ENa>`xwhBa19j zNWphNY$9_F(cbQOynFD}hY#+5bp6t)fmpC@U~Xl;%g7<(%kndi966SCBBzWf1jm)t z#L0g1m;dXR@8lCXLWndoDFi}YARaUu1H)%;-2d{MZ$7`0)CyD%h=p_M#9%Y8t;Q8) z9XfctoF)TzdEaP<=-4ZdJ-+^MQBhHyBGe7Bo2@;4AthT8p1!zx`-^}5+c)Q8YPrs= z7ZR(h>WC1gOs5g6i}H#IVv9eXnjSV3z5V>_2dk=%Z_A*^riUZp@zp!CR=&1BXRBci-1~G=$+8bmC;hF%SMMzPxjeH+eCUavym10wdhk%K zG0_)x2D&;OLbfJoFMD#$nq4eK;p0Ev>$tG2%f^LneYs?l2Bv2d&d|cA_Xk+zG`pYq z&X0e(1=m=(_c*(4W_F;fe_|x85LqL(%2(I?cykTy_|re%B_5b`5XiwxpWhm9>s>g% zFnRLogS#_MiOQm`dG{BOy|!)Fmd%GLuHl7q7q8#CzBrsr4fsi0AOGpPtg?eIKCzwC zf2y6|9K70xOxMTB<-S4m8u&-L~ zi}%f}T)BGr{Mn_sE=lIAPp-?z-1@{%HWFL=W9HDszy9OFbl>#ybeq*4OZXKWu};g# z-?eqe)^*Rmyc5T_w@U`^q!Fe)3n(zMaGI44%F9**Aaq!xy*DkHrk6eJ}j%skaXv z-uV2xjgd3UJ+1v$KD#;8+CDlp81q61Z7OP0@#?d;um8=9&%gZUu0p!8bLR5xd-p!M zdwppjXyW7EfBF}%9;z(f{^mYT|GBx4t?T0b>%+00sZ$f}{@B29Tum&?IlO({b5Fmp zZf6n4J+QR;$>(2ucJJEhevgb?wEg*?{PdNbCys5~T4I^Kw%p+#x&76hxxRsui!%wI zClE9eGk0yQ zz@_=#lWQJ(w@PQH?AcPJpT0PtV7bnH_mA)HoLjkm^L)RNTD*7dFW0R3r^lcB&8AFt zaQgD~tJiMbUOgRG)A5xx)kS+>ed3jaWP_<;`{p9!)V!D2)Oz#p|M>lf=dRrQhcDi~ zdHK@S)oaT=dS-1^9f`qd#vj?fuS{e&5HfaESf{UF=nW3t`rG&a{$anv?DNZt-+Ais zmpAP?u>WYaqI2=)C--h$xqNYH)X%TXDX1Y;?|tF%H*)w!-m#5)n0;5T_NpxlfBXLX zFHZG?i#^IXvhlT7Uw!ep7uRjeraDJ2-MF#3dh5=$aR;s7*onOCBYU@Q-jzpEIh`6l zN8#y8^+bd5k+UD(yf_wxAVEP5$m59@)~?^U<#4Sgaq5HB)zupxUY_qZu^Q@0^*I|K z|M?r)3~}v_4ae29H&;B(`o+I}{~y;noE?joQvBl^Uw?DcrnS%f>V<99`o!ePlMB}# zd~tUnsstxS!;y7QKKA7LjH2R0yN)wEFWz2`dq+O`KmYMyD&TD&=u+c$z5V8fci!3X z+HW=5C=&qdyikT{dg2hw>#v#%nHE{aY=l4$e z#A3akn(^{4pI*OX_tq^38|@=!udc3sc=!I@3n??bqNJ=WZ~uF5zq31^ERl0aRAt+# ztLI0%My`JQw?AAR423#khQ|GCpMUk8t((_x*i&cjU%YZ{_0I3U{qn;wA_W#=E?we>K`l}Z|j^^&%^#Ua0=eeuDcet&B=*`4b1u`)Nl_Qu<9 zulvm#yX%d;OINPly#KqeKfBbUrs9i^?O6Z%=4`4`E!P0i=O_GP28u?B1-xzJpjl@U zc9n=x1rY>Kzwp+9yz(Y(-^B-CfA;a6yB}Q~GLZ@n?cIAIBjdpS<29=IV7rbl2bp(y zjLx3ZS8sl}GTNO;1eN#$Z@sp5{km6PeB&V5l$c(=bmNoHKfSroZQ<19AKbF;^>+?c zb0h+xF>z{TqE#uB8zCRZ*&6SgSU9^d95G86b@_YWdimKG-`<~BN!4_%+`f1B_N`kt z&L$1CvZK4UY}v6tBjZRR&64PgS@kGDGVXV}W2xE2xm3`i)hKBB+unHfjkn(3xOs08 z$CH{{K6h#L_D2`T{4x?QYxl-=>)$_C(MTY23~i$mJs!0Z0)aywyWQUjv6mr}oKLCB z-m`hbx;HoN$-y(!;jxvg*RNf@a(=c$Ps8OL+P!V(k-VaO$aVa8=djgK)cImi}X)UBz;D$Ud_d4?%lO#U&gV5YPvcydG6B1%d59mA>vp_&^PJ(=tX1>1+_7pD_WaA6wRWrz0cJ9zZykt`g`-gk0w`TVt8 zw=P2@kF>eI@Zk0xhl^pCS4Y!C28Y_c5c1aD-5zv%0`cBdqSXwq3Q`8XFgx>jW`21s ziK~kY%`Kf>xp?`)e6Lq4q~Z&X9XU}}*VI@?7F$AX0ULxP#}cribq5loGvf)5)!}if zX=Nuea|-fvP82mt++D*HGiNScyRtMAb7(~L>Ju3mS*6vLurCuiV-cIq3nl6+2Ju-W8+JU!$GSv))kaiL&$DP{=xUR9IWISAqhA+d+FMR*<{4;HgGEr?cA4B zR&spzzT#%R-5?R0+J?plJHpW}h+B`l%|^39LdNCi6%^!TW#v_nMS9q?_f4ESGe4e; z`JH+Zsj|4J3}0W5$5jxxD!oQ3k{Nvw2(I@SlP5=$9UX~8#K^9%tRYefMH%~Y8n{AwJ%MKq zLx@hWV{q!^%y6=^qa)sG6gN}JO|_*tnYrZ+WEzvNa@dQGFK%{4EX1q5V2zPV_)b#o~LSw~=Lq`hh=#XWo^dts{Q$0O> zgZ&*IEzAZGxl@sKytt80#+TyA3cJ^BwFXlsmlh^^yOJYgyIWd27C}IZ>o>oA_SJ&0oloyrOkeHApDbhG2-O28b?%|nJGpQJaJcQgT z8omNwi!V8GJg=GxFOX_`q<><1Y@jbS4DWv`K8G*jHkD>&l@jPQLTw{Ulx; z5;9nt3HX|ZrpD^h(#j?_gtM82wbFbgkn^uJ(1{W@9gS==qD?L<#3v5P1R+k6}1FN-=y{M?J=)T2+5b!23$dYX$^r;Uq@s~;lu~M$?1eZ6rHX#q!O{H6i7pbBNf;p6-2eWysfdW-rhtM1ReC46hg=by5)~i;*b1rG8kK|%dAJY}My1ffdH`Nhlcz1&+Yt`7_4IWG ztU9CJt{0G-U=%PKtMQFANK$36getQGvRU1cL?Y(3S)E>&K}3h(cQ&0+TMNpHQW~uxAl$;C)`??jm$C%9^b@dk@01qogxv3!Im0bZmU)c0|F9X z2!u*Mb8Ld>(^{*(_2j`6L*W3ayIY%-8wjZCsT9&6S;%14K=y;t9q`+AYNOllwJL=I89H}?M&n3YAX-WwheQaU z!wRFKw=3*&_#zRHRs=_RKpa1zp47}`(;z>DD}!8FA-3B)5na$DqJKfKxmi5KaaWcxDUGC{gM`g(Xt8+3B(xbXI>P z>;b`f0zR`+D%TkGa&9w3KuRE20!(sJAs@o2AOH@FXM&I!2sAQ6Xq;B3kqaQ5P6Nll zNL7$CWHUi(O$8&!jUTSPEI zqSJ(kQOFdmHQGH;yb$UYYITE&57OO$yL=(UP*Z4-stpPJ5Nrp-5(}0>L2*E!!EA<5 zF|kC^0*9lZ(_df>QK(H0m%|J?;)k3)v&HH2K@yl!t=B0bj*=@7@|Y9~gUy4mHWn8P z+|z1M3?yg+*soDYqXfnCK@6Tq0x_6&lfh{Bg#vDy)#3HI&1yI*1!4+$EI5us3^8+b z7R(i}m_sxq78Yeh$3&oDGAvR^0!iK)Ebzwy8Sq}0)7=^j`W$A6; z8|X~OIHJP?AnFjEDFN8>DH4|$OwdDrf+Ko}$>!0F|ntuiG9w6h^U9S*rsX{>&TjRl<0l;SX3U0#=7 z#DbJN8HWUBInXIO1r93FLWHnX4JVV>4G^hlu|t+DkB`PMznLx3n2aqDGz-D~z#$i? zdC+AwqoJS@!5J`85t|C>czlt7#ee{AO$&sSLkgtVY0?00W}}kFU~nZ;VKap;Xfc^} zEm~`99ClJ(AEYEf@*eULD+P2ijV%M^eu374;vzM;q(J4#5Y0&rBnlZRjn3Aztf^a%I7r7 zc@SkQg8YHHCZ+(wN|ZWBD3R>#?d$9B?}fl_pH&NI1@R#Pvw;NXGmuGi9#Ub2*6IYC zG&=YKY!WVqK}dl>VHO2nR9Hn7f|p-yjSihWJvTAX+dnj#iWmeOfn3I{$jQUgIW&Ap zC0%JYDa4Q=))sb~4QA*9j&{&11+=;*ubv8kb`6(W8$2ECF8>1a76 zm6fFhg?Oe+YYC(lS8slBcKOok)%iHQ2FOITvV+@qA1|pa&&w{Q>f3q}9m(;fODj{| zVZYn1X^EIV`cW_xf?gn z#H0-+MFm-VH*MTiCiVqn#oON5egaS9Dc!@@zW&>P{`Ds{;cw1De9OpL=C%K1E?~pS|&i|N8zP z4`y7=xZIrl;_@1*$lz}aDav;3%A*+|Kj7T$&put9Y8BTPoydZm2m-G~BjrgfVGrrO zCw{e|xTRwnURdvZbZvRa#jeOJuA_^M9=D!VRa{9Vl^!}qaLwPkdu48PsK3LlpjKBj z^7T%Knn`G4ighl(v1#|qFTPhIi^8sd;q*MDMU26VuUKV*Q<&uJhJs^R#g({{YMys& z<-)0vZkX>auu`(wLNJMNh;#wX$tE-n{+kr?-)Ur*7W4 zwlX)|(;0KCnu%mcR+f;TAqxX zc#T!nBrdP1@OUxHJ3MO2dhw~v1pmrk|NGBZIu&G!BG5ZJ)b7&BID~?Io3|VxScXmy zo9WG#1)?vPP&Cyo_UqzYCIUKF2ft9*9NlbNdVul|02M1?P-7`tW`=El0* zatbbM|Ms0(RL|t;cGC8Z`P#w7rMa2u;h2tzFDkC%8N41v^?@DP9B){#`-vyFk|HZ# zd~-diAk%f7a~Ce0Y}ZjCvG!PIPAO6D4j2iU*^JiNtJlt*OofbM4wFJ?ph&HD1)-pf zYtiCf`{!q~b(0UixfJC$v7KXAKKc0act}Yu%g)TsD<#N$?XIRB>yOCBZ+-UL)y3hc z4Nj4QO*Nm##2}#=rQ-A1}ltbdhIh`SS9hlY={cAOrFlo5Z$& zgNrNY#g=a0y|yqIw!*n&=&Ud{qp|GR{v4XSKUnqB&)&rQ&VTo>SE9Tou4n4{$2U*M zwe*U-oPrWuH3>!Gr#@zd0NpT==- zACOGl`@F?jCFzy9@)cTa|7q?!h5Gm}_;WXtO>ytJu6kz70nxz}xDmp;D#;e3Z##Dup4 zm6CY^p#LH-aP5-(?Pm_klb3(@_3!`i+1aRw$kh6S4iWyq8&5y+{FW;7z;v(M~xa-2_ z-~H=1cg_xjn)dd0dH7|!*S+}irlYkSA*-oLVDDYHdh^Byi&KNW$^QOszbN;WHUGT1 zIXSM~`_v1Exsiq2pWL~=G!*tlQnT|TA$jfLjlThx7MVrD6;-lr$%PNDoIf?5>P{s4 z6MlL5#-IMvTAa1hTKxL6n@ZJvD<7_2UYzQWhhlvblPNDZfAcG^Y{{c=iP^jM<&$;o zevZ{IJHc0u6wY7J2Pp&Mrlizvl#j^PIMfvt8pV`*n7@nINNJPChlf7+h>B4-B zm%DXqE<>XiR36-Upopdm!$~g@uPe}V^77>|^|7aaxz~L4URTj`kF6~>3@=RgK>nOY zp%0Fpy*M9XXTH0&MC$WNOLuQS*3c3k9}P%35_7n3dSNc<6y&}2>P|}A;wg9OTd(h~ zF%Dl?y)fz(Q|OwGljqJPTPpXwceqjQ^C}v0ju#RnuFk&hkj-fEbWEI?Ya_q)FE3Q} zeR9RH>+vVHk$gj=V2A)WyGR=vIemJ>&o9f^U)XGNshhG77m!u0-O2U=Vmtiu+ALAm>806`-Zrnz*4le=dA`riEX+8J1yU(pba}uhovW(S?Q4kgWQ^ zdwc8jAt&Sbw!I}>Pv2N7=5+@iJ=K|98QGN2e|< z58BDcx9%xvRw|k0M>9^~dFEhS$OX%Nd~ErH3!~~hnTeoPXL5s?rhl+qu|Ha9Gcj>l#$miN5HV5p96QlDpJyv?|?gKc!*(xIxf@xdfPxi%K2BRlFFuOG0 z#@zMPb0?gaZ?)yW@YK6_*UZJUqaiJyBXjl6tt|BD3CDNtuT+J?vXWgpa~aOT+3`*v zypp)vN9U)5jc@(*spF1IH#*8*d1`Z=Z~n%Ir#me&sn(O6KflneD&PM0o?1=NFD^T9 zppdGI^z}w98iOkiHur@DKjVd0a_lQNda7T4;>{w>;L6Hm%phP14Q&%ka1e;1A@6t* zS!pwIiVq&G6u5hal3|C<6Yl689PY7Ht^MgMwW&L2xNrUP)m+2mmCI96Q;XIf8(qAx z(50uBW#!;m5)r*B=U9HD+}n|exUG(G|H+jL3rS7!`d5z|mu{u%*8h54uA=YE!hl!F z7wG~63l~>LJ>0S*Sq&|Ij|6vMcV?p|+S?O#!@H>^n4CO4X3l%*@hzO$&#qbyKKtS! zLEqKeD_uGcgQt&-EuWoeWf$z(hm!^qPV(`LT!PZyGtg;QDfGVX>E(qU@sU^8l?ATc z9;9x4<((pV_wvQ*ps2BdYfYX$|9`1^^XRs&Gf(iGIX!2(r+T_;OVZ_R6~|6Fj<;C0 zY)i6MN}?!=q`0pD2!bF8V&8XS-!}pvK!P9$?u$r@q$rA_cG+5EEApP$i7VxDC6!Fo zobH-BXEJC0ncsIG=(wLP5P0vtd%yeL{ab$Dl}WdxrM^vKaQW==_L}-0Yiep~u{4}W zW+0$GHy!3Z_n*I2J^ao+-y47VtpnPH2Oqq>5VM+nBdfQ6c6&ak5!6?o<;xWPJstHm zEmCK?I5|EXi{}?ET)Dblba%b>^y>q&Z?B_2KY2_swtaoQ;I~)L1^qPj`ygv0yHSls1|PpJW53NdSZepeDGwV5|JWcaM9ozPF)z^?QF;sTtdT`v&xd zT@rV3^Xi4XL)y^#l7`ENeB zH0pPHBB|koOWxT~TYIMR*s(fkXzKjx?8MCS=H~giLOKqOo20X~gIB-j8~?{s%_Bei zWJA9H;K1~IfW7$HoKGWHn>~?`rMqh1-u*|b8YRA|ows0i6dhf-bp7(ekPXItJwWc2 zw7mZ9zj&%K_4a4?Q|<6{-}&^nUp!h*Y1>a%HTM~y_` zp;AnF3`%LApb>uVXAk||cb@Oc{_J0WvnV;$8QS{fw_m<@J|wBHg3`TDDiL;dLiHjs zB+tL|>tEelh(dP|2|KZk-O!fiJ%c;O}QFyiwdJjeB#GX?pG~*@VigX8HB)9xq5YdCO;h3 zwH?{B=P(djd_lL_;D}FNxOV;W`O=U_FBP`8baVsP%4X4aS06moU|xLukH5KFH23zX z+z?!s($0aFQ%8(Pyt2*~fy$lA6V@@Hnq=ah9AiB_2-I>cPa;hqU$EgbfP-a&(`Pci`99~}YS z6iO3$K$lq{P?Z8FRbwW+gkmve6V=!8^wHvMDH|jB+#p&=+%1$EedPYkd@c!K+XL}L zG6?02P}nP#tMo9Gm;jO}40`hsJ-_zksm5-l9av<=$~WrWl}b;aTo;nIIeOS6j&Wn^1VYc8KH# zNjPpNXK^z@%W6z+$ajI5I-DB@+*?;uGp|nxSNm{g4BErtxX)&Qh#mg$fRuu{`X|(YYK2M<6#=}ZfzJ>p^mC_G4+9S6mOzZ4zKd!)PT~ zaD%mguO}Zx`avoMC!ve`U@{HivV(c;c>tmekXld+$Z*sJa&XAynX4##qgfruFPVI6 z;f72W;Sl};DgcO?kR5YQ-gf8}AT~#_@KGnscS1gfN`O4Z8PYU^cqg$uISat*oH>J| z@!UZIVJ8oG5YDg>AhP!|Z(Q=0hf07sOhPWtc}y`|b7Vm7gp3#{Nx|3Lui9 zZ!nPs_yTmddL=;?P)`_y!#%LtV&q)zg%%Z3e+`f;VEqeJ0cr=hHv{n*dKxIa#Dqpm z4&1RM^shr<2<>2OKo==YfXfOiB7gqAl+)V4EmE;*)tKhnHo$B-+##S_4Z&Ym&+u8-V29&>;!sydZA0888#G$+GDCT z3d1%9H0$+^?Y$~nATc}!klvZe(F9;?`bFIW9R3Gr0sx)_x(R^S(6`9AQ&6QTrwJmfU+Oc3p5Ytd{!511aiZ`P(XL`4gztJ zu#N}7+d*F#8!IUO!4bD%fZyEE*eL5Vz*bUp!`K3V zDKMH>YHi^hG^eRB+~k8khfz)V*{}z}r8fcS3U(lXn#TTVBw_2VuQ+_7p-1nD4h@f% zmM&c0*<2X$X+>@Iwe`&%-4Z1ftg#`?HPCs1X<;9rE)lT7Y}7(ji-pMy-3=Iu4ghvf zjzlbd&8LnXuj!N;(fBwZE^l4Ex={+l#Jsh=OVERjL+^mmmmJBD3i`Tnd1iWrlMj`D{?#eCVey?yu{2Mk0aO#O3$?{)gwxT z`|Rndg}G8bKMuUfeAH=F5A^kP3&s6XsZ3+_LLV9S*x}+y=nBc<(UGKE-QCtEfCAa& z16K6N^xEa?R{$Yqk_xf25p;HR2_?V>MEnNA;vNRqa|s{NKpM5iJ(?4Ng*`kn zR>%ev^#@*h`A~xd>Jz=YaOM49eg5%%h;Y5~mXn8%Rh_9ieypm~kOXevL~(ZI!usM^ z%xzZpbv2!BY!^bis&zyFxH_I;CNDrMvDu7r9>nxb5-aq2Sn^gc-+b%#_08#+Q4GtG zW?O)Ag`Lluz}oyX*kQ}-Le zaRK9CP}*>K&x@~CwDbXv-ICsT_cy=$$Im`^aCIT3Y^}kj^W+;Zy>dcmiy;6;a(o$} zc1u&xC%WVvum@}Bb+)vLbY5uYhvKkH%O!!T5b~RQYK}lWpz=hck;KUC#oG_xc>n<- z>p5*OsX@hg&@F~jxlx3afQ3nJ1e*(h&4_XJNtHke1ok%rga8V;H&eeAEiD02Y-6dEi+c>c4HX9t zR>IV)PpYy6bCa`ElclLy*haV|b^BjU1@(y0$)JV7NFvH9m^IFgXd3GDAPFrK!2Kvs*l% zHp1Q}jgc}sJQN|&5WAXRePHjt$_|CYABvByy!GMdFw4KQ1$;JP-SLXb)2Awq96iI= z!*a1OR+yYyTw0hZjir5BVRP--RzWZNBe18jYsrtJ7r;&>Y?rm4KK$mfn)Y6~-VrNZ zy!YX!pMLcC{?2^T+}qmNSYLhO=&{pn{YD_A18|;}hvF1wf~ZX);`4A;=)tMeGDy}w z6o@37jKYLL#RLB2nfYuQPyxl}G50ev2avDMPo2=TvElr~P+Sk$d*X1P#bA)MzbA;eLCSciq0P0cd)Wm zVsJyAvGedlI2k;C`@%#}1t_zs+6Dk)w+W;ehXC&%D`EkhD2|T~`OPvxYfC#%1W0+E z(F)6vA*_zYQD9fu4Km)@lgCch3*^SZU}|#x=EI*qdT?{=TrqAP;J3B4ceFLtH+G6u zFy4SAi$9Sqpy$m^<$@Nus2gW4xI>}Iv92PjOQAGb%E!#z)o;GK_fU0vzrmZC*?j8} zTp>Pscxl3~?5M2P*@`z0RMZQUw$RAp_S^3~e(%w}YwJ@^Tmj|^~8aFN9*`%_9e#`R?e?1&6RS|L3M9edsnxZf*fG6 z!7eRDkruK^zY#0p{x?r`>B70<hDoHPTo7rlV@td2lw*V_0t^k?_ z=YX+n+^r`w6tw}NNDM$60{9dK#j$(BiI`j7aPX%uA8fOYuI^mj*}4Aq!-r6$r(G&x zQ%!BF*x+zzdz#NSc1d)D!J+*0(#ko=V`F}&QGuluTLT1Ofa{l+ERPU)1JO}j`o7jv zM=NTCw%pRit@YKlt!uY$ZO>;sIQxl2eR7@ILe>VIVvPeaU?U0Z$HFMU zYc(?LDh3pYY#{?DHD(u?WB@%YH%NMTQxqoM8Wjv0Z2w|6D z@X0%>j#M;A>=A%i#dD=uD6dDd3BOfERi+$O7VJ_-0C*RQXR;wx{obel_D8Q)iQM_6 zOE=%X^Y+_!Zf?z`>`DaGK&1g7*jjg{UZ8PBhsWnu);CsQXh#6_WK$yn44cjt7#b}W z(q2H&!D=Tkl#LrZ4nOzw3nvt#m+s%&UYuFI{?2=Mm;8NA$VO!wBy4g(zGEYm8!JvP zu3cCyCG1LZ4;@oAEVhfu6(5_1S$oXm81%(QCq|r|`=0vtllw(O>o+dV6y~pg^5w5@ zj~RtsJp*zv59XA;!uBSd!n1R$Yin!gmm!xJKuikp0EJAF=sj`ZrD1fzZqW%~9`~lU-~ZJ|w^t{pS1zoTyrNUD zzk0Yy8O&w_8gZXCFun}rQWzFRFc>-;>sxpdts{sC3TZ@nNo8{>zaCDYJ%AA|%ognR zFMRtuukgZakKfy#EUvx##oymfiz@b?XzQ1Yx&SvCiMWk=_vq@C>)Yo`ajT@63{zx) zJ$JhT>B0n%z=6!=HuUp*wElEVQSrlX{I}=NS|_gDy|r`s)}xO+2l#yso5LGT!~jm)3&22YaA+7p z1vj8daIz;0H=Ukj8?e;Qjpc))ec$;DU^Qp1eRzMlxOnf2FYl)K2VOqfrBI35+NFbV zclEg=g_W&K=cjXiP1oteN2)vJR+lH3o`7^Ei%uO4yVU%~y82e3%$k^5%4YTOkjFne;VBhgJO(9IB0>%PmkZ06E)DjaPlTT@}b={JMTAI$a7g4Z3eS zL(?qGL&+eLP}cyLMqzW{C=qbOXWD>6V>DBo9x>Iv@cm~_80PQXT}cmL_{HykxooUE ze4pH8BSGU5%R}a_ZxupfTfZ%kDQ#hHR^lJbS=Sh@S zmlJ}$-~Zmrozb;>@7}twx_0sMM#0{B=q0ez6ivn4b_60oXc$bk!GOmkg;c+;wO8*+ zj^IE%0kF>?@DPQ-J{I&7@w9FOK6Ya%r9AV}lg}Iyc&9fv=Z3@4-1OpfT-|aCwfDq; zBrFiB;L9+Phbu-n9`)+`;4Y@X6h=Ud9t0P|p&iz65NshvkJjSGmJ-f8dHI`9{Fm=n z*%u!_nsP>VzWkSWQthw3c(7fjf?=`B8BY(zqC=xoOXubaadXevla=*62^Q)=YJ6^C zCZ7mm`y3cl_q5bQEaeD5e!6sFHQxEcU;OXiIb~mb=jZF0p@lmi+?_JkzxL9bExK^h zs}c&;-W0ausr=l^!iZB`f8xl=#vZ*dHG-95dLkEx9zj?;fjaX@nSe*0d@=3RaNy>q(CR}VJ9m!6O^4JxU^ zK79V}W4ITl?fva%YwJ7v)L65z6UVl7tT;6bd9kv+`o!thK7)4%nzQRO+QWbIXHT54 zEr0sOPRw1p`@z+4S7l9)&ZJdn>|Tewqq!H_Vn(nUeFDZ|=-Tlzg6qOfXGY@I<11wJijM@5%9O%njDVX+s7H zZhB^YH6?iA&;Rq^@v{&9`#;Y2!pv%J%xjA+Y_A6S)zxjnj>-cSJ@KudKi(<$Y#<-e z6_Y_@PeWBLUkju1(JbUR>5xS(RTy9`A2ByQ|5yLz$vXd~kKbDkSc7A8v!zMc(vQ2u z^;M^9>uPH11zMmCTwE!HU9K=pHYXx*AgZeElsjmfmV>CpZ__HYFsBBdsKOjB%#51q zUVe6Ob71?kfBxmVw~sgA2O9O}T-I*@IzQ&*Uf7Jl38qv`xeZ2FqBu8|cFM`ZOi!4n zz(FHOIkqvzsRYpgE$(P;YH`xrvFC|z?Gcwg__u%FR2@IqWG!8J_|Dx+lL2LCJ=Qjg ztTX5*p|M=!h!qxA=1070F=W&hL`sCj6M?u8Ll02T&g%nxeK2N)IkSk@Qdi&OoY=a)mePp_Z1J%vESwrdX+XFV zH^kdcs|jMK5Ddtjf`iY!SgFXITO866PO~SweEZ!8TjL=Tjp8VbkR)1pZ(H^8(>z0X zI2|w}jwD3YV+b_@ff)1CpdMt=%6dgo9n9nbE{+f>ey>?BQ4K~CFwT#U7P4Wx*_&Hf zTP}p{5O{%AFynH%dIX_#7JITzRK+x<~BRQl<;e>?vYXC680tX2?thEsWlwzAf zV5bM7y+VV4PXxmX$u>m1vD8q&0CV3yOC*zm;f)sj52W%Xgf+l6%jS-Nx*?}h*aggF z7NU*?{)BWK2x%mKra-CiKY?-yg%E%&fml+!w*+)I#(rLBFBC;k1dt3K7Sh>qoQsno ze=r7(L?&z_b7Qj;K5!ZwB31CZ0e~s+T>;KPfiKWoab6&#CK5)I+>znjfRP>wehQS^ zSjc6^sV5N+1FF>z=`H91Rt(V*3^*-7NOf2#UL??>bu4Nnu+W%<5Q1#r>JcUiih#ml z(1N&`P)xe5n1TSStv9-3QMVaRULHa-1CR?)cCiT25d{Ksmj{giL>imL4u2f%FyIM5 z!+|2o;yz^x145AKfMgqH)F1<}sSZ!bYh_K3E(T#g`j82yjgSW>!a2U`J>N~2sCuybL`4le>HPNpcFibkJ-zJt(O2n-j%E#L$AM~(%E zENKT^E8=+gnCu=YFuLGy;0<^NA)JS)KIs4$XcMv4$?6QA;Vxm(P*=rWl#O(RK8Wft z>@hSwh$BEhY9k1M8B<8gkC~OY1Nc1T&9LBD+aNc>N<|Lz1#)$Qs6jb2HVC528D0ERI~_3s87iP4FHa zU_m*dAfYVc0GgKcFQACDBp{H3-@{Mp+Jtb4@WKS+gAYJ6+weMC1tsApArJcCKt+9v zkO}=*|4 z#5yFJiR)0TX{i|*Y94roh_Z-A%lLverJjjC5B7m9q6o_lG=Wesg~*kKCQq`=2X{$6 zgXYE^>|$M zEh=dcjB{dcGh_7%LzM}M8*3z@jez^GXizrb2)P2`ltZZC)ZEk?h;HgSfbV8i0Cm)j z(Q92ciU3MXAbJ@Yl!OKY+6ZasuyqYpuhX+;9N5!Ne+SPpOobeN!j!;YW8 z-o5aHf$}LpEr$Lx=y75|lET>rbtN`+Mw>6!E9)Sy3XqXusmu8DjYO)&|%?5XJd78LUPT;C5FEdo8d9@T9E~228=-( zQZ%PJ5#Ab$q}%53L!^V11xblRSnFt?>cR+fM&d5L(G`Gp8=Dz_IEeM%=nBJ+O0ORb z`0NP2<{{@tU%*BFNC*m{f={RqNgK*St zu>0ZR23Dfk(Guif=5tw$u6Wd;(;A(aW_*zVI)lwSgvdkk!DKdO#RlBtfMm>rhDS4_ zImsm`5cCobD0M*m^e2-Zcs=?OaW4jT5auvu8?^HX`v*Y+;&c<%Je0Q{D;&-svQ@e> z8JApU4|}yTohP1fD+V0tv{MaKtdPaz4tQwlp}t6c8*GDefO-UcU!ku+7YTUHMi+dk zFfk%yCqf^fry<;^1IxG`UYsE~ZV*sEilLf>mZcw}P)I{4gtAskQ1zHn$UcK)8jx;)sJKT5 zTdOV}9QYt#jgz4sLfOtDddmhpf8aanvnb&qBIxev?ZYLNDQVkDJ2MPk1bcx_8{L_U z7azeUjIh}e%2C=@f3^|ERQ+0KELWUaTt#LJi%ZMEQ=81E&=m5%9s#e5ljB32h#e;d z5r`$o9s)aHSh!%K0HyG>gkc4(QT}Me)>Bh);%o=>GCD7$NE?^0UAue%g2dH@5+a{@ ztQwrhS`pKuwXFkAccgQ$AY^NZ84Y>tXgTD|&KJx^1x}$pEQUV6bD-|Xv9nOcNmO1K zSngcAdHdG2ovrnyX$Z<;@+22^LKD`~*3r??$p;V+8A7nA$JwC}B;061hz+p5La@O= z#<320{e#jo`wyIM=eIZW5s7Q_^0hnfK7RN9?aSw93#p(BIvQa|dnb?A)z;k9LOM1L zJht=2(j<2M39l7aJaRgJKn}$sE5MM%7YbQB_rJKmUeHl}itmTz;e{*j{Nj_x4{mNQ zmLP#eunh^KKJkTuj^_HhhF16`nqc8IJv}uAwJ!iylnR8$)F552w*vNS!tdh5}9@7%q)y*deZN<^%X@W9WO<|Zft+j%|xYWQZ477^wc$QW>? zl=t^ZVDB`DKo4;km_UZ&a>!3V_w0#2Rp+6@l8Gx@GgFs8{)dllUj{%zHFzXdTL;P4CWjTix@I@{6m2v8avnO#|5U*A|?$oY&4sRS4-y$CWH zCN0GXRK-r=8{hlUiO%|0o;f+VxV^D(@xwp-_UBi&V8>~J5s^kNfu2PH>)V=!`kIDr z4Ry2&+m|*Li&R4WdHga_3!&h$bZbgYY;2xPS~GnQ~5ie@LgT|`QII6XQsJvA{J>O1(% zp1RIc&plfkT-{n-zVi?N{PA_fUyaFxa)Vkdz!5R%Q1$Y8?Ts}xtx_nC;jy^0xq&EE z1pX*MXs5PbNUBqLn2-%S+FyP4Kx6&BXI}3cS=(5?^a0R`E^luZ^t>L8R@~MhcSj&9 zM)a5Z>eFYtWY!2gPj@!gH_lHdta3nZ0A+$FLBE6@MJ^S9@5XZ#ZT0(JsKa=3{l;K^ z2~mVLF70drTZ(LRARPb>3Yn=TlX1V|)Sg2fqPo3r@Io_lQ>%AAczoy9-M5y**1$-{ zDHZGBjR5^$M_pAVd?BISa1Iq`7tXD3Z7xq_pzVWc5TbppQ%&~LM`Rm19-->=2O1g#^mS_%cy&f-7| z35`apQB%xCw?olV)1lP$)Yi)a$q;}75NvXM4iO$*flM~ygoZ2?!ZK*nBEXD7jCfy4 zNFrIZaU520%B8ap?Q>yFj2WD5lj-($k7XvG?sn zphh`^EC9n$R0o{1*bxOM7cNLVPTT~2kFy+IU@jdD@d{m708u1eU%N%SWh%3rBNkLb zm8TCXjGfhyBi3OGDL@}EB?C+e_ldn030kllr!xsY*~WpEQaZSxNbD8ZQK5Wnz`lXb zeW)v4wDHD91584GCW_Vq14gWGFtntw0Vspzj$CPLL1%KR3mrum$N|8B7O&a(_auR1YR(Au`G*8J3d4BSV4#3~aEMo%vbUp$^S1bUWIu(RK|5 zQ14*Wv&O+UINC6`#3t|Z9!FSgB#96vn zp7fOQ4j)KHX;3c97TiHkqDBCbl*jH>t~$CqaT(Wcb!h0KSXOOh#~ny%q?3$?1Zu1Z zRsf2@MWj$u)5WSwU4T~CVI+%=8N~v}W zVTIFMbWwqroWFK)73CU?(Zm%;UnwouLexgoVL%kiyj)47p`6OZ()5F0pqML~axQ;m zxlxX|M>S))v7rsp8F%p}qYc|tt`WE@QDQ2XE1L0=^5Sx$!pi@4$z;DuWw^)W|FqD$7_% z>>oHfx;HK0$HJMm|io5aZ72fNH; zC3CllQRVK!3S~dbjesZgjQzo1hH5ns84p#!bOa*+^Qgnm; z*kuk&O3BMFP;c0j08jjjFOn-^~oas1ML5y~gpFJ>&)(TWhHK zak1N9&xN>!n{3Q-e^}+{HkE^cfDd9i>nyvSH;B!Mlmr)WJ&p+FMjb@Q*J11y$^Q%AJ+V-bcQ8 zz!gMKC`%;dDvLk#9k;oR=##z8)scRF?N!QzYRTz#e=;l@T*rDMQk9kYL!dFd&sHWmx%Sa!JQE3 zOp3`L#|AbqxdPd%j7(x4mGD)|(93w8t0pC3B~!Amh`<-dW%^)AX|~~J97ZumF5?ha zDdHAOKn$acI}p=D_LDJ^8iF2C^HR>cG!mofqEi3F+ufOis>*053UKW<1hOu(gh--9 z^grv$Wfq`~Stq6{Fp771j90(*m5OFWQs&g~;1#9dKG`?=pa*!3qkt+#ETyi){+26K zW)|ymY_+Fqar`C{Sxu-ku6t7^f4VrT*(c?T{Mqs1>#h2=BwPnBr)DJ(2}B-OHAW#@ z2=MUhODt8F@@72S9fo*^Sio_DeNr-(2m8XFawCaG6E!2o1*4s{BVI3$G43AY6%oR{ z!v-@w`6@HYgE6AqdzXRcKY0`g*((dTrZ-R zk!rVLxm-A=(E}=sT9MLFE77~G%g|4jfYDOs5aopwTu;M09P8*IS54v-$2yi{nRUdA z-70|+_LJ*6L_aHp5m7Fmn|aG)fVC{6kt2ptNng2Q@R*~S%Y`)~t1#VWa|Ci@AI zU)UdfP_?;s=Y}P2{i#B%l_(|4pJNGohbYFItb%3g@gAk550(M9ZJ|NHdPaE|pm_Eg zV;5r?M=8C!n<=rM{pQwC)(yDdtSHuT%LL&zc!2-8ny~@KQAINn7>@faFXH!~nx%ZR zoN1XPB8-}zbtOh5-ekGctHd3yD0&MS5GN_7Av-kER8Ms7R*RBSPQ)OVD@|5Rz(K#u z1CGXaY4SS9gtfJ+D%eBTY)EUE)Y!_hP098Iy=(Bwx$faaNEj)UMxd=?xti(h%>BZC_O8NN+sIp31zdp zDx*C}m`fa`oT#6&3L-U?&T)m&L9bGSv$U)Nlv{allKQ*MpmI;7XY68)h4(-=_Z3C4 z`Vi}h9Qp@3@ttE0mCAvkGBYBMM_5ZqI*l}Bb_8)Y$rPa;B^4&jgrFVg?5@BUvJr7H zLoaBv$;Jv}8&(6#4ui3Xhb#d7*}PjIj4T!GI(IVRP{BSlpaDFrVL)jo4Zfv+ zz?-m%_;UA9CT!K3{1Ky27Rl$bDeHhGH5zvhdTjE3jXN_@iizv`GSe~mCP2&R(V3DN zyJirer#6+S+b}wxfk6fQw##e23H~zbz^)4V6R}-!sr&3V@{n^ zs`mo<7p(npbms_1j8r;;3>!= zmQ2OSKEjTVL0A@`;i31|n;pJT(1WIiVj3L-1{w6G%VvNvHaZo4z$gMH9I#hFZfw!O zut1jqqBP78V95Z(J-kQ5g!H@6BES@g$++<{bs_lfL*s!yO(sTASVPK=t^wt^!OD!t zFfUNg1(QfS1eG?-K1hj)K}@5Tjc*TN6ksp}M|(K7n_!wm(nEj-z|fW1sM*M_1WCy} z8D6)f#Ao0Lq?&;_CVYkgJi$N&*noz;B}{mj7J&^QjC4RJkZOtz4~!TLPz)%HMuMm( zg$>P0pcGRLO&FvRBOxS>Rx%qTSVsqz830KQTseDim1FvX>a) z5SDVfG%A(mY*0+K0|}_j?q5z9$DKl9v2N7s2mpa<8i*#~&JRQi7#u;7O5h#vY9x|K zs|l}v*a5&p7>FLg6NWoS4DdECgGxRiQ>YX&tt(E>lkgFog<(S&gH@xH!3!Vu60puu z55Tk=An0xwvy4p?vr$Z+77e0Iz(WWX`1;ko1Xp4K>Y5UpP57f9c+bzx?FA zx7JF-VR*>4G_~@?Dzhs9C`K^BZZ+x1aRO@9fCv2cILsg!!6w21V^2#{OM6H2naZ;R zh+Q(fw)60lU;X+Q_Ys0DZkO|$ffCUTl{dL-!dD!y7{MSczTy4@5ONqf!;lVcplNOW ziOSlBx?``t)~I)d^UGUrfA;&|fARj#>`2VsUw`DlvAT|4h0y`L_ecbQ;F%b7a3Q}# z2efpujt>mauTNVWjvPDFSiA2>&z*($dTIOZN5B1l{_TqgtD{ke_|!{3dhTFdd-s4j zI*Sk;YXH-V+xp?S0Fwy-Et}#qm+sy@?-MqhZKyr?qi=ox2&@byF5dXyU;g;-|M+kv z>ybCS@xv#6xVN$ek!bSESMS{32C%I|#%rvpuBtlIBv1^d7H&McKP9U=dg4s=>)-pv zb8W`(;>{a(fAjDE@4q}cm$3A=9C-4tzWI}reAQsQbn*Us@7~%d#$9S&&B>EhwM`u& zU1<8|$G_OJpFLXH+)?%8Z$5q2GJNUbtvjFn_dovYzWv?jPl;`j!s;~^ z;bzRQ>29d1tgdfr;}5t>Z~gkS4MWv|DxtLQM}PG!FSK;`-u3&x`S(Bm?oP?8;-A_3 z{lEOHC-=4J|7pWm2|8--_&A3k*WXl1?7Hh%NhUp`26R#XXfeQ$o_TNU=% zTX!yQKKeiZ`1{+VHW~lStKa?0Z$5Lh%b1+mxc=b%4A@HO^k7(c{3Ku9U-{(Uye6N#`|FjfzI8Z|cS`e*3G-vA)I@iGp|J$3Lld zuD$cY{p)Xk^ben1N%q%P967M(+2@Z6Jtf$5U4((p?HM236gnG^?RgQn1?J4!&p!QN z&DnCIUT#yIeEO+M*M-L)-rBtK{+D0e$s70$rw_mM)H4U#jERYb^Ox_w|NfnolwB@t zKYQZ9>u**fkmt_=XEtz9y)xgT@#(T^6q=rbJEk5om!*t;4`mwkG}Qcg9}Sr4?li5 z@93^Ob$s6oKiPYx-#uKKJ-2!D!QG1mpnvmPst>;O!s{nm1{}%h?RP(Vc+TBj-z4a1 zt=PN2*;3qj>&Di`=GOUfAcY+}@WvZ&o@kc&MyF@z7SF9LPp9m%?yfFAudT6(hxnzd zH}7sGgva(*i?xzd&%M+fyYl|yopTo+{PO+vARzqC9RBH#U#bw8;eTIRxqSQfrP-9n zqLm7os*W74Y!Vs5<0}`J)4I0Pb=@kxvWF)NFW>+8!}~jHaDa>Ic~x)jJ9x6O8@5>4 z>E(?JYs+&JX^*xKWL=A_D#U z4^_4FYTe1=()#Abjn$>8j7#0eZ*QqPS#hdCsP&{KR<7Q?vyqfFU^I%l>Q2?m;>)-0 z-ng{BvNV-2@M}*TJ$$&LhOZ0d0ai1Im^RDfAp@q^0ig8)PS@m57Xc6I7oGsFs!Y|> z*rF-i{q^UMZ(Q2Berep?0dTpahu%Eis!L2SFU`)aZeQ7$2c8c z>o=~J47CRix5)MVji0@smuI=~bXXj?-R?sw4$w5uO zNZ8GX2d`A;jOFJxZ(N&mH1B`yRQCYC;+5mN#Ydk#zI1NuoloxM#HT81n(Gez^z~+I zVQpXz!Xea&aU1lX7|$nJ4x$DvmbHA!`vzCO#?wU0ml@b>!R{8U2I zRB@ydm?8CiQ)X#nd2)1gVrmRqTRvYXhW(+|3}aH@6pq0k48a%oyj;;KSN3*@Jc|!M z`{eG%+}vEjBdV@AUftN*+Bx8Y|MKEkGM>&Ch7s+nUtt<_==w$d8XF93ZPC)&YEpFU z_2UA)P0eeOCN4aDbYpp9e4-H5v{#?1Yv=L!VzgReBA@UA{tGb$C`ysqWYI}sgQP_` zpZv^hT7L57Jtsvbqwq|VZS5ET^y$@!+}zfMwD7=-`&*O-X-9`9vvGYj+}qk8o}7wn zy8G-y`HVx{B{sO6I*HtsEu|y}pLwoQ9*$~T+8k>i|L*hKGr4g@I+RzwcCcP*0T>g4 z-mb1qMRfftIKM>(wF)x5^V$qrlNX`hF0AFXr(gQ<-a3(_`S3AG>AgSv_TFS>@xgnW zmSaypTVor|YHF%glXoAl>yEt6AHKYu8)y>xX4j`(-L3uZOxCZ{xYHSH{ZF5M{-nt2 zHmU7}+rRn0zI8ORhhKd0Xs6n&5fAuR-v7fNK3IvmLc_!Eu6@tHDGbf6j2ODbu8EaNTjR-g`}q1= z!2|TqWG1BJH?{Za?Eay`)RhcaCx@#IlIUTB{NI24C@VN4@J`Mr2O2wV)3@%gdU!Rp0MO{}1KtvVch7Il zC$s{-Dv-_k2Kchj+@*7ar=R-9_YX;8#gtLuUHbWNK3cW6*YK>F(pcDR@Z{zeh7E1N zBkTs2z)&IzciO^u#;fj=S>t1H7Ik>Di%Utt%m3|(*Tq9~Sv6lXe)rQy)2h0fUSDZ( zB5bzCrry4a{3aPA+M%i=}Kke4vpTMEhfaTKk?0%+P$MOS$qG` z&U+7M^)0RHC-Vc3`onw2{5a3SMVsT`@P*_7<~ zb1&8yvqgVzr*32uPOtrZ>0oARG8^_%a1g|CQ0q*3l}ussz;~MbFmSfk>g>UI)TLD- z8rX0;Xz1+{n+Y9pKxzgwV$gy!qz$JW#GL}jW;PSYj*IM(;DZR~x+sOCf>Ry&Zo|%- z8W_TWLD-rO08#~~CD`cuU3hLLKk^`gYQ<6jwu-^kFCOuG0T7YO428YOD@a}su$+St zF#^B9u8L6G0NO_3YhY3683YOzHpVzd!XOGTfoKXcF85()9{>Oi*^%Sa<+j^B@P8u{ z6S4`!0a2#}a+z3$jkVSQ>o_Cg#u)V2!NQ6UTW{bvVe1`02*XGK!S3?IQ5^J$Gw|)O z!r0KRR{^XAK9Fi@k3b~XAPyUXjDZrP0l`zq^d4|%K)FKnp#ad{fGLO&Gk{-X_+-pQ z1;-8GPEbG>?CueiOUi;5!64Rz-#QZj2H|XsgA4Y=F`Vg#5W*w@0Jms7l}RyAet0e6 z1VRT1z?0#kqk|FQt@{-kAPQ>X`=~Plu@BTR{?g7EZj{I?l^%-6hcfASkPJF-N+BUO z4n7E;13P%+g*wP702s5i*8({Qq#`s7tjsB75dl7B(-c=In?f8WSeC*e8YgByPP_pe zz~SS9i>N{D7}yq5Mr5-O2p)h>GM5tU@bO*}@L~u%23E)8NyPjDcgT>PlM=zu6KDcJ zH-kYsssnp{0JuhjvXNw`vU_HS#T9ZF64TOn!%ynJVC<2i!yQ29hvhh^NvBik%y4#icsM;oJccL;FzVpUca+ZIkU)`F z1Rz@oN=Knm$_M%ZsRQs4t(K4t>EMq61(*h8g`Nbe08TNIgNsE1R~+I8*rkH&L>JW& zTv8*@q6oebQXscc6$xbzBLs$W@KpskK?H<>IN-REt2r>@hBIi&BvBTHG=p-(K*Qmd zIyW4Bi6rF2J_h7U*zKaLQEp7`z`T5kZ>+wE=*7rF)d^RE8O_3R6(@M~RXT!OzUmVS z0L#d5A&wR100=2av_nU8oa+%@i};O3Bzy|;ksxq0lC+ROr);gsJU&^?Nq~T!Li`88 z3ME5x1X2NAL@CKd;JrXs*&>tKl~RtlP!JWvz9W0G|0LNLJM~k>WQ|KupTtJ8n5Axn zNPLt(!IY996~Y2CjfGf(5l1K%yCqP*Xd~Ew5*wJH2671!_R#s53}M+PeIsLE*!0>p$RG}bZL@q>wRNc=??8VWb?$)pynY_j<*KgLZ;Lw$&|$|PG)7^8vFbiuC) zg+iFb?Y`Pvn5P@f{X6sm_jP&sC_j5dI|G%<|^1$AWzHOeM#kl5j(#y~A7;ulGp zaB)Vtn2D%Z_DPb#a?L;@s{#qVsVGJ-Rf2Al$b>zm2e_y%s0?@E3A>o&iDWb2DI=H@ z)|3lEMRAqWkT{24#(Uf!$`n5^kJ2BmIQ+tb!LgAQPK1=-;(p@?br33#UG$r=jr%|m zlrIxp5fiyGk%mjhctliDp4>fBpm8!Lj)g2^)E>n$dhrM2jmwmyoqm7C2;@^H5Z_2} z%IeH2%khG~5^bzB?koF2RW9ekJtUGy##PROkxu2ZvY4)@Oa)Pd+!)iS!x5!lT`UXM z$2f+tn(nGEsNG3BPvx@Az-^238iY1*p!vQ)s_{+DoTaYf8~o+qWp_E#z^0NhgSjMatJl*?rI@owvY67(Z>vF0Yi%eA5x zSTd>>F^O89NMkIfdyIeOG`kto-(8xxq`NsX=CN#8K9m(@S-x2A)FNDw=uu$jpEBCL zyZZurlYZa;(ItVLl#eMj-ONy5J*ySFq8%z`ZraFZsHeq z+K}55_fRx(5*#2E3hDyI25C50yTZd6Qf$D7zy})~;l>M|5k1T;9*Z4AHDCt;j363D z;3{qtZ8QrIt;idt!*!F}OfNq!FpX}Gb0jh8-B;TQCp@bQ(~Pn-KcbafOXA3^Hmsd_cHufpPX@I!~D2`URLZWPnhPG=&I(?vlV z8NXSVWv2{46@ZV(lST^hoCt>Jy$8O-goVNO{sbODP!MdQgH{O8$DE1_+8-R8!Z=1@ zVZ}vV3YE4|rzEHloC-8X_@~3eQll|J5eYCG;7EWC*tJl%A*~v18AJ5R_BJ>b;T#h2 zQ{O^Ywjw|%@ z&`{Kc2$9i%+n-D!FpfV0*ik6V@IjptCs!SuO>x?=7^Tgpn+zjUQPJrp6&$H?gmFp* zs>pcGAyoy2lY@F|1gA{Mw4#21Y>i~XZV&MAaw#YwaGLZ-LZA$LO9M8X15Fimy4<+4 zxuRJg4q6QEDCENfzOlSdt#*?ax;u)%QrP#$LP0{B9|}0VLt};EC{9cWhlvoyRt2!T zfe9@~gqQZg(NzE81D)<6$bTG8l~C*$n@Z|sR*0uUfg~Wcf&?ZzoCLaD+>3CRGlhu5 z9f?8j>2?Dn9zJ+RMc2s#HOBE7*XfrIOZ-s}ocfKTw!YBZQp%vRN7G5fT^K_+1cVR; zCM`gwhkVZ9$mDp`Zu0;R-tGcIf4|afme&0A#d_~dQSrvJ$7S%^^Txxn)2Afai)%v$ zts8jQSp-rTiN`X9iSdz4c5EUa_xTgK+z^hB4g>m+o1*;c^x_kL_oJG?xe4jZPaf(+ z?+A?MbX9M54&S^vZqa!Gla?A^To_MAvr}`$)KGC{IUjI^(m)cA25bu8COKU2=#@0Q z@R#3h8NV?neENxleOQ&_GvmsGuL+88U(MNEnNlGhM0~H+*-~kylyW09(Uo&iy~ZBE zR?=q_ckucR7@MZPlTUy1WyQjFs`dvzIV(44&8gWD`RO`iX?-^8&CH)0_uC?+^{q94 z*=Agp==|l)f)}HkRHqKa{8j=3$)ad}>CeA;GPpJ`d-ktiYEc+WfkJVx;ZSqn{Pu*? z9GPC5jW|NZmG!0B#f6+z>7LqJ&so3+iZ@^bN_?Ms(5tV0;(z@+`SSHl%@3Xi=$|z) zx0L9qYH>`hFQkI0`HLIHpgTTw{^Hiw`Mjl1lLT;DSS#sQ>P*>3+8`YV`#j$j8$vG(1%b>dlE#A!xLZTz>c7YL-sPvEs(1 zxu8Z(0kOs1y=o}^wTLgQl{LTm?WY$V zT-{nOWXC5{2ve7N=YRa`{em}`4B8xt353sqx?a_J;>~JB`rP^Hj9*pr^q)O(Hv9JV zNb8wyMQ`277E9s6<*m()xv->B5WoBT-#wTEuv%Cr(;!v`pzK4Y&O@&pm&6x0&gH#5 z2fp*f0Y!E?D&;BM9%bW+Mpblr>-OF2iy@g%nOM7dWvMv1v{dlQ`8=`Cg}}QWWqWOl zJTNvh5!W>zc&$biikkVY{jOx(ArlQ)6O-##ub$8Qtj_ep+QLW-aHx}6m$b7}BqLB< zg^(|`Cn0-uiYs3|zz>x2rnVNjC+b1)6vQ`KzKobD9*sUcy|G$|hK9yUqkd&)W4l-Z zV1H$=Kx~MN7Lumc{VyLChYKl9ON-1M^=tdYD);c>&W(+{$6$_>&dm<{J+ZOr@sO&c zp}kk3g>ShSFqq-oXiVR7aL-|ZXE>(q=uo)B9*wAbz>!(JbaiVcF=+GWW~PVz&d|uz zq^0xV^LtzD*_^$*Q|?KG4WdqwF*db%v6-oi;q0Dg4~oMhVFgd(9v%q{w6^qG)AQRmuda;6!b4-l zj9X_6Ppz%w2PJ$VqC)m`1HmznomoCtus6N(+!2vG=Irm*CTC~j$~HjrC8jT2-B~UU zr$#2m!dg+kJ-4ugcu|0K??d#Pu6|Pp5#Uxvbk#q7xklw36gP{43m2vwyoOGdCskZn zJ-;+PHMg>uF$x9RXmKVVFhi21leE`2@|DgZfD$YvBqtA4_nD17^=-z&#jT=MEJEBD z3hM%h?WOZ;b4k6ZR~MjI^J=5ZOHdIlBEUq9%`N0@ZO5ww8jav|rNBRTVHRW7=!5J8 z82S0)^zw!EsVK~cfIH&WN#z!w*Vxc+o>Ed+W#jmUq7;MtoV@;;~;-p_GHHI?T zWH_7}Elw{iEsRGkDxE7FLUdS(-sv{=^Y~K4T}Y0Mj|QZ*m8}Ysvbmy0ky=_zs>Qm< z$jDI84@BF^>6vNZ`WXSm;J3+yz&v)F`*}1M2V&`wTv*w7x=ENiG>M3=SFw#4;0t;G}c;Vc$S=qfo7rww!6zr%F(Us|HgA zXp`LGEaC(KVS6Y9>8Z;JAXkaj?y{()GQA7oq7W`UZt7_lDD(>6*=A*=h~PIGTRcA+ zb77v$1G5xC;|UAMZq-YBL<4N=BG*F;kj{?e;x=(xr$nt5w>0)Ra?^!?(G#qFJnK)#svSl!7npv)uQbta!5 z&ScWbF!pK&HOWHNI)n+)Sb=Gt$z+G4h(6reCm-nP=;$9z7huBW2sD(JAx7sw{PCeo7McbbW+1t&yQQ7p&G;QYFe>i0xuV&8b|?YxfM~!4 zG(`nqA5>&v0*f(V0YbQ$@~OK!dj=4yv=bo^^M#b(6CEv$MPZW{%>v<&@=pOt4^fR} zklAas%qWE7N+9G#+$QY?`tCqadzZqK9!ZD1;dBA269kz~W*~+oVJDzPj2g0Z!Gb1} zYpovO`at00IQ^^Z-PT6uiTS z(B#QtaN&c1VG~FEc0E{3l1Tv7V2g_afKeT`D*-1e>FeR~ z`VdDt9Y;{!OfD511hNlQ1Qe(#or)2wwQ428IY3UzViZw?`y>E|MIx~nNF5@zJB}_G zjtmW_LW3rggF>!DZ<2u4#REJNC1m8-0P6{SG8hN?XC~s*!Q!W{SI8IiA>2bM9t@z{ z0K*gbXMl2t1fKc_FimxkB$IrdS*!ubcXSLuD`qv}cZ(4YUGI$}BvcTgWRgJ#K&wKC z-vX5l6dUMUfX{(4g=F&(I(rFswUCLpj8M}+G7XSrH=*8!X>XkH15FFV1?qzsh(`Ay zAu*7Gh-QFuMN*^Vh2aQ5WgyX}KpzTAFquMps0c{+V{ix{Y#A^MB6J9q zDU1@Z(XolPYX=~{mh|@YX$C`3!a$D_iv!ek&<_mbG}h_}FpcP>AeTz9O#zOC4%#1- zTo^&17=XC<61T+aK_D;#S~!HW501lucsh-RKFVye5DiBrRcJ|MtO9g#a0vM6V{wm3 zF76fe0GCs4_QexH9Lu49f@aLgKOATu#P57R1y?czb( zFX#ooVyP&gp6DFycKd-kG&YJ@LtbFikdBCC;1JX+`Vkw$8XB2jyRckJ0nZ-6T^QD? zH@dzbqTeNuo%FQdwIj_FAxxP)T23i%J zH;qyu7Nz*e#N4^n<+%b5G&qbx1b`Syu<`6}YiMjo(1=KGa`D3To14WbdJhmxfwAqv z_~Li>O8WZMo{@#~t4ni}1;pk-7}9Ju9YY0VJ?+i7T6hB{7lKh_^7EUQRuD%p5wwWg z+Iu9uolOmGVh!Zv)-d9+luA=`^K&!6lFz22E~BDf#Ovtn;_WxH>fg#aF;N8=(hB*IJGb@z=byfN z>)O@zQ6mp=TzWd2YO5*^?mJY?*Se$G$>r@^z%5=_oX*nFh$JEoN!5@3)Bp0_I%^CH zOaKwN1BL6K{r2;R7ZKgo!8^L|L`%1zqp`N~@PXr<_WZdE+m|mQuEIVW*m3Uv=_it;mrn#CzY^)0w$?|LW58{Ni}P>>8QNTe>@Y<&vg~<1I=D&dC4? z^CEc2>dM@BI%rq-0j-BLkBUBC2T!E1CrZm(mzO-P$IcqYue`PF64almX=oSg+#w{E zsf?KY;`!Od>GYtgPogl`9e@Rs0g6}DE4L+z2$blz>a-?LA~#mRBB8AK;WJIqjmrg9 zyHJT3q|poS+*?Tjw-so!ws11y05(i#TemipN8CW0Czb$cOei^0%!dsKq1UIeLtF2?)Lq6Y)?w0h4-C;FE}n!+SGBtOX4{ zl$vHpHNhkz3c^wn7BS&EK2_U&zkXngEw@@`Ol_4n{NC?Q} z#3W24?H$RJk%m%{HiIOBcn)D6q17;$WUzvYK_?+YXS@xGC7^krwnTH1F)`jHggj=) z&R9qqGz(gpkTpr{O6pRIM+nwX22g||=1s^C!44!zFiA0BtLX^ifa|vCVFZts4O!qYn64n}|L5vG;OxGtdtW^+xw#1m1j2>nLV(Z-V6Y9gal@8v zS(ap5WzkMft903Q-MQ^8ebAWvX+%bZ?g zBl~$&ql~N4{h{GoF$WjDrD7MqLQ5<uJOE7+-4uL}E0^i~v9jNJdD+GnYoljGke5 zYJj0^!=(gA1|lsr=#(3R$iUK}@W0?_0_Z|*xLe*pTs)&IbD+d@F>OfqZMe;Zouqx8fEhKu*t2rU zJca>gnFvT%4T+#QPB#|e&5@w!1ePL;;YQjNW1CY6ODB+}hb-|j0;FQBq% zZR!pXWsPk$d$;~9nq*A+AS_EE(NKx;4PbeK^%+BaOm29uG&c714^B^)gB=YmeaZ2~ zeG_R{M~5?792v=ndfPg%3(VqhLkM*;2vC<0zm{W3*z3Y;C1w`sEJ;l2>$@UD)025` zYi+$PHMMkLI%Vet6E2R8<^!FLjh!A|jZq&jJ$C1%OuxrTi;A1d_T27PG*|^dPGzEf zEp<(OnW=@zjJQVckJBW=pQ|F^6;>yskVhz+u}^l)!x#H;XQ5j_1MTi zgvf*Q4tabhhhh!{=Lpeau{H9=azir2=Oa9;2gSzd!J`vxcYWkbw&Oqe$7_KHKYCZ|%*}uN>DBzUFFjb3 zK6&HHqHpUXk8QUV77xwF8`eF(-7$3J)c%sYrI{q%d&e{0b}}Z~UGBcF)|PI6W_a<$ znPVd@U;5Pjoy$M^$D8Sg-~0Y2QWt;x&#z6^ec{uO+V|c3&h_bz$G-GfU3BimvC-bO zciy`*dhoet2HQ4n>n-d*d1NxyfeeY(rxBcPqbwA9bbsG?5K0*=MEp7%KCaREM-#?b#?a!2A40NjoiL! z)%`<1y!AuR2Uoqn?Zn^R`kNyzEU|6rg)^5<4YjTQ+!vm-4If%cY`ybyPdFw{o}3D` zHrR4|Pn|oxH|^+jXNQtKByfI;?BmEvBcpxyy!(SsjeP&se_Uw%*dJ{uzxp4y{_eDA z&FAl{O)tN2cDQx*=k8cjADKRKWI9s!)aKUYzB8xi;{y*>*#-`u zobFqH_v*UX+_C)yM^l?OKfQn71R1f3+R3{iXlv`HwP)t)-@EE}YR>%ot!Ey4%Ujos zzJBXJZ;tlV>>&fsp-b1!jwh#_4qOqOGl3{M0ei(@lUU= z^`vuTzJBbns@{R)S1unexZN47QcumN9Ozs6Y+Wtr-cj)c1{e1R?tkZdR_DHR>;HLX z*M~k(HT%YY{_pP}a8+%nag`6Bdv+nb>yZc6?(q-KO&8qt+xOTr3#9ca_?+Rw#QfYy zl0B^qYRH9CQ|BzqjQ8F3o4vE@VT%L0`IfhQ%`09oEEXS?^4EE4k?b*4zx3DzR z_=l^0chka8ZoSgR^Pk2s>vP*`#Lw?@sZm$^&h(O+_bZ%F?QhUtJjZ@C3q+E zo^-qIZMEBX^_Gu_=YHccCd4ev?b!e4|NGVtmb%tI?U;V<=8Mm8O0ezGhc+}u z#%FRJPkiByN85(aT{+m_*c_fdb@|G<10xCcIocvdz4l&vpiu1o;%}__q~rOYzLEdp z?|*#f@JqkC^$(|An|B13u7CU6FP|Ru);;~i#yVGaY|y>&^MCxAb$v5upBd|G>P{|R zxN+m+(S@->G8WI2%Gt1u46SX6`8mhuf8(ux(tZB#{$l!x4}7Ak_?3UW^-nM4TU#P? z&t7}+!lAL0tKq4KAK7XzjKy}`@zLAXbqyRoF%#+O?H@UK=Ik>k4o>EYo6fm%)Z0~G zv%9T-u+VbbTi^0&+r_{Ci^)g-;KLgW*M5HM@1G4;Z|fa8{nFR39pyc?dCenFx5bC^ z9gltfvv;p+N$)#08?!lLxN@C2b8`Q1OxsTy^w~R`Ie;z~nm)d2)n`3d|Mq3qXMX?V zRr$+*_wPSC(6M26=J<`TT{&}P@1SSb+Q+wdg;NpR_WM8m$$PfBi=zd9SC>06G0=8+X%4T+c{q^pbk^={3QZjz+x5Oxzx_b!r8iD@f9VrcE97#|s@>Hf-G9#)q?E4|%~# ziw7e2|MqX*?!Ne^r=5>}{?12sr!W2Z#}~qNw&A6H3x_Y=yuO^XHPqm5HZVKVx8aV@ zK2X)-ibQ=qjeAfS4vb9Bl4LWV%@3f$lCfoJaBA;J_oJWt;JiLZHn+Ti1?eg|M+5WEUl~?#Mto&R$2#)^d4ddf)zu zz^*5^b|p|x#_Wx|YFfSdaS|7@g-gfN<=N$DPVFCwy83)1w?`S;)`SM6rHxFteSMg) z_PT;>RM3tY?^ZUh>0Ehgo()_F=er1dxo}^vGlY1S#$1bYce2$>t2d0e&3+cI+%%!s z(aNDFCTy{>wB`JrTrd3`p#&xG5v)F%!VgX6X=aW#%Ja}p_W2EYJVOP#(-0vcuHinD{V{GsF7sRdy zcVNtn$Pbai_@$q1HoI?JWdRf`5kv)c*X;6;0gO!z`(h;xV7JXbIYIl_L_#=X7gi-0(MaGldv`S=`%YvVS^d8$$SU4Eje!ccXapHgk`z5l zVzO*DkU0>6IT%5xU>K0o!QZlQQovjYfW)KVp{BS@b7YE7ZOLB<_lSRhV;Sg5)e%d*~s-ojzo6Bx+(cDl$lb zMEEgCE9Zsf(2d2D$S6^d$BYFCOEMNHalBq?@8csoA z9;rdos(EW!#>HYn$u?jCNd&bK3mGU~;#GZBbDA#}H}ONpeTLOlO25^L5lCS#u%=%K zE5d-CBv2#0TfAya0uA4aH^tPVsq7zR*k;J9p3Pmul}1PfF?teRl$ile0SU1y;RPf~ z3ru5*ONX-1=8#dtA@b3_p)zF+GQcMA)VCqA(Z`taGV-)FrC1e+hMoCV z3N3+88$yp8>bZideu*TSOMoQl-xN1Qp|rW7anb_~7B+1_p)PbwHKglM{thOv_#L^^ zaEqy_5i)2?uRw8XDN6+HX^^?hu!yd@G*8r!o=8atmz(whZiN&YCk-%j*3^axC}^rH zvy6ttP+JoX9GE2#o1V?^3N;Oy=wPtbhxp3sod#){-X#KS_8LT$V@Bny!4W}>CPMTu zTuz@vBhh;Yst6{jS1Qw)Ra!hOs)&`Tq7Eoy#!#daNkt@$0Uv5W*i-;9Lt_!f3>&H& zX@-E@Hc?6^(CQGT_2O53Bt#0U2 zNUK}HqQOwG7lEqbgcRZjaj_ua0p#L{-vqJHm}zhgekyK(uA4&942K9}SU|T#DWM}H zSV~zupurRb^se#a8x=If)^Mo-o&l*3W%(N zPfLJdaG|Py!oLEJsj42Kwq9O(X0`y}uFEi0g`(D2(k10-L5tB!duqY-rf0&$>X~K* zxn7;3qfEu9osS$NvCXU0N*z}c)bhA%}ATIZiyHIEHS2CE8W zl{MMI)R3>j)fxn?`6~=~4gaV!ZmCPYa97VPWXd8X%;dek+Q zF>!`a^umTnCfeB5vn8UGmROMooS1n6M+2s*Zu%8HEwWHYR5mn*I95q@ZNOV8uL9hn zB*R8k{k5i`$jHvJ0OOd&I-`Ux2-P+MHW197Ce zG>_;9>RRk&`lh_*7UKn$T;@BUYThg~v??6e>d(9}sbg@Y65lwy6gCxPbx$C|xg@&O z<~H1Kc4C@-bfJMXsOcTSN{&b;qOgfWO5(X`8z9MqCSVy3?lK((D+`6eO&cd<365&n z$1}Nz)`TOmz|&xHe52*tlxI`G!H|*CfDdB#vwc)Yye^pJ0IGC=81JJfS3Wx<`7oMr zWY`xVo`3-wM-wm!1>%L2t2c!9B}xi1V)KAlz?&?k<*|__$y$WNR-G;c!lV>auC`nu z<_V>uE_W;uAX^wqOemH~y2-&Ke*p~D`uwSZ3&yJq*EIDzVk)Yj= zzTVZBnxAr1_Y^0_27+B~WNBnNzdeQ*dU?6*48_8kRG%X=HsIdAGd5LB79%~$nV}$g z)gqx>!QDlgv$@j?uBxZoi)0OUMki)-@p8^#4|{t3iQL45cSmz!VV)ej;o*EJUMg`Y z?_D^YZ0%J(Rks5}njlWMgHhZyd)xfui{o(|dy^hpY`ko5_74t(NK~B6MEg_uXlH{h znTvWA%PoWbLL4uIG|?7FYpo^Ja1qB;65EyOCfM8QA#V>yqS_E7^W;?WlONYb0$ie~ z>Eub_rV{v`7dTVI^Fkih1ysNsCUcUCUwxO|_+>lUJhHh7hj3gdC9xQbagrJjdhDb| zM#?2TSc(%;#7R5=NW+9V7}j|sMXUzg_U_h(+S)x09X45pak3rbcq&&Mog9ohI!G8- z8b~-hTAI7b8(hFF0SHFQxm0cxGx;)U==!|Gva@w}b#{=$r@aSrVI6U4Z*K%~B1xpt zj^ICzLd4!&-_Y(ui-Mt4Ig=gRw=_33Hj2;i*ihWX;ZF!B{+7n3wvJBR5W-rzQ6J%Q z&G~HxO9K+b_ck{51|o_p(T2SM28P+81@f;gEiNw1Ob!v+$%75f9x@Zz+8XNHNX4Xd z)!2e@<%t`oQ}2F~M@dhM-eQ0f6Xl!Y5z}Ibyk1b$( zHJAyZ$j7lDR-8Zg!or?EUiGexk^L{9O!=EO?R1s*?mzb8cmM2Mj#g^gBjZ!)uBs>2 zZP>7-&Yqq>Jd<>G^`$snI5dN8nk+ZTUcY$Z`jM6ouKK-A*^A#h73isZYEx@s;rN;B z-~IlT(w?>JYdymU4-C7vufG4`_0_$Zg`@k6_J$U3VSf3@!e|yl#AbUGC;X|SFPx8k zan-6jyr=*EuLd{Yd*=fiyC$x`@y5*y2eQp;9&Ichd+zkObIZN=Y-o*OoHSvvph zRM*3wxno`T;Qj-XX*ULJuAVLrx!fiSq2BsEw$$X&tFPaj+47E6@7QtR?{2-=_OW+- z?8)xJ$#4D5_fGZisoJu$!9Di;H!h~0`sBwRZZ8}-ad0%u!B2B%uRAtG_=>!*ac84% z^5VDu;^ic`d@A_T|Nh0?6YqKF=c}AkSAO)9m&P2eZJizMuA#GE`^xCfPrvW>+TzI< zo*8!3Y_IL`7ctOIIlDUTCL=f3r7;SR`={N}A+PObjE-?^auUr|e{p@=`xjA<1#-*{&r`K+6wudp3oh-*aT`h!SoH+BfZ$9hz*s4`uOn>XY z{(gGR+u!oRr&E`H^3Q)dwfjr=ZtjYWo%rf&=QEo=`JsE82F`qCIl620gHJUD%j1Lb zzK$*;D8^Hz#fw)Ls{dfsAJjebv;Vjm{L;JL@h4kz*Z$$>UrRmu(J#~$md~9zb$l+g z{l2?4I3}LCcraG|@IzI0?2iV6tu?hhQSyhUF^^t+_WV@S7e4gG?$dws^BbWD-uJ;r zyr+Kf58s)uz2mb_g$`eT@yy=gX#1A+JG}GHUq4-F9ai#eqp13{@j)4j?ZRnwL2Pp93dVX>8pNv zTSrXxyHQ(nBPQvX)DmW3EZMwnZC&>4>#rR4Z(F;eHhlQi?_Mo#z3cwniGx?J9?y5w zHuhpeIJb9hDAHZKr`<*V^O20Ft-i$`DGU#0!(QS%kVe;U>+xpBXUB5^oS*#IC*$VO zqa*3k==fj^FD+L%H#~}AsX~pgn~;G8masCB;_dAvgay9+9Ltk;w6meZpDq@$5OUgj z9U;zNW0*Mgc{%ZyNvLcw(D>pZ<;F?@>6IguJ`UZD)`-mqZ^d9A7Mmt2Nj%`{Y3=am zO0;HgZ*zo{;K9|$WYi1zr>+Y}<36u4SP9q#B^}5L@ zN)FI)$kox*r5vciE=;XrSYfaYQ3gMDOS1P+ZZNhN2;gY&F#FB&EFw8BHd&~6=^9XjYi(6C~3lIK&1#ZAq1o`rvge#1*RdjC~A2rFELfp-j(8t z149Yjl5&%xY80ahYM3|#m|gM6#3!I6`#fXoreZS2p%u)kOrQ*_Q%gqErr01Rc%?A4 z1O}sX&_~&3hQkn}&L0*xOBq$4X13TX<?io?6mtfJ9KTqKo~6fXMi&u8!D)A zQ3HrfJA5*3YQyv*>B8!ayAqHnEm=ul#A|Aq-mFq;%6t>k(IeY_x-)+byhfT)E0X1m zY$IlbCnUtE%{PTG!zb1dn{xU?TdHTu7|t?!a>K0b$i*mPLk@5hKtoKXBOF~~Nb5L9 zopBjd1Ob)#Db~|p1Crq?{-})UwNkEf$CT48VCE7grj)*kWjRg5h7*G<@(i>0ROVwJ zX@^<;^>p|+TD>X0gZBjo^DT~G>lh-Q?a3930#9y z5x3o+o17hvI9-^m0vd|rBt~QX#zu=NkD)8f&FV^W@LUmVe`2BbrDhH;j>Nj_8!;rF zDT8dl*~?C~zzW&#vN?lEtU<&5ey5Wh(ZCe&k~7x4H7xu|n2l90oPzZnVXtgGE}35mz(Fc{|*z-ea2wR?M4VPUS|!9l1mIV^7pcTa~sJ~BO) z5A^nWK?;L#nzA_wnytf17A>E?@($`~>-MEfxK7xK+EoZP?dr@e%qF|rdhqy;4d6Eu z=xXW+me^azoZa>SW{|l;Hs(`2r69?k^KtSgclQOc@#lcj*=_SFo^&}@d+*)V>BW5` z(Y~gt%}t^5%;MgWK-2Dy{-K2f3&ZC872g@a3IahFXR86uy>KqalZ3NMgw5>Gpnvn7 zU#cHGd3GsltA2WGYp}d{^w6MVcb%Q^VpFqI!$m50v6&hf#t5tt&jd#h;>ldFr>n;u z#TjL0Dp7scom=9|&!1b$v~St8N2W^4i)G$RPRxHt_8!_lRWPs4^x)`Vk_}l?YmWzk zk5GE}baeFvGs9DJ3nN|keDEIop=(!IvR=(&K-~yT}x9p z)^~+;$f1By-R?+^Q|fGh-ESm6dGO?+$l8y7p?&`HrKOCcZdXg7G&M6hIW;vkJu?#X zL`DytI=(pIZENX8?ovQ457EZjx?KGkb~pKcwi&$oS!7OJ#3+b9=AcJbDS|WXFYyy-x{&3KtT0C^vol?7q%Vzw1ul zx!0b{)@|O}=m;05#tXUeX&_tIa#8hzyMb@g42*!2F1{LqmXE=~9CY4#5tIeT=luYPx3 zTW@bm&GzbMM=y!L$<#ZmmYS*|S%d+x}?P z@7JIH@ehXA-2QldSC6Z>xL7V7dFA!x_6OH`7B4<`DBHDj%dVClTg%psI9;Zhl6Jx| zPEQZz(wX8YTbod7-?bYvRqtH&5${VseKq>f7dLj1-*{|cesb@nZ{C=yTfHrF_LY|o zhH5r!Y;=)v8ILSNw|6#?MR#Ow|AE;-x$+ZcD>uAw;>>LQ`&Rva!9r5GOq{uN;rN(i^Sa%A-quY|Y-x5lTB>*A8iOebj{K=)E=#oX43gr~ zOnBY9SN&1v=~qtL?*9D7-k`6i6N&81OD`|`Ha^igcjd;(Qg>~Am($U*bK4$!f3R)q z#vM(BHB?Mvf(vAcQZO>Puz&w__{k5v_woF>bH&KXf+|e>~{`6qyu11?L z;OcgyW{#XXdwkT@)Kgq00_k{}0M7wGiv>EL49=wZ#01z!6VWco76Z+}@YKFTOXZG- z@7R=BIymg_w)nhirItbs>m{lUVSw zLudJk6K?`1=vYtnmd41~R5?y`e_7o7m^JcnjQNTDu8s(Yr;H>WzTzR$0df9Bo zw5MUO!KPFv-R#Y1ob3TY?bVMC;MisfOVb7(b*RuxOhibhxN?dK$QBzL9L|YFHjFf| zHG%Mo{Aa?aVaY)@ceqP|@9bKX-VSQb>B8TRKMVv`<|c9@10gg83LXgTdI=$J z!m&X#W(|&irGkm+udOEC%2-F^2xzhhvVz+Ip*O_YvpiRS$S zwrWen6^_RK$ux?mFiaA}*av|nDC;FSS6k4^E<2qonE;Fg9K@K)ELu55gn=OJ-i)6h z;rs}P;&S1oC@)Fc#)cWIcgBH*Lwqi#H+DJHgIX3;M7~TWndrr=cJe|+Oh%?>J6^F4 z4Je@yak|uC2w*Q+v$5Jjd}dZj_#h%nnpm3hcY=b_$*JYMHL|daoOTGINlRR`K_+;- zR+(KsOuyJTuvvwb(6Y-^D3!}zshloKPgF@Uv1u%?YX2nbZOZ>OwUdp97i=d0+ zj8*{_3g{;FKEzgw;G53j(JEhD?CFN^GF3oRjL;Z-i;Yp`%1stF;o_SK0>~^ejv*2^ z)t+Xo@fMI%mx73j|G=40jf&yOo>uZ55sX-fpq1QiX@?K~+X?(Ap4QyciYK0eI+D(; zsC!yb6t@u|#eiTdxFwh~bv64v_&p0#LQ29o`ZQq*;YM0ilpsVe$&_q&bs_W{TGEpE zMmk)mDs3<#8EnH4iij`@e{3dvr#yZ6$BhF|535;SE>o0)<4U`%d zOLW1B#4sKod?Bok;({s)ByR&na$ym+rmtRhnsoxzEDJPMX<6@g3A&n}6786?F10Gw z?i1!_w9P;pSq|GF$fS8pTR4OP66l-M9U`H5uY$Xl21MzKcxjPrIY-Yaz<(pSLz@a+m;wx({sX>n5Ef>kLy%_7)rDC{)ePLP38H18f|#1rV_o`c zp7U71sI+KhgkFObe~?M6i-y&Mft!L>6Q=zNjnH-QZ{?ynGZv6ls~i{Ag|-BK!3jBZ zoD1k?H5YU9PvBeQWxlDNYMCFXe?YA=PV}Q87G8YPuDPuJ2`w9ns5xEwq0TC50e$C( z5Hg(^h*aGwUa6#GQDcQ=Xruw*+*FDfqx!HKHei{r0+NCj6xQFBpOsGNMf4T!y7T6> z(vmbFG+~XpM#o%chzuj}O+AZgK@t9I3{7bY zzexmxB()3)1)PwUT121vX$Zj=i-HzR>P^U5IKV}woGEEQshq!C5LoS~E$h%}bD|6#&+d8E#YK0)3@f!K=0`Kr7%`RiLAuiae%C z)i*}!Rk?CeO5dz|hN}FoK%`HDhyGMZD9-zJRjVJ4wDx0>)f)U;$nEZQcF<{S_3{6SNvK{rq)tgY*jB_|y;@ zo-o5=AU8W^s{Oi>)q#bbX-%#0-$I)DhS}7Wxk*Lqb)t?<{}$91(iB@Eh)Qe1Fkl)q zGj3|a0@##N*QTDJu^_N|HSp5S$~{xb;&|2JG6SpDDl@=vzFE$#M;avSGA+LOM?Dz` zcq|qY0NgW$t#8&EQ|Unk42%>qxLLm~lwnjYMxu~u#zM|o%K2^~T`5XEAhPC^)rLxo zG-krDeCU>WY+$1XErW^y)^ua~T%ncVMl#c76*F)^Z8c$-oObnts^&5)2xTjnTck4m zsU^dGqj~7_btxR%hO^4!#Iql7BF2F zGy;a3ynci(zYJCuAOh@ zU6_Dp)1;6BGS=skK_@k7)_fw}<#HTM`TWsbxs-5|;*J1oas|h0AV7M7{$zh3g;y$W zx!u{BiImG74tfIliIUqE85#;U);V)ouRWMA=aV5PCwa)!QD=|c<0WRckK;Oa!2y>a zjHP2WAx$$$mor^Tp!p2r77@>cUBOhpJD5&-dR-XWn5dOXE8RC#fE&{wY@ZFCv0x?)@Q8DOO`FK@hdR|;kL$+^C=%X zXGsBu!HDBY_zA5llDbV;ag3CRM~b%u>?44vCB`sywBa`FzyXyUCpa9?&WE(a>m zq|$h{RLn%YsER$f`w+N;h?yRaJ2C}QtU*mgM}nEkja+*>oP~U$WPuv^OL7LnCLcjI z6C~m(=?6(9fo$r*Fv z5U0bw{xlJabi|!3mQsXgKn&m@5)D!s3pEXfD9co4)^92`9HXOAKl?AOO>hF>7-d%_asUc6#WXPTs@8N_qO*8#I#byjYZc@x zZ$nrHKB671I-JwH15kybHq8H)0+ZAS=$Bw!Os?pq zKj5f%FJ&^u^N5SS}();+4{ZLtnYLNrk92n)o33MEB;$xB5nA=4K|x zC#Gj72aA_Mm z$XQe(Y-?(AWTy5ljAS{HPlnw#yFW8DJ~|LJqj3^lBNXmgt{ zKXYjRKwo1=WODyZg7BbI$ByH&zkX|rCzgni;-@$@I~;7-TGLlv+&^82g$T5PU4##t zjAG44{;%I#XUkr=F_JlS?(&r*v7KLjczc&ZH%&!a8+{W;mq*>Lw$$8_eVNux8?n<$ zksA!lorME4DO(q(2NQ)rZ>K$&DG#I)g|hp;x32oY?)14=hFW6BU;5g~MD-)9xAmgD zE+^r#;K2Oeva_)(J-0OGudCYC;SKwGyPc821Lw}pd8;=!_zJ^mXS+Qyxj2~f9i{0Sl=2MKd={1%X)k9;IoG#JGO@pp4;c$_Eb$zAWl$) z=DxzgipE(iu?5b6d7H&x(I?d--R#UU%O9fxBuPv8glXCR(0sC|~&6rIDt!n}dfg?sq-4 zW?Oe=;m}On>Cev`TpH|Ici*~3J7F}U<=KO$FW-D|X6xGkEccE7`r7XI-#z}%w=Pw` z`!_z$;b&9CO|Khwr<$W$f~6SI0ZHw+~-<@mP5KR_El=rTFd*+d47E;dmm!!O3VjhGAGs zr!%?t+V{Vn!>a2x&$)m5_k-*2?7jJ~-wb^2ci;O!OKRzbZ@j$Ny?#gG`IqOnf8z5k zOE<3#?%rgZdG7h8NXwp9PJna8So0%yt#?kGeE#aqZ(T2~`Q25YXg~PZxBhITwJ|!s zzudm&-bZ)fF#ht*Q^}fjJNgfvKNQ@!wtf2QO9vcV>ypdYt}OIbZEjDG4~05f`qC2% z3zKXwa^r{3pBvxvso(saySf*CaO)S(rCQqJ)6?N?_uRRzXX@H_Up?U3u{*r<+_?$I zo@R35rJb#91X?(IYRtCj(e<^iOex;7ZhcE)_Q<}WbotP;bIqUlZ~x=Y?gQWa;mtz@ zZ+rDq>o)D_Quw~i*!*~;b=%gK=;WcLZ1Yo3HYH~lM`Ql}>_Fb%Shcl@jKI05k1RVK z-QLo{i_exe|IvSc&l>;o4}bB->0IZ|r`JEdqp=%3p09ZD{Fy2H)2lbx%KP^hI-Y)f zOB3-E^5gSM2Ns9i)sH{)*tV`Hn&cvR)_R-T!i$&B_J8p$t3JFdckSQ*=@ zn~w**!Q9O9;nJSZz4P7obT54M2Y+_$-{7 zV-1Dl&mA90q(>L#if-~M$FejvJQAyWboF-6`03{_96zwMG+XlQe(atHwtA+XxqRu& zsgpHSpT(| zNtfrJdG3&Z_5b>xUud29+W-BFZ!Gn9wzRf)c6WEQwmAz&uHQUcXxg~VF?#gqsD0Bz z>)LXA4~)fQ<;5dRXyQS(_l0mocN5OCjp;owwXiTdF;ocddGO<(e8RVM>A9nGGmA?T zf$GPf*wJcNkisIyv^fIiNe@hHhqk8rhAt9v?HkWTh+^++-&IWrH9Uwt_|e9Q_}A0j zZS$J}-t_*rzVp7GxmW+@&z~)J*X-C?UA=SrHlnCZoqh57Y1@+zZ*q(rI#6tT;>%C< zjvSupee5yk(O17Z*S>y3gSWr0W^-Nt#2ki#yQ&+yh$%CA;@ZXB+IO#d_Zt6^AN<4D z7871q`{w)aUfUF6SC^eSa*`k!b@lf2lr$B{%F3dzSZIN;`80v zNoc5i84EaV9>Zx~9L3Ea{LQz2p>6cqkN@hc2U8u}pWd{yzNNXb)tNhR`Q}#^{nbzI zbdMcAkgb38fzAGX&tIJLcY2D`Q(0$wrz_y?ZfXw<&dm+Rcz+XE++SKeed+nb;SC>u z&qtpMANl^j{rKu!+*ZGN&10LI{khSJ>BZ$!$L9+H5?v)qLj!Sp-OfFZ{30=Qr^+%& z=`_AT!srY(gb~b(3qv!@XOE?x`pA1eQ=L2ix4-Ap&@nrm2aF3*X)Tb9-Z*kH@MP+gvRtl$bcrd1i#A} z%uO9S_x!T&-rxPrKdH$)_w!%g9P4dz_CF2og+mKDDj}i6r6dv<2CN6;i(T`bMIXa2gO#56vGsFwlJ8hyVD2&Y7#< z`~J&^3!e5pJGSktYwrserVboGy0_@6-&xxo9hw{rHf-9~Q#$g@!J?Og+IWb3g+9`z zu~B57iAtUru$Zyeh z`(q#dquY0+PrdraYiGy(^&8i2*jC>`@S@b{{u3t_GhI73?;@gJzMqJd1T`7jyLZUf z))6RAm3@u7nmwr^&IpPhMtD0+^yr6Zqoui17v~#3_O?IT5Ip>&Uw-dIhM=J>+a7=H zX{=r+&wlfJuN?GjS-U%N;Mv2e213Tf#%2e7ZQbd^m!F&SH`aBzgB+~|QtTrVSbh>g zpRIO0LA-Z@SWX`~bs$yq<$Ip!8oT_RH(oh4>?1T&7w6CTaHK|-jvX2ebu_ejb7K<& zQR*USkn?V&L<$097wL4eKQ3nRC_qYO11bMyUtf1y2O+FaU%I&Dd;BBszpH8Z@?ZYV zH;xwly-hnv!`h2=OJ;E4=!vCV_s*(%UwLkR(BIzF+U4*>a-*|zW0^2wGP`7KE7|ZX zqyjD{PK_`r0cYN-{ch!$v{?4D@SRRTxJBcyd?cfA0kegmUb$H0# zu)8fdFg2F-b+Pbud$Ti#4o)UqLDQ|u?*ZCjqGYUt?pl+S$o`xpEVe|C-k#OvQW>fZFkw)Q@IYkg;IdU<&^ z!_J})BLL#K=sXm$hJ*Tqx2wI|>9#dgu|><1rEg&J(1{uQ>QCIZDRS(qUp+IG=lm=} z1n3T8^%9vvLA3%s?Y+U=FovggC2d1w$`1~fFnaZ2F2GK)m?FOh+HZwT^N|8Dok@l< z`c*bCmnWPVKXB^QoWJVcFFxL!Sa|mGh2@Eat-gA9t4$|Qfz;6CSjO2{Q%4-Ip`m<) zc(Ls^hL2r1hfvvwoe(tP`~WEz5N9MD`bn08B}7pA6zJ6-P7LlneZ>8Rx4-+#eGA|D z)ejDZIvxJL`Yl^(+d6IGsf(|^INSR0!!1)c{`^|G_OU1GTz*?aYjp4V=Z}xYSlMB2 zju^3}<9t(!ek6MilzqMJ9X&cqMGj8R;OlUw1TdUAcPxt zio_0%+ZyY;$&0XeEaB>+Dj{kjIvmQQi%8}3DN=zeejM_N$CH@3 zaPwU7-gmwG0q4@UfA+?y5&?61+K6*bT;=A_+=Z_!hn~K7P1oe58z)i?n|F4&-8}?* z4-L#8+&3I-Z|wA^b8)m8$|d7ONF?2NUJEWe3yEWK^3~4SKL6YASsOa`lYhIG?Cf7W zRBBnjerIEwBXju1R}XYQ@<8qI)jzv2-cr>N85{LJeb@cf(WOh*FD``YcC;nNhNHb* zzWmroysNgZ(}z)dA=us2;s`~9J@vJ1{?g>cPS~?QiP0xt4y-{R{>|Ur5Rr ze_x+3njpv~Au46N9ZQYuKd}_t@VPrS2KE#8Xs$&55@PA%2-DnVj}GtMKN)G+zT2K1 zA4~PIXB`?X_1ilMZA##7Vw(AgJ(ee~b0mdHb}r~3YYK6!^GWjF7)=LxEi(h-^9K(U zsz3Fvk5uI^eEZr&dj6&FeSN=s`;!~1s&@92Ph32bsNd0DKC(Q}vau$-bm3&S7AKEh z90su89vqw9w=m#ouI~(_v2^RTDS)Lyaw4X(bP{8{trGo$&SXx?3FoQVq{70%`H1RB14lC!$V_JGgHGk6b?-_J8Ig9em#^UdP^`} z$Oe1cWwThKAJjAi$wLZe2~D#VjrjeM++bOsVbo;`l}HFd>kKxDu~;^RoG~#L+0Dw` zmYrWHrwR*aufA}6A`|gDIvN{Vd)+?lBwhV@2IX8$4Lz~Jkz&N-PZSBdh9VG^5k_a2 zI)EsSuxT{Img*RF3+iA-h=WV(AQM9+RCGpxC=I(g zhEc#y`97e|HOdVs{p5*NF>=!RCqzKsA@u|#k+qLtkurzdoD=gtwSEaWbJJ*|rFIkv zE&M905;sUJMYj zcF`$`7CR}4&~2(Ea9c6yLb)Wqg0_|sw=~(Nn5y7ZVfi0Wo;JW-O$j||7>zy@)otZK z8q^Fm!3XD2I#SP4P3dB@#$74LwV<0P(uV2fY6_YAw9QB|LCsCk&uBhn!-cMthOAfz zlrbT5jO~!zQW-*XK};D62ua*iWoONAnID*2f?NweI9MGpSv59hpv(@iqCV4*!Ganj zUT};Eqy$pwcm=U}b)XNkD57C8aLdeF2k+`!O0EhbGH~N3tqC=?Bf^?G?BF1t&iT!` z1kI?D(E>85)D-^=dO8sV4Z|r=nPM;rH|R`!Av)0{RVXO)4!9ZRFN#1xA_f9wm`ms= zaIfKQSwRUe;FraKF-g#&8sF5W`c}6@EmcM~t5FIW$fdH@ds7osy&G;&Q(|y)hpwQY zxK!j7ftW+aw7~EeZL2Ii#|(rQ#nl4KSeY3gVAG*MV8>{<3AzhRVWyz2)&Qst!y|Ab z^c980Vj>XwR1lndI2Pr%$WroABIc=(0Eg9An5P{`^AI%(jzqvkD5t+cEyG-XHgn9m=9LhDyZMGo8qSy zA+04EV_Al2wreEC>7Zl~GEAlKG_R55pL|8M)~IcBFAX=j$;0^uhAN&{|nuiNCy$RT&yXsDF024BdekiB9>RMbWY8z_m zEua}EF0-sFL`>@yC4w9NFddj#M;oR?iz9TnXpx%nVJ7jS*UZwut8r^Y^!gBa^yV!enOVVbG9mQCOhQrBdgFnE)j544KN;N2+|tz7cxd!#lc*4yb9A8>o*}Q8$Mi27 zKhr#2$!LI|)X~71Ph7$Nrm9W5-HA|FU0dqFh4cH% zg~>yQM%=Bvku1^sTEn9=C1+!+E9`IGynbt&Pf-;T(k{>&e)R;0$8C_z*M!T%au%}7 z<&UFAzDlZ+n;j12xbC2o#akHb$h4#CzWcZP37@~asqox)zHv0qPH$hKYgeNy>~5@X z3Jwj28g?}Fh5hZjc6G&uCWf)0Ck;keNzQc~1`pzMi0mrgMG=gdtRVJY%ntFZhapI) zBK4ho4+jPcvDSw^{@G38iDG9}%lx;0`n{8d?9Ac0@a|2uy|#vJyZVaL<-VF--O+5M zr?JgjoSvT;z>UL?BuiqA9-IP3OA%ivT_ge{hkf`Jv1>$SN(=dgn)X3?3YJ>FwHgDV0DSO#Se(K3X;oPyjJN9PxZ znm_Z~?|L|}xIEMsJocCW^{=l?`g=NCYBq1))k4m8XL@pJHdeP`Q+s;uz*N#39$Gpy z=Gge)mp8VOWMLqaA-?5et_znEA1de^wxn!?BO!NBdyhYdt7tq30bpST)FkyEN_})J zhYMRj@RoP3O`p6tKT@=(W)Z8eQ8EnT+m)+T(hCq^T+Yt}YqmoJ?j@%DyC z7sfnW?)%cZ#^BKW!dNbrEKdyicdy^v9vi}k3?pOtr3JnAZU^zS$20DZ4x5h%h~lAv zq2Ym4&>PB4?wjbo<2V1?r#ly}T^?xnocQZs{rHrB+Y_6c`g}fu;O=R($A`vpJ)73; z$((rU#(_XXYj|Wdxa0nNHgsebjvbjBh()ra6WR8sH+JS{=SOrr&nqMxRY1?g;P`OD z*4)|`;Q%igOA<$W5V6h|P7jRD&xF?e{#!m!GkxQgeU6^#@BHdtZVuKxvA)(xew4PF zUCqj+QwTKIv?dN-|N8k-M}s{*m}+15<@GJeg=O+2rjyyhiP2a~73W3=_Ld{!9M0_} zodlADdS|t-l>~^`&TavG;LU4 zV+%!mJ=Izk52m z^U*asy1Ze(y|uBq+Y_N{drPZl=;)<$bAg7M7N_67d;Q}|*td6fgs=;dcqX5ZJDcme zq5}hQCmF6{8SHcsQE_p}#roRXoaFT2&;u(0^7Su(YM?~*C*J;nC$r~nUK$JL zPJQ#cH|AYcYc|w5@TA7kxbPSx06UIsp$y(=C7l@)OQYMF z8XtGx_l|em7ku{hm#1uf^Ebc$+H$mR<3@1L#cX@3s%n^~xwxynJHB}N=7o`->fOD; zK-Ug@pZvv1vLz8A9uGUzU{R9q_B-2JQNocJqc}QNl(!lwA=%T&`8?$AZtrvi(^%mT z@?ZSu%CNnmz8MdnK+xS@vwe40f2kblsO>DA zeCeg5iS|}kEY?@Iy@u>^q=*|SgnGLC1GBT`XdqfBhTCeI`k2_s5p*_TcQ7|pj=4#= zD$mRAmd0ihv=s3eoEaZTqtzxtPH`x;`xF1;z3U3ky>vA09C`7tzJGC$`UFks@kadZ zTh^>2h6ZSo|&WW?vFYU_){J7z@H+ML&GxsJ2$_aaOyT3G!hZnhG z34=@SrJhcutx5+ez?%SHkF@-NWTk;;1oM%UY#14^mEvtVoT&NK+x}pE{`^-C#3K7% z`QG($r#)IArcZZdAltR>zO_yMDESoIQwJ_xIX#o-D8$jx)CkF9oUh_j^!%E$&Knc0hV5I7gfADaEu@d4ix+_NG6>f7#Yd>d%G~w2q-$iNFic8VIm14 z6{PDw|E>={ojY@WCYn9*+Bc8K?D5&Xnda?XrP+M_>PKoE?$*su)rZHH_U~QTJ6-U0 zcDm!4xVxt>lFbA<8i^y1O;UbrvPjC>;gOW9$DJ${Nu5Q8uAW}6Je`xJ@yWqBQ$+b> zBIyAVDd34i(w;DW?;;(aC{ek0U~;<^Vc02dX9y zYjvtPK1UWnIE@1UT((i-;;HSTL@-qv8XJvo`{?iA*|T{5XeqJx=65gWI=piyr@Efp z(LXoiUjIT#g4Cid@S@TN;46OWpRtBOZr#%zFCqMq72io#v3!FLo z^{<~Ug|n06!Rn_P5)(tt>Kz?!+x9Q7-W@Cr4-C#OAD@Z#_WI*dcW+;`G??;m6yxn_ ztXJHNQA~3N2a-fAj9cT~6gkzmh%5#7Pp+^^&O%LnTBt zd8lJAreGX4VrC&{AV48eCCLzrBNQI4m@48Ex(kf9_|vV=>FmE20{&d2<>evw=|NjtE$CIT5XqI3?6d6(tE$VkE+u zz-C1FkX*NdsU%d2nu|`bKaAPrzf>%-oV-l-+o*`h6<21<#dVjxS#lbM?!0e;$}89Ed$ z(haB(lZ%Tl1`3KuYe9>q5fFg1lEY=WZN8YXH_Zt93gm_<42fY!fo;ZH$|f!fiG0@3 z>me6CSOhh&=$VoAMSp5o0P|OcL>?FgAS~USX-&&8?R`C1dqxJe#mC9p%aaVVM8f4Fs3!Q(5fyy1$Ajaq=VARXet=4vlxLJ6f_)Z zQOBYv4|yF=`w@EScxet+M1%OY%YVGr77j6o~TK4TVrN`<(C1@G^rxyqLjMkyV%BU4prnZ{X%rO0Ec=dpaX63Ygs;v1Y z%9<|}HmK>324)3J5vJ0F1(g6WS7op$DInFIMSBB>>CXTl@WcU?%NjiH37`rV75=c0 zrGVhoWwm5|6Aac>VGFAvbGtGGBC9TIO!*WVD@3s#>6bxSKdFn}*}A1h1yJSEKyhjK z-n_X2jfKCNsZ_Bl2zTA**RLxGN6kZ;RwtFhD^)7!nV%r`>w1F9bWIggj~1*;uME-n z&EIA-P$|ZDtyf?#3@hDPozb|K8-5rZ41`w86jN)WZH1mISegd{iVk^dYFen75(2?m zvsF(kg<&7{p$023S|e%3T0I%8C_y_0Fw?IEh~aSEHFd4RrkJ%*R=yha4WI^ImT%q> zE4ZppQ&ByMQebSx*y=%Gi4YbAt#PuzFon%+Ge!Whve=pWR;!v?^v7IP9|lJORpAf= zp8>!$X0X#kL0~9rD$#_6r}aytV(oK50%qX-4qz6Wy))R zpl%Fh_^c3^DjEQg6W3V~i-k-VR!?FW>kyrpL^*9DxXh=;FnaTt&cuFiUevDqGPGA6 zwQqi?D>Lks?nPD27cL71?h9B0H+RgV3ZKv@Pq}GGr!@kctnGnzA`CpO=p{rc4VJ;n zddZqGfdmvW;Fy-!4w>am71XAIT8lZLR(i2uHN&PCis@K*@Qw2kHAP8&3QQhaqo@yI zvr^jNX9y&i)r%wu2^|)q)V6AhY8EX7A6qXoR%WBf6Vn6ZYDx%P1Fv?h>dwGuFwy-L z1o*0ODFRpb#2L!-A`Arm|4DjtOAUztDnemC`7j7^LnFW)Azk^#J?#zkOr%wV0A|6V zF%(J$a5DlbtOoR{u=WNubI?R}Q_pPpSTNL{dNtgsIxB1CzWdS8c1L1>#DB^g}%nPLBl!WvC*Cv07yATZ@2zv?{BW|IbBD{idcWt7Yq< zx?TBhJu$rsIKd|gg%1l6Q_;vG5KI4rwnc6=X<;U?1dEX#Y1%?fB9UNICB7MAh;0oS zEJ*k(%qsuQ4?Pg$im;VB{MKQs5sY|hKvo^owrO7T*ZkB|(}Dp(IOsO*@Ug-rl{KE9 z{Hu^gKvj0BloZ*_G!-m{+Exqd&@^w|=Lx-q6{5I;@B=-Q+A3s?1bo9&y*A7s z>5p6$xo0ZzVVX9ms?W-QKCJqNmK3Ly!5*aPrgFUrk$GyURRPd&qv%UXLz_xR7S4j3 z7WLQkuTmn1X_&jFIg2{FZ;h)8@%7D`1}#IM3Qa@=@tnF9qfpd5riQt6!)lvCre~fT zDz3C_wI&)=h^O*2tI;u}Q33sjD!MAgR9=^cRUf8;k=RvprBStIQIBu>K~okJY4RAZ zH(UUoX#UJJG`}fon&3W^v<6+@Fjz1FzX1Nt^XYP*QKvFx#MCF9rfa?E=2Vk%B?3 zNny$pJ(q=s@d!0KI-`DEu?SN=^Wu>ksMIyM-5Q;sj6lp-(w0=t!n$JC2OOMhV=9VS zhI~As9yB6=k+D4DXlI+W591uOBFaSSIN42B-)_Q^Cs z&Ir?)sw*879HN&wFK07|W}TCgM+PP!A*{(ypC!K@;pdExHHLinVUS@^CONqMl7T=u z2aJ85dS@8KYKrz{nXBki*b~c-F|k|?REp|moTXI5R!W)GQEkH`Xs%#RV=seWu@W}o zSm8pe-VYM8lf;xP${{Xi1fVQ6GU>~eViEme)bDTv5`+^ViV(+GoSka3$dLvn8`wt4 z^I7>1AR>$zl8IN!`!J~Nb`E?A65eQrXM~o|`F(K+U_^Z7&o-()hJfS{Q-7Awl}JDyF2u#URW=tPZDYp2EE^{w4x?6 zdpL#PK4Bk~my;3037^4&oKYeMKoP=JKtJIIj#kt~W{8fh6>1$8$D1Rb;c$z~(!Imy z9PSe*n5@kh%NwP#+|qqhuECiWB(-+iGG~E<8GqB5>)^Xq7*TLiH1NH7W_&WxEQ@;$qp0Jvd)A~ z7YDN*Td0up+5DMwn9kz3_d}E@C$$L|ZcBwgw=-QNbP%r0Tis49*J&c7o)RnC@>zb?(0;y8k z3z_9ux+iR88zFySAeteS8hu_|OP&pwdFh*dx%Ek-23vRUIb z65{Yw6rV;Xhfo~tleoAa!llc&Wd&onnky?kr^7u2%>WQKEn&ASl!*D9fh3WS$@-hf zMVvMl6CM*fQC-1Cy@a@6-O)>mxc|=n{6IEN+5oKCvT(7xr?JU1yq7fgy&eV^mlgVE zF$3g&57rfgmEcI;<4cxH5nMpxvD5%LZ~RUc7bSE_lcR;51 zqf$aj`}=UYfcucr@ot&F;luM8ZjU~}1yjTQc>O&Z0RxeLT z*6lbvX-fu!;B7`6NH&-{W?&w`z${_PW)SMn50?_GWlT7&_}U+UgAzAj(5TlPFD&K) zVVDVG0TO9)B`6ppBLF#MZ)pb2MmTDvOiHl6DoCm^mgSw4iuio}sT_F;2$&IeV9^$H z^}72Ne}NZ8*u!x(HXEK`2Iq`mh_yUHd=}hO@FY z4`s-O6psGllZ>% zG5>h`MD>R3C!Pw)D-x`Ek#J_T9JF~&_9cc`X0%b_e&F2}V*W=HVF!U37(akxK{ml( zP_}?~$U`_6>O)l$VBk5Mgpm_CUh#rea%AR&d7Xq)_~fvu@H#!oaxqLAid4|%j6*!P z1L8mcKd~(y+58gqr6Cg(WVC1OB8_yYQ2VOX2M<_XX$8bbvgtZM>>W6HAP(aMTRCLiYev)Lp&2zueylY9|d*9P+WdT$kks>xJ0Ep>wk#T_FTYr>waM6uXWL~lLnCh zm*)MSHja@Q(0JMN?@_1I`SYi5ci;c&6e+RGEy#XZPrNeBq3anX*wvu$aJlh01_(q(yQr*m0p2@SsVc&Q8U zOB-7K-a+PNnGmzZz~Lyc!zyz|^LpMhD{%ty!mr7EYCpx-(N#@x>XKbUD2ST#JAtWntU|h|1ZO;UK;jdof91V{w_7 z>8iLje^=jX7Utjy4)yN4yW>yJGTWP(jAW@RH@HT&1GVWeV7@)d7Xs=gEh0W0q5hc3 zs5rzx2|GvMX6*HY^t6WaoZq+11$-wxW)O2z*uh`w7GIZ?WOF! zk%olw`;z&Us&l$`vC^3fGg(+LTQR2S4SapDGWZ9Tcpqt$6K84RbJLWpV6Z7xb0AQ; z=d?&GV@$02)opJ#y2(*(c~Uso3S<-v8-PBF*TySjIbXzPM&*FIgH5L>^yX{PFBWum zrBTJjDIzFFlz5d>>!=65-igpM((-&(C^Q$TGdW6jgzq|xkqI)64nSpaI_Y0Fw~yCr z$y~^BC*etTyu#|s^P~8TcLtht+CngW@hrujBMm{TGK4bi$zr)*rU)rd7+a09Jf2z$ zi;T%{En&m%vlyat-^iuCvF+(i9Md_Tz|-9Sa$9bHJ7ouAPXyT3ADRpXZVO3`tpp)^ zB**L74WlqQrP6m!O}u+VHTTJGr~&3b>Y{GbJzAcj?IQ}P4(PU)2-adjzN~#upw-KH zdvkNIW<$yMqArNKpS{TNXO$e;%t>--(q&H&yZ4Z*7h+CDym>8U!VD&qlT6`9yI`$> zgP6!Q(V~28)heu-f|&=>Sfb32cH~YX;&2K`*u}G%HPve^;K?Idi~eVUy0KQ7=V606?4$y1T)M0VDPNRXG&>xTwLoxZV@HL9-eyYpm__HmxETb%wCBv zUe=S&K5<4fSwMzfma`5?beTP_kf(xU@u{R_DteG`RU(3{nO{rt44n>?U$9&dyS|A; zIsS1i%;j!#v3*y0U{6m{EqCKebY2&Sy-9OKS`G>5cY~2yaT!!eEJ7VED{73hq#OUNYSXm7{2-&r<*tJ$vy@jV%BKI zLsgxuxH`qE(d;}izksXMGzK?dii3vn=zgwI6>+Mo+`cI}d@g{dV{jpM7DB0!>WCx?$@2U_z}xL*kLxxQQ#-WZQn5 zqW3XkO4Ydi&=ls8F2+DS?`{J{(cIL1>x5@GDv~b=IrIi@i}ho-+Bf>>OOYT83sp5h zipl|DQ{~}R1tZP%2v`NJQgtigmsN{8x$q7j%(J_x9hCVy%Bs}h89$